>ORGLA12G0207900.1 pep scaffold:AGI1.1:Oglab12_unplaced192:4163:5755:-1 gene:ORGLA12G0207900 transcript:ORGLA12G0207900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWDAIALPRVGRNIEGRGKADDNLVSDSILACCSQVENGILSNPFYRVALSSPEAVSDHGGWRWPRIHGDYTDMLRAGCG >ORGLA12G0207800.1 pep scaffold:AGI1.1:Oglab12_unplaced187:9434:9891:1 gene:ORGLA12G0207800 transcript:ORGLA12G0207800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQGGSRPLDQFFDRMETMSELGFVGSDVDDGEHGGGGAAPSMWDNLAGGGGGGGGSAVAATTPANLLQQQYVLSNLLC >ORGLA12G0207700.1 pep scaffold:AGI1.1:ADWL01025576.1:17524:18309:1 gene:ORGLA12G0207700 transcript:ORGLA12G0207700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSISRRRRVDIALVSLLLLAAAAAAAVDAATITMVNRCSYTVWPGALPGGGVRLDPGQSWSISVAAGTPAARIWPRTGCSFDGAGRGRCSTGDCAGALSCAVSGEPPTTLAEYTLGRPGAGGDDFLDLSLIDGFNVPVSFQPTNGGGAGCSKGRGPRCGVDITARCLPELRVPGGCASACGKFGGDVYCCRGKYEHVCPPTSYSMFFKGLCPDAYSYAKDDQTSTFTCPAGTNYRVDFCPPTNGVGVTAGDEDDEIASA >ORGLA12G0207600.1 pep scaffold:AGI1.1:ADWL01025576.1:8038:14155:1 gene:ORGLA12G0207600 transcript:ORGLA12G0207600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVRAAHGASRVARLAAAAAARRDEEGASSRAASLAASRVARSARGHRLRRRVSTPPHRRALQAPXQLSSPLLPLQHRPPG >ORGLA12G0207500.1 pep scaffold:AGI1.1:ADWL01025576.1:6670:7327:-1 gene:ORGLA12G0207500 transcript:ORGLA12G0207500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATRSSKLLALWLCCALPLIMSSTAADTTTATTAAALPGGAGEGQSSCRCRASSDDDLDGGGCRYDFQEDTSGRGAARGVRAGGGGGVGAAGADPRREPRGGRLHQRRPRRQSGLLPRLQRLRHSGGPRRRRLRPVRRRPRDVRLQRPIVLRLRADENRLAGRILRRRRRVAPSLGGHVYLR >ORGLA12G0207400.1 pep scaffold:AGI1.1:Oglab12_unplaced185:18320:20536:-1 gene:ORGLA12G0207400 transcript:ORGLA12G0207400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAADSFPAGGDDAIRDVYGIGGGGEEDDPSLFLYLSDLAPVSPSAYLDLPPSPPPPTTTATTMVKEGEEAPEDLVLPFISRMLMEEDIDDKFFYDYPDNPALLQAQQPFLEILSDPSSNSRSSNSDDPRLSPTSSSDTSAAINSYDAAATATAVAAAAVPVPQYESIELDPAAFFAAANSDLMSSAFLKGMEEANKFLPTENKLVIDLEASSENNYLRGLEEAKRFLPSDDKLQVGFAAAAAPVVSVKKEAVDVVVATASGGGGRGRKNPYDDEELELEGGRSSKQTAVQGDDVAARAMFDKVMMPSHENCTEMMEKLRIAMKEEAAKNEASAGGKGGNGKVKGGRRGGRDVVDLRTLLIHCAQAVATDDRRSATELLKQIKQHAKPTGDATQRLAHCFAEGLQARIAGTGSLVHQSLVAKRTSAVDILQAYQLYMAAICFKKVSFIFSNQTIYNASLGKKKIHIVDYGIQYGFQWPCFLRRISQREGGPPEVRMTGIDLPQPGFRPTERIEETGHRLSKYAQEFGVPFKYNAIAAVKMESVRKEDLNIDPDEVLIVNCQYQFKNLMDESVVIDSPRDIVLSNIRKMQPHVFIHAIVNGSFSAPFFVTRFREALFFYSALFDVLDATTPRESEQRLLIEQNIFGRAALNVIACEGIDRVERPETYKQWQVRNQRAGFKQLPLNPEIVQVVRNKVKDCYHKDFVIDIDHQWLLQGWKGRILYAISTWTPNDALSYF >ORGLA12G0207300.1 pep scaffold:AGI1.1:Oglab12_unplaced185:314:1227:-1 gene:ORGLA12G0207300 transcript:ORGLA12G0207300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCLXRQRRTSLDCIITHLLLGMACLPLTSPARGICITSRALFEFQLCIRTEDSPEAEDEPIGETLIERCTEFTNILRSASFTKTVRLYGEKCGLDVKFALLVNAVQATVDVEIIHSPACGLNLKLYAKTSGFSNVIRLFRGVAQSGRRIRSLVAVVRRSHLDLCIEGSPADIGLGEKLPFVRWEHKFGAGFHRTADEVVKLGDFTTISVKVTWKAVDKRPPPKG >ORGLA12G0207200.1 pep scaffold:AGI1.1:Oglab12_unplaced184:133635:133916:-1 gene:ORGLA12G0207200 transcript:ORGLA12G0207200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMEIFEFVTEADCYPNISIAYRILFTMPVTVASAEITFSKLKLLKNYLRSVMSQERLNGLATLCIEKKLLDEIDIDAIVDDFASPHVRRNF >ORGLA12G0207100.1 pep scaffold:AGI1.1:Oglab12_unplaced184:120080:123907:-1 gene:ORGLA12G0207100 transcript:ORGLA12G0207100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFVPLRGIKNDLHGRLQCYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTALCGIIHSFLGGQPLLILGVAEPTVLMYTFMFNFAKDRPDLGRRLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRIAGELFGLLIAMLFMQQAIKGLVDEFRIPERENRKALEFVSSWRFANGMFAIVLSFGLLLTALRSRKARSWRYGTGWLRGFIADYGVPLMVLVWTGVSYIPYGSVPKGIPRRLFSPNPWSPGAYDNWTVIRDMPNVPLLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTLLCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNRLVATARQSMSQNASLSQLYGSMQEAYQQMQTPLIYQQPSVKGLNELKDSTVQMASSMGNIDAPVDETVFDIEKEIDDLLPIEVKEQRLSNLLQATMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHTTFVETVPFKTIAMFTLFQTMYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKLFKGAHLTDLDAAEYEESPAIPFIAAQDIDVALARTQSAEILDDIVTRSRGEIKRLNSPKITSSGGTPVAELKGIRSPCISERAYSPRITELRHDRSPLGGRGSPRTGETRSSKLGEGSTPK >ORGLA12G0207000.1 pep scaffold:AGI1.1:Oglab12_unplaced184:114422:116534:1 gene:ORGLA12G0207000 transcript:ORGLA12G0207000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDEELVRPQASGAKNMGFAKFDSMSELHCKIPTVLVRWGLGLTLVQRLKSGWKEMPSIQKALPPELADNVIRLYRECLRRARFIGHQKHNTGLLVSMVREQFKKNMHETDPEKIQKMKDDAARGLINHILYESEKMTGRKFSS >ORGLA12G0206900.1 pep scaffold:AGI1.1:Oglab12_unplaced184:100438:106715:-1 gene:ORGLA12G0206900 transcript:ORGLA12G0206900.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRWAAPARKSGMTVLGKIPKPINLPSQRLENHGLDPNVEIVPKGTLTWGSKPTSTTPNAWNSSSLLSPKNDGSSNSPSHFNGRPSSGGGSRPSTAGSESLDSPNAWGSSSRPSTASGTLPSNHLQTTTNRPRSAETRPGSSQLSRFADNSSENMKVSIRTIDKSGSSSHGHGFTLSTGDFPTLGSESNSQRGHSSKGRPTSSSGKETAQNEQGKSITAGPAEEILSSNSQSADNIKTDQHVYDGGAPFPGTSLPNEAQQPQPYPGNFCVAPPHFDSWHAPPGHPPDGMWHRGAAPGGPYRPLGPPGGGFPVEPFAYYGQFQPSSEAAARQGPGHGGYQPKNGDAYLSVPPNSYMMNQPVIPVRPVYQGPMSYDGYYGPPRANFNNPNVRDPPFVGGPHQPGILNQFPNQHEKFHPGHPQNRPGKHETAPNEHFESDRVHVIQRGQPRILHDNLRGPREVERNAQPAPPLLPHPNGNRIDVNKRSDIRESFNEKNRILMKSAPDHRGPAGTSHLSIPENVHSHPREADDGTLRKKFKEDNSVVPDQQPVIKKNVALIEKIESLNNKARNVDARNITEPFPSKQAKEMQKSTSSKEDQKLPNEPVLEPSQSELTEIITAGKLGESTRDRTHRRGDSSRSSHHGSSKDRLVNNFAGEGLRENSAADSSPIVGSRNSQHEQPPKDALKLAPVMVTDDMQSSLDFESQRAKMRELAAQRAKQLQAEEEERTKQQRAKALAKLEELNRRSSVHQKSSNDVPPDIADVQQKQKVGFEETAKPANLSAESCDVACDGHNSLQPPNDPKHTEFSVQPKPTVLTHTLGVGKDPTIHNTTTLARNSEHEAQKGVAQSHDINVPKPKQGYRRRQAVSEEKNPSEKSSGAISTESGKKIAEAFSNTSTAVVTSHDDTLAHNKKSARHSRNKKKVDEAPVTSKHPPVALNEQNAVKVPNEPKPQTAGVIISSSIVPTEGTVVTVGSIMVGGISFGSLNQECVKPQESVKPADEVHSSTSNSHPKRQQAKRSGKNQQSIRPIERPHGNEGAVWAPVKPSGHSEQSGDAMRSTGVVAPTQPAGLNTNDGENVTKTKRAEMERYVPKPLSKELQQQNLRQILPSEKSCEDNKIRDKEIVERSTGAKPETAPEAKKWEGKKTNKGHGKSHPSWRRRNTDESTLVGPKATELADNYQESHELQKHTVHQPPEPDKQADAPARNSSVPAETVSSVVTVAKEHGAANKQRRQHVKAQRNEGSNYSNENKDQMAAPPAPGIDSNSYERRNMLRSDVKHSGTVPQSRSHWKPKTIPQSQGNSHGNNAKDGHVDSATPQDSSNNNLAENIGWNDENHAHSEEVKGEKRHVDDYQKSESHENAEQQQQLSHAPRRQGHHNGGRYHRGGGTNRGRGYDVGKPSHVTNAERRRGGTHLEYQPVGSYNKTADFQQNPGTDERTEGAPVHREHVHNRGPRPAGQFVKRNPASTPAANSYRDE >ORGLA12G0206800.1 pep scaffold:AGI1.1:Oglab12_unplaced184:93905:96226:-1 gene:ORGLA12G0206800 transcript:ORGLA12G0206800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRLWVKKSEVSFDVTESTDFTELVNQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTVFLPTEKAMTPDACAPLIATLPLEVRTKIGDFIRGVYSVFQDLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKKWGNIQFPLPFGRVLSPSESFIHELDEKTSSSLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFSGIIRALREKESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKQAIDCIMAEA >ORGLA12G0206700.1 pep scaffold:AGI1.1:Oglab12_unplaced184:89692:91995:-1 gene:ORGLA12G0206700 transcript:ORGLA12G0206700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGHVLGVLGGALLAHAAYATIQYRAVLKITEEEFSSPPMDVMMQLLLGLALCMWAGLAVPAKFLSVLPHSEENRIVSFPANLDFMIFNHRGRALPSDPDLKLKT >ORGLA12G0206600.1 pep scaffold:AGI1.1:Oglab12_unplaced184:79605:87950:-1 gene:ORGLA12G0206600 transcript:ORGLA12G0206600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXHPPPPPPPPPPPPPPPPPPAAAAPSRSSSAAAAAVARVREEGEVSSGADDDEPLRARFTAPSNVSKYADASTQVLSANFPGKGSNSLSLSSAFPHKTVAPSYKKTTRVNQGLFKPGTNRNLTWQKPVSSDNLVISFSDDDSGSDSGMSKQDKRGRKDSSQGTYKTGINVHTGIMREEAPQQKIHAAKVGSANWSAVPLTYRNSGVGRGLSATFARRDPPVRQVTPQKAIHKDGNVVGVSSAVHNLESLRHKIAARENELKVKRPMSPSLLKDSSFPTGQTRLPLEKIGFEASSIGACSHLNGPVGHDIRPIKRLKPNQECSNNQVLVNQIPPVPTGKSLGKSNVQPCERREHIENGITMDCNVNEAVHTVTTEPGGHHIGAIKSLSLSKMQHTVIPDADNHVTGKQHVKHAAPPTANEQSVAEDANTLVPITSVRAGANVEMSSIQVKDNMLSTWNGQHIMPADTSTVPNLRPQLGPGVENAENCGDQIVITGQNTSLLSLLEMEEFQERELEDAQEHRRKCEVEEREALRAYRRAQRALIEANERCAILRRKRELCSAQVHGFFAENSSLAQPLSIQNAGHGLVMPSVLNSQANADGQMPGNQGGRSGSPYPEESPQQPVDKHEARSHNFNDNSTPSDYMEDDFLPPSKRARSDCISNLEDHMEETIHVYPVENRQISGESVQDYELLEASLRSRLVERFGKKSYLNNSGEATEELSFGKVSEIEREKAYVGPLLQEADENVMTNIEGMVELGNDAHEKRADSSNVPSIGNYDHEENISSFGELCMPLSVTHPIIPSSAPQNAARHMKGLFPDLHKKASDYKNDCQTSNAASVATVRLPDVAKDNAKMHSTTRKDMDIVNGAVDPFWPFCMFELRGKCNDEECPWQHVEHHAWRKSKHTKHSKPSFSGWIPYGLFQHILPVPTYRVGSNLIRADLNLIQSVLASSIWQYWQRGFCASFPFPLSVQRVLPSDAPSLQAGDDSSANFDRDRQLLNLRMLDSRKNKIVQGSVDAELFLEGALILYFGKINKPDRLKALLYLARAIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSHAVQHNVCSYELWLMYINSRLRFDDRLDAYNDALSMLCQMTAVTDMELKDRSSFVLDIFLQMVYFLCMSGNVDKAISRIYGILPTATPDCSGDKLLADVISCLTISDRCIFWISCIYVSIYRKLPEEIIDQLEFLKDLPNSLVWSPIELTVDNRSQILVLLNYAAGKIAVDINETVKNGDPSYLRLSQFLAVNHINCLATLEAFQSCANLLVKYMEEYPMCPHILVFSARLHRKYGSCPGLKGFDELLLGWPKEVQGIQYLWNQCAEHALADNIELAEKLLTRWFEEYGKDGDIQSGGATRPMEISNEESVRSSVSSIQEVGSGTSTSEDQIFWLLNLSLYRTIKNNLQEAKVAMDKALKLAHGESYEHCIKEHAAIHTLEKTSSSTDVQTQATFSLISGYLVDQRNLPVRDLLSRRFMKNVKKHRLKQLIDETIGPTSANPALINSVLEVCYGPSLLPETIGEVKYLVDFVESVMEVLPANYRLALAVGKFMVKHCTGDDSISMGTRFWASSILINAIFRAVPVAPESVWLEGASLLEKLQAAETVKRFYQQATSVYPFSFKLWHSYLNSCKASGSSTESIAEAARQRGIELSVTPP >ORGLA12G0206500.1 pep scaffold:AGI1.1:Oglab12_unplaced184:77830:78216:-1 gene:ORGLA12G0206500 transcript:ORGLA12G0206500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVGALVAGARERWWCRGEGGAAVRHAVAWAGALALAVSVASFAPEAAFVWALTGGGGGGGSGELCAAGAVRVPLDGGGDFVCVPARMAVRSGADMIVPPAFAGLAVGASACFVRALAIGRRLDDY >ORGLA12G0206400.1 pep scaffold:AGI1.1:Oglab12_unplaced184:72439:76447:1 gene:ORGLA12G0206400 transcript:ORGLA12G0206400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERRWSTAAAAAGWLRVAAVVVAAASAASSAAGEGGGRQTVPAMYVFGDSLVDVGNNDFLPPPAPRPPEPPCGIDLPPEAAAADGGGGGRFTNGFNLADVIAQHVGFKKSPPAYLSLTTPGRDGELRRGLVGANYASSGSGILDFIGNGTISLGEQVKLFTKTKDAMVTAGEVDGESIDNLLSQSLFITCTGGNDYNAFTDGIVPVSDAPAFIAHMVVTYIKHIKTLYNLGARRLGILDVLPLGCLPISRVPMENGSCSGADNWQARLFNRLLRREMAAAATASMPDLVYSIGSIYYTFYDMIKNPSSAGVREVARACCGDGKLNAEADCSATTHLCPDRDNYIFWDKVHGTQAAYHNCVHAFFHGSPRYAEPISFTQLVASPAVDLGRPSPGTNRTARI >ORGLA12G0206300.1 pep scaffold:AGI1.1:Oglab12_unplaced184:54672:68250:1 gene:ORGLA12G0206300 transcript:ORGLA12G0206300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGHAPAGAELAVALDEEEEEAAPLVSGGRGAAAAARAATSQTRDLHLLSSAFLFVFLAYHAAQNLQSTVNTDENLGSVSLGLLYTSFTAFSVVGSPVVRRMGSRRALVLGTSGYLLFIAANLVPSWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKSLLFVVFLGCMIVGIILMCLLSKRDEKGNNAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQQAFVWAVFTKNIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSATSIVSVGAILQAVVLFWLLLFYSPMGGLLGAAVPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPNITLQAMLILMATALIISFGAFLLLTLVVEKPSTVRSXGNPKSFCPTETNSKVGPISPHILISVTNNQSSTYCMHERYETKRKXSICQLMCNTTPPWLHLFQRFLLNXSDCIQNWSDGLVAKSVFLQLFLFRGCFYQMXHQSNIYVYNSCSFVLKKDECYFDVVXXKKEEEGYSFGHXYMKGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKRLSVSCKTRWXXRVINXVLIIINLKNELIXYFRTTFIXNVFARNAPFSSLKNVLRVSKFIQLLLEKKIAQVVFESSKDEDEDKDXVFHTKPRWXXCMINXVLIITNLKNNLIFXNNFYIENFHTKRTVXXFKMSRIFKNLSTLCSGNERDPHTPSVYPTSSCTTRKTTQIANQFLILICSWLSCSCFTSKSILLXKYGGGTTTSTFFYTFLXISPXNFITTSVTFXMQLMVYVFNVXSSVLFEKFIKKLKNXSHIKYYXYFIIXXQQKYXSXENFKXDGRLNVGYENMXNCSLFFFWNGCSNIIEYFNISFKQVNTIYSVFKXHIXKIEEVKKKKKSSKATDEVTRRGGDRKETPCGPHMQVGTNRHVGPTSPPPLHSPRRRRSSSPPLSIXKKKPSRRSFPPQPSSRADRSLLEASASAAAGHGRARRPPPRRRRRXGGGRGRRRRRRGCHGAAPRRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRLXIAWFXVFTWTVPRMVALVPNWACWFGCLTPVXXNAXLARPCXYDAPFAQTLVLLILIDHIXSXFGGVVCSSCLIRDAVSPVYFECLVVDGGHFHFLVIKCCVTLFWCSXEXNLKXHMXXITPSXNYRQVFYXTIYQQHVSFSFIYSTLKRYGWPMDFGPSXCAFXYTTKXFSCAKYYXKXIHYIXGMLRFYIWMLICTTNCQVFTCQXACEVFCCIQXSSSHNISHTAGYISHVCCPQSCKRQXFAXRPNFGELQWRVLGNVCXHTGHRKSDLSCTTERWKGMRKEILLQHIPHLGPXXSILLPLSRTEGXFLSSLLXHIQDYKRHLYGLYSLKILXHLFLASLELAEPWQYMVQPMLFVHWLLDVXPLGFIQLHLSFRLELFFMLXSCSGYFFFTVQWVDCLVRQFHCLXVLYGVLVMVSYIHSXAHYLGCCSRMSRRQLLHSGGFGNRVPSQSSSS >ORGLA12G0206200.1 pep scaffold:AGI1.1:Oglab12_unplaced184:48088:51817:-1 gene:ORGLA12G0206200 transcript:ORGLA12G0206200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVISRFVCTNXSSLCCFRRGDFCIFLIXSWIFFLQVDNRDSAVPKVTPEEAAKLCDRNFGVGADGVIFVMPGVNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGTHSFKIHTGAGLIIPEIQNDGKVKVDMGQPILSGPDIPTKLPSTKNEAVVQADLAVDGSTWQVTCVSMGNPHCVTFGTKELKVLHVDDLKLSDIGPKFEHHEMFPARTNTEFVEVLSRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAERKCVVDLPGGPLEIEWREDDNHIYMTGPAEAVFYGSAVH >ORGLA12G0206100.1 pep scaffold:AGI1.1:Oglab12_unplaced184:43451:44396:1 gene:ORGLA12G0206100 transcript:ORGLA12G0206100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVREETRKGPWTEQEDLQLVCTVRLFGERRWDFIAKVSGLRGLNRTGKSCRLRWVNYLHPGLKRGRMSPHEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKSNMSPSSSSSSLTYQSCHPETPSMIIGIEEQELHGGSGCITSIMKSTPVDMDGYPMDQIWMEIEAPNVLPGPCFDEAKDSASNSLSGPLLPYPMWDYYCPETCLRMDDEIKVAPQFGYGKGVGPCY >ORGLA12G0206000.1 pep scaffold:AGI1.1:Oglab12_unplaced184:20110:26729:1 gene:ORGLA12G0206000 transcript:ORGLA12G0206000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAATVHRRWRRRLLPKLMLLLCAVVHGQQPDSLGFISIDCGIPDGGGYSDESTRGLRYVPDAGFLDAGAGLSAGINPPYTDRDLAARYLTVRYFPGAASAAGERGGCYTLRQLSPGGRYLVRATFYYGNYDGAIAMLPVVFDLHLGANRWTAVNVTAADAIYIFEAVVSPPADFLQVCLVNIGKGTPFISGLDLRPLKPELYPEATANQSLLLLNHDRPPARFAFNRYQFWRPASYYKLFRYPFDPYNRLWQPYGDDPSWTNITVAAAVDVTNISRSDDPSPILRSAATPANATVRRLDFPWSSDDAATTTYLLLLYFAELQRLPAGAARRFDVLVDGDASAGGGRRGYTPRYLAAEVVRATVRAARPGQRHVVSLVAAPDSALPPIVNGLEIYSVQPMPELATNDRDAKAMMEIRDNYELKKNWMGDPCAPKAFAWVGLNCSYSSFDPALVTALNLSSSVLIGPVNLSFGDLKSLQYLDLSNNSLSGPIPDFLAQMPALKFLDLSSNKLSGSIPSDLLQKRENGSLVLRIGNNANLCYNGANNTCAPESKQSKRILVIAIAVPIVAATLLFVAAIVILHRRRNKQDTWITNNARLISPHERSNVFENRQFTYRELKLMTSNFKEEIGKGGFGTVFLGYLEDGTPVAVKMCSKTSSEGDKEFLAEAQHLTRVHHRNLVSLIGYCKDKKHLALVYEYMQGGNLEDRLRGEASIAAPLTWHQRLKIALDTAQGLEYLHKSCQPPLIHRDVKTRNILLSGDLDAKIADFGLTKVFAGDVVTHVTTQPAGTLGYLDPEYYHTSRLSEKSDVYSFGVVLLELVTGRPPAVPLGDGDGGGGESVHLAVWARQRLAEGDIESVADAAMGGCFEVNSAWKVAELALRCKERPSRERPAMADVVAELKECLELEASRALGRGYSCYSSGSGGGSSVATTTTTSGAANVSAAASAASVSDAQIGELRQESVLELGPR >ORGLA12G0205900.1 pep scaffold:AGI1.1:Oglab12_unplaced184:13531:15073:-1 gene:ORGLA12G0205900 transcript:ORGLA12G0205900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDIIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPIRSVPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >ORGLA12G0205800.1 pep scaffold:AGI1.1:Oglab12_unplaced184:12373:12719:1 gene:ORGLA12G0205800 transcript:ORGLA12G0205800.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMYPDLAEKITITTTTTTPVLGVAPEKGQFEGVGKAAESGEGAHGCSCGSSCKCNPCNC >ORGLA12G0205700.1 pep scaffold:AGI1.1:Oglab12_unplaced184:9620:9700:1 gene:ORGLA12G0205700 transcript:ORGLA12G0205700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPDLAEKNTTTTSVTMVLGVAPEKG >ORGLA12G0205600.1 pep scaffold:AGI1.1:Oglab12_unplaced184:5040:5662:1 gene:ORGLA12G0205600 transcript:ORGLA12G0205600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSCGCGGGCGKMYPDLAEKITTTTTTATTVLGVAPEKGHFEVMVGKAAESGEAAHGCSCGSSCKCNPCNC >ORGLA12G0205500.1 pep scaffold:AGI1.1:ADWL01025541.1:1892:2164:1 gene:ORGLA12G0205500 transcript:ORGLA12G0205500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETVLVSQEDRISQQQRSLYYRVGVQTCWAADRSRAHNCITKGKRKPRLGPHITGATWELGRNPKTRRSRLAPGRTPHQRDPLRLLQQLGGN >ORGLA12G0205400.1 pep scaffold:AGI1.1:Oglab12_unplaced178:14834:15286:-1 gene:ORGLA12G0205400 transcript:ORGLA12G0205400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRDRRPAGLVAQLLLITLAALLILLLTTVAAAAPAAGGGYSARVVIVAGKKSSGSGATATAHRRVVVDDLAGMMAAALGRQRLEDVVAPEEELLIPGGLVVGAGGGGGGYGALEANQPACPRGGCAGKQPGGAYTRPCTYGNTCFRPS >ORGLA12G0205300.1 pep scaffold:AGI1.1:Oglab12_unplaced178:12683:13054:-1 gene:ORGLA12G0205300 transcript:ORGLA12G0205300.1 gene_biotype:protein_coding transcript_biotype:protein_coding APRVVPAAAAVSAQLAAALLLLLLLHAVTVVVVAGGGGSEVAVDRYAAAGAMLLLPRRRRQQLEDEVVFPAAMAVVGAEQLQQGGSFSGLTANKQVCLQGHSCAAFAMPYTGHGCIYRNNCKQ >ORGLA12G0205200.1 pep scaffold:AGI1.1:ADWL01025536.1:871:1359:-1 gene:ORGLA12G0205200 transcript:ORGLA12G0205200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDDDSRCTGEAAQAAGGGGVVATPLVVDEDASPVVSREMEATPGKRMHLRGRGWRRCTVVAGGAAGGRPAPEREKEKAGRGGAATGERRKGLKLENGPRGFHFIGWGREPGTGEVGTAAEKSAGGHGKRSGLARAFRVIKSTNQGGNMGERERNKWVLLPPI >ORGLA12G0205100.1 pep scaffold:AGI1.1:Oglab12_unplaced176:82737:87298:1 gene:ORGLA12G0205100 transcript:ORGLA12G0205100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALVLSGGGRVVVAPSAAAAAAVAAVGIQPSDEGKGVTVDELKAALRRRGPDSLGCERLRVRADGTTLGSDGCDCGVGNGGDVGDTELCFIGATLQLRGAEPILQPMVGQSGNVLVYNGEIYGGVHVADDQNDTQSLLSSLESCCSCECHALFRDEACLCCGSVGKSVPQILSTIKGPWALIYWQKDSKTMWFGRDAFGRRSLLVHWPSPDDPRFILSSVSPPSFASNNSAPTVKVMESGEDNDFPESTNMSYWEELPCGIYSIQLKSLEKSGMCMKEACVSEVRRHDWINSSLDELIQWKRKSIVPTVDDLTSHQNSVGDYCLSQSFRNSTEADKNAAYKVLIALRESVMLRTNLNRLFQDDLNKLKDDELAPIAILFSGGLDSMILAALLDQCLDSKWTIDLLNVSFDGQLAPDRISALAGLKELQRISPIRRWRLVEIDTVLTNLKGESEHVMSLIYPSNTYMDLNIGIALWLAAGGDGWVDGSICNIQDGCRYKYKSTSRVLLVGSGADEQCAGYGRHRTKYRLGGWVLLDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLEIPLWDIAKLDEPVGKGDKKILREVANLLGLKEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHQRAR >ORGLA12G0205000.1 pep scaffold:AGI1.1:Oglab12_unplaced176:80874:81544:1 gene:ORGLA12G0205000 transcript:ORGLA12G0205000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAARAVAMPSLSPATVAARPSSRRLHKVAAMATQKPTSGTRRGTTVYFPVGEPGPRQTTSGKAAAPPVKLLTNVEKLRLLTKAEKAGLLSAAERAGLSLSAVERLGLLSKAEELEVLSAATDPGTPGALLGVALLLLAAGPAVVYLVPEEYPWEVAVQAVVALACVVGGSTAFAASSFVSKLQSSSS >ORGLA12G0204900.1 pep scaffold:AGI1.1:Oglab12_unplaced176:76263:78210:-1 gene:ORGLA12G0204900 transcript:ORGLA12G0204900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVEKVKALWDSQVNDEEAWAFNYKLMKAGGLFVASIFVMRNFGDLMAI >ORGLA12G0204800.1 pep scaffold:AGI1.1:Oglab12_unplaced176:62793:65796:1 gene:ORGLA12G0204800 transcript:ORGLA12G0204800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKRHKNVEAGKGSFHRMILGQLVGEFGFDEENVPCNTPRSSVRSRSGASTSRIVASTSGSGTGGVLVSPGEYVRDPGSILSLQPWIFKRSGSQKNEEKMMLAGGSRVVGEGKNLMDSFRDGSAVEVSPRSPGLGSGPGRGRGALRSRRSRRHLIRPLVPMENSYIPQLYSEDFEIDECMFGPVPSPASARPFIVTDGRRVISKSRYQPVPVPFRIGFEKEGYRNSSDMAVSVIGIAPLPELKKSKRERQEFHNAGMSLSALQSSKPSKSTGLLDRLHIFSTGVSIGIISSTLSKKNELDALKGTVKRMENLVQDLHDELEMREGLTVKELPNEMSVKNDDDESKAHVTDSEPMSKIEEELEAELARLELNITSNHLNEQTFDFSEVDQDLIGDIVQGELKIDMAHRDLADYSSESAHGRDSRESSPDYTHDANYPVSPRDLSLRLHKVIQQRLEERIKELETALAQSEKQTQVQVMATEQILCERTCSDSDSGSPNQESPVYIQETNSLAEPFCLNLAGDALEAYDEAYEEFMRIADSPCTTSTNGKPQVHEDYSVDRSLIWGLEDGSARKLKKVPTWERILKSGEPNRTQDSDGDDEDEFEEDDDQDSKMLIQQIVERTKQGSPVLIHAQRILFSVDD >ORGLA12G0204700.1 pep scaffold:AGI1.1:Oglab12_unplaced176:52088:55312:1 gene:ORGLA12G0204700 transcript:ORGLA12G0204700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1R941] MIRLWVVATWLIVCVAAHPGEQPLSRITVERTVLAVDESAHVKASPWVLGLKGQNSEWVEVEFFHPSPSNDDWIGVFSPANFSAAICEPENKRQRPPVLCTAPIKYQFANFNNDGYNKSGKGYLKLQLINQREDFSFALFSGGLLKPKLIAISNKVAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAVPFVEWGAKGGRRFLSPAGTLTFDRNSMCGAPAQTVGWRHPGYIHTSYLKDLWPDSLYTYRLGHRLPNGTLIWSKSYSFKASPYPGQDSLQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLENIDMVVHIGDICYANGYLSQWDQFTAQIELIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIAHTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCSYYEEQGTFGEPMGRDTIEELLQKYRVDLAFYGHVHSYERTCPVYQSQCVVDASDHYNGPFKATTHVVVGGGGASLSEFTTSKIKWSHYTDFDFGFVKLTAFNHSSMLFEYKKSRDGNVYDHFTISRDYRDILACSVDNCPRTTLAT >ORGLA12G0204600.1 pep scaffold:AGI1.1:Oglab12_unplaced176:46795:50005:1 gene:ORGLA12G0204600 transcript:ORGLA12G0204600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1PI45] MIRLWVVVTWLVVCAAAAAHPGEQPLSRIAVERMVLAVNESAHVKASPLVLGLKGETNEWVEVEFFNPNPSNADWVGVFSPADFSSAICEAFGVPQYYPMLCTAPIKYQYANFNNNGYSKSGKGKLKLQLINQREDFSFALFSGGLKNPKLVAVSNKITFANPKAPVYPRLAQGKSWNEMTVTWTSGYDFKEAVPFVEWGAKGGQRVLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKELWPDSLYTYRLGHRLPNGTNIWSKSYSFKASPYPGQDSVQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLKNIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGMGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKLWYATDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTFYEEEGTFEEPMGRESLQELWQKYKVDLAFYGHVHNYERTCPVYQSKCIVSGSDHYSGPFTATTHVVVGGAGAGTSDSEFTTSNIKWSYYRDFDYGFVKLTALNHSSLLFEYKKSSDGNVYDHFTISRDYRDILACSIDNCPRTTLAT >ORGLA12G0204500.1 pep scaffold:AGI1.1:Oglab12_unplaced176:40050:43095:1 gene:ORGLA12G0204500 transcript:ORGLA12G0204500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1R7G6] MRFLIMAAIRWVVLAYIVVIGCATIARGNEQPLSRIAIERATVAAVDSASVKAQPTVLGLKFQYANFNNADYNRSGKGLLRLQLINQREDFSFALFSGGLSAPKLIAISNKVSFQNPKAPVYPRLAQGKSWNEMTVTWTSGYSIKEAIPFVEWGHKGGNQMLSPAGTLTFSRNSMCGSPARTVGWRDPGYIHTSFLKELWPDSLYTYRLGHRLLDGTHIWSKSYSFRASPYPGQDSVQRVVIFGDMGKAEIDGSDEYGNYEQASLYTTNQLIKELDSIDMVIHIGDLSYANGYLSQWDQLTQQIEPIASTVPYMIGSGNHERDWPGSGSFYGHNDSGGECGVPTQTMFYVPAENRAKLWYSTDYGMFRFCIADTEQDWRPGTEQYKFIEQCLSSVDRSKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGRDGLEELWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNLYTGQFNATTHVIVGGGGAMLSPFRATVPYWSFFRDYDFGFSKLTALNHSTLLFEYKKSRDGKVYDHFTISRDYRDIMACSIDNCPRTTLAV >ORGLA12G0204400.1 pep scaffold:AGI1.1:Oglab12_unplaced176:34144:38235:1 gene:ORGLA12G0204400 transcript:ORGLA12G0204400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:I1PI47] MYKMMYPSWNDISVYISEYWSVIIATVIFASVTGVTIYYTVNQLNKNISLSLMKAIRARARKYKKLKDKVPASSHIWRKELGSRSKGLKCCVCLKSVSSPQYMGGVIHQCDICGATAHPSCSGNAHKDCKCVSMVGFEHVIHQWAVQWIDTSDRSEEDSFCCYCDESCNGAFLAGSPIWYCMWCQRLVHVDCHNNLSIETGDICDLGPLKRLILSPLCVKELHWTGAAGLISSITHGANELASNVRERIRSRGKKYRKGTISVDSDSSGTIDPPSDIEGDSQETNNAAKRREDHANGELPEVHESSESENDKQLLTENTTSIPNGQHEDSHVHNNQKYEIVDVPSDSRPLLVFINKRSGAQCGDSLRQRLQILLNPIQVFELGKQQGPEVGLTLFRKVPHFRVLVCGGDGTVAWVLDAIEKQKFEAPPPVAILPAGTGNDLARVLSWGGGLGIVEKQGGLFSVLKDVEHAAVTVLDRWKITIKDNQGKLMSQRKYMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAKEGAKNMMDNTFDYFPWDVKLEIDGSKINIPQDSEGILVANIQSYMGGVDLWKNEDDVSDNFHPQSMHDKMLEVVSFTGMLHLGRLQVGLSRAQRLAQGHHIKIEIKTKMPIQVDGEPWSQDPCTIVVSHHCQAFMLKRVSEEPIGHAASIMADVLENAENNGIITASQKRTLLHEIASRLL >ORGLA12G0204300.1 pep scaffold:AGI1.1:Oglab12_unplaced176:28060:30296:-1 gene:ORGLA12G0204300 transcript:ORGLA12G0204300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTPVMMRRARARRPFAVLAAAAAPPPSAGRNRENVEGEAMSFSQCVRRFGKPAAKKGSARTPRRATPVAAAAYDAPRAPLWDRSEERERIRARLSSPDDGGEEEEGSSGKKRTRTRTRTRTRRSVALREAMAGLPEHGDGRVRYLVDTFERLLSLSSDPGEQSRRRRKKKTPVARKTGSSWPPPPTPTPTTTRADEIDVSYPSIASSSEVSFPINGVVLRRSIARDEPPRRQKRCSGICSSERSWSRKKIGVTIQRPFNLRTERRGKMKEESLVQRMKNKLLEEERLRNPVAQGLPWTTDVPENPVKPLGKEPTEPIDVVLHSEIRAVGRARFDHQVAERNSFLEKLNMERERQQKLDEELEIKQLRKEQVPRAHPMPDFSKPFVPKRSVKPQTVPREPKFHPRLTRSSSKT >ORGLA12G0204200.1 pep scaffold:AGI1.1:Oglab12_unplaced176:23378:26611:-1 gene:ORGLA12G0204200 transcript:ORGLA12G0204200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSCAFFFFFFFVARGGFQEKRGAASHGDYDEQDRRVKGAEVFVGGLPRSVTERALREVFSPCGEIVDLRIMKDQNGISKGYGFVRFAERECAYIAKRQINGFEARISNFLFDLQGKRLAVDLSLDQDTLFFGNLCKDWGIEEFEELIRKALEDVVSVDLAMARNHDSSVGKRRLNRGFAFVRFSSHA >ORGLA12G0204100.1 pep scaffold:AGI1.1:Oglab12_unplaced176:18638:21062:-1 gene:ORGLA12G0204100 transcript:ORGLA12G0204100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKTAFVGNLPANVTEEYLRKLFEHCGEVVRVAVSRKGQYPVGFVHFASRTELDHAIKEMDGETVRGPDRGATFRIQVSVARPVVENDKKRIREEVKTRRSNVSRDKPDHSYGRRGHDSYDRQAKAPRLYNEVSDTDPYEAAVVSLPSAVKELLLRILRLRIGTRYDIDIHCIRSLNELPEKAAVAVLNQFLISGADKHNKGDYFASLIAKYQAETFSSALRLQGSTYLPRNPEIQNKRFPHQDYEYTASGSSRYSSLGDYPSSSYVDDPASSQSRNRRYDEYRPDLVRYPDSRSRQEEIVRIERYPEPRFAHEPRQDTGRHLDLGYVQERNSNIERSAQVAFSSREGGYLSASRYNTNIVPEFSSRSSAEYSTACQQVRFDPFTGEPYKFDPYTGEPIRPESNPLRSGSLY >ORGLA12G0204000.1 pep scaffold:AGI1.1:Oglab12_unplaced176:11471:12526:-1 gene:ORGLA12G0204000 transcript:ORGLA12G0204000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGFHGAAAASPTTVARAGRVMRAAVAAFFHGYHCYTSVAGLLVLPFSAAVLVSGAMASPSSGALAAVSARIRCMFDAAGFPPSSFFALLNAKLSQTVFTFAAALPFTLTFLLLAKACVAAMLRPDDDGEGVERRGRGVARATRLPPCGSVAGAYPAMVATHLFGAFVMLSANAAVFSLMFLAFNGADLLGLTTTSHAAATLALSAAGAIAYSVAVGIATVVCNLAVVVSAMERRAGHAAVLRACVVIRGRVPTALALALPTNLGMAAAEALFQLRVVSQAQRHRLAGAGDGKRLAAGVAGEAFSIAYIHALCVVLEIIVSCMFYRSCRRSEADELRELEPEEKGDLQA >ORGLA12G0203900.1 pep scaffold:AGI1.1:Oglab12_unplaced176:2624:2974:1 gene:ORGLA12G0203900 transcript:ORGLA12G0203900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVSGAMMDPGIILHGKARRRKDPTWHGEARGRRIRPPLRAPRTRIRLRWCLSGVDEGDSTADPVTERLRATAEAAADRVAAPCLTHTAATSAAASSNTEGHGGAAANTSMTLRR >ORGLA12G0203800.1 pep scaffold:AGI1.1:Oglab12_unplaced162:391045:394554:-1 gene:ORGLA12G0203800 transcript:ORGLA12G0203800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRSQKLCCIYRRYRGKVLSNAPIVRASDAGSRAAPGEVVHEEAKSDGSNVTFHLTQLQWHHSELDSENGLVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAMSNSAGTQLMHCEDASSIADAIQKFERIFDGSCVAQAIGQYLKRDANKMDRPNQADIQESEKPKIPSPESCDVSDVKVDETKTRNEGIKILTKLRRGEDACNTLKSSKEGDKAHESIFKSLTPVCTPRHANKVQPLAVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIHPRAGLLVPQGSEKISESCWSVLEPSTFKLRGETFFKDKKKLHAPGSSPYTPIGVDMFMSPRKIHHIAQHIELPSAGPSEKIPSLLIVNIQMPTYPTAMFLGDSDGEGISLVLYFKLNENYEKEISPLFLDSIKRLVNDEIEKVKGFPLDSTVPYRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPENVLCCVRLNRVDFVDHGQIPTLLPCDDDD >ORGLA12G0203700.1 pep scaffold:AGI1.1:Oglab12_unplaced162:388581:389455:1 gene:ORGLA12G0203700 transcript:ORGLA12G0203700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWAQVADAFTSSESYNNRFKEPTATAGAAAAAATKEVKSSKKHKVGGGRLHRVGFSGPLLSQPRRIEELLQNHEQQIRRAGRRSWFRKGSKKEQQ >ORGLA12G0203600.1 pep scaffold:AGI1.1:Oglab12_unplaced162:387370:388317:1 gene:ORGLA12G0203600 transcript:ORGLA12G0203600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLLLGLEHCHARGVMHRDIKCANLLVSGGGELKVADFGLANVFDASSAAAMTSRVVTLWYRPPELLLGATAYDASVDLWSAGCVFAEMHARRPILQGRTEVEQIHRIFKLCGSPGDAYWRRAAAGGGGGAGFRPQQPYESRLRETFGGMMGDDAFSLLSKLLSVEPSARGTATEALASEVGDHAAKVFVRGHSWLNFLFRVDLRSISGRSRTPASHRACPSTRPTRRWTPSFEKILEGGSMSAAGTTAASAAARRRSGCHGGTRACRTPPPPPPRPPSSPARGTATATSTPRSRSPAPTAARRGCSSTCNPCR >ORGLA12G0203500.1 pep scaffold:AGI1.1:Oglab12_unplaced162:379599:382178:1 gene:ORGLA12G0203500 transcript:ORGLA12G0203500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVESKTYLPGYFTMADSSVNSNGNWLSYHEESKPSGHVSDSFTITTVNASPDYDKEMLKRTMLVHEATFRKQVYELHRLYKTQKDLMAQFQREECNGYPRSADMLQARSYSSQATSRDVKRVWQVMPPTSGNDIKQSSINFAKGSEYGRNGAPLMNNNNGRSTKKMLDLQLPADAYADDDDDDDDDVEILEEKPAKILPRINGSVVGGIVKLNVGNSEGSSHMEKSWIASLHPQHVSTVNVLNKAVEESSSMKMPDFLGVGTSTSQSQRYSSGRVNLNHLSLEDTMKEKRIGEASGSNFFGANEEVKRNSSFNNKTDYQNVSMGWFKQEPNGINFSAAHYLPRCNPFNQLIDAPTSSNAAVKSPWQSSNTSYTANGHYGSVYTPFAQNGFFNGFSVDSINTPMATNHYHNQRSSKFPGEPQYQKHSPLHGVNLNDTPQDVTAIQEQGSENSPVDISWLRKDPVDLMKSQVQPSCANGQSQISLGSTAYSEGSTRVLGFPINAAAERNTEPLIKREADMEMHKKDDANARNLIDLNAAPSMDEPDIDVHQSEGGTVPQQPDDPSEDSLARTAAESLVALCKDVFQAGSPLADILHWFADLAIASKEDAVVCSSESDSDDEFEALTLQLEETKGYELYSTPKTPVEHKSNEDHGSVAASLLQTKPRRGRARKRPQKKDFQKDILPNLASLSKHEVSEDLHTLGRSTPSKRGGRNGSQSRGRRRARSVAIAVEEVEVSPPPAPAPPPPPPADLDANALGITGWGRTTRRCRRPRCPPANNASLRLA >ORGLA12G0203400.1 pep scaffold:AGI1.1:Oglab12_unplaced162:361155:362630:1 gene:ORGLA12G0203400 transcript:ORGLA12G0203400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G29230) TAIR;Acc:AT3G29230] MPRRDSFTYSFLIKALSAAGVAPVRAVHSHVVKLGSVEDTFVGNALIDAYSKNGGFSDARKVFDEMPERDVVSWNTAMAAMVREGELAGARKLFDEMPEKDTVSWNTILDGYTKAGEVEAAFELFQRMPERNVVSWSTMVSGYCKKGDLEMARVIFDKMPSKNLVTWTIMVSACAQKGLVDEAGKLFAQMKEASVELDVAAVVSILAACAESGSLSLGKRIHRYVRKRNLGRSTHVCNALIDMFCKCGCVNRADYVFDTETVQKDSVSWNSIIGGFAMHGHGDKALELFAQMKQQGFNPDAVTMINVLSACTHMGFVDEGRRYFSNMERDYGIMPQIEHYGCMIDLLGRGGLIKEAVDLIKSMPWEPNEVIWGSLLSACRLHKNVEYAEIAVNELSKLQPSNAGNYAVLSNIYAEAGQWSDMAKARMQMKGTGSQKTAGSSWVELNETFHEFTVGDRKHQDSDQISEMVDRLSSHVKHVGCVPAGHELLVQ >ORGLA12G0203300.1 pep scaffold:AGI1.1:Oglab12_unplaced162:353573:357006:-1 gene:ORGLA12G0203300 transcript:ORGLA12G0203300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase [Source:UniProtKB/TrEMBL;Acc:I1R927] MELPLDKAAARSTPLALPAAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVEESKEGVEESNKSNVLTIGSIRSTLMRHEDTIIFGLLERSQFCYNPDTYDPNASRIVGFNGSLVEFMVKKTEKMHARMGRYKSPDEHPFFPENLLEVVEPSVEYENVLHPAAANININKRIWDVYFGDLLPRLVKEGSDGNCGSSACWDMLILQALSKRIHYGKYVAEAKFQGAPDTYTPAILNKDSDKLMELLTFAKVEDDVRARVMSKAMTFGQVVSEDLENEIKLKIEPELAVELYDKWIMPLTKEVQVQYLLKRLD >ORGLA12G0203200.1 pep scaffold:AGI1.1:Oglab12_unplaced162:347916:350100:-1 gene:ORGLA12G0203200 transcript:ORGLA12G0203200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEVVKEVIIVSTPESSKSTSGDFPVNSLENVDVSSVPSDLKRKEKSVPHYLRASTGSCHDSCKFGAHHSPEPKKYWPVRRRRHQDRANAGCGKQGQDEIQTQKGRSRNKDLELKICLVKDGNVHDKPEFIEVKKPPIEMASDNSETSPCVQDQLSSAEESKRVEDGADLPCGDDKFLIPDGNAACFVDGESSEGAVSIELEMPLAIQDSDASDDHIADAISPPECVYKAGEQLLVDDMCDDGSGNECAGSEKKSTQIVMPSEKREKSGHGTKSKSLYNVSVKPKVKETSTATRSNASSQKIVRTSDRKASGTTIESSNGSKVVRATKFNRDKKFRSTVASNVPKVKEIKVTSPATVMDQSSKPTRKSKLKSLVANDAPSPSVNSEKQTDRKMTVMNVAKNARVWQKKEEEKISPVKLSRSINLSSKSLLSIKMRAVKKEKPASLVKSNKKVYGAENAVADVKEKNLKSASPKVRKVEVSKKESRSQKENSGTPRTENTRQPKSSTIPAQSPRKLTFRRGKVLNLQSNSESSSTPRRLRFRPAKTVEDSNRSKESTRGRRKSDSAASSGSKDSGSSKPEVVILRHQDVRDKKKNEQGLLNNVIEETASKLVETRKSKVKALVGAFETVISLQESKVAPVTAAALS >ORGLA12G0203100.1 pep scaffold:AGI1.1:Oglab12_unplaced162:341124:344070:-1 gene:ORGLA12G0203100 transcript:ORGLA12G0203100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWFLIPLLLCFPTLIRSEDYSDVTIIVRGAETIASTSNEFICATIDWWPPEKCNYDQCPWGQASILNLDLTNPLLAKAIQAFSPLRIRLGGSLQDQVVYGTPNLGSPCTPFSKSSSGLFGFSQGCITMERWDAINKIFMDTGAVVTFGLNALQGRQQMGRGVWGGAWNSSNAREFMEYTVSMNYPIDSWEFGNELSGSGIGASVGAEQYGKDIIELKNIISQLYGNSRKPLVVAPGGFYDQKWYAQLLDISGPNVLDAMTHHIYNLGAGNDPQVANRILNPQYLSQSSDTFRDLQMTIQRHGPWSAPWVGEAGGAYNSGSRKVSNTFLNSFWYLDQLGQSAKYDTKVYCRQTLIGGNYGLLDTETFVPNPDYYSALLWHRLMGKGVISLDTSGSSYLRAYAHCGKQKGGVALLMLNLNKNMGFMVSVRNDLNINFREMAGIKRDNSFVHGLKRTVSWVGSKASDGLEKREEYHLTPQDGNPFARTVLLNGAPLQLTGDGDIPSLPPVMVSVNSPIYVAPLSIAFVVFPDFEAEGCER >ORGLA12G0203000.1 pep scaffold:AGI1.1:Oglab12_unplaced162:335603:340032:1 gene:ORGLA12G0203000 transcript:ORGLA12G0203000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1R924] MQIRLSPSMRSITISSSGIGIGGGNGGGGGGGGGVGSGLLDLMKLKAAARHFSYRTVFHTVLILAFLLPFVFILTALVTLEGFNKCSSLDCLGRRLGPRLLGRGNDGSMRVMRDLYRMLDEINSEEVPVDLKVPDSFDEFIWDMKNNDYDLRSFAFRLKATMESMDRELRTSRLSEQLNKHYAAIAIPKGLHCLSLRLTDEYSSNALARKQLPPPELIPRLSDNSYLHFVLASDNILAASVVVSSTIRSSLKPGRIVFHVITDKKTYPAMHSWFALNTLSPAIVEVKGVHQFDWLTRENVPVLEAIETQHTVRSRFHGNHLARNSAGDSPRVFAAKLQAGSPTYTSVLNHIRIYLPELFPNLNKVVFLDDDVVVQRDLSSLWDIDLGGKVNGAVETCRGGDTWVMSKRFRNYFNFSHPLIANNFDPSECAWAYGMNIFDLSAWRKTSIKDKYHHWVRENLNSNFTLWRLGTLPPGLIAFRGHVHPIDPSWHLLGLGYQEKTDIPSVQKAAVIHYNGQSKPWLDIGFKHLQPFWTRHVNYSNEFIRNCHIMEPQL >ORGLA12G0202900.1 pep scaffold:AGI1.1:Oglab12_unplaced162:330853:331938:1 gene:ORGLA12G0202900 transcript:ORGLA12G0202900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEWDSPCQFCGKNFKRRGNLLNHELTCKYISDVHRQTESSGDAQARKDILGFHIPRQKRTVPTFPASDYAQGGINGATTSEAKVDRDPEEETDVLEALLLLREQPAYPGEETFSDGEPGAGNVDDEPAASNPDKVLTMCSDKGSSSPVECYGGDERRVGARAIAGVEEDDLNPNVGCRGVTDCVDESDVIQKQKKKPDLSVADLRDLVEMKQQNMKADTNIHDQGHPSVMQMQKNELGLDLLPHKNSSDHEVPTLSVSSMDDPDGLVSTDTNSDKETETVNVGIDINIPEQRESSVTRMQNEKLGLNLLPRNDSSGHEVPILSVSSTDDLNSLIPTDTSSDKDTNSNVADSLGHCPAQE >ORGLA12G0202800.1 pep scaffold:AGI1.1:Oglab12_unplaced162:325063:327161:1 gene:ORGLA12G0202800 transcript:ORGLA12G0202800.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPSGAEMASDVPQDDVQCHFCGTYLRPRSFRKHQQRCKYNPDALTRENLPASSIPASATRATHSEMASDGPQENCQCSFCHKDFEGRSSCAKHELQCKNNPDVHSQTEPSGNAQGGIGSLDVHVPRQKRTARNQPLPEQHLKKPASDYAQGGTSDAPETPPKPTTSEVKVDSAVNAPDITIPDNKDPSVMQKQKKKLDLNLQPHSDSSDQEEQADNFSSINDLSTIGTNSDKKKNTITGVADTNIPDHKDPSRMQMQIKLDLNLPPHDDSSSSMDDLKTLAPTDTNSDKETNTSNGGVDTNIPDHSDPSAMQTKNKLDFNLPPRNDSSDSLV >ORGLA12G0202700.1 pep scaffold:AGI1.1:Oglab12_unplaced162:322091:323182:1 gene:ORGLA12G0202700 transcript:ORGLA12G0202700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGKPWKHCCNKCDKSFRSGNALGGHMSCHRSVGNQPKSTSSPPTVVDLHMPLLSSCDDNLLLLPPETQCQMCSKVFSTSGSLREHMMMHGGEKVVVKAEEEAAGLIEALGIADSVQDVMVFSSVKRKRSFRSKRQTPALSLEEIEAADALLLLSGCFDKTSAYEDCYLGDIEDSSLRSIVLTEVNMNAVDRCSVRSVGSKEPINDNNSGYKDCYGQSDKENCLIVPKEEMDPNDFDHELVRDAALRKPRTDNSDEEMKFGDLPAAAMKDNSHRCNTCGKSFGSGQALGGHMRRHYVRKCNRQRGVADRTGSVLMKVQKLKLRLDPILFDVTLPALTDGDCCISVGVKPEPQLWCVTSNLQ >ORGLA12G0202600.1 pep scaffold:AGI1.1:Oglab12_unplaced162:308668:316151:-1 gene:ORGLA12G0202600 transcript:ORGLA12G0202600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRERDVEEETRNQMMQNLFGDQSEEEEEDDDEAVDFVDEDDHPHPHQQQQQLRHQVVDDDDDDDAHARSGYHSEEVEGEADNGGEGEAEGEGESEGQVGMEEESEAEAHRADLDQGESDGEKVQSSPERELSDQVMQNDAAGMDSEDEAYQQRPVASRRRGVVASESEGSEDDYYAGRAHEDEEPRQTRKASSSPVEEERDQEVVRDVFGESDEDEPAPYRDQQEIDEDSHRSPMEDEGHYEKDLQPDDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLKQPPAQPERMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSCESNARIVKWKDGTMQLLIGNEVLDISVHEAHHDQSHLFLRNGKGVLQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWIESKDPERVKQEKERALGQNIRAHSILQRKREKVSRKYTQPARQRRQLSPGFLEDALDEDEEPDHQYGSRRMPARSRFGDELEAEALAERRIVSAKKVSNSSACNPCYFYPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEPKRRRESGGASASQRRKEIDSDDDSPPRKQQAVHRRKAVVFDSDDE >ORGLA12G0202500.1 pep scaffold:AGI1.1:Oglab12_unplaced162:292061:293109:-1 gene:ORGLA12G0202500 transcript:ORGLA12G0202500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLAGLLAQDQDGDDQEVTTHAAAASTQGDANFTGGLDGVDDEASLWVQRKAQNPLVDLIRFADGLLNLTAAAIGAASVEYDVHPNGGQGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA12G0202400.1 pep scaffold:AGI1.1:Oglab12_unplaced162:282983:284069:-1 gene:ORGLA12G0202400 transcript:ORGLA12G0202400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLHSPAAAAPLAYTGLSEEESTNDSFKNQDLFDVVASCVKQTAGAGQQQPSFSYPAASTPISVLQVLRPRDPNLNFAAVLVGEGSRKRKDEPNGCGAHHLGKENMEKDQPSKDMATCVHCSKVYTAKSTNGTSHLRRHLTSKCLKRKGLTEELAKLTSTKKARKIR >ORGLA12G0202300.1 pep scaffold:AGI1.1:Oglab12_unplaced162:268413:268766:-1 gene:ORGLA12G0202300 transcript:ORGLA12G0202300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEWGDSGSGRARIRSSVAAASRSWRVSAGRGVGRLSVSPGGETPKTVAAAIDAMSSSTPLISF >ORGLA12G0202200.1 pep scaffold:AGI1.1:Oglab12_unplaced162:262439:266300:-1 gene:ORGLA12G0202200 transcript:ORGLA12G0202200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGVCMAAAEAAAALEGSEPVDLVKHPSGIIPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFAAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQ >ORGLA12G0202100.1 pep scaffold:AGI1.1:Oglab12_unplaced162:258034:259524:-1 gene:ORGLA12G0202100 transcript:ORGLA12G0202100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease family protein [Source:Projected from Arabidopsis thaliana (AT3G19553) TAIR;Acc:AT3G19553] MTGAGEAAPARRRGLTVLPLVALIFYDVSGGPFGIEDSVRAGGGALLPILGFLVLPVLWSLPEALVTAELASAFPTNAGYVAWVSAAFGPAAAFLVGFSKWASGTLDNALYPVLFLDYLRSGGGLVLSPPARSLAVLALTAALTYLNFRGLHLVGLSALALTAFSLSPFVALAVLAAPKIRPSRWLAVNVAAVEPRAYFNSMFWNLNYWDKASTLAGEVEEPRKTFPKAVFGAVGLVVGAYLIPLLAGTGALPSETAGEWTDGFFSVVGDRIGGPWLRVWIQAAAAMSNMGLFEAEMSGDSFQLLGMAEMGMIPAIFARRSRHGTPTYSILCSATGVVILSFMSFQEIVEFLNFLYGLGMLAVFAAFVKLRVKDPDLPRPYRIPVGAAGAAAMCVPPVVLITTVMCLASARTLVVSAAVAVAGVAMYYGVEHMKATGCVEFLTPVPPDSLRGSSSSSSSSAASDNGGDDDVEDVCALLLAAGEHAGEGVSVSKENY >ORGLA12G0202000.1 pep scaffold:AGI1.1:Oglab12_unplaced162:251322:252347:-1 gene:ORGLA12G0202000 transcript:ORGLA12G0202000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPVAKKVAARVDTMEIKSQIAKKLGAERSEHYFHSLKKFLGGQLGKEEFDKICVATMGRDNIKYHNFLIRSILSNAYSATAPPPPPPPSRQATTGNSQTSTVSVSNGAVANHGVMAGVMRGPALATREARFERPSPLGKSPLGHQGTGEFVSAGSKAPLEVVSVEDGEEVNQAGGSPVYAQSRSPIRAPLGVSFGDPKAQNSWPSIPHPSLICYKNGELPEAQRLLKLLENKLQAEGLSLTQECADVLNSGLNAYLSRLLKSCMGVAKSRGKRVMMNYPNVTTVAVINGVQYQRSTGSADYSYQASLLDLETAVVCNPQLLGGNSSRVRDKISAHLLNG >ORGLA12G0201900.1 pep scaffold:AGI1.1:Oglab12_unplaced162:243519:244243:-1 gene:ORGLA12G0201900 transcript:ORGLA12G0201900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMDKLVRQCDMEVMKMAMLKHEETFRQQVHELHRLYRIQRQLMSDLTMAELSSGHRRRQPRRSSKQPRRALNLQLPADEYIVSADAADDNDDTAELDLTLAVGGGRSSRKCNAAIAAAAAAAGGSSPFASDCSGSGLSSSPSSAEYSDGAAMFLHAPPPMPPPCQRAMAFDLAMGDAMKQQQSPWLVQCQYLSLRMT >ORGLA12G0201800.1 pep scaffold:AGI1.1:Oglab12_unplaced162:233322:236879:-1 gene:ORGLA12G0201800 transcript:ORGLA12G0201800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASKLVYFQRRRPSPAPPEPEPEPPDPRRRPCRAGAGASAARRRKPGQEHVPGSKRDTSKGVASAGNITGQAGSTGSSSRLNRSVSDHGRLPDSVQQARERLLQRLNSVDLSGRRQNTSLSSETIHGGVAPGVSTTADSIFSSLTSCFHTDVSIAPCKLQESTAETFNTADKHTFIAHCSEPAPTQEVASCRVTDDDELAGPSTECSICLERCGDADGLLELRCKHIFHSACLERWLRSRSDCPYCRASVLLTAEG >ORGLA12G0201700.1 pep scaffold:AGI1.1:Oglab12_unplaced162:228472:231208:-1 gene:ORGLA12G0201700 transcript:ORGLA12G0201700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRWLARPAERCLGRGGGGGGGGGGDGLLWHAELKPHASGEYSIAVAQANEELEDQGQVVTSPAATFVGVYDGHGGPEASRFISSRLFPHLHRFASEQGGMSTDAIKRAFHATEEEFLDMVKRSWLKQPQIASVGSCCLVGAITDNVLYVANLGDSRAVLGRRGPDGREVVAERLSNDHNVAEEEVRKELTEQHPDDSRIVIYTRGVWRIKGIIQVSRSIGDVYLKKPEFARDPIFRQYVCSIPLKRPVMTAEPSIKEHQLRQQDLFLIFASDGLWEQLTDKAAVDIVFKNPRAGIAKRLVRAALTEAARKREMRYTDIKHIERGSRRNFHDDITVVVVYLDHHKHGVRPNLGNRNSFRFTNAPVDIFSGSSEEVDHHPLRLNLAMDGAVG >ORGLA12G0201600.1 pep scaffold:AGI1.1:Oglab12_unplaced162:218305:218619:1 gene:ORGLA12G0201600 transcript:ORGLA12G0201600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHAGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSIDCFIPPTDIKSGSISETVELPHGEKSKVGLLQCHSAKVAALEKADDNVAWSGALQRDFK >ORGLA12G0201500.1 pep scaffold:AGI1.1:Oglab12_unplaced162:193686:199399:1 gene:ORGLA12G0201500 transcript:ORGLA12G0201500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLRLHHLLLSILSALPTCPLDHSTPTSAAAAAAATASHHHVVTTLPGYDGELPFRLETGYVAVDEEEHGAELFYYFVESESGDPRRDPLLLWLTGGARCSVLSGVFFEVGPVRLALEHHRPYDAGELPRLRYHPHGWTKAASVLFVDSPVGAGWSFSRHPDGYLVGDVSASLQLKHFLAKHLLTDSDLGNRILVSRSLGYLVGNPVTGESIDFDSRVPYAHGVGIISDQLYETIMEHCQGEDYSNPKNAICRQALDRFNELLGESSGGHILYNYCIYDSDIDGSIQEKPKIPPFPPRECIGSVDEWLRCHNGDLPYSMDIKSNIKFHHNVTTKGYRALVYSGDHDAMIPFLGTQAWVRSLNFSVVDDWRAWHVDGQSAGFTITYMNNMTFATVKGGSHTVPEFEPERSLAMFKRWISNEPL >ORGLA12G0201400.1 pep scaffold:AGI1.1:Oglab12_unplaced162:184688:188183:1 gene:ORGLA12G0201400 transcript:ORGLA12G0201400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPAAATATASSAATPADDEAHSLLPSTPSDEEDDDDLEERAYEATEKVIVSISDFPDADDDEEESGLATSTAASGIPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGDTLLWLLLWATSMGLLVQLLAARVGVATGRHLAELCRDEYPSWARRALWLMAEVAMVGADIQEVIGSAIAIKILSRGFLPLWAGVVITALDCFIFLSLENYGVRKLEAVFAILIATMAVSFAWMFTDTKPNMKNLFIGILVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPNKEHQVREALRYYSIESTIALAVSFMINLFVTTVFAKGFYGTKEAGNIGLENAGQYLQEKFGGGFFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLKLKKWIRSLITRSFAIVPTIIVALFFDKSDSLDVLNEWLNVLQSIQIPFALIPLITLVSKEKVMGVFKIGRNTQAVTWTVATLLITINGYLLLDFFSSEIRGLLSGSILCVAVLAYASFVLYLILRGTELPNQIITTIRKSFS >ORGLA12G0201300.1 pep scaffold:AGI1.1:Oglab12_unplaced162:168365:171759:-1 gene:ORGLA12G0201300 transcript:ORGLA12G0201300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSGILAPTRGASSSSSAVGRKLLLLGARHPPSSVAVAGRGVWRRGLAGVGVASAAASSSSPDELHARGRPLRGGAYEEVSERAQPRGLNSMFLLHVIRNRRIEAKRSALWNLIKDIEPLDLSIIQKDVPSETVDAMKRTVSGMLGLLPSDQFHVVIESLWNPFFKLLASSIMTGYTLFNAQYRLSLERTLEFSEEETECKKRDSCEEIHSVGRPSMFLSLPEDVGLTIKSEMADEKLCGNMDGLGSLSIEAKKLILGMQSRLDSMEKELHELKKKNSSQQMQQFAGEEKNELLYYLRSLSPEKVVELSESSCPGVEEAVYSVVHGLLATLSPKMHTNRSPTSENMAGGAVNFGMEEDDEFTELVEDVSLPFQPLISIPRDRLARLLFWCMMLGHYIRGQECRLELMHLLAVSSDAHS >ORGLA12G0201200.1 pep scaffold:AGI1.1:Oglab12_unplaced162:165214:166953:-1 gene:ORGLA12G0201200 transcript:ORGLA12G0201200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENSTPASAARLTRSSAAAGAQAKRSAAAGVADGGAPPAKRKRVALSDLPTLSNAVVVAPRQPHHPVVIKPSSKQPEPAAEAAAPSGGGGGSPTSSASTSTASPSSGWDPQYASDIYTYLRSMEVEARRQSAADYIEAVQVDVTANMRAILVDWLVEVADEYKLVADTLYLAVSYLDRYLSAHPLRRNRLQLLGVAAMLIAAKYEEISPPHVEDFCYITDNTYTRQEVVKMESDILKLLEFEMGNPTIKTFLRRFTRSCQEDKKRSSLLLEFMGSYLAELSLLDYGCLRFLPSVVAASVVFVAKLNIDPYTNPWSKKMQKLTGYKVSELKDCISAIHDLQLRKKCSTLTAIRDKYKQHKFKCVSTLLPPVDIPASYLQDLTE >ORGLA12G0201100.1 pep scaffold:AGI1.1:Oglab12_unplaced162:163145:163741:1 gene:ORGLA12G0201100 transcript:ORGLA12G0201100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQADESSVNKESSEQQQQQQLTSEQDDDGATWLSLTLATQGSPEEATAEAEETEAANCSESEAPKPSSAPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSYHAQRMMMGLPLEAHAAFVHSLRVNQSSVIQKASQQAQIRTAPRFHEGSISWPPIAYEEVPNSTWPGSFRLRSQPSDQPSEQSKIDLNLRL >ORGLA12G0201000.1 pep scaffold:AGI1.1:Oglab12_unplaced162:153733:155212:1 gene:ORGLA12G0201000 transcript:ORGLA12G0201000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTLRPRLFELVLNVMLRAATTRRRHASVDARKLQEIIEETFSVNGTPSVGDFFPALRWVDRLRGKVGSLKKLQARRDAMVTGLIDDHRQWRSGSAGDGDQDKEKKGVIDALLALQETDPDHYTDNVVKGIILSLLFAGTDTSALTIEWAMAQLVTHPETMKKARAEIDANVGTARLVEEADMANLPYIQCVIKETLRLRTAGPVIPAHEAMEDTTVGGFRVARGTMVLVNACAIHRDGDVWDAPEEFRPERFVDSDAGGAVTAPMMPFGLGRRRCPEEGLAVRVIGVSVAALVQCFDWEVGDDDVVDMTEGGGLTMPMATPLAAVCRPREFVKTILSTSM >ORGLA12G0200900.1 pep scaffold:AGI1.1:Oglab12_unplaced162:148492:149365:-1 gene:ORGLA12G0200900 transcript:ORGLA12G0200900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWGCGGHDGGCGSQRQISSLRRCRREEEVVFVEEDEQKQEEQKQKHRMHSTHASTKRAQTATPEIVFFWDKDFPPEIDSLWDGGSKSLRM >ORGLA12G0200800.1 pep scaffold:AGI1.1:Oglab12_unplaced162:145878:146375:1 gene:ORGLA12G0200800 transcript:ORGLA12G0200800.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAIKSLILAAHCSTERTMRARLDGFKNRLQAKDDEIGRKNLEMEALANTLKEAQAENKRLQSELEKGREARAEVDHLKAELEKEKAHSTVLTDYYNLTEPKMEALRQEVSKAEASAAEESRRFSREMAKTT >ORGLA12G0200700.1 pep scaffold:AGI1.1:Oglab12_unplaced162:138949:139323:1 gene:ORGLA12G0200700 transcript:ORGLA12G0200700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDQRLYKARSDLELEAVVVGADSDPPAGDEVVDDEVAGRLPGHLPQLDGAELDADRHARPHRERHEPGLLLLQQLRHHGAVQLRLAGDGDGEDEHGEQRPAHAPTAPPPAAPAPTPTGWIRW >ORGLA12G0200600.1 pep scaffold:AGI1.1:Oglab12_unplaced162:128317:130457:1 gene:ORGLA12G0200600 transcript:ORGLA12G0200600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFIHLVHGDLTSLRLRLSSPPPPHMASLPSPILPSSTTQWRRWPAHPESSSAASAVVVGVKPAAYGFVASVIMSMVAGERMAEEDVLRFKAITEAGLAAAGAANRQDFLPFLRLLDFGRARRRLAGIAKERHDFGQRIVDEYRRRHRRRLAVAADDSSSSPPRRTVIGDLLRQQESSPESYADEVIRTVCLSLLQAGTDTSASTIEWAMALLLNNPDVLRKATDEIDSVVGMSRLLQEPDLANLPYLRCIITETLRLYPLAPHLVPHEASRDCMVAGHVIARGTMVLVDVYSMQRDPRVWEDPDKFIPERFKGFKVDGSGWMMPFGMGRRKCPGEGLALRTVGMALGVMIQCFQWERVGKKKVDMSEGSGLTMPMAVPLMAMCLPRVEMESVLKSL >ORGLA12G0200500.1 pep scaffold:AGI1.1:Oglab12_unplaced162:117616:123292:-1 gene:ORGLA12G0200500 transcript:ORGLA12G0200500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALATSVGINLGLTVLLAAAYTLLRRRPPYVAVYSPRRPYAPPEPWLPAAWRRTEADVHAAAGLDGVVFLRIFVFSIRVFAAAAVVGVGVLMPVNFMGDQLRQIDFSDLPNKSVDLFSVSNVQDGSNKLWLHFSAVYIITGITCYLLYYEYKYISGKRLEYFMTSKPLPQHFTVLVRAIPVTNGVSVSDAVDKFFKEYHSSTYLSHTVVHQTGKLRRLLNDTENICTKLANLKSVRRTSGDPPGKFLGIFGRNDLVGKYQKRLEDLEENVRMEQSDTTRSRQEVPAAFVSFRSRYGAANAIYIRQSDKPTEWQTEHAPDPHDVYWPFFSTSFMDRWISKFVVSVASILLILVFLLVSAFVQGLTYMEQLETWLPFLKNILEIAVVSQLVTGYLPSVILHFLSSYVPSIMKLFSTMQGFISVSGIERSACNKMLRFTIWSVFFANVLTGSVLGQLEIFLDPKEIPKRLAVVVPAQASFFITYVVTSWTSIASELTQTAALLFHLWGSCAKCCKRDESKPPSMHYHSEIPRVLLFGLLGLTYFIVSPLILPFVLVYFCLGYFIYRNQLFNVYSPKYDTGGRFWPIVHGGTIFSLVLMHVIAIGVFGLKKLPLASSLLVPLPVLTLLFNEYCRNRFLPIFEAYSTESLIKKDREEESKPEMAEFFRNLVNAYCDPAMKPIQHSSNSDDRTTPLLS >ORGLA12G0200400.1 pep scaffold:AGI1.1:Oglab12_unplaced162:110765:111976:-1 gene:ORGLA12G0200400 transcript:ORGLA12G0200400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLQPHSLTQAKLAIDRSSSMPPSMATARVASPSTDRKRKASSEDDIDAAAAAAAALTSPPAPARGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPRPSPPPAAVAPPETTTTPALPSKVTNLLLLRLKARNQQLVDAGAAAPQEAALLQLQQQTPASCQEVFVRSHGEEYGFHVDDFLSDACSNEQYSGDSSLGLDDDDGEVEDEEEEELDFQFMDVAPGASSAAAEAGLGGEGALCSPFEMVAAELGGAVDVAAHDAMRQMDYERKISASLYALTGVSECLRIRAAAGATAAARDHLTGLREACRKKQKFAAAAAAPPQQQEPSPPPQQPETPASSGGGMSETPSGGGGDSDVLMWSSLDLAPICHMA >ORGLA12G0200300.1 pep scaffold:AGI1.1:Oglab12_unplaced162:106478:109692:1 gene:ORGLA12G0200300 transcript:ORGLA12G0200300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40190) TAIR;Acc:AT2G40190] MAFLAGLAALLAALLAAAFRCIRRHPHPAPAAGFFHPYTNDGGGGERVLWCAVRAAQELCPGLPCAVFTGDADASPDGLAARALDRFGVRLLRPPQVVHLNRRKWIEASTYPHFTMIGQSLGSVYLAWEALNKFTPQFYFDTSGYAFTYPLARLFGCKVICYTHYPTISSDMVERVKQRSSMYNNDSRIAGSIWLSRCKILYYSIFSWLYGLVGSCAHLVMVNSSWTRSHIENIWRIPERTRRVYPPCDTSALQMLPLERSTTPPILISVAQFRPEKAHELQLEAFAIALKKLSPEFPKPKLQFVGSCRNKEDLERLQKLKDRSTELHIDELVEFHKDISYRDLVQLLGGAVAGLHSMTDEHFGISVVEYMAAGAIPIAHKSAGPMMDIVLDEDGQQTGFLASEKEEYAEAIVKVLRMPEAERHEMATAARKRAQRFSEHRFHEDFTDAVRPILSAT >ORGLA12G0200200.1 pep scaffold:AGI1.1:Oglab12_unplaced162:100852:102192:-1 gene:ORGLA12G0200200 transcript:ORGLA12G0200200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLFVLVLIMCSTTALITCTNGGAGDGGEGLHMKLTHVDAKGNYTAEELVRRAVAAGKQRLAFLDAAMAGGGDGGGVGAPVRWATLQYVAEYLIGDPPQRAEALIDTGSDLVWTQCSTCLRKVCARQALPYYNSSASSTFAPVPCAARICAANDDIIHYCDLAAGCSVIAGYGAGVVAGTLGTEAFAFQSGTAELAFGCVTFTRIVQGALHGASGLIGLGRGRLSLVSQTGATKFSYCLTPYFHNNGATGHLFVGASASLGGHGDVMTTQFVKGPKGSPFYYLPLIGLTVGETRLPIPATVFDLREVAPGLFSGGVIIDSGSPFTSLVHDAYDALASELAARLNGSLVAPPPDADDGALCVARRDVGRVVPAVVFHFRGGADMAVPAESYWAPVDKAAACMAIASAGPYRRQSVIGNYQQQNMRVLYDLANGDFSFQPADCSAL >ORGLA12G0200100.1 pep scaffold:AGI1.1:Oglab12_unplaced162:97163:99263:-1 gene:ORGLA12G0200100 transcript:ORGLA12G0200100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTTAAQPTSRRGGLSTPRTRHGFGCGVDKMNRLRLAIPSASKNVSRCGKLAICRAQSEDSKGGGGFLTGFLIGGAIFGTLGYVFAPQISKTLDSLLDENGQDSESDEHGLVKPRYGRYYDEGLEKTRQTLGDKISQLNLAIDKAASRLKRVAGNVEKEAINDESEIEISSFNDNELVAENLNEQGFVQGETAT >ORGLA12G0200000.1 pep scaffold:AGI1.1:Oglab12_unplaced162:91221:95917:1 gene:ORGLA12G0200000 transcript:ORGLA12G0200000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDVFQTEGNNIRFVATELATDIVIIVGEVKFYLHKFPLLSKSSRLQTLVASTNEESNDEIDISDIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSSSIFRTWKDSIIVLQTTKSLLPWSENLKVINHCVDSIATKASIDPSEVEWSYTYNRRKLPSENGLDSHWNGVRKQQMVPRDWWVEDLCDLEMCLYKKVIMAIKAKGRISSEVIGEALRAYAHRRLFSSLESAVSNGLDCTRHSAALETIISLLPSEEGSVPCSFLLKLLRASCLLGSDEACRDNLTKRIGAKLDEASVSDLLIPANSDEAAMYNVDMISAMLEEFMAQHHEDDDGAKLQEDDDQEAMDGDDDNLNGVSRSSKLAIAKLVDGYLAEIAKDPNLPLSKFIALTEMVPLATRPVHDGLYRAIDMYLKEHPGLTKGEKKRLCGLMDCKKLSPEASMHAVQNERLPLRVVVQVLFFEQVRAASSASAAAAADMPPAARSLLPREQDGNSYGSSRSTATEDDQWAPPPPTTSVDVTSFRSMSLANNKNGGVSGGGGDQAACKKPPPSSASAKGSGGGGLMPKKILSKLWSGKASSGENSSSDTSESPGEETRSTPSRNTRHSVS >ORGLA12G0199900.1 pep scaffold:AGI1.1:Oglab12_unplaced162:76490:77239:1 gene:ORGLA12G0199900 transcript:ORGLA12G0199900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVLEASRSSSEEEAEVIVTHGGGGGGGGGGGQVEGWGKRKRSRRRRPQLPPSEEEYLALCLLMLARGRRDGDDVAASAAAAAAAVEHRCSVCGKAFASYQALGGHKASHRKPPPPPPAMVDDDEVVVETKPAAIATPSSSASGVSGGGGGRAHECNVCGKAFPTGQALGGHKRCHYDGTIGSAAGAGASKPAAKTTVAVAASRGFDLNLPALPDVAAAADQRCAAEDDEVLSPLAFKKPRLMIPA >ORGLA12G0199800.1 pep scaffold:AGI1.1:Oglab12_unplaced162:69547:71757:1 gene:ORGLA12G0199800 transcript:ORGLA12G0199800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYGMKQMKRQKDGKVGTDLVLLGSYMKLCISDSGQRRIKKVIMMWMMMTTLVFGQGREREMASERVKGMVMWFDVIGGFCPSNGGDHGYGWGDCGYGGGGRYDGKEAAVRATSVARRTTWPRTPP >ORGLA12G0199700.1 pep scaffold:AGI1.1:Oglab12_unplaced162:62504:67057:-1 gene:ORGLA12G0199700 transcript:ORGLA12G0199700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT2G26510) TAIR;Acc:AT2G26510] MGEMNQPPPPPVAVPPPMVMQPIMHPPVGTGAVFPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGTPGDKARVIQSFLFMSGINTLLQTLVGTRLPTVMNASFAFVVPVLSIAKDFEQNNYASSHQRFTHTMRATQGALIVASILNMILGFSTIWGAFARKFSPVIMTPVVCVVGLGLFALGFPQVGKCVEIGLPMLILAVVVQQYVPYYFHHYHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLISSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPASVLSRSVGLQGIGMFLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIFCILFGIVAAVGVSYMQFANKNSMRNIYIIGLSLFLGISVPQYFHEYTASASTGPARTNAGWFNDIINTVFASGPTVSLIVASILDNTLEFRGYENDRGLPWFMPFLHRRKGYSDPRNDEFYSFPIRVHDVIPSRFL >ORGLA12G0199600.1 pep scaffold:AGI1.1:ADWL01025454.1:14483:15004:-1 gene:ORGLA12G0199600 transcript:ORGLA12G0199600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFRNVLLRKCKSLSRSLSRSSSYRNLRSMSTREHVVAGGDGGIVGGGDSKAVSAGGDGAVVFVGSSRRRYVISNKHLSHPLIAALIDDDVPAAAAGDGKEGGGGGGVVERRRSTSAATTTSSSTKPIAVNCEVVLFDHLLWMLDNAVDLRAGGDGDDDDAAMRELAQLYAY >ORGLA12G0199500.1 pep scaffold:AGI1.1:ADWL01025454.1:8814:11095:-1 gene:ORGLA12G0199500 transcript:ORGLA12G0199500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20760) TAIR;Acc:AT4G20760] MATARTLAAAAGAARAFSSTATSGGGVSMVQGASRGIGLEFVRQLLKRSDEGRVVATCRAPDSAVELQKLRQEHEQRLAVLPLDVTDESTIEAAAASIGETHGSLNLLINATGILSIPNVIHPETTFSKVQKSSLLLAYEVNAVGPILVIKHMWPFLKAGGRSETGRGFSLVANMSARVGSIGDNGLGGWHSYRASKTALNQLTKTVSVELGKKDNIACILLHPGTVDTDLSRPFQKNVPKDKLFTREFSVQKLLSIIDNVKKSDNGKFFAWDGQEIPW >ORGLA12G0199400.1 pep scaffold:AGI1.1:Oglab12_unplaced160:828:1121:1 gene:ORGLA12G0199400 transcript:ORGLA12G0199400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHGDQFQPLSDQYNDRRGMMDTPEEQLRESQEHVSQLEEQLRAATISATGASTSTAVGCDRYFFLTPPYPPAFHALLGEASMLATESAPYLVDPTAT >ORGLA12G0199300.1 pep scaffold:AGI1.1:Oglab12_unplaced158:3557:5126:-1 gene:ORGLA12G0199300 transcript:ORGLA12G0199300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARALLFAAIICAALVMAVTAAADGEAAVIVVGQAKCGECTRKNMKAQDAFKGLQVAIKCKNSDGEYESKAIGDLDGDGAFSVPLAADDLHGAADCFAQLHSAASSTPCPGQEPSKIVPLSSTTDNGVDKANTFVAVAGKRMYSSTSPAECTSAFLYDYFHKHPFFDYFHKKPQGPEPKPDPKPTPLPANGGGGGAGNGGGGAEGNSGGAAPSPSSPPVYH >ORGLA12G0199200.1 pep scaffold:AGI1.1:ADWL01025446.1:5220:5633:-1 gene:ORGLA12G0199200 transcript:ORGLA12G0199200.1 gene_biotype:protein_coding transcript_biotype:protein_coding CIAQLHSANNEPCPGQEPSKIVPMSKGTFVAIAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPAKK >ORGLA12G0199100.1 pep scaffold:AGI1.1:Oglab12_unplaced156:342921:343064:1 gene:ORGLA12G0199100 transcript:ORGLA12G0199100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPATPTGFSPLLSLAHRNEPCYRKCPAIAHSGLWLVRLMSHNSSS >ORGLA12G0199000.1 pep scaffold:AGI1.1:Oglab12_unplaced156:306202:306720:1 gene:ORGLA12G0199000 transcript:ORGLA12G0199000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTSPARCCDVRGGAGAADGARVGEGPTGEIPARRMERPARHDGVPAKFGRRRGLAGEEDGVGDGVPVPGEVVATSAGAQEMRQRRPEAEQWRQRHCCWRGCTSGGLRRKWRAGRGRGGDCDAGGGDGTAGRRADAVAGVAGVGRQHGRERRVAGRGGEATGEIGRRLKR >ORGLA12G0198900.1 pep scaffold:AGI1.1:Oglab12_unplaced156:297398:298045:-1 gene:ORGLA12G0198900 transcript:ORGLA12G0198900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXDLDLVSDRERQAYYMLSDREYARMREYSPEMLKKIGMDAKFRAIWKAVGWQRFAVVDEPGSCLLTLQFLCTLKEIEDGIFFRFFREEFTLTWKGLSTLLGFHDSCKIDLQKGISRFEKNRFWEDISCAPNFKKPRPNDIHNPTPRLMHKWVAMTLFPRGDLRPIRGDELIIMFAMVRKIKIAPVKCMIRQWLESIKFSALV >ORGLA12G0198800.1 pep scaffold:AGI1.1:Oglab12_unplaced156:243073:243469:-1 gene:ORGLA12G0198800 transcript:ORGLA12G0198800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPATMVASALLLVLLLIFSPTCGAKLFTDGHAYCVILCAAVGLLGRLGHVVQRIVVETGPAG >ORGLA12G0198700.1 pep scaffold:AGI1.1:Oglab12_unplaced156:190514:191827:1 gene:ORGLA12G0198700 transcript:ORGLA12G0198700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSSGHESNQAGTLYLAVYGWGPSDDAITYYGLEATMDVYGFNLEHGQQTGGFISIYNKDEASAINNVIAGWNIEPESYNDSQTHFSTWFTQGSNACPDMRCPGFESVFSSEIVPGMVINPVSSTSSEKQYITVRVSKDPNSGDWQIYYGFNGDARLTGYYPRSLFTSLSYKPVTIMFGGYALKKRHKPSPPMGSGNAPIKNAASFTSVKFFDAGGNTHQINSALGYISNCYRVSDFEHDGFFYGGPGNFC >ORGLA12G0198600.1 pep scaffold:AGI1.1:Oglab12_unplaced156:177963:178724:-1 gene:ORGLA12G0198600 transcript:ORGLA12G0198600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SACYISTASPAMAAAAREDIHPATMAYIHHLVEVFRTTSFDEACYDQNYMGSDADIFRHRPGTTAVPDDVGGALDAIEEILRKGSPTLAADERLDILYNRTLQEETVGAVEDAVASMEAQVAGDRGTVDAKKLRLKAVRAAAAEYRDGLAALMTAADGAEEQEATAAVMSLLERLDAAESEAAALAADVDGFDGLVEQLAAARERLVEEKARLDAIPVPSGDHREDDVIVFRAADRFNRSVRVLREFVAQYDA >ORGLA12G0198500.1 pep scaffold:AGI1.1:Oglab12_unplaced156:172300:173064:-1 gene:ORGLA12G0198500 transcript:ORGLA12G0198500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVLVVVRLDAAAVDPATVAYLRDLVGALNGKTFQLACDSQIAAADAGMFRLRPETSLLAGVPDSVASAVNALEELLRKGSPALAAYERHATFLRRARQEEAVGAAMADVVAVNNLINDLQDALEARRVQLVAAQSTKCQIFNEITAAVRSPAVINEESRAWAAAELAALLPRLRQAQEREAEVEMAMARMMPSFLVMFWHLEIAKARVDAADAVLDAIPEMPSNWMDDFQVVCDGAMRFEENVSVLREYMA >ORGLA12G0198400.1 pep scaffold:AGI1.1:Oglab12_unplaced156:164800:165561:1 gene:ORGLA12G0198400 transcript:ORGLA12G0198400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDSTTAASAAAGVEPAALAYIRHLVEELEDTAFEDACSDQADEFNDGDLFDRRPEPSEVPAAVARALDGVEDLLWKGSPTLASYARQDARNRRLEQENVVAATAAAVADTGAAVDARRAAIAARLPRLRALRAAVLPGHRARLAALNLKTAGRNNPEVITAAVVSELERLNRAQXEEAAVAAAVDGLRASLARLLERLLFAMEEAEEEEAKLEAMGPELPVLAEDVGVLFRAQKRFLDCRRVLRQFVASTR >ORGLA12G0198300.1 pep scaffold:AGI1.1:Oglab12_unplaced156:154099:154896:1 gene:ORGLA12G0198300 transcript:ORGLA12G0198300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASPVIRLDAAAVDPATVAYLRDLVERLEGKCYHQASDVQIAADANADDADLFRLRPEPSLLAGVPEAVVSAINTLEELLRKGSPSLAAYGRHATRVRRLELQEAADAAMDELMSVNDVITDLHIAFRAKRAQLAAAQQAKGQIAAQIFAVVGAPATTRDSLARGAAALASLLPRLGAAHEREAELEMALGRMAPSFAPLNWNLEVATQRFEAADAAVHAVPHVAGSWRDDVQVVRDGATASRRARACSASTWRSASPDSDK >ORGLA12G0198200.1 pep scaffold:AGI1.1:Oglab12_unplaced156:137654:140534:1 gene:ORGLA12G0198200 transcript:ORGLA12G0198200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPREPHQRRRYADLAAPAARARAREGGRAGDGTGQDDAILALDWNLEVAKQHDEPTDTTVHAIPDVADSWHDDVQVSSATEGTTSSRASAINSLEELLRKGSLALVAYRRHVTRLKCIDLQEAINAAMYEVMSANNVITNLHLTFKAIGRSWSPRSKPSSRSPLRLSPWRLEIGARHLWVKRIELQDAAIEEVTSVNDVITDLHLAFEAKRAQLAALQLAKRQIAVQIVAMVCALVTNHKSLACAVAVLNSPLPRLERAHEREAELEMALGRMVPSFLALNWSLMVAKQRDEYSVDAAVHAIPNVAGS >ORGLA12G0198100.1 pep scaffold:AGI1.1:Oglab12_unplaced156:130617:131374:1 gene:ORGLA12G0198100 transcript:ORGLA12G0198100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASPVIRLDAAAVDPATVAYLRDLVERLEGKCYHLACDVQIAAAANADADLFRLRPEPFLLAGVPEVVVSAIDTLEELLRKGSPALAAYGRYVTRDVGERRHHQPPPRVRGQEGAAGRRGASQAADRRRDRRRGVRSGGHPREPLPRRRRALLADPAARERARERERAGDGAGQDGAVLRHPQLEPRGGQATRRGRGRRGRGHPRRRRQLARRRAGRPRRRRPLRGERERAP >ORGLA12G0198000.1 pep scaffold:AGI1.1:Oglab12_unplaced156:116711:119586:-1 gene:ORGLA12G0198000 transcript:ORGLA12G0198000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon family protein [Source:Projected from Arabidopsis thaliana (AT3G12180) TAIR;Acc:AT3G12180] MSIELILWLFSFASIMVLIGLTAYQLICLSDLEFDYINPYDSSSRINSVVLIEYALQGALCASFLLTLHWFPFLVMAPVAYYHGKLYMDRKHLVDVTEIFRQLNWEKKYRMIKLAFYFSLFIITIYRLVMTAVTLFIDEDANLVDTRTI >ORGLA12G0197900.1 pep scaffold:AGI1.1:Oglab12_unplaced156:114100:115473:1 gene:ORGLA12G0197900 transcript:ORGLA12G0197900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAGGAGSSEGRRRPRHRGEAKASAVPACYHRPSAGSCHHACKYGGAHAFEERESRRPAAAQPRPRKTPPPPPLAAATAMAKLRSASSRRRVGDLTKPMKAGKKAAATAVDDTGKKGDAGVVVWKDIVAYESTPLPPEKTTIAAGGGDARKKKDVRVTKGKKPIKSSPHVKSKIVAESTDDVIDGSSSKKKLVKSVGSKLTGKPPPSPELKAGEKSTPPSSHKSKKKMTTTRTNSLKPPKPKRNLVEISQQISHQDPSSSSSAAANDIKEEKPHNPPCQEEKKSGMAPPPRPSHRRARSMSITGSAKSVRFPFTRQASRSTTTTAFKVIRSRSSRAAATAAPPEDAPATTRLRFFRRGDAGGSSSGGGGGSGFHLRMRSLRRRGSIGGTAAAGGGGGFVVPAVALRHQKTLEKKRSRRLYNSVIEETAGKLAIARKSKVKALVGAFESLISKIGK >ORGLA12G0197800.1 pep scaffold:AGI1.1:Oglab12_unplaced156:106136:109430:-1 gene:ORGLA12G0197800 transcript:ORGLA12G0197800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDHVVAATGGGGGERAAHQYHVTDDTDAATASEEVGGGGWPRALLRRGWELAGKAAVAGAAATAAPVVVPPVMLLTAAGLALSLPFAAYLATLAATHRLMAALLPPHESGLDGAAVEQELLDAFYHFSTTDQEEDGGGGGVGIGLASPPPSVDEPVSFQESSVSRNGGKIEDGTTKETVSLTTDVPEFPELPVVETREEDGVIPVQQLGQDHHHTHVLDTGDKAEESTISSVSGTPVEIFTDKDNVEKGVVEDTVVVEAAVVEQLASNAGIVAQELVDTNVAIVAISAPENEATTPTSDLVARVSEEVVGSSCDGEMQETAVVDDTMRELSDANMDEDVQHHDQRVVCSSVLMASPLAVGDYEDVMSSGSTQDTPEVCDETSQPGQEHDQSDGFEAKVISGDKDLYTEEHVRQQLETLRTITGYRSPFSSTLEGELAALYLFIGVEPPVSSRNASDLMEINAKLRLLKSIVGVD >ORGLA12G0197700.1 pep scaffold:AGI1.1:Oglab12_unplaced156:100734:102473:-1 gene:ORGLA12G0197700 transcript:ORGLA12G0197700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSIGEGTLSLGDFVASAKALIEKWKVIDVEDSLPDWQWKPCGKTGVPSEEEGYLALEGVYRNPGGRHEQIGDSSNFDDADIVSDDAWAQSSSESVHIYDYHVVYSFSYKVPVLYFQGHQAGGQLLTLDEIKEDLPSHSLKLLGESKWTFITREEHPHFSRPWFTLHPCGTSDCMKLLLEGVENKDQHVQYLPAWLSVVGQAVGLKIPLELYASGLKTQE >ORGLA12G0197600.1 pep scaffold:AGI1.1:Oglab12_unplaced156:90943:94693:-1 gene:ORGLA12G0197600 transcript:ORGLA12G0197600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPLRCLSELARRRRGCCRPDHAVDAMRTGLQCLMSTCSSTKATDNHSFSNTYATSCANLPEVAPSEAPLSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPSAELLSHDEIIRVADLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGLVLSKKLPRLKECGLNALNISLDTLVPAKFEFMTRRKGHSRVMESIDAAIELGFQSVKVNCVVMRGMNDDEICDFVEMTRDKPVNVRFIEFMPFDGNVWNVKKLVPYAEIMDKVRQRFNGVERLQDHPSETAKNFKIDGHAGTISFITSMTQHFCAGCNRLRLLADGNLKVCLFGPSEVSLREPIRAGVDDAGLREIISAAVKRKKAKHAGMFDIAKTANRPMIHIGG >ORGLA12G0197500.1 pep scaffold:AGI1.1:Oglab12_unplaced156:81494:83800:-1 gene:ORGLA12G0197500 transcript:ORGLA12G0197500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A [Source:UniProtKB/TrEMBL;Acc:I1R8W9] MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTAKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTENGNTKDDLRLPTDDNLLSQIKDGFGEGKDLVVTVMSAMGEEQICALKDIGPK >ORGLA12G0197400.1 pep scaffold:AGI1.1:Oglab12_unplaced156:68232:72624:-1 gene:ORGLA12G0197400 transcript:ORGLA12G0197400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPPPPPRPRLALPPRSAAESLFTGAGDASPGPLTLASALFPSDPDGGGGGGVMTSSSSSAAGATSFTQLLIGNLSAPPPPPPPPQQQQREAARGGGVARAGPALSVAPPPAAGSVFTVPPGLSPSGLLDSPGLLFSPAMFDAFDVLDMFIPDKILPKRATRIKLDIYFVKTSPEGGFGMSHREALAQVTAQASHSPLRMFDHTEQPSFSAAPTSSEAMQHMNAAVNMTGISDMVMGPTNNENVAFQPAEASQRYQVNAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHPNCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRAKDGSSSAADQNEQSNDTTSGLSGIKRDQEAIYGMSEQLSGLSEGDDMDDGESRPHEADDKESDSKKRNIQISSQRTSAEAKIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYAGCNVRKHIERASSDPKAVITTYEGKHNHEPPVGRGNNQNAGNAAPSSSAQQNMQNLSSNQASLTMADFNNINQRPIGVLQFKSEE >ORGLA12G0197300.1 pep scaffold:AGI1.1:Oglab12_unplaced156:63976:64962:1 gene:ORGLA12G0197300 transcript:ORGLA12G0197300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATRIVRMALHAFFSHYHPAASAAALLALPFSAAALVSRSPALLQLAAPPRAILLLQPALSRRLRRVFVAAGFPPASQLLFLLNHRLSQSIVSFLATLPLAMSFLLLAKAYAVHAVVAARGRGARRCVGGAAPSMASLVRASYPAMARTQLASFGALLSAHAAVFAALLAAFNAAEALRLGSDGRAVLALSAAGVIVYSVALANAAAVCNLATVVAAAEGGRGGARAVLRAILLVRGDAATAVAVALPATLATAAVEGLFQLRIARPYAVTGELTSSMVCEGFLIAYIYSIICVLDTVITCMVYQTCKMSHSCDLLELEEKGDLAA >ORGLA12G0197200.1 pep scaffold:AGI1.1:Oglab12_unplaced156:53672:58156:1 gene:ORGLA12G0197200 transcript:ORGLA12G0197200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATATAAAIRSGELLACPMALRRAAAPVAAAVSFRMGRAATARAGRLATRVRVRVRVVAAAEEGAAEGKSSGKKKRAASGIMKPKPISAELREFVGGAEELPRTEALKIIWAHIKGNNLQDPNNKKIIVCDEKLKKIFGGRDRVGFLEISGLLNPHFQK >ORGLA12G0197100.1 pep scaffold:AGI1.1:Oglab12_unplaced156:51632:53137:-1 gene:ORGLA12G0197100 transcript:ORGLA12G0197100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNNFTGPGIGLGFGIGCGFGVGWGFGGMPLNMFGLGIGGGCGVGLGLGWGFGKAYGCQYRSSRVQFQGIEFQKKTEGDEASSLVSPERVEKSRPYG >ORGLA12G0197000.1 pep scaffold:AGI1.1:Oglab12_unplaced156:50457:50942:1 gene:ORGLA12G0197000 transcript:ORGLA12G0197000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAIAKSAGLLREIKNKQSSNLMSRVQPSEARSAETALWVPHPRTGIYYPKGFEWVMEDVPSSAASFRQLYWLRSGDAETASSPTSNDAAAFDHPFV >ORGLA12G0196900.1 pep scaffold:AGI1.1:Oglab12_unplaced156:45227:45574:1 gene:ORGLA12G0196900 transcript:ORGLA12G0196900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTEARLGDELRDGEASGAAADDGVGRATNETARRVCLCSLPCIVDPIKWWSGVGVGVGARRFTEHAQLMAALGSDDRDNNTVDMLSWTRASHAATAVSSMGAGDEQKDLELRL >ORGLA12G0196800.1 pep scaffold:AGI1.1:Oglab12_unplaced156:42534:43960:-1 gene:ORGLA12G0196800 transcript:ORGLA12G0196800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNRNRTHSQPVRAIPAVSPRPVVHRFKHFDNVEFEDCMVDRAFNVIVMGSKMEAVVSSELTTRFQNILIVGRNYTIHGVYFQPNYWKLNFRAIKRQYECFFTRNTIVESYNQPLHFSIYPKQLTEFGELSAYYNKMFVGLLQCISNRLYREVTLVDTRCQLVVIGVYANHLTTHMLQWASTFANNHVVVGTMLQLDRTYWCLESSDHSQFHFNPSFHALNELHNFRWFVVNGEVNLSFVDRYHVTRWVHIAEVLDSKSSFNKNIKHA >ORGLA12G0196700.1 pep scaffold:AGI1.1:Oglab12_unplaced156:34034:38923:-1 gene:ORGLA12G0196700 transcript:ORGLA12G0196700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CPRPERSTPAAATSSRSAAPRARPSSHGPTSAPTSSPPPSAPPPPSPPPPPPPPLPVAPSTSAFVQTAGRRSGGGGGAGAAVGSGVVAWYLGSIEARPVLTKSVTAAAIFTVADLSSQMITLGPEDSLDLVRTLRMASYGLLISGPSLHIWFNFVSKLLPKQDVMNTFKKMFLGQAVYGPIINSVFFSYNAGLQGETIPEIMARLKRDLIPTIKSGLIYWPLCDFITFKFIPVHLQPLVSNSFSFLWTIYITYMASLKKADVDVTTSS >ORGLA12G0196600.1 pep scaffold:AGI1.1:Oglab12_unplaced156:32716:33329:1 gene:ORGLA12G0196600 transcript:ORGLA12G0196600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHIKMAILKQEQTFRQQVNELHRVYRVQKQLMIEMQSISTQAHAKADNRTIPRLEMDHQQWYRNSGEKKAPEFVEDFDLELTLATGAGRKQEKPSNSDSGATVSSSTSAESESERRFPESNVALRFQNESKRHDDQLMQSPWLYQCLSLKMA >ORGLA12G0196500.1 pep scaffold:AGI1.1:Oglab12_unplaced156:19167:21836:1 gene:ORGLA12G0196500 transcript:ORGLA12G0196500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAAAGAGSGEPSSTSRPGKEREDGGDGGGRKVGEGGADFDLAGAAAGWVEARTSCPHLPAMPAASADDLARVPPPDSPCSRCHHPAENWLCLICKDVLCSRFINKHMLYHYQDTGHCLALSFSDLSVWCFACDTYLDVQSILELRPVYEVAHLMKFGQRPPFRSLDVLDLSSGQNGGSPSQS >ORGLA12G0196400.1 pep scaffold:AGI1.1:Oglab12_unplaced156:13812:17733:-1 gene:ORGLA12G0196400 transcript:ORGLA12G0196400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKVIHAAQAMISAYDKEASVDKMDNNVGQEQEQAELTALRVAYKSAFFSARRM >ORGLA12G0196300.1 pep scaffold:AGI1.1:Oglab12_unplaced156:9091:9396:-1 gene:ORGLA12G0196300 transcript:ORGLA12G0196300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGLLPLTILLLAVAAALHGADRVTAVPSLEGLEAAGEGLAEPIKKVVGGAVAAGVESVGRKRPAMKDFAAGVKAGRKFHKGFKSGAAAWAMLAPPPPPY >ORGLA12G0196200.1 pep scaffold:AGI1.1:Oglab12_unplaced156:5663:6685:-1 gene:ORGLA12G0196200 transcript:ORGLA12G0196200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSFEAICNESEMIAHLQSLFWSSSDADPCFGSSSFSLISSEGYDTMTSEFVNSSTNVCFDYQDDSFVSAVETTIGNKRKVQMDTENELMMNRSKEVRTKMLVSKACKHSVSSENSQSYYAKKRRQRINERLRILQELIPNGTKVDISTMLEEAIQYVKFLHLQIKLLSSDEMWMYAPLAFDTGNNRGYQNSLSQE >ORGLA12G0196100.1 pep scaffold:AGI1.1:Oglab12_unplaced155:95405:98502:-1 gene:ORGLA12G0196100 transcript:ORGLA12G0196100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGMSLCDIAVVVVDIMHGLEKQTVESLALLKDRNVRFIVVLNKVDRLCGWKHCPDAPIKKALENQSGDVKKEFQWRLTKVVTQLKENGFNTALYYDNQKFRKVFDIVPTSAISGEGIPDLLLMLVLRSQATMMEKLTFVNTVECTVLEVNDDKDLGTTIDVVLINGVLYKGDQVNVCTKQGPVATIIRDLLTPHPLKELRVKGIYKHHKELKAAQGVKIVARGLKYAIPGTSLIVVKPGDDLGQSEAKNQRNENEEGNVIQEISRLKTCKEGVYVQASTFGILEAIIEHLNSPGVNVPVSGCNLGPIEKKDVMKASAMLKRKEEYAAILAFNVRVMPEADVLASESGVKIVTADTVYKLVDSFNEHIKRSKELKKMQCAVDAVFPCTLKILPNRVYRKKDPFLCDVEVLEGVVKVGTPICVYVGGTVHGLGRISSMQTSNGNQIDSAKRGVVVSVKITGESPKEKTRLYGRHFDESNELISQISRRSIDVLKEYYRDEMNDENWQLIRRLKKLLDIA >ORGLA12G0196000.1 pep scaffold:AGI1.1:Oglab12_unplaced155:93084:95147:1 gene:ORGLA12G0196000 transcript:ORGLA12G0196000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVEDRGSAVALMVASLLFLGTWPALLTLLERRGRLPQHTYLDYSVTNLLAAAVIAIAFGQLGGTSPGMPSFFTQLTQDNWPSVLFAMAGGIALSIGNLISQYAWAFVGLSVTNIICSSLAVVLGTTMNYFLDGRINRAEILFPGVACFLVAIFLGSAVHSSNAKDEEEKLSMSRGIELSSDVADQVKLLPNQEAMEDGEDGDFDTGTAEFIVQVEKRRSIKVFGSSRWLGLGMVLLAGVCFSLFSPAINLATNDQWRALRDGVPHLTVYTAFFYFSVSCFALGIGLNAWLLYRPVAGVPPSTIGAYAGDWNGRHWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGVILFGEYRRSSRKTYLLLGCMLTMFVVAVALLMASAAHRKTG >ORGLA12G0195900.1 pep scaffold:AGI1.1:Oglab12_unplaced155:71075:72146:-1 gene:ORGLA12G0195900 transcript:ORGLA12G0195900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGIIHEKITSIWPVTSAWWHNPRIVLDNFLMPLNPTRVHSSHVLAFMCSGSSSTGRITAAAVVEWRR >ORGLA12G0195800.1 pep scaffold:AGI1.1:Oglab12_unplaced154:1772:2423:-1 gene:ORGLA12G0195800 transcript:ORGLA12G0195800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSPFRSTRSSGCSFQRTQAAVSSAPWLRCACGKAAAVNKSNTPRNLGRRWIQCGKEPKCCSLWIWEDLLNEYVEEMVAYSHVGEDDGLGDMLRQLAEEHKEERSRMQGLVEANHRQMQSIYQQLNDSKKKCEQLKKMLKEEKCSRSRQLYVMLFLLAIIMYFYVCSVNFVAMVQVGKSVPF >ORGLA12G0195700.1 pep scaffold:AGI1.1:Oglab12_unplaced153:3773:4610:-1 gene:ORGLA12G0195700 transcript:ORGLA12G0195700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLGVCAVLMVLAVGGEAASVVIGTAKCADCTRKNMKAEDAFKNLQVAIKCKNGNGEYESKAAGKLDGTGAFSVPLDADLHSSDCIAQLHSATNEPCPGQEPSKIMPLSEGTFIAVAGKTSYPSALCASATICGPIKKKIIDHFHKKPVPPKPDPKPELPKPKPEPEHPILDHFHKKEKHFFDHFHKKPVPPKPEPKPEPKPQPKPQPAPEYHNPSPPAKR >ORGLA12G0195600.1 pep scaffold:AGI1.1:ADWL01025401.1:141:1709:1 gene:ORGLA12G0195600 transcript:ORGLA12G0195600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRVLALLAVLMAVAAHGEAASVVVGLAKCGDCTRKNMKAEAAFKGLRVAIKCKNGADGEYETKAAGKLDGAGAFRVPLAADLRGADCVAQLHSAAHNNAACPGQEPSRVMQLSERTFVAVAGKTHYVSPVCASATICEPIKKHFFDHFHHNKPAPAAPSTKPAPKPHPDQPPHPKPTPTPSYGTPSPYHPPARN >ORGLA12G0195500.1 pep scaffold:AGI1.1:ADWL01025397.1:2660:3544:-1 gene:ORGLA12G0195500 transcript:ORGLA12G0195500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRFEMSMMGELKFFLGLQIKQLKEGTFICQTKYLNDMLKKFGMENAKPIHTPMPSNGHLDLNEQGKDVDQKVYRSIIGLLLYLCASRPDIMLSVCMCARFQATPKECHLVAVKRILRYLVHTPNLWYPKGARFDLIGYADADYAGCKVDRKSTSGTCQFLGRSLVSWSSKKQNSVALSTAEAEYVSAGSCCAQLLWMKQTLRDYGLNVSKIPLLCDNESAIKIANNPVQHSRTKHIDIRHHFLRDHSTRGDIDIQHGRTDKQLADIFTKPLDEARFCELRSELNILDSRNVA >ORGLA12G0195400.1 pep scaffold:AGI1.1:ADWL01025397.1:1154:1486:-1 gene:ORGLA12G0195400 transcript:ORGLA12G0195400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKQTSHKSKRLRDRSPTPSSHGDSDSDWSGEADAVPQVARVARRSTHAHGGGDGEGSSCQPQTPPHQPNVPIGPLRIHTPERDPAVIRQVYDWRRKSEVVAPRRDEDP >ORGLA12G0195300.1 pep scaffold:AGI1.1:Oglab12_unplaced142:125838:126059:-1 gene:ORGLA12G0195300 transcript:ORGLA12G0195300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIDIGEMIGLKGEEQLSKIGFEKQALSMGYQACGALELWNYPSFFRNLIPQNLDGTNRSDRIDLAALEGIIKI >ORGLA12G0195200.1 pep scaffold:AGI1.1:Oglab12_unplaced142:87193:88545:1 gene:ORGLA12G0195200 transcript:ORGLA12G0195200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSQDQSNCSDTSIISGNLEDLMWEEINDPMEAEIEDQIEAEVEAQLEAELAGSSTRRGGYTRRYINRDHEEDHNRLFAKYFGNNPLYTDDQFRRRFRMRKHLFLHIVEALGVWSPYFQLRRDAFGKVGLSPLQKYTAAMRMLAYGTPADLMDESYGVAETTAIECLINFVQGVRLLFGQQYLRRPTQEDIQRLLQFGEAHGFPGMLGSIDCMHWEWQNCPVAWKGQFTRGDYGVPTIMLEAVASADIWIWHAFFGAAGSNNDINVLDQSPLFTEVLQGRAPEVQFTVNGTNYNMGYYLADGIYPEWAAFVKSIKRPQNDKAKLFAQRQESARKDVERAFGVLQKRWAIIRHPARLWEREELADIMYACIILHNMIVEDERGAYDIPDDNTYEQGQFSAQMSELDHGPIYGFADILEKNAEIRDRATHRRLKQDLMDHMWQKFGGQQH >ORGLA12G0195100.1 pep scaffold:AGI1.1:Oglab12_unplaced142:37971:38871:-1 gene:ORGLA12G0195100 transcript:ORGLA12G0195100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLQRIHLRNKAKTATLERLGPYLGTLEATRNQLHEIKELARKNEHDLRDRIAELQESNFELSGSSKVQAAKISQLEKQIQTLENDKAELARQRDSALKEVEDRKIKSQAQFDVLVDNINRLEGARDKVANAATPLIQAMFFNNTGPSTLDAAEIFNKLRVAPDTYFKNIKEAGSMGASLALAMTKSLYPRVDIDASDGFADRTSEEAALDLINDAQKAADKIAADVVERFQDVDLRPTGSDNSDDEKTDTD >ORGLA12G0195000.1 pep scaffold:AGI1.1:Oglab12_unplaced142:29971:30192:-1 gene:ORGLA12G0195000 transcript:ORGLA12G0195000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESEFDMIGSVKFVSCTKGFPKVFRTLSMSLVRGFRLPTLAINRGGA >ORGLA12G0194900.1 pep scaffold:AGI1.1:Oglab12_unplaced142:24398:24676:-1 gene:ORGLA12G0194900 transcript:ORGLA12G0194900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREFEMSMMGELSYFLGLQIKQTPQGTFVHQTKYTKDLLRRFKMENCKPISTPIGSTAVLDPDEDGEAVDQKEYRSMIGFLLYLTASRPDI >ORGLA12G0194800.1 pep scaffold:AGI1.1:Oglab12_unplaced142:22007:22228:-1 gene:ORGLA12G0194800 transcript:ORGLA12G0194800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESEFDMIGYVKFVSRTRGFPKVFRTLSMSLVRGFKLPTLGINRGGA >ORGLA12G0194700.1 pep scaffold:AGI1.1:Oglab12_unplaced142:7650:7910:1 gene:ORGLA12G0194700 transcript:ORGLA12G0194700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCWAADRSRAHNCITKGKRKPRLGPHITGATWELGRNPKTRRSRLAPGRTPRRRDPLRLLQQLGGDYLYRARVSTGVHSKPWEISV >ORGLA12G0194600.1 pep scaffold:AGI1.1:Oglab12_unplaced140:64477:65028:-1 gene:ORGLA12G0194600 transcript:ORGLA12G0194600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNAGRPNKATDILGEHDGKPFLGPTNYNVVDFKDLFDLYRLRAVDTSLLKCYSFAHWILLVITPKWSTCH >ORGLA12G0194500.1 pep scaffold:AGI1.1:Oglab12_unplaced140:64252:64476:-1 gene:ORGLA12G0194500 transcript:ORGLA12G0194500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNSRIDKNAYDWTPIQLAIDEAWAQYVQRGGLRKTGHNTLIHKKDLPVKQQICDQCGFHVCHNMRLLYREKVID >ORGLA12G0194400.1 pep scaffold:AGI1.1:Oglab12_unplaced140:47736:47960:-1 gene:ORGLA12G0194400 transcript:ORGLA12G0194400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVSLPDLLQAEQRAINHRRAIPSRRPLSASTSNQKEASPVNDSNMQSYHIITEPIKDDAVTKTMPAISLQNQNE >ORGLA12G0194300.1 pep scaffold:AGI1.1:Oglab12_unplaced140:33802:34230:1 gene:ORGLA12G0194300 transcript:ORGLA12G0194300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFVDNNVNTNLNQGFPASIMLVWTQIGEIVFSVYTTMPISAGPSMTGNENAVAINQDDSMSKDPPAVAENGTSTTSDLKKDSNAAKPCHSDKNHESTRMTSEATRSWCPIHKTRKHTLHACWVFLNIRAEIHACKERGI >ORGLA12G0194200.1 pep scaffold:AGI1.1:Oglab12_unplaced138:46779:50588:-1 gene:ORGLA12G0194200 transcript:ORGLA12G0194200.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRLRMDPLEYLPVRFHFGGDFINNGRETFYIGGSEGMSYIERDKVSLPEIVGHLKDHCEVIPGMLLQWLFPGKELVDGLRVLIDDEVCNYMSNCVGEGGVADIYVETVMAEGSSESGSKEDSDFEDELQDISPADDEWDDEEDEDLFELNTGKEVLVIVSTPEKTKRDLEQVRAFRTPDKGKAMVVAKPSDKGKAVVVGKERPKKPVISDSDSDYVGGDSCSSANQEGLQRVREETXGWXGREFGXCYMXGVIXADKXXSFGSDXGXXQCXPIXQQQCRXXFLXRGXXWTTERIQRGSGAACTGQQEVHKVYKGXRIQNKGQVXLGNLPMGLFAIKEXHDRKLANSXSSXXTHLPTKEGXPPSDIQEDCLEVXEDDHRXSNLEYTEHAVHCFRGNVCKCXCWAVQESQSICIQDDIXVHQGXILXNLXLSVRLAEEXSWXYSCCQVRYXPAXSYIQKDIYLPSCLQAIGSQPSSTPVQPDAPPTVTSALISLYFHFTILFCTAI >ORGLA12G0194100.1 pep scaffold:AGI1.1:Oglab12_unplaced138:6564:6716:-1 gene:ORGLA12G0194100 transcript:ORGLA12G0194100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAGLRRRRLVFLPARPAYYAAVVGRPRLHSWPASVATDWCPRLHGWCTLPP >ORGLA12G0194000.1 pep scaffold:AGI1.1:ADWL01025348.1:12349:17406:1 gene:ORGLA12G0194000 transcript:ORGLA12G0194000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAIALAMSKIKTCLETEASFKNAMFKLSKKDKLVKELLEKIKQIEEQLDITNDFIQEQISTVNLGDPLDESWIATVRRLAFIVEDVMEKYLYYAHQLQEEGSQKHPVKRSSYVDVFNKVGQVMDKINYHIGHLLSIREQSKLAPQLVPYSPYPDSEGQPELIGTNGPFNVLLKFLKHGEDEAVLQPKVLSMLGIGGIGKTALVSKVYRMLREEFQRHASVTVSKMPDMKRVLFDVLDQIGLRKYPTSLNENQVIAQIGQDLKDKRYLIVIDDLWDMQHWKTIKDALPDANYGSRLIVTTRLNNIAETCSSGQHDLTYKVMPLAHQDSRTLFLKEILGHEGSCSDAPVFDEILKMFGGMPSALKCIGSFLRNKLVTTESQKIMMSSLHSELENFPSWQKLKKSLFLSCCGPSQTLEVCSLYLSTLPDNHKIERGILTRKWISEGIILKENDLSINGVANKCFEELINRNVIQQVDNSFGEETYEIHFLMHHVLRQIARERNFATFLSDNILISCKEPIHRLSFHCSKLRISIDKGDIQIISDSGDSNKKPKSLSLARSITLCGYAKPVSFKLLEHLHVLDLEGCWNVDNSSLDDICRMILLQYLSLKKTRITVLPPQIENLRGLKTLAVTQTEIAELPLQIGKLPDLETLDVRHTQVKEIPKELVQLRKLVCLLFGQSGFHGGVKFPVGGNPSKSLKVLGAIDSTQCSASFMGELSSLTGLTELSVVCYDGTKGKECNLRMMNSIFKFSNLESLTIYGDFILGNEVPALQNPPKLQKLKVAGRCLSVPGWIDKFSNVTLLDIRVCSLEESDLKILCKMSSLQRLVLTQVHMPIKQLEITKEASFSKLNGFTFDCRVPWVTFKEEAMPSLQYLELKLYAGPAGKIPSGITCLPCLTKVILRYSAHYQSSASVQDTISKMRKESSEHPNMIVLSQNGEHEIFPQNAVSRNGEHEIVLVNAVDGMHQD >ORGLA12G0193900.1 pep scaffold:AGI1.1:ADWL01025348.1:6342:7071:1 gene:ORGLA12G0193900 transcript:ORGLA12G0193900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQHIVRFELLEIVAGFVESEIIILEKKTTTTTTEQLQRKWEEVRRAWQVLGVVELDGMEKEVDAIVSSLRRGLAYVSSWVSSHQMQRATAMGSPEKVAAGLMTPAMVSMVEEARRLPPPTAAKKKPGCDDDVQDMIGQRLELWSKVRREVPLMYEASASAEEEEEQQLVTAVFREASLEAIDRAMHRDVYLAVVHASNRKRCAGEEVAD >ORGLA12G0193800.1 pep scaffold:AGI1.1:Oglab12_unplaced134:4370:6203:-1 gene:ORGLA12G0193800 transcript:ORGLA12G0193800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDLIAKKMISLDRSKSRELRKNFDEFFQGMVSFPIYFPGTQFYRCMQGRRNVQKTLTDILKERLSAPEKRHNDLVDQIVQELQNDNPVIDVNFAVDAIAALLFASFATLSSTLAVGFKFLTDNPKVIEELKEEHKKILKKRDDMNSGFTWEEYKSLIFTPQVMNEITRISNVAPGIFRKTLVDVQVNGYTIPAGWLVMISPMAVHLNPKLFEDPLEFNPWRWMDETKRAELLRNHMPFGGGIRLCLGADFSKIFIALFLHILVTEYRWKEIEGGEVLRISEAIFPKGYHIQLIPQT >ORGLA12G0193700.1 pep scaffold:AGI1.1:Oglab12_unplaced132:54754:55134:-1 gene:ORGLA12G0193700 transcript:ORGLA12G0193700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSIAWQRCVVYRLRRLDDVPGNSDKMACDVAGAGDALRAPSSGAPAGVLLEQPADEFEEDGNVDESERTSVMSRRGGCDRQGDNVQRLGDITRRRGIEVAAGEARTSRQQVDVSPATDVSDKRE >ORGLA12G0193600.1 pep scaffold:AGI1.1:Oglab12_unplaced132:37868:38190:-1 gene:ORGLA12G0193600 transcript:ORGLA12G0193600.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERANECDENERTNVNENKVNGREREHCRTNVNEPTNVNVKCNYRQVNERERTNVEKTLSESSLKSEL >ORGLA12G0193500.1 pep scaffold:AGI1.1:Oglab12_unplaced132:20701:23107:1 gene:ORGLA12G0193500 transcript:ORGLA12G0193500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGKINPDGSVTFENKSDAVVYQELLGLLAEQASQSEVEFAPKRREDDILTKALGTKEHPGQTRGIGSDMPWKHGLPQYSSQYRKRKVSKEERDARLKAELKVEVIQELEASMNARVEERVNKVLADMNIPRGTTPAVHPTPRAQHDASPSQHRSSCASTEVPAPGLPVAPLAAVDYIEGVAQCVLLARVHPTFAPEVAEGMAFKPSVTDKVHGADLLAGYAKLTPPKSKLSIEAPRGPALSVPHSPDGANMDLADIAQSLAPIKTTRKADSSPPLVKGQKRERGKGKVRELAPEPKRGKATTSMPVSKAGKVVRAPAQFELDMPLVEDNVXAVLGIACREIHKQYMELSNAKRKMRESSIVGHHDHQPFLSSPAYITIGLEDLFDLFRIWKLDTGLLKCYSLLCWIESRRLGNQVGLLAPSMVNXVNLRQSFTEVVDYVNRCLWAQQDKEYIMXHWILLVIVPKWSRVTYLNSNKFKDYDFTEITKALNMAQGGQGRTLSXHQVRMRTTDRRPMWFPRVPQHVNTSKRSERFRPXERXSWLQDLTHQPPRYQRRSVRLHSCRNNEQERTFSRQIDSXTWLDNLLXCKKDVFIISCDVKKYVFMECNVIYF >ORGLA12G0193400.1 pep scaffold:AGI1.1:Oglab12_unplaced132:17364:17624:1 gene:ORGLA12G0193400 transcript:ORGLA12G0193400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKWACSECMEETRSKWLKHSHKTVYMGHRRFLPRYHPYRNMRKNFNGHRDTARSPAELTGTELHNLVMGITNEFGKKRKVGKTKENS >ORGLA12G0193300.1 pep scaffold:AGI1.1:Oglab12_unplaced130:85813:87162:1 gene:ORGLA12G0193300 transcript:ORGLA12G0193300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQKWRYLRSFRNRYQHRKNVSTSVALDDIVGEVRKVNALVCYSERTGICDDNNDDFVEMLLLDGCFILELLFRWAKPGSTKQPDPLREVGWVLNLLQSDLILLENQIPFAVLRGLYTLINGSDRLLVELVLQFLKSKSTFLCTPPDPNNDRPQFDHLASQDFHHLLHLFNDAYVPGSRETASSSVPEGSLEVASPGFEGSYETAPSPVPEGSHEAASSSVPEGSLEVVCTSAPAPLAEGSDGAASTTVHERRNGLPPLMKIPSVSELLMVGVKFEMSPGDITQITFDVNKGIMKMPRIVIDQAGLPLLINLVAFEQSRGHRAGTREPLTSYVALMSSLIKSGEDVSILQRSGIIVNLLSDDDEAAINYFSRLGQVCTINYRDNLFAELFNHVNRYHEHKWNKHLAKFKRDYCNSPQSFAAFLVGIILTLASVFGAVMAGCNYFKPKK >ORGLA12G0193200.1 pep scaffold:AGI1.1:Oglab12_unplaced127:11054:11317:1 gene:ORGLA12G0193200 transcript:ORGLA12G0193200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSYEVKKFPSIEHPGKSMLQRAFLALHACKMAFVNCRPVLCIDGTFLTGKYRGQILTAIGVDGNNQVLPLAFAFVESENTDSWYWFL >ORGLA12G0193100.1 pep scaffold:AGI1.1:Oglab12_unplaced125:23017:25216:-1 gene:ORGLA12G0193100 transcript:ORGLA12G0193100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWNMIGSALLKNENGSRVITTTRIFKVASSADDVYTMRPLSPENSKRLLRKRICTGEDNSDGVELAEVCDKLLKKYDGLPLAVLTIADALLDVEPINKQCYEHVKGRVMHDMIHIFSLSYHDLPPHLRTCLLYLSIFPEDYLIKKDFLIWRWIAEGFIEYDGGISLFEVGESYFEELIDRSMIQPVEAGDEDSVDGCRVHGAVLDLLCYLATEENFVTLLIDNEQNVGKLFLLRYLGLVGTPISELPDGIGELVFLQTLDLRETGIQELPRSICRLRKLMCLCVDSTAILPSGIGNLVALEDLRLYSVSTLHFVKEELGQLTKLRILEIRFEELDEQMEDAFLRSLSNLQNLQTLEAPELRLGDLKILGRLPSLRSLWISSRSNERPLVITVEDGFPSLIEFTLLNGAFGPDFQRGAMPKVRRVEFSFSLRDFSSRTDFGFGLENLLSLEHVTIRLHDKVHSVEAALRHLTKKHPRRPTITLIRDGEEPTDTAASNDTRTQEELAEMEAKQLEERRDKFIQELHEENLWLDDLQAQLMKISEHKR >ORGLA12G0193000.1 pep scaffold:AGI1.1:Oglab12_unplaced122:9087:12827:-1 gene:ORGLA12G0193000 transcript:ORGLA12G0193000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLHNLMLLLPCLIFSTLLHIEAMSVAAVKVSTTPIFPTIPRAQTNKDFQVLLRVEAPPAADLNGHVPIDVVAVLDVSSSMNDPVAAASSESNLQASRLDVLKASMKFIIRKLDDGDRLSIVAFNDGPVKEYSSGLLDVSGDGRSITGKKIDRLQARGGTALMPALEEAVKILDERQSSSRNRVGFILLLTDGDDTTGFRWSRHAIHGAVANYPVHTFGLGASHDPEPLLHIAQGSRGTYSFVDDDNLGNIAVALAVCLGGLRTVAAVDTRVSLKAAELSGGARIVRVDSGGYESSVACGGASGEVVVGVLYAGEVKNFVVHLHVPAASSTTLTFSSSECGYYCGAATVCDHCHHRHQQQLLAVGYSYSHAPGAAAAAVSVEGHGVFVERPEVAAVFVSVDGVGVGGGRQQQIPLPSPVVMQHMVRFELLELVAGFAEAEMASKPATTKTKPRAADVLQGKWEEFRRARQFWGGVELDGVEREVDAMVASLRGGLAYVSSWVSSHQMQRATAMGSPEKVVAEFMTPAMVIMVEEARKLPPPPPPAAAAAEAARERPGGCDGGDDLHYVIRQRLELWSKVRREVPLMYQASSEQEDVQLTAVFREASLEAIDRAMHHDIYLAVVHVSNQRRC >ORGLA12G0192900.1 pep scaffold:AGI1.1:Oglab12_unplaced122:1075:3596:-1 gene:ORGLA12G0192900 transcript:ORGLA12G0192900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLHNLMLLSAGFFSTLLLAQEMSAAAGMTVKVSTTPIFSKIPRAQTNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKLLARWERFVLEHQFWVGLDLGSLDGEITAVANSLRKQQQHVVGVSSSSSMAAYIFSWMSSYKMQRPTAMGSPAKVVGVFVTLEVHLTLQVAITAESGGGDGECHDECEYSCVEQLPPAPPLLVASGRDDDSYRFNAAYEGVISLDDINQFMIKIYQGMVKANNLKQCHLMNQQPRAVA >ORGLA12G0192800.1 pep scaffold:AGI1.1:Oglab12_unplaced121:17678:17959:1 gene:ORGLA12G0192800 transcript:ORGLA12G0192800.1 gene_biotype:protein_coding transcript_biotype:protein_coding QELMCKTEDCKTQEKHRNGHLIGAQEKRRNRMREIDSKEIFQEVGALAKFPPKSTCNVPLHRNFIGFGKLQSFESKGQIGQFPIGFESYEIPT >ORGLA12G0192700.1 pep scaffold:AGI1.1:Oglab12_unplaced121:8232:10842:-1 gene:ORGLA12G0192700 transcript:ORGLA12G0192700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLLISTLPAFTLMLVAPAATHKHCKLVRELGLVALLLVRELLHHSASAACAWGGEGGGSSNKERERERGVRIMPSKAKPEPALAAREEGETEAELNAAALPLLDLPELALERVLEELEPPSLAAMACVCVALRDRCSADTLWGRHVNRKWGRVLGAAARKEWEAELAARRSSGALPRPARRRSLADSLACAWPFSWITCRWLKGNAVAAEPAAATPSPLPSPATDTVAAWYRAVECGEFSFPAQVYNREDGHVGFVLSCYDAHLRYDRRTDTFTARYPPHGRKPAKEESGVQWSRVRSPPVSTPAHDLHASGCLGDLRPGDHFEIQWRKNKDFPYGWWYGVVGHQETCNANEHLCRCHEDDMVVLEFKHYAAGSRWRQTTVSRKDHREKGDETDGFYGGIRKLQTSDEISTWRKFWPVDVLN >ORGLA12G0192600.1 pep scaffold:AGI1.1:Oglab12_unplaced121:2064:5580:-1 gene:ORGLA12G0192600 transcript:ORGLA12G0192600.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSIFFFGLADNAPVSRAVVVAAALFSVAFGFRGRFLDLGLSYENVYGKLRIWKLITSLFAFSSTPELIFGAALLYYFRVFERQIGSNKYSVFIVFSTAVSVLLQILSLAYLKGPSLNPLTSGPYGLIFASYIPFFFDIPITTKFRIFGLRLSDKSFIYLAGLQLLFSSGWRSIIPGLSGILAGLLYRLNIFGIRRLKLPDSMTSLLSRFSCPFLNNSYQRLPVTENDGNIPSNQTRQTEGVHPTTEDPMESSIATLVSMGFDRGSAIRALALTNYDVNLASNILLEAQSM >ORGLA12G0192500.1 pep scaffold:AGI1.1:ADWL01025281.1:1133:2299:1 gene:ORGLA12G0192500 transcript:ORGLA12G0192500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVVGASEATMRSLLTKLGGLLSQEYALIRGVRGDIQYIRDELTSMQAFIRDLSGAPEGKGDDHDHRMKDWMKQIRDVTYDIEDCIDDFAHRLSHDPGGDYLCGFVVSRVYEILTWWPRRDIASNIAELKMRAQQIGERRTRYGVENPQKGENKSGPATIGFDAAENQHTNLELVGVRERLVGVEAYMEELKIWVTNENFQDGVLSVLGFGGVGKTTIATALYRQLGDQFDRRAMVTVSQSSDVEAILRIILEQVMPQSKDGQEQHGGHASQKKPLHQALISYLDPLMPKALRRQRLGGSNSNSMREGKLLKLACLPRASTLDRHYDNDPQDDNDAAAAGSSETVVLTTATGPPKGTGTSDEKPSAIAAIRGAFMPWDRRRHPPQDDK >ORGLA12G0192400.1 pep scaffold:AGI1.1:Oglab12_unplaced117:4121:4507:-1 gene:ORGLA12G0192400 transcript:ORGLA12G0192400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDGKQKQIDGQQKDTVCPRRIWEMCSGLPLAIVTMAGHVACNSDKDETHWLNVCKSLVPESGRDLTQEGVTRILGHCYNDMPAELRTCSLYLSIFPKGSKIRRKQLTRRWIAEGFVSEKQGLSVEDVAE >ORGLA12G0192300.1 pep scaffold:AGI1.1:Oglab12_unplaced117:2444:4030:-1 gene:ORGLA12G0192300 transcript:ORGLA12G0192300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEYIVSKASEENFVTVVGGYWLMPPPSSKVRRLAIQSGDCKRGSGTDSMNLSHVRSLTMFGDLSQLPSNSFKFGIVQVLDLQGCKGFKQHHTKELCNMLLIKYLSLRKTDINKLPKKIGKLQYLETLDIRETNVTKLPRSVCQLERVANILGGNKRTRKALKLPAEDVKKTIKSPWGKEATKESGGKKTMKTLRILSGIEIVGESTEEGDFHHLTDLRKLAIYKLNVRRGDKPFENLISSIEYLGGYSLHTLIIDDVSSEFLESLGDLSSPPKFLKSLELSGKLVELPRWITQLEELTKLTLSVTVLRTDNLRSISQLKKLFSLTFSLSGSKPDPQSTAILEENKNYSDGEILVPAGGFENLKLLRFSAPLLPLLSFQEKAMPSLERLELRFRIFEGLFGMQNLEILKEVHLRVNYRAGEVTKSIVQNVATQVKKEATKSVATEANKENVASATNKEGTEFIIENVTLEANEATAAMKEATTSTVENVATEGNKENVTTDTNKENVATAEKKEVKGPIIIVDQYYD >ORGLA12G0192200.1 pep scaffold:AGI1.1:Oglab12_unplaced104:36129:36869:-1 gene:ORGLA12G0192200 transcript:ORGLA12G0192200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPWVRRGRATGRLTQSPSFSPSCVASPPSSGGGGGGGKADHQGIGSVLDDDDAAPAPPAPGSRNVLHRVRSSTKLRACKSFAVAAEAAAAAVAGERRVVLYFTSLRAVRGTFEDCRDVRAILRGLRVAVDERDVSMDAAYLAELRALMRRDRPALPQLFVGGRLVGDADEVRLLHESGELHRVVAGAARAAATPCASCGGTRFVPCGTCDGSHRRYSEKTGGFRVCTACNENGLVRCAACCSGG >ORGLA12G0192100.1 pep scaffold:AGI1.1:Oglab12_unplaced104:20676:23361:-1 gene:ORGLA12G0192100 transcript:ORGLA12G0192100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMSRVAVAVLLLLCLQLQLGISGGGGGHGARRGVRPAARLIHAKKSSSSSQQQQQRSRHAGSKAGWPSTSSTPSNPFGLPMLLPPPPPLKDWPPWLDMPPVQGPSSSPSPSPSPAPSPASSAAVAEHAAPPRRGEEHARPRSIALPPASSSGDAGETSRPEVTDGSATRRGGGGGKTNYVLVAAAGASVLLAASAAAFAACYRSSKVVRSVRPWATGLSGQLQRAFVTGVPALRRAELEAACEDFSNVIGSLPEYTMYKGTLSSGVEIAVVSTTKTSPKDWSKKCEAHFRKKITSLSRVNHKNFVNLLGYCEEEQPFTRMMVFEYAPNGTLFEHLHARDEGHLDWPTRLRVAVGVAYCLEHMHQLAPPEIVRTLDASTVYLTDDFAAKISDVGFCEEEMAAAAAAPAMADRESVVHGYGMLLLEMMAGRLAASEGGLVQGWAAALLRGERRLRDVMDPALRGAFHAETVDRLDAVVRSCADRDPRRRPSMADVAARLREITAMPPDAATPKVSPLWWAELEIISTEAA >ORGLA12G0192000.1 pep scaffold:AGI1.1:Oglab12_unplaced104:17008:19711:1 gene:ORGLA12G0192000 transcript:ORGLA12G0192000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITQILLSAQSADGNLRAVAEGNLKQFQEQNLPNFLLSLSVELSDNEKPPESRRLAGIILKNSLDAKDSAKKELLIQQWVSLDPSIKQKIKESLLITLGSSVHDARHTSSQVIAKVASIEIPRREWQELIAKLLGNMTQQGAPAPLKQATLEALGYVCEEISPEHLEQDQVNAVLTAVVQGMNQTELSPEVRLAAVKALYNALDFAESNFANEMERNYIMKVICDTAVSKEVEIRQAAFECLVAIASTYYVHLDPYMQTIFNLTANAVKGDEEAVALQAIEFWSTICDEEIELQEEYEGSDDANSTVNYRFIEKALPSLVPMLLETLLKQEEDQDQDDNVWNISMSGGTCLGLIARTVGDAIVPLVMPFVEGNITKPDWHCREAATFAFGSILEGPSVEKLAPLVQAGLDFLLNTTKDPNSQVRDTTAWTLGRVFELLHSPASANPIITSANLTRIMTVLLESSKDVPNVAEKVCGAIYFLAQGYEDAESISSVLTPFLPNLIAALLSAADRADTTHFRLRASAYEALNEIVRVSNISETSGIIGQLLQEIMRRLNLTFDLHILSSGDKEKQSDLQALLCGVLQVIIQKLSSSDAKSIIAQTADQLMFLFLRVFACHSSTVHEEAMLAIGALAYATGTDFVKYMPEFFKYLEAGLQNYEEYQVCSISVGVVGDICRALEDKILPFCDGIMTVLLKDLSNSMLNRSVKPPIFSCFGDIALAIGDNFEKYLPYAMPMLQGAAELLAVLDHTDEDMVDYGNQLRRGIFEAYSGILQGIKGAKAQLMIPYASHLLQFTEAVYKDRSRDESVTKAAVAVLGDLADTLGSSSKDLFKSNLFHVEFLRECHDLDDEVRDTASWAQGMINQALVS >ORGLA12G0191900.1 pep scaffold:AGI1.1:Oglab12_unplaced104:5567:9066:1 gene:ORGLA12G0191900 transcript:ORGLA12G0191900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSRAAAFPHISLPSPRPRVSLRPPPPAPRSLRLDRVVADTTAELGLDGGGGGGLLAAAIEHLEREPAFAGEGEDAAAAASAQLAALSPRELQLVLVYFAQEGRDAYCALEVFDWLRRANRVDGETMELMAAIACGWIERLVGGGGDVADVAALLGEMDCVGLRPGFSLVEKAVALYWDRGDRGSAVDFVRDVLRRGGVGVGVGVGDGGEYGGASDGERGGPVGYLAWRMMMDGDYRDAVKLIIEFKESGLQPEVYSYLIGLTALVKEQKEFSKALRKLNSSVKEGSISKLDSETMHSIENYQSELLSDGVLLSKWAIEEGSSDVLGLVHERLLSLYTCAGCGLEAEHQLWEMKLLGREPDTQLYDVVLAICASQGEVAAVRRLLAGVESTSAGRRKKSLSWLLRGYVKGGFYLEASETLMKMLDMGLYPEYLDRAAVLTALRRNIQESGSLESYMKLCKRLSETDLIGPCVIYLYVRKFKLWMMHML >ORGLA12G0191800.1 pep scaffold:AGI1.1:ADWL01025250.1:4344:6100:-1 gene:ORGLA12G0191800 transcript:ORGLA12G0191800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSGIERRKSCTDAGMLPGPLLPLPPPPPPELVVYPSVPSLPPSATPPSSSSSIGSSIAIVVLVVITTAIVTVAIVVIRRSYRRGRRLSCSSFSPRRSLSPRALSSSPSAMSQMWRAAVAAVGSSPRASAASARSWPEMAAPSSAPGDPGRGPPVALSSSAQGAVQGTAGLMASSATSAAAMAPPPSAPSLPEVERVILELLSLPPSPLQPAMSGSTATCFICNKLLLPTDLHLVLPVCSHMFHQRCLVAWLRSRVTPLLCCPECHAPITTRCRTDKRSLVPTFCSGEYDIESQILAVPAPPGEEVAEAVGGSRGWLRSSLDRLSGSWRACSGSRAVAAVAAPGCSSSRRTTGSWSPGTGSTSGRHLHLGADSRGVQTQVQLQLQLPVLPLADEEVAAADDDAGGSRGWLRSSLATLSGSWAVFPTTSRSTAMELPVSSSRRTAAGSTDSWSGSWDPEALRVSEPQPRERPSVLDYARWVFRNSGKYTKHRH >ORGLA12G0191700.1 pep scaffold:AGI1.1:ADWL01025250.1:1064:2824:1 gene:ORGLA12G0191700 transcript:ORGLA12G0191700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TEQKKQAAADVLFHYSQFVMVCIGEGVRPTDLRLHLMKEVSGMATSLTKEPQQAAASPDSSEPSSSGTTK >ORGLA12G0191600.1 pep scaffold:AGI1.1:Oglab12_unplaced102:154640:155502:1 gene:ORGLA12G0191600 transcript:ORGLA12G0191600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCQRLYVSTVLHRDRPSDRDTPSPGSXPVDRSDLSSSKNSSLGDRDTPTPGPRPVDHSDLSPPKNSSSGDXDRPTTGPRPVDSSNLSAPKNSSSVSTSNATINIVVIATIKTDWIFVFTRTTSSRTNDIRKKTDKVNNITTSHDDEETXDPRTSRGPENEEAVLAGHENVVHLLRWIPFKWSRYDYDWSSTSNVAIIADHRTTTSNGRGTTDLQPTT >ORGLA12G0191500.1 pep scaffold:AGI1.1:Oglab12_unplaced102:149032:151614:1 gene:ORGLA12G0191500 transcript:ORGLA12G0191500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAQSNGHHAIDATSSLDALSELYGNTFAVVKSMALKAAMDLGVAGAIHHHGGAATLSQIVTRVTLHPSKVPCLRRLMRVLTLSGVFAVQKPAPGDAAAADEAEAEAPVYALTPVSRLLIGAGNQGHMMSMLLHPNFITPFLRISDWLQRELPGPCIFKHTHGRSLWEMADDDAAFNTVVNDGMASDSVFTMDILVREHGEVFQGISSLVDVAGGNGTAAQAIARAFPEVKCSVMDLAHVVAEAPGGTGVEFIAGDMFESVPPANAVFLKWIMHDWGDNDCVKILRNCKKAIPTRDKGGKVIIMDIVVGTGPSDQKHRDVQILYDAYIMFINGAERDEQEWKKLFLEAGFSDYKIMPIMGFRSIIEVYP >ORGLA12G0191400.1 pep scaffold:AGI1.1:Oglab12_unplaced102:130417:130887:1 gene:ORGLA12G0191400 transcript:ORGLA12G0191400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLEFLQKNQWGQEASVGADGVQVGDVCAGSLGGHATAGVWPGRWREIGGRACAWTAAEGLENDGQERYGKVLPFWGSLDEGAGNSSVLAGEDPSSQPCGNNKKMREAKLYPRQKKMTMGACSGAPRTGAFGGGFEGLHTLGAVVGSLLDLVFLS >ORGLA12G0191300.1 pep scaffold:AGI1.1:Oglab12_unplaced102:115561:115830:-1 gene:ORGLA12G0191300 transcript:ORGLA12G0191300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKGRTAQETERTKLGHRWVVQVMHAESAPPSSSFRLTPLGTGIGDERRQQGRGTMGTRGNEEFVQRRSERRRLRLGEEAGACPDEK >ORGLA12G0191200.1 pep scaffold:AGI1.1:Oglab12_unplaced102:58090:59442:-1 gene:ORGLA12G0191200 transcript:ORGLA12G0191200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSQDQSNCSDTSIISGNLEDLMWEEINDPMEAEIEDQIEAEVEAQLEAELAGSSTRRGGYTRRYINRDHEEDHNRLFAKYFGNNPLYADDQFRRRFRMRKHLFLHIVEALGVWSPYFQLRRDAFGKVGLSPLQKCTAAMRMLAYGTPADLMDESYRVAETTAIECLINFVQGVRLLFGQQYLRRPTQEDIQRLLQFGEAHGFPGMLGSIDCMHWKWQNCPVAWKGQFTRGDYGVPTIMLEAVASADIWIWHAFFGAAGSNNDINVLDQSPLFTEVLQGRAPEVQFTVNGTNYNMGYYLADGIYPEWAAFVKSIKRPQNDKAKLFAQRQESARKDVERAFGVLQKHWAIIRHPARLWEREELADIMYACIILHNMIVEDERGAYDIPDDNTYEQGQFSAQMSGLDHGPIYGFADILEKNAEIRDRATHRRLKQDLMDHMWQKFGGQQH >ORGLA12G0191100.1 pep scaffold:AGI1.1:Oglab12_unplaced102:54657:56079:-1 gene:ORGLA12G0191100 transcript:ORGLA12G0191100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSVQVMQLLVVCVTAQPRLCCPPAMVAGREALACLPIAQVLSKCDLCTGCGSAIMMAWRLIFFSLQLCKITDKFIRLYIKIIQYPTAPARVIPSLVPKDSYGFFILSYGMCAGYILMSVMMSLQEI >ORGLA12G0191000.1 pep scaffold:AGI1.1:Oglab12_unplaced102:43263:43819:-1 gene:ORGLA12G0191000 transcript:ORGLA12G0191000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNSPAVQIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNDQILLIGTSCVKKMKISSVMYINLCHGRNYRIFICMRFEHQHSMCFSIVLVKCIIAYPSLPKGLCFEVVLYVYEVDVKCGVMTNGGT >ORGLA12G0190900.1 pep scaffold:AGI1.1:Oglab12_unplaced102:29800:36685:-1 gene:ORGLA12G0190900 transcript:ORGLA12G0190900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKGGGGGGGGKGGAGGGKKKEVKKETKLGMAYKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWEFLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLRCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEVLQILELYRKIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGVQGATSHCLGQNFAKMFDITFENDKGSRSMVWQNSWAYTTRSIGVMVMTHGDDKGLVLPPRVAPLQVIVIPVPYKDVDTTAIVNECKKTVETLEKAGIRADLDTRENYSPGWKYSHWEMKGVPLRIEIGPKDMANNQARVVRRDNGAKADIPTADLVEKVNGLLDEVQKNLFETAKQKRDACLKVVNTWDEFIVALNDKKLILAPWCDEEEVEKDVKARTKGDLGAAKTLCTPFEQPDLPEGTTCFASGKPAKKWSFWGRSY >ORGLA12G0190800.1 pep scaffold:AGI1.1:Oglab12_unplaced102:27121:28563:1 gene:ORGLA12G0190800 transcript:ORGLA12G0190800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1R8Q2] MVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDIAKPRIDAWNSEQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGKKAVQALKEVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVASMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLSMAKFDWDHPRHLQPTSPTAFKQVSVVWDAYEATKGAHGLCILTEWDEFKTLDYQRIFDNMQKPAFVFDGRNVVDPEKLREIGFIVYSIGKPLDAWLKDMPAVA >ORGLA12G0190700.1 pep scaffold:AGI1.1:Oglab12_unplaced102:21002:22444:1 gene:ORGLA12G0190700 transcript:ORGLA12G0190700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1R8Q1] MVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISKPRIDAWNSEQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEANIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGKKAVQALKEVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVAEVAYSVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLAMSKFDWDHPMHLQPTSPTAFKQVSVVWDAYEATKGAHGVCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNVVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA >ORGLA12G0190600.1 pep scaffold:AGI1.1:Oglab12_unplaced102:14342:17029:1 gene:ORGLA12G0190600 transcript:ORGLA12G0190600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WWGPHGPTYHSHPSSSSSSLTISPLSSLQVPRGHGKVRRRRPATREKGSGQGVGGGSAGSSLTASARTPRGGSWRRSGPRRRPSPMNXSSCERGARSYTTADEKQRRFEVYRSNVELVEKFNSMCNGYKLADNKAMPGESSDDILPKSVDWRKKGAVVEVKYQEDCGFCWAFSAVAAIEGINKNGELVSLSEQELVDCDDEAVGCGGGFLIRADHGCEVGKGRRRRVVWWCGNTGEGATCQRXARCQDRSWRDDTSDGRGSARFTGTGDDGGLGASRRHARSEATSACASRRSAGTRWRAESGITHRRHSPTAATLARSSAPPTSGAAACPPSPLRSRARPPTSPLRLLRP >ORGLA12G0190500.1 pep scaffold:AGI1.1:Oglab12_unplaced101:9814:10878:1 gene:ORGLA12G0190500 transcript:ORGLA12G0190500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDADAPRQLLSDTDLDLVSDRERQAYYMLSDREYAHIREYSPELLKKIGMDVEFCSIWKAVGWQRFVVVDEPGSRLLTLQFLCTLKEIEDGISFRFFRKEFTLTWKGLSTLLGFHDSCKIDLHKGISGFEKNRFWEDISGAPICKKPRTNDIHNPTLRLMHKWIAMTLFPRSDLRPIRGDELIIMFAMVRKIKISPVKCMIRQWLESIKFSAPVECTSLITRIAKGLGVVSDQIAFILAARSRIDEAYLVQGHILKHGADGSLIYFFPGCTNEIPLPNAGYPLYNCHELTIPLQTIEKSRAGGTYRETRNMTRNEQGSSSSSTPVQMYEAGWAPTGDAPGWTQAPRHSIGVST >ORGLA12G0190400.1 pep scaffold:AGI1.1:Oglab12_unplaced101:9113:9292:1 gene:ORGLA12G0190400 transcript:ORGLA12G0190400.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPLLEDAGKITCTGQEVRAAGSEEAIFRTEIKEGVEEEAKDAHFITSGDGWKIRALNQKE >ORGLA12G0190300.1 pep scaffold:AGI1.1:Oglab12_unplaced100:8382:11146:-1 gene:ORGLA12G0190300 transcript:ORGLA12G0190300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAGA-associated factor 11 [Source:UniProtKB/TrEMBL;Acc:I1R8P7] MSSSTDAPVSPRSQFALACFEELLETAVVDVASECHRIARLGLDRGVDAEEEELRAWAARDHPGAEEGGGAVGGGGGNKGVVDVFGQVLPAVAADLVDCMNCGRPVAAGRFAPHLEKCMGKGRKARTKTTRSSTAGRTRNNNGSAASSYSPYSSPAIANRASLPNGVTDGSASVTGEDHSNHILPEP >ORGLA12G0190200.1 pep scaffold:AGI1.1:Oglab12_unplaced093:8232:11998:1 gene:ORGLA12G0190200 transcript:ORGLA12G0190200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGESEVVTVATGGQEERQPPPVVKAQNKSQLPMRQKRKKVCTRVRVQDQEEDNDFMEPACQVKSKVAEKATQDHTKHKLSEQEPEDEVEEEDEDEYEDDVEDEDEEEEEDDDNDFMEPALKVKRNVPQNRKTEAMQGSKKKVASKQKLTKDVPVRKRDHFSVNTRCQPNAILEMVGPLNKPQRDRLNALGFDWVFKFQMNGLRSRELIEYLMDCLDPDSMCLDLGGRGKLPVTPDVVHCVLGLQNGHLDPPVVSDTAPLDPIREELGLGKKEKISSSSILDRIKMGGTDDFTMQCILMILFSKLLAPDSSTDITGNIVNMVSKNLEQYKDMALCKFVVDHLRWSAERWKSGKRSTVYGCTALLVLYYLDNLLCKAMISNTDTPRSQFFNSSLIDKIENLTKSTKKDGSTSFGKLNLRCRESTCYFVSKEKVKRKVGAASGSSRKRKHIDELAAQEATVARSKETPRFGGDFPSLRSKLGPLVESLGSTRKQIGLDALEQYDKEVEQIMGNLHKAQDRLVDVLTSLCSTSDPKIAYTRKSKKKEKRWPPPSRFXCSNRQNIWQWQXEHNPSFYRHTSHSGQXCFRRXAKXRQCGELSPNACRGPKRCRSWTTLRASNRCTXXQXCXVVXLGGQNLYKCXRLSGQNMYTCXKYSYAGHCPISCCEPVACDHAYXDGKEEAVGKPQVHIPFQVCFHXTTMGXHWRQCHGSVQNCMQRSTPXCXKEVLNSENHNLTFSFDAAVNIISRECKSFNLPTQVIKYMPSAEPMNGVL >ORGLA12G0190100.1 pep scaffold:AGI1.1:Oglab12_unplaced093:1858:2217:1 gene:ORGLA12G0190100 transcript:ORGLA12G0190100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTRVCRLDHPDSCIQNPDTSAVSCFLVNLFLLVLRLLAGSRLFLSRQEQQQRGVGVSVTKAQHPCGSCSRTANVVSSPKSKFIHLSSKDREPPLLHHLTGDLLTARYADCIFNEEHFSAL >ORGLA12G0190000.1 pep scaffold:AGI1.1:ADWL01025206.1:410:649:1 gene:ORGLA12G0190000 transcript:ORGLA12G0190000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYCAIALFFSVFALLNFVLHRHVVLLVLASSSFRVSSSGHVLYHSRRCHRVSIVVGTYENGIGSLPRFYFCSFQKFLSVS >ORGLA12G0189900.1 pep scaffold:AGI1.1:Oglab12_unplaced090:14962:15705:-1 gene:ORGLA12G0189900 transcript:ORGLA12G0189900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQYRCTHSASCLCLKGHISEDALFLVFRHMNWNPRMIALFSCVSKWFDDIAKRVLWKEFCHARAPRMMQDLHSGGSHIVDGNWKALGKLLIHCAGCTKGGLFGNIHVPGHFVFRTRFSRTCGKSILPPQCRTDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFASSKVKKILIEKQAKFHPKEVCPYCKAKLWNLLQANMIPRSASIRLDAYDDSVEYYICLNGHILGLCTLMPVSDSEDAKE >ORGLA12G0189800.1 pep scaffold:AGI1.1:Oglab12_unplaced090:5319:11776:1 gene:ORGLA12G0189800 transcript:ORGLA12G0189800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPLAAGLRPAMAAAPAPVVAAAWGVGARRGAALSSSARCRALRLSRGGGGGRDGWVPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVDNTRLLDATEKFIQRQPKVLGEDPGSMLGRDLEALIQRARDFKKEYGDSFVSVEHLVLGFAEDKRFGRQLFKDFQITVQSLKTAIESIRGKQNVIDQDPEGKYEALDKYGKDLTAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKFISIHLVTGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTISILRGLRERYELHHGVRISDSALVAAALLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVIKLEMERLSLTNDTDKASRDRLSRIEAELSLLKEKQKDLTEQWEREKSVMTKIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNALQRQLQTTEKELDEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEEELHKRVVGQDPAVKAVSEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAAFMFNTEEAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSVILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRKVSFTNSIIIMTSNVGSQFILNMDEEGGSTDSAYENIKKRVMDAARSVFRPEFMNRIDEYIVFKPLEREQINSIVKLQLARVQKRIADRKIRLEVSPGAVEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDSILVDTQVTVPSNGQLPQQKLVFHKMSEESAPAAAEDEKFLPAV >ORGLA12G0189700.1 pep scaffold:AGI1.1:Oglab12_unplaced089:36746:37228:1 gene:ORGLA12G0189700 transcript:ORGLA12G0189700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGLNLEIRFASVAHPQSNGAVERTNSKILEALKKRLEGAAKGKWPEEMLSVLWALWTTPTRPTKFSPFILLYGDEAMTPTELGANSPRVMFSGGEEGREVSLELLEGVRDEALEHMRKYATGTSATYNKKVRPTELLPGHFVLRKKANPIAVGKLESK >ORGLA12G0189600.1 pep scaffold:AGI1.1:Oglab12_unplaced089:10658:10984:1 gene:ORGLA12G0189600 transcript:ORGLA12G0189600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTTNTGRVRSKGRRGRGQPCRCDAGGGDGDVGRRTGTAEEAAAGDVDEEEGEAGAGDGVPAKFGRRRGLAGEEDGAAVPGKEATTTATAPARRHRRLEAVEWWQHH >ORGLA12G0189500.1 pep scaffold:AGI1.1:Oglab12_unplaced087:21984:30498:-1 gene:ORGLA12G0189500 transcript:ORGLA12G0189500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHFCECYFDLSGPILCPVLGSITLLFIPNSSIRLIRLIGLCVSLITFLYPLVPRIQFDPSTAKSQFVESLRWLPYENIHLYMGIDGLSLFFVILTTFLIPICISVGWSGMRSFGKEYITAFLIREFLMIAVSCMLDPLLFYVLSESVPIPMFIIIGVWGSRQRKIKAAYQFFLYTLLGSVFMLLAILLILLQTGTTDLQILLTTEFSERRQILLWIAFFASFAVKVPMVPVHIWLPEAHVEAPTAGSVILAGILLKLGTYGFLRFSIPMFPEATLCFTPFIYTLSAIAIIYTSLTTLRQIDLKKIIAYSSVAHMNLVTIGMFSRNIQGIGGSILLMLSHGLVSSALFLCVGVLYDRHKTRLVRYYGGLVSTMLNFSTIFFFFTLANMSLPGTSSFIGEFLILVGAFQRNSLVATLAALGMILGAAYSLWLYNRVVSGNLKPDFLYKFSDLNGREVSIFLPFLVGVVRMGVHPKVFPDCMHTSVSNLVQHGKFH >ORGLA12G0189400.1 pep scaffold:AGI1.1:Oglab12_unplaced087:14244:16294:-1 gene:ORGLA12G0189400 transcript:ORGLA12G0189400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 2 [Source:UniProtKB/TrEMBL;Acc:I1R8N8] MILRSLECRFLTIALCDAAEPWQLGSQDAATPMMQGIIDLHHDIFFFLILILVFVSQMLVRALWHFNEQTNPIPQRIVHGTTIEIIRTIFPSVILLFIAIPSFALLYSMDGVLVDPAITIKAIGHQWYRTYEYSDYNSSDEQSLTFDSYTIPEDDPELGQSRLLEVDNRVVVPAKTHLRMIVTPADVPHSWAVPSSGVKCDAVPGRSNLTSISVQREGVYYGQCSEICGTNHAFTPIVVEAVTLKDYADWVSNQLILQT >ORGLA12G0189300.1 pep scaffold:AGI1.1:Oglab12_unplaced087:12874:13359:1 gene:ORGLA12G0189300 transcript:ORGLA12G0189300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGRMLRRIIRTMRFYFYGFHFGEGSNLRLLKRFMSHGKSLGLSYLSAAFGLPLHSTSDTGEDVRLIHLLNFRGEKRLPVHELLQSIENPYRLSISVIDGCRSGVLRTRGTCMQTGEEEENLECRLLRHNQGPLAQIETLNSRCDVHLFHFIISWKKRIN >ORGLA12G0189200.1 pep scaffold:AGI1.1:Oglab12_unplaced087:8174:8632:-1 gene:ORGLA12G0189200 transcript:ORGLA12G0189200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTLKAKAKKDHINYSPNCRISHRIQMEDRKRRQFKPNGQTLRIQDRKTYSHKRITREQKQLHILLACLSDLLSNCHGSTTEDWLLRPSTRSWAIPDRQADGMDSLYMDGRNASTAEP >ORGLA12G0189100.1 pep scaffold:AGI1.1:Oglab12_unplaced087:6262:6825:-1 gene:ORGLA12G0189100 transcript:ORGLA12G0189100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILQLQRSICITPEQWTGEGVSFIYGVWKRGRSRIDCYLKKWNGSDYPLASALTLLTTPTLCLLRFLIHVLMSISICMILILQSIYPLVLPIELLSMFFPFREMVAVPNAELAAVPNDQCLWGFPSLQLSPVSPMCWALNTFQDPVLVGRRFFTFVKVVLRWNRTTLLGFRVQRAKLKRISSPLL >ORGLA12G0189000.1 pep scaffold:AGI1.1:Oglab12_unplaced084:2827:3589:-1 gene:ORGLA12G0189000 transcript:ORGLA12G0189000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VXFGAHRRGYAAPEYIRGDVTLKCDVYSFGVVLLEIISGQKNTLRPSLLSKAWKLWDEHRIMDLVDPSMVRRCSGAEGLQSHVRRCIQIGLLCVQDSPCDRPTMSQVLAMLTGDDSSWLNKPKPPAMFDDHHRH >ORGLA12G0188900.1 pep scaffold:AGI1.1:Oglab12_unplaced083:67960:68403:1 gene:ORGLA12G0188900 transcript:ORGLA12G0188900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSTKPRVCSHLLSWSFLHLYKLLAATILHFGFCLDVSLAAATSLYSRVSARPPGYLYSEPHFGLFQFHLLTSAMSCFLIILFLLVLRLLAGSRLFLARQEQQQRGVGVPVAKAQHPCGSCSRTANVVPSPKSKFMLLSSKDREPPLLH >ORGLA12G0188800.1 pep scaffold:AGI1.1:Oglab12_unplaced083:61152:61484:1 gene:ORGLA12G0188800 transcript:ORGLA12G0188800.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHLLTSAMSCFLVILFFLVLRLLAGSRLFLARQEQQQRGVGVPVAKAQHPCGSFSRTANVVPSPKSKFILLSSKDREPPLLHQVVSELKLLGERFYHFFVFTYNPKKPQK >ORGLA12G0188700.1 pep scaffold:AGI1.1:Oglab12_unplaced083:54196:54822:1 gene:ORGLA12G0188700 transcript:ORGLA12G0188700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQGDGDDDGDDARGMRKQRRLETVTRRQRLRRRRQWREGKAEARVGEETAEGEGKGEGESGGREGGGETDGGSSAGDGDGGRRRWQRDGEAAAGVEAAARGDGDGVRGRRRRQCLVAARERESKRLGFEGYALVGVFLRKSPSSSTYHNKAPVRDFFSSNTGREGPIPDYEKPEGFFEKIQPRTVATVAKSDVDTPSVRPFRHT >ORGLA12G0188600.1 pep scaffold:AGI1.1:Oglab12_unplaced083:44882:52841:-1 gene:ORGLA12G0188600 transcript:ORGLA12G0188600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHPKTQRTXXXXXDHINDSDQSSTIAGCSACCDHAMTSENTEDQRFQSIANNMRLVDLKLRMVCDTFGLHTRIFHVLQLSIIHLQRRKGSEENLRKYLRPCLVSQESRSWSHANMHLANVVLSFQIRPCCIDQYIQHDDSIISIHGDIVYPDKNSIICRLEAIEKYFSLETVKDIVEAMVSDASVVLYFQISGHDMNCKLTEKVVHCGLKSPINQEGCDAMLNLILESRALCSSIVLFAMIMTFKFVINYL >ORGLA12G0188500.1 pep scaffold:AGI1.1:Oglab12_unplaced083:38314:40223:1 gene:ORGLA12G0188500 transcript:ORGLA12G0188500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGTIMESRDATFFESFFPMKDTHSSSSQPSEIIPSSITPPEQTKHTHEHVTKEDVSETPRRSKRQRMAKSFSDDFTVYHVDDTPKSISEAYASPDADYWKEAVGSEMDSIIANGTWEVTERPYGCKPVGCKWMFKKKLRPDGTIEKYKARLVAKRYTQKEGEDFFNTYSSVARLTTIRVLPSLAASHGLLVHQMDVKTDFLNGELDEEIYMDQPDGFVVEGQEGKVCKLLKSLYGLKQAPKQWHEKFDKTLTSAGFAVNEADKCVYHRHGGGEGVILCLYVDDILIFGTDLEVINEVKSFLSQNFDMKDLGVADVILNIKLIRGTIELGLHYTGYPAVLEGYSDSNWISDVDEIKATSGYVFTLGGGAVSWRSYKQIILTRSTMEAELTALDTANVEAEWLRDLLMDLPIVEKPIPAILMNCDNQTVIVKVNSSKDNMKSSRHVKRRLKSVRKLRNSGVITLDYIQTARNLADPFTKGLSRNVIDNASKEMGLRPM >ORGLA12G0188400.1 pep scaffold:AGI1.1:Oglab12_unplaced083:37182:37697:1 gene:ORGLA12G0188400 transcript:ORGLA12G0188400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VARGSTVLMGNGSYAYVHGVGTVDLKFTSGKIVQLKNMQHVSSIDRNLVSGSRLTRDGFKLVFESNKVVVSKHGYFIGKGYECGGLFRFSLSDFGNKSVNHICGSVDDKANVWHSRLCHINFGLMSRLSSMGLIPKFSIVKGSKCHSCVQSKQPRKPYKAAEERNLAPLEXX >ORGLA12G0188300.1 pep scaffold:AGI1.1:Oglab12_unplaced083:28699:29172:-1 gene:ORGLA12G0188300 transcript:ORGLA12G0188300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGVQTEAPEERARRGELTEGRHDDEKRLAMRSGVTTGYRSMVAAEFXRRETTTEGWTGFSSQLRCRGRLWRGPATMGSIRRLHRRSKQGSNPLVQGDGNLPAGFGAKEPAAGVELTLAKPREATAQGGVDQRGGAALLEMVAALERMGLAGRDG >ORGLA12G0188200.1 pep scaffold:AGI1.1:Oglab12_unplaced083:24741:25374:1 gene:ORGLA12G0188200 transcript:ORGLA12G0188200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSQRRAPWGRTLLLHPHFLLALPLSNPEPEPVGKLDVKDPGSPDLLSLVAVVTTATSQTRHPSRACTGDVQETEVKADLPRLHLTLRGSNRQ >ORGLA12G0188100.1 pep scaffold:AGI1.1:Oglab12_unplaced083:23278:23748:-1 gene:ORGLA12G0188100 transcript:ORGLA12G0188100.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCIEHPIKFISVKQPNVVKFKIKPGSDSGASDSRAKRISSFLFHPFFPLALSIQQTYMQPTVVNLHFRR >ORGLA12G0188000.1 pep scaffold:AGI1.1:Oglab12_unplaced083:17937:18793:-1 gene:ORGLA12G0188000 transcript:ORGLA12G0188000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDHGCLHAARQHHLKGRQQELTLAVCRAHHVKNKNLLLGVMIVILRLTVLICKKCFSMTMKTLCTEELYKGELHDVFLSLQEAAQPLAMKMKALLARMLYSMLSQEMMSQ >ORGLA12G0187900.1 pep scaffold:AGI1.1:Oglab12_unplaced083:13678:14772:1 gene:ORGLA12G0187900 transcript:ORGLA12G0187900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQQQVERQPDLPPGFRFHPTDEEIITFYLAPKVVDSRGFCVAAIGEVDLNKCEPWDLPGKAKMNGEKEWYFYCQKDRKYPTGMRTNRATEAGYWKATGKDKEIFRNHHMLIGMKKTLVFYKGRAPKGDKTNWVMHEYRLADASPPQPPPPPSSAEPPRQDDWAVCRIFHKSSGIKKPVPVPMQMPMQMQMPVAHQVPAANYQQQMAMASASIIQAPMQMQMPSMSDQLQMLDDFSTGSLMAPPPPPPSYSTLPGFPLQINGGAQQFVGNPSMYYQQQQQQQMDMAAGGFVVSEPSSLVVSPQDAADQNNAADISSVACNMDATIWKY >ORGLA12G0187800.1 pep scaffold:AGI1.1:Oglab12_unplaced083:5801:7593:1 gene:ORGLA12G0187800 transcript:ORGLA12G0187800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTTTQQQQQTRSSKEAGGGAAARSRGVSSKKSPWYQRAVEVLLLIWKQPTGAGTGTGTTTKAAAAAGVTGGKAAASGMGARLRKSSSLNVAASFTRVCLCAPISMYNEQALYFQAGDVTPHRSYSYPRASSASASGCGGGGNSNPLVAPPPAAEKRALMRTPGPGGESARRPVFRGKSLTDDILMRRFVVDEEATRRRNEMEVIRSRHAAAAKRRRLGPSPLRRMALAESESEADDDEEETTTTEAAEPTSRNQVIRTTRKSIIASGQKRSSQAGRPSACKQTTMKIAGLLRRSGRPPAKIIFAGGRWLVRSACEN >ORGLA12G0187700.1 pep scaffold:AGI1.1:Oglab12_unplaced083:2993:4732:-1 gene:ORGLA12G0187700 transcript:ORGLA12G0187700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARSSVVLRMGDKIGNFVHLLVTFLSSFAVAFAQQNSCVMKSTYSSNVPENVAAGMAMGTVIVLLFCGYSLGISYDAKLILEKGYTCTGAQVMNVIFVVLIGLLASGQASPSMREFAGGQAAVYKILETINREPKIDAYSTTASNLPINIQESYY >ORGLA12G0187600.1 pep scaffold:AGI1.1:Oglab12_unplaced083:461:733:1 gene:ORGLA12G0187600 transcript:ORGLA12G0187600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVIVVPWEQGQLGSANGAGTPCSAEACNTSRYSSAQEDHASSPYSWSSTVEQLHAVLHLGMNTEMSKLTNYVSINHENHKKKTTLQI >ORGLA12G0187500.1 pep scaffold:AGI1.1:Oglab12_unplaced082:718:1000:1 gene:ORGLA12G0187500 transcript:ORGLA12G0187500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDREEIGAVQMRVVASNGGVEDGRIIEVTDGEIKRRWQDERRLIEMGATTRIELCRNGQRMDAARRSEQYET >ORGLA12G0187400.1 pep scaffold:AGI1.1:ADWL01025160.1:1545:1922:-1 gene:ORGLA12G0187400 transcript:ORGLA12G0187400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQQVKPSDSQPPPATPVDSQDSTAPPVRTPDPVSQATSNDSATQFASTFHVAPSPAPVPNAVPIQDAAGREAQSSIFSTSGLSSWAKNLKIPQPSSGQESPTGKNTFSCLTSGLGLRLSPKAP >ORGLA12G0187300.1 pep scaffold:AGI1.1:ADWL01025159.1:914:4887:-1 gene:ORGLA12G0187300 transcript:ORGLA12G0187300.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSLLYGSVDNGKKISWNESFHSKVVEAAKRLHVKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGLQHRFCVLRPELVASFIEAESTNKSTTQKVADAPGESNGQLATTAEGPAKSDENSVPRPDKFDEDSGPRPGTSDDSSATKPAEHNESTAEILFNPNVFTEYKLAGSPEEIAADEALVKKVGSYLLDTVIPKFVQDLCSLDVSPMDGQTLTDVLHSNGINVRYLGKVADMIKHLPHLRGLLSSEIIVRSAKHVVKEILRQSADHDIGPAIAHFLNCFIGNVLAPSTKGSVDSTDTKTQKGHEKTQNQKSAKGQKSSLSASSKNIVPTFSHLTSDGIWSNIKEFAKHKYLFEVTDDAKTGAKRVAVLRNLCQKVGITIASRKYDLDAAAPFQPSDILNLQPVVKHSVPVCTDARNLMEAGKIRMAEGTLNEAYALFSEAFSLLQQITGPMHKDAANCCR >ORGLA12G0187200.1 pep scaffold:AGI1.1:ADWL01025155.1:523:2272:-1 gene:ORGLA12G0187200 transcript:ORGLA12G0187200.1 gene_biotype:protein_coding transcript_biotype:protein_coding CITGNSKSFYVNSSNGSILDPRPSKQALEDSTLVGLLQKISAKFKKGFREILDRKASAHPFENVQALLPVTSWLGAYPVPEHRRDAARAEDSVVLSYGTELIGMQRDWNEELQSCREFPHSNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEQLPKDQKPNGQNGSGRSVIGSSDPGSKPNKNHADPSGTTNSKTEEPNGVLDNTSDASAEAQIADSEQATYASANNDLKGTKAYQESDIPGLYNLAMAIIDYRGHRVVAQ >ORGLA12G0187100.1 pep scaffold:AGI1.1:ADWL01025154.1:1775:4718:-1 gene:ORGLA12G0187100 transcript:ORGLA12G0187100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACAASTATIDWELLEAAISGDTRSMKMKYMDSHDPTILLGKNPQGNTCLHISSMCGHLEFCKDVLSLPQDPTVKKKLLTTVNVMNETPLLTAITSGHVTLAAFLLKYCHEQGFSEVILKQDKHKCNALHHAIRNGHKDLALELIATQPALSKDVNKYGESPMYIALMMRDSKFTDIFEKLLGIDGSSHSGTYGYNALHAAIRNGNPDIAKRIIVERPNLATEENKDGNTPIQLAVRWGKIDMLRVLLKHDRSQGYVINRKNGYPLLLSAAHRGHVAVAREIIKYCPDAPYCKKDGWTCLHKAVKSGNMEFVEFILGEPRLQKLVNMRSSKGKTGLHYAVQKCDPKIVAALLDKKIDLTILGSDGNAAAWELRDALDSAKTLNWNEVSMLMIKADPPNAKSVYNLHEEAKEKLINASRKDARSLTQTYTSNTSLVAILIATITFAAAFTLPGGYSSDAGSQGLPIMARNVAFKAFLISDTLAMCASLAVAFICIIARWEDLDFLLYYRSFTKKLMWFAYMATTTAFATGLYTVLAPRLLWLAVGICSVAVLMPILTKVLGEWPVLKLRIRLGQAFKSEFLDMV >ORGLA12G0187000.1 pep scaffold:AGI1.1:ADWL01025153.1:57:383:-1 gene:ORGLA12G0187000 transcript:ORGLA12G0187000.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQEQEGEEEEEFEFQERMDLSGAQGELPIPMHASAAASPFAGMGAHGGAGGGHVVELHRHEHVGNNGQAMAMASPPPTNVAVAAEQEGSPVAGKKRGGMAVVGGGGGVA >ORGLA12G0186900.1 pep scaffold:AGI1.1:ADWL01025150.1:2377:3128:1 gene:ORGLA12G0186900 transcript:ORGLA12G0186900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAGWDPIVHPGIPLCHAGDAKFTLESFVALNMFVGFHQWDFGLSALHDRSSYDRXRFFDEFVELKAAPAAEFLDARSSRWGTLDEFPCDRYLSVVHKRMEAAFFGSTAQHGTVASAGARSPTRHGSSSSPRWRATSGYCIASSSRSMAVVQQAI >ORGLA12G0186800.1 pep scaffold:AGI1.1:ADWL01025149.1:411:2281:1 gene:ORGLA12G0186800 transcript:ORGLA12G0186800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVITVVVVVGVVLALAAAASVMAAEYSVVDYGARAGGRADAAGAFLAAWAAACGDDGERPVMRVPAGTFLVGRAYFRGPCRSAGGVVLAIDGTVVAPPAVGNASWITFHYAHGLAIRGGTLDGNGHAFWACKAAAGRRCPPGTTTLDISQSNNVSVKRVTLVDSKNVHVSIFDCAGVTLQGVRIAAPADSPNTDGIHVALSRDVAVLSATVRTGDDCVSVGPGTSGVAIRNIRCGPGHGISIGSLGGRASEGEVRNVTVESASLAGTQNGLRIKTWGKPFAGRVSGVRFANVAMRDVQNPIVVDQNYCPGNVNCPGQSSGVKISDVEYEGITGTSATTVAVRFDCSGSNPCTGIRLRNINLTYDGGGGKPARSFCKNAGGSASGVVIPPSCLRPATARHGTTPPTYGSKRTMVASTCENLGDLRRKKQAILVGVSGSYDGRP >ORGLA12G0186700.1 pep scaffold:AGI1.1:ADWL01025146.1:2040:4806:-1 gene:ORGLA12G0186700 transcript:ORGLA12G0186700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLLEAATSGDSRSLKNMVSQDPSMLLGTTPQGNTCLHISSIHGRESFCKDLMVLSPCLVAKVNLYGETPLLTAVTSGHDALASVLLRCCLELGQSEAILRQDRDGCNALHHAIRSGHKELALELIEAEPALSQGVNKHNESPMFIAAMRDLTDVLEKVLEIPNSSHVGACSYNALAAAVRNGNAAIAKKIVEARPWLAREENTKGTSPVHLTVLWDKADVLRVFLEHDQSLGYITTTNGTPLLNAAAYRGHIGAARELLKHCPDAPCCSANGWTCLHQAVHAGNTEFFEFIMRTPQLQRLVNMRDSSGKTALHYAVMKRNPKMVAALLSRKDVDYTMVDNSAQTASSHLWDAKDAKTLIWNEVSMLMLRADPEDATCLSNLLEEAKQKVTNESRKDVKSLTQSYTNNTSLVAILIATITFAAAFTLPGGYSSDDGHPIMARKLAFQAFLISDTLAMCSSLAVAFVCILSRSEDLEFLLYYRTITRNLMWLAYMATTTAFATGLYTVLAPRILWLAIGICFLSILLPVLTKLIGEWPVLKLRFRLGHAFKTKYLDIV >ORGLA12G0186600.1 pep scaffold:AGI1.1:Oglab12_unplaced066:5809:6090:1 gene:ORGLA12G0186600 transcript:ORGLA12G0186600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIQREEDSRGVDSDCRAVPTSDGRHDFKRTPIWACKYFMESLSSLLSNGSSHMSICVLERPQLSFYSRLFSVHGAASPLLAQWAVYPSWAH >ORGLA12G0186500.1 pep scaffold:AGI1.1:Oglab12_unplaced066:4927:5720:1 gene:ORGLA12G0186500 transcript:ORGLA12G0186500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRXDGPFKVLAKINENAYKLDLPADFGVSPTFNVADLKPYLGEEDELESRTTQMQEGEDDEDINTIDTSTSPHVQHDGPITRARAR >ORGLA12G0186400.1 pep scaffold:AGI1.1:ADWL01025141.1:3212:4648:1 gene:ORGLA12G0186400 transcript:ORGLA12G0186400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVRVVSTRTVKPPPRPRERIPLTSWDVAMLSANYIQKGLLFAPPHSSSSALLSTTAHVVEHLAAALAATLRAYYPVAGRFVTEKRPGGGGCSVSIDCDGQGVEIVHAVAGGVSVADVVPPDADVPCGLVHSFFPLRDAVNYDGHHLPLFVVQVTELDDGVFVGFAYNHALSDGTAFWDMVNAWAEIARARLTTAAAPQPTSRPPPLLKRWSPEEDDDATVVLPYADVSELIERLDTPPLRERMVHFSPESLAALKERARQELLDAGDTAGAAAITKFQALTSLLWRCITRARCSSPDQETVCRVAINNRGRLRPPLPREYFGNSVYAIGTEASPVRASELVQERGHGWAAAAVGRAVAAHTDAGIRARVAAWGARPTVYTARCFDASGVMVGSSPRFDMYGCDFGWGKAVAARSGKANKSDGKASLYPARDGGGGVDAEVVLAPEHMAALELDGEFWAAVTPDHVLRACSSTKA >ORGLA12G0186300.1 pep scaffold:AGI1.1:Oglab12_unplaced064:980:1261:-1 gene:ORGLA12G0186300 transcript:ORGLA12G0186300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIQREEDSRGVDSDCRTAPTSDGRHDFMRTPIWACKYFMESLSSLLSNGSSHMSISVLERPQLSFYSRLFSVHGAATPLLAQWAVYPSWAH >ORGLA12G0186200.1 pep scaffold:AGI1.1:ADWL01025137.1:9017:9497:-1 gene:ORGLA12G0186200 transcript:ORGLA12G0186200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATVSDVTLTAPHFVPVDFATRPEIAPFVDGVCQVIAPSEGLGLFTEMNEFSESCAAVESLFVWGLAAHLSAKKSALERLDGYRLRLRKAEDDLRDKEDERRVVADTLRKMNAENKSLTGENKSLRADLEAAN >ORGLA12G0186100.1 pep scaffold:AGI1.1:Oglab12_unplaced062:18357:18725:1 gene:ORGLA12G0186100 transcript:ORGLA12G0186100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDATIAHIMDEQEDIKIKSSKCWNPIRPPTTLLTSNGRRICIRPPFSGREYLMESSWSPLSNGSSLIAKFHLIEPQLKQQGAASPIMGLWACNFVWDPGPSGAHVGCAPTRWSTTLRSL >ORGLA12G0186000.1 pep scaffold:AGI1.1:Oglab12_unplaced060:36214:37413:-1 gene:ORGLA12G0186000 transcript:ORGLA12G0186000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYLAEDRDPVRCWVVVAAVAFVTLIVLGVGSVDDTPVELPKKLYIGLPSAKTIQLPDGRHLAYKEQGITADRARFSLIAPHSFLSSRLARIPGIKPSLLEEFGARLVIIN >ORGLA12G0185900.1 pep scaffold:AGI1.1:Oglab12_unplaced060:34793:35607:-1 gene:ORGLA12G0185900 transcript:ORGLA12G0185900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYIILCSYEICIYKMWDTILFVXYRIVYLPNGSQLYSNLKFTVNFIFYEYHVVPRICIGSQCVIIILAKGDICRIVDIQKVKLS >ORGLA12G0185800.1 pep scaffold:AGI1.1:Oglab12_unplaced060:27768:30785:-1 gene:ORGLA12G0185800 transcript:ORGLA12G0185800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAYRLTAMLAMLPILLLDTQPMAAAVPCLPGQASALLQLQRSFDATVGDYSAAFRSWAAAGTDCCSWEGVRCGGGGDGRVTSLDLRGRELQAASLDAALFGLTSLEYLDISRNNFSMSMLPSTGFEKLTELTHLDLSDTNFAGRVPAGIGRLTRLSYLDLSTAFGEDEMDEDEENGVMYYSSDEISQLWVPSLETLLTNLTRLEVLRLGMVNLSSNGERWCDAMARFSPNLQVISMPYCSLSGPICRSLSSLRSLSVIELHFNQLSGPVPEFLAVLSNLTVLQLSNNMFEGVFPPIILQHEKLTTINLTKNLGISGNLPNFSADSNLQSLSVSKTNFSGTIPSSISNLKSLKELDIGVSGLSGVLPSSIGKLKSLSLLEVSGLELVGSMPSWISNLTSLTVLKFFSCGLSGPIPASIGNLTKLTKLALYNCHFSGEIPPQILTLTHLQSLLLHSNNFVGTVELASYSKMQNLSVLNLSNNKLVVMDGENSSSVVSYPSISFLRLASCSISSFPNILRHLHEIAFLDLSYNQIQGAIPQWAWKTSTQGFALFNLSHNKFTSIGSHPLLPVYIEFFDLSFNNIEGAIPIPKEGSVTLDYSNNRFSSLPLNFSTYLTKTVFFKASNNSISGNIPPSICDGIKSLQLIDLSNNNLTGLIPSCLMEDADALQVLSLKENHLTGELPDNIKEGCALSALDFSGNSIQGQLPRSLVACRNLEILDIGNNKISDSFPCWMSKLPQLQVLVLKSNRFIGQILDPSYSGDTNNCQFTKLRIADIASNNFSGMLPEEWFKMLKSMMNSSDNGTSVMENQYYHGQTYQFTAAVTYKGNNMTISKILTSLVLIDVSNNEFQGSIPSNIGELTLLHGLNMSHNMLTGPIPTQFGNLNNLESLDLSSNKLSGEIPQELPSLNFLATLNLSYNMLAGRIPQSSHFSTFSNASFEGNIGLCGPPLSKQCSYPTEPNIMTHASEKEPIDVLLFLFAGLGFGVCFGITILVIWGSNNSKQQT >ORGLA12G0185700.1 pep scaffold:AGI1.1:Oglab12_unplaced060:18689:20318:-1 gene:ORGLA12G0185700 transcript:ORGLA12G0185700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEAASGKKLISASDVVGHASRKDCWVVIHGKVYDVTKFLEDHPGGEDVLLHASASGDATEAFEDVGHSTSAISMMNNYLIGSIKDYVPPSASEATTVGGNDVPPNFRRMPQKKGPPAPNTFLDFLLPLFVLGLAFAAWYYLTFIAKA >ORGLA12G0185600.1 pep scaffold:AGI1.1:Oglab12_unplaced060:14118:14339:-1 gene:ORGLA12G0185600 transcript:ORGLA12G0185600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGVSIWHTTELLCAYGMRSRIWKESKFGTFGYVKFLSCTRGFPKVFRTPSMSLVRGFRLPTSCLNRGGA >ORGLA12G0185500.1 pep scaffold:AGI1.1:ADWL01025127.1:627:1682:1 gene:ORGLA12G0185500 transcript:ORGLA12G0185500.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEIFGPVLLLMKAESLDDAIQIVNRNKYGNGASIFTTSGVSARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTVTQQWKESPAQRVSLSMPTSQK >ORGLA12G0185400.1 pep scaffold:AGI1.1:ADWL01025121.1:1303:1731:-1 gene:ORGLA12G0185400 transcript:ORGLA12G0185400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LMRQEAQEAQMDIAHARTLHVHALRMRLRAQAELVHCCNTDDGKGDNGEKAGDAGIREGSVVDSLAGADRFTDSDNIGYVVDSLANNTFVADSLEETDAEEKTT >ORGLA12G0185300.1 pep scaffold:AGI1.1:ADWL01025120.1:280:2322:-1 gene:ORGLA12G0185300 transcript:ORGLA12G0185300.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVVNNICDDEDIKAVSFVGSNIAGMHIYSRASAKGKRVQSNMGAKNHAIILPDADRDATLNALIAAGFGAAGQRCMALSTAVFVGGSEPWEDELVKRASSLVVNSGMASDADLGPVISKQAKERICKLIQSGADNGARVLLDGRDIVVPNFENGNFVGPTLLADVKSEMECYK >ORGLA12G0185200.1 pep scaffold:AGI1.1:ADWL01025119.1:2994:4271:-1 gene:ORGLA12G0185200 transcript:ORGLA12G0185200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLLLAAAVLASAAAALADPPPPPSPTPWPERFHAVLFTNLTQTSGGGKLQMTDLYYDWPGGRNLNLVAGQLSGDPVYDVEWTNGTSYYFDSASCRTLLFPVGILPPDWLAAGAAYLGREAVDGFDCHVWTKVDFIWYYEDVVTHRPVRWNFYTGMQQHVMSFEVGGALEDSKWQAPAYCFNDQEAATNSDTANDNEDGEVDAMSSLLKFFRAQQTAVAAV >ORGLA12G0185100.1 pep scaffold:AGI1.1:ADWL01025119.1:812:2168:1 gene:ORGLA12G0185100 transcript:ORGLA12G0185100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRLLPLLLLLAAAAAALDPRTPTPWPEQFHAVVFTNLTGSGGRLQLIDLYYDWPRGRNLDLIRGQLSGDPTYDVEWTNGTSYFFNATSCRTKLFPVGLLPPDWLAAGAVXLGRETVAGFDCHLWTKVDFVWYYEDVVTHRPVRWNFFNGMQQHVMSFEVGGVLEDSKWQAPARCFSDQHTVIADPGAATVTDDRVEENVKFGSSSDCKANPETFDLQRM >ORGLA12G0185000.1 pep scaffold:AGI1.1:Oglab12_unplaced052:863:1993:1 gene:ORGLA12G0185000 transcript:ORGLA12G0185000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQASDSSSEVSVNRVQDDQRMMMEDVDSLKRMVSALEEQAASIESQFHDYCDMKEQESTYQKMQIMCLGMKLEQLESQNQRLEAAAAEIRASAEEFATMRARFDAMQSKSKKIWKKNKQDLDAIDERVLALDAREAEMATRCQGFEQFMEEMKQLTLQLQKEKGTNNENVEVIVERSMRKLGSSGRDVLDGLEALRDRWAADMEEMIYLGWITAWLQHDLLVLDGGEVGTPAAIIGDETPAQPRHKGEKVVVAVAPSNEVELCKAASASSSSSSEMRGAAEPSCMMGFVAGGCRSIGRPRLLRRLRGWAGGKGGNSRRQCKIEFPTSPM >ORGLA12G0184900.1 pep scaffold:AGI1.1:Oglab12_unplaced051:21739:23613:1 gene:ORGLA12G0184900 transcript:ORGLA12G0184900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDAGTVSSGGASPAFFPPPPRQNPLRVILTAAFARQVAVGRWFTVFASLLILTASGATYIFGIYSPALKASLGYDQHTLNTVSFFKDLGANLGVLSGLINEVTPPWVVLAIGAAMNLSGYLMVYLAVAGRTAAPPVWLVCLYVFVGANSQSFANTGALVTCVKNFPESRGVVLGILKGFVGLSGAVYTQLYLAFYGDDAKSLILLIAWLPAAVSVVFVHTVRIMPYPRRRGGQETSVDPFFCFLYISIGLAAYLLVMIVVQRQFAFSRTAYSCAAAALLIVLFLPLCVVIKQEFKIHRERLELAAAAPPPHTITVLEMSNTSKKETERPSSPAPAEPSWVKGVFRPPARGEDYTILQALVSVDMAVLFVATICGVGGTLTAIDNMGQIGQSLGYPARSTNTFVSLISIWNYAGRVAAGFASEAFVERWRLPRPLVLTGVLLLACAGHLLIALGVPRALYAASVIIGFCFGAQWPLVFAIISEVFGLKYYSTLYNFGGMASPVGSYILNVLVAGRLYDAEAGRQPGGGLAAGAGRDKVCLGVDCFKKSFLIITAATVLGALVSLVLVWRTWSFYKGDIYARFRDGGGAIAGDGGDGRLPVDQRRRPPPPPEEEESTAVNGRKE >ORGLA12G0184800.1 pep scaffold:AGI1.1:Oglab12_unplaced051:7257:11062:1 gene:ORGLA12G0184800 transcript:ORGLA12G0184800.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGGGGGEGKVACAAWIRRREEKEKATRVFAAYGRAGSPPAVEVLGFDSKECSLSEPLARAELGEEPGDAPRGIAVHPSGDELVCATAKGCRLFKLIFEEFTVRLISRDAPPLESVGPQKCLAFSTDGSKFAIGGEDGHIRIFHWPSMNVLLDEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWKIDEGVPLVNLTRSADEKIECCRFSRDGMKPFLFCTVAKGNKVVTVVWNISDWSRIGYKRLLGKPISTLSVSMDGKYLALGSHDGDFCAVDVKKMDVSHWSKKVHLGSPVSSIEFCPTERAVISTSHQWGAELTKLNVPADWKEWQVWLILLSLFLVSAILFYMFYERSDSFWNFPMGQHQPAKPWSVLKESPPVPEDQNPW >ORGLA12G0184700.1 pep scaffold:AGI1.1:Oglab12_unplaced051:270:801:-1 gene:ORGLA12G0184700 transcript:ORGLA12G0184700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGDFSEDNRSGINHSLHRISAIRNRKAHIIGLTCRVGRAISGSAEMIRDLVVGGGSILVIGPPGVGKTTLIREIARILADEGKKRVIIVDTSNEIGGDGDVPHSGIGRSRRMQVPKVSMQHN >ORGLA12G0184600.1 pep scaffold:AGI1.1:ADWL01025113.1:2098:2313:-1 gene:ORGLA12G0184600 transcript:ORGLA12G0184600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGEDSIWHTMEVLCAYGMRSRIWKESNFGMIGYVEFVSCTRSMGIRTSSMSLVRGFRLPTSCINRGGA >ORGLA12G0184500.1 pep scaffold:AGI1.1:ADWL01025111.1:246:2904:1 gene:ORGLA12G0184500 transcript:ORGLA12G0184500.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGHGCTTQKELIQRSXRKQANLLTSQSCFDDAENFIIEDMSQGNIPEYGGSGIENEGIDFDLEDMLRHFEPEVLTGTRRGLDNWEALEKAAXELLYDEAKGCDKDYSVLRSVLELLRLKARHGWSDTSFNDLMDLLRVMLPKPNLLPTNTYEAKKLICPLSLGVQKIHACENHCILYRKEFADLDSCPTCGTSRYKTCNRASDGEVVDKDDAPVDENKKIARMVMWYLPVKDRLKRLHSNRDDAELMRWHQEGRKIDGKIQHPADARQWKNIDALHPEFAKDPRNVRFALSTDGMNPFSDLSSTHNTWPVLLTMYNLPTWICQKRKYILLSILIQGPRQPGINVDVFLESLMEDMQELWEEGLRMWDEYRREYFTMHAIIFIMINDLPANFSLSGQFKGKFGCLICIDKTSYKYLTSLTKGVYMRHRRFLPQRHRWRAKARLFDNTVENFLAPETRTGHSIFDLTKNIKVVFGKPKKKPVKRKKRTDQDTTDAPLEESNQPFKKHSIFFRYLDYWKDLEVRHAIDVMHLXKNVFDSTIGTLLDIPSKMKDGLKSRTDLVNLDIRRGLHPKELPNGKIEIPPACYSLTPDKKKSLCRCLHSVKVPIGFSANVRKLVSLKDLTISGYNAHDCHKMLTVFLPIAIRAVKPVHTRLVITKLCYFFNRVSXKVFDPKELGPLQSFAIETACQLEMFFPPAYFNMMEHLIVHIVPQIIEIGPLYLNQMWAYERYMSVLKGYVRNRAHLEGSMIEGYTTEEVVECCIDYMKDAEPIGVTLPLHEGRLAGTGIVGKKRFKDERDKSPQP >ORGLA12G0184400.1 pep scaffold:AGI1.1:Oglab12_unplaced047:147:4498:1 gene:ORGLA12G0184400 transcript:ORGLA12G0184400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKNASSISFKDIEKSISNWKIPKVNIKEIYHVEREINSIRAKHQYHYLHFGLIQVAIRSLTRKSLNVSVLACLRDCRNKRFKDSLLGMVEASLSNGPVYFNTFPDFSVSLSDTNIHKVLTLNLQTSGYELEPGSENISVTYRVYYKAMTTLVPCAKHYTPKGLTTLLQTNPNNRCTTPKTLKWDEITLPEKWVLSQAVEPKPMDLSEVESLIETLDGDVEIPFASKQKAFLQSRPSVSLDSRPRTKPQNVVYATYEDNSDEPSISDFDINVIKLDVGFVIAIEKDEFXIDKDLLKKELRLQKNRPKMKRYFERVDEPFRLKIRELWHKEMIEQRKNIFFFDWYESSQVRHFEEFFKGKNMMKKEQKSEAEDLTVIKKVSTEWETTSGNKVDSVHPPFKSIQLSHNGGKACPLKSISKNTYGETTKVEHIGHLVEQQNYANISLRSLGQQTDXIETILMEGYKTGRPEVKINIPSNSQSSSSQSVSPMFVPTIDPNIKLGKQKAFGPAISEELVSELGLKLNNLKVNKSINEISDNEKYDMVNKIFKPSTLTSTTRNYYPRPTYADLQFEEMPQIQNMTYYNGKEIVEWNLDGFTEYQIFTLCHQMIMYANACIANGNKEREAANMIVIGFSGQLKGWWNNYLNETQRQEILCAVKRDDQGRPLPDRDGNGNPTDFRWYKDTFLSKLYTLPEPNQDFWKEKYISGLPPLFAEKHITLIDSGADVNCIREVCIKTSFLLVKNLKXNVILGTPFLSLIRPFLVTNEDIQFEIMGKQVSLRFSSNVDEILDQLVQTKREQVVNTIYLHDNSFPSYLPKSMDLPDQKITEKRAKLQDIPHHEERLLDYREEKSRDGQDKLPMEVEQSMATDKNTKPKAPVDLVPTKILAKRNLKAKIP >ORGLA12G0184300.1 pep scaffold:AGI1.1:Oglab12_unplaced045:154748:156292:1 gene:ORGLA12G0184300 transcript:ORGLA12G0184300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAMAGDQMHVLSALDSAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLIGRVYYTADGASKPGSLPPNVSAAVNGVAFVGTLTGQLFFGWLGDRVGRKSVYGMTLLLMIICSVASGLSFGDTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILAGGAVAIGITAIFRSRFPAPPFAADPAASTPPQADYVWRLILMFGALPAALTFYWRMRMPETARYTAIVAKNAERAAADMSKVLQVKITAEQAEMASPVDKPFTSKPFGLFSGEFARRHGFHLLGTTSTWLLLDIAYYSQNLFQKDIFSAIGWIPEAKTMSALDELYHIARAQTLIALCGTVPGYWFTVALIDVVGRFKIQAAGFFMMTAFMLALAVPYDHWTAAGNQIGFVVLYALTFFFSNFGPNATTFIVPAEIYPARLRATCHGISAASGKVGAIVGSFGFLYLAQSPDPAKAAAHGYPPGIGVRNSLFALAGCSLLGFLLTFLVPEPKGKS >ORGLA12G0184200.1 pep scaffold:AGI1.1:Oglab12_unplaced045:153577:153993:-1 gene:ORGLA12G0184200 transcript:ORGLA12G0184200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAAATYRCAECGADLNLTAAQLYPEGVYFEAGNKGTLSFSWVDESRLRFAPEDKIRPFFETLNYWGIQRKRTRISCDACGRLLGYVYDDGPPAMDGTGQFGMGPSQVIPRRPRYRIKTKAVTVANPPATAPYR >ORGLA12G0184100.1 pep scaffold:AGI1.1:Oglab12_unplaced045:152746:153225:-1 gene:ORGLA12G0184100 transcript:ORGLA12G0184100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARASSSLLRGVAVLRRRPPVRSIHEGPDTIDELLDRHLAKKSPSSSSGALDGDAADAEARRRLTSSRREALGLYRDILRVTRLFEWPDDRGVPWREVLRANARREFEEARGERDPEVVARLLIGGRDAVQQALDRLAEASRRMVEAEEAKRRGGA >ORGLA12G0184000.1 pep scaffold:AGI1.1:Oglab12_unplaced045:149863:152208:1 gene:ORGLA12G0184000 transcript:ORGLA12G0184000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLVLAARPARATTPTACASQNLIPATSKEPPPRLPPKRGSSKSLVVSLAAEGRMDEAVEALAAVRGPDAFLHNVMIRGFADAGLPAGALAAYRGMLEDGARPDRFTFPVAVKCCARLGGLDEGRAAHGMVIKLGLEHDVYTCNSLVAFYAKLGLVEDAERVFDGMPVRDIVTWNTMVDGYVSNGLGSLALACFQEMHDALEVQHDSVGIIAALAACCLEFSSMQGKEIHGYVIRHGLEQDIKVGTSLLDMYCKCGEVAYARSVFATMPLRTVVTWNCMIGGYALNERPDEAFDCFMQMRAEGLQVEVVTAINLLAACAQTESSLYGRSVHGYVVRRQFLPHVVLETALLEMYGKVGKVESSEKIFGKIANKTLVSWNNMIAAYMYKEMYTEAITLFLELLNQPLYPDYFTMSTVVPAFVLLGSLRHCRQIHSYIIGLGYAENTLIMNAVLHMYARSGDVVASREIFDKMVSKDVISWNTMIMGYAIHGQGKTALEMFDEMKYNGLQPNESTFVSVLTACSVSGLVDEGWMHFNLMLQEYGMIPQIEHYGCMTDLLGREGDLREVLQFIESMPIDPTSRVWGSLLTASRNQNDIDIAEYAAERIFQLEHDNTGCYIVLSSMYADAGRWEDVERVRLLMKEKGLRRTEPISLVELHSTACSFANGDMSHSQSRTIHEVSDILSRKIEETDDTRNQSYPVPVATRTTTMPNKHSVRLAVVFGLISSEIGSPILVKKNVRICNHCHHALKLISRYSGRRIVVGDSKIYHEFSDGSCCCGDYW >ORGLA12G0183900.1 pep scaffold:AGI1.1:Oglab12_unplaced045:146266:149172:1 gene:ORGLA12G0183900 transcript:ORGLA12G0183900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGQEDDDVDHYEVLCLPSGEEGAGLSLEQIEKAYRTQSRLRHPDKRPDDPNATADFQRLASSYNFLRDESLRRQFDARLRGRREAAARAAASGVKRRKAVSDLEERERAAATGQAVDAAEAARREDKRKAADVKRELEEFFAAKQSASSSTPPASAPGAPQDAPKTDKGKILKVSWEGGADYYNAAKLEEIFKQFGGVEDVVIKTRKSRSRGSAIVVMASKEAALSALQNHSVYNVFSVPLIVAPVQESGGVPTRSTHTPETRPSNLGGTGFNDLEASVFRKLQEAQKRKQNG >ORGLA12G0183800.1 pep scaffold:AGI1.1:Oglab12_unplaced045:134030:140860:1 gene:ORGLA12G0183800 transcript:ORGLA12G0183800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitate hydratase [Source:UniProtKB/TrEMBL;Acc:I1R8I2] MPPLTSALLSRSSSTRIPAAAAAAAAISNPAGAAASSSSPSPPPPSSRPRPASPFASGLAGRIFGGRRAAARSSSSAAAVFERRFAXXXAKNSYDEILTGLAKPGGGAEFGKYYSLPALSDPRIVRRLPYSIRILLESAIRNCDEFQVTGKDVEKILDWENSAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMSKLGSDPNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFHRNKERFGFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLTGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGGGMSELSLADRATIANMSPEYGATMGFFPVDGKTLDYLKLTGRSDDTVAMIESYLRANKMFVDYNQPEAERVYSSYLELNLEEVEPCLSGPKRPHDRVTLKNMKSDWLSCLDNDVGFKGFAVPKESQGKVAEFSFHGTPAKLKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLDKSGLQKYLDQLGFHIVGYGCTTCIGNSGELDETVSAAISDNDIVAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVNIDFEKEPIGISKDGKEVYFRDIWPSTEEIAEVVKSSVLPDMFKSTYEAITKGNPMWNELSVSASTLYPWDPTSTYIHEPPYFKDMTMSPPGPRPVKDAYCLLNFGDSITTDHISPAGSIHPDSPAARYLKERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHIPSGEKLSVFDAATKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIIPLCFKSGEDADTLGLTGHERFTVHLPANVSDIKPGQDVTVTTDNGKSFTCTLRFDTEVELAYYDNGGILPYVIRKIAEQ >ORGLA12G0183700.1 pep scaffold:AGI1.1:Oglab12_unplaced045:131555:131764:-1 gene:ORGLA12G0183700 transcript:ORGLA12G0183700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVTGRMTDGTQDVRCTIACVRRRAARHIPSNNDGERFSSSYPSRSRSSHSGQSKAPVSRCNRSPEVFTR >ORGLA12G0183600.1 pep scaffold:AGI1.1:Oglab12_unplaced045:128622:128942:-1 gene:ORGLA12G0183600 transcript:ORGLA12G0183600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSVTGRMTAGTQDVRRTIACVRRRAARHIPSNNDGERFSSSYPSRSRSSRSGQSKAPVSQCNRSPEVFTR >ORGLA12G0183500.1 pep scaffold:AGI1.1:Oglab12_unplaced045:125847:126311:1 gene:ORGLA12G0183500 transcript:ORGLA12G0183500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALSQAISMGGLSRGGNVDIAYSPPMDMDARKALEEIIRNHIWRVWWANSMEGVMGKRGTRGRYQEKKEIATFTRTIGVVGTPTTFHSSFHLDRDGAKSDHAYEGITNHFPCSEDDGFPRGSVVVLAVRGEAELRRHHGGDEVKRREERGEGKQMK >ORGLA12G0183400.1 pep scaffold:AGI1.1:Oglab12_unplaced045:108421:110711:-1 gene:ORGLA12G0183400 transcript:ORGLA12G0183400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVMDYFRSCWGPRSPAGHRVRGSDVAGRQDGLLWYKDAGQLVTGEFSMAVVQANNLLEDQSQVESGALSMAEPGPQGTFIGVYDGHGGPETARFINDHMFHHLRRFATEHKCMSTDVIRKSFQATEEGFLSLVSKQWSLKPQIAAVGSCCLVGVICSGTLYVANLGDSRAVLGRFVKSTGEVVATQLSSEHNACYEEVRQELQASHPDDPQIVVLKHNVWRVKGLIQISRSIGDVYLKRPEYNREPLHSKFRLRETFKRPILSSEPAIAVHQIQPNDHFVIFASDGLWEHLSNQEAVDLVQNNPRNGIARRLVKVAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNAISKANWSRGPSVSLRGGGVTLPANSLAPFSAPTVLSSTY >ORGLA12G0183300.1 pep scaffold:AGI1.1:Oglab12_unplaced045:103812:107055:1 gene:ORGLA12G0183300 transcript:ORGLA12G0183300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRLGLAASRADDSSPAPFEMTLEGALKLLGVAEGASFDDIMRAKNAVLASCKDDQDAVAQVLHFFVSFQVEAAYDMLLMQSLSQRRAGKVVDNSIRYADVKPVKSAGSGTVPQWMQATMKNAPVTFEAPSSSSLGIQSCVYGALMVFTYASGSATSLPSTYTSPDVPGFILATGFGASLYFLTKKNMNLGKAALITVGGLAVGATVGSAVENWLQVDVVPFLGVHSPAVVVSEFILFSQLLVSLFVR >ORGLA12G0183200.1 pep scaffold:AGI1.1:Oglab12_unplaced045:99272:101650:-1 gene:ORGLA12G0183200 transcript:ORGLA12G0183200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTAPPPDAGGGEPEAWYGSIQYLVNISAVGAASCVLLFLLVKLRFDHRRIPGPSALAAKLLAVYHATAPQIALHCGADAAQFLLFERASFLVLAAVAAAAVAAALPLNLLAGDAAIADQFAATTISHIPKSSPLLWLHLLLTAAVVAIAHLGISRMEDALRITRFRDGNGNPSDPNSSSVAVFTIMIQGIPKTLAADKTPLKDYFEHKYPGKVYLVIVPFDLCTLEYLAEEWGKVRNRISWLEARMDARNLFDEFAQGGRHSEEHWIVRRCKELWVMAAERFGFTDEEMLRRLQTKKLVLGSKLSDYKDGRAPGAGIAFVVFKDVYTANKAVRDFRMERKKTPIGRFFPVMELQLERSRWTVERAPPASDIYWNHLGLSKTSLGLRRIAVNTCLILMLLFFSSPLAIISGMQSAARIINVEAMDNAKSWLVWLQSSSWFWTIIFQFLPNVLIFVSMYIIIPSVLSYFSKFECHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESWILSMGRCYLDSVDCKQIEQYLSPSFLSRSSLSSLAFLITCTFLGISFDLLAPIPWIKHIMKKFRKNDMVQLVPEENEDYQLMHDGEETNNLRAPLMSEREDSGILNGIEEHDLSLYPINRSFHMPKQTFDFAQYYAFDITIFALTMIYSLFAPLTVPVGAVYFGYRYLVDKYNFLFIYRVRGFPAGNDGKLMDMVICIMQFCVIFFLVAMLLFFAVQGDPMKLQAICTLGLLVFYKLLPSRSDRFQPSLLEGMQTVNSFVDGPTDYEVFSQPDLDWSLYQS >ORGLA12G0183100.1 pep scaffold:AGI1.1:Oglab12_unplaced045:94142:96884:-1 gene:ORGLA12G0183100 transcript:ORGLA12G0183100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGPAPFLNIGKRAKDLLYKDYNFDQKFSLTTTSNSGLGLTATGVKIDELFISDIQTQHKSGKTTVDVKIDSESRVSTTVTVDEALTGLKTSFSFRVPDQKSGKLDLQYLHDRFALNSTIGLTSTPLIELAATIGTNELSAGAEVGFDSTSASVTKYNSGICYNKHDFSAAVLLADKGETLKASYIHTFNETNGATVAAEVTHKLKTKENYFTIGSSHAIDSSTLLKTRFSNGGKVGVLCQHEWRPKSTVSISAEYDPKVVSSPSRFGMAIALKP >ORGLA12G0183000.1 pep scaffold:AGI1.1:Oglab12_unplaced045:92045:93194:-1 gene:ORGLA12G0183000 transcript:ORGLA12G0183000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGVAVTMASYAAVLRPHASSTRTPRGPRRPAGAVPPTPRRAALRATTRSPPAVAATPPPRERVQQQQQPGDGQTTTRLYSLAPCPLLLAALLPGAEPVRAVFEPFVELVKTWGLPGWLVHWGHPGNMAVVLFAMGGYGTYLGFRIKLSDDPEEKAKAKDLHPKLLAGMFFFFAAGATGGVTALLTSDKPIFESPHAVTGIIGLALLTIQSILPTLFEGNPSLRNAHGLLGSGIMTLFLIHAAFGLQLGLSF >ORGLA12G0182900.1 pep scaffold:AGI1.1:Oglab12_unplaced045:89353:91212:1 gene:ORGLA12G0182900 transcript:ORGLA12G0182900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCAFLSAAAAANATSFSSSPESRRCRSVHRVPSRPRPPLAPPARVMGKGNSKRKAANTRLWMRLDRRGGCEMILCDKSFVARRSGLPARDLRVLGPLLSRSPSILAREKAMVINLEFVRAMVTADEVLVLEPLAQEVLPFVEKLRKHFPLKSLDVDDVSTHMHTENQDGELAQDVSCYEVEGANHELPFEFQVLDFALEAVCLSYNSTISDLNRSAIAVLDDLMKSVSTRNLERVRSLKSSLTRLLASVQKVRDEVEHILDDNEAMAHLCTARKTKGQKDLLNTILFPETRLCRTHSSIENSTGIRTCVPSDSDAHILDMLLEAYFKQLDGIRNRIFLVRQYIVDTEDYISIQLDNKRNELLGLQLTLIIASFGIAINTFIAAAFAMNIPHHGYHFVIGVPFGQFVGATSFLCMSIVILLFTYAWRNRLLCT >ORGLA12G0182800.1 pep scaffold:AGI1.1:Oglab12_unplaced045:75438:78038:-1 gene:ORGLA12G0182800 transcript:ORGLA12G0182800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKGKVSGEVAVMEVGGALLGVRTRSRTLALQRTTSSQKPPEKGEGDPGAGAGAGAEYLELRSRRLEKPPPHTPPAKEKETARRASAAAAAAVRMPAAPQAAEEFEAEVEVSFGDNVLDLDGDAMERSTRETTPCSLIRSSEMISTPGSTTKTNTSMSSRRRMETSVCRYVPSSLEMEEFFAAAEQQQHQAFRERYNFCPVNDCPLPGRYEWTRLDC >ORGLA12G0182700.1 pep scaffold:AGI1.1:Oglab12_unplaced045:65026:68166:-1 gene:ORGLA12G0182700 transcript:ORGLA12G0182700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSTSTILILKKLTFPSRREVRLTEARALLGKVENQYGHAEEALRVFSGINMPALIPKVKMSIIRKVDLQKAQLHSSSPSLPFHAAILLLEIIYFKATALRNLGKIEEATKECSSILDVVESALPEGLPDIFGDDCNLKPTLCRAVELLPELYKLGGFHFEAISSYRRALWSNWNLDEKTIGRIQKEFAVLLLYSGCETCSPNLLSQLDGSFVPRNNLEEAILLLMLLLRKFNLKRLERDPTVMHHLTFALSMSGQLKPLAIQFEELLPGVLHNREWSYNVALCYLAEEDDLIALNLLKRILVSGEDSNNLKELLLVSKICCENSVQGEEGTLYARRALTNLHGGCDQIEVTADLLLGISLSNQARFATTNTKRASQQREALEVLGISEKKMHGIDFRVLYNLSLENAKQRKLDTAARYAKKLLKLEAGSELKTWLLMARIMSAQRRFEDAESIVNAALDQTGKWFQGDLLQIKAKMQAAQGKFKKAVETYTQLLAVIQLRTKSFNAGISVLKGSKDDRSLEIETWYDLVLLYIRMSQWRDAELSISKIKAISPYSALAFHATGKLHEAKGFLKEALREYSTALDLEPRHVPSLISTAIVLRRLGERPLPAVRCFLTDALQLDRTNHIAWLNLGLLYEDEGSSSALEAAECFQTAALLEETNPVEPFR >ORGLA12G0182600.1 pep scaffold:AGI1.1:Oglab12_unplaced045:55841:63102:1 gene:ORGLA12G0182600 transcript:ORGLA12G0182600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVQNEKDSGRITSDGTAARTRPLSIQDIMSRREKKTASEAKKTKEGLEENSNGKSNHLESGRGSKSRKDVREMPLEGSKKDNSDKPGEGSKKDEMRHTPREERRKDNMRDMQREVSKKDNLKHRPKDISKIDNLKVKVKVPSKDDQRDAPKKSSKKERSSTRDDSHLVDKDKGNHNSHKLSTYTSGRVGKSRGGNHGEITARDGDATIQESQKRPGKRWIDEPVGNDRIKERSERLTDGKRKSRGFDDEKSSQVDRPTLKKQDAVRLQDPRHFDRKDGRKENAKLHHEEPRSKRRRSTSRDHYQERHDRSVSPSSREQRHSYRGHGHDYYPPYHSMDKSRRKHFETDRHRTSWNDGYSSGSYRRYESRLGGYSPRKRKTAPKDEQTTIKTASLVIRSPEKKSATWDQLPVATDLSNFVTTLQSTVGLKDSTVPVNFTTSKQDPNTTIGTVLTGSNLAVDSVQLTQATRPLRRLHIENLPSLATEDMLIGCLNEFLLSSSASHIQRSKQPCLSCVINKDKRQAFVEFLTPEDATAALSFDGRSFGGSSLKIRRPKEYVEMAHVAPKKPSEEIKLISDVVADSPHKIFIAGISGVISSEMLMEIVSSFGPLAAYRFLFNEDLGGACAFLEYIDHSITSKACAGLNGMKLGGGILTAVNVFPNSTEQAFNEASPFYGIPDSAKSLLEEPTKVLQLKNVFDQEEYLLLSKSELEEILEDVRVECARFGAVKSINVVKYPASSDNTTGDTITECEDGSTKIEPKEYGGSVSCTETGAECSVLNQSTDVPDPSICEVQDPVELDTDSIPKGRDHKNLDTRGECDAPTAGDENTDQGVEADQTDSTDAQDDACGTIERGHADADPASLETSCSTVPGDGADKSGRENEQQGGAGVSESNTEKAPAVDARDNALASNTSALEAGCILVEFLRKEAACTAAHSLHGRRFGSRIVSAGYAPHDLYLQKYPR >ORGLA12G0182500.1 pep scaffold:AGI1.1:Oglab12_unplaced045:49795:51363:-1 gene:ORGLA12G0182500 transcript:ORGLA12G0182500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAMAFVPPRDLVVVLPFLALLLPLYIYLRYSRSAKANPSLPTEWPLVGMLPSLVANIHNLFDYATALLAASGNSFEARGPPMSGLRFFVTCDPDNVRHIFINNFANYPKGVEFASFFDVMGDSFFNADGESWRRQRARVQHVMSNPRLLASMAACCRGKVEKGLLPILDRMASAGAPFDLQRLLTRFAFDVTAMAVFGVDTCRLSIDMPPLDVANAMDAVMEVGFFRHTVPMSCWKLMRSLRIGPERKLAAAQRLLRRFVAEMIEKRRVAGGACKATDDEQGGVPPPADIVSSYINDPEYVDEDGNPREFMYATFINYMVAGRDTVGTALSWLFFNLTEHPRVVARIREELEPIASSKAGGGGMVVFDPEETKPLVYLQAALFESMRLYPPGPIERKATLADDVLPSGHTVRAGDNILIPVYSMGRMASVWGKDSGEYRPERWVTEDGKLRHVPAHRFMPFNAGPRLCLGKDISVLQMKSVAAAVAWNFDLEVVAGHAVEPKVSIVMQIKNGLMVKVKKR >ORGLA12G0182400.1 pep scaffold:AGI1.1:Oglab12_unplaced045:43743:47205:-1 gene:ORGLA12G0182400 transcript:ORGLA12G0182400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSFRSVSCNGSGKNLASPSPAAGSRAPAAAPAGSKGDAVVSVERRALLSPHPAGGTARKGMRGSKRRVQWKDRHGKKLIEVREFQPSDTDDSDDEYLDTCICSIM >ORGLA12G0182300.1 pep scaffold:AGI1.1:Oglab12_unplaced045:40893:42882:-1 gene:ORGLA12G0182300 transcript:ORGLA12G0182300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFHPLQSPLPLSLSLLPSPWGCFLGCXXXXXXXXXXXXXXXXXXXXXXXXATPRLSPKKAAAAAALDGDVVSAAAPLLATLLELRDSTDNLCLTVIKKKVTFDPNVTTYEAAAIPEADEEEEDEAEPAAGDDKDEARWMLAPDCAKSEAFPLNHRYSNCAYGDNDNEYDEDEDEEEEEDEDEEEEEDGLDECAIDDEDDDGLLGIGRGEDEACESLFLLPMSKTKESSSGQEAAAGVTSPEAPPLAPQQTRDANPVLSSVENLARWKDAKARAGAATATAAPNASDKENRTTANLLPEPAIPAKKKEWQVVCSDYSPSTPSKQEVSVDASLSTWLGSSGTPESNSVRSFSPISREDRPILGALTMEDIKISSANSSPRRSRSPSPSPDDMPILGTVGAYWNCSSKAGDPVTRGGFMRTRTRFGQNFAG >ORGLA12G0182200.1 pep scaffold:AGI1.1:Oglab12_unplaced045:36777:40250:1 gene:ORGLA12G0182200 transcript:ORGLA12G0182200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQHHHRSRSASSSSFARSSDTAATDADARSLAADATVDCPFGHVNGLTRADLREAAYEVFFMSCRAAGGGRLNYFPAGESGGGDVSPTIGAGPRGGTGMSVVNSRVKRALGLKARRSSQPTTARVSSMNASSAPGSPGRAMWAMSQPSTPVSPGKGRRPMTSAEIMRQQMRVTEQNDARLRKTLMRTLIGQVGRKAETIILPLELLRQVKLTDFADSGEHHQWQRRQLKLLEAGLIAHPSLPHDRLNAVVLRFREVMQAADARAIDTGKTSDAMQALCNAVHALAWRSAPGSKAAGGDACHWADGYPLNVLLYVSLLQAIFDLKEETVVLDEVDELLELMRRTWPTLGINKMLHNVCFAWVLFQQYVVTGQIEPDLAGAALAMLTEVAADAKQESRDPVYARVLSSILATIHDWSEKRMLGYHEWFGNGNCGAGGAMAMEGALSLALATTQIISDNAIFTSISTAETEHEDCSVGSFAGDRVDYYVRCSTRSAFTKVSFLRVWPRHGERLVLICRGNVCQILENGLGQGDSLIIDRHDDEDPGDILARLAGDTEHIALSERDAFGPVLRRWHPFPGAVTAVTLHGCFGVVLKQYLGKATGLSNELVHVLHAAGRLEKALVQMVVEDVADSDDGGKSVVREVVPYDVESIVFGFLRTWVEERLKICRECMLRAKETESWMPRSKNEPYAQSAVELMKLAKATVDEFFGIPVAVRDDLVQDLADGMEAIFLEYISFLTSCGSKQSYLPSLPPLTRCNQDSKIIRLWKKAATPCRAPVSSPRAHGHHQGQGGMASGGQNPRPSTSRGTQRLYVRLNTLHFILSHVHALDKSLSFFSRGRCSSSPSSAATARLLAPCSHFDRARAAAQSAVGHVAEVAAYRLIFLDSHHSFYDGLYVGGVADARIRPALRTLKQNLSLLLSVLVDRAQPVAVREVMKASFQAFLLVLVAGGGDRSFTKEDHGMVEEDFRSLKRAFCTRGEGVVTEEVVDGEAEAAESVVALMGQTPEQLVEELSIACELNGTASSAGQRMPLPETTWRWSRTDPDTILRVLCHRDDEVASHYLKRAFQLPKRR >ORGLA12G0182100.1 pep scaffold:AGI1.1:Oglab12_unplaced045:29550:33933:1 gene:ORGLA12G0182100 transcript:ORGLA12G0182100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTESLPLPAMENGEGKGGGEYTQDGSVDLRGNPVLRSKRGGWTACSFIVVYELFERMAYYGIASNLVIYLTDKLHQGTVEASNNVTNWSGAVFIMPLLGAYAADAYLGRYWTFVAGSAIYFLGMCLLTLAVTIPSLKPPPCAGGVCPPASALQLGVYFGGLYIIAFGNGGTKPNISTIGADQFDEFDPREKMHKMSFFNWWMFTIFVGILFSSTVLVYLQDNVSWSVGYGIPTLGLLVSISIFLAGTPLYRHKVPQGSPFTRMGKVVAAAVWKWRVAVPADAKELHELELEEYTRKRKFRMDSTNAMRFLNKAAVKEDGSPAARWSLCTVTQVEETKQIVKVIPLLATMFVPCTLIAQTNTLFVKQGRTMDRHIGRHFQIPPASLGAFVTLSMLVAVVVYDRLFVPAIRKYTKNPRGITLLKRMGVGLLLQVVAMATASLMESRRLGYARRHGLDAAAAEVPVPLTIFELLPQYVLMGVADAFLVVGKIEFFYDQAPESMKSLGTAMSLTAYGVGNVLSSFLLSLVSRVTRERGDAWVTNNLNASHLDYYYGFLTVLGAINAVVFVALSSRYRYKVESTETIDIAMDVKGDTAKKIQN >ORGLA12G0182000.1 pep scaffold:AGI1.1:Oglab12_unplaced045:25060:25995:-1 gene:ORGLA12G0182000 transcript:ORGLA12G0182000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAAGAGAARPCLSSSPAPPVSSPGAIPLLRHTPIPHHRRRPLAALRTRIMLLRPLRAAASPGPAASSRPARDRVIDFGKHKGQMLGTLPPSYLRWVVAELDYGDTAAWASLAREVLDDPVYVDRVEWEHAHRFLRGDTDYDGDGEDGPLHEMADRFGWDLSDEEGWSRLDFRLLGTSYGGRIPRKGGRRQTTTSFLFDIGAETDGPRGKRDERRERMRLRRETQVRGAKLDMLGVNAGVKDGGVLGTPRKARTRTTAKTDILGLGRRRSRGGEVLGEKVLPGSGGQGGNPFPGRQAFLDKVRKLKDDS >ORGLA12G0181900.1 pep scaffold:AGI1.1:Oglab12_unplaced045:20612:23214:-1 gene:ORGLA12G0181900 transcript:ORGLA12G0181900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >ORGLA12G0181800.1 pep scaffold:AGI1.1:Oglab12_unplaced045:17908:19763:1 gene:ORGLA12G0181800 transcript:ORGLA12G0181800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVYLERRNGFDEDSTSPEFSGRSAIDHQQLSIATPEVESDLGQTIYKQPLPSDEKKSRSCQSCHKSPCSCRSEVFHSDLYPTLPAKMMILEFLIRSLRHPRRTHNVSDLDDMISNGASTGSVVLGPSEKMMLDSLHSLVDAKTRPKSPSFFHSGTKMRKARSKSHIITQSEILKLISPETWEISSPGVSPLKKSTAELSMHEKMVSSDTPSMSSNQPVLSSCPSSLSAGLLQCIWKDGLPHFELSLDNPMAVYTANPTKAHDNDKPLDYVYLFHSGEQGRKDWLGNSSSVSRLVGKMKVSSSLVLNPDKSTSMETEFVLYGSPDDYLRQMQSSYGVTKGKGLAKRVADIMKPSNLNSSPKHVWKFGKSSSQQIDEMTEIPEGEQCSAKESVLKNLVADDLPTNQEIAAIVVRKQRRERRKSPVLGGWGLKFLEKAGATHPGSTEDGDVQNKKNNAGSVSAIFPRGYHGGAASKNGSPASLIGRWRSGGRCDCGGWDIGCPIRVLQNDGCGTSPQAESQSQDRKSVELSVKGAKKGPMFRLVNITDDLHIIYFDSSLSPLQCFSAGIAIIHSQAPHLYPKL >ORGLA12G0181700.1 pep scaffold:AGI1.1:Oglab12_unplaced045:10341:11105:-1 gene:ORGLA12G0181700 transcript:ORGLA12G0181700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHALAAAATSALGVAVGVRLLLVLSRSRALKPLAAATSAAAAALKTPRVLAAASSPLAAVLAASKAASKSYKAARTLGPAARLPSLPSDKRLKAAFAAASLLRLAAAAPPPVASPTGVAALAILKSGYKLSKNSAKVIEGFLGLQVHKGIRNGVDALGVVVKVAVIASEVAVWVGGRCWGGRRGRSVRFLCSTRPSGLLLVRYSKSEAQVVLFDHGLGIAAMDGDEKQMEEQEGSDLLCLAVPVPEATNY >ORGLA12G0181600.1 pep scaffold:AGI1.1:Oglab12_unplaced045:2617:6244:1 gene:ORGLA12G0181600 transcript:ORGLA12G0181600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEADMTIREMMMFEAHTNSGPMFSDQLCIEGLSSPIAAQILDFCDDGLGDDLFAAVATTSEQFAASSEDGSSSSTATPPLCSNSNDITAVADTAFSPLLSFDSTLSAFLEQEQNPDQDTKLLPSIDETFTAPAYYPAATEANIEQFSQIMVPEHTDAPMPPMQTNRTANALLPLASGYDDECFTAALAGGYMGLDGTLYDQTGVMIPNCNVETPQVGFFNHNSTSNNGMVMDLNNFGEYQRMMEGEGLTRTYSDTDSMHGAFNNAAEMQMGENTQHMVTGCNDSPLTLPSTEGSSLEDTPYKGVRLTAEQRKEKISRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELCEATRSSSQDFEQYEHVVGMKGEDMLDSSNILAHLSGMNPYGYKYNSTVESWI >ORGLA12G0181500.1 pep scaffold:AGI1.1:Oglab12_unplaced044:227:6354:-1 gene:ORGLA12G0181500 transcript:ORGLA12G0181500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGVVGRGSGGGGGGGRGDGGRGRGRGGGGGDGVRPAMAAAPAASTPGPVAVAARSTPTPAVQIPAVASSSSAQPAAAAQPPPAAAAVSALARDVGRQLAVVAGGGRPAAPPAPIPVSSKGVAPPSRPGFGTVGERIVVRANHFLVRVSDNDMIYLYDVSLSPPPKTRRINRVVMSELARLHRESHLGGISFAYDGSKALYTAGKLPFDSMDFKIKLGKELREIEYKVTIRRAGQADLHHLHEFIAGRQRDSQQQTIQALDVVLRESPSLNYVIVSRSFYSTMFGRQDIGDGLECWKGYYQSLRPTQMGLSLNIDISSTPFFKPISVVEYVKNCLGTPTNANGPDPRRPLSDIDRLKVKKALRGVRVETTHQGKSSKYKITTITSEPLSQLNFSMDGTTQTVIQYFSQRYKYRLQYTSWPCLQSGNPSNPIYLPMEVCTIVEGQRYSKKLNDKQVTGLLRATCQPPQKREQKIIEMVQHNNYPADKVVSDFRINISNQMATMPARVLPAPTLRYHDSGKEKTCNPRVGQWNMINKKMVGGAVVQKWTCVNFSRMHIDAVHRLCGELVYTCNAIGMVFNEMPEIEVGSAAPNNIEAALSNIHTRAPQLQLLIVILPDVNGYYGRIKRVCETELGIVSQCLKPGRKLLSLDRQFLENVSLKINVK >ORGLA12G0181400.1 pep scaffold:AGI1.1:ADWL01025092.1:42:2422:1 gene:ORGLA12G0181400 transcript:ORGLA12G0181400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1R8F8] WYYLCGLCLGGVAVGIGVAGGDADAVSTSRRALRSAKIPESEFTTLPNGLKYYDITVGSGLKAVKGSRVAVHYVAKWKGITFMTSRQGLGVGGGTPYGFDIGNSERGNVLKGLDLGVEGMKVGGQRLIIVPPELAYGKKGVQEIPPNATIELDVELLSVKQNSYGTPVKIIEG >ORGLA12G0181300.1 pep scaffold:AGI1.1:ADWL01025088.1:634:1608:-1 gene:ORGLA12G0181300 transcript:ORGLA12G0181300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRVEGHGDALPPLPRLRLLPPRRRRLPGRATRRRGAHAGRHGLLRRGGRLRRRRAPAPPGIRGIGRRRRRRRGDAGYESQAERRHRETCKKFIALIVFFVEAMLAAITYLAWSLQPNENDAPPPQPTGHDDDDEPSPASIVVCVAATLSGPYLGVWALFVRSILLRGCFVAGDAMCVAAVCVGMSWLFVPVVAGIVLRQINAVLYGHWLYGIAMAGFLGYSLAVNERYQELMLIIEDDFELACL >ORGLA12G0181200.1 pep scaffold:AGI1.1:ADWL01025087.1:2101:2340:1 gene:ORGLA12G0181200 transcript:ORGLA12G0181200.1 gene_biotype:protein_coding transcript_biotype:protein_coding QHIKITLHIMKLNWLFFFLKKLNGLDLFSYTLIYSINCIFKYTLILVSRLDTCLLKVSGLDTCSLKDFILQNSGSISAP >ORGLA12G0181100.1 pep scaffold:AGI1.1:ADWL01025086.1:8558:12593:-1 gene:ORGLA12G0181100 transcript:ORGLA12G0181100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILVAVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNADRNREKGRGGPGMASSVDTQKQLAGTPVVGDTGLHQPVGLPSAIHAASVMAGILGGSQTANVQNGLPVQYGLGNDPLTHYLARMSKHQLYEIMSELKSLTSQNKDVANKLLQGIPQLSKALFQSQIMLGMVTPQMMQMAKSQQPSSSLAQSSSHISEPFPQPDAMIPSVPRPSASLPNPNVLQDPSAQLHNFPQYPHSSQPAGTIFPHGSQSGVGIHPPIVSQPLGASSSVPPLPLATSGSLISQVQPPFMPHHPRPPAMPAGMQQLPLTHPHVPQVPAIPDIAQKEMRFPEQANRSTEFAHHPKLRKLEDGTSTPGIVNNNPAVYPAPSQGMLPGGPSGSYNSAAVSFQQPENEVPQLTPDVESALLQQVLQLTPEQLSSLPVEQQQQVIQLQKMLSAGK >ORGLA12G0181000.1 pep scaffold:AGI1.1:ADWL01025086.1:605:7215:1 gene:ORGLA12G0181000 transcript:ORGLA12G0181000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVENTSKRKAPESEHAAAVSGEHPPPPPPPDAAAKRRNLSRSCIHEVAVPKGYAAAKDEAVHGTLSSPAFHGEMAKAYPFQLDPFQSVSIACLERNESVLVSAHTSAGKTAIAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDEDGQFREDNFLKLQDTFTKQSNQVDGRKGGGPKASGRIAKGGSASGNSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTDEEKDNIEQVFSSAILCLSEEDRGLPAIELMLPLLKRGIAVHHSGLLPLIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDTNRYIASGEYIQMSGRAGRRGKDIRGICVIMIDEKMEMSVIKDMVLGKPAPLVSTFRLSYYTILNLMSRVEGQFTAEHVIRNSFHQFQYEKALPEVVQKITSLENEATLLDSSGETDLAEYHKLGLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPQSGTLPPALSASRGNNYIVDTLLHCSSSSNENGFRSKPLPPRPGEKGEMHVVPVPLPLLSGLSSVRINIPPDLRPPEARQNILFAVQELGKRYPQGLPKLDPIKDMGLQEPELVELVHKLDDLEQKLRSHPLHKSDQSEQQLSWYQRKAELNHEIQMLKSKMRDSQLQKFRDELRNRSRVLKMLGHIDADGVLQLKGRAACLIDTGDELLITELMFNGTFNDLDHHQVASLASCFIPCEKSSEQIRLRSELSTPMMQLQEAARKIAEVQKECKLEVNVEEYVESTCRPYLMDVIYCWSKGATFGEVIEMTDIFEGSIIRLARRLDEFLNQLKAAAQAVGEVNLENKFGAASDSLRRGIMFANSLYL >ORGLA12G0180900.1 pep scaffold:AGI1.1:ADWL01025075.1:385:2126:-1 gene:ORGLA12G0180900 transcript:ORGLA12G0180900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTVPAAEGLAYKPTPETRVHGAQLRADYAKVQVDSVKPEYELFPLKYPPNDEVLSLGNARGTFIQWPKDLIEIRVTARPTTAPGGRPPKRPASAPSAPPAQDRHAQSYDVQLQYDTDFGEDRTEADSKAIHEPPPMKKSRKAHSSPQRITLDKPEAKGRGRGGKVQASLLAPRKLDLGKGQEETKGKEVKKKYVAPQEFXLGMPLVGDDVLAAMGTACKDLHLYYMEKSNARKPSKATDILGEHDGKPFLGPTNYIVVNFKDLFDLYRLRAVDTSLLKCYSLLSWQWCLKHAPEVAFLDPQVVTVTNLQNDRQGMVNYIYDILWSRRDKEYIMCAYNQYAHWILLVITPKWSTCHYLNSRIDKNAYDWTPIQLAIDEAWAQYVQRGGLRKTGHDTLIHKKDFPVKQQIGDQCGFHVCHNMRLLYREKVKTLAEFEAMMNTYYATVMM >ORGLA12G0180800.1 pep scaffold:AGI1.1:ADWL01025072.1:2625:3245:-1 gene:ORGLA12G0180800 transcript:ORGLA12G0180800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHLPSFPFPLPSHLLLPSPSLHLLFRKRAKRRSDDAVCRDWRCLATSLDFLLTHHRHQLSHPLVFGCTRWRSNAAAAAAADAAVDSVDLGHHLDELVRDRDLVDGGWTTGGAVPRAVIVAGVGAVELLDELFFFFSFSPELETTAYLSILHANSPMKACSTGLSQYSVFSPIGAGDVAAHRKPPDASTAPPLVPTAMSPPSSS >ORGLA12G0180700.1 pep scaffold:AGI1.1:ADWL01025064.1:5105:8069:-1 gene:ORGLA12G0180700 transcript:ORGLA12G0180700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPQPQPTTTATTSANGGKVTPNLTMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQHDCEAVASLFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPSPATTTIVSDAPDESLVNYFSSAASIGSVSNAPMAGRAHPSSESVATPHVPLIIPSATPTHQIPPPLVGSSAPPLPLHDTNVHTARSANLVTPAFFTPPSSSSTSMVPPASSMMPTAPPLHPTSASAQRATYGTPLLQPFPPPTPPPSLTPSYNEGPIISRDKVKEALLRLVQNDQFIDLVYRELQNAHM >ORGLA12G0180600.1 pep scaffold:AGI1.1:ADWL01025064.1:3153:4121:1 gene:ORGLA12G0180600 transcript:ORGLA12G0180600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRAPACGGGDGGGVGTVIEDLPTDVLALVLRRLDGASLAAVGCACSSFRELAVDQETWRGLCLALWPSVRDVLGCGGGGGGDGYRAFFADAFPFPEAAAAVASAAPAPVPGSLPSRLVSAVDLHHGGVCIMSRVVETDTSSAWFLGSPFRVDALVQEGFSAPSPITPSSLTLSWILIDPASGRAMNASSRRPVAVDRKWLTGETVARFTVMLGGGVALDAAVTCDDRYGHVREVSLCVEDGEGGGVSGQDGLGAVAAAMAGARRGKGAEAAARQRYEEFVKGKRARKEWKARREGIVDLCCSGVGAAAFVGFLVMLTFR >ORGLA12G0180500.1 pep scaffold:AGI1.1:ADWL01025061.1:1145:4265:-1 gene:ORGLA12G0180500 transcript:ORGLA12G0180500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:I1R8E9] MSFRSIVRDVRDGFGSLSRRGFEVRILGHRRGKSHGAVHELHDPVPVIQSSCWASLPPELLRDIIERLEESEATWPSRKHVVACAGVCRTWREMCKEIVKNPELCGKITFPISLRQPGPRDGTMQCFIRRDKSTQTYYLYLSLGSAVLVDNGKFLLSAKRNCRATCTEYVISMNANNLSRSTNTNIGKLRSNFLGTKFVIYDTHTPYNATSDSQSGKTSRRFSNKGTAKHPCSTYSIANISYELNVFGTRGPRRMRCLMHSIPASSLEAGGTVPSQRDSILAHSLNESSFRSVSFSKSSVMDHSMHFSSARFSDISIGDGPRIGGRVLSDDEECKETPLILQNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPVPPPPPEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAISLSSFDTKLACE >ORGLA12G0180400.1 pep scaffold:AGI1.1:ADWL01025060.1:852:2146:1 gene:ORGLA12G0180400 transcript:ORGLA12G0180400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCMATYGAAAVRVGRCRSDVVVAVRVAAPVGSGHGGDWRGVTGVVLDGRWRHGDVESDRGCGDTVLKAEIGGCTETVDEPEIGGGTEETGEAEFLVEIGGGAEEIGEKGDEWQSGEWRRQLAGWEGGCGVRRATAEWAMRSGRRVGAQAPGDDGGGDVHRRDGRENWRRRWISRSEGKCDYFRGAGVREGGCYSGRQIS >ORGLA12G0180300.1 pep scaffold:AGI1.1:ADWL01025059.1:6064:7032:-1 gene:ORGLA12G0180300 transcript:ORGLA12G0180300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSGGGGAISDPFATPGFRFYPTEEELLGFYLRHRLAGTRPDVERVIPVVDVYGYHPSQLAAVAGEASARDTEQWFFFCPRAERELHGGRPARTTPSGYWKATGSPSCVISSATNRVIGVKRTMVFYQGRAPTGTKTRWKMNEYKAVADDADAAAAAMLHPMAPPRLRNELGVCRVYISTGTLRSFDRRPLDNQAAAPTQQQVMPSLTAAAAVNTNLCGGGGGVVFAGAQGDSSRDCSSSSGSRELAGGADGSEDDAIDWNSLISSATADDLGFNTVVGFDPSIVGSWPQV >ORGLA12G0180200.1 pep scaffold:AGI1.1:ADWL01025057.1:1224:6869:1 gene:ORGLA12G0180200 transcript:ORGLA12G0180200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRAAAGDGAGARDXSAAGAPAPAAAAAAAGRGRRRRRXTTTPSAPSSPASTTTSTSPAAPPSATHGIELLTQLIXXGIYITRGIHKQEVQGLILQXRVTSRSLHLMNMHRLSLEVLLKFISGLVILTRPPYAAXRVVQSXLELETKTLRLWSAESCKYMNEYIVPSSKMLVDFDFDENKIVGLTSSQLCIWRRSEPRSIFQSRGASFNRGLCMSFADPEVIIGCEDGRAFVYDMYSRSCSSIYRLHSSPLTCLTITDDQLIAAGSTFGNVAIADQTSGQKLGVLKSAFAPTAIRSLSFSTSGHLIFAGSSAGYAHCWDLRTLRPLWEKRVSPNVIYSAHHLPGDTATLAVGGIDGVLRLICQRTGETIRSFIVNADRPAASSSHQQVEKKSVRQVAPNARLDNIPTRLRPQITCLAVGMKKIVTTHGENYIRVWKFRPKSS >ORGLA12G0180100.1 pep scaffold:AGI1.1:ADWL01025055.1:2411:4507:1 gene:ORGLA12G0180100 transcript:ORGLA12G0180100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPSVEHLKVFGCVGYVKTVKPNLRKLDDRGTRMVFIGYEQGSKAYRMYDPVSRRVCVSRDVVFDEAAMWPWRDPEVTQTRGEEDFTVEFFSTPLGGNRVPDVVVEHGGARETKTAPSPLATPDAAPVWSPVTSSSPAGVEFCTPPSDASIESDGAPPHFRTVNNVLATTTPVLDFDYDDECLIAEQEPFSFKEAEKEQCWMKAMEEEMSSIEGNNTWFLCELPSDHRAIGLKWVYKIKRSADGEILKYKARLVAKGYVQQQGIDYEEVFAPVARMETVRLLVALAAHEGWQIHHMDVKSAFLNGELEEDVYVVQPPGFAVEHKENKVLKLKKALYGLKQAPRAWYAKLDSTLANLDFVRSATENAENAVYTRGEGNARLMVGVYVDDLIITGALGTEIAKFKEQMRSMFSMSDLGLLSYYLGMEVKQTEEGITMSQAGYAGRILEKAGMQGCNPCQVPMDARLKLKKGVEDCIDATQFRSIIGSLRYLVNTRPDLSYSVGYVSRYMENPGAEHWAAMKHILRYVAGSLNIGLKFRKGEEKFPRLVGYSDSDMAGDVDDRKSTTGVLFKLGENLITWQSQKQKIVALSSCEAEYIAATTAACQGIWLARLLGELQMKKPCCAILKVDNKSAINLCKNPVLHDRSKHIDTRYHFIRERVEKKEIEVEYTSSTEQLADILTKPLGKVRFLELRGKMGLHPV >ORGLA12G0180000.1 pep scaffold:AGI1.1:ADWL01025055.1:2114:2410:1 gene:ORGLA12G0180000 transcript:ORGLA12G0180000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLWALRTDRGGEFTSVEFTEFCADRGVSRQLTAPYSPQQNGVVERQNQTVVAAARSMLKAAGMPAQFWGEAVVVAVYLLNRSPTKSLDGVTPYEAWHG >ORGLA12G0179900.1 pep scaffold:AGI1.1:ADWL01025055.1:846:1190:1 gene:ORGLA12G0179900 transcript:ORGLA12G0179900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GALGRLSTVDSYSDDEEEGGGGKLYLTEEQWQARARKQEQEGSGGSSKSRGSSGTQNNRRGKAGGSPKGKETINSGNTSRDYSKVKCFNCDEFGHFARQCPKPRRQRRGEVNLA >ORGLA12G0179800.1 pep scaffold:AGI1.1:ADWL01025053.1:3263:4138:1 gene:ORGLA12G0179800 transcript:ORGLA12G0179800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLSLLFVAAAASLLPRGGGGFEKEEDYFAAAVWRLSPLIGGYLLVWTAAVSWSTAARAAVLVRVAFLLLLADATGAVGMFLGTVYTAAVLGYAVAERRRHHHSAIAEAPPAYESEAERAHRESSKRCLLSLIVAGTAAFAGIVTMMMLPEFPPPVGLVVFDVAVFSGPYFLCLVSFVNGTLLRGELVADGAKSAMAVTGLGMWWVIFPMIAGVVLHSLVVALFVYWLTGLAMAGVLGYTLAVYDHYNELMCTIRSVVAYHKYDNIVTMTKRFCYTFIDIFSSNIYIYIYI >ORGLA12G0179700.1 pep scaffold:AGI1.1:ADWL01025053.1:590:2374:1 gene:ORGLA12G0179700 transcript:ORGLA12G0179700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSILFVAAVAHLLPRGDGDEENFPAAVWRLSPVICGYLFLMTAAMWRKSATPTRLVGVTASLLLADAADSLVAPLRLPARLAMFAATIYSAAVLGHAVAELRHHAAGRRPPSDATADATPVYESKAERDRREHGKEGILVAVVLVKVTVAASLVLTSWVVGKEAPAEGGGGGGMPTAADVLCLAASVSGPYLAGWTLFVTSTLMRGSFISGDTMWIVMACLGASWLIVPAIAGAALHLFVAFIYGHWLFGIAMAGFLGYTIAVNDHYQELMRIISCDFTGANLGRRLTLPACSSDEKLAILVQLRSMKVPALDSLINTAASAFLAIAHLVIVLEINVPDP >ORGLA12G0179600.1 pep scaffold:AGI1.1:Oglab12_unplaced010:3637:7998:1 gene:ORGLA12G0179600 transcript:ORGLA12G0179600.1 gene_biotype:protein_coding transcript_biotype:protein_coding NMATEMKSDHENTSYSTLPIQIRQIYLTAALKDSSYGLLRKIQSDMNKEGKEGQRPFSCRRCRSREPRDLEHPHSVRLDPWFPGLGHPDLEVLSLGLGVASTGSGGDGGSSSPAGDGGDGRLHLADGAGRRLGSRPAVRRRYGTGARHADLERIEGRPRLEGNLAAHRVAPKSGSVIASFTIYGKEFNQTKTEFT >ORGLA12G0179500.1 pep scaffold:AGI1.1:Oglab12_unplaced005:2708:3037:1 gene:ORGLA12G0179500 transcript:ORGLA12G0179500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTLLNVADNSGARKLMCIRVIGAASNQRYARIGDVIVAVIKDAVPQMPLERSEVIRAVIVRTCKEFKCEDGIIIRYDDNAAVIIDQKGNPKGTRVFGAIAEELRD >ORGLA12G0179400.1 pep scaffold:AGI1.1:Oglab12_unplaced005:260:709:1 gene:ORGLA12G0179400 transcript:ORGLA12G0179400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22 [Source:UniProtKB/TrEMBL;Acc:D2SYG2] MTSFKLVKYTPRIKKKKSGLRKLARKVPTDRLLKFERVFKAQKRIHMSVFKVQRVLDEIRWRYYEETVMILNLMPYRASYPILKLVYSAAANATHYRDFDKANLFITKAEVSRSTIMNKFRPRARGRSSPIKKTMCHITIVLNIVKKSK >ORGLA12G0179300.1 pep scaffold:AGI1.1:Oglab12_unplaced005:36:191:1 gene:ORGLA12G0179300 transcript:ORGLA12G0179300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYESARKDTKSRR >ORGLA12G0179200.1 pep scaffold:AGI1.1:Oglab12_unplaced003:5016:6061:-1 gene:ORGLA12G0179200 transcript:ORGLA12G0179200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNFYPLSDGPSTRHRRITKADFRLCSTGESCSQAPFCLCTRGPMSVWPEETFARLRYLLGGLRPIETVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAWVTYFSAVAPGMSLVEKQWLVHGAHTSALQRLVLGPRLEAFSLPLLTLKKQGHLVSLNL >ORGLA12G0179100.1 pep scaffold:AGI1.1:Oglab12_unplaced003:4268:4630:-1 gene:ORGLA12G0179100 transcript:ORGLA12G0179100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANLLAVFAPPPPLSLSGHLGALAGDPGCFPLDDEAYPPSSHWPTLTPVIFGSYLVFRVCLDLVPLAQPAPKQCFTPRCPVNCCASTHFGENQLALGSSGISPLTTTHPLILQHQSVRTSA >ORGLA12G0179000.1 pep scaffold:AGI1.1:Oglab12_unplaced003:3354:3719:1 gene:ORGLA12G0179000 transcript:ORGLA12G0179000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVRVRLSPARELSGYYDSTEFCQFGSSIYDFAFMDVDKILPFSSTLGWHSLNVNGEVQKRKGLRWIPRYPETRKGVASDEMLRGVENKHRSGDSQIGQPFELPAESMSRQETTWRTETS >ORGLA11G0223600.1 pep scaffold:AGI1.1:Oglab11_unplaced160:4946:7935:1 gene:ORGLA11G0223600 transcript:ORGLA11G0223600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLLVWIYIVLLIALSTVSAASPPGPSKSNGSETDLAALLAFQAQLSDPLGILGGNWTVGTPFCRWVGVSCSHHRQRVTALDLRDTPLLGELSPQLGNLSFLSILNLTNTGLTGSVPDDIRRLHRLEILELGYNTLSGSIPATIGKLTRLQVLDLQFNSLSGPIPADLQNLQNLSSINLRRNYLTGLIPNNLFNNTHLLTYLNIGNNSLSGPIPGCIGSLPILQTLVLQVNNLTGPVPPAIFNMSTLRALALGLNGLTGPLPGNASFNLPALQWFSITRNDFTGPIPVGLAACQYLQVLGLPDNLFQGAFPPWLGKLTNLNIVSLGGNQLDAGPIPAALGNLTMLSVLDLASCNLTGPIPADIRHLSQLSELHLSMNQLTGPIPASIGNLSALSYLLLMGNMLDGLVPATVGNMNSLRGLNIAENHLQGDLEFLSTVSNCRKLSFLRVDSNYFTGNLPDYVGNLSSTLQSFVVAGNKLGGEIPSTISNLTGLMVLALSDNQFHSTIPESIMEMVNLRWLDLSGNSLAGSVPSNAGMLKNAEKLFLQSNKLSGSIPKDMGNLTKLEHLVLSNNQLSSTVPPSIFHLSSLIQLDLSHNFFSDVLPVDIGNMKQINSIDLSTNRFTGSIPNSIGQLQMISYLNLSVNSFDDSIPDSLGELTSLQTLDLSHNNISGTIPKYLANFTILISLNLSFNNLHGQIPKGGVFSNITLQSLVGNSGLCGVARLRLPSCQTTSPKRNGRMLKYLLPAITIVVGAFAFSLYVVIRMKVKKHQMISSGMVDMISNRLLSYHELVRATDNFSYDNMLGTGSFGKVYKGQLSSGLVVAIKVIHQHLEHAMRSFDTECHVLRMARHRNLIKILNTCTNLDFRALILEYMPNGSLEALLHSEGRMQLGFLERVDIMLDVSMAMEYLHHEHHEVVLHCDLKPSNVLLDDDMTAHVSDFGISMSN >ORGLA11G0223500.1 pep scaffold:AGI1.1:ADWL01023178.1:4516:6544:-1 gene:ORGLA11G0223500 transcript:ORGLA11G0223500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIPDVYAYELLLWARKELENLEVVTADDGGGGGGNTVTVHGDKAPVDGKGEAASPAKRADVSGGQECGVVRVEDVQRVETPAAEITNAMKDTEIVKNEDQERGGSKREEEETVTMAGKGSREEALLVLFDTAWQQGNLLTGGSGGTAGTATGGDGSGTELSEGTLVNATEAAGASADSLAVSSSK >ORGLA11G0223400.1 pep scaffold:AGI1.1:ADWL01023178.1:1611:2485:-1 gene:ORGLA11G0223400 transcript:ORGLA11G0223400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:I1R392] MAASALHQTTSFLGTAPRRDELVRRVGDSGGRITMRRTVKSAPQSIWYVVYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWAVQVVLMGFVEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPDTFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGK >ORGLA11G0223300.1 pep scaffold:AGI1.1:Oglab11_unplaced155:53983:54860:-1 gene:ORGLA11G0223300 transcript:ORGLA11G0223300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALQALLDPTALSLGLPTPVINKEEYLAICLTVLAGTRAGKALVGKAVLGVCVAPLRNLESPPTVELANRQSQRTRGVNDALPLSLVRSCRLTPSPPDTCHAPNLILSGPPXWWEWETSRRCSHVGLHAVLPEVASGGAHGAAVDRRPPSATLSADHLPSSLPPARAAPPMLTCATSSLTSLPRTPPPVSAPTRRHRR >ORGLA11G0223200.1 pep scaffold:AGI1.1:Oglab11_unplaced155:43381:43847:1 gene:ORGLA11G0223200 transcript:ORGLA11G0223200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVDIMVVVDKLEGIAVVIVSVEIEDETIMNKLGYPALADEFQLQVLSSRTIAIVHEARSNKVTTTTMVLSLRNTMAQLIYA >ORGLA11G0223100.1 pep scaffold:AGI1.1:Oglab11_unplaced155:24231:36692:1 gene:ORGLA11G0223100 transcript:ORGLA11G0223100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSTSTAALLLASGRHPRLQFQSLRAPTKPPFHFPHLLLPSRSSSRRCCCVPVPAPGARSRGLPAPVSASALPLRVTPPPGVEVEGGVGRAVAAAAAVRRVAVALACGALAAAWCHRALAVAGAAGAAGASGAVEAAAGFGGMALRGGWPRVLQILQLLREQGIILAVLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFRMLRSDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLVLYPVGRIVTFLSMGMLQILGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDATATLIDFKNLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMLDDGTFDVDANTSIDHLSEELGVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILEKDNREENDEYKEEGSDNQDDKERTQAYELEILEANARKVGKVRFKPISSECVDVDSKGVNRMISKKIIKRKKQNPGDSSDSDDEECTDTSENGCPAELLYYSDDNSAQLEDAGNSAATRPY >ORGLA11G0223000.1 pep scaffold:AGI1.1:Oglab11_unplaced154:2233:3808:-1 gene:ORGLA11G0223000 transcript:ORGLA11G0223000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPGPSQLPLIGSLHHLLLSRYGDLPHRAMRELSLTYGPLMLLRLGAVPTLVVSSAEAAAEVMRAHDAAFAGRHLSATIDILSCGGKDIIFGPYTERWRELRKVCALELFNHRRVLSFRPVREDEVGRLLRSVSAASAEGGAACFNLSERICRMTNDSVVRAAFGARCDHQDEFLHELDKAVRLTGGINLADLYPSSRLVRRLSAATRDMARCQRNIYRIAESIIRDRDGAPPPERDEEDLLSVLLRLQRSGGLKFALTTEIISTVIFDIFSAGSETSSTTLDWTMSELMKNPRILRKAQSEVRETFKGQDKLTEDDVAKLSYLQLVIKETLRLHPPAPLLIPRECRETCQVMGYDVPKGTKVFVNVWKIGREGEYWGDGEIFRPERFENSTVDFRGADFEFIPFGAGRRMCPGIALGLANMELALASLLYHFDWELPNGIKSEELDMTEVFGITVRRKSKLWLHAIPRVPYISTY >ORGLA11G0222900.1 pep scaffold:AGI1.1:ADWL01023169.1:705:1585:1 gene:ORGLA11G0222900 transcript:ORGLA11G0222900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIYTSRKPLHVPLNISFWVHALYACYAKPNVCECDPYAAKLMKTRCSIFRRYWSSITYFILTSEKHPEEVNE >ORGLA11G0222800.1 pep scaffold:AGI1.1:ADWL01023168.1:33119:33535:-1 gene:ORGLA11G0222800 transcript:ORGLA11G0222800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAIIGRIYAGQPSVVEKQQRTTSSRLGIGSARARADACNDDNAWCLRRWIEWRSVNLAAEGARRRRRLDWGGRRCGGCRRAGATRRLRRWGGVRHGGERDESAGEDGSDGGKGGKGERTDRGCGRLRGLRRRGSGG >ORGLA11G0222700.1 pep scaffold:AGI1.1:ADWL01023168.1:4499:6831:-1 gene:ORGLA11G0222700 transcript:ORGLA11G0222700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLPLLIGVVLAFLLLFVLVNVKNSCRSWWPPPEKEKKKLRLPPGPWQLPLVGSLHHVLLSRHADLPHRALRELAGKYGPLMMLRFGAVPTLVVSSAEAAREVLKTYDAAFASRYLTPTLAVLSRGGRDILFSPYCDLWRQLRRICVHELLSARRVQSLRHVREDEAARLVRSVAAECAARGGAAVVSVGELISRAVNDSVVRSAVGARSARRDEFVRELDESVRLSGGFNLADLYPSSWLARRLSGAMRETERCNRSLMAIMDDIIREHGDGEEDLLGVLLRLQRNGDVQCPLTTDLITNVVLDMFAAGSETSSTTLEWALTELVRNPHIMEKAQSEVREIFRGENKLTEEMMDKLSYLRLVIRETLRLHLPVPFLLPRQCREPCSVMGYDIPVGTKVLVNAWAIARDNQYWDDPEVFKPERFENNHVDFKGIDFEFIPFGAGRRICPGIALGLANIELMLASLLYHFDWEFLDRDRNDEIDLSETFGITAKRKSKLMVYATQRIPCLG >ORGLA11G0222600.1 pep scaffold:AGI1.1:ADWL01023167.1:1077:2915:1 gene:ORGLA11G0222600 transcript:ORGLA11G0222600.1 gene_biotype:protein_coding transcript_biotype:protein_coding YNECRHSGGVTSMDTFLGKFFPSVLHQEQTAQGTSQYCKFNSQPLTAFTSSLYLAALVASFFVASFTRALGRKWSMFGGGVSFLAGATLNGAARNVAMLIVGRILLGIGVAFCGLSTPIYLSEMAPPRLRGMLNIGLQLMITVGIFSANLVNYGAAKIRGGWGWRVSLGLAAAPACVIAVGSLFLPDSPSSLINRGRHEQARRVLRRIRGTDEVDDEYGDLVAAASEIEVYSGCSARRRPWRDVLQRRYRPQLAMAVLIPFFQQLTGINVIMFYAPVLFKTIGLGGDASLMSAVITGLVNIVATFVSIATVDSLGRRKLLFQGGCQMLVSQVIIGTLIGVVFGTSGDGNISRALAVCIVVFICVYVAGFAWSWGPLGVLLPSEIFPLEVRPAGQSISVAVNMLCTFAVAEAFLPMLCHMRFGLFYFFSGWVLVMTLFVSAFLPETKGVPIEKMTVVWRTHWFWGRFYCNQDADAHVQVANSKV >ORGLA11G0222500.1 pep scaffold:AGI1.1:Oglab11_unplaced150:6552:6908:-1 gene:ORGLA11G0222500 transcript:ORGLA11G0222500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSSKKPKAKPKQRGGAAAASGEERVAASALSPPAPPSPPRCRRRAPLSPPRGHPPLAPFAEIASGSSAFGSRAVSPPAGRQIRRGRGTPAEGERGDGGVWEAPAAH >ORGLA11G0222400.1 pep scaffold:AGI1.1:Oglab11_unplaced150:5276:6266:1 gene:ORGLA11G0222400 transcript:ORGLA11G0222400.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXSQQASYVSEDQQREREFFKPLTMAADRFLHFASLVSVVVLAAGSRLPGGVAALPRRGQLVDGGDNDKNKCVYMLYYMGTGWIWKAGTEAAIGVELTAADGSGFAVRDLERWGGLMGAEHDYYERATAASPADRRTSSPRVVAATASPPPHPWTPPRPVAALPFSRASIAASPAGRRTSLRRRPPPHCWPALHRVQRGVRERERRKGEEGERPVC >ORGLA11G0222300.1 pep scaffold:AGI1.1:Oglab11_unplaced149:26419:26700:-1 gene:ORGLA11G0222300 transcript:ORGLA11G0222300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKPNPASAKGPDPGRVDDDSTAFLGVSLVDDVELAKLVSSGALVEGQAFAPGKAVVPKPIDNRTVVFAVFFEAGLRFPCNVLLPEILRLF >ORGLA11G0222200.1 pep scaffold:AGI1.1:Oglab11_unplaced149:21518:21742:1 gene:ORGLA11G0222200 transcript:ORGLA11G0222200.1 gene_biotype:protein_coding transcript_biotype:protein_coding NEEANALAKSAACGGPHSPGIFFEVLHAPSVPMDSSEVMAIDQEKLGEDPFVKHLETGWLPVDEAEAKRLQLRAT >ORGLA11G0222100.1 pep scaffold:AGI1.1:Oglab11_unplaced149:16079:16378:-1 gene:ORGLA11G0222100 transcript:ORGLA11G0222100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTKGTFTGVHNVIGADGPLMGHLAIAGPVALRLDGPERLPRPSWGRTCYGEVVFLRQIVFALHPSPEMPLAWQGTRTTLRLTPFLLHSLHHGFRQI >ORGLA11G0222000.1 pep scaffold:AGI1.1:Oglab11_unplaced148:25696:25944:1 gene:ORGLA11G0222000 transcript:ORGLA11G0222000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSTAPTTTPAAVCSCSLSPWTRCSSWSLGCATSRRSLPCSCTSLRATPLLPLHRPCRRFHRCPPPRRVVSLFVFVAPLS >ORGLA11G0221900.1 pep scaffold:AGI1.1:Oglab11_unplaced146:4728:5207:1 gene:ORGLA11G0221900 transcript:ORGLA11G0221900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSASSSAVRRRTGELFLGKCPLCGTQLVARTSRTPKNPNKNLVKCPNLENTPYACRFFMWEGQYEQFLADGHVGLGYQTGHEQFNVEALSSMGIEGLPLKGCAALGRMLVYLAVVQALLLLLILVVVISK >ORGLA11G0221800.1 pep scaffold:AGI1.1:Oglab11_unplaced145:15815:18108:1 gene:ORGLA11G0221800 transcript:ORGLA11G0221800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRQAGLKPRSPRPRCLFDLFEDHIASHRRESPHRRRERRRERGEGIAGRRGGRGGAGREERMSGDMPIRLYYGDAPIQICDSGVDLTVYAFHDTSLNAPEHMGLNDVLGWLYNMFGVDPVHDKFVINAVWPVRGQHGWQWRVVEVASTGSWRKFVSKVREKGYSLAIVVQKTTCVDRSGESSHAVVEETPLEGGQAENVWRTEQGRGEEVVEGNTQGEIIVRGTNREANDSDDEEPDSPMRVDAAEENEAVVDQMEVENEEYIALVVEGEDTTLWDNKTNIPDDWTTISMSRMKVNGGLDAHWCYDSKQVKVGQMFYDKGHLQDAVKRWAFVQKREFRVKVSNRTMYDVKCIQGGCPWRVHGYKPQHDTLWVASRVEQHTCLLENTCLVHRNLTAAFVAQMVYSKVVRKTSLSPFTIMHDVEKEYGYEISYDKAWRAKQKALEMRFGTYEDSYHNLPPLLEVMQARNPGTHMAILDEVNEYGENVLRRAFWSFGCMIEAFRNCIPLLCVDGTFMTGKYRGTILTAIGVDADSHVVPVAFAFVESENTSSWLWFLRHIKMCVVENRPNVCVLHDRHAGLLSAIQKLQEDVTQSVPWPDLHSRWCMRHFGANFYRQFRSKRLMDLFKKLCK >ORGLA11G0221700.1 pep scaffold:AGI1.1:Oglab11_unplaced144:63166:69843:-1 gene:ORGLA11G0221700 transcript:ORGLA11G0221700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:I1R375] AGRARGEDRGLLRRDRRDEHRGADHVDVGGAGRQPATALRRRRPHQVLPRERAQDLPVTETVITVGFLTPVANLIGAVRGPKYDGSFLHDKIKSLTHDVTIADTVTNIVVPAFDVKYLQPIIFSTYEAKNEPLKNAHLSDICISTSAVPTYFPAHLFKTTSPSGESREFHLIDGGVAANNPTMVAMSMISKEVLRENQDFKLGKPADYRHYLVILIGMGTAKMAEKYTAPACAKWGVLRWLYDSGFTPLIDIFSHASADMVDIHASVLFQSLSCEKSYLRIQKQLIKYNTEEVLAIKLHMHPTIIGLLL >ORGLA11G0221600.1 pep scaffold:AGI1.1:Oglab11_unplaced144:56084:57099:-1 gene:ORGLA11G0221600 transcript:ORGLA11G0221600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYLRSSCKQQQQPSSPAAVASVAAAAVSSYSYLTLRSGRRVPAAAGGSACRRRHRRGGRRGCAKNGAGSARACGARSPSSSASSGQRRRCEAVECSHGGGRAELSRSPPLGNSVVVVSGDVVSGERKSLKPNSCSGEVAAEHAGEHKHNPAAAAAAGRRPPLSPPEAEIEAFFAAAELAERRRFAEKYNYDIALDRPLQGRYEWEPVST >ORGLA11G0221500.1 pep scaffold:AGI1.1:Oglab11_unplaced144:42300:45695:-1 gene:ORGLA11G0221500 transcript:ORGLA11G0221500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRQYWGGGWRPSGGGGGVVVMFAWLSSQERQVRAYVELYAALGWACLVCHSEFLTLFFPDKAAMLADRVLAELVKELKVRPVPVVFASFSGGPKGCTYKVLQLIERRCEGQLSLEEYQLVRDCLCGQMYDSSPVDFVSDLGTRFLLHPSVLKMSQPPRILSWMTRGIASGLDTLFIGKFEAQRAEYWDTLYSSVHVGPILIFCSEDDELAPCSIVQKFGRRLLELGGDVNLVKWQNSPHVGHYKHHPEEYRAAVTELLTKASMLYMSRRQLNSYDLGTSEHSDMLASDVHKAGTNSNNRLRRAPDDPIDHFLLPSSMEYHESSNEEPKPELFNMPSVESINNPHGVLGQMLYDVCVPKNVEGWDFKPSASINGRHINSIARQHGTFNPIKCIRRSKL >ORGLA11G0221400.1 pep scaffold:AGI1.1:Oglab11_unplaced144:30362:36104:-1 gene:ORGLA11G0221400 transcript:ORGLA11G0221400.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTRWGSSGASSRGACTPSPARSTRSAVRWMXWWCSSRTAAGSRARRGTSGSASSRGCSRRGRRWSPSPSMASRPGSTCTSTATGRPTSSATGSPTSRKGSSPCPPCRPGTSGTRRRRRHRCRCRTRSSGSRRAYRAIAPPWRPMPGTARSSRGQARGGLPYSSGCLVGNRSRTVRMGWIGXARXSVPRLLLSSLIPIGRRIRHVVQKFVDLSMSLPRVIXKILXMVIRWKPQKWYCRHVALIRRKTWAPLIEAVWIAIFLVRREEQIVWEMKTTIIYKQLVSRRKLLRFTRVIAVFRLMVQTRQEXSLHQMIQVXIKLPVSPLILKVRKLSVSPLKRKVRKLSVSPLILKVKKIISEPIEAQSEKIISEPIDTQTEKIISDPIDTQSEKIISEPIDTQTEEIISDPIDTQSEMLDNFQDDTGREMHTREVLSHVIFETHAGETNITIGKSEEISQFVTVKTCQDCSDANSPVYETAGLSSEMHDISSITSAQDACQEKVVIVSSSETVETSYNVPDILVDKVCHAVGNPLDDSLQPKEQSGVSIEEIEHVSFEDKPLSYYGASSNIEDMTKLGIQDQQVPVFEDSGSQNSQEFVPDKDICVDTVVNDRSAHIVNDLACNHDFVFPAASSSVEEISNYVPDNHLDDVTKDFIVENKTCNGELNISLVQTSTTGDETTECISPSANIPNKVELQGSQIISDLSSLRKVEAESTTLEDTESRSSSASGVEIKLVPEAIYEPREEAEAVVSFSEFVEEIQFQFSDSESFADRKTTDDAASTKEAGAVEHDESDCDTEQQGGNNTGLGNNLENCSDSSRPETIPVPIPGSEFHSDDNNLEAKSLPNLRSHIHDLERSDSFQLSRSLQSNGENNGVEPVKSTTSDLPVQEPEDTGDSKENFVPPEPTNSAIADNLKIDPFNPCVELSLCRHLLSEGMGEDAACKAFDAEKVTLEKFRAMKQSLIRNNKLVVRIAGRYFPWDAAAPVILGMVSFQEEQSFEPQGMIKVERVEPNAAPGGWRIWPFSFKRTRSVNTVQPVSESTEEASSSAPVKEVERENNKPRAKRMERKVRSLTPTSEELASLDLREGRNVVTFTFSTGMLGKQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLGAIKALFPPDSNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVNGMFPPISTSSEQEDYNTWNYWKMPLPAVDI >ORGLA11G0221300.1 pep scaffold:AGI1.1:Oglab11_unplaced144:25961:29059:-1 gene:ORGLA11G0221300 transcript:ORGLA11G0221300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQPAASSPKRKKKHSKKPEDSNATVDDSLAAAASPSPKKKEKHSKKKREAIDATMAAASPKKKEKKHSKKQEDTNVPEKKREVVHVTVDASLTGAAAAGAAPVVAYFPTGYDPLAAGGGRKGREAPRTRLFRHTKHPSRIELVVGAATGGGGGPDFVGRSYAGEAVLPQLTGYALGVLDKASGTLKVVPIAANKILRLEPHLEVQKLAHSQHSGAVGEAVSSAGDADLKVQDITKAFGTQKDKAKDIKWQSLNEQRNDPSAFMDLDLGNADTSVGANESQEPTVRNIPPYDPAADTSERAYLFDEIIPKSIRPHLVDIIGHFESGEISSKGYGSFVSNRVNKLQELQGEDKERLAWILSYITHLLSLLARNSSMSKRHRKENQATSGPVIPQYVYRKMVLMFTEPGSSALSTEKHELLINYILVLTLYADDFRSDPKDICEDLKMTRQMIKPYYDQLGCKSSSAGAFKSSVMTLPAPLKFPKEATRRKRRRF >ORGLA11G0221200.1 pep scaffold:AGI1.1:Oglab11_unplaced144:21342:24919:1 gene:ORGLA11G0221200 transcript:ORGLA11G0221200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMAMPAGAAAAAVPPAAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPPQNPGSRPQMMQPGATPGAGHYMSQVPMFPPRTPLTPQQMQEQQQQQLQQQQAQALAFPGQMLMRPGTVNGMQSIPVADPARAADLQTAAPGSVDGRGNKQDATSEPSGTESHKSAGADNDAGGDIAEKS >ORGLA11G0221100.1 pep scaffold:AGI1.1:Oglab11_unplaced144:9963:10352:-1 gene:ORGLA11G0221100 transcript:ORGLA11G0221100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASTSLPAGTQPSVVELLDARAATPASPSLVTAVSAEPSGQRHQPPLQLAPDHLLCPAATAARWNGAPAVVSAAFSVSSLPLLHLTHICVPMAACELHTDTHRGQELRYLGHGRLGLISIHYLVHGE >ORGLA11G0221000.1 pep scaffold:AGI1.1:Oglab11_unplaced144:5911:6669:1 gene:ORGLA11G0221000 transcript:ORGLA11G0221000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETSRGRRIRLRKRTARIRLRLDKPHPSSSPHTHPXIVRVSNSPIFSCKIIEISREIIHPPMADRRAYLKRCVVALAAAAEDAGEKIALMPCTAAHCVEQFAADKAMAARGSKRSGGTLVPVDGEEAILVPRGKLALSKKLVDKILSLERRELPHVADLLKDGGGGGDPNPSEAEKLLRKSVREMDRYNKKREDKLAECQAIIRRVRHGKGGYAVVDNNLEMRVAVSKAEGIFLDDAEMTDLIIDEGFNLASN >ORGLA11G0220900.1 pep scaffold:AGI1.1:Oglab11_unplaced144:2702:3286:-1 gene:ORGLA11G0220900 transcript:ORGLA11G0220900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLDRKQIKRCVVAFLPPPEEEDAGEEIRVVPCPAAAAEEERRRSSKRKGKRCASNGSKPAGTLVPADGEEMILVPPGKLALSKNLVDKILSLERMELPHVAGIVDDGNPNPSEADKALRRCVLDLDRDNKRHQDKLAACQAIIRRVRHGKGYAVVDNRLDFRVAVCRAEGVFLLPCHIADLIPAGFDLVSN >ORGLA11G0220800.1 pep scaffold:AGI1.1:Oglab11_unplaced144:858:1052:-1 gene:ORGLA11G0220800 transcript:ORGLA11G0220800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAGSTPQKPDHPPTRCRCQAKVWFQRPCSFRSLVRASDQKRGGESSTGAEEEELTPGKEASGSY >ORGLA11G0220700.1 pep scaffold:AGI1.1:Oglab11_unplaced142:184241:188413:1 gene:ORGLA11G0220700 transcript:ORGLA11G0220700.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNLRSSGLSGVLSPRISDLPYLQQLLLDGNNITGGIPQELGNLSSLTTLKLGGNSFNGSIPDSLGRLSKLQILDLSKNLLSGNIPTSLSKLPLLDDINLADNNLSGQIPKQLLQMSLYNYIGNHLNCGQHLIPCEGSSANTGGSNNSALKVVLASIGGAVTLLVIIVLFLLWWQRMRHRPEIYVDVPGQHDHNLEFGQIKRFSWRELQIATNNFSEQNVIGRGGFGKVYKGALKGPHGRKVAVKRLSEVEKPEGEIAFLREVQMISIAVHKNILRLIGFCTTPTERLLVYPYMENLSVASRLRDIKLNEPALDWPTRVRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMIDKERSTVTTKICGTTGHIAPEYLQTGRSSVKTDIFGYGVMLLEIVTGERAISPDFLEGADDVRLIDQVKLLVQGGRLTEVVDRNLHNAYDFEELEKIIQIALLCTCEEPDRRPAMSEVVKMMEGNVVRAEQWEEWQAAELARSARQVEMRQQQRLVSIREESVNIQEAVQLSGAR >ORGLA11G0220600.1 pep scaffold:AGI1.1:Oglab11_unplaced142:177658:177879:1 gene:ORGLA11G0220600 transcript:ORGLA11G0220600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTLSMSWVRGFRLPTSYINRGGA >ORGLA11G0220500.1 pep scaffold:AGI1.1:Oglab11_unplaced142:172649:172870:1 gene:ORGLA11G0220500 transcript:ORGLA11G0220500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIDGDSIWHTMELLCVYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTLSMSWVRGFRLPTSYINRGGA >ORGLA11G0220400.1 pep scaffold:AGI1.1:Oglab11_unplaced142:162873:167806:1 gene:ORGLA11G0220400 transcript:ORGLA11G0220400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:general regulatory factor 13 [Source:Projected from Arabidopsis thaliana (AT1G78220) TAIR;Acc:AT1G78220] MKEREKVVRLAKLAEQAERYDDMVEFMKTLARMDVDMSAEERLLFSVGFKKTIGARRASWRILESLEQKVTAGEQPGVTINGYKKKVEDELRAVCNEVLSIIAIHCLPLANSGENVVFFYKMKGDYYRYLAEFSTGTEKKAATDQSLMAYQHAMVVASSELSPAHQFRLGLALNFSVFFYEIMNSPERASQVAKQALDEATAEINSAGVEGYKDSMLMMQLLKENLALWTSELTGGETSKDDDVVMEG >ORGLA11G0220300.1 pep scaffold:AGI1.1:Oglab11_unplaced142:148427:149758:1 gene:ORGLA11G0220300 transcript:ORGLA11G0220300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNWAELLEDVLLTIMERLDISDLIRSSAVCASWCATSTVVRRARFPLQAKQLPCLFYACEAYSPNNAVVHCPFTGESIRVPFPLGPITEHSVVGADHGWIVTADEVSNLRLINPITGAQACLPPITGIHHVEKSFTGAGNNDALMYNVFVSSTPGLDPEPLLLTANEARECMYHRVALSCRPSIGGGACIALLAHMECGELSFAQPGDERWTWVSPDKHPCFGGFEDFFHNDNDGLFYALRYDGSIYTLDLNGDSPIVRQITGKVSQRWHPSAMYLLRAPWGDILQVRRWRSYVDLMAASSSKHPNNLEVDDDDVDLDPIVDINDDIYPYLELRTTDIEVFKVDFERKKLVKMKSLDDHALFIGYNSTMCISTKDYPMLKPNCAYITDDSSEYVYMYKTSWREIGIWDMKSKSLQSFACTENPSPWLNWPSPVWIKPSLF >ORGLA11G0220200.1 pep scaffold:AGI1.1:Oglab11_unplaced142:131531:135174:1 gene:ORGLA11G0220200 transcript:ORGLA11G0220200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGHWGSKHPILHRFLLSDQVPTPVFPDSHWRFLLAVAEAISYEEVTTRERIVAWGNHXNGSWSIMDLVVGASSGAVKSLVSKLGSLLAQEYTLISGVRDDIQYINDELASMQAFLSRLKRDVAHDEQRQDWMKQVREVAYDIEDCVDDVRHRLGGEPRGTGTVVSLKRTWYLLTTLYQRRCIAADIGNLKRRAQHVSERRTRYGVENLPANANGGGNNNSGSPRDHPAPLPRLIGTVEPVGMDDAMNDLQRWFMVSKQNGQQQSQISYLAIVGSGGLGKTTLAMSFYRKFGDEFDSRAFMLASQKFHLPTVLRSLVSQFHQKQVSASQDALHGIEEWGVEALKKKLADQLHGKRYHILIDDIWSVSAWESIRDSLPKNDKGSCVIVTTRFNSVAEACRRQNGHVHKLKQLDLENSYNLFLQIISANDLCPSRPINVSIIMRICGGLPLAIVVVAGLIASKLKSKIDLTLDQHLVDVDEALSAELGNNLTTEVVQIINHCYKNLPPDLKTCLLYLSTFPKGRNISRKRLIRRWIAEGFVTEKHGQTAEEVAEDNFNELIGRNLIRPINNSSNGKVKSCQIHDMVLEYIVSKSGDENFITVIGSHWQTPFPSYKVRRLSVHKSDRQETVLVERMKLSHVRSLTVLESFKALHSTMLKFQILQVLDLEGCKDLSSNQLKKICNMHQMKYLSLRGTEIHKIPKKIGRLEYLEVLDIRDTDVTNLPASVERLQRMVHLLAGNKTKRRALRLTEGITKMTTIQTLSGIEISGRSTKKAAREQAQVMEVIRDASTTDAKDGDITGLQGTHKEGSKVDMPKQLRPLAALEKLTNLKKLAIYRLVNFQAKDDELLLSAIEHLSSCSLKFLAIDDSFTGFLNRSLSSSQAQPEHLYTLELSGSLFKVPEWIDRLHNLEKLTLSLTSLTTDTLVTLSRLPELFSLIFSLDAAKDISNILKTVHKNTLESGGKIFVPDGGFTKLRLLCFTAPVLPPLSFLEGAMPELQRLELRFRIIECVYGLENLSSLQQVFLTFSSQAPEDAKEKVSQIKGLASKIRKADSSNISVVIDEYNELSKEQ >ORGLA11G0220100.1 pep scaffold:AGI1.1:Oglab11_unplaced142:117615:119192:-1 gene:ORGLA11G0220100 transcript:ORGLA11G0220100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEPDKDKPLPKPKPPKPKPKPPKPEPEPNTRSAPERDQTAAPYGTVPPDPLSCATDCSPDCVFYHLCPSPPPPVAPVHLRSSRLPTPLIALSASLLGVSVVLLVALLVCRLMRGRGRRGRRRRGGRNALAPQEAPLTQQPQQGDEEGGAAGAAMAAEEVEGDDDDDDGGGGVHHVWYIRTVGLDERAIAAITALVYDAKKTGGGIGLAGGGGGGGGGGSCAVCLTEFRDGETLRLLPRCRHAFHRGCIDTWLRAHVNCPLCRAXXXXXXXXXXXXXXXXXXXXXXXXXXVPGGAPAPNPRNAAAAEADRGELQGSPERGVRRAASMVTLPRRPWPEVSLRSPASNSGRMGEMGLAKIARLMKFSEVLEMAGIGATRSVSFGGHGRSGQSAAAGAGNNADEISR >ORGLA11G0220000.1 pep scaffold:AGI1.1:Oglab11_unplaced142:110995:114760:1 gene:ORGLA11G0220000 transcript:ORGLA11G0220000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAARRRADVRVPDLLRLVAAPRPHPQSASSGGGGGCGRGRGLVGVPRYAASASAAHGGARGRGGEGRSGVPNALVVAALDTSAGAAAAAALSPDPVLRMGTEEQVPYRMGVHPRGDGVLCAFPNGCRLFRWESQEGEGPDNIALRADQEALTDLTDAGLQLAVSFSGEGSILATGGEDGHLRVFKWPSMDSILEEPDTKTSVKDLTFSSDEHFLAVNRSSGPCRVWDLKSAEVVANLPREAGEIFGFCRFSNQTDNSQILFVTAMQGDYGKIISWNTTSWTRIGSNKITREAISAFAVSPDCTLLAIGTIEGSIIVLSSKNMRALVTVKKAHLGIITTLAFSQDSRTLLSTSFDSTARVTSIGSPKSRGISIWTMILVIILAILAYYYMQHKEDLLARFLQ >ORGLA11G0219900.1 pep scaffold:AGI1.1:Oglab11_unplaced142:101717:105328:-1 gene:ORGLA11G0219900 transcript:ORGLA11G0219900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seryl-tRNA synthetase [Source:Projected from Arabidopsis thaliana (AT1G11870) TAIR;Acc:AT1G11870] MLTCGRFLSSSAAASTPSLSPFRNLTLSLLRRPHLRLLSSSATASAAATAAAAVEPDTKGGAGGGAAKPQWKAAIDFKWIRENTDAVAANIRDRNSAANLDLVLQLYDEYLALQKEVERLRAERNAVANKMKGKLEPSVRQALVEEGKNLKEGLIALEEDLVQLTDKLQLEAQSIPNTTHPDAPVGGEESSVVRKEVGSQRNFNFTIRDHLQLGKELDLFDFDAAAEVSGSKFYYLKNEAVLLEMALVNWAITEVSKKGFTPLITPEIVRSSVVEKCGFQPRAQNTQVYSIDNSDQCLIGTAEIPVGGIHMDSILPDSDLPRKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFVFCRPEESDKCHEELITIEEELYASLGLHFKTLDMATGDLGAPAYRKFDIEAWMPGLDRYGEISSASNCTDYQSRRLGIRFRPSPADPPPANAKKGKGSSGPTQFVHTLNATAVAVPRLIICILENFQQEDGTVVIPEPLRPFMGGLEVLSPKTK >ORGLA11G0219800.1 pep scaffold:AGI1.1:Oglab11_unplaced142:97683:98222:-1 gene:ORGLA11G0219800 transcript:ORGLA11G0219800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDEEGSGSRSPSKAAPAPAPVKKKKTKTTTTISAMVPLPLAEVKWILAQKREPYTNPDDIEGFKISSNPNNDNDDGFPGELKASCRDSVRRSNILRKVADDRFFEYQSEVRAAMESSGRFLVDAGFFERRARGRAKLNEAWAKLRDGLPLSDSDSDADEEDDEDMALLAAMGLEFD >ORGLA11G0219700.1 pep scaffold:AGI1.1:Oglab11_unplaced142:84883:85407:-1 gene:ORGLA11G0219700 transcript:ORGLA11G0219700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTTRDDEACPSTTTTTKSTAVTTTTTMHDDGAAAPPSPKRRKVAAAAAPAPAVVDERARLKRRIAWVADKIATHRDEVETPYGFPDTCYGYAGYGFVGWVRADFAGEERAAERAALEAWMQIEWERRLLRWRRGEQQQPGVDGGGDSSFGVWDDDDQEDSEKKTESKIIAG >ORGLA11G0219600.1 pep scaffold:AGI1.1:Oglab11_unplaced142:83035:83457:-1 gene:ORGLA11G0219600 transcript:ORGLA11G0219600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEYSTKAAAVEAIDGGEEVVVPAGKLLLPREVVDKILAIKRRPFSFGDDDIGSDDDELRELAVQHEALXDKFAACQAMIREHRHENKGYAIVDDELQVRMAVTRALHPFVERYHWVGEEDEEEEQVADVVGGEEKLI >ORGLA11G0219500.1 pep scaffold:AGI1.1:Oglab11_unplaced142:81323:81861:1 gene:ORGLA11G0219500 transcript:ORGLA11G0219500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVEQHGQQEACPNSDGHLGKSWAKFRVEYGSNGFVEVEYDVDEFEETQRLQEERRLWRAHVWEKIFADDPPEEGEFAEYVSVYIIKRRGRSR >ORGLA11G0219400.1 pep scaffold:AGI1.1:Oglab11_unplaced142:79377:79955:1 gene:ORGLA11G0219400 transcript:ORGLA11G0219400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATGSHEEVPATAMAKLRLSQENVDWILARKELCGDDAPDISRYIPFLLQSPSGVPGAEDPLPESYYDNPKALMRYIDNLLMDEFDKFRDFEKWVRAKHEEKGFVEVEYDHNHFEQRQHRREERRALWAEMLAEEMADILPTMEDGEFGDYIEVYDEKRRKFVMKEVEGNIGVPVFDKEKKRFVFVKKN >ORGLA11G0219300.1 pep scaffold:AGI1.1:Oglab11_unplaced142:75537:75878:1 gene:ORGLA11G0219300 transcript:ORGLA11G0219300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEKPHQHKATGLKYTNHDEIFLMHSSDLTCERCDQLMAVSRSCRSAHAGVAVAAPRVRTHPPETRAPNQETHDAAALRRGLDEAVKGIRRIKLRTP >ORGLA11G0219200.1 pep scaffold:AGI1.1:Oglab11_unplaced142:57172:59813:-1 gene:ORGLA11G0219200 transcript:ORGLA11G0219200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPESGGAGKGSNGEAGGSGAVDKHGAVVRRAAGIASGEAKRSATADVRRAAGASGTVGYPDGGGRRDDEPVREELHGSGGDYNDPSKSKRVRGEPHVERALSAVFRGEETHVERVPSEEVFGEADVEQDLGDAVDYLRGDEDYVGREITVDVRCGAHVKVKRAAELPDEVRPRRGARWPKRLRAHRGVPRRGGLRRARAGRGGPRRPTSSPRRAGRSAARTARRPTSSPRWAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXREDGEEVHVEPAPGGEVRREHGEEVHVEHVVVLDHEPVEVTAGLVLGAPVPAAVRAAVLEARALKNIEGAKAAKEARKLWERGNLNGSNNGCNSNSPSPSEKRRFAGPKEKIFQKFDVEASYLTGNEDSDSKYRRLVDLFNQMISLMEAVEHGLSLKDSKDLEREMKSTIHEIRKVRQTLLTQVLPRFLV >ORGLA11G0219100.1 pep scaffold:AGI1.1:Oglab11_unplaced142:47426:50719:1 gene:ORGLA11G0219100 transcript:ORGLA11G0219100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKYDLPLLDYKTRFSLWQVKMRAVLAQTSDLDEALESFGKKKTTEWTAEEKRKDRKALSLIQLHLSNDILQEVLQEKTAAELWLKLESICMSKDLTSKMHIKMKLFLHKLQESGSVLNHISVFKEIVADLVSMEVQFDDEDLGLLLLCSLPSSYANFRDTILLSRDELTLAEVYEALQNREKMKGMVQSDASSSKDEALQVRGRSEQRTYNDSNDRDKSQSRGRSKSRGKKFCKYCKKKNHFIEECWKLXNKEKRKSDGKASVVTSAENSDSGDCLVFLLVVLLVMMNGYLILHVRFISALTEIGLVLTSLCRMEMLCAWEMITHVRSWALAPFRSRLMMAXHARXKMXDTYQGWREISSLSAHLMQKDTNTPVQVELXRYRKVLLFTXLVIXILQTYMSLEEVHYMVLLPLLLLLKMNLLYNVLVLMRM >ORGLA11G0219000.1 pep scaffold:AGI1.1:Oglab11_unplaced142:42913:43047:-1 gene:ORGLA11G0219000 transcript:ORGLA11G0219000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETEEEERGGGGLGGAERRERGAHVRCGRAAGGRWSASTRRR >ORGLA11G0218900.1 pep scaffold:AGI1.1:Oglab11_unplaced142:38880:39422:1 gene:ORGLA11G0218900 transcript:ORGLA11G0218900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRTATMRLSQENIEWILARTELCTDDAPDIERYIPFVPNADVDEDHLPEIYYDEPEALLVHINGILKATWAKFRDFQSWVRVEYGSNGFVEVEYDVDEFEEAQRLQEERRLWRAHVWEKIFADDPPVEGEFTEYVSVYDQETRAFVMRSKELEEGTRTIAVFDKVKMKLVLKKLDQ >ORGLA11G0218800.1 pep scaffold:AGI1.1:Oglab11_unplaced142:36667:37158:-1 gene:ORGLA11G0218800 transcript:ORGLA11G0218800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSKQLRMPQEHISWILHRREPSFDEADKAAARRELYSDDHELVRSGWFDDLLALQRGFVERRKASWARFCEMAARVRAEFEANGFVEVDDGYFDRQEENRALVWENCGREFAQMLRENKDGEFGDRDDEAVSDDEHQHEDEEEDEPHDQESESDGDL >ORGLA11G0218700.1 pep scaffold:AGI1.1:Oglab11_unplaced142:27313:27426:-1 gene:ORGLA11G0218700 transcript:ORGLA11G0218700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKGGNGETTTGKPAAGSSGRQRWSGGVPRARGGGR >ORGLA11G0218600.1 pep scaffold:AGI1.1:Oglab11_unplaced142:19809:20672:-1 gene:ORGLA11G0218600 transcript:ORGLA11G0218600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQFGVLLLSLALVVQCSDMTRKVIMADEEPSSTNPQDSSCGSTVNPGPCFPSSCKTYCKIQVPPNADGNCTPDGCKCTYCLPPSPPTKQGR >ORGLA11G0218500.1 pep scaffold:AGI1.1:Oglab11_unplaced142:1201:1922:-1 gene:ORGLA11G0218500 transcript:ORGLA11G0218500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:I1R343] MASNGEATTGSETAAPAPVPEPTPPPCQGRLITVLSIDGGGIRGLIPATILACLEAKLQELDGPEARIADYFDVIAGTSTGALITSMLAAPDDDRRPLFAAGDLTNFYLENGPKIFPQR >ORGLA11G0218400.1 pep scaffold:AGI1.1:Oglab11_unplaced141:4317:4499:-1 gene:ORGLA11G0218400 transcript:ORGLA11G0218400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALIKIGKDLLTKRVARVNIDTGVYEPVDGEGTNEEALARFAKKLSEERRLRRNNLSSS >ORGLA11G0218300.1 pep scaffold:AGI1.1:Oglab11_unplaced140:1889:2146:-1 gene:ORGLA11G0218300 transcript:ORGLA11G0218300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARDAGRRWLKAAGMAMARRRRRCSRGGKVRYLAATAAVPPDPARIWRVAAVGDDDDDGRQQLATVSAGFGCRRQLATMAAVW >ORGLA11G0218200.1 pep scaffold:AGI1.1:Oglab11_unplaced138:5396:8124:-1 gene:ORGLA11G0218200 transcript:ORGLA11G0218200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVGYTIKLALRHLKSLWFLDLSDNHLIGDVPLEISTLTKLAWLDLSYNDLDGLITEELFDGLKSLKNIGLSDNRLKIVVGSDWIPPFRLKVANLASCHIGPLFPSWFKWQMGISHINISRANSLSGVIPWQLSNLEAMTKRKSMLHKLPNNYSRGVDRYLSRFKHMVGELSVTTKRQDLKYQGFALLGIVTIDLSSNYLTGRIPSGGQLDTLYNNNPSMYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA11G0218100.1 pep scaffold:AGI1.1:Oglab11_unplaced138:636:1118:-1 gene:ORGLA11G0218100 transcript:ORGLA11G0218100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRRPAIAASFLLLMIAADGQAATPSPPAAIGSYCKPRERDALLVFKEGVTDDPAGLLASWRRGGGQLQDDCCQWRGVRCSNRTGHVVKLRLRNDHAGTALAGEIGQSLISLEHLRYLDLSMNNLAGSTGHVPEFLGSFRSLRYLNLSGIVFSGMVPPQL >ORGLA11G0218000.1 pep scaffold:AGI1.1:ADWL01023112.1:3:1910:1 gene:ORGLA11G0218000 transcript:ORGLA11G0218000.1 gene_biotype:protein_coding transcript_biotype:protein_coding QMDIRNRNNKIYEIWVEDVRMLAHQIEDIVDDYLHLVSYKDKHDDTGWTTYLKKGFKRMKGPNALLSLNRIAPSVKEAEANLVHLFQAKERWVQMVADETSGESSCYIVEASRHLASISCSLSEEDLVGVDENRKRLREWLAGDELEHEVIVLHGMGGLSKTTLAANVYRNEREKFECHAWVSISQTYSIKNILKSLITELFRNAKQNPPVNLGDMKAEGLQDELKAFLRDRKYLVILDDVWAPEAIGNLFGALVSNLRGSRVLVTTRIDEVTHLAFPNKRIRLEPLSQNDSWELFYKAAFPREKKLECPTEVTQLAYQIASKCKGVPLAIVSVGRLLFGRDKTEEEFRHIHNQLDWELINNPSMEHVRNILYLSYIYLPTQLKSCFLYCSLFPDDYLFTRKKLVRWWIAEGFVEKRGGSTMEEVAEGYLKELVHMNMLQLVERNSFGRIKAFRMHDIVHELAVDLCRRECFGVSYSCENKRFEFLEENDERRMVIHRLDKDINQVISSECRLRSFIALDKAMPSSTLLPLLSEKCRYMSVLELSGLPIDNVPDAIGDLFNLRHLGLRDSNVKLLPNSIEKLSNLLTLDLCTSEIHELPRGIIKLKSLGTCLLRKRTIGLGDNSGVALVYASPGD >ORGLA11G0217900.1 pep scaffold:AGI1.1:Oglab11_unplaced125:28262:29737:-1 gene:ORGLA11G0217900 transcript:ORGLA11G0217900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSRSHSLIITLRLPNSNHLVDLKAKFANICEKTSTEKRHMYISDEWLRANPSVTAYMSTSLNVRQQVAEEGIPRLGAEAARKAIDDWGKPASSITHIVFATTSTGCLPSADVVLIKLLGLPLSTKRVMLYQAGCFGGTTALRVAKDIAESNRGARVLVVTSEVMSLVIRGPSESHIGNLVGQAVFGDAAGAVVVGCLNPTTAASDSECPMFELVRASQDVIPGTDNAVVVKVRQEGVVITMHRDMPLHVSNAIGGVVKSVFHEIDTKITSYNEAFWLLHAGGRGIVDGVEEKLGLGEEKLAATREVMRQYGNTRSSTIFLAMEEMRRRSEERRMATAGEGLEWGMLIAFGPGLTLETMLLRAMPRNN >ORGLA11G0217800.1 pep scaffold:AGI1.1:Oglab11_unplaced125:20593:21024:-1 gene:ORGLA11G0217800 transcript:ORGLA11G0217800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQGEPMSTTTSGSSRARTPRTGNKIPKERFRITAVDAVGLPTSPRKILSRFRSICGVIGRXKFSILQDDFKLVPAAEKDIAWLTFKESFDYPAEHEDRLRRAAFKVMGTAWKNFKTKLVGEFVYNPANPDPREKFPWITEQV >ORGLA11G0217700.1 pep scaffold:AGI1.1:Oglab11_unplaced125:17909:20480:-1 gene:ORGLA11G0217700 transcript:ORGLA11G0217700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRKRNGSVRMKKRRNRAHRSCLAISHTLGLGTGPGLGTRRMMMAQKYGFPDYTWQYKKRTSNKAEKDARLEAKIRESIRAKLTSEFDEKLESMRAKIRQEIREEQQIPQVAAAAAHKELGSPTEKCSSCASTELAENQTSVDSAVDHITEPTSCTLTVRVMSTFIVPGAKGLAYKPTPETRVHGAQLRADCAKVHVDSVKPEYELFLLKYPPNDEVLSLGNAHGTFIQWPKDLIEIRVTARPTTTPGGRPPKRPASAPSAPPAQDRHAQSYDVHVHYDTDFGEDRTEADSKAIHEPPPMKKSRKAHSSPQRSTLNKPEAKGRGRGGKVQASLLAPRKLDLGKGQEETKGKEYMEKSNARKPSKATDILGEHDEKPFLGPTNYIVVDFKDPFDLYRLRAVDTSLLKCYSLLSWQWCQKHAPEVAFLDPQVVTVTNLQNDRQGMVNYIYDTLWSRRDKEYIMCAYNQYAHWILLVITPKWSTCHYLNSRIDKNAYDWTPIQLAIDEAWAQYVQRGGLRKTGHDTLIHKKDFPVKQQIGDQCGFHVCHNMRLLYREKVKTLAEFEGTITKSLTTSFEEVREEIASFILREIAMMNTYYATVMM >ORGLA11G0217600.1 pep scaffold:AGI1.1:Oglab11_unplaced124:1118:1949:1 gene:ORGLA11G0217600 transcript:ORGLA11G0217600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGVLFLLFLARQASAAGYGGWQSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNDGAACGSCYELRCDNAGSSCLPGSITVTATNFCPPNYGLPSDDGGWCNPPRPHFDMAEPAFLHIAQYRAGIVPVSFRSVPXXXXXXXXXXXXXXX >ORGLA11G0217500.1 pep scaffold:AGI1.1:Oglab11_unplaced123:1062:3991:-1 gene:ORGLA11G0217500 transcript:ORGLA11G0217500.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGIGSVQAEMLLIDAEVDYQKKMLLEACKVDYLAAILPIAKSYLWAKLNNNLRPPNGLELQAPLNIILRTLCKIFDSWDNEKAGKPCDPCDSTRFADFTNSLVYKKDGQRKTAIDIVKFIFRRLHTSQTPLHFEFKGETLDHQTPVEPSFLGCICLVHDLFGLHIYENKFNCVNEVYTEYRYTTFLHSIDLGAVGKTKIFK >ORGLA11G0217400.1 pep scaffold:AGI1.1:Oglab11_unplaced122:446:3014:1 gene:ORGLA11G0217400 transcript:ORGLA11G0217400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLFTILPAPLCPISLSLSLFRSERFFRVNKQALRPLGSLDQWMQESFMAVRLDPGYLRIGVTPPQFSTSSPSPPPSPPHQLISTSPNWTIDVSDARTIKVTNISMSATADNIKEFFSFSGEVEYVEMRRESETSQVAYVTFKEFHGADTALLLSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAASVVASSPYASRGAAWVSAAVGAVARAAFDVGAMTKEKVERAEEEEHGAGAAGDVAHARVQVDAPASPAHAAREQPDGHYKNKMM >ORGLA11G0217300.1 pep scaffold:AGI1.1:ADWL01023076.1:3:2015:-1 gene:ORGLA11G0217300 transcript:ORGLA11G0217300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1R331] LLQKKVSNKMANARNAAAASPPPPPPPPSSSSSYSSSASDGEILRSLHRLARDLAAAEAPAPFLETVFAAVSRRAKLLAAVFDDLLRCGRLPRSASLCLREVLLVLQRFKAVVADCSARSRMRLLLQADEVAARVRELQHDLATLLDLLPVPELGLADDVVDLLALASRQCRRSSPSADAAEHELKTGVLALIQEVEREIVPERERLEGILEEVGINDPACCSDEIETLEREIGDRVAERWTSAMIALVGLLRYAKCVLFTAATPRPMDTKVDVDDDDDDDDAEPPSPPPDFRCPISLDLMRDPVVSASGQTYDRESITRWFGSGKSTCPKTGQVLANLELVPNKALKNLISRWCRENGVAMESSEPSKPEPAPVVTANKAALEAARMTASFLVKKLSVSFSPAAANRVVHEIRQLARSGNDTRAFIGEAGAVPLLVPLLHSDDTATQLNAVTALLNLSILDANKKRIMHAEGAVEAICHAMGSGATWRAKENAAATVLSLASVHSYRRRLGRNPRVVERVVHLVRTGPSSTKKDALAALLCLSGERENVGKLVEAGAAEAALSAISEEETAVAVLASLAKRGGAEAIVNIDGAVVRLVAELRRGTEWSRECAAAALVLLCRRVGAAVVAQVMSVSGVEWAIWELMATGTERARRKAASLGRACRRWAVGG >ORGLA11G0217200.1 pep scaffold:AGI1.1:Oglab11_unplaced116:7500:7700:-1 gene:ORGLA11G0217200 transcript:ORGLA11G0217200.1 gene_biotype:protein_coding transcript_biotype:protein_coding CAICLGEFADGEKVRVLPRCRHGFHVRCVDAWLVSHGSCPTCRRQVISGGGSTPPPDSDTIAVVVA >ORGLA11G0217100.1 pep scaffold:AGI1.1:Oglab11_unplaced116:6064:6507:1 gene:ORGLA11G0217100 transcript:ORGLA11G0217100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAREEDEVGGGGGGVVARYSLDVSDGCGGRHSALLDEYERMAFEAQLNRAIVLRRCYSEPSPARFPVAPPQRGGAEGDGCTAPWRSCRLHVVEAVFLRWLEAVKPVLCWLRSAWEQRRRRMERAAAAPRGPPATVPRVQLMDYFC >ORGLA11G0217000.1 pep scaffold:AGI1.1:Oglab11_unplaced114:5980:8130:-1 gene:ORGLA11G0217000 transcript:ORGLA11G0217000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:I1PWL5] MQTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKSQDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRDRRPPERFRRREDRPTGPRPGGAGGPGAPAAAGPGGPPNVART >ORGLA11G0216900.1 pep scaffold:AGI1.1:Oglab11_unplaced114:10:879:1 gene:ORGLA11G0216900 transcript:ORGLA11G0216900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIPSLTLSPPLPTRNSRRRHRVGRFGSTEMDGGELVLAPSDDHDGCSHQEPPVADASGSSRCRRDMFIGRDGGRGVEFRRRATTVDGPEEDATVDVKVITSDADIIIDLGADDDDDDDTPERVLRPVVTRPARRELDWCEPAEVKHVDLAELMTPRASSASASSEKSISRGKPRRSSVSSRRRLKTRTNSPRLAACRKGKPTARATTTTPTQPPLAHSFAVVKTSSDPRRDFLESMEEMIAENGIRDAGDLEDLLACYLSLNSGEYHDLIVEVFEQVWTGLAAACGVMP >ORGLA11G0216800.1 pep scaffold:AGI1.1:Oglab11_unplaced111:10554:11486:1 gene:ORGLA11G0216800 transcript:ORGLA11G0216800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKPNPASATGPDPGRIDDDTTAFLGVLLMDDDELANLISSSAVVEGQAFAPGKAVVPKPGDTRTVVFAVFFEVGLRFPCNVLLPEILRLFQVELPQLSPSALVRIAIFDWACQTAGFEPSSELFGAVFFATVNSKTVVTPAGTKKTAFGSVNFNVRPEHTDLWPVNAAMSKWDRHWMAKWFYHSIPFEVGSEVAKALRCRRRAIAPNKKPKVTVDGAMEARFALLRKICSRLSCRDLVEEFCILRIFSLSQSWQVAVDQDNEVDGLPKLVLPTGENSEPMLFLCASVLRSFSPVRRLIWLHLLFWQC >ORGLA11G0216700.1 pep scaffold:AGI1.1:ADWL01023053.1:296:4242:1 gene:ORGLA11G0216700 transcript:ORGLA11G0216700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTGALGTLLPKLGKLLKEEYDLQKSVKEGIIFLKTELESIQAALEKVSKVQLDQLDKQIKIWARDVRELSYDIEDNIDTFMLHINDIEPNKKYNFTWLIDKCQKSLSKVKIRHKIANDIKDIKRQVMEVMERHKRYMIDDIATKLPTTIDPRILTLYEKVTKLVGIDKASNDLIKMLSVGDGVSETMLKMVSVVGFGGLGKTTLAKVVLDKLKVQFDCFGFIPVGQNPDIKKVLKDILIEVNKDRYMVLDVSTLSERHMIDELREYLGNRRYLIVVDDIWETSTWNIIKCAFLDNNCGSRVIATTRISNVASEITEEFGDVYSMAPLSDDNSKKLFYSRISRADCNSPTNNQLVEETEKILKKCGGVPLSIITMASLLVHKPMEDWSEVYESIGFGVADQNEVVQNTRKILSFSYYDLPSHLKTCMLHLSIYPEDSLIEKDGLIWKWVAEGFVHEEQGKTLFEVGERYFMQLINKSMIQPMERYGIVNGCRVHDMVLDLIRILATKENFVKILDRVHVDPSSSSQSYTVRRIALHKRWNQERLDASMTRLRSFNAMECSISVMPSLISFRVLRVLALERCNVTGGCCLKHLGKLLHLRYLGLRYTRVAEIPSEIGDLVHLQRCANXRIXGGLRLIGPRKQTRACXRHWWSPCASYTSYRTWRSGSLSRWLSTQXXVAGKVGSLRGNSDSSVYTTWTCLGCRHGXTPCVSRTSPTWTFGLXAWRHETWTSLQXCRHSASSAXTSRRDFRGRSVAADHSQTXDTATRTXNSPSFKERCRCFWMLFXSCADLGMILLTMLAWGISHCSRKSLFCSIAKVRRPSKLKKWWWHGSIPSMLTPTVQSFLCTDLGSSXXKKMMVMMRRRFXLRMKLMEVIMRRYXSRYNSXQVLHRRXKATXAATMSWQRKGMRGRVPLRV >ORGLA11G0216600.1 pep scaffold:AGI1.1:Oglab11_unplaced108:152:2938:1 gene:ORGLA11G0216600 transcript:ORGLA11G0216600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGFMKFTRIFIDLHVERHTEPQPAKYLRMDDVTGSFIYESSFGVRSSFGAIGAVNVVNRFNTEVYISDIEVHLHGGHHHSSAVTFQCNSWITCNNPNDRRFFFPLKATYSLPYRHHQSIGRKLVTLIISSYLPSQTPRGVKNLRKEELKTIRGNGRGERKEWERVYDYDVYNDLGDPDNDPATRRPVLGGRERPYPRRCRTGRRRCRADPSSESPPATADGIYVPRDEAFTERRAGVFATKRALSMLSAFTTARRVSGDRRRSFPSLAAIDALYEDGYKNRPPSSQPEADDVDGYLAGMVQRQVKLLLKGEEEEFKEELRKLFKFQTPEIHDKDKLAWLRDEEFARQTLAGMNPLSIQLVRDTEFPIFSKLDEETYGPGDSLITRELIEGQINGVMTAEEYVFDHSSYSKNLSNYLFFSYHLIYC >ORGLA11G0216500.1 pep scaffold:AGI1.1:Oglab11_unplaced107:7989:8948:-1 gene:ORGLA11G0216500 transcript:ORGLA11G0216500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFVDNSVNTNLNQVFPTSTMLVWTQVGEIVFLVYTTMPISAGPSMTGNKNAVVTNQDDSMSKDPPTEAENGTSTTSELEKDSNAAKPCHPDKNHEPTRMTSEATRSWCPIHKTRKHTLQACWVFLNVRAEIRACKERGIQRISPTRDVYCPIHKTKNHDLSSCKVFLSAMKAPSPKVQQSHTPIKDKDKEQGATPTSDRFVGVIDIDPHEPSVLHLLEDYGSSTMSAPREVLAIDDVGTSARTNAEAENQLATPAQHIRAVNAILRETPYDPVLNDDLARWTERLWESVPNLSNAFEEAVAAAHPEQPPTGDANDED >ORGLA11G0216400.1 pep scaffold:AGI1.1:ADWL01023047.1:3884:4972:1 gene:ORGLA11G0216400 transcript:ORGLA11G0216400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEQSPHEAAIYRRGNGGNALLVGVYVDDLVIIGTKDTEVAAFKEEMKATFQMSDLGPLSFYLGIKVHQDDSGITLRQTAYAKRVVELAGLTDCNPALTPMEERLKLSRDSTAEEVDATQYRRLVGSLRYLTHTRLDLAFSVGYVVTLSSCEAEYMAASAASTQALWLAQLLSDLLGRDTGTVELRVDSKSALALAKNPVFHERSKHIQVRYHFIRSYLEEGSIKASYINTKDQLADLLTKPLGRIKFLELCSRIGMTQLPHKTTHRLRGECWISLCGPWS >ORGLA11G0216300.1 pep scaffold:AGI1.1:Oglab11_unplaced104:22962:27130:-1 gene:ORGLA11G0216300 transcript:ORGLA11G0216300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSIGRSVLKGALGFAKSTLVEEVSLQLGVQRDQAFIRDELEMMNSFLMAANDEKDDNKVVRTWVKQVRDVAYDVEDCLQDFAVRLGRKSSSWWRSPHTLWERRRIAKQMKELRGKVEDVSQRNMRYQLIKGSKPTVATNVAPSSTARATMSGVHEERWQHDKAVAGLVRLVIKTKVDELRVIAVWGTSGDIREMSIVGGAYDHLKRSNKFERCAWVNLMHPLNPTKLLQTIVRQFYVRSLQEAGKATPSCQILSSMLIKEDQGLGLKEDHLNDEFNEYLSDKCYLVMLNDLSTAEEWKQIKMLFPDNKKGSRIIVFTQHVEVASFCARTEEVAPEHMQLFADQTLYAFRCKCAKDGVDSMEDSSNLNEDTTYNAVEGKSLPRTYSMVTAFKESEIVGRVDEIKEIIELISKGSQQLEKISVWGMGGIGKTTLIQNVYRSEKVKKMFDKHACVTIMRPFNLNDLLMSLVRQLEDSKTSGEKELASILEGKKYLIVLDDVLSTTEWNAIESYFPAMETGSRIIITTRHQSIAKHCSGDQQGKIYQLNRLGDNDAKNLFAKKVT >ORGLA11G0216200.1 pep scaffold:AGI1.1:Oglab11_unplaced104:14879:18669:-1 gene:ORGLA11G0216200 transcript:ORGLA11G0216200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGSAKGVRAAMAAPPSSPSTAKAFQQQQLHTHGQHRGSSAPSPPHRTPEPVAPVWHLRPHGSTRATVNSWQRWDGWLQTHSGSGEINDEIHNLNTACIHYLCHGGGGGKSNRRIGVFKESVNLDQEDLELIEEAKLILKKCKGLPLAIVTIGGFLASRPKTALEWRKLNEHISAELETNPELEAIRAVLNISYDGLPYHLKSCFLYLSIFPEDDKISRKRLVHDIMREIAISKSKEENLVLRLEGGRRLHNHDTVRHLSITNSSEDRETDVGELKTTVDMSRIRSLTVFGEWRPFFISDKMRLLRVLDLEDTEGVRNHHIKQIGELLHLRYLSLRGCMHIAYLPDSLGNLRQLETLDVKDTFILRLPKTITNLRKLKYLRASIILNVNEVITEELPEKNSKKFLSALAALSRLESLSLISKGKPGLWGCLDAEEKFSPPKDLKSLKLHGNLVELPKWIGKLNNLVKLKLSETGLKDHDAAIQVLGKLRNLTILCLPHKSFHSLEGGELNFSEGSFKSLVVLELDFSGSKCVKFQQGAFHNLELLKLPGYIEEVETKFSGLEFLPRIKEVRLRGLLYNEYEQAARRLKEDLL >ORGLA11G0216100.1 pep scaffold:AGI1.1:Oglab11_unplaced104:3521:3883:-1 gene:ORGLA11G0216100 transcript:ORGLA11G0216100.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSAIMLTATQTLAPAVRLSPSHGAPSSFSSQPRRAAAAAAVSRVSCTRVGALSEVVNGELVVGDQEQTTDDLLTRHKKVVADYTLSATVTVSLKQDDSTPRKVADMVNRDWLFLDFFGSHS >ORGLA11G0216000.1 pep scaffold:AGI1.1:Oglab11_unplaced103:11540:13178:-1 gene:ORGLA11G0216000 transcript:ORGLA11G0216000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAAVAVAVAAALAAAGAAVAMAGRRRWGYRGVAVAVAVCVHAAVLLSAVVYLSVVPSAGPGASSSSSSLQETEVMKLTAKMEQIIENQEKYGKSDGMMYALASFLSKNPRINKEMTYRITNPDGTEKAELAVTMKDDVKVQSPRTNDILYCL >ORGLA11G0215900.1 pep scaffold:AGI1.1:Oglab11_unplaced103:9153:9506:-1 gene:ORGLA11G0215900 transcript:ORGLA11G0215900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVPWAPPGITDDEINATLTTTAAASSVRLSPDTVMLYWPAGEGNTAEVLVASMDYVGYVDVAGKPECRRAVSPLAQHAVLSTTPASFDTDDDGCIPREHGDDPQLMKRMHTSSS >ORGLA11G0215800.1 pep scaffold:AGI1.1:Oglab11_unplaced103:112:2668:1 gene:ORGLA11G0215800 transcript:ORGLA11G0215800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLRLVGAEARRRAATGAAGGERWLSAAAAAAPTKGRLEGKVAIITGGASGLGKATAREFIREGAAAVFIADVNSDLGAEAAAELGPRAHFVRCDVADEGSVAAAVDGAVASHGRLDVMFNNAGVAGPLAGATEVASLDLAALDAVMAVNLRGTLAGIKHAARVMRPRGSGSILCTASVSGVMGGLGTYPYSVSKFAVAGAVRAAAAELSRHGVRVNCVSPFAVATPMVVAQFAQMLGGADEARVEAVVRGLGELRGAACEAEDVARAAAYLASDDAKYVSGHNLVVDGGFTSYKHLPIPQPHD >ORGLA11G0215700.1 pep scaffold:AGI1.1:Oglab11_unplaced100:56768:70866:-1 gene:ORGLA11G0215700 transcript:ORGLA11G0215700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GMHTEPQLARYSHMDGKGSFIYEASFSIPSSLDAIGAVQVVNRYSSEVYISDIDVHLCGGRHQWTDITFHCNSWIDYNPNDQRFFFPLKEWERVYDYDVYNDLGDPDNDPATRRPVLGGRERPYPRRCRTGRRRCRTDPSSESPPAKDAAGIYVPRDEAFTERKAGAFTTKKALSALXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQLVHHWLRTHCCVEPYVIAANRRLSQMHPIYRLLHPHLRFTMEINAQARGMLINANGIIESAFAPGKHSMELSSAVYDKSWRFDMEALPADLIRRGMAFHGEDGKLKLTIEDYPYANDGLLVWDSIKEWVSDYVNHYYPSASDIYSDEELHGWWNEVQTNGHPDKKDGWPELDCHGSLIKVLTTIIWVASGHHAAVNFGQYPYAGYFPNRPTIARRNMPTEEEHGCEGMQPTFVEDPVRVLLDTFPSQYQTTLILPALNLLSSHSPSEEYMGTHTEAAWMANREVRAAFGRFNERMMRIAETIDRRNRDPERRNRWGPGVVPYVLLKPCYGDPKDMSSVMEMGIPNSISI >ORGLA11G0215600.1 pep scaffold:AGI1.1:Oglab11_unplaced100:50968:54190:1 gene:ORGLA11G0215600 transcript:ORGLA11G0215600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFSESKKELDALLSDDSLATVPFLILGNKIDIPYAVSEEELRYHMGLSNFTTGKGKVSLGESNVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >ORGLA11G0215500.1 pep scaffold:AGI1.1:Oglab11_unplaced100:46140:47048:-1 gene:ORGLA11G0215500 transcript:ORGLA11G0215500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:I1R770] MSTMKFCRECNNILYPKEDRDQKILLYACRNCDHQEVADNNCVYRNVVHHSAGEFTQVLQDVAGDPTLPRTKAVRCAVCGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRE >ORGLA11G0215400.1 pep scaffold:AGI1.1:Oglab11_unplaced100:42879:44989:1 gene:ORGLA11G0215400 transcript:ORGLA11G0215400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPLLLHSPRFAAALTTPPPPPPPLPPARRLVAAAAGGDLSLAMSAATGEYPVPVSPPYPAASKDVELRRAMTASARSAAYSSAPVVFEDEWLAVVDKPAGVYCDSLLSALPCSAATLGDEATKPNLHLANRLDRDTSGLMVITKCNKVAGKLVKAFTEHKVKKTYLALCIGYPPAWEKIKICSGHGRSKHGAWRMYAMSDVGRSLPGGSVVRDMSTRFEVLGINGKGQFREPSNFEVDETESITVQEKAADLTSDGDEKNSIILVRAYPQSGRTHQIRLHCQYLGFPIRGDVKYSGVIEWNGVDYDGHALHAESLSFVHPVTGLPVTFRSPLPSWANEFISTMA >ORGLA11G0215300.1 pep scaffold:AGI1.1:Oglab11_unplaced100:40257:42062:-1 gene:ORGLA11G0215300 transcript:ORGLA11G0215300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKVVRPEEVLDSLANDGTIDALRMKIIAQLKANEDMKKNTMMMVEQSRVLNTPGAEKKTKRELFDALRQELENPVLEKASREVWDLILENGGLGKEITDTIEGVFCRLSGINMMPPPPSTSIPSHQERERNMAADGGEKSKEIDTPEKPSSSSRKRPYSDTTTKGAGAVPNGGATSQHDGSEDSSQK >ORGLA11G0215200.1 pep scaffold:AGI1.1:Oglab11_unplaced100:34582:39418:1 gene:ORGLA11G0215200 transcript:ORGLA11G0215200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase [Source:UniProtKB/TrEMBL;Acc:I1R773] MATTATKTIDFAAERALAKDFLANFAGPRGEPKYLNILQDVANRKIRAVQIELDDLFHYKDADDEFLQRVTENTKRYIGIFADAIDELMPESTEAYAVDEDRDILMTQRVDEGADGGADGTDPLQRMPPEIRRFFEVYIKAFSKVTPLTIRQVKASNIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPSQRCKLNKAKGNLILQLRASKFLKFQEVKLQELAEHVPKGHIPRSLTVHLRGELTRKVAPGDVVEMSGIFLPMPYYGFRAMRAGLVADTYLESMSITHFKKKYEEYELKGDEQEQIDRLAEDGDIYNKLARSLAPEIFGHEDVKKALLLLLVGAPHRKLTDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEFVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDMRRTPAENINLPPALLSRFDLLWLILDRADMETDLEMARHVVHVHQNLESPALGFTPLEPPVLRAYISAARRVVPSVPRELEEYIATAYSSIRQEEAKSNAPHSYTTIRTLLSILRISIALARLRFSETVAQSDVDEALRLMQMSKYSLYSDDRQRSGLDAISDIYSILRDEAARTNSMDVRYAHALNLISRKGYSEAQLKECLEEYASLNVWQIHPNTFDIHFIDA >ORGLA11G0215100.1 pep scaffold:AGI1.1:Oglab11_unplaced100:25539:25976:-1 gene:ORGLA11G0215100 transcript:ORGLA11G0215100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSPAGSSDGDSAGVVVAADHRREKRRLSNRESARRSRLRKQQHLDELVQEVARLQADNARVLARASEIAGQYARVEQENTVLRARAAELGDRLRSVNEVLRVVEEFSGVAMDIQEECPPDDPLLRPWQIPCPAAAHMLQY >ORGLA11G0215000.1 pep scaffold:AGI1.1:Oglab11_unplaced100:24062:24253:1 gene:ORGLA11G0215000 transcript:ORGLA11G0215000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 5C [Source:UniProtKB/TrEMBL;Acc:I1R308] MAGGRIAHATLKGPSVVKEICIGLTLGLVAGGLWKMHHWNEQRKTRSFYDMLEKGQISVVVEE >ORGLA11G0214900.1 pep scaffold:AGI1.1:Oglab11_unplaced100:18494:20869:1 gene:ORGLA11G0214900 transcript:ORGLA11G0214900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGEMEGREGSRLDNSLTRKRRSSSTARRRPRPESNHVVDVEQQQQQQQQRDGYSSSSSSAIGSDEDANSDGEEHQRREIHLNAPSPDRAARRAAMEGGAMSSNPNPRSSHKTKGSNQLHSEGSGGGGGGSSRKGESGHGAVVSAGNRESSTGDKTRKLKLKIGGIRRSVPAKPSPDMSHSRSLPVKPPRPGDSQQWQKHSSQAEGVKDSSRLASSRDKKTKKEKSIDDALTPEQPAKVHREPSSDPVRKSRRIAKKSILDSELDEDYDTNILDDFGTSEGVEVHTREPAKKTGSSSKKNAAKKAKTKSTSYEIDNDFVTSRSKRDGNKRSRESTDADNSEEEPTSDSELDAQNRKQKAVTESPANVRSEPLTTRRRALQSWMDGNSNSAIEFPDGLPPAPSRGKKDKLSDAEMLAKKAEAAQRRKMQVEKATKESEAEAIRKILGLDSEKKKEERKQKEREDKERAARAQTIPENTIRWVMGPKGTVVSFPEEVGLPSIFNSKPCNYPPPREKCAGPSCTNAYRYRDSKLNLPLCSLKCYKAVNGNA >ORGLA11G0214800.1 pep scaffold:AGI1.1:Oglab11_unplaced097:55324:55713:1 gene:ORGLA11G0214800 transcript:ORGLA11G0214800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANENNREIVAYVLQLIFRSYKCLKLSIRRMHARAFYVASEIFEESDYSRIPLGRVGDPEEISSLVAFLCMPAASYITGQVICVDGGRILS >ORGLA11G0214700.1 pep scaffold:AGI1.1:Oglab11_unplaced097:48911:49624:1 gene:ORGLA11G0214700 transcript:ORGLA11G0214700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSFKKERWSLAGATALVTGGSKGIGNEAELSRCQEECNSRGLAVTVSACDVSVRADREALAARVRALFDGKLSILVNNVGTSYLKPAVELTPEETSSLMATNFESWRWSFHMSQLEYPLLKASGRGNIINISSAATSLALPSLPVYSAAKG >ORGLA11G0214600.1 pep scaffold:AGI1.1:Oglab11_unplaced094:138984:140596:-1 gene:ORGLA11G0214600 transcript:ORGLA11G0214600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSRSLMYEAPLGYSIEDVRPAGGVKKFQSAAYSNCSDQPAPMGVLSAADPPPVSAIGFEGYEKRLEITFSEAPVFADPDGRGLRALSRAQIDSVLDLARCTIVSELSNKDFDSYVLSESSLFIYSDKIVIKTCGTTKLLLTIPRILELAEGLSMPLAAVKYSRGMFIFPSAQPAPHRSFSEEVAVLNRYFGHLKSGGNAYVIGDPAKPGQKWHIYYATQHPEQPMVTLEMCMTGLDKEKASVFFKTSADGHTSCAKEMTKLSGISDIIPEMEICDFDFEPCGYSMNAIHGSAFSTIHVTPEDGFSYASYEVVGFDASTLAYGDLVKRVLRCFGPSEFSVAVTIFGGHGHAGTWAKELNADAYKCNSMVEQELPCGGLLIYQSFDATEDVPVAVGSPKSVLHCFEAENMVNPAPVKEGKLGNLLPWGEDALEENDGVFDE >ORGLA11G0214500.1 pep scaffold:AGI1.1:Oglab11_unplaced094:132178:135797:1 gene:ORGLA11G0214500 transcript:ORGLA11G0214500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1R303] MDQMRRQRRRECIGVLCSALFFSVLAVAVAAGSGSSSQPPSSSPAPAASSATPAARAPSQRTPPTPATPAKAASPPATNSSSSPRTPAAPAPRPPQPPPATSPAPTKPSSPPAPKPPSPPAPSPSTTPSSPPAPKPSSPPPAATPTTKPSPPPSSPPAPRPSPPLPPRTPPPPPPAAAPKPSPSPPPAPTNSTTNSSSPSTSTPVQLSPNFYAQSCPSVELAVRDVVRSASTLDSTIPGKLLRMLFHDCFVEGCDASVMVEGSGTERTDPANLSLGGFNVIDAAKRLLEAVCPATVSCSDILVLAARDAVTFTGGPLVPVSLGRLDGLVSLASNVRANIIDTGFSVDAMARSFSAKGLTLDDLVTLSGGHTIGSAHCTTFGERFRVDANGSTVPADAAMNADYAGGLIRACSAVNNTVSSTAAVDCDEGSASRFDNAYFANLLAGRGLLRTDAVLVQNATTRATVEAFARSEGSFFASWAASFARLTSLGVRTGADGEVRRTCSRVNG >ORGLA11G0214400.1 pep scaffold:AGI1.1:Oglab11_unplaced094:122927:124992:1 gene:ORGLA11G0214400 transcript:ORGLA11G0214400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGEKGMQPKISAFFKRQAPEPETSRFFILVPSSNLLAVAIRGGDTHREGTCGMEVKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLRMCSVCGMTYARGNDDDEKAHKAYHKSYFEGVPFKGWRNETVVARSEGGDRIILVTDENSCARNSKVQEVIKVVQKELGFGEGQLLHKLCKVYLFISSQRIVGCLVAEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEDVPAHCGFRAIWVVPSRRRKRIGSQLMDAARKSFLEGETLCISQCAFSPPTSSGKALARSYCKTSAFLVYKEQDA >ORGLA11G0214300.1 pep scaffold:AGI1.1:Oglab11_unplaced094:118511:120608:-1 gene:ORGLA11G0214300 transcript:ORGLA11G0214300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQEEEDDDAALREAGGGASFPGGWLRRLSRELHWSFVLAVVAVYGACQGVGDAVGGVAAGYYWKDVQRVQPSAAQFYQGFVSAPWVVKPIWGLLTDVVPVAGYRRRPYFLLAGVIGASSMLTLSLHRKLGIMPAILALTAQSAGAAVADVTVDALVAQNSITHQPLAADMQSLCGFSSSVGALLGFSISGLLVHSMGSQGALGLLSIPSALVFLAGVLLKERRVTDFDYKQVHRKFYKAIQSMGATLKCAEVWRPCVYMYVSLSLSLDIQAGMFYWYTDPIVGPGFSEEFIGLVYSVGSIGSLLGVLLYQISLKDYPFRGVLFWGQVLSSLAGMLDLIMVTRLNTRIGIPDYVFAVIDNSVSQMVGRLKWLPLLVLCSKLCPPGIEGTFYALLMSIQNAGLLMSGWWGGLMLHLLNVTRAEFSNLWVAVLIRNLSRLLPLMLLFLVPQSDQNSMLLPAEMLQDNESTEARKGGQDTAEFSVLVADDSSCHALNVAVEDERIKVVDAGTGTVELIPLMNEIQDRGS >ORGLA11G0214200.1 pep scaffold:AGI1.1:Oglab11_unplaced094:117593:117910:1 gene:ORGLA11G0214200 transcript:ORGLA11G0214200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGICGLYERKIRDINPMARDLTYDINDLYNFIDGLTDISALVFDRSLHAFLPYDRRWIKQEMFQHLKRLAQQ >ORGLA11G0214100.1 pep scaffold:AGI1.1:Oglab11_unplaced094:109115:114515:1 gene:ORGLA11G0214100 transcript:ORGLA11G0214100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative eukaryotic translation initiation factor 4 gamma [Source:UniProtKB/TrEMBL;Acc:Q1MSJ1] MEKDHQPVISLRPGGGGGGPRPGRLFSPAFAAAASGSGDLLRSHVGGASKIGDPNFEVRERVRYTRDQLLELREIVDIPEAILRIKQEIDIELHGEDQIWGRPESDVQVQTQTQAQPHNRYGETDNRDWRARTVQPPAANEEKSWDNIREAKAAHASSGRQQEQVNRQDQLNHQFASKAQVGPTPALIKAEVPWSARRGNLSEKDRVLKTVKGILNKLTPEKFDLLKGQLMESGITTADILKDVISLIFEKAVFEPTFCPMYAQLCSDLNEKLPSFPSEEPGGKEITFKRVLLNNCQEAFEGDESLRAEIAKLTGPDQEMERRDKERIVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGSGPDKKACPEEENVEAICQFFNTIGKQLDENPKSRRINDTYFIQMKELTTNLQLAPRLRFMVRDVVDLRSNNWVPRREEIKAKTISEIHDEAMKTLGLRPGATGLTRNGRNAPGGPLSPGGFPMNRPGTGGMMPGMPGTPGMPGSRKMPGMPGLDNDNWEVPRSKSMPRGDSLRNQGPLLNKPSSINKPSSINSRLLPHGSGALIGKSALLGSGGPPTRPSSLMASPTHTPAQTAPSPKPVSAAPAVVPVTDKAAGSSHEMPAAVQKKTVSLLEEYFGIRILDEAQQCIEELQCPEYYSEIVKEAINLALDKGPNFIDPLVRLLEHLHTKKIFKTEDLKTGCLLYAALLEDIGIDLPLAPALFGEVVARLSLSCGLSFEVVEEILKAVEDTYFRKGIFDAVMKTMGGNSSGQAILSSHAVVIDACNKLLK >ORGLA11G0214000.1 pep scaffold:AGI1.1:Oglab11_unplaced094:101884:102545:-1 gene:ORGLA11G0214000 transcript:ORGLA11G0214000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITTGDRKEKRNVGFKIPKKKFRFCVRDEYGTKALAEQVMVKSTSLWREIELRTETRMITAFFYHGCGLRTWSAYRQNIPIFNKLHAALNNILRRYKSRRVEIGLFYLQSNMKCFQFTVRSPLDNNNEFLKKLRKIKAHECNMREDNAEKTGSLSPIIMGTLRLVSKRHVLGFMFVIFLSCVIIFL >ORGLA11G0213900.1 pep scaffold:AGI1.1:Oglab11_unplaced094:97480:97990:-1 gene:ORGLA11G0213900 transcript:ORGLA11G0213900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRLYRPSAAASGPTRSGGGRRHRAERPPSAPSRPCRIVGVEQLRQRPPLLRPRRRLLVHPLRHCWIRGQQQRRPSAGVGELCPSVKGAARKNWNGVLLLVHAIAIFFYRIGANEFAKLEVTGCLDWLI >ORGLA11G0213800.1 pep scaffold:AGI1.1:Oglab11_unplaced094:90969:91211:1 gene:ORGLA11G0213800 transcript:ORGLA11G0213800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPADDAVLAHLADHGYGRNLHLVAELRIERADSAVAFSTPCAAAGGGKKRRCLLLPSSTGHRSTIAFLACGDVGFFRPL >ORGLA11G0213700.1 pep scaffold:AGI1.1:Oglab11_unplaced094:88918:89679:1 gene:ORGLA11G0213700 transcript:ORGLA11G0213700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPASRVRREDVARAVAALLRWLQHHPTPAPEPIYLLVTLKRAPARRFEHTLRLPRSPFPSISLVSDRLPADLPDDIDPLPSPALGSLPPAARRGLVLVDRRLRVRPGGKGKAAAKAARVVPVDLADQAWAESAREAARRVELRVEGGTCRAVRVGHAAMAREEAVENVVAAVEAAAACVPRKWRNVRALHVKAPESVALPLYSAVGTGGGDDGGNGEAEDAKRKGVAVKEQGIVKRRKKSSSVSVGGDQL >ORGLA11G0213600.1 pep scaffold:AGI1.1:Oglab11_unplaced094:87368:88041:-1 gene:ORGLA11G0213600 transcript:ORGLA11G0213600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMGSSIGRATIFYCVALSMIAGAAATQVPPTEAESVEAAELASSAFKADTLPSASRKLMAVSDVPLAPVCPVRFDKMKGPAIELGKKCKTTGVKVCCEAFKTFACPHNKLINDVNNGCTDEMFYTIHTYGQLSPGTIFKKCLEGPHGMKC >ORGLA11G0213500.1 pep scaffold:AGI1.1:Oglab11_unplaced094:84542:85250:-1 gene:ORGLA11G0213500 transcript:ORGLA11G0213500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTILFYCVALSVVAAAAVVSSAAEEAEGPQDEAGRFLSAATLASSDSDAKTSRRALTSQEEIIAEPCPVEFEQVKGFGELGAKCNDKQTMKECCELFKKIACPYNHLLNDITNVCANEFFYLIHTKGKLQPGTILENCNEGPMGINC >ORGLA11G0213400.1 pep scaffold:AGI1.1:Oglab11_unplaced094:83388:84038:1 gene:ORGLA11G0213400 transcript:ORGLA11G0213400.1 gene_biotype:protein_coding transcript_biotype:protein_coding CIPPSLLLILCTAVASFLIFLCGGDGGAAAAAGGPRSVKMASSLYVPQLTRWRVALGGVSRGVVEHEGKVHLVVSRGEDDDRVVAAAGKEEEKEEEDEDPRERVEIGGRLFPVVDETEVVLHGGKVVRAVEYGERRGSPAAPLLLTVTEGKEKELAEVVGAPDGGGVLRVVGCGCYADPVTGTVQHMVDVQGSEAFVLLVSVREELGRIVSIKRLN >ORGLA11G0213300.1 pep scaffold:AGI1.1:Oglab11_unplaced094:78940:79245:1 gene:ORGLA11G0213300 transcript:ORGLA11G0213300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSTRQMLEKKARQVGTAACPAATRVSRDDQERALAHPLACYVVPCAPPERSTAPLRARFRHPPRHPLTTNGQRAARRERVIVYARASSFGISSLRVPQL >ORGLA11G0213200.1 pep scaffold:AGI1.1:Oglab11_unplaced094:61520:66376:-1 gene:ORGLA11G0213200 transcript:ORGLA11G0213200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVRRSTMVRPAWETPRVRLWNSNLDLVVPRFHTPSVYFYRRGPEGGGAPEGFFDGERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNGEGVLFVEADAPDASVDDYGDFAPTMELKRLIPAVDYTDDISSFSLLVLQVTYFKCGGVSLGVGMQHHVADGMSGLHFINSWSDLCRGTQIAIMPFIDRTLLRARDPPTPSYPHVEYQPAPAMLSSVPQSVTANKTTPPPTAVDIFKLTRSDLGRLRSQLPSGEGAPRFSTYAVLAAHVWRCVSLARGLPSEQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLAEAGKVTSGLADGAAVIQEALDRMNDSYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANKDGSLSIAISLQAEHMEKFRKLIFEV >ORGLA11G0213100.1 pep scaffold:AGI1.1:Oglab11_unplaced094:53468:56481:-1 gene:ORGLA11G0213100 transcript:ORGLA11G0213100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQRPLQVPDITKSTHSGGNTVLAYASSAMQGYRSTMEDAHATIENLDAPTNTSFFGVYDGHGGSAVARYCANHLHNKVLEQEDFSSNLANALRQSFFRMDEMLRNQAASKELTEYGSGNEYWRTAGRSWLRCAPCVQGPVYCGPLAEGCTACVVLIRNTQIVVGNAGDARCVISRNGQAIALSNDHKPNFPEETQRIVAAGGSVSFSRGSHRVNNGIAVSRAIGDLSYKNNKKLRPEQQLLTCSPEIRADQLTDDTEFLVIACDGVWDVLANQAVVDFVCLHLNNGVELSVICESLLQEAITRDPPSTDNMSVILVRFLHPEGNRGARAATSSTSTGTVPSRHSKSISL >ORGLA11G0213000.1 pep scaffold:AGI1.1:Oglab11_unplaced094:50964:51850:1 gene:ORGLA11G0213000 transcript:ORGLA11G0213000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAPAKKGDAKAQALKAAKAVKSGTAKKTTKKIRTSVTFHRPKTLKKSRDPKYPRVSTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >ORGLA11G0212900.1 pep scaffold:AGI1.1:Oglab11_unplaced094:38841:42516:-1 gene:ORGLA11G0212900 transcript:ORGLA11G0212900.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNFQKGVNYKNNPSHNDSPRSGSPRXXXXXXXSEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERIRCIITADEALILRDPDVAGGGAETEEAVRRYVAELQRRLVDRADDLPFEFIALEVALEAACSFLDAQAVELEADAYPLLDELTTKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMEASLLEEQAFQGMGNSGFGSSFSAPVSPVSSPPASRRLEKELSFARSRHDSFKSADSSQYSIEELEMLLEAYFVVIDYTLSKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVSGVFGMNFEVDLFNVPHAFEWTLVITGVCGLVIFCCFIWYFKKRRFFPL >ORGLA11G0212800.1 pep scaffold:AGI1.1:Oglab11_unplaced094:33848:36461:-1 gene:ORGLA11G0212800 transcript:ORGLA11G0212800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGANARGWLSPASGGGGDSEPRSAGSRTRSVSATRGRKPSPRPGRDAAAAAAEEKKPAAVPTLLPSLSVPAGMRRQELLLRSGLSLDASCSSDASTDSFCSRASTGRIGRPTFGARKKKTLCQTDHKIVSMLEREVGLASANDVPGLKRRCSWVTANTEPCYAAFHDEEWGVPVHDDKVLFELLVLSGALAELTWPTILNKRPIFREVFMDFDPVLVSKLSEKKIIAPGSPSSTLLSEQKLRGVIENARQILKIVEEFGTFDKYCWSFVNNKPILSRFRYPRQVPVKTSKADAISKDLVRRGFRSVGPTVVYTFMQVSGMTNDHLISCYRFAECAAAATGSNTTVGSETNSDSSNRATEQQMNGTNGLAADIARTIDELSIS >ORGLA11G0212700.1 pep scaffold:AGI1.1:Oglab11_unplaced094:17512:18876:1 gene:ORGLA11G0212700 transcript:ORGLA11G0212700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRVRFNVGGQVFETTTTTLANAGRESMLGALLDSSWNLAPTAGGGGGGGGGGGGGGVAEYFIDRNPACFAVLLDLLRTGSLHVPPQLPEKLLYREALYYGLLDHVRAARWGAFDGDRLRLAASVPGRAPGDGTAIRAAPDGGCCVAHGGAVHVYNWMLDERRPVSLDHSQVNDVAYLDEATLLIAARERLGKCDGGMAAFSAVSGDLRHRFRVAHDRQAKSFTAGALAFDQDSSIFASCKGRLNEYGIGVWDRATGEQADFFYEPPGCALGDADKLQWLDATNALMVATLFPKTDNCFIGLLDFRDKNVAWSWSDAGMAASLDDKRVLHAIAMEDERSVCVINQYDDLGFLDLRSNAGGVRWSSRSKFMNRKVPSEESCYPKLATHGGQLFSSMNDSISVFSGPECVLTSTLRRSHGGAICDFSIGGDRLFALHNEENVFDVWETPPPPII >ORGLA11G0212600.1 pep scaffold:AGI1.1:Oglab11_unplaced094:8453:14805:1 gene:ORGLA11G0212600 transcript:ORGLA11G0212600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAQMEVERRLRDIGARFTSLPDADDELLRLIEEAETWLARVDQSPPESMHKALRPTMSALIKKELLDHSVPDIKLAVASCLTEVTRITAPEAPYDDDVMKDVFTRVVEAFEKLDDMESPSYARRVAMLETVAKVRSCVLMLDLDCDDLIRDMFHHFFRTISNTHQENVITSMETVMKFVIDESEDVQQDMPSCLLQDLASYLLKNLKKEEKETLPASFELAEKVINKCYEKLKPVFTPLLRGTPLDEYSEVVTSLFEDALDAGVADNSDAPGKDTVADGKLSHKIVSDESAQESSKLEQDANCPGKDGTPPNNTSTSAVSNGCALIDRVKSPSGPSSSDKKAELPSDDNQAKDTDDLISGAKEIPEPITTEPEKPSDHNLKKSHKLDTSTDSEVVDHSKAVNNNEDILVSRELSPETDDGDNKLPPETGNRAADDKSKHVDNTPAGKGKRGRPPASKSHEKKNVGKGKVSGLESKKADAVSDSGGRATRRLAKDDDIKSSFKKTGEGESSKKKQKENLKQQEDTPPDEDTDEDLSLKDIVSPKSSAKTGKNKGQAGDSGGSKRKRAQEAEETPQPKKNKILKGNLVGSRIKVWWPDDRKFYKGVVESFDVASKKHKVVYDDGDVERLHLKNEKWEFIDEGRDNNPDASSDMPRGRRGRVSLGEQTKEGKIETPSSGKHRGTDVADPPKKRGRPKGVRSSNSSQNDDSPLKGKSAENDDEDISKTPRSGSALKNEGGRSSRSTGKTKDGLLKGSNKDETGNTKSASKSKNDGGSKHKDSKDEAKSSGSNPKGASTPKAADGSKTNGLSTKRKQKEKEGESSEEEEHVSAKISTGKKRRRKAHN >ORGLA11G0212500.1 pep scaffold:AGI1.1:Oglab11_unplaced094:14:5585:-1 gene:ORGLA11G0212500 transcript:ORGLA11G0212500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:I1R2Y3] MDPAPATPRWNLERPYLTGRFHQEAKVAAAAQGAGSKPYSLDSFSGGGGGGGGAESVIGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQYHFVESRSHFKSGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALAVLVEKAISNNTSGSATLNLLQSQAKAMAGDSAVRSLLEKMTECASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDGIPSFLTNVAATILTTGKYLNVMRECEYTVQVPLSESSKLMGFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISVEKLQSLVDIALRSTAAASDPSHEDLTCCVERSSLLKKLSTLKDLDCAYPSDKLVAADVDHPMPLSVTGLETFCLSYKVQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCTAWQIQQGFRSVKILGTPVLRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLQTARSIDEVIQIHDFFLQKCLKECLLLLPELLVKIEKLKALCLQYATSIQLLIPSIDVAKPENTSKSRMPRSKIKETKNRGQQLKLASENVVMSESILKFEAEFNSELQSLIPTLSNSSQAEPYLTHLGQCILGVGVDQ >ORGLA11G0212400.1 pep scaffold:AGI1.1:ADWL01022988.1:914:2163:1 gene:ORGLA11G0212400 transcript:ORGLA11G0212400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSGRRDWCRFEAMMAAAGAEGEEMVERMRGWARDMDVASRRAEEEAMRRYDAASWLRSTVGVVCARDLPDEPSEEEFRLGLRNGIVLCNALNKIQPGAIPKVVQAQSDASGPTDGSALCAYQYFENLRNFLVVVEDLRLPTFEVSDLEKVTLSC >ORGLA11G0212300.1 pep scaffold:AGI1.1:Oglab11_unplaced091:18863:19087:1 gene:ORGLA11G0212300 transcript:ORGLA11G0212300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKKVFIFRKAADVAMAVSAAYAPFAAAVSYHAAAAAPAALAFALGHGALLFMLPFSVYALAFLRAPRGLHQT >ORGLA11G0212200.1 pep scaffold:AGI1.1:Oglab11_unplaced091:11795:17353:1 gene:ORGLA11G0212200 transcript:ORGLA11G0212200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADEMDIDAAADEAGSPPSASGSLSTFLSELAALHRRSSGTSSSTSTSPPLSLASLTFLSSAASPSSSIFPRLAAAGLPASSLSAPLAASLSSAHHPLPAAVAYLRLLLAPASPLLSLFSPLPFLSLLLALRKSASSSAAAHDGAAAANPSSGSGSGKGNHRNRKRKSHQQRQSPAAQAAAPSLLPKALALLADAAGRLPLGEHPDARRSLVDTAAELAAFDVLVAVLGSGYYAEAMPDLVRALAPVALSGSRSAARAAAVEFLARKVVPLCVEGGEDGVRKAVGYLPRYLAAKAPEKSEARAMAVEAIVEVVRAMGQLEMEGFAGYVVAMAKGKAKGRLLAVDLILAMLPLLLPSEGDDCGLQEGSWGLKFVRVLVERCSDTVGGVRARALTNAAHALDVLSERGMEVDWLQEVMRIGNIGLGELLRLRCADDKAAVRKAALVLITKSIRLIGRPVDESLLTAMGAACSDPLVSIRKAALAAISEVFRNFPDERVTKEWLQAVPPLVIDSETSIQEECENLFLELVLNRVCQAANLNLNDESNDMEEVFPKGTLHLLKSICDGEVAPCIKKICASLGKKKKLKPLLASSLQNIITISESLWLRGCKPIEMWTAPAGAWWLLSEVSSFAPKSVNWKFLSHHWKLLDNVGQDKGKVCPKGEPNSALWAVDRVSLLQTISNVSMELPVEPAAELAHSLLTRIENFDMNLSEVDAHVKSLKTLCKRKAKTAKEGETLILKWVQQLICKAVNILDEYIKETSEAAKGPKFFTPLSGKLKGRKDASAQKSMSRAVIAVFTIGSLILACPTANVQGVIPSLHTIITSGNSQPRPKNLAGGTVSFKELAPSLYIQSWDTMAKICLVDDKLAKRYIPLFVQELERSDLATLRNNIMIALADFYVRYTAMVDCYMSKITKSLRDPCEVVRRQTFILLSKLLQRDYVKWRGVLFLRFLPSLVDESEKIRHLADFLFGNILKAKAPLLAYNSFIEAIYVLNNCLGHGAQPESQGRLDGGPTLFAIRGTDERSRLKRMHIYVSLLKQMAPEHLLATSAKLCAEILAAACDGLLNVDDAAGRAVLQDALQILACKEMRIHPNICTDNSEMDEEGGDGGSTNAALQAAKGRAVTQVAKKNLIQIAIPIFIELKRLLESKNSPLTGCLMECLRTLLKDYKNEFDEILVADKQLQKELLYDMQKHDVAGKGKAKAAAAAAGPSGASPAVNVGGKRVDGSARATARSVLKEVNRNTPTPPLNSMSVPKVRSMLGGGGGGSRRPAVLESVRRLEPFGSDDEN >ORGLA11G0212100.1 pep scaffold:AGI1.1:Oglab11_unplaced091:1394:7758:1 gene:ORGLA11G0212100 transcript:ORGLA11G0212100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQGLLARDFGLRPQGKAAPMSAARAASSSGSAWSNSRSASASAAAAATAPPAPSYDDLFGAAPPSAPPPKAAPSPSLDAIFDSFKEPSAADAPPKPKHSSMPVFDKPVYDDDIFDGVPGVKSSSSARFDDVFGGSHAPPPPAYDDLLGGFGSKPEVKEVLQEEKRKPEPAASSAGFDDLIPGFGGRIPMRARETVGTKDKNASMSMSKPASMASDPFDVLGTTSTSKHTSSGIFTDPLDELGRPAKSQGKKHDNTAVDSGLFEDSSTFNQVPKSEPLFTSELNDDLKDRNGSTKDRDSSPVQNFSRKNTTQKPSVENFENIFPKSQSARYSDVHVDIGASGSEKYSGNGMDDQSPRSDESEDEIWLTVSEIPLFTQPTSAPPPSRTPPPLAVKQKPHGSQAKRKDDNYPRRSNQNHNHHRSSSNQAGSSSIDELEDFAMGKSQSSAYDNANPFNEEEFEHSSSAAASAAAMKEAMDKAEAKFKHAKVVRERERDAKLRNREQQEQDDEARFNTQDHEERDRQERLEREREMRQREEKEKEQRRLEEERELEKQRERERAARQAVERATKEARERAAAEARAKAEREARQRAERAAVQRAQKEARERAAVDARERAERAAAEAKERAAAEAKEKVATQARDRAAAERAAVERAQQEARRRAERAAVERAASEARERQAAEARERQAAAAAAAAAAKENRVNRTILSLSLDSMFNSQTQNRGAASSASASMRKASSTTNIADDLSAIFGGAPTSSEEFQEIEGESEERRRARFERHQRTRERAAKALAEKNERDMQVQREQAERHRISETMDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >ORGLA11G0212000.1 pep scaffold:AGI1.1:Oglab11_unplaced089:4239:7527:1 gene:ORGLA11G0212000 transcript:ORGLA11G0212000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRLLSWSSSSSSSDDDGGAGGELSRPRRRWRALVLGLGVRRKRSMEGVFGFREMMGEEFMGMFLPFFGKMVQKVVSEEVEKAIFRQVSTPAPPRLLVGLNQQRPRYQLMFLNGLKPVYTLMKLEAKDGPGLKVAIVERLENNQMRIVRFGHLASAKVEVVVLHGNFNAKNEEQWTPEDFSKQIVCGREKSAQLLTGNLTLKLNGGEALLENATFTDNSSFTSTKKFRLGLRLVNNSEDRVLEGITEPFRVKERRVEGFEKHYPPMLDDEVWRLEKIGRNGAHHQALTNSGVDTVQKFLQSYFTDEKKLFQTFSKMSQTAWKTIISHAMTCEVGDDLCLYEVKGNNVGLFFDAIYQLVGVKFGDSYKPINELDEIEQSAVETMKQLAYANISGIQYDHKMVNNYPVPLHRFHCGGTSMLTDFIPKPQIPTCGQYNSALAGQPFESTENFSSFQEASNVSVDMSRFVQGQTSNVQFCQQLGMGNVIPHHSNQGTFIPRPRITPLCIPNTEKTYFNLNAHSNIQADHTATRIGQYAHNERSHSPEEPYKRFSPDNFLHTDEVVALMQPHLVPPSNSENFSNLLNLSSNDQTSQQIAAPFQPSRTNSFDSSSCDQLIQNFISQFSSNEGVAVPLSPRKWVKIRAALKLASVGRLSRASRKASHRPPARPRLVPIV >ORGLA11G0211900.1 pep scaffold:AGI1.1:Oglab11_unplaced085:55592:56924:-1 gene:ORGLA11G0211900 transcript:ORGLA11G0211900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLLQWREELATQLDSSQSTPLHYASSDGDCSIIQEILKHTPPSATQLQDSEGLSALHVAALMGHAAAVRLLLKFSPASADIRDNHGRTFLHVAAMRGQVSVISYAIKNRMLVHILNEQDNEGNTPLHLAVVAGEYKVISKLLYSGKVQNHIMNNAGHTPSDLAEKSTGFYTMVRIILKLYVSGAQFRPQRQDHIVEWNGQDIIKWQVTSSKYLAIVSTLVATIAFSATFNMPGSYRSDGKANLNGDRLYNAFVMLDAIAVTTSVVATILLVYGKTAQSHRSWPSFIIAMYSLWLSLICMLLAFFISIIAVMDKNNSIRIGLTREMYQGLYILMMMLTKAAMPGSVKGILMFLMRGCLEQERREKRRIRRQYPLIVFYIFNIIVFTVVTTMALIAIDVTGNQSLQY >ORGLA11G0211800.1 pep scaffold:AGI1.1:Oglab11_unplaced085:22386:22595:1 gene:ORGLA11G0211800 transcript:ORGLA11G0211800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAIYLTKDQMFHERTKHIDIKYHYVRDVVAHGKLNVCKISTYDNPANMMTKSVPVAKFELCSSLVGIVV >ORGLA11G0211700.1 pep scaffold:AGI1.1:Oglab11_unplaced084:78785:80187:-1 gene:ORGLA11G0211700 transcript:ORGLA11G0211700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPESMTVVVQVAVWFGVHDHLELWFDSNEKHAGMCEDESIDVCSSCPTRAVRVVYEPDYVGGGGSGQGRQLVGSPEATGR >ORGLA11G0211600.1 pep scaffold:AGI1.1:Oglab11_unplaced084:77052:77561:1 gene:ORGLA11G0211600 transcript:ORGLA11G0211600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSVARAAIVILCLVALTNLAQAQNSPHDFLQPHNAARAEVSVGKLSWDGTLAAYARRYGEKRSHDCTLKHSRGPYRENIYRGSAGRRRTAADAVARWVRESAYYDCGSNTCLPGRRCGHYTQVTWARTTRLGCAAVTCDSGATFVVCSYDLPGNTNGRGPYPGCGD >ORGLA11G0211500.1 pep scaffold:AGI1.1:Oglab11_unplaced084:68165:68869:-1 gene:ORGLA11G0211500 transcript:ORGLA11G0211500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGGVRVEGRRGRGLPCRYDAGGGDGDVGRRTGTAEEAAGVGADETEGEAARATAFRRNSDDAVAWPGKRMPPWCRGRRRRRQPKHRRGGTGGWGSTVAATPLDDGGNALPMVTARNGGQAGGEDVAAMPRMATARPTDARARRERRLETAGGGRRHGRERTTAGRGGAATGRRGRRLKRENGRRGFHFIGAGREPATGEGGTEAGMAAGGHGRWPGMARLFRVINGAIQGGN >ORGLA11G0211400.1 pep scaffold:AGI1.1:Oglab11_unplaced084:63397:63765:-1 gene:ORGLA11G0211400 transcript:ORGLA11G0211400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGCAPRGDSEMIVGSGVAVNDERRSTARKRDQAVMWSSRWAAISGGSRWGSAPTKRGGGPGDVRGGISDDELGTGVRRPGFGAEESATPSCGGEGIGDEWRHKRGDVCERKKMGARDFF >ORGLA11G0211300.1 pep scaffold:AGI1.1:Oglab11_unplaced084:36261:36728:-1 gene:ORGLA11G0211300 transcript:ORGLA11G0211300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRRRNNSSLLESSTIITANLIMASSSYLLSKITPRAAPSSMQSAAPAPARPPQPPPDAVTARAWPTAVSARSVEPAVTRRKVLVAPDDGEDGKVDERADTFIRKFKERTQSEIARMEAEAAAAVAAARPPPALGAANLAGTAYGYYGTGYYC >ORGLA11G0211200.1 pep scaffold:AGI1.1:Oglab11_unplaced084:14867:17144:-1 gene:ORGLA11G0211200 transcript:ORGLA11G0211200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMPSGPSPIFLGKLLWPGEVTITLRWIGHRVKNGWKFRVTAYGPFKHEYYSQDAYFVTASLISIAFFLWYASRLRRTAILLPPGPPGLPVIGNLLSVHQFTHRGLAKLSKIHGGFFHLRVGQADVFVVSSPETVREIIHENDSVFSHRPVTAAMVYVSYDLADMAFAHYGPFWRQMRKLCVLKLFSPRRDVSWRVVRGEVDALVRSVAELRGVAGSVGDLVFKFATNVTFRAAFGAQSREDEKVFVDIILELSEIFMAFNMGDYIPCLGWLDLNGIGKRMAAARHALDVFIDRIIDEHLAKLRNGDVSASDMVDDMIAYLVDAPGGRHKRADGVELGDLHLTRDNIKGLIMARNVIMFGGTKTVASTVEWALSELLRNPDELKRAQDELAGVVGLRRRVNQDDLDNLPHLRCVTKEAMCRDEALWGTDAAAFRPSRFADESARVEFKGGDFQYLPFGSGRRSCPGMQLGMFAVELGLAELLHCFDWSLPAGTEPLELDMDDVFGLTAPKAERLCAVPSPRLSCPLL >ORGLA11G0211100.1 pep scaffold:AGI1.1:ADWL01022961.1:1607:2032:1 gene:ORGLA11G0211100 transcript:ORGLA11G0211100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPEHPGRTRGKGVVPWKIGFKEDIHTYRSRMRSKRDTEAKIADLEYRVSSYELSMQEEVARKVDERMAAHRSQDPQSYIPPVMVSPSGNRSSCASTGQVGSQTMDAMQTQDETTCPVDEITQRTPCELHIPFKNLSIKVCS >ORGLA11G0211000.1 pep scaffold:AGI1.1:Oglab11_unplaced081:63285:66456:1 gene:ORGLA11G0211000 transcript:ORGLA11G0211000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSKAKDDLIGDVVAVDGLIKPPRFTLKGKDLAVDGHPFLLDVPANIRLTPASTLVPNSDVPAAAAGSFLGFDAPAAKDRHVVPIGKLRDTRFMSIFRFKVWWTTHWVGTNGRDVENETQMMILDRSGTKSSPTGPRPYVLLLPIVEGPFRACLESGKAEDYVDMVLESGSSTVRGSVFRSAVYLHAGDDPFDLVKDAMRVVRAHLGTFRLMEEKTPPPIVDKFGWCTWDAFYLKVHPEGVWEGVRRLADGGCPPGLVLIDDGWQSICHDDDDPGSGAEGMNRTSAGEQMPCRLIKFQENYKFREYKGGMGGFVREMKAAFPTVEQVYVWHALCGYWGGLRPGAPGLPPAKVVAPRLSPGLQRTMEDLAVDKIVNNGVGLVDPRRARELYEGLHSHLQASGIDGVKVDVIHLLEMVCEEYGGRVELAKAYFAGLTESVRRHFNGNGVIASMEHCNDFMLLGTEAVALGRVGDDFWCTDPSGDPDGTFWLQGCHMVHCAYNSLWMGAFIHPDWDMFQSTHPCAAFHAASRAVSGGPVYVSDAVGCHDFDLLRRLALPDGTILRCERYALPTRDCLFADPLHDGKTMLKIWNVNKFSGVLGAFNCQGGGWSREARRNMCAAGFSVPVTARASPADVEWSHGGGGGDRFAVYFVEGRKLQLLRLDESVELTLEPFTYELLVVAPVRAIVSPELGIGFAPIGLANMLNAGGAVQGFEAARKDGDVAAEVAVKGAGEMVAYSSARPRLCKVNGQDAEFKYEDGIVTVDVPWTGSSKKLSRVEYFY >ORGLA11G0210900.1 pep scaffold:AGI1.1:Oglab11_unplaced081:50936:53303:-1 gene:ORGLA11G0210900 transcript:ORGLA11G0210900.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHVIAGLEQDIPDNQNETQRPPSEWMEETLINMFLSGYSNAEVNADISLGDSQINDGDSSETAENKPGNFASENAPSSPCDASLHQTEDELQNENSTAVHESLSEEEEKWLAQYGQVEQEIDDQPLFPSIDLWDWDMVKESVSKGQPMARLVGRLVKGSSKPHPSLPARGGLLRTAPVHEVHLDLVRVSSGKIYRLRNPSRKYLASLSSYDSSNPTKDWGFPNIYANSDNDLDKQSSAQCQSEVMDVFSMKGVSAASAKEHKINAYRDRAAERRILHRGIGIGPGQKQSSSTNFYEHEETSEGMDLMGGASVDMNFRSSGLNSAKKMMENMGWKEGEALGKSTKGMVEPIQPTVNKHGAGLGWKQTR >ORGLA11G0210800.1 pep scaffold:AGI1.1:Oglab11_unplaced081:39771:43244:-1 gene:ORGLA11G0210800 transcript:ORGLA11G0210800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGLSRRRRPDAAALLCCVVAVVAACMVGGALAADAQGAALLAWKRTLRGGDTALPDWNPADASPCRWTGVRCNANGRVTELSLQQVDLLGGVPDNLSAAMGTTLERLVLAGANLSGPIPAQLGDLPALTHLDLSNNALTGSIPASLCRPGSKLESLYVNSNHLEGAIPDAIGNLTALRELIIFDNQLDGAIPASIGQMASLEVLRGGGNKNLQGALPPEIGNCSKLTMLGLAETSISGPLPATLGQLKNLNTLAIYTALLSGPIPTELGRCTSLENIYLYENALSGSIPAQLGGLANLKNLLLWQNNLVGVIPPELGACTGLAVVDLSMNGLTGHIPPSLGNLSSLQELQLSVNKVSGPIPAELSRCTNLTDLELDNNQISGAIPAELGKLTALRMLYLWANQLTGTIPPEIGGCAGLESLDLSQNALTGPIPRSLFRLPRLSKLLLIDNTLSGEIPPEIGNCTSLVRFRASGNHLAGDIPPEVGKLGSLSFLDLSTNRLSGAIPPEIAGCRNLTFVDLHGNAIAGVLPPGLFQGTPSLQYLDLSYNAIGGTIPANIGMLGSLTKLVLGGNRLSGQIPPEIGSCSRLQLLDLSGNSLTGAIPASIGKIPGLEIALNLSCNGLSGAIPKGFAGLARLGVLDVSHNQLTGDLQPLSALQNLVALNISYNNFTGRAPETAFFARLPASDVEGNPGLCLSRCPGDASDRERAARRAARVATAVLLSALVALLAAAAFVLFGRRRQPLFGGGSTGPADGDGKDADMLPPWDVTLYQKLEISVGDVARSLTPANVIGQGWSGAVYRASIPSTGVAIAVKKFRSSDEASVDAFACEVGVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGGGAAIGAAVVEWEVRLSIAVGVAEGLAYLHHDSVPAILHRDVKSDNILLGERYEACLADFGLARVADDGANSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEIITGRRPIEAVFGEGKTVVQWVREHLHRKRDPAEVIDSRLQGRPDTQVQEMLQALGIALLCASTRPEDRPTMKDVAALLRGLRHDDSAEARKAGSGSAIKWADPRQPGSPTKPMAQAQAHSHTSSLAYSTTGSV >ORGLA11G0210700.1 pep scaffold:AGI1.1:Oglab11_unplaced081:32745:33540:1 gene:ORGLA11G0210700 transcript:ORGLA11G0210700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSDSPTSNQTIRPPFGPCVLMIKGQFKNQVYSRRGTMSSRGRSPSSKARHAIRRMSESRKDAIDAQTQASDQAAQVANVERGAPLPPRRSRVSGEGSSAPARKVRRGNPTDSSEPGQGSGSHAPSFEEEIQLEEDIVAQEEGPFFITAPSRDPNYHRRVIRWNRKWTEVEKERKKDPYKFQQLSTDPRFWNLFQQDYYETVIAPKKGAILMQWVDWKYMEELHDSIIDEVIEACARQNL >ORGLA11G0210600.1 pep scaffold:AGI1.1:Oglab11_unplaced081:17985:23372:1 gene:ORGLA11G0210600 transcript:ORGLA11G0210600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGCSSNRLRQTIVVALLLLASSSCLASAKHRGNGTTTTVPFHGKDELRRYRKIMAQVARLKKASVKTIQSPDGDIIDCVPAHLQPAFDHPKLRGQKPEAEPEERPKVGGAAAAEAEEEAVFPQAWTDGGESCPEKTVPVRRTRRRDVLRSSSAVRFGMKQPRAAGVVRRDSTSDGHEHAVGYVTGDQFYGAKASLNVWSARVATAAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDNNPRFFTYWTTDAYQATGCYNLHCSGFVQTNNRIAIGAAISPTSVYNGRQFDISLLIWKDPRRGHWWLQLGSGPLVGYWPSSLFTHLGGHANMVQFGGEVVNTRPSGSHTPTQMGSGHFPREGFNRAAYFRNLQVVDWDNNLLPAAALRLVADHPSCYDIQGGYNRAWGNYFYYGGPGRNVRCP >ORGLA11G0210500.1 pep scaffold:AGI1.1:Oglab11_unplaced081:7070:11838:-1 gene:ORGLA11G0210500 transcript:ORGLA11G0210500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGLARDAAAAYLRRGGAPARVFSAASRAPGPVVANPGGELRAFGLFRSPMARRADAFEVPSAAGRHGAQGVWSRSSVPALVRAGAPNSRALPFLVGRVVRGFYPQLSGHKLVKGLGMGSTLAATFCSQKVAYAEEVAEQPSEGLIGPSTKHQISKLWTIIRKYQLPVGLIALIALGWQNPLGLFINVLLILYSSRPSPYSIYLFLQEVRHGEMHQNRAFWKEEAVLTRKVDTKDYKLFSIGTVESADREVLHVIGILGNWWIYRASYGNVENRSFSRGLYWKEFPHLTLEQNLFVMGGRKIGVAVDFSSCSKAALRWASTNLTRSGDQLVLIHVNSSYHNEQGAVQLWEQSGSPLIPLAEFSDPHVAKTYAVSPDKETLEILNQMSNQRGVEVLAKILYGDPAKKLYEAVDLVPLNCLVVGNRGLSTLKRALMGSVSSYIVNNATCPVTVVKENI >ORGLA11G0210400.1 pep scaffold:AGI1.1:Oglab11_unplaced081:2738:5401:-1 gene:ORGLA11G0210400 transcript:ORGLA11G0210400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRAGCCVRFIGCCLAADGDAALSQSAAALHRMASAFLDAHGEPLVLLDHRVLCSHGVVTVGHSQAFAAAMRQRREEIPPAPFRILLQEEYVGWAEVAACANGNKIVDKKSWRASVRNMLDAVYRMICQVLASAVRSVWAIGTYMLPLSAAEFFRRRLPASAVPEKFSWRGVISTFRFQIFPDTCAIVACSVCIEAQHRLEFERLHGQGTFILELPDSTRKLRRFCLERKAWFKGKGAHIDSLLSLIQETGGVPAISTTNTRSSLLLPLHSYDYFSLRGCWTNLTPQQAAQLIFTGGPCIGSLWVDGSYTSKHHYSDDNDDDEEDMLVYRGW >ORGLA11G0210300.1 pep scaffold:AGI1.1:Oglab11_unplaced072:9923:10060:1 gene:ORGLA11G0210300 transcript:ORGLA11G0210300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPATPVALSPPLLSLAHHNEPYYTKCPAIAHSGLWLALLMFHN >ORGLA11G0210200.1 pep scaffold:AGI1.1:Oglab11_unplaced071:10461:11048:-1 gene:ORGLA11G0210200 transcript:ORGLA11G0210200.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLCRDXKGSIQGSVSKKQYMVTAFRGREKDIINAEFDKRKLKGFKHTFDDYLNYINSLDEPHEFESGKPFIYDXQLREGPWQLRRWHDWYIRARTMKCISSFTVAMGENIF >ORGLA11G0210100.1 pep scaffold:AGI1.1:Oglab11_unplaced068:50636:51682:-1 gene:ORGLA11G0210100 transcript:ORGLA11G0210100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKRVYANQSTVELVIGVLSREGALARMAALVERIHGKKCAPGVVAHVALTLKIFEEGRTEQGILLLRRMLQRNMVFDNIAYSLIVHAHCQAGDLKSTCEQRDDMVRHGCRLNSFVYTCLIRVHCRAGDVDEAMQLFEEMISIGLKPYDATYSHLTAGCFRQGRMKEGSEYMDKMLHQGSVPDIGTCNDMLEALCDSGHVSKANELLTALMDKGFVPDQNTYLRMTNGYGKVGDAQGIIKIYHEMEHRGLNIGVDVFSSLIRALCKCGYLKEAEKFLAILERKLLAPTSEIYDLLISGNCEKGNTKKALWFYDRMMIGNDKLVPSADTFMMLVRRVIKPKSTCSPNC >ORGLA11G0210000.1 pep scaffold:AGI1.1:Oglab11_unplaced068:47809:48159:1 gene:ORGLA11G0210000 transcript:ORGLA11G0210000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARRLKMLVLVSLVPLALRATSLLAGHVAPPCSPESLRPEHQPAGAGDGVTMGGGGASASSYRRNRRRMEGGLAAAAVAFHARRFRPHGGGGGGGGFEADKRLAPTGSNPLHNLR >ORGLA11G0209900.1 pep scaffold:AGI1.1:Oglab11_unplaced068:40739:45742:1 gene:ORGLA11G0209900 transcript:ORGLA11G0209900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSP-interacting kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G60800) TAIR;Acc:AT1G60800] MRMRWWAAPLAAVLAVILLPSSTATLSPAGINYEVVALMAIKTELQDPYNVLDNWDINSVDPCSWRMVTCSADGYVSALGLPSQSLSGKLSPGIGNLTRLQSVLLQNNAISGTIPASIGRLGMLQTLDMSDNQITGSIPSSIGDLKNLNYLKLNNNSLSGVLPDSLAAINGLALVDLSFNNLSGPLPKISSRTFNIAGNPMICGVKSGDNCSSVSMDPLSYPPDDLKTQPQQGIARSHRIAIICGVTVGSVAFVTIIVSMLLWWRHRRNQQIFFDVNDQYDPEVCLGHLKHYAFKELRAATNNFNSKNILGEGGYGIVYKGFLRDGAIVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTENERLLVYPYMPNGSVASQLRELVNGKPALDWSRRKRIALGTARGLLYLHEQCDPKIIHRDVKASNVLLDEYFEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLVELITGQKALDFGRLANQKGGVLDWVKKLHQEKQLSMMVDKDLGSNYDRVELEEMVQVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSELLPPKFMDFAADESSLGLEAMELSGPR >ORGLA11G0209800.1 pep scaffold:AGI1.1:Oglab11_unplaced068:32858:37200:1 gene:ORGLA11G0209800 transcript:ORGLA11G0209800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVDAAAPGGGEGAGGVRSRCGRGALHLAAANGRTHVCRFLLEGLGLPVDALSASGAPPSRPAAAARSSHVSSMLAERLISCFVFDAAAHAGETPLLLAATFGHTSTAAYLLDRGADPSTPDPNGGDTPLHWAAYNGDRELAKLLLLRGADVGAANPRGTALHVAAARGHAAVVSVLLNHGADPNKIANIVFTPLVSSLLGGSLECMKLLIQAGANVNGAGFNGATPLLLACSRTGSIGFIKCLVESGADPNIPDELDRLPIEIAAIQAEREVIEVLLPLTHQVPTLLDWSVGGIIRYVKYPAYKEWARNASCKRKDELKLQGNSAFNNEDYDAAILLYSMAMKFDNTDAKLYSNRSACWLNLGIGDEALSDAQICSKMQPDWAKGYYRQGMAFSLLQDYASASYVLRRALKLDPQNATVAKALRCYENKIYMTENVLEKRGDVLETACPRMEESGLAFVHQKKQDWSPAAAGRSVPDATFQIDKKILPVWVKIWGVPRRPLLGCSWMYLPPVNNVPESSAPEEQQITVLGSE >ORGLA11G0209700.1 pep scaffold:AGI1.1:Oglab11_unplaced068:26050:30205:1 gene:ORGLA11G0209700 transcript:ORGLA11G0209700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGFSTKLTAFFWPWRFSPWRLSPRPPRRCRARICRPRELLPRRLWRPRPRCTTSPTQAAAAGRLRSATGFRYLIGTSPRRHLPVLHRRRHRRPLSSSHHLLAWWSLWLYLXYHISTKSTQEILRGQXKCMVPPPCWLDQLTAXFGXRIQXWPAKRILTLLLSXCMHYFACRHSLTXCVSVLVKELLNRYGYILGAFFIGCLSAISIVVHWDLYPSKVVKIIFRCFGGISLLSCHYILLNDILGSVPKRSQKVATGFDLILSCITNLVTFIITFHDHPEHTTSLIISGVGFVLNVVEIVLLVIVTIMGYFFPLSEHPDADVEASRHISATRVTGVGMIPLEDALGTPETDDFIAVLTHEPQVPQFEVSYLILQVRNGFEIYSLRSMVNPNVQIITRVLFVEVIQRTMMRIERDHYIQILLQASGIAAAEPYPLMLRDVEIHYQTIRNQHEALP >ORGLA11G0209600.1 pep scaffold:AGI1.1:Oglab11_unplaced068:17491:17937:1 gene:ORGLA11G0209600 transcript:ORGLA11G0209600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKAAIHRAVLRFVAFYYPSIPFIGFGGIAYMFCFCAMPDDTFSGCVRRRDLWRLTPLLLCAAYMSLLALVSMHTRLFLPRAPNAVLTDLLDVGTVRVGIPLAWLACVGTGAGFTFAIALDCVFVVLIARVLAIWSRLVRTYLHSGD >ORGLA11G0209500.1 pep scaffold:AGI1.1:Oglab11_unplaced068:13867:16405:1 gene:ORGLA11G0209500 transcript:ORGLA11G0209500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTMAVDTTASEYWLNWRFMLCAVWVYSCMVLACFLIWKYEGPSSQDGNGDGGEDSEDARPPRAASGVVYLEDCWKPCLEQIHPGWLLAFRVVSFFILASLLAVDVVVDGWSVFLYYTQWTFLLVTLYFGLGSVLSIYGCYQYSYKNGDNRSGADHGTYIIAPAGESVYEQSIKNPCYSKMHGGKEIAGFWGYLFQIMFQTNAGAVMITDLVFWFILYPFLAYNQYDMNFLLIGTHSINVVFMIGDTAMNSLRFPWFRIAYFLLWTGAFVNVQWLIHASISIWWPYPFLDLAFPKAPVWYLVVAVMHFPCYALFALVMRLKQSLLERWFPHSYTCV >ORGLA11G0209400.1 pep scaffold:AGI1.1:Oglab11_unplaced068:8044:10317:-1 gene:ORGLA11G0209400 transcript:ORGLA11G0209400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLRRSPRIKELNEKVQVNNDQVPATKPIVLQDSNTRKRKGKLPIGQARDKNAQRTPPHQLNLQSSRQMNIGGSAYMSHLLGINPTQVHDQFGFSSASFGQSSSLPQNQATYSGILPREGQTTAYLYYPFLSSFTPDASTPSGSTSKQGTPVAHDNTTEQLRKLTEANTIGGSNPRTSSHLLNHHISSFFPVTPLPNTNHGSLAPLQPPRNEQPPLPPYVSSEITTTGNRPAESFFQPPPPPPPRPLDTGAGDNDDMAAVERGPRCDLQTVDLLSLVESVGTPGFLANSARVLGSMHEPRRGVAAAAARGGAAPPPPEPSLVLGLGDGNGDGREKAWSYWNNSSAMARTMERKRRSETATAMATATQASQALPVKTTLGLGEDAMKRRP >ORGLA11G0209300.1 pep scaffold:AGI1.1:Oglab11_unplaced068:3813:6986:1 gene:ORGLA11G0209300 transcript:ORGLA11G0209300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGKHGESSPPSDRRPLHFAAFLLLADAALVALIVAFVPYTKIDWDAYMAQKVDAFVEGERDYTKIEGDTGPLVYPAGFLYVYSAIKLLTAGQVFPAQILFGVLYIVNLSLVLLMYVKTEVVLPWWALGLLCLSKRVHSIFVLRLFNDCFAMTFLHAAMVLIMYHKWYLGLVIFSAAVSIKMNVLLFAPSLLLLMLKAMSIKGVFFALLGAAALQVLLGMPFLLSHPVEYISQAFNLGRVFIHFWSVNFKFVPEKFFVSKELAVALLVLHLTTLLVFAHYKWLKHEGGLFHFLHSRFKDATSIGQLIFAKPKLSTLNKEHIVTVMFVGNFIGIVCARSLHYQFYSWYFYSLPFLLWKTRFPTFVRVILFLAVELCWNIYPSTAYSSLLLLFIHISILFGLWSSPAEYPYANGKK >ORGLA11G0209200.1 pep scaffold:AGI1.1:Oglab11_unplaced068:2:844:-1 gene:ORGLA11G0209200 transcript:ORGLA11G0209200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAAAASRATAWSTARHGAARVTASASFSGGGGIVAGAALPLRVRGGQLMSLPLLSGGRAVTARVAAAEAPLPADDADAAAGRERGALAETAQLGAMIVAWYLLNIYFNIYNKQVLQPLPFPYTITAFQLAFGSLVIFLMWALKLHPAPRISISQLAKIAPLAAGHMLGTVFTNMSLGKVAVSFTHTIKASEPFFTVLLSAFFLGEVGDTIRWFLSESE >ORGLA11G0209100.1 pep scaffold:AGI1.1:ADWL01022918.1:2141:2365:1 gene:ORGLA11G0209100 transcript:ORGLA11G0209100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPPLSPSPASPGTVKEKIQKLGLTDINEGNIVPIDPEKFTPDQKKDFDAMMQQARDQFLNSFMQTRKGTLV >ORGLA11G0209000.1 pep scaffold:AGI1.1:ADWL01022917.1:20130:24589:1 gene:ORGLA11G0209000 transcript:ORGLA11G0209000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPLPALSTGWKTSPAPAPAPAPALALAPALAPVGDPTGKRGPVPPTWIATLGHLLRRLEAVHHNEVMVEGSDKVSTAEDGGDVVAPARSCDEEVVSTMGSMLRGGNTSRGWSSSYGSWCHLNSSDGLRNVGFKTEDFLLGLCTQYSPQMHRNVKTLLKAFRKTAPPKDLTFTLEKLFYKSIYIFKNSGYGCGMRVYWDSRRQGCKPTCTLGLFRTPDKLYTLSHRIF >ORGLA11G0208900.1 pep scaffold:AGI1.1:ADWL01022917.1:11288:15417:-1 gene:ORGLA11G0208900 transcript:ORGLA11G0208900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79790) TAIR;Acc:AT1G79790] MVSLLPRAPCLASSIPKPTALRPPCMASTSATAPAAAAATAEASASRPPRKLPVLLFDVMDTVVRDPFYHHIPAFFQMSMKELLESKHPTAWSEFEMGLIDENELAKKFFNDGRSFDLEGLKACMVRAYEYIDGVEDILYSLKQNNYEVHAFTNYPVWYQLVEEKLKLSKYLSWTFCSCTVGKRKPSPDFYLHAVDHLNVDPASCIFIDDRMTNIEAALSVGMVGLQFKNAEVLKKDLCSLGVEFAPVHEGEIQVQ >ORGLA11G0208800.1 pep scaffold:AGI1.1:ADWL01022917.1:3403:5076:-1 gene:ORGLA11G0208800 transcript:ORGLA11G0208800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLLLPLLVAVAATVPLAAALSADGVALLAFKTAVTDDPSGALSSWSDADDDPCRWAGVTCANTSSSGPRVVGVAVAGKNLSGYVPSVLGSLALLRRLNLHGNRLSGTVPPALANATSLHSLFLYGNSLTGGLPPELCDLPRLQNLDLSDNSLTGSLPPELRRCKQLQRLMLSGNGFSGEIPAGVWPEMVSLQLLDLSDNSLTGAIPPELGKLAALAGMLNLSRNHLSGGVPPELGHLPATVTLDLRFNNLSGEIPQSGSLASQGPTAFLNNPGLCGFPLQVPCRAAPPSSSTPPPPSAAGSISGAGRPRQPIKTSLIVLISVADAAGVALIGVIVVYIYWKLRDRRGDGCGGDGDDDEEGKRGLFPCPCMRADDSSDDGSDDGDDVKRNNTTTTTTASGGGGGGEEGQLVAIDKGFKMELDELLRSSAYVLGKGGKGVVYKVVVGNGTTPVAVRRLGGGAAAAERYKEFAAEAGAIGRVRHPNVVRLRAYYWSADEKLVVTDFVNNGNLATALRGEHTPSPSPSPSQFVNLFGKRETYFLKKWSKLWLDFNKLW >ORGLA11G0208700.1 pep scaffold:AGI1.1:Oglab11_unplaced063:4746:5550:1 gene:ORGLA11G0208700 transcript:ORGLA11G0208700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDISHNPSALPPIPAPVEANHGRSNVARDVHKSLLVPVSAAKVHNQKPAPRDQSSRFCRNCRKPGHHFGDCPLPRAAKAFRRDSQVTSTAHDPNRHLNVQPPPQRIIVKGTVKGRIVPPAIVSSLQHQRQQGKQCQENNSSLQLQRGSTLLRXHPQQVLSAPGRPTVVSSSNAPRIAPIQRPIGKSPLGNPASKKFPPATAVKRVVTPPSKINKXSNFPGETSATRNXRDKPVRFEXWKQQQFQSRPLLFSSIRQFXSAAVYKCL >ORGLA11G0208600.1 pep scaffold:AGI1.1:Oglab11_unplaced062:237363:237828:1 gene:ORGLA11G0208600 transcript:ORGLA11G0208600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WHTLLDPSRFISVLLSSLGAEAVGDSARGEAAAQAGRRRAKGGVGGASSSGATRSSRHDLHRCSKLRGGQICLPRPLTGDVLEYRWGLLGCDSWRTAWAMAVVPTEW >ORGLA11G0208500.1 pep scaffold:AGI1.1:Oglab11_unplaced062:234540:236890:-1 gene:ORGLA11G0208500 transcript:ORGLA11G0208500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAPTMLAPTNEELLQAQADLWRHSLYYITSLSLQCVIKLGIPTAIHRASGAASLPDLVTALSLPPSKLPFLHRLMRLLVHSGVFAADDTTDTGTYRLTPLSCLLVDGDDDGAAIVDGHPSQVPTVLAMGSRHCVEAAMGLADWIKKDSPSLLFEDVHGATPFDESMADLDPETHGLLNEGLAAHDGSGFAAVLRECREVFQGLESLTDCGGGDGATARTIVEAFPHIKCTVLDLPRVIGDKKTDGVVSYVAGDMLSFIPPAQAVMLKGCVKILAQCKKAIPSREAGGKVIIIDVVISSTSGPLLEAELLMDVGMILIGKGQQRDENKWCDLFKKSGLQ >ORGLA11G0208400.1 pep scaffold:AGI1.1:Oglab11_unplaced062:227059:228727:1 gene:ORGLA11G0208400 transcript:ORGLA11G0208400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTPLVSTSRLGTDPEPTVRSLYDDGDACLNDGCVWRRWSQEEEIAGIAGVSCYGEPGEGSSGQLGVSGEKGSTQVLMQKPSNFSEPEYTVMIVHCEHDFIVADYLQLT >ORGLA11G0208300.1 pep scaffold:AGI1.1:Oglab11_unplaced062:173296:174731:-1 gene:ORGLA11G0208300 transcript:ORGLA11G0208300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPPTDDELLHAQADLWRHSLYFVTSMAFQCAVKLGIPTAIHRAGGTASLPDLVAALSLPPAKLPFLRRLMRLLVNSGVFAAADDTATGSAGMYRLTPLSWLLVEGEGAAPVVDGHPSQVLVVLAATSRHCVEAAMGLADWFGKDLPPSSSAPPSPFEEVHGAALFDESMANLDPESDSMFNEALAAHDHSGFPTVLRECREVFQGVESLTDCRGGDGRAAKAIVEAFPHIKCTVLDFPRVIGETRTGVVNYVAGDMFREIPPAQAVMLQLVLHHWNDEDCVKILANCKKAIPTREDGGKVIIIDIVIGAPSGLLLEAQLLMDVAMMVVTKGRQRDENDWRDLFSKAGFSDYNIVKKLGARGVFEVYP >ORGLA11G0208200.1 pep scaffold:AGI1.1:Oglab11_unplaced062:157406:158239:1 gene:ORGLA11G0208200 transcript:ORGLA11G0208200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCGCGRIWRGGQPVSDLAAFTLDPEGEGRREWSWRRRSGGVGWLLGEAGSRQVEEAVNPAYAESGRLHARREEADHKELGEASGVGGGRQKVLVEVAGGEAEKEDGRRGAAGAVRWSRHLERPIVCCSFACWKAVGHDDQQCGQCPGRRRGFLPTGCCSLLPSIGDPTLLRLVYFSRGVPHVKERDTGLVGRFCLVKIGRPSTLSAPSKSLQQRGVWCSKLLWRNRVVELGVESELLAVASSATITSFSRPWKRLRVVKHCGGLGFVVVREQEHG >ORGLA11G0208100.1 pep scaffold:AGI1.1:Oglab11_unplaced062:154131:156238:-1 gene:ORGLA11G0208100 transcript:ORGLA11G0208100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAPNMLAPPTDDELLHAQADLWRHSLYFVTSMAFQCAVKLGIPTAIHRAGGTASLPDLVAALSLPPAKLPFLRRLMRLLVHSGVFAAADDTTGSAGTYRLTPLSWLLVEGEGAAPVVDGHPCQVPVVLAGTSRHFVEAAMGLAEWFRKDVPAAAPPSPFEEVHGAVLFDESMASLHPEVDTVFNQALAAYDHSGFATVLRECSEVFQGVQSLTDCRGGDGAAAKAIVEAFPHIKCTVLDFPRVIGNKRGDGVVNYVAGDMFRAIPPAQAVMLKLVLHHWSDEDCVKILTQCKKAIPARKDGGKVIIIDIVIGAPSGPLLEAQLLMDVGMMVATKGRQRDENDWRDLFKKAGFNDYKIVKKLGARAVFEVYP >ORGLA11G0208000.1 pep scaffold:AGI1.1:Oglab11_unplaced062:131525:135307:-1 gene:ORGLA11G0208000 transcript:ORGLA11G0208000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WVLTPFAPPLRAAQPEPAAHRRVGKHQRRAPAVGAPSKPPXLASAVVGADPLPAVRGAEMKRVGMDMXWRSFSKALALAAPRTNHHRHRRRRKRGTMEARAMTALFRTRETMILHRQSLSGARCGVTHGGRARCLMLQMLLSLHXSIPGQALPLSPISGTRPSLGAMPPHFCHSAATSRAWPARAPCLVLYPLWMLHCKRLVVVXRXASHAPALVVALARGKRLRILASVKGLMVPLXMVRTXGVHIMVDHFLTTSXLWEXIHWLVPTVSNLQLPKHSLGHSIAQGVVGTSLSLXPLRGLRMFLWRSHTPRGKGWIKVVGMMXWTWRRSQGVVRVHHARKRFCRRLERRRLWMRKVLCQVXGQQKIHXVKQRSRRTRTVQQRRTETPQKMQMGLIWMTKVLCQGKGATDDTSIKTEKSKNKNRSAKKNKNTSKDADGLETVGASKKLSKKAVDETLSESKSARRTRSTRMKGGTPVALKGRGKDSGAESLKVEEKNTALLKENKVGRRAGSARKKYKTTGDGDGLEDGNANVSVSSGKRSTRGETSVASEARISEQGRKKKKLSELMAVTDVPNPSSGSKSKARGKRSMDASTEKLEDPDRDLEDTMKTRKRKKLDTLGDLSSQPQPVSRKSTTKVGELMHKAAGQMSQTRPVRKANGAVSQKNSRSTKERQVNAPDKSAHSLKVKKGKTDTLTENSLSCSEMLSQLSLAVFNLKKKERFSSAGMNFFTDFRKYSYASRSDVEKEIYGKATNTGSCASFSDVDEDIPEKAASTEPTPLEQPLADHMQDDYWADILINVEEPLSSLRKKKDKGVNRTRKKEHVKKPAMKSSSLGNVEGPTVEGSENKQPNAETQLTVANGTKVSSEETESSSFAGLVLHFSRPGAVPSRSDLIKIFSQYGPVNEAKAETANNANCAQVIFKRRMDAEAAFAGAGKIGALGPALVSFRLSDFPAAASGNDPRQGASKSD >ORGLA11G0207900.1 pep scaffold:AGI1.1:Oglab11_unplaced062:128146:130080:1 gene:ORGLA11G0207900 transcript:ORGLA11G0207900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYIPTVFDNFSANVSVDGNIINLGLWGTAGQEDYSRLRILSYRGADIFVLAFSLISRASHENVLKEELEFDLWPRWGDGGADFIGIFLYVQQENYEILVLQTEFLDYLLQLYLANIRLKNAATDVVITVYEPLLIKWSYGSNTISCSFT >ORGLA11G0207800.1 pep scaffold:AGI1.1:Oglab11_unplaced062:122649:123925:-1 gene:ORGLA11G0207800 transcript:ORGLA11G0207800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDQAPSMLVPTDDEMLQTQAELWRHTLSYLTSMTLRCAVHLGIPTAIHRHGGAASLPDLVTALSLPTAKLPFLRRLMRLLVHSGIFASDDAGTTYRLTPVSFFLVDGAAAAVPVVDGHLSQVPHVLASTSRHCLDTVAGLAGWFREDFPAPSPPSPFEHVHGVTPLESTARLGPEDAALFQEGLRVYDASGFAVVLRECRDVFDGVESLTDCGGGDGTAARAIAEAFPHVKCTVLDLARVVGDVPADGVVEYVAGDMFDFIPPSQA >ORGLA11G0207700.1 pep scaffold:AGI1.1:Oglab11_unplaced062:119217:121824:1 gene:ORGLA11G0207700 transcript:ORGLA11G0207700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLWNCFLGSSCGRVRKEREFRAELPLSTAAVLPANHGPAVGFTLCLHTCTSTFKSKKASTIDKDKEQPEEKGTMAICKRFIALHLEPIVPPPPQTIEYYFLPRPPLIQAVGIGGSTVGLFLLIIILGAQQ >ORGLA11G0207600.1 pep scaffold:AGI1.1:Oglab11_unplaced062:110951:111330:-1 gene:ORGLA11G0207600 transcript:ORGLA11G0207600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAAAFTSSNGPLTMKTERERARARGDGERKARSEFPSFLRSNWCFHQQAFYNVECRRPRPQPPSSGLDSTGNSSDISSVPVHLLPLRQRLDQASWI >ORGLA11G0207500.1 pep scaffold:AGI1.1:Oglab11_unplaced062:106795:107028:-1 gene:ORGLA11G0207500 transcript:ORGLA11G0207500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPSPLGGEMVDVDHDLMQDMLRDVEDTAYNERDSMKFSRLVGDSETPLYAGCKAKHTKLSDTLNLTKLKASSGWT >ORGLA11G0207400.1 pep scaffold:AGI1.1:Oglab11_unplaced062:103311:103968:1 gene:ORGLA11G0207400 transcript:ORGLA11G0207400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTDLDRVEASSLRRSKLDITVVRSNGVAQCRIDSEYLVIVHATAAFVETGTVGVELTLPYLGPRCRRLLENEGDVHVIHDVAVTSP >ORGLA11G0207300.1 pep scaffold:AGI1.1:Oglab11_unplaced062:101410:101984:1 gene:ORGLA11G0207300 transcript:ORGLA11G0207300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERLLDEPINTWATKRKNPGDGPNSRKRKGKAMAVEEDEFASGDDMDNEIDGSPVYAESGDSSSSDNDHDHDGDVNPDQRDLQAMKSTGVEMQHARRTTRRLKNVNALVYNRTRK >ORGLA11G0207200.1 pep scaffold:AGI1.1:Oglab11_unplaced062:99491:99718:-1 gene:ORGLA11G0207200 transcript:ORGLA11G0207200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSEDDARVKDSRRRVAMVAEYVWRPFWPSATAPLERKERAEANGAALVLLVSSRKVEMERIVWRMANGMKSRP >ORGLA11G0207100.1 pep scaffold:AGI1.1:Oglab11_unplaced062:94138:97201:1 gene:ORGLA11G0207100 transcript:ORGLA11G0207100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCMTKKKDTKAKKSSLYNVIPFPFQKLVIRGSQLTSRKKPIPADLASCNDSDEIRDKPSSDKLLETADVVPRPKETMKQQNAVLALASQIKLPLQLSMTGSGHLKYTSSLILGSPIELPSSQMDVMPSVPQTAGLQASLFGVFGKLRPIPQDPLLVMQSPHPDLSRNEGSKASTDQEKQSTDEGCCLISSAANSFNFVSFAGIDVSRKRKECVSFQAPEELGMKKNRVDETIEGTHDIAAISEEQTKLIFRDEHNELPTNVIAGPAIPSEKNQPTPLEDNKGPRDSSIVDKISQSGINDVRQDENLVLHATSTLDNSGDVNLLSSVSSTENQKKISKSEGCEISMDEDSGEEFCRSILVMPDDTRMDQFPSAKSGQATRHDDLICKENLGAIVECVTNTPTENLSFLSPAMFDDGVPNQPPVSENCQDNKQDGMDNVDHGANVVELASIIPETQHASVGGPLSTISLAALEGKTVLSHSLTWESALNEQSGVSQQYHSSAMVESPECVAESSQSIDDSTITQLCSFDTSQCIDAELGNSLIVSNNTQDTPISKYVARTHNSSCPLMQKFLHVHENIMVDQPSESLAIIELPFVKTSPMWAQIEAMEVFSKVPQRPNFHQLQQHPPEFREGIALGLMYSFTNLAESINMLNVHDDNAVFEHKMRCISVLEADGFDVRHLRSRLETLLSLKNSWSKIQDMMKRSEKKIAQEEIDDQQRCAEISVLSMVVRQLEQHAHLFRCIKNRAISQQMSHAMENSRLKVEASQLKQSSMSTEQRFSSVVAAPW >ORGLA11G0207000.1 pep scaffold:AGI1.1:Oglab11_unplaced062:82598:88916:-1 gene:ORGLA11G0207000 transcript:ORGLA11G0207000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLLLRRGHPFLRRLLRPPPPPTSSVLESCNRNVSPRVQLWRFSAEGKDQTNSSEDGYSEANIKKKDFALQQALDQIKSAFGEESIMWLNHSYGPKEVPVISTGSFALDMALGIGGLPKGRVVEIYGPEASGKTTLALHIIAEAQKNGGYCAFIDAEHALDPTLAESIGVKAEHLLLSQPDCGEQALGLADILIRSGSIDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSRSRTMLVFINQVRSKLSTFSGFGAPAEVTCGGNALKFYASVRLNTKRIGLIKKSEEVVGTQIQVKIVKNKHAPPFKTVHLELEFGKGLSRELEIIELGFKHKFITKSGVFYHLNGQNFHGKDALKCYLAENKNVLESLMSMIKESIMQQESHPDRNMEDANPDTSITEEFVSATDEQVPEEVEA >ORGLA11G0206900.1 pep scaffold:AGI1.1:Oglab11_unplaced062:71697:73824:-1 gene:ORGLA11G0206900 transcript:ORGLA11G0206900.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCTRVFHSYLYSRRKENSRQSSLVSSLNMKGQHTTRFQREKESGAHSAYPWKFMWEGSDCVGADGRRSREVRMGREPKILMGARSCVTQSTCTLSDFILCLYFLIAKRGDPLGLVLSRGAAAAFPMETTPTSSAATPTMTTGDGDSPPAKALAEDYGL >ORGLA11G0206800.1 pep scaffold:AGI1.1:Oglab11_unplaced062:62983:68697:1 gene:ORGLA11G0206800 transcript:ORGLA11G0206800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclopropyl isomerase [Source:Projected from Arabidopsis thaliana (AT5G50375) TAIR;Acc:AT5G50375] MAAPRRPAAAKARNGGGAGRTSRWMAADGSKRWGETFFLLYTPFWLTLCLGVVVPFKLYERFTELEYLVVGLVSTVPAFVIPLFLVGKADSVRSLKDRYWVKANIWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMTSNMTLRKLRHSTAHLPQFLRWSFEAAWVLALSYFIAYLETLAIANFPYYEFIDRDIMYKVGSLFYAIYFIVSFPMFSRIDENEEKWSLSRVAVDALGAAMLVTIILDLWRIFLGPIVPIPESRRCGQPGLAWFQVQNESV >ORGLA11G0206700.1 pep scaffold:AGI1.1:Oglab11_unplaced062:49899:50456:1 gene:ORGLA11G0206700 transcript:ORGLA11G0206700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRARSFEAMSNPYQLLEDHKMECRRSRGCGSPMNIKSKAKVGIDQGKESPTRRFCPELPSAEVRLKNFLSAARKFLALLPGTSWQVSSSNL >ORGLA11G0206600.1 pep scaffold:AGI1.1:Oglab11_unplaced062:18179:18505:1 gene:ORGLA11G0206600 transcript:ORGLA11G0206600.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTLQLAHEGSPAVREAKIELLEGRLGRFVMDDKETPQEMYDRMMILVNKIKGLGSEDMTNHFVVKRLFGPRNPTLVSMIRERKDFKRITPSDILGRIVSHEMQEEEGRE >ORGLA11G0206500.1 pep scaffold:AGI1.1:Oglab11_unplaced060:34204:34653:1 gene:ORGLA11G0206500 transcript:ORGLA11G0206500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTMDARWAHIVYALLVPEAFFRDPDGRDGVASRSLRRPLALSVATLLPLPSEGRRCEAHDERAVGAHRVRAAGAGGVLPRPRRPRRRQLLELSHSLRCPLALSASVAAASSSPLSPPPSFPPALPISPPLPSPLVSPSPPPPLASCG >ORGLA11G0206400.1 pep scaffold:AGI1.1:Oglab11_unplaced060:31008:33149:-1 gene:ORGLA11G0206400 transcript:ORGLA11G0206400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISTIPRAMIRGQFPVLVVPSVEWAAFCLCYNNYKRVSSHLSDVAALYNYYGRSGQAATLSWSVRLRIAKGAARGLAHLHECSPRRFVHGEVKPSNVLLDADYNAVLADFGLARLLTIAGCADPSSASGGGLMGCALPPYAVKPASAAADHHHRPSAYRAPEARAVGARPSQKSDVYSFGVVLLELLTGRPPEHHASPSASTSSSASFSGTTTTVSGGGGGGDQAQAVPEVVRWVRQGFEDARPLSELADAGVLRDGGARKEVVAAFHVALGCVEADPERRPRMKAVAESLDKIGS >ORGLA11G0206300.1 pep scaffold:AGI1.1:Oglab11_unplaced060:13398:13607:1 gene:ORGLA11G0206300 transcript:ORGLA11G0206300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMKREVEPPPQIVVRSTGPLAVPTPQAAPYLYGGLWFSLPPTAWLASPVTSHAWLFCFPSSCSWQD >ORGLA11G0206200.1 pep scaffold:AGI1.1:ADWL01022890.1:445:687:1 gene:ORGLA11G0206200 transcript:ORGLA11G0206200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWNTMEVLCAYGMRSRIWKESKFGTIGYVKFLSCTRGIPKVFRTLSMSLVRGFRLPTSGINRGERETSRYRF >ORGLA11G0206100.1 pep scaffold:AGI1.1:Oglab11_unplaced053:673:1104:-1 gene:ORGLA11G0206100 transcript:ORGLA11G0206100.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEDITSSDTLITSHTPMIIPSPSPVRPPETALTSNGPSAFMPTPIWVILDFVESLSRYLSNASGLMSKFISSQRESPKQDSVVAETELGPWAL >ORGLA11G0206000.1 pep scaffold:AGI1.1:Oglab11_unplaced052:547:1017:-1 gene:ORGLA11G0206000 transcript:ORGLA11G0206000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLEFLQKNQWGQEASVGADGVQVGDVCAGSLGGHATAGVWPGRWREIGGWACAWTAAEGLENGGQERYGKVLPFWGSLDGGAGNSSVLAGEDPSSQPCGNNKKMREAKLYPRQKKNDDGGVLRSPHGRGXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA11G0205900.1 pep scaffold:AGI1.1:Oglab11_unplaced050:2810:3901:1 gene:ORGLA11G0205900 transcript:ORGLA11G0205900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLFYRMIHAPLKNHLSTQCLKAAYNLSKQDEKKTSDIGAHAQFQWLMHEIMQTPKSAINADQDYLGMFRDILHTPVGFENSMIMTSNRFPENYEQMGGETEQGTQVICDQWASKNIEELYNINMNNQQGLSSVIKTLASRWSRKDIDELYNINNTHQVVNGSTSEVENNVSASELENNGTTSNNQDDEDSENQYTWAFESNNETAEGQ >ORGLA11G0205800.1 pep scaffold:AGI1.1:Oglab11_unplaced048:21461:21934:-1 gene:ORGLA11G0205800 transcript:ORGLA11G0205800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTFVHQTKYTKDLLRRFKMDNYKPISTPIGSTAVLDPDEDGEAVDQKEFRSMVGSLLYLTASRPDIQFAVCLCARFQASPRASHRQAVKRIMRYLNHTLEFGIWYSTSSSICLSGYSDADFGGCRIDRKSTSGTCHFLGTSLIEWSSRKQSSVAQSTA >ORGLA11G0205700.1 pep scaffold:AGI1.1:Oglab11_unplaced048:19289:19510:-1 gene:ORGLA11G0205700 transcript:ORGLA11G0205700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTPSISLVRGFRLLTSGINRGGA >ORGLA11G0205600.1 pep scaffold:AGI1.1:Oglab11_unplaced046:10620:13527:-1 gene:ORGLA11G0205600 transcript:ORGLA11G0205600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATARPAPRPLPLPLPRSPLLPRFTQYNSPDDPPRGLRGARRLTLSPSPARRRARSLHLRCRLSDSASDPDPLRVAFACGGAGGHVYAAIALADELHASLPSSASLFLGAPPPSLESDAAASAPYPFAPIPRCLPHAILDAALHLRRFRPHVLVATGGAPALPACLAALLFGVPFVIQDQDAGPAPATRLLAPLARRIFLGFNAPVRLLPKRKCAVYGNPVRMSIRKCRISKADAMASFFPRIGTVGEEGVEVLLVLGGTEGSPEINVALLNMYYEMLRERRNRYIIWQTGPEGFCEMESLVRSHRRLLLTPFLHELDKAYAAADVVVSRAGSMSCTEVLVTGKPSILVSYTVMELHFFSTYVLYVFYLL >ORGLA11G0205500.1 pep scaffold:AGI1.1:Oglab11_unplaced046:2173:4385:1 gene:ORGLA11G0205500 transcript:ORGLA11G0205500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGSGGVVKHILLARFKEDVAPERLDQLIRGYAGLVDLVPSMKAFHWGTDVSIENMHQGFTHVFESTFESTEGVKEYIEHPAHVEFANEFLPVLEKTLIIDYKPTIVNNS >ORGLA11G0205400.1 pep scaffold:AGI1.1:Oglab11_unplaced045:6673:7228:-1 gene:ORGLA11G0205400 transcript:ORGLA11G0205400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSILWSLMLAVGLLPLQLDLFNPSLGLSLCILVLLQFATACCILYWGRRLRGDKFPARRTGMGTNVAPLYFTGAATGEFWLHGGGSDVVSSDGEFPVAIPNRDNKGRGMLDCIGLQLDLVTHCRVYCPHV >ORGLA11G0205300.1 pep scaffold:AGI1.1:Oglab11_unplaced045:5155:5502:-1 gene:ORGLA11G0205300 transcript:ORGLA11G0205300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLIFDFYYGEGNVRCGQNGVDLSEFKCMARGIARAAERSFEAVCNWLIRGFRIDPQTHGLTINAMVSREPIGYFWELMPIDCTDIWRRYVEMACERCWPLAILVHVYEKGNT >ORGLA11G0205200.1 pep scaffold:AGI1.1:Oglab11_unplaced045:4266:5145:-1 gene:ORGLA11G0205200 transcript:ORGLA11G0205200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDEGPIIPSDVGSMEVETTEKGNHELQETMVTEERGKDAQPARSQETEQVHLSIDSDSYELEDVALVSQRLMRLDLCSVVLKDYFLNFLCCPVLKELLMRKCCIKARKISSESLKRLTAVDCSFYSYPRVRIFLPSLVALELTGPQENTPVLESMPSLLTETIELTYCDDHCGKEEFGGLCDYNACDSCGANGGSSGYCAYFYLKISFMDYESLNLYYVVSYSHLSIGWNRKGANRKSVCI >ORGLA11G0205100.1 pep scaffold:AGI1.1:Oglab11_unplaced045:598:2231:1 gene:ORGLA11G0205100 transcript:ORGLA11G0205100.1 gene_biotype:protein_coding transcript_biotype:protein_coding QCFIYFRGTKAWWNLQLQPRGVQFXSXRKEYRVXAXCKYRRILHFKFQYRVHRTTIICTKLVQRYXVXKGHRENCSKIRRHSKIGEIPMRHWEKNLIICKINIINPDYIIQTCPIEATPKDIEEFKMHIEELLKLGAIRESRSPHRSAAFIVRNHAEKVRGEGKIHLQEHIAKNILQFPDVMNDKRNYNNSXESXIMLEITLIIXXSXQDHYMLNXEKMV >ORGLA11G0205000.1 pep scaffold:AGI1.1:Oglab11_unplaced043:29476:29964:-1 gene:ORGLA11G0205000 transcript:ORGLA11G0205000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDELVERRRTATAPCDAXDGHRLPDVAPAAGATREPEHARLIARRKENTYRRTAFGNREGSNPLLAARRCCSAALLARRHSVEQAAGADACVIGIRKDLRQRQQVPPRAEIGHTIQGKLASTHTSEYLTPAGTQDDRRRSRLSTTLVGNWKEERSVGLRQD >ORGLA11G0204900.1 pep scaffold:AGI1.1:Oglab11_unplaced043:14371:15808:1 gene:ORGLA11G0204900 transcript:ORGLA11G0204900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMDNAIRFMFILSVVYGAAYAKKSEAKVASAPSLVAAANTVFDITELGAVADGKTDSTKAVQDAWDAACGLAGSQKVVIPKGEFMTGSLNFSGPCKGYVTVQIDGTMFGSNDIPKYNKGNWIEILHIDNVLVNGSGTLDGQGAAVWKDECKILPNTLVLDYVKNGTVSGLKLVNAKFFHINVYMSKGVTIKNVTITAVANSPNTDGVHIGDSSEISVSDLTIATGDDCISVGPGSSWISIQGITCGPGQGISVGCLGRFKDEKDVTDVTVRDCVLRNTSNGVRIKSYEDVLSPITVSRLTFENIQMDGVANPVIVDQKYCPEKDCPEKKGSKTVTIKNVTFRNITGTSNTPEAVSLLCSDQLPCSGMELLDVNLKYNGKDNKTMAVCTNAKGISKGSLQALDCL >ORGLA11G0204800.1 pep scaffold:AGI1.1:Oglab11_unplaced043:2374:3145:1 gene:ORGLA11G0204800 transcript:ORGLA11G0204800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEFVPPWLELLLSTQFFNTCTSHHNSPRNECNLFCIDCQAPEAAFCYYCRSCHHSSHRVIQIRRSSYHDVVKVSELEDILDISNVQTYVINSARVVFLNERPQLRGCGVLAIKSSPSSLSSYNCETCSRVLLDAFRFCSLGCNVSYKPLQQVLFKLILLCNLICSPILG >ORGLA11G0204700.1 pep scaffold:AGI1.1:Oglab11_unplaced040:15895:22642:-1 gene:ORGLA11G0204700 transcript:ORGLA11G0204700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase [Source:UniProtKB/TrEMBL;Acc:I1R2Q5] MGRGAPSSHPPPWRRLLLRYAFLCALLPWSGTSEANEQLAEFPPRGWNSYDSFSWIVDENTYMQNAEILAEKLLPHGYEYAVIDYLWYRKYVDGAYTDSYGFDNIDEWGRPFPDLQRFPSSRIDKGFSQLANKVHGMGLKFGIHLMKGISLQAVNGNTPILDIKTGKPYVEDGRQWTARDIGLTHRTCAWMPHGFMSVNTDIGAGKAFLRSLYEQYTDWGVDFVKVDCIFGTDYSPKEIITISELLAELDRPIILSISPGTEVTPALAKNISQHVNMYRITGDDWDNWKDVSSHFDVSSSFAAVNKIGAIGLRGRSWPDLDMLPFGWLTNAGVNQGPHRQCELTSDEQRTQIALWSMAKSPLMYGGDLRHLDNDTLSIITNPTLLKINHYSINNMEFHHVYSERTSKEDKHSSRFISEDLVHLPKIDGVSLGLTACSDDKANGWYMFSQHGKSDHICRNYGMQDDKNISFCLGKTIPLLTSDDIIVHSEEYQTKFHLAIMDSDDACLDASGSQRRTSSDSKFPMFSRCRWHAMQMWELNEKGNLISSYSRLCATVESNNKGVVTTGAVARAWIATGSKGEIYLAFFNLDSMSRKITARILDLKKVLGSTFIRKDTCSCTELWSGRNFGRVEEEISAVVKSHGSMVFEITC >ORGLA11G0204600.1 pep scaffold:AGI1.1:Oglab11_unplaced040:13015:15069:1 gene:ORGLA11G0204600 transcript:ORGLA11G0204600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMIPKPLISNDNEMMHGHGYTTMVHGNDEILNGNELAVHAEAIPSASTRGQKRKSAIWEHFTLVDVSDGCKRASCIHCNQSLAYSSGSKNSGTSHLTRHIAEWCRVLKDRQKSRRYTTYNSSNENASFDQERSCLRLAKMIILNDYPLHIVQQPAFLSFVDSVQPNFKMVDIGTIETEVYAIYLKEKDHLQQALANIPGRISLTVRSLATNQSIRYISLAAQFIDSEWRLHRRVLKVMMAPWPQSENAVGRAIIKCLSDWNMQDKLFTITLEHDCSSHDIYSANLRNHLSGDNILMLKGQTFAVSCYANILNAVAHGVLASVHNVIYLIRESIKFIKADDAHENKFAEIAVELKITSNNSLCLDVTSEWNTTYLMLLAALDYRQVFTLLESYYDNYGTAPSTEDWKKVEAACGFLKLLYAFTLNIMSAEGNHQTANMFFHDAWVLQLELQNGMAHGDDVIRGIVIGIHEKFDKYWEDCNVVLAIAVAMDPRFKMKMVEFAYSKIYGPTDAAKYVKVVDDAILDLYKEYAAQPELLPLSPIYVDQVPADGLPFIETGGAPATASPSTAAAGAGLVDFDMYLSEVTTMGQPFKHELELYLEEALTQRTPDFDVLKWWQENTRKYPTLSRMARDVLAIPMSTVGVGSSVFLPENGSRSLDDYRSSLRPELVEALLCAKDWLQYSP >ORGLA11G0204500.1 pep scaffold:AGI1.1:Oglab11_unplaced040:521:1148:-1 gene:ORGLA11G0204500 transcript:ORGLA11G0204500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDNLALSVVCERSPGTAVEAASGRPPKAGSERARRQTVSRLYAELGALLPNLPPRASTTRIVEEAIACVGELRAKTAELEAYSAVAAGRAARDGAAEVVASGKTSCFAVWLRAARARPGALTRVLEVFQRHGVAVLAATVARDGEETAVTVTTAAVAPRVLETIKAEIICAA >ORGLA11G0204400.1 pep scaffold:AGI1.1:ADWL01022834.1:1753:2382:-1 gene:ORGLA11G0204400 transcript:ORGLA11G0204400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKSRKAFDHASDLQETFDNLRATGMKLNPEKCVFGIYAGKLLGFLVSERGIEANPEKIDAIQQMKPPSSIREVQKLAGRVAALSRFLSKAAERGLPFFKTLRGTGKFNWTLECQAAFDELKQYLQSPPALISPASGSELLLYLEASPVAVSAALVQETDSGQKPVYFVSEALQGAKTRYIEMEKLTYALVMASRKLKHYFQAHKVIVPS >ORGLA11G0204300.1 pep scaffold:AGI1.1:ADWL01022834.1:1228:1752:-1 gene:ORGLA11G0204300 transcript:ORGLA11G0204300.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPLGEILRGKEVTGWLSKWAAELSPFDLHFVARIAVKSQVLADFVAEWIPAFAPEPEPVEQPWVMYSDGLWSHKGVGVAAVLTSPNGVPIRYAARLQFDTTNNVAEYEAILLGLRKAKALGIRRLLIRTDSKLVASHVDKSFEAKEEGMKRYLDAVRSMEKCFIGPTVEHLPRG >ORGLA11G0204200.1 pep scaffold:AGI1.1:ADWL01022834.1:983:1227:-1 gene:ORGLA11G0204200 transcript:ORGLA11G0204200.1 gene_biotype:protein_coding transcript_biotype:protein_coding NEEADALVKSAACGGPHSPSILFEVLYAPSVPMDSLEVMAINQVKLGEDPYDWRTPFVKHLETGWLPKDEADAKRLQFRAT >ORGLA11G0204100.1 pep scaffold:AGI1.1:Oglab11_unplaced030:14540:15148:1 gene:ORGLA11G0204100 transcript:ORGLA11G0204100.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLSSTNSPRPLIYHPYPSLSRFSFFLPPPHAPSLHAPSTGSGGGLRLHARVATTTGHIEGTTYRTDPASDEAKLKVKFYVPPFLPIFPVVGDYWVLHVDDAYSYALVGQPSLNYLWILCRQPHMDEEVYNQLVERAKEEGHDVSKLKKTAHPDPLSESEQSAGDRGVWWIKSLFGR >ORGLA11G0204000.1 pep scaffold:AGI1.1:Oglab11_unplaced030:11031:11324:-1 gene:ORGLA11G0204000 transcript:ORGLA11G0204000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTGTMFREEQRSSAVGIPCEKVTRVRILGGQLRSTVEIGTKLREELRSRRSRIPGDEVGYEMAYGGWTSCGKDLRNGLHEVCVQASVFARRNGRR >ORGLA11G0203900.1 pep scaffold:AGI1.1:ADWL01022817.1:3491:4426:-1 gene:ORGLA11G0203900 transcript:ORGLA11G0203900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQVAGDLLKQYQEKFKGGTLSATWNYLRDSMGTYLSQPNPVTSRWEGEDHLRDPNFQLDAFRYRTSRLLHSVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAKFIEAVKRCPDEKTRELLKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLADYLSYQVRLVAQELVDAFDLPDLIIRAPIGMQSEAYAQYTQHVGF >ORGLA11G0203800.1 pep scaffold:AGI1.1:ADWL01022815.1:1:801:1 gene:ORGLA11G0203800 transcript:ORGLA11G0203800.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAARAEVEAEATEDAARAAEVEVETLRSSINGSIAGDITADRELEELARGRARERAERWAAAHLHGGGGGPRDRAPVDGNPDWHGRAGGSPEPAHGPRRQRGSPFPDWRHGHHGVQTVVRDFGPGGGWPTLTKTNYIEWAAVMRVRLQVWHMWEAVRYGDVDYDEDRRALDALIAAVPPEMQFSLSQKRTAKEAWDAIAVARIGSDRARKSTLQALRKEWENLSFKPGEDVDDFALRLNTLLQKMVQYGDDTYNEERAVEKLFRCV >ORGLA11G0203700.1 pep scaffold:AGI1.1:Oglab11_unplaced017:284103:284920:1 gene:ORGLA11G0203700 transcript:ORGLA11G0203700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQVSTMKDDNTKSFLNKVKDFWTEITTRESQVVKNNNADEIEKNNKKRSGLYRDLIVMFALGSVFWSGAKKALSQLKGWVFCVASVYINFALISMMVGAAAGTLPDVFRCHMGLSGNGVLQGLLFNIIAFNYELFTTLLPGSLVKLSQRVMYWVTGATSAIAVTVIWTLATEDPLV >ORGLA11G0203600.1 pep scaffold:AGI1.1:Oglab11_unplaced017:278453:281421:-1 gene:ORGLA11G0203600 transcript:ORGLA11G0203600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGGGGGARHGVVAAWASFFSSRIGLDCQLTEVVSDSVKKPIYLHCQEGNVGASFDSDYILSVASGITNGKPSSNGASTSVEERFTLDKFGQVIVLQCRVLVQMCRSIDNRSAGLKFVSSCTCKGVLYLRRSRGRRKRPTH >ORGLA11G0203500.1 pep scaffold:AGI1.1:Oglab11_unplaced017:249471:272451:1 gene:ORGLA11G0203500 transcript:ORGLA11G0203500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:I1R2P3] MWRLKVSEGGSPWLRSVNNLLGRQVWEFDPDLGTPEERADVEKARREFADHRFDRKHSSDLLMRMQFAKENCQRLDLLAVKRGEHEDVMGEAVWSSLKRAVSRVCNLQAHDGHWPGDYAGLMFLLPGLIITLHVSGVLNIVLSSEHQKEMRRYIYNHQNEDGGWGLHIEGHSTMLGSSLNYVALRLLGEGPNGGDGCIENGRNWILDHGGATFASSWGKFWLSVLGVFDWSGNNPVPPELLLLPYQLPFHPGRMSCYIRMVYIPMSYVYGKRFVGPVTPVVLELRSELYNDPYDEIDWNKARTQCAKEDMYYPRSSNLDMFWSFLDKFIEPVLLRWPGRKLREKALATSMRNVHYEDECTRYICLGGVPKALNTLACWVEDPSSEAFKCHIARVYDYLWIAEDGMKMQIYDGSQVWDASLTVEALVATDLVKELGPTLKRAHSFLKNSQLLDNCPRDFNRWYRHISKGGWTFTTADDGWQVSDCTATALKACLLLSRISPEIVGEPLEIDAQYNAINCLMSFMNDNGGFSAFELVRSNTWLEHINPTEAFGRAMIEYPYVECTSSSIQCLAFFRKLHPGHRKEEVENCISKGANFIEKSQRSDGSWYGSWGVCFTYATWFAVTGLVAAGRTLGNSATVRKACDFLLSKQLPSGGWGESYLSSHDEVYTNLKGNRPHGTHTAWAMIALIDAGQAERDPVPLHRAAKALLNLQLEDGEFPQQEIVGVFLQTAMASYSQFRNIFPIMALTRYRRRVLLEGNI >ORGLA11G0203400.1 pep scaffold:AGI1.1:Oglab11_unplaced017:223716:224327:1 gene:ORGLA11G0203400 transcript:ORGLA11G0203400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSNLLWKSAEATPAIRLSREELGFDTRLRSALGFTVFFMRTISNSWKDIHGPEGFRPPFDIRVKLDVSKIGNLRLFWSYDWWRRDLLLKFFLRDESVVQQTHVAIDQEPGSIDRFSFRRQGFSSTSIASAGGGGDKGIIKAMITHPKPSEEVPIVSTNKSLVLRDSTARTDLPPGTKVDPKVKTVVQSLLSALEHEGAGS >ORGLA11G0203300.1 pep scaffold:AGI1.1:Oglab11_unplaced017:208021:208981:1 gene:ORGLA11G0203300 transcript:ORGLA11G0203300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAIDRILEMLEQMKAKRRCDEKIDRILEKLDEIEANRSKATGEMITANRATSAILKATLSPTPMAPPPPMPTKCSTICSSSDAKADITVAIEVTCVTSVESSMELVATDSTTGGTHINTPDSTKVMPANCSTVGLGVKGGADFARVTCQTMMGVPEGVLVPDASSEVFSPWLIAEMDPMTFMVTKCLMKCLECDSKVTMSTNTYELGLGNWDSRPTHGLEFSYCWVEEFKLPPWPPPIESWGSYFAEHSEIARGLELHRS >ORGLA11G0203200.1 pep scaffold:AGI1.1:Oglab11_unplaced017:197291:201990:-1 gene:ORGLA11G0203200 transcript:ORGLA11G0203200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPASDCGDLMAASNTTKSPAIGIDLGTTYSCVAVWRHDRSEAITNDQGNRITPSCVAFTAADRFVGDAAENQAALNPTNTIFEAKRLIGRRFSDKSVQEDIKLWPFKVIAGPDDRPTIVVQHEGKEMQFVPEEISAMVLSKLRDAAVAYLGEPVTDAVITVPVYFNNAQREATLDAAAIAGLNVMRIINEPSAAAIAYGLDKMPPPPASGGGAAVRTVLIFDLGGGTLDVSLLNICRPGNNSNSGDNGSSFEFEVKAVAGDTHLGGADFDNAMVKHCVNEFIRKHDVAEESIRSNQKAIRRLRSACERAKRLLSFTAQTSIEVDSLHDGVDFCAKMSRSRFEELNKELFGRCVKAVEKCLEDAKMDKGDVHDVVLVGGSSRIPKLQSMLHDFFQEKKLRHSVNPDEAVAYGAAIQASVLNGDSDDKKRVMILRDITPLSLGIRVNSDHTMSVVIPRNTFIPTKNVRRYTTQFDNQTGVSINVFEGESASTLDNNLLGKFVLSGILPAPRGVPQIDVTFEFDTNGVLHVSAEDMGTGRKNSITITNHSGRLKKEDVERMSREARSYNRKRKRTRSSLQLSIVNHVIYTVLIGLIFVAMHPS >ORGLA11G0203100.1 pep scaffold:AGI1.1:Oglab11_unplaced017:188481:191943:-1 gene:ORGLA11G0203100 transcript:ORGLA11G0203100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGNGKQGGGGGGGPAVGIDLGTTYSCVAVWRHDRGEVIANDQGNRLTPSCVAFAADDDESFVGDAAFNQAALNPTNTIFEVKRLIGRRFSDDSVQKDIKLWPFKVVAGQEDRPMIVVRHGGKERQFMPEEISSMVLAKMRETAEVYLSKTVKNAVITVPVYFNNAQRQATIDAGAIAGLNVMRIINEPTAAALAYGLEKMPVSNKGRMVLVFDLGGGTFDISLLNIDPGVDIDMGLFEVKATAGDTHLGGADFDNELVKYSLREFIRKHGSMDIKSNHKALRRLRTACERAKRMLSSTTQTTIEVDSLHQGIDFRVTLTRSRFELLNKDLFSKCMVAMENCLRDAKVDKNSVHDVVLVGGSTRIPKVQMMLSEFFDGKELCRSINPDEAVAYGAAIQASILSGGTDDKRLVDMLLREVTPLSLGIESSDDFTRHKMSLVIPRNTAIPTKKVEGFTTFYDNQIDVSFPVYEGESASTKDNNLLGEFTLYGIPPAPKQVPSIDVTFEIDANGVLHVSAEHMDTGRKNSITIANRSGRLNKEEIKRMALEAERHKIKRIKQTNP >ORGLA11G0203000.1 pep scaffold:AGI1.1:Oglab11_unplaced017:180613:183042:1 gene:ORGLA11G0203000 transcript:ORGLA11G0203000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGNGKQGGGGGGPAVGIDLGTTYSCVAVWRHNRGEVIANDQGNRLTPSCVAFADYDDDERFVGDAAVNQAALNPSNTIFEVKRLIGRRFSDESVQQDIKLWPFKVVAGREDKPMIVERHEGKEKQFMPEEISSMVLAKMRETAEVYLGKTVKNAVITVPVYFNNAQRQATIDAGAIAGLNVMRIINEPTAAALAYGLEQMPVSNKGRMVLVFDLGGGTFDVSLLNIDPGVNTDMGLFEVKATAGDTHLGGADFDNELVNYSLREFKRKHGSMDIESNQKALRRLRTACERAKRMLSSMAQTTIEVDSLHQGIDFRVTITRSRFEELNKDLFGKCMVAMENCLRDAKVDKGSVDDVVLVGGSTRIPKVQKMLSEFFDGKELCRSINPDEAVACGAAIQASVLCGGTDDKRLVDMLLRDVTPLSLGIETENDSMSCIMSVVIPRNTAIPTKKVAEGFTTRYDNQISVTCKVYEGESASIKDNNLLGEFDLCGILQAPRGVPRLDVTFDIDANGVLNVSAEDKDTGQKNSITISNRSGRLNKEEIERMALEAERYKMNRIKQLQIEPVQGN >ORGLA11G0202900.1 pep scaffold:AGI1.1:Oglab11_unplaced017:159178:160556:-1 gene:ORGLA11G0202900 transcript:ORGLA11G0202900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQVVGPPPVPPPSAPPLPPERQLSGEFVDVELGNVSSTVVDVDPLRQLVTPSAPPLPPETMNPPPRRQLTGEFVDVELGNAGLSNVQRRRRQRTTARSADDEDGLCSMWCQRIQEGYNWCRDLTKSWQQTDYSIHFASDGEYSKLNTNCCCQNLRLEEALYPVGAHVSDLKSERSK >ORGLA11G0202800.1 pep scaffold:AGI1.1:Oglab11_unplaced017:155877:157510:-1 gene:ORGLA11G0202800 transcript:ORGLA11G0202800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKYDLPLLDYKTRFSLWQVKMRAVLAQTSDLDEALESFGKKKTTEWTAEEKRKDRKALSLIQLHLSNDILQEVLQEKTAAELWLKLESICMSKDLTSKMHIKMKLFSHKLQESGSVLNHISVFKEIVADLVSMEVRGRSEQRTYNDSNDRDKSQSRGRSKSRGKKFCKYCKKKNHFIEECWKLQNKEKRKSDGKASVVTSAENSDSGDCLVVFAGCVASHDEWILDTACSFHICINRDWFSSYKSVQNGDVVRMGDDNPREIVGIGSVQIKTHDGMTRTLKDVRHIPGMARNLISLSTLDAEGYKYSGSGGVIKVSKGSLVYMIGDMNSANLYVLRGSTLHGSVTAAAVTKDEPRVESYDRKAN >ORGLA11G0202700.1 pep scaffold:AGI1.1:Oglab11_unplaced017:146947:150826:-1 gene:ORGLA11G0202700 transcript:ORGLA11G0202700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASDSPASFPFSTAKLAASPRFCNPISRRIFSDVAGDLTVSVDGQSFLLHKFPLVSRCGRIRRMVAESKDPDLSKLELVNVPGGALAFELAAKFCYGSNFEINTVNVAHLRCIAEYLEMTEEYQEENLIVRTETYLNEIVVKNLDKSLEVLCACDGLDPTVEDVGLVDMCVDAIAINASKEQLVSGLAHLECDVGSGKLRMHCQDWWVEDLSALRIDYYQRVIIAMRRTGVRPESIGTSIVHYAQTALKGIERRHVWDSGPLVGDNQRVIVETLIDLLATEKITSVTLSFLFGMLRMAIEVDAGLDYRIEVEKRIGLQLEMASLDDLLIPATQTSDSMFDVDTVHRILVNFLQRIEEDDSGNLSPCGYESDDGLKSPSHSSVLKVGRLMDGYLAEIAPDPYLKLQKFMALIELLPDYARIVDDGLYRAIDIYLKAHPSLTESECKKVCKLIDCQKLSQDASSHAAQNDRLPIQTVVRVLYFEQLRLKSTVSSTTPHTTSLGGDGCGGSLSQRMMMTGGSGVASSCVSPQRDNYASLRRENRELKLEIARMRVRLTELEREQGVMRQGMRDGRGGGEHGRALLASISRGIGRIAMLGGAQGGAERRKTKKSSHSQSQWSSDGGGKMSNRRRHKASSVTYAAS >ORGLA11G0202600.1 pep scaffold:AGI1.1:Oglab11_unplaced017:142905:144870:-1 gene:ORGLA11G0202600 transcript:ORGLA11G0202600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT2G30520) TAIR;Acc:AT2G30520] MDRTSQWVSSPDIPADLLIRIADDVFPLHKAVMVPKCGYIRKAVAAARGGATATVDLDLSALPGAADAFDKVARYCYGANFEISVRNAAALLCAAAFLDMHPTDGGLARRVEEFLAKVGLRTLPGAVAVLRSCEGLLPAAEEIGVVQRSADAIALRICNEVLFPTRSPPEWWTAELAALSPASFHKVITALRCRRAEPEVLVAAATAYAELLLAEVLAADGHAADHSRMHRALVESVVAVLPSTDDAPLPAAFLCHLLHVAITIGASAKTCRDLELRVAAVLDQATAGDLLTVALDGAGERVQNVDAVRRIITAFVERDSAASSGGGANGRNRRASLSGAGALQGGGGAMQTVAKTVDEVAAEIATEESLPISKFVGLAGAVPKEARATHDCLYRAVDIYLKAHPALEETEREKVCSVMDPLKLSYQGRLHASQNNRLPLQAVLSALYYDQLKLRSGDEGGGGWDAYGNGVMRSSAAGSARKQAKEEASLARENEALRSELARMRAYVSGMQQQSKGSSSSRGKKGSWLRTLSRLNPFKAGIWGKDTSGIVDGKTGAMNSVKPKRRRFSIS >ORGLA11G0202500.1 pep scaffold:AGI1.1:Oglab11_unplaced017:140486:142157:-1 gene:ORGLA11G0202500 transcript:ORGLA11G0202500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAHHHHWTMVERRGTQLWASGRPFIIHGFNTYWLMSFAADQATRLRVTAAIAEAGLNVCCTWAFSDGGYRALQTAPFHYDEDVFRALDFVVSEARRHNMRLILSLCNNXEDYGGKAQCVRWGKEAGLDLTSEDDFFSDPTIKSYYKAFVEAVVTRINTVMNETYKDDPTILAWELINEPRCPSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGIEGFYGPSIPELLPVNPDEYSGHAGTDFIRNHQAPGIDLASIHVYSDIWLPHSIKENHLQFVDKWMQQHIDDAANLLGMPIVVGEFGVSVKDGKFGNEFCEDFMKIIYRIFLSSWKEGVIGGGCLLWQLFPEGAEHMDDGYAVIFAKSPSTLSLLANHLRCLEC >ORGLA11G0202400.1 pep scaffold:AGI1.1:Oglab11_unplaced017:138169:139561:1 gene:ORGLA11G0202400 transcript:ORGLA11G0202400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAREHFVLIHGEGHGSWCWFKLRWLLESSGYQVTCIDLAGAGVDPTDPNTVRSFEQYDKPLLDLISAIPEDEKVILVGHGSGGLSLIHAMHQFVDRIRQAIFVAATMLPFGLQTDEDKKDGLPTLPENEINLIFGTGADDPPTTAALRPEFQRERLSQQSPEEKTMITXXX >ORGLA11G0202300.1 pep scaffold:AGI1.1:Oglab11_unplaced017:136285:137364:1 gene:ORGLA11G0202300 transcript:ORGLA11G0202300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMNAGDDFSESCRSTEHFVLVHGAGHGAWCWFKLLRLLQDSGHRVSAVDLAGAAGSLVDPNHVRSFDDYNAPLLDLMASLPAGDKVILIGHSAGGLSVVHAMHLFGDRIKQAIFIAATMLQFGYQTEQDIKDGVPDLSEYGDVYDLTFGLGADRPPTAVALRKEFQRIILYQQSPQEDSALASILLRPWPTALSPGQIHRRRWRS >ORGLA11G0202200.1 pep scaffold:AGI1.1:Oglab11_unplaced017:126522:129212:-1 gene:ORGLA11G0202200 transcript:ORGLA11G0202200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKLRFVRCPGCLQLLVEYPTIAVYQCGGCGTILRAKNRVAPAVNANAESGEHNEFSNNSTGGSQNNKLICTDGQKIPPSSDAQPGVLQEKITFASEEKTMSSSNSIDSSEHVNIECSLLDGDASNHDVRTEGINDEDKVTVSNSTLDSVRKVENVETDGNEKGSFTDDGSISNEVATTQSMVHMDGAGSDNNFTEVQSAAEGKCALSDANLDSQEIVAICQPDNISVGAKERVQPYEGFNVESHEDLIEELVRSLSLSDDEEEFVDIAENSELNDALRSQMGSCRFSLGSKMNEGPRTDPHGRLIEELEMSFSDAEEPLDQNIMVSLNDIEEPTLDEVSKENHILEEDGKESHILDVDGKESHILDVDGKQNHILDEDGKENHILNEDDKENLILDKGGEDTLDAGGANSYEERVLPSDDGLLKSGQSFQQCELVAVNMGEKDEGHLEETNMANHAEANSGIAAVLSNLSNDKFCAILPPSCDGRKEEKSNIHRGRELCQGLSLDSVDFRSIQNFIESQMDGTSSSLSSGSPSHGDLEHNRSNRFKKIDRLERLRKMDDLRDQLNRLSSQKGLENRYKNKGPGVLQEQISYRHLEQHPCGFDGDSILDSDIIDSYYDQGNPPRYPPPDPFSPTHSHYHCGHGQPHIPYNCSAWEFNSYYQSSYAGSMVLEHESLRSSYKEQKRAVRKSILRSLSGASPFTICNGCFNLVQVPSDIYVSKKKIAKFQCGRCSKALMLSFPATNSEDAKLSNKEVNRKPNKPVHNSVVGMEGGYSFSAECSRGDPVSISEECGASISRSFSGRTRAAVAASGSGKKVSDSALHRLMGYDSASQLLRRSRAFEDGYDSFESMVPVSNRVSRRKNL >ORGLA11G0202100.1 pep scaffold:AGI1.1:Oglab11_unplaced017:117636:119976:-1 gene:ORGLA11G0202100 transcript:ORGLA11G0202100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAXCMMMVGRERELVAELRHLLFPSPSPTPTTPASHSTTALAGDGECCLPPGLTTTTTVSGGGRRRGRKRVNRDNDNVKLLLQADDDQEAVIADHGDANAKPLPNFTKTRRRKQQATTSTMVTTVPDFDGYQWRKYGQKQIEGAMYPRSYYRCTNSTNQGCLAKKTVQRNGGGGAAGYTVAYISEHTCKSIEPSLPPVILDTTVRTTNNHQQPAAAESPAATSSSSSNMVMTSSETGNWSGQHGAYACRQMIAADEEYCCWDTPATTTTTSGSNGGNSTCAEDIELLSRPIRSPMHIAAEGNWMDDLLLVTDGLIDISNSSITHFLNF >ORGLA11G0202000.1 pep scaffold:AGI1.1:Oglab11_unplaced017:112109:113339:-1 gene:ORGLA11G0202000 transcript:ORGLA11G0202000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSNKRSLVADQWHPSSVCCDHRAALREIAKGQSLVTQLRAIVLPALHSDERCDLAAQMLEGILDCSRKAISQLQLLLSSPHDDDHHHHVDDKRRVRKIISSSDDDDHCSSKAAEDHNAKPLRQHKRRRFGDSVSLETPVPHYDGHQWRKYGQKHIKNSKHPRSYYRCTYRQEEKCKATKTVQQREDLHHANSYNGDHPIMYTVVYYGQHTCCKGPAALADDHVVVEASQISTDSHCQSPSSSSDLQAAAVHAGNSSQCSNISVTCSSSVVVEDCNKLLDMMPAADELTADVLLFDMTAYAPLDLDINWEMDTNALWV >ORGLA11G0201900.1 pep scaffold:AGI1.1:Oglab11_unplaced017:106943:107983:-1 gene:ORGLA11G0201900 transcript:ORGLA11G0201900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILESFGHSDCQVVINMIEHQKALMVELRGMVMPVLPSDNEQAKLALQLLGDILSCSDKAISMLELGGDTKKLTNLVGGKRKGNKHSMDNHNLEEEAKESVSKRRKNAEHTGSTVAQAPHNDGHQWRKYGQKWISRAKHSRSYYRCANSKVQGCPATKTVQQMDSSGNGTSKLFNVDYYGQHTCRGDGIADPYVVDIAHHSMEPINQNKCNSPTLEHEAHEVQDERFENLCMVQNMPEYLIDFELERAFEFIVNSPLGSEHWTFDDSIRCEHSPICIWG >ORGLA11G0201800.1 pep scaffold:AGI1.1:Oglab11_unplaced017:102752:103219:1 gene:ORGLA11G0201800 transcript:ORGLA11G0201800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGDAAAVGEGAACGRRGEERRTGSPSGRRSRVRGRGAAPDGVELGGPSVPAAAALKRAGVPEDEMANLERQLAPGPSTAPPAPSTATAPANRMMNFVSAGVQAQAESSSRQQQAAAA >ORGLA11G0201700.1 pep scaffold:AGI1.1:Oglab11_unplaced017:90499:94037:-1 gene:ORGLA11G0201700 transcript:ORGLA11G0201700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSVPSYPSDLGSSRARTPQQQRVSPRKEERTWTTDTYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKNDMKCPATKQVQQKDTNDPPLFSVTYFNHHTCNSSSKIVGSTPDSTVQSRKAISICFNSHGQTGEQPTFLSSSASLLSPSMQSYSSNQQPDMNTYSRQFQWADTSSSTSNAPVKMEADDYAEASASPSTTGALSRTLLPIGQSRCIEYFHFL >ORGLA11G0201600.1 pep scaffold:AGI1.1:Oglab11_unplaced017:82025:82940:-1 gene:ORGLA11G0201600 transcript:ORGLA11G0201600.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPAADVAADNHVVGKPRRSSSAAKRRRINGEEYKSSSWSQFTPVPHEDGFQWRKYGEKKIQGTHFTRSYFRCTYRNDRGCQATKQIQQKDNNDPPMFQVTYSNEHTCTTTRLINNINNPAALHNLTANPNGHHDSDDDDTIFTKMIKQEEQAAWLPPPPPADLATISNNFDETPGLHVCQEVPPSSSNSSVISHYADEFDHHQMLETTVMEEALGLGVDLDDPYFYDPNLLLIYESLMNCY >ORGLA11G0201500.1 pep scaffold:AGI1.1:Oglab11_unplaced017:75649:80142:-1 gene:ORGLA11G0201500 transcript:ORGLA11G0201500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKPWTRCSCCLTESACFACFVTQELAEIPSPPKISPPTPNPSTNLFLTPTASPRRQNPIFPLHSAAFGTPFQPQDRSYPPPIRSNPQLGSTNCKGLGVDWSMGLRSPNSMLWLALLVWAALLCGSCHGRFVVEKNSLKVTSPSDMKGTYECAIGNFGVPQYGGIMVGVVAYPKANKKACKSFDDFDISYKAKPGSLPTFLLVDRGDCFFTKKAWNAQNAGAAAILVADDKTEPLITMDTPEESGNTDYLENITIPSALITKSFGDKLKKAIDKGDMVNVNLDWRESLPHPDERVEYEFWTNSNDECGPKCDSQIEFVKSFKGAAQVLEKKGYTQFTPHYITWYCPDSFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVYKVAKEHGKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGDPDADKENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKAANISACKDTFRGRVCECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKDSRHGRTYSACTNDGCKCPDGFKGDGVHKCEDIDECKERTACQCKECKCKNTWGSYECGCSGGLLYMKEHDTCISKNAATEVGWNFLWVIFFGLVVAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVPNHSHHIEL >ORGLA11G0201400.1 pep scaffold:AGI1.1:Oglab11_unplaced017:71746:75110:-1 gene:ORGLA11G0201400 transcript:ORGLA11G0201400.1 gene_biotype:protein_coding transcript_biotype:protein_coding XWKKHCPGPGMARRSRWLPVQLLLRRRGARGRREAVAGLGRARPRGXRAAGAALQAGRQEEVQGLGSHLPQPPHPRRRRRGGPPLRRAAPRRRLHQGAAAQRARRARHLRHVRPRHPPNQPCVLPEKLQRELQGMVKRQRKVSSGLLKRMAGRIERWYHDEGFHCAQVVSYHGNLDAGEVVCEVVEGDITKVEYQFLDKLGNVVDGNTSIPLIDKELPQQLRPGHIYNNGAGKQALKNIDSLGLFSTIEVQPRPDETNQGGVIVAIKLKEHDPKSAQGITDWSIVPGSQGRPTLASIQPGGTVSFEHRNICGLKRSLIGSVTSSNLLNPEDDLSFKLEYAHPYLDGIDNLSRNRTFKISCFNSRKLSPIFVAGPNMYEAPPIWVDRTGFKANITESFTKQSKFTYGLVMEEITTRDENNNICTHGSRQLPSGALSMIGPPTTLSGTGVDRMAFLQANITRDNTEFVNGATIGDRCIFQMDQGLGIGSKNPFFNRRQLTVTKFINLNKQEKGSRKPPPAILALHGRYAGCVGDLPSYDAFALGGPHSVRGYGMGELGASRNLLEVATELSVPITVKNRHTQVYAFAEHGTDLGNSKDVKGNPTEFFRRVGHGSSYGVGVKLGAVRAEYAVDHNAGTGAFLRFCERF >ORGLA11G0201300.1 pep scaffold:AGI1.1:Oglab11_unplaced017:68470:71148:1 gene:ORGLA11G0201300 transcript:ORGLA11G0201300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLRHLSPSGATAPPSSFAQLRRPSSCLAGPRPLRSRLTRVYALSSNDIRVGSNLEVDGAPWKVIEFLHVKPGKGAAFVRTKMRNYITGNTVDKTFRAGSTIPEASISKETKQFTYKDGAQFVFMDLTTFEESRLNESDVGDRQKWLKEGMDCNLLYWNGRIIDFDLPITVRLTVTDTDPGQGDSAQGGTKPATVETGAVVTVPSFVNVGDDILIDSRTGQYMNRA >ORGLA11G0201200.1 pep scaffold:AGI1.1:Oglab11_unplaced017:63832:66814:-1 gene:ORGLA11G0201200 transcript:ORGLA11G0201200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:I1R2M0] MGTEIATVEVEGIPFPQEITVSKPLSLLANGITDIEIHFLQIKYNAIGVYLEKDNVLAHLESWKGKKAEELVQDDGFFQALVSAPVEKLLRIVVIKEIKGSQYGVQLESSVRDRLVSVDKYEEDEEEALEKVTEFFQSKYFKPNSVITFHFPTTPGIAEISFVTEGKGEAKLTVENKNVAEMIQKWYLGGESAVSPTTVKSLADQFAALLSA >ORGLA11G0201100.1 pep scaffold:AGI1.1:Oglab11_unplaced017:62772:63122:1 gene:ORGLA11G0201100 transcript:ORGLA11G0201100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDKIVERFRKVKNLPDLSIMIVETKLHNRHEIIYKLLKLVIVLPVAIASVQIIFSAMNYVKNKLRNRLRDQYLNHCLVTFIEREMFLKVKDCDIINRFQAMKERRIKATLPNHE >ORGLA11G0201000.1 pep scaffold:AGI1.1:Oglab11_unplaced017:57199:57672:1 gene:ORGLA11G0201000 transcript:ORGLA11G0201000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:I1R2L8] MAAVNCKVVVAVIVVAMVVAAPGASAAITCGQVGSAIAPCISYVTGRSGLTQGCCNGVKGLNNAARTTADRQAACRCLKSLAGSIKSLNLGTVAGVPGKCGVNVGFPISLSTDCNKL >ORGLA11G0200900.1 pep scaffold:AGI1.1:Oglab11_unplaced017:54890:56057:-1 gene:ORGLA11G0200900 transcript:ORGLA11G0200900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVEKEGRAERSGRDMGKGKELPSQILPPEPPPASDLPARSPPPPYPSARILVDEELCREMQKRGRAAWSGEGCAGRSGTEVGRESGRRRVDGIDPGEGGGEKARERERDERNLGCGTAGSLMAAYLYSQQKWIHHLVSAHATTHLQSTRYVGECMIILPASMDGYPSCYSQSKICQQVFTIADLQSLH >ORGLA11G0200800.1 pep scaffold:AGI1.1:Oglab11_unplaced017:49696:50158:1 gene:ORGLA11G0200800 transcript:ORGLA11G0200800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:I1R2L6] MAALNGKVVVAVMVVAMVVAAPGASAAITCGQVGSAIAPCISYVTGRGGLTQGCCNGVKGLNNAARTTADRQAACRCLKTLAGTIKSLNLGAAAGIPGKCGVNVGFPISLSTDCSKVS >ORGLA11G0200700.1 pep scaffold:AGI1.1:Oglab11_unplaced017:43482:44360:1 gene:ORGLA11G0200700 transcript:ORGLA11G0200700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSKMQEPPRRYQYREWIDTRRVLTLHSRVVQLELPEQYRKNRLAVLFTSGSLAALYWGGKGPKCKRYERNLFMDGKNCN >ORGLA11G0200600.1 pep scaffold:AGI1.1:Oglab11_unplaced017:41879:42217:1 gene:ORGLA11G0200600 transcript:ORGLA11G0200600.1 gene_biotype:protein_coding transcript_biotype:protein_coding QYTGTLSQAAGTSSQGPPLDHAGTSSDRLLPSTLLFDITDFDFASGSTEDVIGPLQLGGAPPVQTQDQAQATPPPETRATCAVPPDRFTYSQDHVRAQAWRTKRGRGAGQGQ >ORGLA11G0200500.1 pep scaffold:AGI1.1:Oglab11_unplaced017:33139:34643:1 gene:ORGLA11G0200500 transcript:ORGLA11G0200500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTRGRSTPLTCPATSHTCTAASTPCADPAPDEGGRPVRRLRARRGRRHPSARGGGCTSSPAAATVTSASSCPWATSLLRQLCQDQLIFLPLAIL >ORGLA11G0200400.1 pep scaffold:AGI1.1:Oglab11_unplaced017:29876:30340:1 gene:ORGLA11G0200400 transcript:ORGLA11G0200400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:I1R2L2] MARAQLVLVAVVAALLLAAPHAAVAITCGQVNSAVGPCLTYARGGAGPSAACCSGVRSLKAAASSTADRRTACNCLKNAARGIKGLNAGNAASIPSKCGVSVPYTISASIDCSRVS >ORGLA11G0200300.1 pep scaffold:AGI1.1:Oglab11_unplaced017:13839:14281:1 gene:ORGLA11G0200300 transcript:ORGLA11G0200300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:I1R2L1] MARAQLVLVALVAALLLAGPHTTMAAISCGQVNSAVSPCLSYARGGSGPSAACCSGVRSLNSAASTTADRRTACNCLKNVAGSISGLNAGNAASIPSKCGVSIPYTISPSIDCSSVN >ORGLA11G0200200.1 pep scaffold:AGI1.1:Oglab11_unplaced017:7071:8879:-1 gene:ORGLA11G0200200 transcript:ORGLA11G0200200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAARHLGGDIFVSRASRQTSTTSPSPARPRTAEAPPPPLEPEEVVWEAGRATASRVVLLVVDVAYHVEVLDGEEHLGCVEAGGGEREAAGWHAVDEGVEVAAGAELHDDAGEGVTPDVAAADASHKLEVPQPERRRGGRRRRADGRPCDIPRPAQSCKAATEQPLPSRRVGAWRVGDRESEDAVAVAHVASPLLVPQPQLGHPPPPPLLQEPRLVAPTRDAGHPCRRTRRRPPHRFTDGVGISGSPQRGLQWPWNLRTHRSATAPPRPEGSDDAADVASKRAPPPLAATKKRMSSRSLPRSSRREEGRN >ORGLA11G0200100.1 pep scaffold:AGI1.1:Oglab11_unplaced017:5837:6290:1 gene:ORGLA11G0200100 transcript:ORGLA11G0200100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:I1R2K9] MAGARRTMALVALVAVVAAAVVAERASAAVSCGDVTSSIAPCLSYVMGRESSPSSSCCSGVRTLNGKASSSADRRTACSCLKNMASSFRNLNMGNAASIPSKCGVSVAFPISTSVDCSKIN >ORGLA11G0200000.1 pep scaffold:AGI1.1:Oglab11_unplaced017:3396:5115:-1 gene:ORGLA11G0200000 transcript:ORGLA11G0200000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLSANDPAKILYKVDRRKNKKTTDWTAYHQTHIDRWNQFEANRMPDNAMHNRXELDAYLAWLARNYRSTLAWTLTDIVDDLIEVEEQNKYDTSTRRGSMVGMGPVRDKVTRELIRTANDAGVALGMPPSSQQESSILRNALLGWISAPTKMALSSQSSAPLHLALRAEPVTKQISAKENGAEPCHLSTRGLPNTGALFGRRPTGVAQRLSAGCHRQGIWLPLLCCVFSLRRWTSSNY >ORGLA11G0199900.1 pep scaffold:AGI1.1:Oglab11_unplaced016:82251:83189:1 gene:ORGLA11G0199900 transcript:ORGLA11G0199900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLACVCLLHLLFLATSRVAAQASSPARALDAMLQDHAYRAFVHPHTGIVYNATVPANLTGVALSAVRLRSGSLRRKGFSDYFDFTVPTGIVVQPYVERVVLVYHNLGNWSDHYYPLPGYTYLSPVLGLLLYDAANLSAVGLQELSFVASGSPISINFSDVRSVPAGGPAPRCVWFDLDGVPQFRDLEASNVCSTYRRGHFSIVVNSSAIPPGPVPSGNITPPIPTPTGRSKGSSKGWKIAVGVVGGVIALVLLASLVVCLARYKRDKKLELMERNAETGETLRMAQVGRSQAPVALGTRTQPVIESEYVA >ORGLA11G0199800.1 pep scaffold:AGI1.1:Oglab11_unplaced016:73024:75729:1 gene:ORGLA11G0199800 transcript:ORGLA11G0199800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGPIAADDRRSSYPQSPQGSSSSYSYTSIKSMNEPKLGLWETLARKAKGILDEDGVAHKSDEYTKEKTPRKFDSSTGAQESQSRWSFENHSKTGDTGSRTRSEALAASVNQLGGRIRDALEEGLTIVDNKTSNIIEETKKIQIRRKQANSNSYVPNLAFDTLRPPNLSNDQAETAAQETQLKASRDVANAMAAKAKLVLRELKTVKADLAFAKQRCAQLEEENKFLREAKQKGSKTEEDDDLIRVQLETLLAEKSRLAQENSMYARENRFLREIVDFHQFTTHDVAPLDDGDMEDSIPGEDSNHTYSEDMFPVVEAYLDREELSPVPSRPESPILSSCESSSPKSSNSKSSAANLPSNVSAKCIGT >ORGLA11G0199700.1 pep scaffold:AGI1.1:Oglab11_unplaced016:66292:68766:-1 gene:ORGLA11G0199700 transcript:ORGLA11G0199700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKVPLPCYLQSPPSAPHPRHSAAFSRSLRPCRPNGPPPAFASAEFPGSVPDIAQMPPRRCHRSVAGIDQDDLLDPDALADPDSSFYEINGIRVHHKVCTHEDSSDQSADSAITNADQNQIGLPIVLLHGFGSSVFSWTHIMRPLARIAGAKVLAFDRPAFGLTSRTIWSGDDTKPINPYSMAFSVIATLAFIDQLGAKKAVLVGHSAGCLVAVEAYFEAPERVAALVLVAPAIFVPVFRRKGVKEYGVGEQEWQNKKDSNGSNLPTNPLNRIWGKFLELCLWIAGFLMNMIRAIVSIVRSLYCKAVVAVLRSSVGVRLVRLVMDKFGILAVRNAWYDPSKVTDHVIQGYTKPLRSRGWEMALLEYTISMIMDSISSSKVPVSERLSEISCPVLVVSGDTDRLVPRWNTERVARAIPGAGFEVIKNSGHLPQEERPEEFVSVVERFLRRAFGRPNNEQVLQAAA >ORGLA11G0199600.1 pep scaffold:AGI1.1:Oglab11_unplaced016:63599:64135:-1 gene:ORGLA11G0199600 transcript:ORGLA11G0199600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHQFAGQPDVQCLVCTRPFTLDAQVTDTFEALAICRDCKATVLNDDERDEITSTSHHRRWRRQRSRTASIDSLEDAFSQEFSQLIDLARRQGREMDIDSSSVLPQHASYNATPSQSQRWHASDDESDGLNYVDSVFGEIESTISFGDYGADSDTSIEEHSVSARGGFPFNLTMEVT >ORGLA11G0199500.1 pep scaffold:AGI1.1:Oglab11_unplaced016:58926:59796:-1 gene:ORGLA11G0199500 transcript:ORGLA11G0199500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEQVRLLILDVAKEQHHYQDSHNSLVNAIIDGAQDGRSAAEAEDFIVGNCKTIYFGGHESTAVTAIWCLMLLATHPEWQERARAEAMEVCRGRSTLDVDALRRLKIVTMVIQETLRLYPPASVMMREALTDVKLGSIDVPRGTIVQVPRLMLHLDKEAWGADADEFRPDRFANGVAAACRAAHMYVPFGHGPRTCIGQNLAMAELKVVLARLLTKFAFSPSPRYRHSPAFRLTIEPGFGLPLMVTKLP >ORGLA11G0199400.1 pep scaffold:AGI1.1:Oglab11_unplaced016:55637:56676:1 gene:ORGLA11G0199400 transcript:ORGLA11G0199400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGARVAGGGSDWRSNWWVGHFERVARTFRCQRDSTWANSGDWRWRFLRGAEVCVGDGIAWLNTGCTQALRQDVRRHGGRGNSRGPQVLDALATVRTRLWTEGAQTIAQQTTRLHGEEVLTRLSGKLEEKRKTGNQGCVCKRIIPGQQRVK >ORGLA11G0199300.1 pep scaffold:AGI1.1:Oglab11_unplaced016:45797:47945:-1 gene:ORGLA11G0199300 transcript:ORGLA11G0199300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDHNSTDPKITGCLVRFHSDIKNRVGNRTEKPTPDTAISLFPSRIFRASRSSTINMSEESGLATHGSEEGFPSVEIRMLKLEGNRDVCLDIDSGIRVDDDGAGRISSGAGGGARRRGRHGRGSSGGSGRHGAEEEVAWLGRGWGVVRARVRLGRGAKWGRARRGVGQSARCGRRGEQGAARERQKRVGSVYLYSTGSIQVLNVTDPNMVKELANCKSLDLGKPCYLQKERGALLGMGILTSNGDLWVHQRKVIAPELFMERVKGMVNLMIEAAMSMLNSWKNEVEDRGGSAEIVVDEFLRTFSADKAMAKQSMLIGVPGSRYLPTRSKRDLESG >ORGLA11G0199200.1 pep scaffold:AGI1.1:Oglab11_unplaced016:35603:38883:-1 gene:ORGLA11G0199200 transcript:ORGLA11G0199200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRCAVVRAAHTNPWRHRVSRCSGRPATRSAPSILWRRRRPRSPCPWSVEKEEEVVLVHASMGNCFGGGRPHPNRGRCRPNQSRAPIQSCYEVRQQGTHIRFAPLLNLIYSVELEDPSGLGLDWIDFFFCWFSFCKLQERLSPHYSQMDQGVDKNVTDNSLVSNCDFPVVKKLEKCVDEEVSVQSPFENKDTRSLGMVCDHENNKSGVAEVIRPDKEAIESSSSMNVADEDPLYGCQTPRESIFDPFAPGPEELACAPKKNVIKAPELPPRRQLSFDSGDYPIKRLSFEFDDAEEDDQFLERICKMFIDLIVSNQALETIGKDLIGSNSPGSCETPSLEPLLTGIADTCPDAPLRRPLKAVQLSPSICRKLDFDSVSPRCLFVKENK >ORGLA11G0199100.1 pep scaffold:AGI1.1:Oglab11_unplaced016:33748:34455:1 gene:ORGLA11G0199100 transcript:ORGLA11G0199100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAAAGNGSGSILPTHTIAATAPPFRTHKDADLESRRRRRRRRCLCCCLLVTLVVLLVLAITFLVLFLTVLRVRDPTTRLVSTRLIGLSPRLSFPAMSVQLNVTLLITVAVHNPNPASFTYATGGHTDLTYRGAHVGDAEIDPGRIPSRGDANVTMALTLQADRFAGDLTQLVTDVMGGSVALEASTRIPGRVAILGVFKRHAVAYSDCHFVFGVTEMAVRSQQCSDRTKL >ORGLA11G0199000.1 pep scaffold:AGI1.1:Oglab11_unplaced016:29012:31693:1 gene:ORGLA11G0199000 transcript:ORGLA11G0199000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPGVLLKLLQAMHTDDRVAGDHRSPVLQVTAVVPALTASTADSLWPSNGFLLQLSDGLHSTYVQPSSADADALVSARPQLVGHLVHLDRLRFARPVPRAVGIRPVPSSRSVSFVGNPEPLVARPAACSRGYVIQPGSHSDSAPPLMPSGSGNAVQSDATDAVKRIVLAPKNVSEAAPPPAVSAAKRRFSSPAPSKQRDPSPSVKGGASRPSSPSVKGASRASSPAVRGTPRATSPAPSKCVVPSLVSAKEENRRTAREPAIIVPSRYRQPSPVGGRRGAASPAPGGRRASLSPSSRRLSGEGSSKKKVGVLVAGISKMTDLTNGSAVKPGRKSWDNTSIAAAAGSVMKSKVKVDKSTILRTQEAMARRLSDVTTELSSNDDDSSVDEKPKPRKKIESPAVKTKAMAPKIMLHDPKWTDGSIPLDGVSDVLSKMGKEATERRDAAAIAAADALQEALITESVIRNLSKFSELTSASKTSNPLPTVDIFLAVYEDTLKWKKIAESISTNRTETASWENSATHWVEAALATDLEVLKLMNKAPESLSRKRGADKPKAPSVVEAPRTTISKRQSHGTSAKVQSKVLPTSTASCAWNKTQGVNETAELATTLCREMHTWFLKFVDEAMDLGFHLFEDQNVASRGKQSSHITMVLSQFKKISDWLDGVGKIAEEATTKDKVEQLKCKIYGFVINHMGSAFDSSVSISSRN >ORGLA11G0198900.1 pep scaffold:AGI1.1:Oglab11_unplaced016:24058:24729:1 gene:ORGLA11G0198900 transcript:ORGLA11G0198900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVHPNVAAAPQPPCITTTSIVSQQQEEEEPPVVLTVWRKSLLFNCHGFTVFDAKGNLAFRLDCYDSTSSRRADLVLMDAAGKPLLTIRRKRMSLSDSWIIYDGDGAATATATPLLSVRRRRVGLRASKSKAIAHVTPLSSSLPLPEAYVVEGSYGRRSCAVRDARGDTVAEVRRKESVGDDVFRLVAQPRLGAPLAMAIVIAIDEMFRGGSSLLRRTCSA >ORGLA11G0198800.1 pep scaffold:AGI1.1:Oglab11_unplaced016:14282:16295:-1 gene:ORGLA11G0198800 transcript:ORGLA11G0198800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLSADLRRAPTPFSLLPLALAPPPPPPPTLLRRRPLLLPRAISSSTSPPPVQEMEAAYKFGPYKIDAREVLHSTPLSYAMVNLRPLLPGHQYTNVLVCPKREVKRFADLSSNEISDLWVTAKEVGIRLEQYHKASSLTFAIQCLQDGPQAGQTVPHVHIHVIPRKKGDFEKNDEIYDAIDVKERELKEKLDLDIERKDRTMEEMAHEANEYRGLFS >ORGLA11G0198700.1 pep scaffold:AGI1.1:Oglab11_unplaced016:10417:12896:1 gene:ORGLA11G0198700 transcript:ORGLA11G0198700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTQIHPSHGGGGGTGGRARRQAADQPVVYTVWKRSSIGFQGTDGFSVYDSAGKLAFRVDNYSRRRKAFAGDLLLMDGHGTPLLSLRPQILSLHNRWNCYRAQEEEGLDSTSSPSVSQQQVFSMRKSSALQSSDEAEVFMSTRTSGDSQLPDASPSPSFRIDGCFSMRSCKIRGSNGEEAARITRKNAGVMSRPVSLGDDVFTLVVRPGVDVAVVMAMVVIMDRICRRPYTPMACSSSGNSVVHSGEIIKSKEKYHLNRNENLLLTEMKHAYYLGVYIPANICNNVLNTTIASKQQRQPVVGMQAKQTRSHDYDRSLLGVDYLVHRQQSQPTSEGLQIAYRYQILRSGATVTSHFRTQPAKLPIKLFFTTCQR >ORGLA11G0198600.1 pep scaffold:AGI1.1:Oglab11_unplaced016:2865:9739:-1 gene:ORGLA11G0198600 transcript:ORGLA11G0198600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVAGSKYRFGPHEIDERQVFRTSPLSFAIVNIRPTRPVHVLVCPKRLVKRFADLSPDETSDLWIMAKEIGARVEQYHRASSLTFTIQDGPHSGQTVPHVHVHIVPRRKEDFENNDNNNGMMNAKNETLDLDIERKDRTMEEMAQEAKEYRALFS >ORGLA11G0198500.1 pep scaffold:AGI1.1:Oglab11_unplaced015:34854:36167:-1 gene:ORGLA11G0198500 transcript:ORGLA11G0198500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPTPSSSSFLDFTGGVNGDDDDPSCPFEGLCCPDDPLDQVLNFDSSDFGHVFFESLDVELFLPRGGPSRGAGEEDSKGAVERVAFGSSAAVESELGGVGGGGAGSEVSVPGGAGGGRGEDMETEALDVKPVVGVGAGGAMGAHVAVGVGAPGAFPESKQLVPWPCAVGAGASAPGAAPDNCLLALPDVRFDALTAEGAAPGGERGKTIPDSVSKNGLPTLPGVRSATPTAPPATPFRLEWDHAAAPSSSATTTPSDSSLSSPPSLTSAFPRIARVFPSRTKPRRRCTLRRQHWSLICPLHLVPVAAAAAADDDDAAARGKSISELNASASASAAADAPSTNDRGGGSYHRRVVGRQRNRQVRKDRRCSHCGTSETPQWRMGPDGPGTLCNACGIRSKMDRLLPEYRPSTSPSFNGDEHSNRHRKVLKLREKKMRD >ORGLA11G0198400.1 pep scaffold:AGI1.1:Oglab11_unplaced015:30185:33498:-1 gene:ORGLA11G0198400 transcript:ORGLA11G0198400.1 gene_biotype:protein_coding transcript_biotype:protein_coding CMFLNRSRGTREETITTLQNHPTKISKHESKGLCPRNSLPCQTIFATSMQEHPRTMTREHDGDGEVVAAAVHVAAGRRGGRGDAGAEEDGDRNAVDKSEFSDAVRVVVDDEEPEFPSDDDEGGDDDVRVSFATAVGDSDEHLREEQGELDLDDDDEEDVSRYEYDYGMWMEAEPMSIQERRRRLLQGMGLASSRDLLRSRSARMRPILPPNIPRCASRRQPPSQCPAAAADDAPSTSTAATVKRQQNAVLTRCRSDSRLAVRGGGAARKPPTFRRVYSVPHSLHGSPVHKALRAAARSRSPLPLAAPKDERENTVRNLDDGKEFVVSGQPAAGGSRGALSDLKTGVQLSLDEFERFIGYTPFVKQLMRRSQSQPVAAGAANGDAKPGKKKPRWLKNIKLVASAAGLIQEKYKESNCGGGGCGRSSSSSSSSAEQAHQPGVTMSKSASTNAATMASSSSSLERPKVHSFGKTARELTGMYFRQEVRAHEGSIWSIKFSPDGRFLASGGEDRVVHVWRVVDDGAPPSSMSPELLSSSQSLPPLAPHGDGGLAAQLSRKLRARRWKSCKDVLPEHVVVPDTAFALADEPVCSLEGHLDDVLDLAWSMYSLLLLSSSMDKTVRLWDTEAKACLKLFPHNDYVTCVQFNPVDDGYFISGSLDSKVRIWSVAERQVVDWSDLDDMVTAACYTPDGQAAIVGSHKGSCRFYKTADCKLNQEAQIDMNISKKRKSHVKKITGFQFAPGNPSEILVTTADSQIRVFNGITVLQKFKGFKNTSSQISASYSGDGRYVVCASEDSNVYVWRRATSPGGAAGGGVAVKAKTWRTSRAYECFFCKDVSAAVPWPLSPCLPPTRGGGGDDEERASSSVRGAVVGGDASASRSPVRHLGSLPLRPKSGPMTYSGEKQLGVPREPSSRWHGGAEGGNAWGMVVVTASLAGEIRVYQNFGMPLSLFRKT >ORGLA11G0198300.1 pep scaffold:AGI1.1:Oglab11_unplaced015:19989:21952:-1 gene:ORGLA11G0198300 transcript:ORGLA11G0198300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77330) TAIR;Acc:AT1G77330] MAIPVIDFSKLDGDESEATLAELAAGFEEWGFFQLVNTGIPDDLLERVKKVCSDIYKLREDGFKESNPAVKALARLVDQEGEGLAMKKIEDMDWEDVFTLQDDLPWPSNPPSFKETMMEYRRELKKLAEKLLGVMEELLGLEEGHIRKAFTNDGDFEPFYGTKVSHYPPCPRPELVDGLRAHTDAGGLILLFQDDRFGGLQMIPNRGGDGRWIDVQPVENAIVVNTGDQIEVLSNGRFKSAWHRILATRDGNRRSIASFYNPARMANIAPAIPAAAADYPSFKFGDYMEVYVKQKFQAKEPRFAALANK >ORGLA11G0198200.1 pep scaffold:AGI1.1:Oglab11_unplaced015:17993:19279:1 gene:ORGLA11G0198200 transcript:ORGLA11G0198200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPKRYAIVLLTFMCTNVCYIERVGFSIAYTVAADAVGTNQANKGMILSMFYYGYVLSQIPGGWAAQRLGGRLVLLLSFVLWSSICAVVPLDPNRVILLVLSRLLVGVAQGLIFPSIHTVLAQWVPPQERSRSVSLTTSGMYLGAACGMLLLPSLVKNMGPQSVFSVEAMLGVAWLLIWFKFASDPPRTDLPKVASKDKMKVQTGGIMAPRTVKIPWARILFSLPIWAIVVNNFTFHYALYVLMNWLPTYFKLGLQLSLQDMGFSKMLPYLNMFLFSNIGGVLADHLITRKILSVTKTRKLLNTVGFVVSAIALMALPLFRTPSGAIFCSSVSLGFLALGRAGFAVNHMDVAPKFAGIVMGISNTAGTLAGIVGVGLTGRILEAAKASNMDLTSSESWRTVFFVPGYLCIFSSFIFLIFSTGEKIFE >ORGLA11G0198100.1 pep scaffold:AGI1.1:Oglab11_unplaced015:12967:13398:1 gene:ORGLA11G0198100 transcript:ORGLA11G0198100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQVVGPPPVPPPSAPPLPPERQLSGEFVDVELGNVSSTVVDVDRLRQLVTPSAPPLPPETMNPPPRRQLTGEFVDVELGNASLYTVQRRQPQTTAXSAXDDEAGLCCSVLCAMVIFLSIAILVLIFIGVIVVLIDKYVLGI >ORGLA11G0198000.1 pep scaffold:AGI1.1:Oglab11_unplaced015:5048:7082:1 gene:ORGLA11G0198000 transcript:ORGLA11G0198000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEEDKLMSREDEEEEIMAWFERTTRDAADVQRETLRRILAENAGVEYLRELGLAGLTDAGSFRARVPVVTHADLDPYIQRVADGDASPVLTAKPVTAISLSSGTTQGKRKRLLFNDDLLRSSIQFFHASCAFTNRAFPVEDGRVLQFMYGSRQETTKGGLTATTVMTNLLRSEEFTASMAARSRPRLPSCSPSEVVFSPDFDESLYCHLLCGLLLAGEVRAVSASFAHSIVVALQALERVWRELCADIRRGAASPARVTTPAVRRAVAPILAAPNPALADALERRCAALGDWSGVIPALWPNARYVQATMTGSMEHYVKKLRHYAGGVPLVSGNYASSEGVIGINAEQHAPPESVVFTVLPDAAYFEFIPLKPPCTDAADDDDNPAAAGSSCYVDADDANPVGLTDVVVGEHYEVVMTTFTGLYRYRLGDVVKVAGFHHATPKLRFVCRRSLILSINVDKNSEHDLQLAVDSAAKILAGDGENHKQLEIADYTSHADTSSDPGHYVVFWELNGGGEEDGGGVLQRCCDEMDRAFGADAGYAQSRKTCAIGALELRVLRRGAFQEVLRHYVAGGSSAGQFKMPRCVAPSNAGVLRVLKDNTINIFFSTAYDYD >ORGLA11G0197900.1 pep scaffold:AGI1.1:ADWL01022767.1:1552:3067:-1 gene:ORGLA11G0197900 transcript:ORGLA11G0197900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGGIPSELGNLKNLVKLSLSTNRLTGEIPPEIGKLVNLNLIDLRNNQLSGKVPNQIGQLKSLEILDFSSNQLSGAIPDDLGNCFKLQSLKMSNNSLNGSIPSTLGHLLSLQSMLDLSQNNLSGPIPSELGMLEMLMYVNLSHNQFTGAIPGSIASMQSLSVFDVSYNVLEGPIPRPLHNASAKWFVHNKGLCGELAGLSHCYLPPYHRKTRLKLIVEVSAPVFLAIISIVATVFLLSVCRKKLSQENNNVVKKNDIFSVWSFDGKMAFDDIISATDNFDEKHCIGEGAYGRVYKAELEDKQVFAVNKLHPDDEDTVHDEERFQIEIEMLAKIRHRSIVKLYGFCCHPRYRFLVCQYIERGNLASILNNEEVAIEFYWMRRTTLIRDVAQAITYLHDCQPPIIHRDITSGNILLDVDYRAYVSDFGIARILKPDSSNWSALAGTYGYWLYSTXIVLHLLSHREM >ORGLA11G0197800.1 pep scaffold:AGI1.1:ADWL01022766.1:3849:4250:1 gene:ORGLA11G0197800 transcript:ORGLA11G0197800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCERLNLEIRFASVAHPQSNGAAERANGKILEALKKRLEGVVKGKWPDEMLSVLWALRTTPTRPAKFSPFMLLYGDEAMMPAELGANSPRVMFSGGKDGREVSLELLEGVRVEALEHMRKYAAITLATYNRKV >ORGLA11G0197700.1 pep scaffold:AGI1.1:ADWL01022765.1:820:3359:1 gene:ORGLA11G0197700 transcript:ORGLA11G0197700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLLLLALPAAAGGLAADGQALLAFKAAVLRDPEGALADWDASTAADPCAWNGVSCGAGSGAGGADRRVVALSLPRKGLVGSLPASPLPASLRHLNLRSNRLFGELPAPLLSAAAGLQSVVLYGNELYGPIPPELGDLPYLQILDLSSNSLNGTLPPAILRCRRLRSLALGWNNLTGALPQGFARGLSALEHLDLSHNRFSGAVPEDIGNLSRLEGTVDLSHNQFSGQIPASLGRLPEKVYIDLTYNNLSGPIPQNGALENRGPTAFVGNPGLCGPPLKNPCSPDAMPSSNPFVPKDGGSGAPGAGKNKGLGKVAIVAVVLSDVVGILIIALVFFYCYWRAVSSKEKGNGGAAGSKGSRCGKDCGCFSRDESATPSEHTEQYDLVPLDQQVRFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTMAVRRLGEGGLQRFKEFQTEVEAIGKVRHPSIVTLRAYYWSYDEKLLIYDYIPNGSLSAAIHGKPGTMTFTPLPWDGRLKIMQGVAKGLSFLHEFSPKKYVHGDLRPNNVLLGSNMEPYISDFGLGRLANIAGGSPFTQSDHAGIEKAQSQQSDASVSPLVGKGSCYQAPEALKTLKPSQKWDVYSYGVILLEMITGRSPVVLLETMQMDLVQWVQFCIEEKKPSADVLDPSLARDSEREDEMIAALKVALACVQANPERRPSMRHVAETLDQLNGSG >ORGLA11G0197600.1 pep scaffold:AGI1.1:ADWL01022764.1:1037:3386:-1 gene:ORGLA11G0197600 transcript:ORGLA11G0197600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRTPNRPACSFACVLRIASVVLEVPKAWFAVVAEGTPEIIPKPSVVEFVEIRKVLTVGSNGIIRGVRIIFKKILLELEWNFQRINFFFLRLLRLG >ORGLA11G0197500.1 pep scaffold:AGI1.1:Oglab11_unplaced007:29150:34151:-1 gene:ORGLA11G0197500 transcript:ORGLA11G0197500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRPPSTPASKIERTPMSTPTPGGSTRVKEEKIFVTVRVRPLSKKELALKDQVAWECDDNQTILYKGPPQDRAAPTSYTFDKVFGPASQTDVVYEEGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVNDIYRHIENTPERDFIIKISAMEIYNEIVKDLLRPESTNLRLLDDPEKGTIVEKLEEEIAKDSQHLRHLISICEEQRQVGETALNDTSSRSHQIIRLTVESRLREVSGCVKSFVANLNFVDLAGSERAAQTHAVGARLKEGCHINRSLLTLTTVIRKLSSDKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPAQTHVEQSRNTLFFATCAKEVTNNAKVNMVVSDKQLVKHLQMEVARLEAELRTPDRASSSEIIIMERDRKIRQMEKEMEELKKQRDNAQLKLEELQKKMGDNQPGWNPFDSPQRTRKCLTYSGSLQPSNKMKIRSSIRQSATAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVECHKHGNQDAAETIAKLQAEIRGMQSVRSDRDVDMITDEGNGSDLKEEISRLHMQDNDIAKLEAKLENVQRSIDRLVMSLPNVGTQCNETTPKSNRAKKKKRMLLPLGVSNINRPNLIRAPCSPLSSSRPLEPEVENRAPEGDTVSHEGSERATPTKSEDTGDVSSRDETPRYRRSSSVNMKKMQKMFQNAAEENVRNIRAYVTELKERVAKLQYQKQLLVCQVLELESNEGKANDMEEDSEENAGSLQDGPDSWDRLFKEQMQHIIQLWDLCHVSIIHRTQFYLLFRGDRADQIYIEVEVRRLTWLQQHFAEVGDASPAAGDDSTISLASSIKALRNEREFLARRMGSRLTEEERERLFIKWQVPLEAKQRKLQLVNRLWTDPNDQAHIDESADIVARLVGFCEGGNISKEMFELNFAVPASRKPWLMGWQPISNMIREKTQLW >ORGLA11G0197400.1 pep scaffold:AGI1.1:Oglab11_unplaced007:23050:27347:1 gene:ORGLA11G0197400 transcript:ORGLA11G0197400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTAQTLKAIPLITKIRHERHKKHITERQKQGKTKQRERDAKELEQDIQMLPKKDTMLSTQKTKVVVKVSQQQTEENLMEE >ORGLA11G0197300.1 pep scaffold:AGI1.1:Oglab11_unplaced007:13152:22456:-1 gene:ORGLA11G0197300 transcript:ORGLA11G0197300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRRGRGRGRSGGRRCATAWXTSCWRRGTTSRRSSCCRSCRRTAATLTRSASAPSSPTPRCSRPTSSPARPPRRPVLIHRACWKRKXRQKKSWPSLIMISGXPEKIFPGXSLSYKSRKNLLLMAQMLPMLXQMKDLAIMTKGMPKFQHXVLLRIMNGKIXTVLSKNTCFWLXXXXXXXFIRVPDQDLDVWINSSACVPDALRRYYYQYLSSTTEAAEEKISILRENETLLKDNESLGAEKDALIKSREVANSQIAALRKSLEAAHKDIKEKEKTVQDLKQSLDVQRKELNDCRAEITSLKMHIEGTRSSKRLSSGDTDGLIPANSMEEIVVLSSEHDNLKGSESITSKLASEVSLAEGKKKDHENMESSLEGSPGPEAEVSCSTAENSGYGTSGEDKSGTNTCFEDLSVNGNLHGSGNSQGDSDSISVYLTDDKVHTEKVESPYKQKSSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIEKHPDSDVRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLTLLLPLFPNLDKYYKVEELMFQLVCDPSGAVVNVALKELVPAVVRWGDKLDQILRILLAHILASAQRCPPVSGVEGAIDSHLRVLGEQERWNIDVLLRMLTELLPFIHQKAISTCPFAADPSTGTMPESYFSKSCLKLYAAGDTEWSAFEWMHTECLPDLIKLACLLPAKEDNLRTVIRKYLLDVSGRYGIDYLEHVMLPVFLVAAGDIDSSDFTYFPLATQSRVRGLRPKTSIAEKLGIVCVLPLLLSGILGYPSKRQQLEEYLRKLLIQNTKDGSFSMHHTAEIIDAVRFLCMFEEHHVAVFNIVWEMVVISDANLKTNAAALLKALVPYISVKVASTHVLPALITLGSDQNLTVKYASIEAFGAVAQHFKNDMVVDKIRIQMDAFLEDGSHEATVSVIRALAVAVPHTTDRLREYILSTIFKLTSAPPTGNDIERRREIANVFCEALRAVDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEVIARERSGGKLESLGKVMGAHLGIASSMSSFFGESSLLVKKESGEQHDTAATTPSQPTPQTQQENTRFGRIMLGGFGDMLRGKAKGSDEPS >ORGLA11G0197200.1 pep scaffold:AGI1.1:Oglab11_unplaced007:8558:9899:-1 gene:ORGLA11G0197200 transcript:ORGLA11G0197200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAAAAAARRAAPPPLLPPVRLSRSPCYPHQVFLPLQPYPGHRPPALLTAYTNAGLPAAASRLFDEMPARDAVAWNARPGTAAYAFRGMATARFAPTAATLCTMALRPGCCVENSRSFMDAFLILGRIELNGIALTCALTACSATANLMYSMLVQCKAVRHGSTSQTILCNALIDMYAKCGRTMAARIVFDQMACRNVVSWSSMIDAYSCHGHGEAALGLFKRMEKVAPVVLPNEVTFLAVLSACGQSGLVDEGGAMLHLMKRQYGINPGPEHYACFIDLLGRAGQNDEAWYLYCSSITTRSELSGSICVAMLNACKANMDVLRGNKVALHLLEVDPENPGSHVLISNFHAVARQWSESDEPRRIILDKGSLGVQLIDFNSWY >ORGLA11G0197100.1 pep scaffold:AGI1.1:Oglab11_unplaced006:112484:113023:-1 gene:ORGLA11G0197100 transcript:ORGLA11G0197100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSNSSSTVDIVEAQSKYGFFGWNLWRQPIGFLVFLISSLAECERLPFDLPEAEEELVAGYQTEYSGIKYGLFYLVSYLNLLVSSLFVTVLYLGGWNLSIPYISFFGFFQMNKMVGILEMTMSIFITLTKAYLFLFISITIRWTLPRMRMDQLLNLGWKFLLPISLGNLLLTTSSQLVSL >ORGLA11G0197000.1 pep scaffold:AGI1.1:Oglab11_unplaced006:111847:112389:-1 gene:ORGLA11G0197000 transcript:ORGLA11G0197000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit I [Source:UniProtKB/TrEMBL;Acc:I1QKY4] MFPMVTGFMSYGQQTIRAARYIGQSFIITLSHTNRLPITIHYPYEKSITSERFRGRIHFEFDKCIACEVCVRVCPIDLPLVDWRFEKDIKRKQLLNYSIDFGVCIFCGNCVEYCPTNCLSMTEEYELSTYDRHELNYNQIALSRLPISIMGDYTIQTIRNSTQSKIDEEKSWNSRTITDY >ORGLA11G0196900.1 pep scaffold:AGI1.1:Oglab11_unplaced006:111074:111604:-1 gene:ORGLA11G0196900 transcript:ORGLA11G0196900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 6 [Source:UniProtKB/TrEMBL;Acc:I1PHU7] MDLPGPIHEILVLFGGFVLLLGGLGVVLLTNPTFSAFSLGLVLVCISLFYILLNSYFVAVAQLLIYVGAINVLIIFAVMFVNGSEWSKDKNFWTIGDGFTSLVCITIPFSLMTTIPDTSWYGILWTTRSNQIVEQGLINNVQQIGIHLATDFYLPFELISIILLVSLIGAITMARQ >ORGLA11G0196800.1 pep scaffold:AGI1.1:Oglab11_unplaced006:110559:110864:-1 gene:ORGLA11G0196800 transcript:ORGLA11G0196800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit K [Source:UniProtKB/TrEMBL;Acc:I1QWL4] MMFEHVLFLSVYLFSIGIYGLITSRNMVRALICLELILNSINLNLVTFSDLFDSRQLKGDIFAIFVIALAAAEAAIGLSILSSIHRNRKSTRINQSNFLNN >ORGLA11G0196700.1 pep scaffold:AGI1.1:Oglab11_unplaced006:109833:110078:-1 gene:ORGLA11G0196700 transcript:ORGLA11G0196700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I iron-sulfur center [Source:UniProtKB/TrEMBL;Acc:I1Q6F0] MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLGPETTRSMALSY >ORGLA11G0196600.1 pep scaffold:AGI1.1:Oglab11_unplaced006:108211:109713:-1 gene:ORGLA11G0196600 transcript:ORGLA11G0196600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase chain 4 [Source:UniProtKB/TrEMBL;Acc:I1Q6E9] MSSFPWLTILVVLPIFAGSLIFFLPHRGNKIVRWYTMSICLLEFLLMTYAFCYHFQLEDPLIQLKEDSKWIDVFNFHWRLGIDGLSLGSILLTGFMTTLATLAAWPVTRNSRLFYFLMLAMYSGQIGLFSSRDLLLFFIMWELELIPVYLLLSMWGGKRRLYSATKFILYTAGGSIFFLIGVLGMGLYGSNEPRLDLERLINQSYPATLEILFYFGFLIAYAVKLPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLIRINMELLPHAHYLFSPWLVIIGAMQIIYAASTSLGQRNFKKRIAYSSVSHMGFIIIGIGSITNIGLNGAILQILSHGFIGATLFFLAGTACDRMRLVYLEELGGVSIPMPKIFTMFSSFSMASLALPGMSGFVAELVVFFGLITSPKFLLMPKMLITFVMAIGMILTPIYLLSMLRQMFYGYKLFHVPNENFEDSGPRELFLLICIFLPVIGIGIYPDFVLSLSVDRVEALLSNYYPK >ORGLA11G0196500.1 pep scaffold:AGI1.1:Oglab11_unplaced006:107050:108015:1 gene:ORGLA11G0196500 transcript:ORGLA11G0196500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CcsA [Source:UniProtKB/TrEMBL;Acc:I1Q6E8] MLFATLEHILTHISFSTISIVITIHLITLLVRELGGLRDSSEKGMIATFFCITGFLVSRWASSGHFPLSNLYESLIFLSWALYILHMIPKIQNSKNDLSTITTPSTILTQGFATSGLLTEMHQSTILVPALQSQWLMMHVSMMLLSYATLLCGSLLSAALLMIRFRKNLDFFSKKKKNVLLKTFFFNEIEYFYAKRSALKSTFFPLFPNYYKYQLIERLDSWSYRVISLGFTLLTIGILCGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHSRTNPNWKGTKSAFVASIGFLIIWICYFGINLLGIGLHSYGSFTLPI >ORGLA11G0196400.1 pep scaffold:AGI1.1:Oglab11_unplaced006:106189:106341:1 gene:ORGLA11G0196400 transcript:ORGLA11G0196400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRIRKNLWKKKTYFSIVQSYSLAKSRSFSGVSEHPKPKGFSRQQTNK >ORGLA11G0196300.1 pep scaffold:AGI1.1:Oglab11_unplaced006:101955:102227:1 gene:ORGLA11G0196300 transcript:ORGLA11G0196300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S15 [Source:UniProtKB/TrEMBL;Acc:I1R2H1] MKKKGGRKIFGFMVKEEKEENWGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRQRLLAYLAKKNRVRYKKLISQLDIRER >ORGLA11G0196200.1 pep scaffold:AGI1.1:Oglab11_unplaced006:101343:101534:1 gene:ORGLA11G0196200 transcript:ORGLA11G0196200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Orf63 [Source:UniProtKB/TrEMBL;Acc:I1QWR2] MSFNSRTRNWKVTEGDPSFCNENYIKNSGQFRNQAKRLNTYAKKFIIGPPLIRRFNLYESLLV >ORGLA11G0196100.1 pep scaffold:AGI1.1:Oglab11_unplaced006:97082:97444:-1 gene:ORGLA11G0196100 transcript:ORGLA11G0196100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANLLAVFAPPPPLSLSGHLGALAGDPGCFPLDDEAYPPSSHWPTLTPVIFGSYLVFRVCLDLVPLAQPAPKQCFTPRCPVNCCASTHFGENQLALGSSGISPLTTTHPLILQHQSVRTSA >ORGLA11G0196000.1 pep scaffold:AGI1.1:Oglab11_unplaced006:95993:96533:1 gene:ORGLA11G0196000 transcript:ORGLA11G0196000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQLSTFCFEILRRVALWRAHSIYDFAFMDVDKILPFSSTLGWHSLNVNGEVQKRKGLRWIPRYPETRKGVASDEMLRGVENKHRSGDSQIGQPFELPAESMSRQETTWRTETS >ORGLA11G0195900.1 pep scaffold:AGI1.1:Oglab11_unplaced006:94372:94773:1 gene:ORGLA11G0195900 transcript:ORGLA11G0195900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSGRSGGGTTAPLFSRIHTSLISVWRAISRAQVEVRPQWENGAPNNASSQTKNYEITLSFWGDGGIVPFEPFFHAFPGGLEKAAINRTSLILPS >ORGLA11G0195800.1 pep scaffold:AGI1.1:Oglab11_unplaced006:91671:91889:-1 gene:ORGLA11G0195800 transcript:ORGLA11G0195800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILFSMFYSILVGEEPDSVFLKKEGKQNQVKMIWVAPSSCAKDLTISEGTGATFLFNFHSRVSICFHALF >ORGLA11G0195700.1 pep scaffold:AGI1.1:Oglab11_unplaced006:90160:90438:-1 gene:ORGLA11G0195700 transcript:ORGLA11G0195700.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDRKGDLSVDFSTINPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYRIIRGALDAVAVKNRQQGRSSAL >ORGLA11G0195600.1 pep scaffold:AGI1.1:Oglab11_unplaced006:89073:89543:-1 gene:ORGLA11G0195600 transcript:ORGLA11G0195600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:I1Q659] MSRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDAAKGGGGAIRKKEATHRMAEANRALAHFR >ORGLA11G0195500.1 pep scaffold:AGI1.1:Oglab11_unplaced006:86529:88773:-1 gene:ORGLA11G0195500 transcript:ORGLA11G0195500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit N [Source:UniProtKB/TrEMBL;Acc:I1Q4K3] MIWHVQNENFILDSTRIFMKAFHLLLFQGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTSLVISITALLFRWREEPIISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKRDLRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGLGFKLSPAPFHQWTPDVYEGSPTPVVAFLSVTSKVAASASATRILDIPFYFSSNEWHLLLEILAILSMILGNLLAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVSIGLLTSVLSIYYYLKIVKLLMTGRNQEITPYVRNYRRSPLRSNNSIELSMTVCVIASTIPGISMNPILAIAQDTLF >ORGLA11G0195400.1 pep scaffold:AGI1.1:Oglab11_unplaced006:85131:85880:1 gene:ORGLA11G0195400 transcript:ORGLA11G0195400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDETLLVFTLVVSSVSVFLFGILLFMVLISATRDFRERTKSKLVKIMIWAGIVVITFAIAVRIYPIFIFLLKERIKPLVEALYDKLPWIWEVSLSRYWDRLIDFLDRYLWACAQRIQTGIRKQKGEFVVTFSCRVKKRLYARAIEVGIHLSLLSNLFWILKTTLAVGYRLLWVLYYIISFEGFLGSFRLYLVYFGFYCLLFSGKWLRTSEDRGERQAQISGILLRGMLIECAFSVLCLEEDSNLHAL >ORGLA11G0195300.1 pep scaffold:AGI1.1:Oglab11_unplaced006:83817:84098:-1 gene:ORGLA11G0195300 transcript:ORGLA11G0195300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23 [Source:UniProtKB/TrEMBL;Acc:I1QWQ5] MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRMGPILGHTMHYRRMIITLQPGYSIPLLDREKN >ORGLA11G0195200.1 pep scaffold:AGI1.1:Oglab11_unplaced006:82420:82833:1 gene:ORGLA11G0195200 transcript:ORGLA11G0195200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFPSPPPWGWSTGFITTPLTTGRLPSQHLDPALPKLFWFTPTLPTCPTVAKQFWDTKRTSPDGNLKVADLPSFAISFATAPAALANCPPLPRVISMLCMAVPKGISVEVDSSFLSKNPFPNCTSFFQSIRLSRCI >ORGLA11G0195100.1 pep scaffold:AGI1.1:Oglab11_unplaced006:81771:81986:-1 gene:ORGLA11G0195100 transcript:ORGLA11G0195100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPAMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYESARKDTKSRR >ORGLA11G0195000.1 pep scaffold:AGI1.1:Oglab11_unplaced006:80583:81644:1 gene:ORGLA11G0195000 transcript:ORGLA11G0195000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II protein D1 [Source:UniProtKB/TrEMBL;Acc:I1PHW4] MTAILERRESTSLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAALEVPSLNG >ORGLA11G0194900.1 pep scaffold:AGI1.1:Oglab11_unplaced006:78430:80058:1 gene:ORGLA11G0194900 transcript:ORGLA11G0194900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maturase K [Source:UniProtKB/TrEMBL;Acc:I1R2F7] MQHPVLTILYYVSPFDKPRNISLSLIQVEIQMEKFEGYSEKLKFPRQYFVYPLLFQEYIYVFAHDYGLNGSELVEIIGSNNKKFSSLLVKRLMIRMYQQNFWINLVNHPNQDRLLDYNNFFYSEFYSQILSEGVAIVVEIPFSLREQSCPEEKEIPKFQNLRSIHSIFPFLEDKFLHLHYLAHIEIPYPIHLDILLQLLQYRIQDVPSLHLLRFFLNYYSNWNSFITSMKSIFILKKENKRLFRFLYNSYVSEYEFFLLFLRKQSSCLRLTSSGTFLERIIFSRKMEHFGLMYPAFFRKTIWFVMDPLMHYVRYQGKAILASKGTLLLKKKWKCYLVRLWQYSFSFWTQPQRIHLNQLENSCFDFLGYFSSVPINSLLVRNQMLENSFLIDTQMKKFDTKVPVTPLIGSLAKAQFCTGSGHPISKPIWTDLSDWDILDRFGRICRNLFHYHSGSSKKKTLYRLKYILRLSCARTLARKHKSTVRAFMQWLGSVFLEEFFTEEEQVFSLMFAKTTYFSFRGSHSERIWYLDILRINDLVNPLN >ORGLA11G0194800.1 pep scaffold:AGI1.1:Oglab11_unplaced006:76530:76745:1 gene:ORGLA11G0194800 transcript:ORGLA11G0194800.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVYRIVAIDVRSRREGRDLRKVGFYDPIKNQTCLNVPAILYFLEKGAQPTRTVSDILRKAEFFKEKERTLS >ORGLA11G0194700.1 pep scaffold:AGI1.1:Oglab11_unplaced006:71081:72502:-1 gene:ORGLA11G0194700 transcript:ORGLA11G0194700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP43 reaction center protein [Source:UniProtKB/TrEMBL;Acc:I1PHW8] MKILYSLRRFYHVETLFNGTFVLAGRDQETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVLDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVLKALYFGGIYDTWAPGGGDVRKITNLTLSPGVIFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGFICVFGGIWHILTKPFAWARRAFVWSGEAYLSYSLGALSVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFFFVGHLWHAGRARAAAAGFEKGIDRDLEPVLYMTPLN >ORGLA11G0194600.1 pep scaffold:AGI1.1:Oglab11_unplaced006:70286:70498:-1 gene:ORGLA11G0194600 transcript:ORGLA11G0194600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein Z [Source:UniProtKB/TrEMBL;Acc:I1Q6A0] MVTWRIRNMTIAFQLAVFALIVTSSVLVISVPLVFASPDGWSNNKNVVFSGTSLWIGLVFLVAILNSLIS >ORGLA11G0194500.1 pep scaffold:AGI1.1:Oglab11_unplaced006:68064:68333:1 gene:ORGLA11G0194500 transcript:ORGLA11G0194500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGYGKSNMPHPNRKRKGTDTQYDYWEELLVMVSGLYALFCVFLVLFIFFDSFKQESNKLELSGKEEKKKLNGENRLSRDIQNLLYIK >ORGLA11G0194400.1 pep scaffold:AGI1.1:Oglab11_unplaced006:67627:67839:1 gene:ORGLA11G0194400 transcript:ORGLA11G0194400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSIYVLFPLIGWGINEFAPFIYPYGWVLIFSDILLVCFGERLKQFLTGIPWKNLRVQNFAIFLKRIC >ORGLA11G0194300.1 pep scaffold:AGI1.1:Oglab11_unplaced006:59959:63186:-1 gene:ORGLA11G0194300 transcript:ORGLA11G0194300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:I1R2F1] MLRNGNEGMSTIPGFSQIQFEGFCRFINQGLAEELEKFPTIKDPDHEISFQLFAKGYQLLEPSIKERDAVYESLTYSSELYVSARLIFGFDVQKQTISIGNIPIMNSLGTFIINGIYRIVINQILLSPGIYYRSELDHKGISIYTGTIISDWGGRSELAIDKKERIWARVSRKQKISILVLSSAMGSNLKEILDNVSYPEIFLSFPNAKEKKRIESKEKAILEFYQQFACVGGDLVFSESLCEELQKKFFQQKCELGRIGRRNMNRRLNLDIPQNSTFLLPRDVLAATDHLIGMKFETGILDDDDMNHLKNKRIRSVADLLQDQFGLALGRLQHAVQKTIRRVFIRQSKPTPQTLVTPTSTSILLITTYETFFGTYPLSQVFDQTNPLTQTVHGRKVSCLGPGGLTGRTASFRSRDIHPSHYGRICPIDTSEGINVGLTGSLAIHARIDHWWGSVESPFYEISEKAKKKKERQVVYLSPNRDEYYMIAAGNSLSLNRGIQEEQVVPARYRQEFLTIAWEQIHVRSIFPFQYFSIGGSLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQTALDSRVSVIAEREGKIISTNSHKILLSSSGKTISIPLVTHRRSNKNTCMHQKPRVPRGKSIKKGQILAEGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTDTTSQGSAEKITKEIPHLEEHLLRNLDRNGVVKLGSWVETGDILVGKLTPQIASESSYIAEAGLLRAIFGLEVSTSKETSLKLPIGGRGRVIDVKWIQRDPLDIMVRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGTPVDMVFNPLGVPSRMNVGQIFESSLGLAGDLLKKHYRIAPFDERYEQEASRKLVFSELYEASKQTKNPWVFEPEYPGKSRIFDGRTGDPFEQPVLIGKSYILKLIHQVDEKIHGRSTGPYSLVTQQPVRGRAKQGGQRIGEMEVWALEGFGVAHILQEILTYKSDHLIARQEILNATIWGKRVPNHEDPPESFRVLVRELRSLALELNHFLVSQKNFQVNREEV >ORGLA11G0194200.1 pep scaffold:AGI1.1:Oglab11_unplaced006:57873:59921:-1 gene:ORGLA11G0194200 transcript:ORGLA11G0194200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit gamma [Source:UniProtKB/TrEMBL;Acc:I1QWH8] MIDQYKHQQLQIGLVSPQQIKAWANKTLPNGEVVGEVTRPSTFHYKTDKPEKDGLFCERIFGPIKSRICACGNSRASGAENEDERFCQKCGVEFVDSRIRRYQMGYIKLACPVTHVWYLKGLPSYIANLLDKPLKKLEGLVYGDFSFARPSAKKPTFLRLRGLFEDEISSCNHSISPFFSTPGFTTFRNREIATGAGAIREQLADLDLRIILENSSVEWKELEDEGYSGDEWEDRKRRIRKVFLIRRMQLAKHFIQTNVEPEWMVLCLLPVLPPELRPIVYRSGDKVVTSDINELYKRVIRRNNNLAYLLKRSELAPADLVMCQEKLVQEAVDTLLDSGSRGQPTRDGHNKVYKSLSDVIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHQCGLPLEIAIKLFQLFVIRDLITKRATSNVRIAKRKIWEKEPIVWEILQEVMRGHPVLLNRAPTLHRLGIQAFQPTLVEGRTICLHPLVCKGFNADFDGDQMAVHLPLSLEAQAEARLLMFSHMNLLSPAIGDPICVPTQDMLIGLYVLTIGNRRGICANRYNSCGNYPNQKVNYNNNNPKYTKDKESLFSSSYDALGAYRQKQICLDSPLWLRWKLDQRVIGLREVPIEVQYESLGTYREIYAHYLVVGNRKKEIRSIYIRTTLGHISFYREIEEAIQGFSQAYSYTI >ORGLA11G0194100.1 pep scaffold:AGI1.1:Oglab11_unplaced006:53132:57673:-1 gene:ORGLA11G0194100 transcript:ORGLA11G0194100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta'' [Source:UniProtKB/TrEMBL;Acc:I1R2E9] MAERANLVFQNKEIDGTAMKRLISRLIDHFGMGYTSHILDQIKTLGFHQATTTSISLGIEDLLTIPSKGWLVQDAEQQSFLLEKHYYYGAVHAVEKLRQSVEIWYATSEYLKHEMNSNFRITDPSNPVYLMSFSGARGNASQVHQLVGMRGLMADPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTADAGYLTRRLVEVVQHIIVRRRDCGTIQAISVSPQNGMTEKLFVQTLIGRVLANDIYIGSRCIATRNQDIGIGLVNRFITTFRAQPFRAQPIYIRTPFTCRSTSWICQLCYGRSSTHGDLVELGEAVGVIAGQSIGEPGTQLTLRTFHTGGVFTGGTADLVRSPSNGKIQFNGDLVHPTRTRHGQPAFLCYIDLHITIQSQDILHSVTIPSKSLILVQNDQYVESEQVIAEIRAGTSALHFKEKVQKHIYSESDGEMHWSTDVYHAPEYQYGNLRRLPKTSHLWILSVSMCRASIASFSLHKDQDQMNTYSFSVDGRYIFGLSMADDEVRHRLLDTFGKKDREILDYSTPDRIMSNGHWNFVYPSILQNNLDLLAKKRRNRFAIPLQYHQEQEKEPISCFGISIEIPFMGVLRRNTIVAYFDDPRYKKDKKGSGIVKFRYRTLEDEYRTREKDSENEYGSPENEYRTREEECKTLEDEYRTREEEYETLEDEYGIPENEYETLEDEYGILEDEYRTREEESEDEYGSPENKYRPREDKYGTLEEDSEDEHGTLEEDSEEDSEDEYGNPEEDSVLKKGVLIEHRGTKEFSLKYQKEVDRFFFILQELHILPRSSSLKVLDNSIIGVDTQLTKNTRSRLGGLVRVKRKKSHTELKIFSGDIHFPEEADKILGGSLIPLEREKKDSKESKKRENWVYVQWKKILKSKEKYFVLVRPAVAYEMNEGRNLATLFPQDLLQEEGNLQLRLVNFISHENSKLTQRIYHTNSQFVRTCLVLNWEQEEKEEARASLVEIRANGLIRDFLRIGLIKSTISYTRKRYDSRSAGLILHNRLDRTNTNSFYSKAKIQSLSQHQEAIGTLLNRNKEYQSLMVLSASNCSRIGFFKNSKNPNGVKESNPRIPIPKFLGLFRNFSGLLGTIAPSISNFSSSYYLLTYNQILLKKHLLLDNLKQNFKVLQGLKHSLINENQRTSNFDSNIMLDPFQLNWHFLPHDSWEETSAKIHLGQFICENVCLFKSHIKKSGQIFIVNIDSFVIRAAKPYLATTGATVHGHYGEILYKGDRLVTFIYEKARSSDITQGLPKVEQIFEARSIDSLSPNLERRIEDWNERIPRILGGPWGFLIGAELTIAQSRISLVNKIQKVYRSQGVQIHNRHIEIIIRQVTSKVRVSEDGMSNVFSPGELIGLLRAERAGRALDESIYYRAILLGITRVSLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGIIPVGTGFQKFVHRYPQDKNLYFEIQKKKLFASEMRDILFLHTELVSSDSDVTNNFYETSESPFTPFI >ORGLA11G0194000.1 pep scaffold:AGI1.1:Oglab11_unplaced006:52150:52860:-1 gene:ORGLA11G0194000 transcript:ORGLA11G0194000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S2 [Source:UniProtKB/TrEMBL;Acc:I1QKT9] MTRRYWNINLKEMIEAGVHFGHGIKKWNPKMAPYISAKRKGTHITNLARTTRFLSEACDLVFDAASQGKSFLIVGTKKRAADLVASAAIRARCHYVNKKWFSGMLTNWSITKTRLSQFRDLRAEEKMEKFHHLPKRDVAILKRKLSTLQRYLGGIKYMTRLPDIVIVLDQQKEYIALRECAILGIPTISLADTNCDPDLANISIPANDDTMTSIRLILNKLVFAICEGRSLYIRNH >ORGLA11G0193900.1 pep scaffold:AGI1.1:Oglab11_unplaced006:51156:51899:-1 gene:ORGLA11G0193900 transcript:ORGLA11G0193900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 A subunit [Source:UniProtKB/TrEMBL;Acc:I1QWH6] MNIIPCSIKTLKGLYDISGVEVGQHFYWQIGGFQIHAQVLITSWVVITILLGSVIIAVRNPQTIPTDGQNFFEYVLEFIRDLSKTQIGEEYGPWVPFIGTMFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALALLTSAAYFYAGLSKKGLSYFEKYIKPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >ORGLA11G0193800.1 pep scaffold:AGI1.1:Oglab11_unplaced006:50115:50360:-1 gene:ORGLA11G0193800 transcript:ORGLA11G0193800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 C subunit [Source:UniProtKB/TrEMBL;Acc:I1Q651] MNPLIAAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >ORGLA11G0193700.1 pep scaffold:AGI1.1:Oglab11_unplaced006:48280:49659:-1 gene:ORGLA11G0193700 transcript:ORGLA11G0193700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 B subunit [Source:UniProtKB/TrEMBL;Acc:I1QKU1] MKNVTHSFVFLAHWPSAGSFGLNTDILATNLINLTVVVGVLIYFGKGVLKDLLDNRKQRILSTIRNSEELRRGTIEQLEKARIRLQKVELEADEYRMNGYSEIEREKANLINATSISLEQLEKSKNETLYFEKQRAMNQVRQRVFQQAVQGALGTLNSCLNTELHFRTIRANISILGAMEWKS >ORGLA11G0193600.1 pep scaffold:AGI1.1:Oglab11_unplaced006:46665:48188:-1 gene:ORGLA11G0193600 transcript:ORGLA11G0193600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1Q643] MATLRVDEIHKILRERIEQYNRKVGIENIGRVVQVGDGIARIIGLGEIMSGELVEFAEGTRGIALNLESKNVGIVLMGDGLMIQEGSFVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEIVASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQKGQDVICVYVAIGQRASSVAQVVTTFHEEGAMEYTIVVAEMADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLNSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKSKLELAQFAELQAFAQFASALDKTSQNQLARGRRLRELLKQSQANPLPVEEQIATIYIGTRGYLDSLEIGQVKKFLDELRKHLKDTKPQFQEIISSSKTFTEEAEILLKEAIQEQLERFSLQEQT >ORGLA11G0193500.1 pep scaffold:AGI1.1:Oglab11_unplaced006:43421:45625:1 gene:ORGLA11G0193500 transcript:ORGLA11G0193500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A2 [Source:UniProtKB/TrEMBL;Acc:I1R2E3] MELRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWIQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGAAGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSTLSLIGGWLHLQPKWKPSLSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLDVLPYPQGLGPLLTGQWNLYAQNPDSSNHLFGTTQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLIAGHMYRTNFGIGHSIKDLLEAHTPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPSYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTTYGFDILLSSTSGPAFNAGRTLWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >ORGLA11G0193400.1 pep scaffold:AGI1.1:Oglab11_unplaced006:41143:43395:1 gene:ORGLA11G0193400 transcript:ORGLA11G0193400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A1 [Source:UniProtKB/TrEMBL;Acc:I1Q6B3] MMIRSPEPEVKIVVDRDPVKTSFEEWARPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTGDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGALIFASLMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQIHVSLPINQFLDAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYAEFLSFRGGLDPITGGLWLSDIAHHHLAIAILFLIAGHMYRTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSTTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWVQNLHAGAPSVTAPGATTSTSLTWGGGELVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGTISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIIQGRAVGVTHYLLGGIATTWAFFLARIIAVG >ORGLA11G0193300.1 pep scaffold:AGI1.1:Oglab11_unplaced006:38564:40542:1 gene:ORGLA11G0193300 transcript:ORGLA11G0193300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf3 [Source:UniProtKB/TrEMBL;Acc:I1QKU5] MPRSRINGNFIDKTFSIVANILLRIIPTTSGEKRAFTYYRDGMLAQSEGNYAEALQNYYEATRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYRGEQAILQGDSEIAEAWFDQAAEYWKQAIALTPGNYIEAQNWLKITKRFEFE >ORGLA11G0193200.1 pep scaffold:AGI1.1:Oglab11_unplaced006:36987:37592:1 gene:ORGLA11G0193200 transcript:ORGLA11G0193200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:I1Q1X7] MSRYRGPRFKKIRRLGALPGLTRKTPKSGSNLKKKFHSGKKEQYRIRLQEKQKLRFHYGLTERQLLRYVHIAGKAKSSTGQVLLQLLEMRLDNILFRLGMASTIPEARQLVNHRHILVNGRIVDIPSFRCKPRDIITTKDNQRSKRLVQNSIASSDPGKLPKHLTIDTLQYKGLVKKILDRKWVGLKINELLVVEYYSRQT >ORGLA11G0193100.1 pep scaffold:AGI1.1:Oglab11_unplaced006:33931:34410:1 gene:ORGLA11G0193100 transcript:ORGLA11G0193100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit C [Source:UniProtKB/TrEMBL;Acc:I1Q5K8] MQQGWLSNWLVKHEVVHRSLGFDHRGIETLQIKAEDWDSIAVILYVYGYNYLRSQCAYDVAPGGSLASVYHLTRIQYGIDNPEEVCIKVFAQKDNPRIPSVFWIWRSSDFQERESFDMVGISYDNHPRLKRILMPESWIGWPLRKDYITPNFYEIQDAH >ORGLA11G0193000.1 pep scaffold:AGI1.1:Oglab11_unplaced006:33156:33833:1 gene:ORGLA11G0193000 transcript:ORGLA11G0193000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit B [Source:UniProtKB/TrEMBL;Acc:I1Q5K7] MSLIEFPLLDQTSSNSVISTTLKDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDALTKLRKKISREIVEDRTLSQKKNRCFTTSHKLYVRRSTNTGTYEQELLYQSPSTLDISSETFFKSKSPVSSYKLVN >ORGLA11G0192900.1 pep scaffold:AGI1.1:Oglab11_unplaced006:32741:33103:1 gene:ORGLA11G0192900 transcript:ORGLA11G0192900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit A [Source:UniProtKB/TrEMBL;Acc:I1QKU8] MFLLHEYDIFWAFLIIASLIPILAFWISALLAPVREGPEKLSSYESGIEPMGGAWLQFRIRYYMFALVFVVFDVETVFLYPWAMSFDVLGISVFIEAFIFVLILVVGLVYAWRKGALEWS >ORGLA11G0192800.1 pep scaffold:AGI1.1:Oglab11_unplaced006:29444:30997:1 gene:ORGLA11G0192800 transcript:ORGLA11G0192800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGVINEKNLEESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGNGQIGVLPNHAPINTAVDMGPLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQQALEIAEANVSRAEGTKELVEAKVALRRARIRVEAVNWIPPSN >ORGLA11G0192700.1 pep scaffold:AGI1.1:Oglab11_unplaced006:26568:28022:-1 gene:ORGLA11G0192700 transcript:ORGLA11G0192700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCREGRMSPQTETKASVGFKAGVKDYKLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVVGEDNQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPPTYSKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRACYECLRGGLDFTKDDENVNSQPFMRWRDRFVFCAEAIYKSQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHAGTVVGKLEGEREMTLGFVDLLRDDFIEKDRARGIFFTQDWVSMPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNEGRDLAREGNEIIRSACKWSPELAAACEIWKAIKFEFEPVDKLDS >ORGLA11G0192600.1 pep scaffold:AGI1.1:Oglab11_unplaced006:25737:26138:-1 gene:ORGLA11G0192600 transcript:ORGLA11G0192600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDRVWKLDPFLRLSFKKEDILKLLTGTIVSQQVTRNARKKRELIFKMVELDDEVFYNLDEEVVLVYDSDQEREVFHFRLDCYRIWTHRRDVQKAPDDKNHTFAELHGAMGFNAVDVLFRIFLEQTSDPTIQ >ORGLA11G0192500.1 pep scaffold:AGI1.1:Oglab11_unplaced006:25285:25542:-1 gene:ORGLA11G0192500 transcript:ORGLA11G0192500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSLRGSMRSVVGKRICPLIEYAIFPPLPRIIVYASRRARMQRGNYSLIKKPKKVSTLRQYQSTKSPMYQSLQRIWWGPRVVE >ORGLA11G0192400.1 pep scaffold:AGI1.1:Oglab11_unplaced006:23851:24408:-1 gene:ORGLA11G0192400 transcript:ORGLA11G0192400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf4 [Source:UniProtKB/TrEMBL;Acc:I1Q1W9] MNWRSEHIWIELLKGSRKRGNFFWACILFLGSLGFLAVGASSYLGKNIISVLPSQQILFFPQGVVMSFYGIAGLFISAYLWCTILWNVGSGYDRFDRKEGVVCIFRWGFPGIKRRVFLRFLMRDIQSIRIQVKEGLFPRRILYMEIRGQGAIPLTRTDEKFFTPREIEQKAAELAYFLRIPMEVF >ORGLA11G0192300.1 pep scaffold:AGI1.1:Oglab11_unplaced006:21524:22486:-1 gene:ORGLA11G0192300 transcript:ORGLA11G0192300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome f [Source:UniProtKB/TrEMBL;Acc:I1PHZ3] MENRNTFSWVKEQMTRSISVSIMIYVITRTSISNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVLRIPYDMQLKQVLANGKKGGLNVGAVLILPEGFELAPPDRISPELKEKIGNLSFQSYRPNKKNILVIGPVPGKKYSEIVFPILSPDPAMKKDVHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATSTGVVRKILRKEKGGYEISIVDASDGRQVIDLIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFFASVILAQVFLVLKKKQFEKVQLYEMNF >ORGLA11G0192200.1 pep scaffold:AGI1.1:Oglab11_unplaced006:19373:19624:1 gene:ORGLA11G0192200 transcript:ORGLA11G0192200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b559 subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1QKV4] MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITDRFDSLEQLDEFSRSF >ORGLA11G0192100.1 pep scaffold:AGI1.1:Oglab11_unplaced006:16305:16505:-1 gene:ORGLA11G0192100 transcript:ORGLA11G0192100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L33 [Source:UniProtKB/TrEMBL;Acc:I1R2C9] MAKGKDVRIRVILQCVSCVRKGANEESAGISRYSTQKNRHNTPGQLELRKFCRYCRKHTIHAEIKK >ORGLA11G0192000.1 pep scaffold:AGI1.1:Oglab11_unplaced006:15559:16050:-1 gene:ORGLA11G0192000 transcript:ORGLA11G0192000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S18 [Source:UniProtKB/TrEMBL;Acc:I1Q6D5] MYTSKQPFHKSKQTFHKSKQTFRKSKQTFRKFKQPFRKPKQPFRRRPRIGPGDRIDYRNMSLINRFISEQGKILSRRINRLTLKQQRLITLAIKQARILSFLPFRNYENEKQFQAQSISIITGPRPRKNRHIPPLTQKFNSNRNLRNSNQTLRNNNRNLSSDC >ORGLA11G0191900.1 pep scaffold:AGI1.1:Oglab11_unplaced006:14977:15336:1 gene:ORGLA11G0191900 transcript:ORGLA11G0191900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L20 [Source:UniProtKB/TrEMBL;Acc:I1Q5I6] MTRVPRGYIARRRRAKMRSFASNFRGAHLRLNRMITQQVRRAFVSSHRDRVRQKRDFRRLWISRINAATRIHKVFDNYSKLIHNLYKKELILNRKILAQVAVLNPNNLYTISNKIKIIN >ORGLA11G0191800.1 pep scaffold:AGI1.1:Oglab11_unplaced006:13404:14054:1 gene:ORGLA11G0191800 transcript:ORGLA11G0191800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:I1Q6D3] MPIGVPKVPYRIPGDEEATWVDLYNVMYRERTLFLGQEIRCEVTNHITGLMVYLSIEDGISDIFLFINSPGGWLISGMAIFDTMQTVTPDIYTICLGIAASMASFILLGGEPTKRIAFPHARIMLHQPASAYYRARTPEFLLEVEELHKVREMITRVYALRTGKPFWVVSEDMERDVFMSADEAKAYGLVDIVGDEMLDEHCDTDPVWFPEMFKDW >ORGLA11G0191700.1 pep scaffold:AGI1.1:Oglab11_unplaced006:11366:12892:-1 gene:ORGLA11G0191700 transcript:ORGLA11G0191700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP47 reaction center protein [Source:UniProtKB/TrEMBL;Acc:I1QWF7] MGLPWYRVHTVVLNDPGRLLSVHIMHTALVSGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSISGGTVTNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQAVNPAWGAEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSDGLAENLSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLVDEEGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRSQLGEIFELDRATLKSDGVFRSSPRGWFTFGHATFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGTFQKVGDPTTRRQPV >ORGLA11G0191600.1 pep scaffold:AGI1.1:Oglab11_unplaced006:10589:10819:-1 gene:ORGLA11G0191600 transcript:ORGLA11G0191600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMATQTVEDSSRPGPRQTRVGNLLKPLNSEYGKVAPGWGTTPFMGVAMALFAVFLSIILEIYNSSVLLDGILMN >ORGLA11G0191500.1 pep scaffold:AGI1.1:Oglab11_unplaced006:9000:9698:-1 gene:ORGLA11G0191500 transcript:ORGLA11G0191500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6 [Source:UniProtKB/TrEMBL;Acc:I1PI01] MKFSYTVLGGGFGLVTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFSSVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFLMIRKQGISGPL >ORGLA11G0191400.1 pep scaffold:AGI1.1:Oglab11_unplaced006:7578:7997:-1 gene:ORGLA11G0191400 transcript:ORGLA11G0191400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPTGLLTVPFLENVNKFQNPFRRPVATTVFLIGTAVALWLGIGATLPIEKSLTLGLF >ORGLA11G0191300.1 pep scaffold:AGI1.1:Oglab11_unplaced006:5707:7358:1 gene:ORGLA11G0191300 transcript:ORGLA11G0191300.1 gene_biotype:protein_coding transcript_biotype:protein_coding KXKKSTRENVQIPNKKIQVKDIFYPETDPQGRVVFWSSAGTCGFKSSRKASPYAGQRTAVDAIRTVGLQRAEVMVKGAGSGRDAALRAIAKSEEVAGSTQTLQWKCVESRVDSKRLYYGRFILSPLRKGQADTVGIALRRALLGETEGTCITHAKFGSVPHEYSTIAGIEESVQEILLNLKEIVLRSNLYGVRTASICVKGPRYITAQDIILPPSVEIVDTAQPIANLTEPTDFRIELRIKRDRGYHTEVRKNTQDGSYPIDAVSMPVRNVNYSIFACGNGNAKYEILFLEIWTNGSLTPKEALYEASRNLIDLFLPFLHTEEEGTRFQENKNRFTSPLLSFQKRLTNLKKNKKRIPLNCIFIDQLELPSRTYNCLKRANIHTLLDLLSKTEEDLMRIDSFRMQDGKQIWDTLEKHLPMDLPKNKF >ORGLA11G0191200.1 pep scaffold:AGI1.1:Oglab11_unplaced006:3688:4059:1 gene:ORGLA11G0191200 transcript:ORGLA11G0191200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14 [Source:UniProtKB/TrEMBL;Acc:I1Q6C6] MIQPQTLLNVADNSGARKLMCIRVIGAASNQRYARIGDVIVAVIKDAVPQMPLERSEVIRAVIVRTCKEFKCEDGIIIRYDDNAAVIIDQKGNPKGTRVFGAIAEELRELNFTKIVSLAPEVL >ORGLA11G0191100.1 pep scaffold:AGI1.1:Oglab11_unplaced006:3168:3578:1 gene:ORGLA11G0191100 transcript:ORGLA11G0191100.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYNPKRTRFRKQHRGRMKGKSYRGNCICFGRYALQALEPTWITARQIEAGRRAMTRYARRGGKIWVRIFPDKPVTIRPTETRMGSGKGSPEYWVAVVKPGRILYEMGGVSETVARAAISIAASKMPIRSQFLRLEI >ORGLA11G0191000.1 pep scaffold:AGI1.1:Oglab11_unplaced006:529:1248:1 gene:ORGLA11G0191000 transcript:ORGLA11G0191000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3 [Source:UniProtKB/TrEMBL;Acc:I1QWE9] MGQKINPLGFRLGTTQNHHSFWFAQPKNYSEGLQEDKKIRNCIKNYIQKNRKKGSNRKIEADSSFEVITHNKKMDSGSSSEVITHIEIQKEIDTIHVIIHIGFPNLLKKKGAIEELEKDLQKEVNSVNQRLNIGIEKVKEPYRQPNILAEYIAFQLKNRVSFRKAMKKAIELTKKTDIKGVKVKIAGRLAGKEIARAECIKKGRLPLQTIRAKIDYCCYPIRTIYGVLGVKIWIFVDEE >ORGLA11G0190900.1 pep scaffold:AGI1.1:Oglab11_unplaced006:25:474:1 gene:ORGLA11G0190900 transcript:ORGLA11G0190900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22 [Source:UniProtKB/TrEMBL;Acc:D2SYG2] MTSFKLVKYTPRIKKKKSGLRKLARKVPTDRLLKFERVFKAQKRIHMSVFKVQRVLDEIRWRYYEETVMILNLMPYRASYPILKLVYSAAANATHYRDFDKANLFITKAEVSRSTIMNKFRPRARGRSSPIKKTMCHITIVLNIVKKSK >ORGLA11G0190800.1 pep scaffold:AGI1.1:ADWL01022744.1:4788:10721:-1 gene:ORGLA11G0190800 transcript:ORGLA11G0190800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDGLVVVSDPYLQRRFSQADLRALQAQYAALRDAAPSGRLRLRDLPAALSSAGVGAGKGGDAEKENSAPAAAQLTEEEWASVLKAVARADERPHQGVGFELFLRVYAEMQLRLKGAAAGKKAGGGGGGIARSSSSSAAAFLTAATTTLLHTISESEKASYVGHINAYLAEDPFLNTALPIDPATDHLFHLTKDGVLLCKLINLAVPGTIDERAINTKKLLNLWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLILGLISQIIKIQLLADVNLKSTPQLVELVEDSKEMEELMSLSPEKILLRWMNFQLKKGGFQRTVTNFSSDIKDSEAYACLLNVLAPECSAKPSPMSVKDLLHRARLVLEHADRMGCKRYLTPKDIVDGLPNLNLAFVAHIFQKRNGLSKQMKQVSFVDGLSDDAQVSREERSFRLWINSLGISTYINNVFEDLRNGWVLLEVIDKIAPGSVNWKMANRPPIKLPFRKVENCNQVLKIGKELKFSLVNIAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLRFHSNGKEITDNDILIWANKKVKDSGKHSRMESFKDRSLSSGIFFLNLLGAVEPRVVNWSLVTKGEKDEEKQMNASYIISVARKLGCSIFLLPEDILEVNQKMMLTLTASIMYWHLKKPTSFSLDTENGSSCETSSISTSDDSASESSFDDSGAR >ORGLA10G0169100.1 pep scaffold:AGI1.1:Oglab10_unplaced098:8451:19606:1 gene:ORGLA10G0169100 transcript:ORGLA10G0169100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSAEWMRERVDKLKEEVCTLFTPSKDMLRRMYLVDEIVHLGIDHLFEKEIETALKDIHESEFASSNLYEVALRFRLLRERGFWVSPDVFQKFKGDDGNFLNELADDPRGLLSLYNAAHLFIHADQVKRALRLPLPRTLKRVEMLHYMFEYDQDNGHNPVLELAKMDFNLLQQVHLKELKEISRWWKDVSGYMGLNHIRDRVVECYTWAYAVYHEEDLAIARMIFAKLIVLSAILDDTYDVYAFTSIEECRTLNAAIQGWDDTAISLVPEYLRKFYEIMLSTFREFEDQMPSNKRYLVAFNKAEFQKVSSYYMEAAEWSHRNYKPSFSEQVALATETTGVRSLAAGVMLGMSDAATTREAFEWLGSQNKADMPCAVETYINEHKVTVDVAIAKINELMEDEWKTTNRARIDNQAVLPVAQRLINLTMAIPLFYGYDSDGFTFGEQLREILENLYVKPMPI >ORGLA10G0169000.1 pep scaffold:AGI1.1:Oglab10_unplaced097:12820:12903:1 gene:ORGLA10G0169000 transcript:ORGLA10G0169000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMKMISPINADEDVGGGKDNDTNQR >ORGLA10G0168900.1 pep scaffold:AGI1.1:ADWL01020798.1:2230:2463:1 gene:ORGLA10G0168900 transcript:ORGLA10G0168900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEARWGDAMAAEVREDEEKWQRLRTRHAMAAGLRGGCKGQRWLGWRTRSRKCREGARDGGGLVTSFPRPQAQPPL >ORGLA10G0168800.1 pep scaffold:AGI1.1:Oglab10_unplaced094:4476:5324:1 gene:ORGLA10G0168800 transcript:ORGLA10G0168800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMNMISAIKADEDVGGGKDNDTNQSNGGDVRADEVEVLVMMSVTIIHQTVEKKSMLK >ORGLA10G0168700.1 pep scaffold:AGI1.1:Oglab10_unplaced093:14230:18326:1 gene:ORGLA10G0168700 transcript:ORGLA10G0168700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGAAAAAAAAPRVNPSPSPHRRRASSALSPSKSANSNANANADAARGGGGGGKPKGKAVPSRYLLAPSSKSTSTSSSSTTTTTNSSATSNSTSTSASTPSRRFASPLPRRSSSVDRPRPTSNAAAAGGDALGPNGATTTTTRSLSVAFQGRAYFLETSKAKPATSPSPVRRPVAAASTTPERRRPSMGTVPERGKVFEGGHSQQRWPMSARAAHGFEGNPLTKSLDCSLDKRGAAVLAAVRSLRQSMVFEEGVRRASFDSGDYLMSSDTESVSSGSNSGSQDAGMGRARSSPKGMSVPARFLQDAAASRPNRLADPSTPFMTHSSGFASSPRTAPVKKSLLNGFVLSPLNRPIRQPSPSKLVGSRRMSSPSRPRGSVGVSASYGDQHGRSSSGYGLDSQVKRRWLGCSKVDCEHLLRILCNRHLQWRCVNAQADAALAAQKMTAEKYLSDAWITTLGMRKSVALKRFQLQLFRNNWKLMTVLKGQMDFLEEWSFLERDHANSLSGIVEALTATILCLPVTDGAKADIQDVKNAVGSAVDIMQTIGSSICTLLAKLSGTSILVSDLAKIATQERTLMDQSRELLSTLASMHVKYCSLQGQRVQTTTHRRRVRS >ORGLA10G0168600.1 pep scaffold:AGI1.1:Oglab10_unplaced093:3080:3991:-1 gene:ORGLA10G0168600 transcript:ORGLA10G0168600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRKLARVDIAELKQRLVKRLGRQRAGQYFAHLTRLLNLKLTKVEFDKLCYATIGRENIALHNALIRGIISNALSGVPPPSRQAVTGQSGTTTAPSGQCVGIALPSARNVGAVVDSGDGDFARERAVAGKVLSVEDGEEVEQVRSAPCVQSRSPITAPLGISTTPSYGARTRRLDDPMVSCYDSHHLLDTGSLFKGLQRRLESDGIGVSVQGVEVLNRGLDEFLRRLIKPCMELSRSRSSGRRVTKGNAMFAARMNGLQQANHDHCTTLQDFAVAMESDPHLLGTNWPTQLEKIQATSFGE >ORGLA10G0168500.1 pep scaffold:AGI1.1:ADWL01020790.1:7989:9385:-1 gene:ORGLA10G0168500 transcript:ORGLA10G0168500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDITSLPTKRVAVVTGGNKGIGLEVCRQLAADGITVVLTARDETRGVEAAEKLSGMGLSSVVFHQLEVTDSSSVARLADFLKTRFGKLDILVNNAAVGGMEYVQGVDTNKEQFVSMDKKQRLAWLNKQGRETYDAAKNGVQTNYYGTKIVIQALLPLLLQSSGEGRIVNVSSDFGLLRVVNNEDLRKELDDVDNLTEERLDEVLDSFLKDFEAGALEAHGWPTAFAAYKTAKVAMNAYTRILARRHPELRVNCAHPGYVKTDMTIDSGFLTPEEGGRNVVTVALLPDGGPTGAFFAEGKEASFLG >ORGLA10G0168400.1 pep scaffold:AGI1.1:ADWL01020790.1:2986:3294:1 gene:ORGLA10G0168400 transcript:ORGLA10G0168400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPRHCAAVESHLQTDVVSAHHSDRIDRLAMPPTSHQAQIAHRHAPSIPHPSCRRRPAIAPPSIPASRLTAPLAPARILRRRQDSSPLAPFCTAATGALSTTT >ORGLA10G0168300.1 pep scaffold:AGI1.1:ADWL01020790.1:516:1929:1 gene:ORGLA10G0168300 transcript:ORGLA10G0168300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDISSLPTKSARVAVVTGGNKGIGLEVCRQLAADGITVVLTARDETRGVEAAEKLRGMGLSCVIFHHLEVTDSSSVSRLADFLTTRFGKLEILVNNAAVSGMEHAQRVDTNEEQFVGMDKQQRLEWLNKQGRETYDAAKNGVQTNYYGTKLVIQTLLPLLLQSSGEGRIVNVSSDAGLLRWLVNNEDLRKELDDVDNLTEERLDEVLDSFLKDFEAGALEAHGWPTAPFVAYKMAKVAMNAYTRILARRHPELRVNCVHPGYVKTDLTINSGFLTPEEGGRNVVTVALLPDGGPTGAYFDEGREASFLE >ORGLA10G0168200.1 pep scaffold:AGI1.1:Oglab10_unplaced091:37854:38663:1 gene:ORGLA10G0168200 transcript:ORGLA10G0168200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSMEALHAAVLKEEQQQHEVEEATVVTSSSATSGEEGGHLPQGWAKRKRSRRQRSEEENLALCLLMLARGGHHRVQAPPPLSASAPPPAGAEFKCSVCGKSFSSYQALGGHKTSHRVKLPTPPAAPVLAPAPVAALLPSAEDREPATSSTAASSDGMTNRVHRCSICQKEFPTGQALGGHKRKHYDGGVGAGAGASSTELLATVAAESEVGSSGNGQSATRAFDLNLPAVPEFVWRPCSKGKKMWDDEEEVQSPLAFKKPRLLTA >ORGLA10G0168100.1 pep scaffold:AGI1.1:Oglab10_unplaced091:32419:35349:-1 gene:ORGLA10G0168100 transcript:ORGLA10G0168100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDWIWERRRREEEYNHQMSQDELQQPGQVQWTPAPEEKSEIAVQFFTAPYPCQNGQLDHGEHHALGGIGACSSVHWQPDRATCYWPPPLSGDGGGGSGSGSSGTGEGGYIGERCYYVGEPDVPIGLNLLVGDNDGAGVVLRDAAPQAKRRTQAGHGGDLGRQKKKARVSDKRNQESMQSGSCSDNESNCSQVNRRKVDRVAGGGNGKVPARRRSATIAQSLYARRRRERINGRLRILQKLVPNGTKVDISTMLEEAVHYVKFLQLQIKVEVQIVCHDQMLSSDELWMYAPIVYNGMDLGIDLNISPPR >ORGLA10G0168000.1 pep scaffold:AGI1.1:Oglab10_unplaced091:24603:31091:1 gene:ORGLA10G0168000 transcript:ORGLA10G0168000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWKRSVSPSPSPSSSSASASTPASPARASTSRVGGGGGGGVPSRRRDVVGFGWGGGSDPQPRLTRQRRLRHVDDIEVGVSALGLDSSPSPAAPSSCPSSRDSVGFGLLTASSTPISRTASNMEVAPPRSSSSPVLLPHPLPLPDEGDSPCRGSGRPLPSPKLFEGDCNGSAVESNLLGVSEIGSDRASLFPRVMAKTVQKNPEHGDLRSNGTNGITCGQRRKAFKEKLQDKSSAETLTFRLNIPAKSAPSSGFSSPVQSPRRLSSVDFLSTATSTQGANLSSAQSVWSPDLYGSSPRCASPEKIMGSQERSPRSSPLRSPVLRSKNPSAPPSPMHPKLFLENHVSRPEGNGSVNFHPLPLPPASVSPKQTNFSHQPVPKVDAPSMAGQWQKGKLIGSGTFGCVYEAANRHTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSEYIEDRFYIYLEYVHPGSINKYVNQHCGAMTESVIRSFTRHILKGLAFLHSQKIMHRDIKGANLLVDVNGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVQATLVKDVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLHKDPSIPDSLSPEGKEFLRCCFRRNPAERPTASKLLEHPFVHNSNNFNQHSALHSPTGLKSTDTGHNARDKKSCKIVSCMRGKNMITTGETSSARSPGSLSNRVAVGLTALPNLETRSLSPTPMSLRSSPGSAAHTPSMHFSIAYHQPSPLPRPNGKEAINLFALKHDELPT >ORGLA10G0167900.1 pep scaffold:AGI1.1:Oglab10_unplaced091:14555:20999:-1 gene:ORGLA10G0167900 transcript:ORGLA10G0167900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXSELVERLREVLRSSDLNTTTTAILRRRLEEDFGVDLSDKKLFIREQVDLLLSEVAGKAEQEEAEVPKEEEPETGAEAAGGEPGGAEGEGEEEEEEEEEEEEEEEEEEEDSSGSRKKRRSDGANTDGKRKGGGFTKLCSISPTLQEFVGASELARTEVVKKLWAYIRENNLQDPSNKRKILCDERLKKIFNVNSIDMFQMNKALTKHIWPLNSDGPVTSASPERSTPKEKPQKRERNEGKKQKGGSSGSGSGFLVPLQLSDDLVKFIGTGESMLSRSDVVKRMWDYIKENKLQDPSDRRKIICDEKLKDLLQVESFNGFTVSKLLAPHFTKTK >ORGLA10G0167800.1 pep scaffold:AGI1.1:Oglab10_unplaced091:2546:4051:-1 gene:ORGLA10G0167800 transcript:ORGLA10G0167800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGMGLDLSLDLKMFAARSAVRMAAAAAKEATGVEACIRSLEEERRKIEMFRRELPLCARLLADVIELMKEEAGKRRKDGDDAEAKAEDGDKTKWMSTAQLWVDSRGSDADSENDRRSGSTSPASRLLGGAEESSSRAVAPPPYFRREERVVLRPAMPLLPPASHRSPPPAAAAAATAAGDDHRHVVASSFATAVPSPVPAALSLQAQAQQQQQQARKSRRCWSPELHRQFVAALQQLGGPQVATPKQIREVMKVDGLTNDEVKSHLQKYRLHNRKSPGTASASHSIVLVGDLWASQEVSCSQSGSPQGPLQLSGSGVAVSAATAGDSCCEDDDKSEGYVRK >ORGLA10G0167700.1 pep scaffold:AGI1.1:Oglab10_unplaced090:78:4767:1 gene:ORGLA10G0167700 transcript:ORGLA10G0167700.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGRCRRRRRLEDGIIRHNRRCRDLAFLVLFAAFWVAMIVNSSFGFNQGNPLRLTYELDYKGNICGSRHGDPDLHELDVRYWMNPNQVYQSGVKDNKINLADAKAICLMECPMPAADGLNFVCDYPEGDIRLSVDDWIDRDYDYFEFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGINIDENILIDKTIHKAINSKSSVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLLIRYFVVAMPWITVVVFNALVISVTMFFYIKAGWLGNDPLTVVIGESDPYVHITGREISHLHAATVVMTVVMIIAFLTSIAIIRRILIATPVLKVAAKVIGEVQALIIFPVVPYFILAIFYMFWFSATLHLFSSGQVLQNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEISHDIPFVTVVSSMKRLLRYSLGSVAIGSLVVSAVEWVRFILECLRRKLKLVDSARESCFGKMTSSSSQCCLGCIDWTLKSVNRNAYIMIAITGKGFFKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLVPVVVSWALGFIVAKLFFQVVEMSIDTIILSFCQDAEEHQGNAQYAPPLLMETLDEQSELQRLTQGP >ORGLA10G0167600.1 pep scaffold:AGI1.1:ADWL01020782.1:3654:4058:-1 gene:ORGLA10G0167600 transcript:ORGLA10G0167600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPPSSDDDPLVPQFGRQIDSGVVAAAGGNLVALYAGPYRPASSSMGCYLVYDAAARSSSPPLSTVPGVPYSDSHSSPGRATVIAPAATGGGFMDGHSVLPPSTAEITITTWTLDLQDDHSTSTPNWTNREA >ORGLA10G0167500.1 pep scaffold:AGI1.1:ADWL01020782.1:3:2392:1 gene:ORGLA10G0167500 transcript:ORGLA10G0167500.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAAAIAFQYGNPDALCSPIVEAKKNGTDLVETFARYVKDYYIGTFGASVASYDQEYLKNTTPPPAESAYRLWWYQVCSEVAYFQVAPKNDSVRSAKIDTRYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAGSKIVFANGSQDPWRHASKQKSSKELPSYLIECSNCGHCSDLSGCPQAPSHIEGDSSNCSSPEAVNKVRKQIVDHIDLWLSECQEQGHDNEPLLGSRWSIATY >ORGLA10G0167400.1 pep scaffold:AGI1.1:ADWL01020779.1:309:3340:1 gene:ORGLA10G0167400 transcript:ORGLA10G0167400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQASKLREQVARQQQAVMKQFGGGYGADGAFADEAEAQQHSKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLCEDGKKYGAENTCTSGSTLSKAALCFAKARSLMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQMKLRETSGNGDMISRLEAAESKLQELKSNMGVLGKEAVASMTAVEAQQQRLTLQRLIAMVESERSYHQRVLQILDQLEREMVSERQRIEGAPPPAVESSMPPPPSYEEINGVFMRNPTVAELVETVEFFLAEAIQSYRAESETELNLAAGDYIVVRKVSNNGWAEGERRGKAGWFPYDYIEKRDRVLASKVAQVF >ORGLA10G0167300.1 pep scaffold:AGI1.1:ADWL01020778.1:519:2766:1 gene:ORGLA10G0167300 transcript:ORGLA10G0167300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRKYGLPNQPPDISQILLEAQNRWLRPTEICHILSNYKKFSIAPEPPNRPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEVK >ORGLA10G0167200.1 pep scaffold:AGI1.1:Oglab10_unplaced084:130065:130352:1 gene:ORGLA10G0167200 transcript:ORGLA10G0167200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLLGPSLRPAPPSGWGRRCCRCSVRGAPDLSAGRRGGGGVVAAACRRWEERRGRGVGGRRRAPPRRPSAGAALAAALCRRVSKQEFGNNKRG >ORGLA10G0167100.1 pep scaffold:AGI1.1:Oglab10_unplaced084:122120:122623:-1 gene:ORGLA10G0167100 transcript:ORGLA10G0167100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVNDAISAEARACLVALLTISVQGVSAVEIETDSAILALAIKSSSHDLATGATIFTEIKTLLQFQFASFEVSFAPRSCNKVAHELAHLGTVYNEGLAANKDGLYIYT >ORGLA10G0167000.1 pep scaffold:AGI1.1:Oglab10_unplaced084:118678:121928:1 gene:ORGLA10G0167000 transcript:ORGLA10G0167000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESQTSKLSCEHMYSWYFTREELEKFSPSRKDGITEIMESEIRQLYCSFIRDVGIRLKLPQMTIATAIMFCHRFYLYQSLAKNGWQTIATVCIFLASKVEDTPCPLDQVIRVAYGTMYRRDPATARRIHQKDVFEKQKALILTGERLVLTTVRFDFNIQHPYRPLLDAMEKLGISQKEVKQVAWNFVNDWLKTTLCLQYKPQYIAAGSLYLAAKFQNVKLPVHGGHVWWHQFDVAPKPLEAVLQQMREMVHMKAKLFAHPSPAKQKEVRFEGMLLISNSPDSVLTQSSLSVSSSSPEIGDPNDHLQVDSSQDSVHIEDRSKSYPERNLSNLTADMNNPGKTHNKESLDQALKIKHGGLISCNQQIPLDAIAKIDSSTAKCVEQNIGICCSSSNTFNGKILKPFSISQRSGDKTKLCSEGGSSLTDVDSKSTQSVEPPTTICNHTSDSLNVDSLCSDQRLANSTAGATEKASFVLPVQIKVDHLCVERKKVDVARIKDLLMKRKRRRERQGRCIPSVDLSEEAWIERELESGIVFKKVDHVVASYDLSDEGWIERELESGIVIGQKNDQPVSLDGLTEDDWIERELESGIIVEPGPAGKKLKSKLLSEGHEIMNSRWEINGKSMQNQVT >ORGLA10G0166900.1 pep scaffold:AGI1.1:Oglab10_unplaced084:84683:94018:-1 gene:ORGLA10G0166900 transcript:ORGLA10G0166900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLITLIRDYIDRMLHDIPGMKVLVLDPDTVGMVSVVYSQSDLLRKEVFLVETVDNASSSRESMAHLKAVYFLRPSSDNVQKLRRHLAAPRFAEYHLFFSNVLKIPQIQVLADSDEQEVVQQVQEFYADFCAIDPYHFTLNIRNNHVYMLPMVVDPPGMQSFCDRAVDGIASVFLALKRRPVIRYQRTSDVAKRIAQETARLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLREYPNVPKDQKEVVLSSVQDEFFRANMFENFGDLGMNIKRMVDDFQHLSKTSQNIQSISDMSKFLSNYPEYRKTHGNVTKHVALVSEMSRIVEERKIMLISQTEQELACTSGQAAAFEAVTSLLNNESVSDIDRLRLVLLYALRYEKESPVQLMQLFNKLASRSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGIENVYTQHQPLLFQTMEGIVKARLRDADYPLVGNHFQQNRPQDVVLFIVGGTTYEEARSVALYNAANPGVRFFLGGSVVLNSKRFLDDLGEAQRISKSSSLV >ORGLA10G0166800.1 pep scaffold:AGI1.1:Oglab10_unplaced084:79382:81200:-1 gene:ORGLA10G0166800 transcript:ORGLA10G0166800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSRRPRTAPSLASSALPLVVSASAAAPSTRPAELLPWWVASSPQLLHPLLRKRLGGSTLLVAHGVSLVSVRSSRLMWIGFDLLYGRERRGGE >ORGLA10G0166700.1 pep scaffold:AGI1.1:Oglab10_unplaced084:72455:73063:1 gene:ORGLA10G0166700 transcript:ORGLA10G0166700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANWPRAAGSGWRSRSASAATWRRRASGGGEVWENGSSRATANWPRAAGSREWVAGQIGVGSDLATEGFRRRGGVGARVTAHDGELVACSGEWVVEQISVGGDLATEGFRRRGGTRFGEAMEGVRRDRRRRRRRGKGAMTMVRRRRGAMGDTARADEGGEIGAIHGNHEIDGGIARRSRVDVGRSAEGEGSKLHTIWTVGL >ORGLA10G0166600.1 pep scaffold:AGI1.1:Oglab10_unplaced084:55916:57592:-1 gene:ORGLA10G0166600 transcript:ORGLA10G0166600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSFFASKKPSRSSNPFDSDSDDGGREQRPARASSVPPPADQRGSLFGGGDGFSASSAAARSRYRNDFRDTGGVEAQSVQELEGYAAYKAEETTQRVQGCVRIAEEMRDTASKSLVTIHQQGQQITRTHMMTLDIDQDLSRSEKLLGDLGGIFSKKWKPKKNGEIRGPMLTRDDSFIRKGSHLEQRHKLGLSDHPPQSNARQFHSEPTSALQKVEMEKAKQDDGLSDLSNILTELKGMAVDMGTEIDRQTKALGDSEKDYDELNFRIKGANTRARRLLGK >ORGLA10G0166500.1 pep scaffold:AGI1.1:Oglab10_unplaced084:50828:51848:1 gene:ORGLA10G0166500 transcript:ORGLA10G0166500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNAILRCDETTSRIKEATLTRTKTDRIVKVIMMMTVENGEIGTTMVEVNDEITMIDDGRSRILQPPVEIVNKDADPDDALRRKDKGKTGEEKKTMNKEAPESSKKRSRKSGKRKATKEVLEHIPN >ORGLA10G0166400.1 pep scaffold:AGI1.1:Oglab10_unplaced084:34282:34523:1 gene:ORGLA10G0166400 transcript:ORGLA10G0166400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINTRPPEATSYKPTRYESAISDLAGHGSEEEDYPVVDYESDLQTDMSTTVR >ORGLA10G0166300.1 pep scaffold:AGI1.1:Oglab10_unplaced084:30364:30943:1 gene:ORGLA10G0166300 transcript:ORGLA10G0166300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASSSSTAAHKNYMIHHYHLVQDSCFMQDTEGVEEEVRGVCKAVLFVYVHNKNEIKGSSSGATGKLAAHTHFIEHILSVLDFLNVLYCDQTNKVFNWHTVFSFGLK >ORGLA10G0166200.1 pep scaffold:AGI1.1:Oglab10_unplaced084:20278:25702:-1 gene:ORGLA10G0166200 transcript:ORGLA10G0166200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTFATHPAAAAAARRRGPIRWRLPFCSQIVTVTLRRRFPMARLSITNALASQSLESAPAAPPKHSFPILVNSCTGKMGKAVAEAAVSAGLQLVPVSFSAIEVPDGKVEICDREIYIRDPSEGESILPSIAKDYPDMIVVDYTVPDAVNANAELYCKLGLPFVMGTTGGNRQLLHKTVEDANVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYKLEVMESHQATKLDISGTAKAVISCFQKLGVSFNLNEVKQVRDPQEQLTLVGVPEEHLSGHAFHMYHLTSPDETVSFEFQHNVCGRSIYAEGTVDAALFLHKKIQSGANKKLYDMIDVLREGNMR >ORGLA10G0166100.1 pep scaffold:AGI1.1:Oglab10_unplaced084:6725:6827:-1 gene:ORGLA10G0166100 transcript:ORGLA10G0166100.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAAKITELEKRIKALEKDKGDLTKQRDSALKDVE >ORGLA10G0166000.1 pep scaffold:AGI1.1:Oglab10_unplaced083:3:2864:-1 gene:ORGLA10G0166000 transcript:ORGLA10G0166000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAKALLLSTSVLLVLCLLPVDPAAPPVAAIPHRRSGRHYVPFGRHAAFGPFATEVELLLHGGGAVPDIRTFRDTLDRLPDWSHFDAELGPLERYFGSDGELNVKERLLYLFPMLDRAPKDGGVSCGELEAWLRRQAADRLDAVARRELKRHDKDGDGVVTLREYLAVDHDQHIDWTDTEHGEPGWWLHKFISADRDHSGAMDYIELNDFLHPEDSSQEKVKLWLLKDKLRQAVSKHLHILIMLLI >ORGLA10G0165900.1 pep scaffold:AGI1.1:ADWL01020768.1:917:1657:-1 gene:ORGLA10G0165900 transcript:ORGLA10G0165900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMGSDYIQEKTELLYALISERQLSDLPIISQPALIVWGERDKVFPMELAHRLKRHLGESSRLVVIRNAGHAVNLEKPKDVCRNIIEFFQEGVTEPLNDEKV >ORGLA10G0165800.1 pep scaffold:AGI1.1:Oglab10_unplaced080:550:7430:1 gene:ORGLA10G0165800 transcript:ORGLA10G0165800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMNTAAAKSIRCRAAVSRVAGQPLEMEEVEVAPPRAHEVRIKILCTSICHTDITFWRMEGNHPSIFGHEAVGVVESVGEHVQEVAVGDMVVPVFAAQCSECPDCLSDRSNLCSKLSNVAGLMPRDRTTRFSSVSTGEPIRHFLSVSSFAEYTVVDITHIVKLDVEFPPAMACLLSCGISTGVGAAWKVAAVEPGSSVAVFGLGAVGLAVAQGARMRGAKRIIGVDLNPDKFAVGKRLGMTDFINPNDTGGKTVSEVIKEMTGGGGADYCFECIGSTSVMAEAFQSTRNGWGKTILLGVSGNKAPIGIPSHEILRGRSVIGSLFGGIKPKNDIPMLAQKYLDKELELEEFITHEMGFEEINRAFELLTQGKSLRCIIWMDGAKEIDNGV >ORGLA10G0165700.1 pep scaffold:AGI1.1:Oglab10_unplaced079:629:1147:-1 gene:ORGLA10G0165700 transcript:ORGLA10G0165700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAASKPHVVLIPYPAQGHVTPFLRLAKALHARSFHVTFVHTEFNRARLLRSRGAAAVAGADGLPPPGQPAELDATQDIWAICEATRRTGPGHVRALVERLGREAAAGGVPPVSFVVADGAMGFAVHVTKEMGIPTYLFFTHSACGLLAYLNFDQLVKRGYVPLKGSSNS >ORGLA10G0165600.1 pep scaffold:AGI1.1:Oglab10_unplaced078:1:4132:1 gene:ORGLA10G0165600 transcript:ORGLA10G0165600.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANQRAGRAGRTGAGRCYRLYSESDFSMMEVHQEPEIRKVHLGTAVLRILALGIRDAQNFEFVDAPNPEAINMAVKNLEQLGAVKYKCDGFELTDTGRYLVKLGIEPRLGKIMLDCFGFGLRKEGVVLAAVMANSSSIFCRVGTDEEKYKADRLKVPFCHQDGDLFTLLAVYKEWEDEHENRNTWCWQNSINAKTMRRCQETISDLENCLRHELNIIVPSYWCWNPEESTVHDKLLKRIILTSLSGNIAMFSGHERFGYQVISTDQAVKLHPSCSLLIYDSKPEWVVFTEILSVPNQYLVCVTAIDPDALCSIHPMPLIQQLEKLKLQVKVISGLGYNLLRKFCGKSGQNQQKIISLLQEEFRDDRVTVEVDFRNKEVVLFAKEQDXEKVFGIVNDALECEARMLRNECLEKSLFSGKPGDCSLALFGSGAEIKHLELEKRYLTVEVLHQNAHELNDKELICLVDTLISGVANFYKLYGNLQVASDETKWGKFTFLNPEYAEDAVSKLNGMEFHGSPLKVVPVCSSSNRGLPFPAVRAKVSWPLKQSRGLALVTCASGEAEFVVKDCFALGVGGRYINCEVSTRHENCIFVRGIPMHVTEPELYDAFRSMTTRKIVDVHLLRGTPIAAPSASLCAEALNREISSFMPKKNFPAQNFRVEVLTPEENDSVMRATITFDGSLHREAARALEHLQGSVLPCCLPWQTIQCQHVFHSTVSCQVRVYNVISQAVASLLESFRSQKGVSYNLEKNEYGIF >ORGLA10G0165500.1 pep scaffold:AGI1.1:Oglab10_unplaced077:12495:16380:-1 gene:ORGLA10G0165500 transcript:ORGLA10G0165500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVIKDIGSGNFGVAKLVRDVRTKELFAVKFIERGQKIDENVQREIMNHRSLRHPNIVRFKEVVLTPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLARKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPRNFRKTITRILSVQYMVPDYVRVSMECRHLLSRIFVANPEQRITIPEIKNHPWFLKNLPIEMTDEYQMSVQMNDINTPSQGLEEIMAIIQEARKPGDGSKFSGQIPGLGSMELDDIDTDDIDVEDSGDFVCAL >ORGLA10G0165400.1 pep scaffold:AGI1.1:Oglab10_unplaced077:9166:11360:-1 gene:ORGLA10G0165400 transcript:ORGLA10G0165400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S6 [Source:UniProtKB/TrEMBL;Acc:I1QCA9] MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTAGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSSAAKAAATTA >ORGLA10G0165300.1 pep scaffold:AGI1.1:Oglab10_unplaced077:4372:7610:1 gene:ORGLA10G0165300 transcript:ORGLA10G0165300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:I1QCB0] MALATNSAAVSGGAAAAASSAPQPRLAATFLPMRRRTVSAVHAADPAKSNGPVQAAAKASSPSTVAAPEKKPVGLGKWTVDSWKAKKALQLPEYPSQEELDSVLKTIETFPPVVFAGEARHLEERLADAAMGRAFVLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVVKVVGRMAGQFAKPRSDSFEERDGVKLPSYRGDNINGDTFDEKSRVPDPQRMIRAYAQSVATLNLLRAFATGGYAAMQRVTQWNLDFMDHSEQGDSRYRELAHRVDEALGFMTAAGLTVDHPIMTTTDFWTSHECLLLPYEQSLTREDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPSDLVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNSGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELAFIIAERLRRRRMRSGVNSNLPLPPLAF >ORGLA10G0165200.1 pep scaffold:AGI1.1:Oglab10_unplaced076:5001:6261:1 gene:ORGLA10G0165200 transcript:ORGLA10G0165200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLITITRSPILPCPFSRRPASPARCFAGARRSRRAAASASFFSPAGGRGGGDAGAGSSLSSAAAAAALGEAAAAGGGGSDSEAILLSVQGMMCDGCAASVKRILESQPEVTSATVDFKEAKAVVLTTAEVKAAEDWQKQCGEKLANHLGTCGFESRLQG >ORGLA10G0165100.1 pep scaffold:AGI1.1:Oglab10_unplaced075:69066:70335:1 gene:ORGLA10G0165100 transcript:ORGLA10G0165100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAARRGNPSRSASAIVADTATGYDLLKVDGYSLTKATPTGSSLTSTQFTVGGHRWRIKYYPNGDSADSADYISIYLLLDEKASLDLKVEAKYLISFADQVKTQPSMKYRTVRTFHREGSWTWGYGKFIKREDFEKSDHLRDDSFTIRCDILVVHKIHTKETAEILPVETFVSVPPSDMNQQFGDLLETEKGADVVFEVGGQTFAAHRCVLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVSNILALADQHHCDGLKKACFNFLGSPANLSAVVAGDGFKHLSKICPSLMEELVVVLALPGSS >ORGLA10G0165000.1 pep scaffold:AGI1.1:Oglab10_unplaced075:61346:62431:1 gene:ORGLA10G0165000 transcript:ORGLA10G0165000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAACRADPPSRSAIVADTATGYHLLSIHGYSRTKGTPTGSPLKSSRFTVAGHRWRIHYYPNADRADSADHISMYLFLDEKSNARSVKALFQIRFADQVKAQPSLALHAVRTFGDSSWSWGYAKFVRREVLEKSKDLRDDSFTIRCDIVVVREFVAEEATEILPAGSFVSVPPSEMNRHFGDLLETEKGADVVFEVAGERFAAHRCVLAARSPVFRAELYGLMKEGDTAGVVRIEDMEAQVFKMLLRFVYTDSLPEMEAEEHIMCQHLIVAADRYDLQRLKLICEKKLCKYIGVSTVSNILALADQHHCDGLKKACFSFLGSPANLSAFVADDGLDHLSRSCPSLMKELVVELALPLSHA >ORGLA10G0164900.1 pep scaffold:AGI1.1:Oglab10_unplaced075:57449:58407:1 gene:ORGLA10G0164900 transcript:ORGLA10G0164900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSPASSPSAATAGASDEKVKVQAKSDFQISYTGQVDEPPWLATMKADTFDGAGFRSFGYEKFVRRCDFEKLIRDDSFTIRCDIVVINEIRAEESTEITTTTAIVTVPPSDLNQQLGDLLESEKGADVVFEVGGQTFAAHRCVLAARSPVFKAELYGLMKEGDTAGVVHIEDIEPRVFKVLLRFMYTDSLPEMEEEDVMCQHLLVAADRYNLERLKLICEEKLCRHISVGTVWNILPLADQHHCDGLKKACFDFLGSLANLSAVVASDGFKHLCRSCPSLMEELVVTLALPGSHA >ORGLA10G0164800.1 pep scaffold:AGI1.1:Oglab10_unplaced075:51604:52182:-1 gene:ORGLA10G0164800 transcript:ORGLA10G0164800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYDVFLRLHLRQRVGVHEAEQQLERLRLHVFNVHDSSSVALLHRAVERRPEDRRPRREHAPVRGERLAADLKHHIRALLGLQQVAELLVHVGRGHGYKGFDGEYLGRLLGADLTDDDNVAPDGERIVPKIIGLLEIYPFDELHIAPTTRILEIKCLYGPVFQRRLLLHLVSETKLELRLHRQTHVGLFFF >ORGLA10G0164700.1 pep scaffold:AGI1.1:Oglab10_unplaced075:43383:44273:1 gene:ORGLA10G0164700 transcript:ORGLA10G0164700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRFEMSMMGELKFFLGLQIKQLKEGIFICQTKYLKDMLKKFGMENAKPIHTPMPSNGHLDLNEQGKDVDQKVYRSIIGSLLYLCASRPDIMLNVCMCARFQAAPKECHFVAVKRILRYLVHTPNLGLWYPKGARFDLIGYADADYAGCKVDRKSTSGTCQFLGRSLVSWSSKKQNSVALSTAEAEYVSAGSCCAQLLWMKQTLRDYGLNVSKIPLLCDKESAIKIANNPVQHSQTKHIDIRHHFLRDHSTRGDIEIQHVRTDKQLAHIFTKPLDEARFCELRSELNILVSRNVA >ORGLA10G0164600.1 pep scaffold:AGI1.1:Oglab10_unplaced075:31643:32713:1 gene:ORGLA10G0164600 transcript:ORGLA10G0164600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAGNSSRSASTSTIVADTATGYHLLKIDGYSRTKGTPIGTAIASSQFVVGGHRWRIYYYPNGDHTDNADYMSFYLLLDEKKNTKTKSVKVRTLFQICFADQVKALPTLTSKTVRTFGDGSSWCWGYSKFIKREDFEKSKDLRDDSFTIRCDIAIVREFLVETTEVLPPKSFVSVPPSDMNLQLGELLETEKGADVVFEVAGERFAAHRCVLAARSPVLGAELYGLMKEGNAAVVVRVEDMEARVFKLLLRFVYTDSLPEMKKTDEAVMCQHLLVAADRYNLERLKLICEEKLCNHISTGTVSNMLLLADQHRCAGLQKACCNFLGSSANLSPVSRGCLSVMKVLVSGCWCLH >ORGLA10G0164500.1 pep scaffold:AGI1.1:ADWL01020743.1:1112:1303:1 gene:ORGLA10G0164500 transcript:ORGLA10G0164500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Orf63 [Source:UniProtKB/TrEMBL;Acc:I1QWR2] MSFNSRTRNWKVTEGDPSFCNENYIKNSGQFRNQAKRLNTYAKKFIIGPPLIRRFNLYESLLV >ORGLA10G0164400.1 pep scaffold:AGI1.1:Oglab10_unplaced070:6094:13287:1 gene:ORGLA10G0164400 transcript:ORGLA10G0164400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWVVKIENEHRLVGNFHNHFHLSKTVLLVERTKSRPLASGVLTPTQGVGFLGFQLFLGFPILLQLNNSLQILGVFSLLLVFSYPLMKRFTYWPQAYLGLVFNWGALIGWAAMKGTINPAIILPLYTAGICWTLVYDTIYAHQDKEDNLKVGVKSTALRFGDSTKPWISGFGAACIANLALSGYNAEIAWPXYPFLAAASAHLAWQVSTVDLSDRLDCNRKFVSNKWFGALIFGGILCGRLVS >ORGLA10G0164300.1 pep scaffold:AGI1.1:ADWL01020736.1:528:998:1 gene:ORGLA10G0164300 transcript:ORGLA10G0164300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:I1Q659] MSRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDAAKGGGGAIRKKEATHRMAEANRALAHFR >ORGLA10G0164200.1 pep scaffold:AGI1.1:Oglab10_unplaced066:7750:16468:1 gene:ORGLA10G0164200 transcript:ORGLA10G0164200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSAAMGSIRSVRKKLAALLSDERKDFIRVRSKVKPLIDALESVHACFEKLSLEDEKNLDALQEAWMMDLRELSYDMEDSLDRFLVKSAAEPGSCKKLFKILLTKIKGSTNGIVKVIQDIKMPVEELNERMNRFKLSDDRHVTYDPIKIDSRVTALYVDASHLVGLDGPKLELIKMLRIEDEPEPSKKLVVVSIVGPGGLGKTTLANQVYHHLKPEFDCSAFVSVGQNPDVLRILGNILSGLTHQPYATTGSTVQVLVEKTRRFIADKRYLVLLDDIWNATDWEMLRYSLPDDHSGSRIITTTRIVDVARYCSDTEGHIYKMDPLSDLDSQRLFFTRIFGSDDGCPTHLKRISMDILKRCGGLPLAILTLASTLANVPMLAEKWKQVHNSIGLETPGENMNRILSLSFHDLPIHLKTCLLYLSIFPEDYVIEREQLVWRWIAEGFIPETRGIPLERVGSAYFNELINRSMIQPADVQYDGTVQSCRVHDMILELIVSISTKINFVAVLHKDQDETRFTDYKTKVRRLAHQSNCIEKLLERMSRDDLSHIRSIIPFDYVKGIPHLGDFQALRVLDLGSCRSIENHHIENLEILYQLKYLDLSRTSISELPMQIDNLRYLETLDLRGCAIEKLPASIVRLQNMQRLLVNRSVKFPDEIGHMQALQMLLFVSMSCNSIKFVEELRKLIKMRVLNITFSKPVDMVDEVRKYTDSLVSSLNKLVNLESLKIDPEEGCSLDSLMGVYLTFGCLKKLVIGYISRIPKWINPSMYNLVHLELKVDIVREEDLHSLELLRCLLYLQLEMTDGSSKMLTVGSKGFRCLRESQFIWKNDGMGLVFLEGAMPELRTLHLYFRTHETTANYSGVDGSGIDNLSRLNKLCVDVDCSGSTREEVEAVEDSIRKAADRHRTKLTLEIQRWCEACMIEEEAHEGNAEFPSRSVNRRANPVRVAFRVRADRRNRNRTRRNRITAPCAST >ORGLA10G0164100.1 pep scaffold:AGI1.1:Oglab10_unplaced066:5488:5709:1 gene:ORGLA10G0164100 transcript:ORGLA10G0164100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDAIWHTMKLLCAYGIRSRIWKESKFGMSGYVKFVSYTRGFPKVFRTLSMSLVRGFRLPTSGVNRGGA >ORGLA10G0164000.1 pep scaffold:AGI1.1:ADWL01020733.1:4553:4768:1 gene:ORGLA10G0164000 transcript:ORGLA10G0164000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPAMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYESARKDTKSRR >ORGLA10G0163900.1 pep scaffold:AGI1.1:ADWL01020733.1:3708:4121:-1 gene:ORGLA10G0163900 transcript:ORGLA10G0163900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFPSPPPWGWSTGFITTPLTTGRLPSQHLDPALPKLFWFTPTLPTCPTVAKQFWDTKRTSPDGNLKVADLPSFAISFATAPAALANCPPLPRVISMLCMAVPKGISVEVDSSFLSKNPFPNCTSFFQSIRLSRCI >ORGLA10G0163800.1 pep scaffold:AGI1.1:ADWL01020733.1:2443:2724:1 gene:ORGLA10G0163800 transcript:ORGLA10G0163800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23 [Source:UniProtKB/TrEMBL;Acc:I1QWQ5] MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRMGPILGHTMHYRRMIITLQPGYSIPLLDREKN >ORGLA10G0163700.1 pep scaffold:AGI1.1:ADWL01020733.1:661:1410:-1 gene:ORGLA10G0163700 transcript:ORGLA10G0163700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDETLLVFTLVVSSVSVFLFGILLFMVLISATRDFRERTKSKLVKIMIWAGIVVITFAIAVRIYPIFIFLLKERIKPLVEALYDKLPWIWEVSLSRYWDRLIDFLDRYLWACAQRIQTGIRKQKGEFVVTFSCRVKKRLYARAIEVGIHLSLLSNLFWILKTTLAVGYRLLWVLYYIISFEGFLGSFRLYLVYFGFYCLLFSGKWLRTSEDRGERQAQISGILLRGMLIECAFSVLCLEEDSNLHAL >ORGLA10G0163600.1 pep scaffold:AGI1.1:Oglab10_unplaced064:9828:10049:1 gene:ORGLA10G0163600 transcript:ORGLA10G0163600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKKSKFGMSGYVKFVSCTRGFPKVFRTPSMSLVRGFRLPTSGINRGGA >ORGLA10G0163500.1 pep scaffold:AGI1.1:Oglab10_unplaced062:9505:9745:1 gene:ORGLA10G0163500 transcript:ORGLA10G0163500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINIHPPEATTYKPTRYESAISDLAGHGFEEEDYPIVDYESDLQTDMSTTVR >ORGLA10G0163400.1 pep scaffold:AGI1.1:Oglab10_unplaced061:7912:8136:1 gene:ORGLA10G0163400 transcript:ORGLA10G0163400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKRRDDDGEPAKRSMGRRCGGGGGGHGWQLGLYYCLVVACSCVVATQAQAHQAPRTDPVEGICYVLPHPIMF >ORGLA10G0163300.1 pep scaffold:AGI1.1:Oglab10_unplaced058:112318:116177:-1 gene:ORGLA10G0163300 transcript:ORGLA10G0163300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELLPDDVLELILRRVALSPRSLAACRGVCKAWRAIIDTRCPPPHPDLLPLSLAGIFFANFYCPIEDLPGFFARRGRHHRSRIFPKLNYLDDAPISKLEAHDHCNGLLLLDEYVVNPATRRWVRLPPTPEWSPAGSDLEAMVTDSSREEYLVFDPTVSPHYEVFSIPELVFCREDDKDNTESVVKQHEWPPSPFVVQVYSSAKGRWEKRSFIRQGEAAGTIADVHYSSWMASHHLYGIYWRGALHIQMKNNDVIRITLLDDKYQVIKSPSDINLNNHPYIYLGRSKKGVCCASIDHKQHQRLQVWLLHEVLHGGDQMEWMLIHDVSLEQIMADFRWNPEAVKPWIKHNTYRGDNKNNEEISEDESPGWDSEDDSIIVYTEDMDRVWAYHLYNSKVEFLGMLW >ORGLA10G0163200.1 pep scaffold:AGI1.1:Oglab10_unplaced058:100320:100814:1 gene:ORGLA10G0163200 transcript:ORGLA10G0163200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TERLRESVTNLSNAFEEAAATAHPEQPQIGDANGEDPERRESPHQATPPPRGTGDLRDHLNGRREARRTRDNENRSRRHVSSWCHNNEDRGDRSNEDRDRDNRYDRHDHNDRERRVPGDTGQGRRYNNDDDGDRRRDNSGRRRQDSRDPGRHPRNRTPEPSDPSS >ORGLA10G0163100.1 pep scaffold:AGI1.1:Oglab10_unplaced058:99897:100319:1 gene:ORGLA10G0163100 transcript:ORGLA10G0163100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RISPTRDVYCPIHKTKNHDLSSCKVFLSAMKAPSPKVQQSHIPIRDKDKEQGATPTSDRFVGVINVDPHEPSVLHLLEVYGSSTTSTPREVLAIDDVDTSARTNAETENQLATPAQHIRAVNAILRETPYDPVLNDDLAR >ORGLA10G0163000.1 pep scaffold:AGI1.1:Oglab10_unplaced058:35269:39016:-1 gene:ORGLA10G0163000 transcript:ORGLA10G0163000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGEMFLELLLLDCSNYISWSTCLLNVFRTMGSNIVALSEDVFKTIMPLEDAHLIWTTLKERYGKSKCDGEDALVEASFGDCSTSLPYHDKSQVIISSDQEGLTSSSISPTYNYEQESTSSIFSSSIAEDHVCFMGDDDDSVVDHLNKNDTTI >ORGLA10G0162900.1 pep scaffold:AGI1.1:Oglab10_unplaced054:23381:23602:1 gene:ORGLA10G0162900 transcript:ORGLA10G0162900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLSAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA10G0162800.1 pep scaffold:AGI1.1:Oglab10_unplaced054:4632:5018:-1 gene:ORGLA10G0162800 transcript:ORGLA10G0162800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPPLCAPCARIRRGCRRRVGGSSAPFLLCGPTRVKTIGKRRDGGDHCGGELVLRHPWSSGGHPWRRRHRACLSPSFPFSSSSPAEEAATARCFRSQSGNHQQPPFLFDGYRRRRKVPGSTTPLINYFS >ORGLA10G0162700.1 pep scaffold:AGI1.1:Oglab10_unplaced050:2999:3223:1 gene:ORGLA10G0162700 transcript:ORGLA10G0162700.1 gene_biotype:protein_coding transcript_biotype:protein_coding YDDTHGVVQVGSDSRRVSVLQCNSEIRSTVDPSRLRTFLAFDTSMALSSASYFIFSESKYLAVLELSGLPIETIP >ORGLA10G0162600.1 pep scaffold:AGI1.1:Oglab10_unplaced050:1799:2791:1 gene:ORGLA10G0162600 transcript:ORGLA10G0162600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RINRQLMELSKRLGRWTQPILSGGSIPATKYDTEQQQLYLPGHDYSITDAELVGIDKNRQTLIESLCLEDCSLRIIAVWGMGGLGKSTLVNNVYKNEATVSNFNYRAWLSISQSCRVLDIWRNMLKELCGKESREFDAENMSSTELKVELTKILDQKRYLIILDDVWLATDFLKIREVLVDNGLGSRVIITTRIEEVASIAENGCKISLEPLDNHDAWLLFCRKAFPKIEDHICPPELEQCGMDIIDKCDGLPLALVAIGSLLSFKSKNKKDWRLFYNQLISEVHNNENLNRVEKILNLSYKHLPNYLKNCFLYLCYVPRRLHYPEKEIN >ORGLA10G0162500.1 pep scaffold:AGI1.1:Oglab10_unplaced048:28908:29141:-1 gene:ORGLA10G0162500 transcript:ORGLA10G0162500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGDEEDVEETQGYRIGRMATREAXDGCGRCRSPGLAMGNGCRCTFQAISAMGGGVRRRCGRCRFHGLAMGDGRR >ORGLA10G0162400.1 pep scaffold:AGI1.1:Oglab10_unplaced048:15291:16868:1 gene:ORGLA10G0162400 transcript:ORGLA10G0162400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSHCHATNGGGAPQHNGANAVDAPVTRSARLLDAEEFRRHGHLVIDFIADYYAGLGEYPVHPSVSPGFLRHHLPLEPPPRREPDVFDAALQDVRSVILPGLTHWQSPRHFAHFPASSSTVGALGEALAAGINVVPFTWASSPAATELEMVVVDWLGKALHLPESLLFAGGGGGTILGTTCEAILSALVAARDRKLAVIGEDRIGDLVVYCSDQTHFAFCKAARIAGIRREHCREIPTYRDDTFALSPTELQAAMQRDVDAGLVPLFLCATIGTTQTTAVDPVGELCAVAAPHGAWVHVDAAYAGSALVCPEFRGVIAGVEAADSFSMNAHKWLLANNDCCVMWVRTPSALVAALGTEQEYILKDAAAKGVVDYKDWGMTLTRRFRALKVWLVLRCYGVEGLREHVRSHVRMAAAFEGMVRADARFEVVTPRRFALVCFRLRSPKNYRFAGGEKSANELNRRLLEEVNAASSGPYMSSGKVGGVYMLRCAVGSTLTEERHVREAWKVVQGRATSILRKMEIIM >ORGLA10G0162300.1 pep scaffold:AGI1.1:Oglab10_unplaced047:19398:20748:-1 gene:ORGLA10G0162300 transcript:ORGLA10G0162300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRSAGGGDLHGAAHWTGAARERRRLQPISPVGRCPPLEDAATTAAACALSLGSRAAHPGSHQLDRKNSQEPQLTATGPSVRPDFREDECERLLTRAAEADGSGVEPGGDARRRLNVEHDERAVRAEAEVVGGRPLSSSPLVLTPACICVGGGGGAATATWTGRAEDEEQRTDDEDQDDDDRAEATAARCPGWAAAGRRSALRGGGIEGEEKVKGQERHFFYPAHMSELPNG >ORGLA10G0162200.1 pep scaffold:AGI1.1:Oglab10_unplaced047:17626:18086:-1 gene:ORGLA10G0162200 transcript:ORGLA10G0162200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSNREQSASATAALSNQCAPSPSHQFFFCGKISSHLQQQWFKATYLMAKDLLVLHRLLGFYFYQLQ >ORGLA10G0162100.1 pep scaffold:AGI1.1:Oglab10_unplaced047:14603:14797:1 gene:ORGLA10G0162100 transcript:ORGLA10G0162100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGPSRRFAPRPSPSCHLAGGASGEGAQAEPPLMRTAPQLPLAAFNAVGADVRRARLACVGQE >ORGLA10G0162000.1 pep scaffold:AGI1.1:Oglab10_unplaced047:1626:1919:-1 gene:ORGLA10G0162000 transcript:ORGLA10G0162000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAPRKPNPSSAKGPDPGRIDDDTTAYLGISLIDDGELAKLVSSGALVEGQAFAPSKAVVPKPVDNRTVVFAVFFEAGLRFPCNSLLSEILRLFQVELP >ORGLA10G0161900.1 pep scaffold:AGI1.1:Oglab10_unplaced045:83054:91832:-1 gene:ORGLA10G0161900 transcript:ORGLA10G0161900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRKVGNLVRQSAGSGSPLFQAVRCMSSSKLFIGGISYGTDDQSLKEAFANYGEVIEARVIVDRTTGRSRGFGFVTYTSTDEAAAAITGMDGKDLQGRIVRVSYAHDRGSRAGGYGGGGYGGQGTYGGGGGYGGGGYGGQDAYGGRGVGGYSEGGRGYVGGGYGDGNNYGGYNTSGGYNSEGGRGGYSVFEGGHGYGSGGTGYTGGSGGYNSAPGNYSSDNFNQGGAAPGAYEGANYGGGNNYMNNATSDDSTGKLDELLNDLKADGDGKQDGEGKADGAGLVNEDLKGDDGQDELLQNDFKDEDVSDDYANKRR >ORGLA10G0161800.1 pep scaffold:AGI1.1:Oglab10_unplaced045:75231:75668:-1 gene:ORGLA10G0161800 transcript:ORGLA10G0161800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARPSHCCAPDTSSDPSTGSPGGASSPVPEAHSHTASDDGSSFDLAGSIPSFSATTQPAPQQHTKSFASGSGRGKHNSGYIGGAADGTVRLAKSSPRAFASRSTFTVRPKYNGPSTNDFRTSRIPRIRNPQDTEQPNCRIRPRIKP >ORGLA10G0161700.1 pep scaffold:AGI1.1:Oglab10_unplaced045:37968:42859:1 gene:ORGLA10G0161700 transcript:ORGLA10G0161700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRREGRRVLLSSTPSPAAAMAARSPAAAHQEIAPLGARSVSTQVVRTRMKSVRNIQKITKAMKMVAASKLRAVQIRTENSRGLWQPFTALLGDVPSVDVKKNVIVAITSDKGLCGGINSTSVKVSKALHKLTSGPEKESKYVILGEKGKVQLIRDSKDNIEMTVSELQKNPINYTQIAVLADDILKNVEYDALRVVFNKFHSVISFKPTMTTILSPEVMEKESESGKVGDLDSYEIEGGETKSEILQNLTEFQFSCVMYNAALENACSELGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALEG >ORGLA10G0161600.1 pep scaffold:AGI1.1:Oglab10_unplaced045:28754:31252:-1 gene:ORGLA10G0161600 transcript:ORGLA10G0161600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDRFTGNPGRLTVPTTSGNGTVSISYSEKGRTVLYHVGFDISKFYLVTKMILGTNTYPLRDHHTNVPQYSQNTVYGYPPSTVTRQGGELGAQGFAKMTSSYSDNYICTNFGSGDPTRTPLRSLVRDIDKLRECMMVRSSGSDDMDACRLERHLAGGWRHVVPKQERVRKNMFKVQPDTMTRMLVWFRPLSPLHRTNLNRRRTKIVSTRGWNS >ORGLA10G0161500.1 pep scaffold:AGI1.1:Oglab10_unplaced045:25322:26995:1 gene:ORGLA10G0161500 transcript:ORGLA10G0161500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVPLPLLLGSLAVSAAVWYLVYFLRGGSGGDAARKRRPLPPGPRGWPVLGNLPQLGDKPHHTMCALARQYGPLFRLRFGCAEVVVAASAPVAAQFLRGHDANFSNRPPNSGAEHVAYNYQDLVFAPYGARWRALRKLCALHLFSAKALDDLRAVREGEVALMVRNLARQQAASVALGQEANVCATNTLARATIGHRVFAVDGGEGAREFKEMVVELMQLAGVFNVGDFVPALRWLDPQGVVAKMKRLHRRYDNMMNGFINERKAGAQPDGVAAGEHGNDLLSVLLARMQEEQKLDGDGEKITETDIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLKEAQHELDTVVGRGRLVSESDLPRLPYLTAVIKETFRLHPSTPLSLPREAAEECEVDGYRIPKGATLLVNVWAIARDPTQWPDPLQYQPSRFLPGRMHADVDVKGADFGLIPFGAGRRICAGLSWGLRMVTLMTATLVHGFDWTLANGATPDKLNMEEAYGLTLQRAVPLMVQPVPRLLPSAYGV >ORGLA10G0161400.1 pep scaffold:AGI1.1:Oglab10_unplaced044:23987:25474:-1 gene:ORGLA10G0161400 transcript:ORGLA10G0161400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVGSDEVDREGGVGVDAADLGGGEDDVARELCGEGLDVGLLGEVELGVSEDDHVDEAEGDEVVEDGRGGKHATVESAWGARHLPSRWI >ORGLA10G0161300.1 pep scaffold:AGI1.1:Oglab10_unplaced044:13865:16296:1 gene:ORGLA10G0161300 transcript:ORGLA10G0161300.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGVRSTRTALSHLRIKLGLVAEQASQSEVESAPKRREDDILTKALGTKEHPGWTRGIGSDVPWKQGLPQYSSQYRKQKVSKEERDARLKAELKVEVIQELEASMNARVEERVNKVLADMNIPRATTPAVHPTPRAQHDTSPSQHRSSCASIEVPAPGLPVAPLAAMDHIEGVAQCVLLARVHPTFAPEVAEGMAFKPSVTNKVHGADLLAGYAKLTPPKSKLSIEAPRGPAMSVPHSPDGANMDLADIAQSLTPIKTTRKADSSSPLVKAQKRERGKGKVGELAPEPKRGKAATSMPVSKAGKVVWAPAQFELGMPLVEDNVLAMMGIACRELHKQYMELSNAKRKMRESSIVGHHDHQPFLSLPTYITVGFDDLFDLFRIRKLDTGLLKCXSLLCXIERVIALVIRLGSLIHPWXTRLIYDRASLRWLTMSTGVYGPIKTRSTSCEILLVIVPKWSRVTYLNSNKSKDYDFTEITEALNMAWGPYVEKGGGHKESKDEHYHDTKFACAQQIRDQCGFHVCHNMSTLLREVKDFDPEKGRVGFKISPINPPAIRGEVCAFILAEIMNKKGRFHIKXTYELGXIIYCDVKKMFLXFLVMXKNMFLWSAMX >ORGLA10G0161200.1 pep scaffold:AGI1.1:Oglab10_unplaced044:7220:10786:1 gene:ORGLA10G0161200 transcript:ORGLA10G0161200.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRPVTGEGIPVKGNSIEACQKWIVDGCTMFIVRLPSIERGSLNLSHLRIMTEKVGXARNVVSMXGLXEXTDDPXXRXSAFSFDKEWIHEEIYLLDQACRARGTXCRSXRSVGSGCREHHRSSRRHVRTFSIRWRGHIFGHSMSIYNVAXHXRRRGQRQRFXEVXXVGGRLSDALVXWMKVKAQQVVMRVGTQEAXGXXWLVGQKFYRTSXAVKGPVARGEQFTVDDIXSEASIMSIGPGVRXLGCLPCLWGIKIQTSKEXRXRKTXTTWQXYRCIPXTDNRXLQKAMEXGHTNMGRICTRLFQPPCDAVLYHQRLSGPXQPFWPNCERDMGVFGVYGGNKKQMVEAFTQEFLPRYHPYRNMRKNFNGHKDTTGPPAELIGTEVHNLVMGITNGFGKKGKVGKTKEKSMSKDKTEEDVKKQKTKERSMWKKKSIFWRQPYWKDLEVRHYIDLMHVEKNVCESLMGLLLNPSTTKDGLNARRDLEEIGVRSELHPITTESGRVYLPPACYTLSKEEKIDLLTCLSGIKVPSGYSSRISRLVSLQDLKLVGMKSHDCHVLITQLLPIAIRNILPPKLRHTIQRLCSFFRAIGQKIIDPEGLDELQAELVRTLYHFEMYFPLTFFDIMEHIPVHLVRQTKCCGPVFMTQMYPCERYLRILKGYVRNRSHPEGSIIESYTTKKVIDFCVDYMSETSSIGLPRSHHEGRLDGVGTVGRKIVRLDRKVYDKAHLTVLQHMTKVVPYVDEHLAVLRQENPGRSESWVRNKHMSSFNEWLKNQIARLQNLSSETLQWLSQGPEWSATTWQGYDINGYTFHTVKQDSKCTMQNCGLRIEAASDGGRCDQYYGRVEQILELDYLKFKVPLFRCXWVDLRNVKVDNEGFTTVNLANNAYKDEPFVLAKQVVQVFYIVNPCNKKLHVVREGKRRIVRLDNIADEDDYNQHVHGIGPEIPLEEKEKEDDVQYACVDHEEGLFL >ORGLA10G0161100.1 pep scaffold:AGI1.1:Oglab10_unplaced042:18837:22331:-1 gene:ORGLA10G0161100 transcript:ORGLA10G0161100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPYYRRCDFTMAGFADALRPDKFSSVHFKRWQIRVTLWLMAMKCFWVSTGKPEGILTADQQKQFEEATTLFVGCILSVLGDRLVEVARGSTVLMGNGSHASVYGVGTVDLKFTSGKIVQLKNVQHVPFIDRNLVNGSRLTRDGFKLVFECNKVVVSKHGYFIGKGWKRYFMTLIDDATRFCYVYLLKTKDEALDYFKIYKAEVENQLDRKIKRLRSDRGGEFFSNECDLFCDEHGIIHERTPPYSPESNGIAERKNRTLVDLVNAMLDTAGLPKAWWGEALLTSNHVLNRVPNRNKDKTPYGIWIGRKPSLSYLRTWGCLVKVNVPITKKRKLGPKTVDCVFLGYAHHSIAYRFLIVKSEVPDMHVGTIMESRDATFFESFFPMKDIHSSSSQPSEVIPSSITPPEQTEHTHEYVTEEDVSEAPRRSKRQRTAKSFGDDFTVYLVDDTPKSISEAYASPDADYWKEASDPMGVNLWGCKWVFKKKLRPDGTIEKYKARLVAKGYTQKEGEDFFDTYSPIARLTTIRVLLSLAASLGLLVHQMDVKTGFLNGELNEEIYMDQPDGFVVEGQEGKVCKLLKSLYGLKQAPKQWHEKFDKTLTSAGFAVNEADKCVYYCHGGGEGVILCLYVDDILIFRTNLEVINEVKSFLSQNFDMKDLGVADVILNIKLNRGENEITLLQSHYVEKILNHFGYIDSKPSPTPYDLSLLLRKNKRIARNQLEYSQIIGSLMYLASATRPDISFAVSKLSRFTSNPGDDHWRALERVMRYLKGTMELGLHYTRYPAVLEGYSESN >ORGLA10G0161000.1 pep scaffold:AGI1.1:Oglab10_unplaced042:2955:3308:-1 gene:ORGLA10G0161000 transcript:ORGLA10G0161000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VARGSTVLKGNGSHASVYGVGTVDLKFTSGKIVQLKNMQHVPFIDRNLVSGSRLTRDGFKLVFECNKIVVSKHGYFIGKGYECGGLFRFSFSDFCNKSVNHICGSVDDEANVWHSRLC >ORGLA10G0160900.1 pep scaffold:AGI1.1:Oglab10_unplaced042:576:1832:-1 gene:ORGLA10G0160900 transcript:ORGLA10G0160900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIIANGTWEVTERPYGCKPVGCKWVFKKKLRPDGTIEKYKAQLVAKGYTQKEGEDFFDTYSPIARLTTIRVLLSLAASHGLLVHQMDVKTGFLNGELNEVIYMDQPDGFVVVGQEGKVCKLLKSLYGLKQAPKQWHEKFDKTLTSAGFAVNETDKCVYYRHGGGEGVILCFYVDDILIFRTNLEVINEVKSFLSQNFDMKDLGVADVILNIKLIRVENEITLLQSHYVEKILNRFGYIDSKSSPTPYDPSLLLRKNKRIARNQLEYSQIIGSLMYLASATRPDISFVVSKLSRFTSNPGDDHWRALERVMRYLKELTALDTATIEAEWLRDLLMDMPIVEKPVPAILMNCDNQT >ORGLA10G0160800.1 pep scaffold:AGI1.1:ADWL01020672.1:4895:8222:1 gene:ORGLA10G0160800 transcript:ORGLA10G0160800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 (targeting protein for Xklp2) protein family [Source:Projected from Arabidopsis thaliana (AT5G37478) TAIR;Acc:AT5G37478] MEKTRKATSPKSSMTSSTGPKSPVRNGGSPPHKKSTSEFRGRKNEPQNLRKGGQDSITLDESKRRSPTSQTSPKRSSPKHEQPLSYFRLHTEERAIKRAGFNYQVASKINTNEIIRRFEEKLSKVIEEREIKMMRKEMVHKAQLMPAFDKPFHPQRSTRPLTVPKEPSFLRLKCCIGGEFHRHFCYNAKAIK >ORGLA10G0160700.1 pep scaffold:AGI1.1:ADWL01020670.1:4554:12146:-1 gene:ORGLA10G0160700 transcript:ORGLA10G0160700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWDLSQWCPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQQVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDSLTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDSSHPAWQSVGHVIKLTAQEEVALELRASQGVPVDLNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPRRFGAPGLPELNASQVLAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSDFPSNCFYEGTLQNGVTVNERQTPGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGVMQGASFGAAGTNPVADKRSGRGKGHSFVPFGPPNGAHKPGVHPSGYPLPRMPFPPFAGAHSQPYAIPTRGSLHGPIGAVPPVPQPGNRNFGPRGNTGGPIGGHLAHQQSSQQAMGGMGSAFNFPGLENPSSQPSGGGPMSQTGLMTQDFFGDDFKSQGSHVAYNIADFSTQASQGGYGVDYSQGPQSGYPGNYLNQNAHPGYSHMGAANDIVSQDHMAHGSHGMFTQAGYNDPSQDESSQMHFGMAGPGLQSQPMMNPLYSQSYAHYNTQPQSLQPPPQ >ORGLA10G0160600.1 pep scaffold:AGI1.1:ADWL01020666.1:1464:2907:1 gene:ORGLA10G0160600 transcript:ORGLA10G0160600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGREEEGDVEEAEEARPRIEVRWREADVAGVGVVEATDVAVAVDTDVGRVPPDLEGGEGVITDRNKEAEREGRPLRPTGRGRGGGTTVTAVPRCRRTVPARWEGEGQKERRRRRERGGETAPEGRGGGEAAPVGEEGSGVGREEAAGDWGVGEAERWRWAAGGREREGENESRVRVAPGF >ORGLA10G0160500.1 pep scaffold:AGI1.1:Oglab10_unplaced035:2276:2932:-1 gene:ORGLA10G0160500 transcript:ORGLA10G0160500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRGRSEQRTYNDSNDRDKSQSRGCSKSRSKKFCKYCKKKNHFIDECWKLQNKEKRKYDGKASVVTSAENSDSGDCLVIFAGCIASHDEWILDTACSFHICINRDWFSSYKSVQNEDVVRMGDDNPREIVGIGSVQIKTYDGMTRTLKDVRHIPGIARNLISLSTLDAEGYKYSGSGGVVKVSKGSLVYMIGDMNFXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA10G0160400.1 pep scaffold:AGI1.1:Oglab10_unplaced034:6810:7013:1 gene:ORGLA10G0160400 transcript:ORGLA10G0160400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDAFASYLGELLAETMKEEDRMVLGVSEEIRKLNGTLSSLKKFLYNAEKKLIASNWIFTGLDQETE >ORGLA10G0160300.1 pep scaffold:AGI1.1:Oglab10_unplaced034:5906:6127:1 gene:ORGLA10G0160300 transcript:ORGLA10G0160300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGEDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA10G0160200.1 pep scaffold:AGI1.1:Oglab10_unplaced032:679:13688:1 gene:ORGLA10G0160200 transcript:ORGLA10G0160200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLNDVRVGSKVARMYLVQANCVGGYKGRYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKKVSLKLLDNADADTSKRERTRRMRTSTTSDSPSPSPQNKASFNTSRGAAFRDDEPGAKDNEVEKRKPLILHLKKRSTKELSTDTTSSKSGLLGKSSEEKQEKHGSALKVKKHLHPMELSPKKYKNKKQHSHRDSKRSEAKKVKYLASDVDSDSSMEPSTSLEHSESPPPKRKSLDGRTPASSTKKGKKKVKFVDKKHPENAVHITEKEHGGAGDKITTQGDLQVDRILGCRLQTSQIISPAHASSEQIDMAPPSASSAPEPSQALSKGLHEEIQSSNSDTNVTEDACADELANDGGENNLDCSDAQKESNVRSHGHKESLNAKEIMNTASACSADQIVTVKDAGAVQTNVTASVNGEYETVTDIPEEKNDTKHPVSKADTEVHTKQEHTPDSKLHGKIQETELKEHDGTTYEFLVKWVGKSNIHNSWISESELKALAKRKLENYKAKYGTDEIEEALIKWCALPYDECTWERLDEPTMVKYAHLVTQFKKFESQALDKDKGGSHAKPREHQEFNMLVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEYKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDASQMGKIKKSHKFNVLLTTYEMVLVDAAYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLTTTEKVEELKNLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGSPEFLHEMRIKASAKLTLLHSMLKILHKDGHRVLIFSQMTKLLDILEDYLTWEFGPKTFERVDGSVSVAERQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILHLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDVAVKDNNEASGVKNDVAEVEFKHKRKTGGLGDVYEDRCADGSAKFIWDENAITKLLDRSNVPSTVAESTDGDLDNDMLGTVKSIDWNDELNDDPGATEDIPNIDNDGCEQASETKQDAANRVEENEWDKLLRVRCCTIPCTCSSSWLMMVLIYWEQYQTEEEASLGRGKRLRKAVSYRETFATIPNEALSEDSDEEDEPKREYTAAGLALKEKYEKLRARQKERIAQRHVIKNYADDRLEEFMKLYDSSANPLRIVEDPNPVQPSGAKRLGESTAEMKQSSKKTKRYPEIPQELYAKLPGNAASSKHHPKAADISNSGTPHHLLPVLGLCAPNADQMNSYKGSVCGPSTKEQKRASGELANKPLLTPAVDHCSEQKHDGQPTPCKPMFPGSSEETLRRLNNIIPDSYFPFQPIPPISGKGIGDHVENPVSSIPSFQGKLGLPNFSLEDSIPLKHLKSVPDLFPNLSLGTSNEYLRNCVPELPNSSFLPSFMADIAGTSKQKNKFMADMSGLLPGLAINPVQQIHSSMPENHKKVLDKLMMRAQYSSSKFLKNASNKFLKKSLKPDYWSEDELDALWIGVRRHGRGNWEAMLRDPKLKFLSHRSHEELASRWILEEQKIIEEPMPTATRSSNSTSFPGISDAMMSRALNGSSFSKLRMEPPKLQSHLTDIQLGCNDIPTRFSHVEPTNYMNLSEGGPSLTPWQDFKNRSGHSGDFPGPLDKWEKPDMGLIPPFMPNPFMKESIGSLPINRHSSNSIQQNEVGSSSHESILHGFSDGQAKLFHEMQRRVKLGKLPIEMNLNHTKLSDPLAENSGDFESSKPNKLPHWLQEAVRAPPSKPPECELPATVSAIAQSVCLLLGEQKPAIPPFLIPGPRLSLPKDPRSAPKKRRVHKVQQASSLVDHSKTTVGQGDHNSTPSAPLSMEAVPASPAVIKNNDTPSLNLNSPSSSSAGSRGQDASTPSTFEEPERTMEGSEPASDAATCPSRSEPPETGTHRTEFSAVDDMDTGSCRSPVRDTPDPDNQKSELSGSGNTPTELSVLPLVDAPGASSEPAVVPVSSDEESTQEGVPGKAVSTGDQEKRTPPDESENSGAANPVSAAQTADEDKVDEMITDEH >ORGLA10G0160100.1 pep scaffold:AGI1.1:Oglab10_unplaced031:10326:17188:1 gene:ORGLA10G0160100 transcript:ORGLA10G0160100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRYGHPPNPLLLLRPHLASFLRRLPPLRHCSLAYGLELVADPRHRRDLCLPPMPRPAKIRKKHENVFDQLIKAIKAPVDFDLPPVLKEWKSNYYVPIKRNAYMTRKRVEDDGIFCSCTPSGSSATCDKDCQCGMLFSCCSSTCKCENKCANKPFQHRTLRKTKLIKTEKCGNGVVAEEDIKKGEFVIEYVGEVIDDRTCEQRLWKMKRQGDTNFYLCEVSSNMVIDATNKGNMSRFINHSCEPNTEMQKWTVEGETRVGIFALRDIKTGEELTYDYKFVQFGADQDCHCGSSNCRKMLGITKPVNSIVLHNGNLSQDQHVRKKRKTYLENCIGEIVRLWHRRHSMYLAASIYDFNERNGIHTLLFTDATIEEFDLTEEDWDFLPDPDGPEEV >ORGLA10G0160000.1 pep scaffold:AGI1.1:Oglab10_unplaced026:9539:11614:-1 gene:ORGLA10G0160000 transcript:ORGLA10G0160000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit H [Source:UniProtKB/TrEMBL;Acc:I1QWL7] MIIDRVQVEAINSFSNLELLKEVYGLIWILPILTLLLGITIEVLVIVWLEREISASIQQRIGPEYAGPLGLLQAIADGTKLLFKEDILPSRGDIPLFSIGPSIAVISILLSFLVIPLGYRFVLADLSIGVFLWIAISSIAPIGLLMAGYSSNNKYSFSGGLRAAAQSISYEIPLTFCVLAISLLSNSSSTVDIVEAQSKYGFFGWNLWRQPIGFLVFLISSLAECERLPFDLPEAEEELVAGYQTEYSGIKYGLFYLVSYLNLLVSSLFVTVLYLGGWNLSIPYISFFGFFQMNKMVGILEMTMSIFITLTKAYLFLFISITIRWTLPRMRMDQLLNLGWKFLLPISLGNLLLTTSSQLVSL >ORGLA10G0159900.1 pep scaffold:AGI1.1:Oglab10_unplaced026:8902:9444:-1 gene:ORGLA10G0159900 transcript:ORGLA10G0159900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit I [Source:UniProtKB/TrEMBL;Acc:I1QKY4] MFPMVTGFMSYGQQTIRAARYIGQSFIITLSHTNRLPITIHYPYEKSITSERFRGRIHFEFDKCIACEVCVRVCPIDLPLVDWRFEKDIKRKQLLNYSIDFGVCIFCGNCVEYCPTNCLSMTEEYELSTYDRHELNYNQIALSRLPISIMGDYTIQTIRNSTQSKIDEEKSWNSRTITDY >ORGLA10G0159800.1 pep scaffold:AGI1.1:Oglab10_unplaced026:8129:8659:-1 gene:ORGLA10G0159800 transcript:ORGLA10G0159800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 6 [Source:UniProtKB/TrEMBL;Acc:I1PHU7] MDLPGPIHEILVLFGGFVLLLGGLGVVLLTNPTFSAFSLGLVLVCISLFYILLNSYFVAVAQLLIYVGAINVLIIFAVMFVNGSEWSKDKNFWTIGDGFTSLVCITIPFSLMTTIPDTSWYGILWTTRSNQIVEQGLINNVQQIGIHLATDFYLPFELISIILLVSLIGAITMARQ >ORGLA10G0159700.1 pep scaffold:AGI1.1:Oglab10_unplaced026:7614:7919:-1 gene:ORGLA10G0159700 transcript:ORGLA10G0159700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit K [Source:UniProtKB/TrEMBL;Acc:I1QWL4] MMFEHVLFLSVYLFSIGIYGLITSRNMVRALICLELILNSINLNLVTFSDLFDSRQLKGDIFAIFVIALAAAEAAIGLSILSSIHRNRKSTRINQSNFLNN >ORGLA10G0159600.1 pep scaffold:AGI1.1:Oglab10_unplaced026:6888:7133:-1 gene:ORGLA10G0159600 transcript:ORGLA10G0159600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I iron-sulfur center [Source:UniProtKB/TrEMBL;Acc:I1Q6F0] MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLGPETTRSMALSY >ORGLA10G0159500.1 pep scaffold:AGI1.1:Oglab10_unplaced026:5266:6768:-1 gene:ORGLA10G0159500 transcript:ORGLA10G0159500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase chain 4 [Source:UniProtKB/TrEMBL;Acc:I1Q6E9] MSSFPWLTILVVLPIFAGSLIFFLPHRGNKIVRWYTMSICLLEFLLMTYAFCYHFQLEDPLIQLKEDSKWIDVFNFHWRLGIDGLSLGSILLTGFMTTLATLAAWPVTRNSRLFYFLMLAMYSGQIGLFSSRDLLLFFIMWELELIPVYLLLSMWGGKRRLYSATKFILYTAGGSIFFLIGVLGMGLYGSNEPRLDLERLINQSYPATLEILFYFGFLIAYAVKLPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLIRINMELLPHAHYLFSPWLVIIGAMQIIYAASTSLGQRNFKKRIAYSSVSHMGFIIIGIGSITNIGLNGAILQILSHGFIGATLFFLAGTACDRMRLVYLEELGGVSIPMPKIFTMFSSFSMASLALPGMSGFVAELVVFFGLITSPKFLLMPKMLITFVMAIGMILTPIYLLSMLRQMFYGYKLFHVPNENFEDSGPRELFLLICIFLPVIGIGIYPDFVLSLSVDRVEALLSNYYPK >ORGLA10G0159400.1 pep scaffold:AGI1.1:Oglab10_unplaced026:4104:5069:1 gene:ORGLA10G0159400 transcript:ORGLA10G0159400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CcsA [Source:UniProtKB/TrEMBL;Acc:I1Q6E8] MLFATLEHILTHISFSTISIVITIHLITLLVRELGGLRDSSEKGMIATFFCITGFLVSRWASSGHFPLSNLYESLIFLSWALYILHMIPKIQNSKNDLSTITTPSTILTQGFATSGLLTEMHQSTILVPALQSQWLMMHVSMMLLSYATLLCGSLLSAALLMIRFRKNLDFFSKKKKNVLLKTFFFNEIEYFYAKRSALKSTFFPLFPNYYKYQLIERLDSWSYRVISLGFTLLTIGILCGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHSRTNPNWKGTKSAFVASIGFLIIWICYFGINLLGIGLHSYGSFTLPI >ORGLA10G0159300.1 pep scaffold:AGI1.1:Oglab10_unplaced026:51:2245:-1 gene:ORGLA10G0159300 transcript:ORGLA10G0159300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTYQYAWVIPLLPLPVIMSMGFGLFLVPTATKNLRRIWAFPSVLLLSIAMVFSVHLSIQQINGSSIYQYLWSWTVNNDFSLEFGYLIDPLTSIMLILITTVGILVLIYSDDYMSHDEGYLRFFVYISFFNTSMLGLVTSSNLIQIYFFWELVGMCSYLLIGFWFTRPIAASACQKAFVTNRVGDFGLLLGILGFFWITGSLEFRDLFKIANNWIPNNEINSLLTILCAFLLFLGAVAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLIARLLPLFISLPLIMSFISLIGTLTLFLGATLALAQRDIKRSLAYSTMSQLGYMMLALGIGSYQAALFHLITHAYSKALLFLGSGSVIHSMEPLVGYSPDKSQNMVLMGGLRKYIPITRTCFLWGTLSLCGIPPLACFWSKDEILSNSWLYSPFFGIIASFTAGLTAFYMFRIYLLTFDGYLRVHFQNYSSTKEDSLYSISLWGKRISKGVNRDFVLSTAKSGVSFFSQNLSKIHGNTGNRIGSFSTSLGTKNTFVYPHEPGNTMLFPLLILLLCTLFIGSIGIHFDNEIGELTILSKWLTPSINCNFFCKSSYFWSIHSIYVLWICLLFFSEFGFNKFLCKRGSEKVFFPSTKKKIYSWSYNRGYIDIFYTRTFTLGIRGLTELTQFFDKGVIDGITNGVGLASFCIGEEIKYVGGGRISSYLFFFLCYVSVFLFFF >ORGLA10G0159200.1 pep scaffold:AGI1.1:ADWL01020642.1:18137:18409:1 gene:ORGLA10G0159200 transcript:ORGLA10G0159200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S15 [Source:UniProtKB/TrEMBL;Acc:I1R2H1] MKKKGGRKIFGFMVKEEKEENWGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRQRLLAYLAKKNRVRYKKLISQLDIRER >ORGLA10G0159100.1 pep scaffold:AGI1.1:ADWL01020642.1:17525:17716:1 gene:ORGLA10G0159100 transcript:ORGLA10G0159100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Orf63 [Source:UniProtKB/TrEMBL;Acc:I1QWR2] MSFNSRTRNWKVTEGDPSFCNENYIKNSGQFRNQAKRLNTYAKKFIIGPPLIRRFNLYESLLV >ORGLA10G0159000.1 pep scaffold:AGI1.1:ADWL01020642.1:13263:13625:-1 gene:ORGLA10G0159000 transcript:ORGLA10G0159000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANLLAVFAPPPPLSLSGHLGALAGDPGCFPLDDEAYPPSSHWPTLTPVIFGSYLVFRVCLDLVPLAQPAPKQCFTPRCPVNCCASTHFGENQLALGSSGISPLTTTHPLILQHQSVRTSA >ORGLA10G0158900.1 pep scaffold:AGI1.1:ADWL01020642.1:12174:12714:1 gene:ORGLA10G0158900 transcript:ORGLA10G0158900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQLSTFCFEILRRVALWRAHSIYDFAFMDVDKILPFSSTLGWHSLNVNGEVQKRKGLRWIPRYPETRKGVASDEMLRGVENKHRSGDSQIGQPFELPAESMSRQETTWRTETS >ORGLA10G0158800.1 pep scaffold:AGI1.1:ADWL01020642.1:10553:10954:1 gene:ORGLA10G0158800 transcript:ORGLA10G0158800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSGRSGGGTTAPLFSRIHTSLISVWRAISRAQVEVRPQWENGAPNNASSQTKNYEITLSFWGDGGIVPFEPFFHAFPGGLEKAAINRTSLILPS >ORGLA10G0158700.1 pep scaffold:AGI1.1:ADWL01020642.1:7851:8069:-1 gene:ORGLA10G0158700 transcript:ORGLA10G0158700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILFSMFYSILVGEEPDSVFLKKEGKQNQVKMIWVAPSSCAKDLTISEGTGATFLFNFHSRVSICFHALF >ORGLA10G0158600.1 pep scaffold:AGI1.1:ADWL01020642.1:6340:6618:-1 gene:ORGLA10G0158600 transcript:ORGLA10G0158600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDRKGDLSVDFSTINPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYRIIRGALDAVAVKNRQQGRSSAL >ORGLA10G0158500.1 pep scaffold:AGI1.1:ADWL01020642.1:5253:5723:-1 gene:ORGLA10G0158500 transcript:ORGLA10G0158500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:I1Q659] MSRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDAAKGGGGAIRKKEATHRMAEANRALAHFR >ORGLA10G0158400.1 pep scaffold:AGI1.1:ADWL01020642.1:2709:4953:-1 gene:ORGLA10G0158400 transcript:ORGLA10G0158400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit N [Source:UniProtKB/TrEMBL;Acc:I1Q4K3] MIWHVQNENFILDSTRIFMKAFHLLLFQGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTSLVISITALLFRWREEPIISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKRDLRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGLGFKLSPAPFHQWTPDVYEGSPTPVVAFLSVTSKVAASASATRILDIPFYFSSNEWHLLLEILAILSMILGNLLAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVSIGLLTSVLSIYYYLKIVKLLMTGRNQEITPYVRNYRRSPLRSNNSIELSMTVCVIASTIPGISMNPILAIAQDTLF >ORGLA10G0158300.1 pep scaffold:AGI1.1:ADWL01020642.1:1311:2060:1 gene:ORGLA10G0158300 transcript:ORGLA10G0158300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDETLLVFTLVVSSVSVFLFGILLFMVLISATRDFRERTKSKLVKIMIWAGIVVITFAIAVRIYPIFIFLLKERIKPLVEALYDKLPWIWEVSLSRYWDRLIDFLDRYLWACAQRIQTGIRKQKGEFVVTFSCRVKKRLYARAIEVGIHLSLLSNLFWILKTTLAVGYRLLWVLYYIISFEGFLGSFRLYLVYFGFYCLLFSGKWLRTSEDRGERQAQISGILLRGMLIECAFSVLCLEEDSNLHAL >ORGLA10G0158200.1 pep scaffold:AGI1.1:ADWL01020642.1:3:278:-1 gene:ORGLA10G0158200 transcript:ORGLA10G0158200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRMGPILGHTMHYRRMIITLQPGYSIPLLDREK >ORGLA10G0158100.1 pep scaffold:AGI1.1:ADWL01020641.1:7873:8094:1 gene:ORGLA10G0158100 transcript:ORGLA10G0158100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKKSKFGMSGYVKFVSCTRGFPKVFRTPSMSLVRGFRLPTSGINRGGA >ORGLA10G0158000.1 pep scaffold:AGI1.1:Oglab10_unplaced023:13871:14092:-1 gene:ORGLA10G0158000 transcript:ORGLA10G0158000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDAIWHTMKLLCAYGIRSRIWKESKFGMSGYVKFVSYTRGFPKVFRTLSMSLVRGFRLPTSGVNRGGA >ORGLA10G0157900.1 pep scaffold:AGI1.1:Oglab10_unplaced023:3114:11830:-1 gene:ORGLA10G0157900 transcript:ORGLA10G0157900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSAAMGSIRSVRKKLAALLSDERKDFIRVRSKVKPLIDALESVHACFEKLSLEDEKNLDALQEAWMMDLRELSYDMEDSLDRFLVKSAAEPGSCKKLFKILLTKIKGSTNGIVKVIQDIKMPVEELNERMNRFKLSDDRHVTYDPIKIDSRVTALYVDASHLVGLDGPKLELIKMLRIEDEPEPSKKLVVVSIVGPGGLGKTTLANQVYHHLKPEFDCSAFVSVGQNPDVLRILGNILSGLTHQPYATTGSTVQVLVEKTRRFIADKRYLVLLDDIWNATDWEMLRYSLPDDHSGSRIITTTRIVDVARYCSDTEGHIYKMDPLSDLDSQRLFFTRIFGSDDGCPTHLKRISMDILKRCGGLPLAILTLASTLANVPMLAEKWKQVHNSIGLETPGENMNRILSLSFHDLPIHLKTCLLYLSIFPEDYVIEREQLVWRWIAEGFIPETRGIPLERVGSAYFNELINRSMIQPADVQYDGTVQSCRVHDMILELIVSISTKINFVAVLHKDQDETRFTDYKTKVRRLAHQSNCIEKLLERMSRDDLSHIRSIIPFDYVKGIPHLGDFQALRVLDLGSCRSIENHHIENLEILYQLKYLDLSRTSISELPMQIDNLRYLETLDLRGCAIEKLPASIVRLQNMQRLLVNRSVKFPDEIGHMQALQMLLFVSMSCNSIKFVEELRKLIKMRVLNITFSKPVDMVDEVRKYTDSLVSSLNKLVNLESLKIDPEEGCSLDSLMGVYLTFGCLKKLVIGYISRIPKWINPSMYNLVHLELKVDIVREEDLHSLELLRCLLYLQLEMTDGSSKMLTVGSKGFRCLRESQFIWKNDGMGLVFLEGAMPELRTLHLYFRTHETTANYSGVDGSGIDNLSRLNKLCVDVDCSGSTREEVEAVEDSIRKAADRHRTKLTLEIQRWCEACMIEEEAHEGNAEFPSRSVNRRANPVRVAFRVRADRRNRNRTRRNRITAPCAST >ORGLA10G0157800.1 pep scaffold:AGI1.1:ADWL01020635.1:8514:10202:1 gene:ORGLA10G0157800 transcript:ORGLA10G0157800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVALLILKLGLALGRETSILGAKKLFHEATALSRLFQGIREVKEELEGMQSFLRGAERFKDTDETTANFIKKIRDLAFEIEDIVDEFTYMLEDRSHGALASKIVKRIKHIKAWRHLASKLEYIKLKIESADRRKVRYDMRGISSVAGNIDDCSTSSGNFAREEDLVGIGKNGELLTHWLKNNLEQQRSIITTVWGMGGVGKTTLVAYVYYAVRQSLMQQGGXLSLKVTXLRTYXSRSSEDSSTMIHRRTCITTSIFLPXESQIXLSTSVIICMVKGMSXFWMMFGLWMFGLRXELPFLQIAPVDLSLHPGYMKXHCLRQGTVXFSXNHLDHDIHGNYSARKHSGKMKKKFAHQNLRLWLKSFWTGVVAYPLQLHVXVACCRSKNQAMMFGRIFTRMCNRSXLIMXSLTSMLFSKLAWRSFHMIXRTVFYTAPCFQRTTXCQERGXXGIGXQQDSSEKQATKPWRMLQTTICINSXTEAYCKXXRGIEMEKCIPVECMILSAFLVWQNQKRNVFVEFMMAPGPLXQRVHLICATSTSLGVV >ORGLA10G0157700.1 pep scaffold:AGI1.1:Oglab10_unplaced017:132010:134524:-1 gene:ORGLA10G0157700 transcript:ORGLA10G0157700.1 gene_biotype:protein_coding transcript_biotype:protein_coding YKLRDGYNPRPQKHNCSRQCGTIAVPFPFGLEEGCAAREVFQLSCVDKANSVLQYNDFISVQYIYVSEGLVGIKYNSSFVEILFNMFSQFRFSSQGVVSNIFGKGPNLYVDPTESASVQWAVANLTCQQAQQNTSTYACVSTNSSCTRVISTMQGYVGYRCTCLPGYDGNPYIPDGCKGIIIGLTIGFGVLILSSIVIAIIRRWKRDVHKKIRRKYFQKNQGLLLEQLISSDESASEKTKIFSIEELKRATNNFDSTRILGHGGHGTIYKGILSNQHVVAIKKAKVIKEGEINDFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFELLHHDSSSTFPLSWGDRLRIAAEAAGALCYLHSAASISIFHRDVKSSNILLDANYTAKVSDFGASRSVPVDQSHVVTNVQGTFGYLDPEYYQTGQLNEKSDVYSFGVVLLELLLRMQPIFTAMSGMKQNLCTYFLSEIKTRPIIDLVDPPVLEEANEEDIRQVASLAEMSIKLKGEERPTMRQVEITLQLLRTEKMTPSHVSPDRNQEIESLLTQGAIDQVMHALVNVDRANVASQRSETSCYSLEKEFLSSANLPR >ORGLA10G0157600.1 pep scaffold:AGI1.1:Oglab10_unplaced017:112664:113071:-1 gene:ORGLA10G0157600 transcript:ORGLA10G0157600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSDLQWRQGWLAVGLPPAPVVAVSAIVGFFLYLTWQMDEYEEQLRRRTQAGLWVLLVLGAVALVLLGSHALVDAGGRVAVPVSWRWGGGYGGSAEDGGGASPWAVAAVVAVLLVLASHKPSFQMFRPPWHYK >ORGLA10G0157500.1 pep scaffold:AGI1.1:Oglab10_unplaced017:94807:101817:1 gene:ORGLA10G0157500 transcript:ORGLA10G0157500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKAWMGLVDDRLNHEYLEGVEKFIDYAFSKLDGVQVIRCPCIKCCNTYSLPRHIVSSHLKAYGILRSYTFWYHHGEVLAEQENDIEVDEYDSQEFNGEGYNGMQDLMEDLFPQCNTPGGDEVTHESTDQGPEEEPNTNAAKFYALLDKYNQPLYEGFRTSKLSALVNLLHVKNLGKWKGSIAEGYIADEFMTLSSRYLDDVETKHNRLGRIHDTSIGNKINLSIFSCAGRPIGSRKTRDLDMLESEQAHIYILRNCDEVQAYISEYCNSTERSSMVQFTSTWNKKFINWFKEKIYQQHQHDKSEVMEDLLSLSRGPLKNITCFTGYDMNGFRYRTERRDSRRCTQNSGVMVVVMISGRYGRNRVSALQNNNSKFISPGLLQQQVARRTAPSIADDLFGSPENMVSATAIVQEGKSKPVYVRQSNLKYQKHTAVPQPGEEDDSDEESIDTWLRRAREYNNSKNMGDANDDTTEEDGVHNSNQAAKRRLRPQTNSRAPSDGSQSVKCSSCGKNKYISNSNNGSHASDDAHNSILAAKRRRSTQPNLQDTEQGTKMVQHKGRGKNKCKEVAKLKENQKIKVEFYNNRALSNSFSRHLGRIVRDRNITPVKVKKWSDISNTEQEHIFASITDKFENEDPDIKIDVYKDEIMEHAKSLWINWRGDLHRHFVKPAKTMQQAIKNKPKDFDPSDWQWLVQEHFYSKAFIAMSLRNSRNRAGLKMPHRTGSKPFREIIYNMGGKENRPPTLDELFFETRKKGDTLVDVESSRMHAELVDVVSSDPNLSNVEVMDKCYNGNKRRDHLIGFGGGVKARDVRGPALSKAELHARLRATERENKMLREENSQVVESVNQMQNEWAEMRRDYYANCENRSDTGSQRRHERIHSERNDYDANEDGTC >ORGLA10G0157400.1 pep scaffold:AGI1.1:Oglab10_unplaced017:90995:92516:1 gene:ORGLA10G0157400 transcript:ORGLA10G0157400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSRSLSPEVTTRGCIEWPTWSPQCSRMSILPTTEHLDAKLLHKYISPPDLPSHSVICSSSLGTHQSTKIVTTGSEDHSFSLDSVGSKDHSYSFVSVVEDTMGDAVEDKMYQVVKEDEPKELLRDSKVNLMANVVEDTMKEKEINEDDHMGWYSLASVVEDTMDQQVGADIMDQQVVEDSLVTDTMEESDEDEYLKAEYEKGALIFARDEANALERKEAEARYEKSLEEIRDEFLKIYVPLYFTLRKK >ORGLA10G0157300.1 pep scaffold:AGI1.1:Oglab10_unplaced017:36074:36652:-1 gene:ORGLA10G0157300 transcript:ORGLA10G0157300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNSMKAATTACMPRLVRGGCRASTARPAASVSLLERIRDVVLRLIMLSAVSKASVKRTGGGKASSSSSSPRAAPPPPVMTSCRRDDSIRNEAVEDCIEFLKRSSAEGDAAKLSSVTAAETVAVARDLATTKPSSSPSSVITTDDAPAKQPTIGEHLAASSPASPVTAGAVEVGMRRSTSPAAASSSPRLV >ORGLA10G0157200.1 pep scaffold:AGI1.1:Oglab10_unplaced017:26259:30065:1 gene:ORGLA10G0157200 transcript:ORGLA10G0157200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPRRLWKLNLDAQSAGHVQAEEENLLYNFEALDEADAQFNDDNLHLEAQDAGNVQPTEDSEHDLSENDDIITELPLAAKRPINIIWPNGEVRQVLGNFNVKNLDQLKQGKVIVETDEHGIPNDRSGSVLGSYLGKLAQNSTFAPLDIPKWDHDLFVGPKESIVADVELFMVKMKFVYPSETKQLTRDWILMKADKGWRSYKSRLKGRYFNPDVRTLNDILKYVPKGVNGYQWRGLVKIWCQDKHKKLCEKNSESAKQQRNPHTTGRKSHARLCKEMVEAKVKGKVHDIDVWDEAHKKKDERIKAVVESAYHELAKKKSTSCSGLSSKDYDEVFRRVVGKETKLRGYYDHKNWSQIRVSQGLDVVGQSEEYAMLKLQMNAMENKIEAMSVDMTLMRAFIEQKFPGEDWRNIVVAEQNKEVDISKQVGNDIQHESNVEESCEHVHSTPDNVQQKHLISYIPAKNGCHKPSVPHEHMIAKQSSIGSFARRSEASQRYMESTMAHDHGTDKVDMFDQVDIDCTNEFDNNFDNSFANTNAKSNHVQQVHSISSANGKGRRFNPSIPYERTNAKQLSGESLGKRSITSQMNVESMVPRENVTNEAYVSEQDGTDIMNGFVHNREEPHANENAIPNNQLCSVSSTRAKENCSTKALPYDHITAKQSSIKSSVKRTSTNCVDSILPPDKAKQDQRVVFLFSLNPVYKGKVVAKGNLVTTDSTYVVGGNMLGNEYYGVAVHSVTNIGDERLPRPSENCTTLREAIGYVIPWPRPYVRKRRDTSSAQ >ORGLA10G0157100.1 pep scaffold:AGI1.1:Oglab10_unplaced017:8279:11879:-1 gene:ORGLA10G0157100 transcript:ORGLA10G0157100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRDEEQILYDTIAEGSSQYWNEEEGNEDPNQYLNEEGNVERDAEGNEEGNGGQRGAAKKIEGRHIITEVDEDGRPSAPAEAAKNFVRHSGWVVRDNVPVSTVYWRKTRARGDNDSFVPDSEKEMLWTTMLETFTLPAGTENIVKQWTLKKMAEQFQSFKGDLYKKYILKGQTPNFDTFPKLRDHWDEFVAYKTGQQGQAMMERNKENAAKKKYHHHLGSGGYSVAMPKXEDMEASLIERGIEPATVKWPDRSKFWNYAHGGTLNPIDGSLVFSDQIREAASRLTDAVVASSQGTFRPDRENDELSLAQQTPECPGRTXGKGVIPWKIGFKEDIHTYRSQMRSKRDTEAKIADLEYRVSSYELSMQEEVARKVDERMAVHRSQDPHPYIHPAMVSPSGNRSSPASTGQVGSXSMDAMQTQDETTCPVDEITQRTPCELHIPFKNLSIKVASGMAIPTDPSGTYHCRPIPAGYSRVEVELVEAAYEDLELDYAGGDGEMHLRDTSHAIILWRKRYIILPGRQAASRAPSPPAPPSPPAPSPPVPPPRPLAPSPPAPPPPPPAPSPPAPPPPPPPPPPCPPAPPKTRSRQAPPPARTRATKKAKVNATKNKEPPYDCSQEELDAYVAGEVKRQLKPRSPEKKIPIDPSVKKFFQGMSTANKEALKISDYDRTLRKAYXKKSKPVPQLGEQPNQEVEPLVTGEDFGITDFISDTDLTVDQLVGAAPIPKVEVAYKFELGKPLVKLEQLQSLPTQMYKFHERYMEMSANGREVFGARIRTSDFLQGEDVLWIHFKDVFDLYHLDALDVSLLSAWILMEIQRARRRGVYDTGFIDPWKINTEMIDKYEKDTEDNLVHLLTQQHFKTFILLPYNTEVTVYDSMNKEEKVFDQVFQLIDRAWGSVPSIGPRDMERKTWMEVSFSMCKAGPGNXLMRLLRMRVCPLPIKPNIHHTRARSYSHEGKSPTQGFYHGCSRTTDGVHQRRSP >ORGLA10G0157000.1 pep scaffold:AGI1.1:Oglab10_unplaced017:6884:7291:1 gene:ORGLA10G0157000 transcript:ORGLA10G0157000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLFNIRNCFCFAAGKPDAAGDRKKNVKANKKEINQPPATSTGGKRRLATPSAAATTRADVRPKLKPTMSSRPAADAAAVRADRKPRKYPAAATARAHRGVELRRSAASRQMTAAKDESANFMLMTLTTLIFLM >ORGLA10G0156900.1 pep scaffold:AGI1.1:Oglab10_unplaced016:55613:56674:1 gene:ORGLA10G0156900 transcript:ORGLA10G0156900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II protein D1 [Source:UniProtKB/TrEMBL;Acc:I1PHW4] MTAILERRESTSLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAALEVPSLNG >ORGLA10G0156800.1 pep scaffold:AGI1.1:Oglab10_unplaced016:52052:52267:1 gene:ORGLA10G0156800 transcript:ORGLA10G0156800.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVYRIVAIDVRSRREGRDLRKVGFYDPIKNQTCLNVPAILYFLEKGAQPTRTVSDILRKAEFFKEKERTLS >ORGLA10G0156700.1 pep scaffold:AGI1.1:Oglab10_unplaced016:49535:49720:-1 gene:ORGLA10G0156700 transcript:ORGLA10G0156700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein K [Source:UniProtKB/TrEMBL;Acc:I1QWI4] MPNILSLTCICFNSVIYPTSFFFAKLPEAYAIFNPIVDFMPVIPVLFFLLAFVWQAAVSFR >ORGLA10G0156600.1 pep scaffold:AGI1.1:Oglab10_unplaced016:45228:46649:-1 gene:ORGLA10G0156600 transcript:ORGLA10G0156600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP43 reaction center protein [Source:UniProtKB/TrEMBL;Acc:I1PHW8] MKILYSLRRFYHVETLFNGTFVLAGRDQETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVLDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVLKALYFGGIYDTWAPGGGDVRKITNLTLSPGVIFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGFICVFGGIWHILTKPFAWARRAFVWSGEAYLSYSLGALSVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFFFVGHLWHAGRARAAAAGFEKGIDRDLEPVLYMTPLN >ORGLA10G0156500.1 pep scaffold:AGI1.1:Oglab10_unplaced016:44433:44645:-1 gene:ORGLA10G0156500 transcript:ORGLA10G0156500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein Z [Source:UniProtKB/TrEMBL;Acc:I1Q6A0] MVTWRIRNMTIAFQLAVFALIVTSSVLVISVPLVFASPDGWSNNKNVVFSGTSLWIGLVFLVAILNSLIS >ORGLA10G0156400.1 pep scaffold:AGI1.1:Oglab10_unplaced016:41988:42257:1 gene:ORGLA10G0156400 transcript:ORGLA10G0156400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGYGKSNMPHPNRKRKGTDTQYDYWEELLVMVSGLYALFCVFLVLFIFFDSFKQESNKLELSGKEEKKKLNGENRLSRDIQNLLYIK >ORGLA10G0156300.1 pep scaffold:AGI1.1:Oglab10_unplaced016:41551:41763:1 gene:ORGLA10G0156300 transcript:ORGLA10G0156300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSIYVLFPLIGWGINEFAPFIYPYGWVLIFSDILLVCFGERLKQFLTGIPWKNLRVQNFAIFLKRIC >ORGLA10G0156200.1 pep scaffold:AGI1.1:Oglab10_unplaced016:33883:37110:-1 gene:ORGLA10G0156200 transcript:ORGLA10G0156200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:I1R2F1] MLRNGNEGMSTIPGFSQIQFEGFCRFINQGLAEELEKFPTIKDPDHEISFQLFAKGYQLLEPSIKERDAVYESLTYSSELYVSARLIFGFDVQKQTISIGNIPIMNSLGTFIINGIYRIVINQILLSPGIYYRSELDHKGISIYTGTIISDWGGRSELAIDKKERIWARVSRKQKISILVLSSAMGSNLKEILDNVSYPEIFLSFPNAKEKKRIESKEKAILEFYQQFACVGGDLVFSESLCEELQKKFFQQKCELGRIGRRNMNRRLNLDIPQNSTFLLPRDVLAATDHLIGMKFETGILDDDDMNHLKNKRIRSVADLLQDQFGLALGRLQHAVQKTIRRVFIRQSKPTPQTLVTPTSTSILLITTYETFFGTYPLSQVFDQTNPLTQTVHGRKVSCLGPGGLTGRTASFRSRDIHPSHYGRICPIDTSEGINVGLTGSLAIHARIDHWWGSVESPFYEISEKAKKKKERQVVYLSPNRDEYYMIAAGNSLSLNRGIQEEQVVPARYRQEFLTIAWEQIHVRSIFPFQYFSIGGSLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQTALDSRVSVIAEREGKIISTNSHKILLSSSGKTISIPLVTHRRSNKNTCMHQKPRVPRGKSIKKGQILAEGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTDTTSQGSAEKITKEIPHLEEHLLRNLDRNGVVKLGSWVETGDILVGKLTPQIASESSYIAEAGLLRAIFGLEVSTSKETSLKLPIGGRGRVIDVKWIQRDPLDIMVRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGTPVDMVFNPLGVPSRMNVGQIFESSLGLAGDLLKKHYRIAPFDERYEQEASRKLVFSELYEASKQTKNPWVFEPEYPGKSRIFDGRTGDPFEQPVLIGKSYILKLIHQVDEKIHGRSTGPYSLVTQQPVRGRAKQGGQRIGEMEVWALEGFGVAHILQEILTYKSDHLIARQEILNATIWGKRVPNHEDPPESFRVLVRELRSLALELNHFLVSQKNFQVNREEV >ORGLA10G0156100.1 pep scaffold:AGI1.1:Oglab10_unplaced016:31797:33845:-1 gene:ORGLA10G0156100 transcript:ORGLA10G0156100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit gamma [Source:UniProtKB/TrEMBL;Acc:I1QWH8] MIDQYKHQQLQIGLVSPQQIKAWANKTLPNGEVVGEVTRPSTFHYKTDKPEKDGLFCERIFGPIKSRICACGNSRASGAENEDERFCQKCGVEFVDSRIRRYQMGYIKLACPVTHVWYLKGLPSYIANLLDKPLKKLEGLVYGDFSFARPSAKKPTFLRLRGLFEDEISSCNHSISPFFSTPGFTTFRNREIATGAGAIREQLADLDLRIILENSSVEWKELEDEGYSGDEWEDRKRRIRKVFLIRRMQLAKHFIQTNVEPEWMVLCLLPVLPPELRPIVYRSGDKVVTSDINELYKRVIRRNNNLAYLLKRSELAPADLVMCQEKLVQEAVDTLLDSGSRGQPTRDGHNKVYKSLSDVIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHQCGLPLEIAIKLFQLFVIRDLITKRATSNVRIAKRKIWEKEPIVWEILQEVMRGHPVLLNRAPTLHRLGIQAFQPTLVEGRTICLHPLVCKGFNADFDGDQMAVHLPLSLEAQAEARLLMFSHMNLLSPAIGDPICVPTQDMLIGLYVLTIGNRRGICANRYNSCGNYPNQKVNYNNNNPKYTKDKESLFSSSYDALGAYRQKQICLDSPLWLRWKLDQRVIGLREVPIEVQYESLGTYREIYAHYLVVGNRKKEIRSIYIRTTLGHISFYREIEEAIQGFSQAYSYTI >ORGLA10G0156000.1 pep scaffold:AGI1.1:Oglab10_unplaced016:25620:26330:-1 gene:ORGLA10G0156000 transcript:ORGLA10G0156000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S2 [Source:UniProtKB/TrEMBL;Acc:I1QKT9] MTRRYWNINLKEMIEAGVHFGHGIKKWNPKMAPYISAKRKGTHITNLARTTRFLSEACDLVFDAASQGKSFLIVGTKKRAADLVASAAIRARCHYVNKKWFSGMLTNWSITKTRLSQFRDLRAEEKMEKFHHLPKRDVAILKRKLSTLQRYLGGIKYMTRLPDIVIVLDQQKEYIALRECAILGIPTISLADTNCDPDLANISIPANDDTMTSIRLILNKLVFAICEGRSLYIRNH >ORGLA10G0155900.1 pep scaffold:AGI1.1:Oglab10_unplaced016:24626:25369:-1 gene:ORGLA10G0155900 transcript:ORGLA10G0155900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 A subunit [Source:UniProtKB/TrEMBL;Acc:I1QWH6] MNIIPCSIKTLKGLYDISGVEVGQHFYWQIGGFQIHAQVLITSWVVITILLGSVIIAVRNPQTIPTDGQNFFEYVLEFIRDLSKTQIGEEYGPWVPFIGTMFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALALLTSAAYFYAGLSKKGLSYFEKYIKPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >ORGLA10G0155800.1 pep scaffold:AGI1.1:Oglab10_unplaced016:23586:23831:-1 gene:ORGLA10G0155800 transcript:ORGLA10G0155800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 C subunit [Source:UniProtKB/TrEMBL;Acc:I1Q651] MNPLIAAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >ORGLA10G0155700.1 pep scaffold:AGI1.1:Oglab10_unplaced016:21747:23128:-1 gene:ORGLA10G0155700 transcript:ORGLA10G0155700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 B subunit [Source:UniProtKB/TrEMBL;Acc:I1QKU1] MKNVTHSFVFLAHWPSAGSFGLNTDILATNLINLTVVVGVLIYFGKGVLKDLLDNRKQRILSTIRNSEELRRGTIEQLEKARIRLQKVELEADEYRMNGYSEIEREKANLINATSISLEQLEKSKNETLYFEKQRAMNQVRQRVFQQAVQGALGTLNSCLNTELHFRTIRANISILGAMEWKS >ORGLA10G0155600.1 pep scaffold:AGI1.1:Oglab10_unplaced016:20133:21656:-1 gene:ORGLA10G0155600 transcript:ORGLA10G0155600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1Q643] MATLRVDEIHKILRERIEQYNRKVGIENIGRVVQVGDGIARIIGLGEIMSGELVEFAEGTRGIALNLESKNVGIVLMGDGLMIQEGSFVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEIVASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQKGQDVICVYVAIGQRASSVAQVVTTFHEEGAMEYTIVVAEMADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLNSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKSKLELAQFAELQAFAQFASALDKTSQNQLARGRRLRELLKQSQANPLPVEEQIATIYIGTRGYLDSLEIGQVKKFLDELRKHLKDTKPQFQEIISSSKTFTEEAEILLKEAIQEQLERFSLQEQT >ORGLA10G0155500.1 pep scaffold:AGI1.1:Oglab10_unplaced016:19242:19553:1 gene:ORGLA10G0155500 transcript:ORGLA10G0155500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S14 [Source:UniProtKB/TrEMBL;Acc:I1Q644] MAKKSLIQRERKRQKLEQKYHLIRRSSKKKIRSKVYPLSLSEKTKMREKLQSLPRNSAPTRLHRRCFLTGRPRANYRDFGLSGHILREMVYACLLPGATRSSW >ORGLA10G0155400.1 pep scaffold:AGI1.1:Oglab10_unplaced016:16890:19094:1 gene:ORGLA10G0155400 transcript:ORGLA10G0155400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A2 [Source:UniProtKB/TrEMBL;Acc:I1R2E3] MELRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWIQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGAAGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSTLSLIGGWLHLQPKWKPSLSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLDVLPYPQGLGPLLTGQWNLYAQNPDSSNHLFGTTQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLIAGHMYRTNFGIGHSIKDLLEAHTPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPSYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTTYGFDILLSSTSGPAFNAGRTLWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >ORGLA10G0155300.1 pep scaffold:AGI1.1:Oglab10_unplaced016:14612:16864:1 gene:ORGLA10G0155300 transcript:ORGLA10G0155300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A1 [Source:UniProtKB/TrEMBL;Acc:I1Q6B3] MMIRSPEPEVKIVVDRDPVKTSFEEWARPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTGDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGALIFASLMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQIHVSLPINQFLDAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYAEFLSFRGGLDPITGGLWLSDIAHHHLAIAILFLIAGHMYRTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSTTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWVQNLHAGAPSVTAPGATTSTSLTWGGGELVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGTISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIIQGRAVGVTHYLLGGIATTWAFFLARIIAVG >ORGLA10G0155200.1 pep scaffold:AGI1.1:Oglab10_unplaced016:11986:14011:1 gene:ORGLA10G0155200 transcript:ORGLA10G0155200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf3 [Source:UniProtKB/TrEMBL;Acc:I1QWG9] MPRSRINGNFIDKTFSIVANILLRIIPTTSGEKRAFTYYRDGMLAQSEGNYAEALQNYYEATRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPXXXXXXXXXXXRGEQAILQGDSEIAEAWFDQAAEYWKQAIALTPGNYIEAQNWLKITKRFEFE >ORGLA10G0155100.1 pep scaffold:AGI1.1:Oglab10_unplaced016:10410:11015:1 gene:ORGLA10G0155100 transcript:ORGLA10G0155100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:I1Q1X7] MSRYRGPRFKKIRRLGALPGLTRKTPKSGSNLKKKFHSGKKEQYRIRLQEKQKLRFHYGLTERQLLRYVHIAGKAKSSTGQVLLQLLEMRLDNILFRLGMASTIPEARQLVNHRHILVNGRIVDIPSFRCKPRDIITTKDNQRSKRLVQNSIASSDPGKLPKHLTIDTLQYKGLVKKILDRKWVGLKINELLVVEYYSRQT >ORGLA10G0155000.1 pep scaffold:AGI1.1:Oglab10_unplaced016:7357:7836:1 gene:ORGLA10G0155000 transcript:ORGLA10G0155000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit C [Source:UniProtKB/TrEMBL;Acc:I1Q5K8] MQQGWLSNWLVKHEVVHRSLGFDHRGIETLQIKAEDWDSIAVILYVYGYNYLRSQCAYDVAPGGSLASVYHLTRIQYGIDNPEEVCIKVFAQKDNPRIPSVFWIWRSSDFQERESFDMVGISYDNHPRLKRILMPESWIGWPLRKDYITPNFYEIQDAH >ORGLA10G0154900.1 pep scaffold:AGI1.1:Oglab10_unplaced016:6582:7259:1 gene:ORGLA10G0154900 transcript:ORGLA10G0154900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit B [Source:UniProtKB/TrEMBL;Acc:I1Q5K7] MSLIEFPLLDQTSSNSVISTTLKDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDALTKLRKKISREIVEDRTLSQKKNRCFTTSHKLYVRRSTNTGTYEQELLYQSPSTLDISSETFFKSKSPVSSYKLVN >ORGLA10G0154800.1 pep scaffold:AGI1.1:Oglab10_unplaced016:6167:6529:1 gene:ORGLA10G0154800 transcript:ORGLA10G0154800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit A [Source:UniProtKB/TrEMBL;Acc:I1QKU8] MFLLHEYDIFWAFLIIASLIPILAFWISALLAPVREGPEKLSSYESGIEPMGGAWLQFRIRYYMFALVFVVFDVETVFLYPWAMSFDVLGISVFIEAFIFVLILVVGLVYAWRKGALEWS >ORGLA10G0154700.1 pep scaffold:AGI1.1:Oglab10_unplaced016:3162:4423:1 gene:ORGLA10G0154700 transcript:ORGLA10G0154700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGVINEKNLEESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGNGQIGVLPNHAPINTAVDMGPLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQQALEIAEANVSRAEGTKELVEAKVALRRARIRVEAVNWIPPSN >ORGLA10G0154600.1 pep scaffold:AGI1.1:Oglab10_unplaced016:286:1740:-1 gene:ORGLA10G0154600 transcript:ORGLA10G0154600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCREGRMSPQTETKASVGFKAGVKDYKLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVVGEDNQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPPTYSKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRACYECLRGGLDFTKDDENVNSQPFMRWRDRFVFCAEAIYKSQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHAGTVVGKLEGEREMTLGFVDLLRDDFIEKDRARGIFFTQDWVSMPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNEGRDLAREGNEIIRSACKWSPELAAACEIWKAIKFEFEPVDKLDS >ORGLA10G0154500.1 pep scaffold:AGI1.1:Oglab10_unplaced015:18239:18601:-1 gene:ORGLA10G0154500 transcript:ORGLA10G0154500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYGIAGLFISAYLWCTILWNVGSGYDRFDRKEGVVCIFRWGFPGIKRRVFLRFLMRDIQSIRIQVKEGLFPRRILYMEIRGQGAIPLTRTDEKFFTPREIEQKAAELAYFLRIPMEVF >ORGLA10G0154400.1 pep scaffold:AGI1.1:Oglab10_unplaced015:17128:17820:-1 gene:ORGLA10G0154400 transcript:ORGLA10G0154400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CemA [Source:UniProtKB/TrEMBL;Acc:I1PHZ2] MKKKKALPSFLYLVFIVLLPWGVSFSFNKCLELWIKNWWNTRQSQTLLTAIQEKRVLERFMELEDLFILDEMIKEKPNTHVQNPPIGIRKEIIQLAKIDNEGHLHIILHFSTNIICLAILSGSFFLGKEELVILNSWVQEFFYNLNDSVKAFFILLVTDFFVGFHSTRGWELLIRWVYNDLGWVPNELIFTIFVCSFPVILDTCLKFWVFFCLNRLSPSLVVIYHSISEA >ORGLA10G0154300.1 pep scaffold:AGI1.1:Oglab10_unplaced015:15936:16898:-1 gene:ORGLA10G0154300 transcript:ORGLA10G0154300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome f [Source:UniProtKB/TrEMBL;Acc:I1PHZ3] MENRNTFSWVKEQMTRSISVSIMIYVITRTSISNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVLRIPYDMQLKQVLANGKKGGLNVGAVLILPEGFELAPPDRISPELKEKIGNLSFQSYRPNKKNILVIGPVPGKKYSEIVFPILSPDPAMKKDVHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATSTGVVRKILRKEKGGYEISIVDASDGRQVIDLIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFFASVILAQVFLVLKKKQFEKVQLYEMNF >ORGLA10G0154200.1 pep scaffold:AGI1.1:Oglab10_unplaced015:14166:14417:1 gene:ORGLA10G0154200 transcript:ORGLA10G0154200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b559 subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1QKV4] MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITDRFDSLEQLDEFSRSF >ORGLA10G0154100.1 pep scaffold:AGI1.1:Oglab10_unplaced015:8204:8854:1 gene:ORGLA10G0154100 transcript:ORGLA10G0154100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:I1Q6D3] MPIGVPKVPYRIPGDEEATWVDLYNVMYRERTLFLGQEIRCEVTNHITGLMVYLSIEDGISDIFLFINSPGGWLISGMAIFDTMQTVTPDIYTICLGIAASMASFILLGGEPTKRIAFPHARIMLHQPASAYYRARTPEFLLEVEELHKVREMITRVYALRTGKPFWVVSEDMERDVFMSADEAKAYGLVDIVGDEMLDEHCDTDPVWFPEMFKDW >ORGLA10G0154000.1 pep scaffold:AGI1.1:Oglab10_unplaced015:6166:7692:-1 gene:ORGLA10G0154000 transcript:ORGLA10G0154000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP47 reaction center protein [Source:UniProtKB/TrEMBL;Acc:I1QWF7] MGLPWYRVHTVVLNDPGRLLSVHIMHTALVSGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSISGGTVTNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQAVNPAWGAEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSDGLAENLSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLVDEEGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRSQLGEIFELDRATLKSDGVFRSSPRGWFTFGHATFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGTFQKVGDPTTRRQPV >ORGLA10G0153900.1 pep scaffold:AGI1.1:Oglab10_unplaced015:5389:5619:-1 gene:ORGLA10G0153900 transcript:ORGLA10G0153900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMATQTVEDSSRPGPRQTRVGNLLKPLNSEYGKVAPGWGTTPFMGVAMALFAVFLSIILEIYNSSVLLDGILMN >ORGLA10G0153800.1 pep scaffold:AGI1.1:Oglab10_unplaced015:3799:4497:-1 gene:ORGLA10G0153800 transcript:ORGLA10G0153800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6 [Source:UniProtKB/TrEMBL;Acc:I1PI01] MKFSYTVLGGGFGLVTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFSSVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFLMIRKQGISGPL >ORGLA10G0153700.1 pep scaffold:AGI1.1:Oglab10_unplaced015:2377:2796:-1 gene:ORGLA10G0153700 transcript:ORGLA10G0153700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPTGLLTVPFLENVNKFQNPFRRPVATTVFLIGTAVALWLGIGATLPIEKSLTLGLF >ORGLA10G0153600.1 pep scaffold:AGI1.1:Oglab10_unplaced015:104:2157:1 gene:ORGLA10G0153600 transcript:ORGLA10G0153600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRVKIEVSRYDSSKGRIIYRLPHKDSKRTEDSKDTEDLKDTKDSKDPQGRVVFWSSAGTCGFKSSRKASPYAGQRTAVDAIRTVGLQRAEVMVKGAGSGRDAALRAIAKSEEVAGSTQTLQWKCVESRVDSKRLYYGRFILSPLRKGQADTVGIALRRALLGETEGTCITHAKFGSVPHEYSTIAGIEESVQEILLNLKEIVLRSNLYGVRTASICVKGPRYITAQDIILPPSVEIVDTAQPIANLTEPTDFRIELRIKRDRGYHTEVRKNTQDGSYPIDAVSMPVRNVNYSIFACGNGNAKYEILFLEIWTNGSLTPKEALYEASRNLIDLFLPFLHTEEEGTRFQENKNRFTSPLLSFQKRLTNLKKNKKRIPLNCIFIDQLELPSRTYNCLKRANIHTLLDLLSKTEEDLMRIDSFRMQDGKQIWDTLEKHLPMDLPKNKF >ORGLA10G0153500.1 pep scaffold:AGI1.1:ADWL01020607.1:1266:2399:-1 gene:ORGLA10G0153500 transcript:ORGLA10G0153500.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKIFQILGVFSLLLVFSYPLMKRFTYWPQAYLGLVFNWGALIGWAAMKGTINPAIILPLYTAGICWTLVYDTIYAHQDKEDNLKVGVKSTALRFGDSTKPWISGFGAACIANLALSGYNAEIASAHLAWQVSTVDLSDRLDCNRFVSNKWFGALIFGGILCGRLVS >ORGLA10G0153400.1 pep scaffold:AGI1.1:Oglab10_unplaced012:5514:5885:1 gene:ORGLA10G0153400 transcript:ORGLA10G0153400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14 [Source:UniProtKB/TrEMBL;Acc:I1Q6C6] MIQPQTLLNVADNSGARKLMCIRVIGAASNQRYARIGDVIVAVIKDAVPQMPLERSEVIRAVIVRTCKEFKCEDGIIIRYDDNAAVIIDQKGNPKGTRVFGAIAEELRELNFTKIVSLAPEVL >ORGLA10G0153300.1 pep scaffold:AGI1.1:Oglab10_unplaced012:4993:5403:1 gene:ORGLA10G0153300 transcript:ORGLA10G0153300.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYNPKRTRFRKQHRGRMKGKSYRGNCICFGRYALQALEPTWITARQIEAGRRAMTRYARRGGKIWVRIFPDKPVTIRPTETRMGSGKGSPEYWVAVVKPGRILYEMGGVSETVARAAISIAASKMPIRSQFLRLEI >ORGLA10G0153200.1 pep scaffold:AGI1.1:Oglab10_unplaced012:3076:3795:1 gene:ORGLA10G0153200 transcript:ORGLA10G0153200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3 [Source:UniProtKB/TrEMBL;Acc:I1QWE9] MGQKINPLGFRLGTTQNHHSFWFAQPKNYSEGLQEDKKIRNCIKNYIQKNRKKGSNRKIEADSSFEVITHNKKMDSGSSSEVITHIEIQKEIDTIHVIIHIGFPNLLKKKGAIEELEKDLQKEVNSVNQRLNIGIEKVKEPYRQPNILAEYIAFQLKNRVSFRKAMKKAIELTKKTDIKGVKVKIAGRLAGKEIARAECIKKGRLPLQTIRAKIDYCCYPIRTIYGVLGVKIWIFVDEE >ORGLA10G0153100.1 pep scaffold:AGI1.1:Oglab10_unplaced012:1895:2050:1 gene:ORGLA10G0153100 transcript:ORGLA10G0153100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYESARKDTKSRR >ORGLA10G0153000.1 pep scaffold:AGI1.1:Oglab10_unplaced012:988:1401:-1 gene:ORGLA10G0153000 transcript:ORGLA10G0153000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFPSPPPWGWSTGFITTPLTTGRLPSQHLDPALPKLFWFTPTLPTCPTVAKQFWDTKRTSPDGNLKVADLPSFAISFATAPAALANCPPLPRVISMLCMAVPKGISVEVDSSFLSKNPFPNCTSFFQSIRLSRCI >ORGLA10G0152900.1 pep scaffold:AGI1.1:Oglab10_unplaced006:210:2547:-1 gene:ORGLA10G0152900 transcript:ORGLA10G0152900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSRPHLPPPAERSKRAKSSPPKLDTRRKAARTGRGKVKVPLAPKKLDLGKAPVAPPKPPAEFTLGMPLVGDDALFKMGPACKELHGYYMEKSNARRKNRETSMLGQHDGQPFLGPTAFFAVGFKDLWDLYKVRAIDTNLLKCYSLLTWKHVHRKAPHVALLDPAVVNETTLKNNXANMVGYIKDYLFARQDKDFIMCAYNQQRHWILLVITPKWSSVHYFNSNIKLEIYDWSAIESTLNDAWDQYVARGGRHKDGHPKLGHKKDFPIRQQVGDQCRFHVCHNMRSFAEKVTLLDLEIFFRVKS >ORGLA10G0152800.1 pep scaffold:AGI1.1:Oglab10_unplaced005:46139:49248:-1 gene:ORGLA10G0152800 transcript:ORGLA10G0152800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKVTKFGPWGGAGGDYRDVQVAPYRLVRLTIRSGDTVDGISFTYIGIDGLVYHMGHWGSDGGVPHEIHLGLLDFVMEISGTTGMWVSGISNVLRSLKITTLKRTYGPYGNPKAGIPFSFSVDGSDRITGFFVRAGFITDAIGVYVRHC >ORGLA10G0152700.1 pep scaffold:AGI1.1:Oglab10_unplaced005:39117:40021:1 gene:ORGLA10G0152700 transcript:ORGLA10G0152700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGICGCKQQAPTVNLQKPIDNLYMDDINAHFEDILQADDGDRDASDGGDAPSFIYMWPCPSNCSKPYSAHCYISVQDEMQTNLHQGTTTILKEEASGITLPDFVHDSALSLHLHDCLSITSIPGHASAAIDLSWRILWWCRIERCPNLEGTIFTAPRTRDNIFRGLETFWASQLLKVFYIWDWDTSLFQPSYNSFENLKFLHLDRCPRIPKAKAHPPARATQAAAHLRPQDVHARARDREDXGLLEPQTAAICWSPP >ORGLA10G0152600.1 pep scaffold:AGI1.1:Oglab10_unplaced003:32013:32156:1 gene:ORGLA10G0152600 transcript:ORGLA10G0152600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TEVVQAIMKKEMKKSMTCLSHLHWAVKWLMWTLICCKTCYVTLMTQL >ORGLA09G0184600.1 pep scaffold:AGI1.1:Oglab09_unplaced103:1643:1903:1 gene:ORGLA09G0184600 transcript:ORGLA09G0184600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYHPQTDGQSERLNQCIETFLCCSVHATPNKWSQWLSQAQHWYNTAFHTALGKSPYEVLFARKPTHFGVVDLGQSTVPDVQTWL >ORGLA09G0184500.1 pep scaffold:AGI1.1:Oglab09_unplaced099:55:741:-1 gene:ORGLA09G0184500 transcript:ORGLA09G0184500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMATSPSALNMQAVALGAAVFLLSCLASAQQPPAPGCLDKCGDINITYPFGVGGAHCFRDKSFQLECNVNKSHPRLMMLAYNQQLLSLSPDGEALAALNVDRQYHNCNLSQGTISASSEPNTMTFGTLSSSTAYRFPVSTYRFNTDSYVVPVALDWAIRDVHNCIAAKLNETNYACRSANSDCVDTTDGAGYRCRCSKGYEGNPYLHAGCKGIGHHLAKSVTSSVS >ORGLA09G0184400.1 pep scaffold:AGI1.1:Oglab09_unplaced098:24436:27819:1 gene:ORGLA09G0184400 transcript:ORGLA09G0184400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFFSMPCLLILLGVFFIGCSSGTARRVTSDTAANTDGEPAATTMMEMFQRWKAEYNRSYATPEEERRRLRVYARNVRYIEATNAAAGLAYELGETAYTDLTNDEFMAMYTAPPLRSAADDDDDAATTTIITTRAGPVDEHQQPEVYFNESAGAPASVDWRASGAVTEVKDQGRCGSCWAFSTVAVVEGIQKIKKGKLVSLSEQELVDCDTLDSGCDGGVSYRALEWITANGGITTRDDYPYTGAAAAACDRAKLSHHAATIAGLRRVATRSEASLQNAAAAQPVAVSIEAGGDNFQHYRKGVYDGPCGTRLNHGVTVVGYGQEEAPADGGAAGDKYWIIKNSWGKNWGDQGYIKMKKDVAGKPEGLCGIAIRPSFPLM >ORGLA09G0184300.1 pep scaffold:AGI1.1:ADWL01019583.1:23035:24225:-1 gene:ORGLA09G0184300 transcript:ORGLA09G0184300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARQWWRRAAAAAKDRRSLYLTRVAALRPASPAAAAALRNAELEAAVIRATSHDERSVDYRSAARVFALARASPAVLQPLMWALARRAGRTRCWAVALKALMLAHGLLLRSDLAPRAARLGRVPFDLADFRDRSSSPTKTSGFSAFVRAYFHFLDTRSLFAAQDMDNNNDDDADDEDARLDGVSRLQHLLDLLMQIRPYGDGMEQGLILEAMDCVVIEIFEVYSQICTGIARFLVGVLGSAPTTPRPRPGETMAAARRRRGLQGMRVLRKASEQSAQLTSYFELCRSLGVLNAAEFPAVERVPDDDIRDLEKLIMSHVVEDRGKEKVSEEKALVAVEDTGVASRTVVTREWVVFDDDDEDDDVAGARQGHFGHYVNPFLGAPWEAVTGSGNLLV >ORGLA09G0184200.1 pep scaffold:AGI1.1:ADWL01019583.1:12553:19021:1 gene:ORGLA09G0184200 transcript:ORGLA09G0184200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLLVLLLLTAAVAGGGGHEGSSSCPFHAHDEPHDDHHHGHGHGHSCGGGGDDSHHVHHHHHGHGHGHDGGIQRRLLPEELAEEADLEFDSFGDHHHHHHHHEHHHHDHHHGHGDFQAELSPLGMWLSAMGCSLLVSMASLVCLVLLPVIFFQGKPSKTMVDCLAIFGAGAMLGDSFLHQLPHAFGGGHSHTHDHQNHNHSHEHSHAHSLEDLSIGLSVLFGIVLFFIVEKIVRYVEDNSQKGAHGMGHHHHHHKRHDRSDKAKLNHAEKDHEDKGVNQAEKEPSHDGAIEKTDGVTRADSKSAIRKRGLSSGSNSADREPVNSESDPAPNKALSSEDSSVSNSNMVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVTKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPEMNDQKTTVKSSMIQLVSLTMGMLVALGISLVE >ORGLA09G0184100.1 pep scaffold:AGI1.1:ADWL01019583.1:9820:10712:-1 gene:ORGLA09G0184100 transcript:ORGLA09G0184100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:I1QS12] MGKTWAIITHLNSIAGPSITLLYPLYASICAMESPTKVDDEQWLAYWILYSFITLLEMVAEPVLYWIPVWYPVKVLFVAWLVLPQFKGASFIYEKLVREQLRKYRARGGAAATVTAGEDHKVHIAKAEHDHGH >ORGLA09G0184000.1 pep scaffold:AGI1.1:ADWL01019583.1:4565:5929:-1 gene:ORGLA09G0184000 transcript:ORGLA09G0184000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPAAASGSASEASDGEAGGAGRRRSPSRSRSPSRSASPSRSRSKTPPHNAAVLSSTPASAAVDFVAASDSDAGADADARLASPRRSRERSPRLHSDSDNSAAATAEAAEAAAAPAFDDGDDEGNATPPPRSRRSSRVEATGVKPISSRPMDASRRPAAASSQSQRRSKRPRSSPTQHSPEQHKRPPRVWNPQDEVTILRALISYRAKNGALPGSSQDTGKLHNMIRGQLSVKASTTQLSDKVRRLKHKYNLILTRVTKSGRDPDLPTEHDREVYELSKKVWGTKSGGAGAGSGGGGGGGGGRVYENAEVVQSDEEQGSRDDSDEDMESGWDDRDHRNRRLKAIVVANGNGNAVTGGRSVHGNGSGKGDVADKGKDMYPYLWEAVEELSKEHPSGTAFRKAFGVLDGSRARAMEEKLNRFRLSEIRQQLRRMDLMKETIKMVLDALEGSDL >ORGLA09G0183900.1 pep scaffold:AGI1.1:ADWL01019578.1:4978:8172:-1 gene:ORGLA09G0183900 transcript:ORGLA09G0183900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAIAVYTSPPGAVYTSSSSSELEASSRGSAPCATAAPPSPASSHRHQAIAGGLSCLFSSPTAAPRAAAAQDELGALWHDRSGEATVVGGGGGGGGYSYPQPSSPFKWRDMLHHSPVPLFHSPASSPASRSPSASWLAGRERERLFSSFVRNALGSCVDYAPVAALPLGVSAAVGVGAGELAFELDEHLSEAEPSCEPYARDLLAGAQARHRIFHDELVVKAFFEAERAHRGQTRASGDPYLQHCVETAVLLAKIGANATVVSAGLLHDTIDDSFMDYDQIFRMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMFLAMADARAVLIKLADRLHNMKTIEALPLVKQQRFAKETMEIFVPLANRLGIASWKDQLENICFKHLNPEEHKELSSKLVISFDEALLTSTLDKLDKGLRDEGISYHSLSGRHKSLYSIYSKMIKKNLTMDDVHDIHGLRLVVDTEQDCYQALDIVHKLWPRVAGRFKDYILHPKLNGYRSLHTVIMCEGIHPFEVQIRTKEMHLQAEYGFAAHWRYKEGGCKHSFVLQMVEWARWVLTWQCEAMSKERSSGLGRSDAIRPPCPFPSHSEDCPYSYTRQCNHDGPIFVIMLEHDKMSVQELPANSTVVDLMERVGANSPRCSPYSFPLKEELRPRVNHKPISDPNRKLCMGDVVELTPALPHKSLTEYREEIQRMYERGGFALATTRDGPAS >ORGLA09G0183800.1 pep scaffold:AGI1.1:ADWL01019577.1:865:4669:-1 gene:ORGLA09G0183800 transcript:ORGLA09G0183800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYARRGGDRVSGGGRVQGGGGGGGGGGRGGYVLRGRSGMPPRGPLGLGVNSRPSARTIAKSFSRTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHFDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRPTRTVVMTPEIGQRGSGSSSRPTGPTVNRYNRGAFQAGRGRGRGRGRAPFQSQFQGRGTGSVRGRGQFQGRGRGRRQAGKTADELDKDLETYHAEAMKTD >ORGLA09G0183700.1 pep scaffold:AGI1.1:ADWL01019570.1:5582:5866:-1 gene:ORGLA09G0183700 transcript:ORGLA09G0183700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPRRRRGMKRLRRRRLRDTKVAAMEVWDAEAAATTTVLCLSVDFATTIFNLSIRWATRRQQAPVASVLSICRFGRHVSTAVEGRAQLNRSSR >ORGLA09G0183600.1 pep scaffold:AGI1.1:ADWL01019569.1:6189:6539:1 gene:ORGLA09G0183600 transcript:ORGLA09G0183600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFWPGPPGAQPVGDLQVAKLALLVAGNGFISPSSYLRRCCDDDGPCFVDGAGERCLHLANLVTAMLGLALVLGQMAFFSAAAFRPQAALVTVAAPSSPRLGPSSFGSMFSACA >ORGLA09G0183500.1 pep scaffold:AGI1.1:ADWL01019569.1:1172:1606:1 gene:ORGLA09G0183500 transcript:ORGLA09G0183500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPFFLAFAIIALLSRYVPFALPPMARDLLADNSAEPARAAKCAVSVAVAGLMLLVSLQCGAGGEQYCPDVRVEGRALWLNCAALFLGMVVGGVAVVVMPPLAAVSPLVQVMVEHLTRFTETIAVTAFAHDFCIFVKLVRLKQ >ORGLA09G0183400.1 pep scaffold:AGI1.1:ADWL01019568.1:1569:5256:1 gene:ORGLA09G0183400 transcript:ORGLA09G0183400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGEGDARWIVQERADGTNVHNWHWAERDCLEWSRSRLTSLLAGLPVLSGEGGLALRTTALDKLDGEAYVNIRKGKVIPGYELSLTLAWEAEATTESGVVKVSGAAEVPYLADENADEDPELRVTVRGDDGPVARRAKDAFLTKGKPLVLEKIREYVAAMAKGGPAKDELDSKKSPTKAAAVEAGGAATAPAPAAEKKEEAPAVKEKKAKAKDKEGFKTIEMTEKFYCRAKDMYEILMDENRWKGFTQSNARISREVGGQFSLFDGSISGVNEELQEGKLIVQKWRFGSWPDGVHSTVRLVFDEPESGVTVISLKQTDVPEEDRYGNSTVVENTERGWRELI >ORGLA09G0183300.1 pep scaffold:AGI1.1:ADWL01019562.1:2074:3275:1 gene:ORGLA09G0183300 transcript:ORGLA09G0183300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRPNRPTELTPPKSKLSIEAPRGPALSVPHSPGGADMDLADIAQSLAPIKTTRKADSSPPLVKGQKRERGKGKVGELAPEPKRGKAATSMPVSKAGKVVRAPAQFELGMPLVEDNVLAVMGIACRELHKQYMELSNAKRKMRESSIVGHHDHQPFLSSPAYITIGLDDLFDLFRIRKLDTGLLKCYSLRHWILLVIVPKXSRVTYLNSNKSKDYDFTEITKALNMAWGPYVEKGGRHKEGKDELYHDTKFACAQQIGDQCGFHVCHNMSTLLREVKDFDPGVVANGE >ORGLA09G0183200.1 pep scaffold:AGI1.1:ADWL01019559.1:6028:6369:-1 gene:ORGLA09G0183200 transcript:ORGLA09G0183200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTASESGLFDHLINVWEFKPGPVPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSRLVSSLSDRCYRIYGPPIPVLENTYGQGR >ORGLA09G0183100.1 pep scaffold:AGI1.1:ADWL01019559.1:3976:5363:1 gene:ORGLA09G0183100 transcript:ORGLA09G0183100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGSWLLQTCSPSAALASLAVVTTSLLIIGYASSSFFLGAPAYEYDDVVEAAAAVPRRGPGYPPVLAYYISGGHGDSVRMTRLLKAVYHPRNRYLLHLDAGAGAYERARLAGYARSERAFLEYGNVHVVGKGDPVDGRGPSAVAAVLRGAAVLLRVGAEWDWLVTLGASDYPLVTPDDLLYAFSSVRRGLSFIDHRMDSGGAEAVVVDQNLLQSTNAEISFSSGQRAKPDAFELFRGSPRPILSRDFVEYCVVAPDNLPRTLLLYFSNSLSPMEFYFQTVMANSAQFRNSTVNHNLRHTVAQDGGAPTSQGADGQQASRYDAMVGSGAAFAGAFGDDDDALLQRIDEEVLGRPLDGVTPGEWCVADGEEGTDNECSVGGDIDVVRHGAKGRKLATLVVDLVGA >ORGLA09G0183000.1 pep scaffold:AGI1.1:ADWL01019559.1:1168:1653:1 gene:ORGLA09G0183000 transcript:ORGLA09G0183000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVTVAASRGRSHRNQTDAACCLGVRAARAFSQLRAYRHGRYREVQNFR >ORGLA09G0182900.1 pep scaffold:AGI1.1:ADWL01019555.1:10531:11641:-1 gene:ORGLA09G0182900 transcript:ORGLA09G0182900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTPPSPSLHLSPLSSPHLPSLHLPPAELARAAARVDVGATAVPGRQRRARVRRRHRQRRAGRGVVTRGQRDLTITAPAPVSTVLRAALRPRRHPLPPAPPHAAPSPSRLRERRGERMQSGGRRPSIGDTATREKGRRGSAATWEKRLHGGGGLGGLGGAEVDSHLENKFYASDLANNLQLDKDDDDDVLVDGSDNALADQVDWDWEATYFVQHRHKNNAADFPDIPPPARESLDAYITQAVSLAELLAGCISTNLGLGDTDRVRDAFAPPFVGTRGGARRRGTR >ORGLA09G0182800.1 pep scaffold:AGI1.1:ADWL01019555.1:8221:9713:-1 gene:ORGLA09G0182800 transcript:ORGLA09G0182800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPPPPPPAAGRDWSDLPADMVDTVLCKLELPDFIRTAAVCTCWRAPALDLRRRGVYSFHRTPCLLYIPAAAAANGGSSTRSAELYCLADERPYTVTLPDPPIAERSIVGSSHGWLVTADALSELHLLNPVTREQIELPPIATLEQVRPILEAAGDGGDLRGYEVSFYDGDMREYRAPGIYRPDELRDLLNIKAILSCDPSSSSSRRRGGEGGEDGCGGCIVLLIYHVYQQPSFARVGDDKQWHWITTSSYYRSPYSDIAYRDGAFYAMNLLGGIHRYDIHHSRATRTVVLADTLGYTLHHAYMAWTPSSGDVWRLTHLPEDEEDEELRTVGFHVYKVDFDSQDVVPIDSLGDEALFIGHNGTLCLSTKDYPALLPNHVYFTDDDEY >ORGLA09G0182700.1 pep scaffold:AGI1.1:ADWL01019555.1:1717:4867:1 gene:ORGLA09G0182700 transcript:ORGLA09G0182700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDTSGGGLNRSMAAINREMTRRGARVDGGRSDWRSNRWVGHFGRVAQTFRWSQEGEVAGIAKSLVYGEPGGGLSGQLDVSGEMINSSPGAWRFAHGTNSGWRVIFTKVEFVRVCVEYVYVVGYSLGLGVVIGIRSKLCVLSQPLKAVAKGASVEDQRLPDWPHYGPDPVSSKRTFSATVD >ORGLA09G0182600.1 pep scaffold:AGI1.1:ADWL01019554.1:2241:3357:1 gene:ORGLA09G0182600 transcript:ORGLA09G0182600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:I1QJ82] MMDGNAVDELIRRLLDGKKVKPSSSAKKVQLSEAEIRQLCVTGKDIFLSQPNLLELEAPINVCGDIHGQFSDLLRLFEFGGLPPTANYLFLGDYVDRGKQSIETICLLLAYKIKYPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAVIDDKILCMHGGLSPDLDSLDRIREIARPVDVPDQGLLCDLLWSDPDRESSGWGENDRGVSFTFGADKVTEFLNKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILKPFRGKSQAE >ORGLA09G0182500.1 pep scaffold:AGI1.1:ADWL01019554.1:972:1337:1 gene:ORGLA09G0182500 transcript:ORGLA09G0182500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDGARSEQVEGVGNRGGVGASGEEELGAAEEGSGEAIVAAAAGTAVVAAAEPTFPKSAPELVPRARPTGKALHHPIEIDEMWMKLISDNTEMRMDLESIGLESLSMTKKKITAHKYVK >ORGLA09G0182400.1 pep scaffold:AGI1.1:ADWL01019550.1:6371:6709:-1 gene:ORGLA09G0182400 transcript:ORGLA09G0182400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKETQLQQQQRKPDAGDTVQLPMDTSPYVQYKKDDGLEDYKLRAYGARGHLPVSDVPHGGCGTDAPTIPGTAVPVATQRRHQQGDTAAAAAGTGRVDTATDAINRHGVP >ORGLA09G0182300.1 pep scaffold:AGI1.1:ADWL01019550.1:3749:5915:1 gene:ORGLA09G0182300 transcript:ORGLA09G0182300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLLVRGRAFALVVAVALVAAPRRINGGAAGPAARTNDPNWHVFSVSSLLPSSACTASKAASNSSALGVVHRHGPCSPVQARRRGGGGAVTHAEILERDQARVDSIHRKVAGAGGAPSVVDPARASEQGVSLPAQRGISLGTGNYVVSVGLGTPAKQYAVIFDTGSDLSWVQCKPCADCYEQQDPLFDPSLSSTYAAVACGAPECQELDASGCSSDSRCRYEVQYGDQSQTDGNLVRDTLTLSASDTLPGFVFGCGDQNAGLFGQVDGLFGLGREKVSLPSQGAPSYGPGFTYCLPSSSSGRGYLSLGGAPPANAQFTALADGATPSFYYIDLVGIKVGGRAIRIPATAFAAAGGTVIDSGTVITRLPPRAYAPLRAAFARSMAQYKKAPALSILDTCYDFTGHRTAQIPTVELAFAGGATVSLDFTGVLYVSKVSQACLAFAPNADDSSIAILGNTQQKTFAVAYDVANQRIGFGAKGCS >ORGLA09G0182200.1 pep scaffold:AGI1.1:ADWL01019548.1:3702:3993:-1 gene:ORGLA09G0182200 transcript:ORGLA09G0182200.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDVFYYYYPKRLGQVLFVDAPFVFQPMWQLVKPLLKQYASLVRFCDVETVRKEYFTKETVPPDFRN >ORGLA09G0182100.1 pep scaffold:AGI1.1:ADWL01019548.1:1879:2461:-1 gene:ORGLA09G0182100 transcript:ORGLA09G0182100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICMSSVAVWKEKVVYAGRRRGEVAGAEDVGGVGAGGGCGGPYGLLGGDADADVLEIEEEAAEKVVKCGAEAEAALTVAAGGTPARPIWQRKVLMGVKCQLPRFSGMILYDERGRPVCSGVRDRARDKEKHAAAIMVLRDML >ORGLA09G0182000.1 pep scaffold:AGI1.1:ADWL01019547.1:1116:2250:-1 gene:ORGLA09G0182000 transcript:ORGLA09G0182000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFLWTFFFQTDSLVLLLPLIGSSSASTAFLVGITGVLAPILEETVFRGFLMVSLTKWFPTPVCILVSAALFAFAHLTPGQFPQLFILGVALGFSYAQTHNLLTPITIHAFWNSGVILLLTFLQLQGYDIKELLGAS >ORGLA09G0181900.1 pep scaffold:AGI1.1:Oglab09_unplaced065:799:1296:1 gene:ORGLA09G0181900 transcript:ORGLA09G0181900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTPAVTEARENPGEAPALLLYGYKVIAAGVRLHLHCCCAARRSQGRSRKEMRLVRGARARQRGPSASPDGNQWPPPSLALLPHGGDVRLRLHCCCGGEIGGRQGRGAERGGGVAPMR >ORGLA09G0181800.1 pep scaffold:AGI1.1:Oglab09_unplaced064:10780:12121:-1 gene:ORGLA09G0181800 transcript:ORGLA09G0181800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDLMLVSYIQEHGAGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTEQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKMQAAGGGEDGGAASEGGGGRGDGDGGGKSVKAAAPKGQWERRLQTDIHTARQALRDALSLDHPDPSPATAAPAATPAGSSAAYASSADNIARLLQGWMRPGGGGGGNGKGPEASGSTSTTATTQQQPQCSGEGAASASASASQSGAAAAATAQTPECSTETSKMATGGGAGGPAPAFSMLESWLLDDGGMGLMDVVPLGDPSF >ORGLA09G0181700.1 pep scaffold:AGI1.1:Oglab09_unplaced063:14111:14452:-1 gene:ORGLA09G0181700 transcript:ORGLA09G0181700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLVVLRRREPAVRFSCCGVRYGECRRNHAASTGGHAVDGCREFIAAEDGGGGNSTGAVGVAAAALKCAACGCHRSFHRRVQVYEVAWDDDCASGDTSSSSPSSSSSSSSE >ORGLA09G0181600.1 pep scaffold:AGI1.1:Oglab09_unplaced061:31668:33494:-1 gene:ORGLA09G0181600 transcript:ORGLA09G0181600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPPPRRLVCVTGAGGFIGSWLVKLLLSRGYAVHATVRDPHDPKNAFLKQLENAPENLRLFKADVLDGGSLTAAFAGCEGVFHPATPVPEEKTVDPEKEMLDPAVKGTRNVLEACSAAGVQKLVVVSSIGAVCFNPSLPRDRIIDETCWSDKKSCKENENWYCLAKTEAEEMALEYSEKNGLHVITVCPALVLGPLLQTVLLSTSSKVLLYVMKGGPDAIGNTFFPIVDVRDVADALLLVYDKAGPSERYICSQEQMDTKDFLDLMKSMYPNYSYTFKVVDVDTRVGLTSEKLKKLGWKPRKLEETLVDSVESHEKAGLVDDEPCRLPYLYRVPDAQE >ORGLA09G0181500.1 pep scaffold:AGI1.1:Oglab09_unplaced061:30024:30583:-1 gene:ORGLA09G0181500 transcript:ORGLA09G0181500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMQPGALPWSHRSFNRSQTDDPRDAFLKQLDMDPGNLHLFKADELDGDAMTVAFAGCKGVFHIGTPVPKYERVDPQAIGVTDM >ORGLA09G0181400.1 pep scaffold:AGI1.1:Oglab09_unplaced061:23537:26875:-1 gene:ORGLA09G0181400 transcript:ORGLA09G0181400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPPPRRPVCVTGAGGFTGSWLVKLLLSRGYAVHATLRDPYDPKNAFLKQLENAPENLRLFKADVLDGGSLTAAFAGCEGVFHPATPVPEHKTVDPEKEMLAPAVKGTRNVLEACSAASVQKLVVVSSICAVCFNPSLPRDRLIDETCWSDKKSCKENENWYCLAKTEAEEMALEYSEKNGLHVITVCPGVIFGPLLQTVLLNASSKVLLYIMKGGPDALSNKFFPIVDVRDVADALLLVYDKAGPSSDTSVLKNKWT >ORGLA09G0181300.1 pep scaffold:AGI1.1:Oglab09_unplaced061:16580:19413:-1 gene:ORGLA09G0181300 transcript:ORGLA09G0181300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSLIASRLARSTGHALASAASQAPMARHAAASPLLSRLGSVARAFSSKPAAADVIGIDLGTTNSCVSVMEGKTPRVIENAEGARTTPSIVAKNQNGDLLVGITASRQAVTNAQNTVRGSKRLIGRTFDDPQTQKEMKMVPYKIVRGPNGDAWVEMGGQQYSPSQIGAFVLTKMKETAEAFLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLEVMRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDGALLDYLVSEFKKSDNIDLSKDKLALQRLREAAEKAKVELSSTMQTEINLPFITADATGAKHFNITLTRSKFESLVQSLIERTRIPCVNCLKDAGVSAKDIDEVLLVGGMTRVPKVQDIVSQIFNKTPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMATDNKLLGEFQLEGIPPAPRGMPQIEVTFDIDANGIVKVSAKDKSTGKEQEITIKSSGGLSESDIEKMVREAELHSQKDQERKSLIDLKNSADTTIYSIEKSVSEYKDKVPAEVTNEIQSAVSDLRAAMAEDDLEKIKQKLEAANKAVSKIGEHMQQGGGGGSGSGGNSSSGGDQTPEAEYQDAAKEAKM >ORGLA09G0181200.1 pep scaffold:AGI1.1:Oglab09_unplaced061:14531:15379:1 gene:ORGLA09G0181200 transcript:ORGLA09G0181200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSVSPTLLLSNMYLRPDMITPGIDAQGNPIDPEKIQADFEDFYEDIFEELSKYGEIESLHVCDNFADHMIGNVYVQFREEDQAARALQALTGRYYSGRPIIVEFSPVSDFREATCRQYEENSCNRGGYCNFMHVKEIGRDLRKRLFGHLHRSRRSHSHGRSRSPSPYHYRRDYDRRSSSRSRDRDDYYRGGSRRSSERHRSSYDSDGSRRRHRSRTRSPVRDGSEERRAQIEQWNREREAAQV >ORGLA09G0181100.1 pep scaffold:AGI1.1:Oglab09_unplaced061:6911:10214:-1 gene:ORGLA09G0181100 transcript:ORGLA09G0181100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLELFITACVPVLNMLLVTGVGSFLATDFVGILNKDARKYLNNIVFYVFNPSLVATYLAQTITLESLAKLWFMPVNILLACTFGLILGWIVVHVTRAPARLRGLILGCCSAGNWGNIFLIIIPALCKEKGSPFGAPDVCHTYGLAYSSLSMALGAVFLWTVAYNIMRATSKVADEGNARTNDTKVSNSGSSTGTASEENLSIPNDNNQCTLPLISNSSVPSSKTKVTLSERAKRFVSSMFGAIDFKKIFAPSTIAVIIGFIIGGTPLIRNAIIGENAPLRVIHESADLIGGGAIPSVTLIMGGNLLNGLRGEASVQPSVIASVIVVRYILLPSLGTLLVKSAVHLGLIHPDPLYQFILLLQYAVPPAMNIGTITQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLS >ORGLA09G0181000.1 pep scaffold:AGI1.1:Oglab09_unplaced060:6165:6464:1 gene:ORGLA09G0181000 transcript:ORGLA09G0181000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHKSSPPAIRRRGVGMLRWAVRVASSIVLWTALLHLSSLLGLPRPPLLAARPSCLGGGGGGGSNSSASSAVTVAAADEVGRLAPPAVPRRSEWFLSC >ORGLA09G0180900.1 pep scaffold:AGI1.1:Oglab09_unplaced059:16578:26195:-1 gene:ORGLA09G0180900 transcript:ORGLA09G0180900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAPAPPRVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPCDPKNAHLERLQDASQAAPANLRLFTADVLDLDALTHAVQGCDGVFHLATPSEVIDPAVKGTLNALKACSVAKVQKVVVMSSNAAVDVNPDWPPNRLKYESCWSDLALCEKSELTSTAALRNGDRGVEDDDEDDARALAAAEVARAAVDGAEEEVALRVSHVRGPDVMNNKLWHIVDVRDVTDALLLLYEKPE >ORGLA09G0180800.1 pep scaffold:AGI1.1:Oglab09_unplaced059:8540:11550:-1 gene:ORGLA09G0180800 transcript:ORGLA09G0180800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQEQPEMAPPPPRRRVVCVTGVGGFVGSWLVELLLSRGYAVHATVRDPDDPKNAFLKQLENAPENLQLFKADVLDCGSLTAAFAGCEGVFHLATPVPEEKIVDPQKEMMAPTVEGTRNVLEACSAASVQKLVVASSIATVCLNPSWPQDMPKDETSWSDKKLCIENEDWYSVAKIEAEEMALEYGKKNGLHVLTICPGIVFGPMLQTVEINTSSKVLLYMIKGGDGPHVMNNKFWPMVDVRDVADALLLAYHKAGPSARCLCTLEQMDLKHLLDLMKNMYPNYNYADKMVDVDYKVEVTSEKLKNLGWNPRKREETLADSIEFFEKAGLLDGRPCRLPYFAVQE >ORGLA09G0180700.1 pep scaffold:AGI1.1:Oglab09_unplaced059:4369:6627:-1 gene:ORGLA09G0180700 transcript:ORGLA09G0180700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRVCVTGAGGFIGSWLVKLLLSRGYFVHGTVRNPDDPKNAFLKQLENATENLQLFKADVLDGGSLTAAFAGCEGVFHPATPVPEEQMVDPEKEMMAPAVKGTRNVLEACSAAGVQKLVVVSSIAAVFFNPSWPHDRPKDETSWSDKKLCMETEDDIDAATAAGNDEFAAAVDCFNVAAATAAGKDGIDAAAAGKDDIDAAATGNDEFATAAACFNAAAAGKDEFDAAAAACFNACQNPPLAATXLLQRMAGATLFRSYPCRNERQMRTERTGTLLPKLKVKRWPXNTETRMVCMLLRFALELFLAQCCRLCNSTLPPKLSSISSKEAMALIQ >ORGLA09G0180600.1 pep scaffold:AGI1.1:Oglab09_unplaced059:58:1756:-1 gene:ORGLA09G0180600 transcript:ORGLA09G0180600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASPPPTTRVCVTGAGGFIGSWLVKLLLSRGYAVHATLRDPCDPKNAHLKQLDGASEMLSLFKADVLDAGELSAAIAGCEGVFHVASPVPGDKIVDPELEVMAPAVKGTLNVLEVCSSSKKVQKVVVVSSTAAVHYNPNWPPGKPKDESCWSDRKICMEKKEWYSASKVIAEKMALEYAEKKGGPNVMRNMLLHIVDVRDVAEALILIYEKPESSGRYLCAPYHISPKATVEFLKNIYPNYNYVKW >ORGLA09G0180500.1 pep scaffold:AGI1.1:ADWL01019525.1:20626:21799:1 gene:ORGLA09G0180500 transcript:ORGLA09G0180500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGRLLVLFAIALLAISIAEHKALAKGSTSEHDDNVYQVSKGGQGSLKSYQCSPQCAYRCSQTQYKKPCLFFCNKCCNACLCVPSGLYGNKGECPCYNNWKTKRGGPKCP >ORGLA09G0180400.1 pep scaffold:AGI1.1:ADWL01019525.1:13259:13656:1 gene:ORGLA09G0180400 transcript:ORGLA09G0180400.1 gene_biotype:protein_coding transcript_biotype:protein_coding XRRTGPESTVYHPFEPWIPELSKQRFMSIQKPIRSKLTELATPTRCATKTSPGLGLEQVQVQVKVKKMQRSTAGATCSSFKRMRETGSAERSLTRHYCPAASPQRDTLVQKGSESEHIWXSSNFXIXLXMLI >ORGLA09G0180300.1 pep scaffold:AGI1.1:ADWL01019525.1:2783:3817:-1 gene:ORGLA09G0180300 transcript:ORGLA09G0180300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDVKYKPLVFPNGAIKKAAKPAAVAPAVGGGGGGETVYRECLKNHAASLGGHALDGCGEFMPSPAANPADPTSLRCAACGCHRNFHRRLPEGSPPPPPPLAPPALLPAPPMPPHRGEETPEVRLPGVDGDESDSDSDGSEYDDERSVSPPPPPLAAAVAHQAYYPSAPHMLLSLGSSGQAQRLPPQVMSPAAAAAPPPGGGGMPRKRFRTKFTAEQKQRMQELSERLGWRLQKRDEAIVDEWCRDIGVGKGVFKVWMHNNKHNYLGGHSARRSASSSSAAAAAAPPFNPPTNHTSPPPPPPPPHATDFNINGTATAATAAAAATVAAGNHQENGASSPQSA >ORGLA09G0180200.1 pep scaffold:AGI1.1:Oglab09_unplaced057:14607:15092:-1 gene:ORGLA09G0180200 transcript:ORGLA09G0180200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAVSPPPRHRLLLPPPPGRCLLHPPPTVAVSFTHRPRSPPCLHHSRSGSPPPSRLHHARSGSYRAKDEDNAGARTIGERGTTPLPNAIDKPLRPSPLISGRRRASTSPTLPLTVPATMNSGAPDLDTMNSEVADPTIPSLGAPDPAVLASATPDPFVR >ORGLA09G0180100.1 pep scaffold:AGI1.1:Oglab09_unplaced055:111253:113043:1 gene:ORGLA09G0180100 transcript:ORGLA09G0180100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQVPPVGAGQSNAMAQPHAQAAILPFATPYPQQSAVNRAGGEKGLPLSGGIKTRPIPPQFKFPPVPRYSGETNPKEFFSIYESAIEAVHGDENTIKEPQMLEHLLRIIDGFARGEEDSKRRQAIQAEYDKASVAAAQAQAQVQVVEPPPLSVRQSQPTIQGQPPRQGQAPMTWRKFRTDRAGKAMMAVEEVQALRKEFDAQQASNHQQPARKKVRRDLYCAFHGRSSHTTKQCRNIKQHGNAQDPKSQQGTTVEAPREAVQEQTPPAEQRQDAQRRIIQVITRADPPSQLSKRQKKMQIRMVHSITSAGEGAPQYLNQLISFRPEDAEGVMFPHQDPLVISVEIAGFEVRRILVDGGSSADVIFAEAYVKMGLPTQALTPAPAPLRGFGGEAVQSSAASKSDLAIINRAVHCVQTEPHE >ORGLA09G0180000.1 pep scaffold:AGI1.1:Oglab09_unplaced055:81253:91553:1 gene:ORGLA09G0180000 transcript:ORGLA09G0180000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPAASAPAPPSPFPVPHGLSPTAFLGGSPGLFSPTGNFEMSHQQALAQVTAEAVHSPYSMINQSDFSLPFSSTTTSVLASQHVNSSANVSSPREIPTLPSHTDNSNIESTEVSHGFQTTALTEDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTHLSCPVKKKVERSSDGQITQILYRGQHNHQRPPKRRSKDGGALLNEADVSPEKEDASTRSEQGSQDYSGKFKASNDGGPSSSRRGDRGEQISGSSDSNDQGEEEVKVEGGATSDGNANKRHVPAPAQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQDPKAVITTYEGKHSHDVPAARNSSHSSANANVSSSSNLPHKDRGQRSSRRDGLRNASSVSSLQLKEESG >ORGLA09G0179900.1 pep scaffold:AGI1.1:Oglab09_unplaced055:44730:45251:1 gene:ORGLA09G0179900 transcript:ORGLA09G0179900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPHHHHLRHRSGKVVLSIAFPPSEPLRCPSLSSPFVLDIDVPSVARHIVSPRRLRRRIHSGVFHAVLVSVQPLPAAHVASSPAPVVVVVVLPSFPVVVAFVPPSSRSRSSSSLRQVPQPRHRLRPGLRVAKPCAGRVSPSFKDRRRSRLLAVRLSRARSLSSFPRLVVWW >ORGLA09G0179800.1 pep scaffold:AGI1.1:Oglab09_unplaced055:41230:41931:1 gene:ORGLA09G0179800 transcript:ORGLA09G0179800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEYLIVKGQIKSPRMMKMSLPNISARKRWNQRHAPVVEKLDTLLACVSHFALIVKKTIHXGSVPPARSHVFYAKAEQRAINLRRAIPSRRPSSASTSNQKEVSLVNDSNMQSYHIITEPIKDDAVTRTMPAISLQNQNE >ORGLA09G0179700.1 pep scaffold:AGI1.1:Oglab09_unplaced055:241:459:-1 gene:ORGLA09G0179700 transcript:ORGLA09G0179700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSAASKGDLAIINRAVHNVEAEPHDRAKHVTKPAPHGKVIKIQIDDADPTKLVSLGGDMGEEEVENILEVLN >ORGLA09G0179600.1 pep scaffold:AGI1.1:ADWL01019504.1:1714:1995:-1 gene:ORGLA09G0179600 transcript:ORGLA09G0179600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQKPIRSKLTELATPTRCATKTSPGLGLEQVQVQVKVKKMQRSTAGATCSSFKRMRETGSAERSLTRHYCPAASPQRDTLVQKGSESEHIW >ORGLA09G0179500.1 pep scaffold:AGI1.1:Oglab09_unplaced051:1287:2498:1 gene:ORGLA09G0179500 transcript:ORGLA09G0179500.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRARGQRGAAKKIEGRHIITEVDADGRPSAPAEAAKNFVRHSGWVVRDNVHVSKVYWRRTRARGDNDSFVPESEKDMLWTTMLETFTLPAGTENIVKQWTFKKMAEQFQSFKGDLYKKYILKGLTPNFDVFPKLRDHWDEFVAYKTGQQGQAMMVKNKENAASRLTDAVEASSQGTFRPDREKDELSLALQTPEHPERTRGKGVIPWKIGFKEDIHTYRSRMRSKRDTEAKIADLEYRVSSYELSMQEEVARKVDERMAAHWSQDPQPYIPPPMVSPSGNRSSCASTGQVRSQSMDAMQIQDETTCPVDEITQRTPCELHIPFKNLSIKVCS >ORGLA09G0179400.1 pep scaffold:AGI1.1:Oglab09_unplaced049:15640:17479:1 gene:ORGLA09G0179400 transcript:ORGLA09G0179400.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFSKHAGAVNSAHCEAEEKYNLFMQPKTSIRESFGSNSAEFKVQYLARLTWSLKCIRYLLRQGLAFRGHDESKDSNNKGNFRELVQWLAGNFEEVNKVVLGNAPTGCQMIDHKIQKQLIGSCAHETTKLVIEELHDECFAILADESSDAYQQEQLALCLRFVNTTGQPVERFLGLVHVEDTTSLTLKEAIKSLLIKYQLPLSRVRGQGYDGASNMKGHINGLKKLIMDESPSAYYVHCFAHQLQLTLVAVAKENTDCAWFFGQLAYLLNVLGMSCKKIRMLRIAQAEYMIEALKLGEIESGXGLNQEMGLARPGDTRWGSHYKTVMHVMLLYPSIKKVLFKVGKECNGAEAIGAQTMLQVFQSFEFVFLLHMMNEIFGYTSDFCNALQRREQDIVNAMDLLEFTKAELDVLREDCGWKEFLGKVTSFCVKHKVKVVDMDGKYKPIQRSKKFFKDAINYHRFHADMFLGVIDRQLQELNNRFDEVNTELLRCMASFSPAKSFSAFNVDNLVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA09G0179300.1 pep scaffold:AGI1.1:ADWL01019477.1:9252:9449:1 gene:ORGLA09G0179300 transcript:ORGLA09G0179300.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRAKPGSLTSAASDSTPQAILDGSDETNFDKTAPTRKIFSSGVGEKRARLSTTHCTQQVIPEEEV >ORGLA09G0179200.1 pep scaffold:AGI1.1:Oglab09_unplaced042:54520:54744:-1 gene:ORGLA09G0179200 transcript:ORGLA09G0179200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSAAPGTPRLHAYSGRSFTIPSTSTACRTVSSRALCDPSRSAATHGCRSNTSTPLNPHKPPIPLAVMLSVDTG >ORGLA09G0179100.1 pep scaffold:AGI1.1:Oglab09_unplaced042:47638:48538:1 gene:ORGLA09G0179100 transcript:ORGLA09G0179100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRVLLGGEGAVGGGLEVAAHGLSASARLTGQEASANRGAGEEHAPGAVVTHEVAIPSNPVAEDLSHLV >ORGLA09G0179000.1 pep scaffold:AGI1.1:Oglab09_unplaced042:15287:15511:1 gene:ORGLA09G0179000 transcript:ORGLA09G0179000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDVTIKYMHTTMHEKYKTLPKNTSDEWKIYKILKSNEFWNPVWPPETALTSNGPSAFMPTPIWVILDFMKSISC >ORGLA09G0178900.1 pep scaffold:AGI1.1:Oglab09_unplaced042:46:903:1 gene:ORGLA09G0178900 transcript:ORGLA09G0178900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVDNSISINLNQGVPASTILVWTQVGEIVFPVYITMPISAGPSMTGNENVVATTQDDSMSKDPPAEAENGTSTTSKLEKDSNAAKPCRSDKNHEPTRITFEATRSWCPIHKTRKHTLQACWVFLNIHAEIRACKERGIQRISPTRDVYCPIHKTKNHNLSSCKVFLSATKTSSPKVQQSGIPLRDEDKEQETLVSDRFVGVINIDSHEPLVLHLLEDYGSSPTSTPREVLAIDRTSTSAHANAEAQNQVTTPAQHIRTINAILRETPYNPVLNDDLAQWTE >ORGLA09G0178800.1 pep scaffold:AGI1.1:Oglab09_unplaced041:2194:2526:-1 gene:ORGLA09G0178800 transcript:ORGLA09G0178800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPVEKSFNKALLAPVDKRLDEATQAINEAADSVVAAAPPAKKDEVEAATWKRRMFAFAALGMAQGDEKKVGATSLAYKKAAKAVLDAAPADKFKLMDESFKVAAMEVIAS >ORGLA09G0178700.1 pep scaffold:AGI1.1:Oglab09_unplaced041:1:333:1 gene:ORGLA09G0178700 transcript:ORGLA09G0178700.1 gene_biotype:protein_coding transcript_biotype:protein_coding APVEKSFNKALLAPVDKRLDEAAQAINEAADSVVAAAPPAKKDEVEAATWKRRMFAITALGMAQGDEKKVAATSLAYKKAAKAVLDAAPADKFKLMDESFKVAVMQVIAS >ORGLA09G0178600.1 pep scaffold:AGI1.1:Oglab09_unplaced039:13676:14563:-1 gene:ORGLA09G0178600 transcript:ORGLA09G0178600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATQPAREVLIRRVTADNLAVEMLTIRSHLPYFPYITIHADYPVDNAAARHGRRRRRRGGGGRGNKRESEAEERFYRLAKARVDELHVLQLDITLCDHHGRLPATAIAGPGGAAVAVEMAWQVGFSDFDMSQSAVDVLRAAGVDLEHLRARGVPAAVFGQALRVFDIVSAANLGRLTWVAFGGLYDFGFLLKMLDGGRPLPETAEGFASRLRAHLGVVYDAKYVAARLPMDGVELRGGLVRVARVLGAPAAAVEEPRQAGEKSLVASQVFTRMTGLFFAYHDVAVHAGKIDGLQ >ORGLA09G0178500.1 pep scaffold:AGI1.1:Oglab09_unplaced039:7107:11259:1 gene:ORGLA09G0178500 transcript:ORGLA09G0178500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAGAMRVLEPLLPKFAELLIDEYNLEKHVRKGVNSLNTELGDACCTVXGSXGAPRPARXSGQGLGIQCEGDLVXYGGCRXCIHGACGRXLPLWTQYLQEQSQEVHYKDXQIVQKVKRAPSDCXCHQGSPSPRAADGWVAXKVQPNXAAKQRHACYYXSTLDSTVHRRNRSCRYRLRXEGTDQDIDRRXRFIQAATKDNLHRWLWRTGQDNSCKSSAXEYXSAVXLYGFCFCFXESXQMDDFQEDTSSTXERKICKHQXIIMGXNTAHXRIERISSAQEVLYHNRXLIGRDSMGLYQVCFSXRQSWXXVNHDDPQYQCIXSILLCEYNDIIYKMKPLSEDDSKKLFYKRIFPQGNGCPYELEEVSNEILKKCGGVPLAIITIASLLANKDLQTKDQWFTLHNSIGRGLTEDRNVEDMQKILSFSYYDLPSHLKPCLLCLSVFPEDYEIRRDRLIWRWIAEGFVQQTQNGGSLFEIGENYFNELVNRNMIQPIDIDAEGGAKACRVLVLDLICHLSSQQNFVTVFNDIGNITSSRNKCHPCSLEEPCRGLDTFASASEHGTTTWPSVMVAVVISTWPWDISLRWSVWKLICIAAKPTVQRXREWKRLXGEGDLLKRENVLLAT >ORGLA09G0178400.1 pep scaffold:AGI1.1:Oglab09_unplaced038:4746:5062:1 gene:ORGLA09G0178400 transcript:ORGLA09G0178400.1 gene_biotype:protein_coding transcript_biotype:protein_coding YACEFLMVNGRYRTNAEDLPRLERRTSFDDTGITDVQRDLCHFIHHECCHVKGDFFDPEGALATSDEFKDLREWNTAMP >ORGLA09G0178300.1 pep scaffold:AGI1.1:Oglab09_unplaced038:2762:3040:1 gene:ORGLA09G0178300 transcript:ORGLA09G0178300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHTAEKNNMRKIICPCAGCKNEIVWDVDNAFKVKEHLVTCGFMDKYEIWTCHGEEQVDGPENVVPTQVEDMVHDDVSVEDKIDLEKMLRHAEP >ORGLA09G0178200.1 pep scaffold:AGI1.1:Oglab09_unplaced029:48429:48800:1 gene:ORGLA09G0178200 transcript:ORGLA09G0178200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQYELNSDRDLNRSPTGLVGPSHGSYIFVRGMTGGAWEYGEERARGGEGRAGAIDYFLYIDARYIARVRSPS >ORGLA09G0178100.1 pep scaffold:AGI1.1:Oglab09_unplaced029:28978:30071:1 gene:ORGLA09G0178100 transcript:ORGLA09G0178100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTACKDLHLYYMEKSNARKPSKATDILGEHDGKPFLGPTNYIVVDLKDLFDLYRLRAVDTSLLKCYSFAHWILLVITPKWSTCHYPNSRIDKNAYDWTPIQLAIDEAWAQYVQRGGLRKTGHDTLIHKKDFPVKQQIGDQCGFHVCHNMRLLYREKVKTLAEFEGTITKSLPSSFEEAMMNTYYATVMM >ORGLA09G0178000.1 pep scaffold:AGI1.1:Oglab09_unplaced029:7830:8027:1 gene:ORGLA09G0178000 transcript:ORGLA09G0178000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRAKSGDLTLAAGDSIPQAILDGSDETNLFETSPTEKNFNSGVGEKRARLSTTHCTQQVIPEEEV >ORGLA09G0177900.1 pep scaffold:AGI1.1:Oglab09_unplaced028:58118:61047:-1 gene:ORGLA09G0177900 transcript:ORGLA09G0177900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMLKQVEPLSEGQLMSIYELQQAAKGTEDALSHAMDGLQQSLSDTVAAPDVAAAGGFMGHMSLAMNKISAMEDIVRQADGLRQQTLHKLQHMLTIRQAARCFVAISDYFHRLRALSTLWVARPRPEEGPAM >ORGLA09G0177800.1 pep scaffold:AGI1.1:Oglab09_unplaced028:48281:53341:1 gene:ORGLA09G0177800 transcript:ORGLA09G0177800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mnd1 family protein [Source:Projected from Arabidopsis thaliana (AT4G29170) TAIR;Acc:AT4G29170] MSKKRGLSLEEKREQMLQIFYDSQDFYLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQLRTTYSKLESDLSSSKKRFIELVEQRENLKRGREDSDEREAALEELKAVEQQHKKLKEELAAYADSDPAALEAMNDAIEVAHAAANRWTDNIFTLQQWCSTTFPQAKEQLEHMYKEVGITEDFEYLQ >ORGLA09G0177700.1 pep scaffold:AGI1.1:Oglab09_unplaced028:36424:36759:-1 gene:ORGLA09G0177700 transcript:ORGLA09G0177700.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTLQLAHEGSPAAREAKIKLLEGRLGRFVMDDEETPQEMYDRMMILVNKIKGLGSEDMTNHFVVKRLLRAFGPRNPTLVSMIRERKDFKRLTPSDILGRIVSHEMQEEEARE >ORGLA09G0177600.1 pep scaffold:AGI1.1:Oglab09_unplaced028:30299:34391:-1 gene:ORGLA09G0177600 transcript:ORGLA09G0177600.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSTSQPNVVSNPSNPSTSNGCARCYNIDLNSYAINVDAMQALKKENERLGTLVKYGCMKTYHSKDSLYKTITAHPNKDGHGLGFLGGSPVSKHVVAYSSSGSSWVVDSGCTNHMTRERSMFTSLDEEGGSRENIVFGDDGKGKVDEGFLLGYESNVHAYRVFNKTFGIVEVTRDATFDESNGSQGEQVVVHVVGDMDPSQAIGTKAIGDIRPIETQDDQEDRDQSPSSTSNSPTSAVSAKPEVPGPIDQNIRTYLGPKVPGSPVRNLRTSGSEDVPTAQMDRIDAAGTLEHTDQAQVPPVHHPRIHHTVQRDHPVDNILGDIRKGVTTRSRVASFCQHFSFVSSLAPTRVEDALGDPDWVMAMQEELNNCTHNQMSMMGELTFFLGLQVKQAQEDTFISQTKYVKDILKKFGMEDAKPIKTPMPTNDHLDLDDNGKCVDQKGCDFELLGYSNSDYAGCKVDRKSTTRTCQFLGRSLVSWSSKKQNSIALSTAEAEYVAAGSCCAQLFWMKQTLNDFGYNFTKIPLLCDNESAIKIANNPVQHSRTKHIDICHHFLRDHETKGDICLTHVRTETQLADICTMPLDEKRFSELRILQFHSLFSRLFL >ORGLA09G0177500.1 pep scaffold:AGI1.1:Oglab09_unplaced028:19989:20345:-1 gene:ORGLA09G0177500 transcript:ORGLA09G0177500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L20 [Source:UniProtKB/TrEMBL;Acc:I1QRU6] MTRVPXGYIAWRRRAKMRSFASNFRGAHLRLNRMITQQVRRAFVSSHRDRVRQKRDFRRLCISRINAATRIHKVFDNYSKLVHNLYKKELILNRKILAQVAVLNPNNLYMISNKIKIIN >ORGLA09G0177400.1 pep scaffold:AGI1.1:Oglab09_unplaced028:18758:18958:1 gene:ORGLA09G0177400 transcript:ORGLA09G0177400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L33 [Source:UniProtKB/TrEMBL;Acc:I1R2C9] MAKGKDVRIRVILQCVSCVRKGANEESAGISRYSTQKNRHNTPGQLELRKFCRYCRKHTIHAEIKK >ORGLA09G0177300.1 pep scaffold:AGI1.1:Oglab09_unplaced028:15440:15691:-1 gene:ORGLA09G0177300 transcript:ORGLA09G0177300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIQYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTKSQQGIPLITDRFDSLEQLDEFSRSF >ORGLA09G0177200.1 pep scaffold:AGI1.1:Oglab09_unplaced028:12954:13912:1 gene:ORGLA09G0177200 transcript:ORGLA09G0177200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRNTFSWVKEKMTRSISVSIMIYVITRTSISNAYPIFAQQGYENPREATGXIVCANCHLANKPVDIEVPQAVLPDTVFEAVLRIPYDMQLKQVLANGKKGGLNVGVVLILPEGFELALPDRISPKNILVIGPVPGKKYSEIVFPILSPDPAMKKDVHFLKYPIYVGGNRGRGQIYPDSKSNNTVYNATSTGVVRKILRKEKGGYEISIVDASDGRQVIDLIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLCVQGLLFFFASVILAQVFLVLKKKQFEKVQLYEMNF >ORGLA09G0177100.1 pep scaffold:AGI1.1:Oglab09_unplaced028:10567:11124:1 gene:ORGLA09G0177100 transcript:ORGLA09G0177100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRSEHIWIELLKGSRKRGNFFWACILFLGSLGFLVVGASSYLGKNIISVLPSQQILFFPQGVVMSFYGIAGLFISAYLWCTILWNVGSGYDRFDRKEGVVCIFRWGFPRIKRRVFLRFLMRDIQSIRIQVKEGLFPRRILYMEIRGQGAIPMTRTDEKFFTPREIEQKAAELAYFLRIPMEVF >ORGLA09G0177000.1 pep scaffold:AGI1.1:Oglab09_unplaced028:7160:8624:1 gene:ORGLA09G0177000 transcript:ORGLA09G0177000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCREGRMSPQTETKASVGFKAGVKDYKLTYYTPEYETKDTDILAAFRVTPQPGVLPEEAGAAVAAESSTGTWTTVWTDGLISLDRYKGTYLKRVMLLTCLHPFTSIVGNVFGFKALRALRLEDLRIPPTYSKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRACYECLRGGLDFTKDDENVNSQPFMRWRDRFVFCAEAIYKSQAETSEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHLHRAMHAIIDRQKNHGMHFRVLAKALRMSGRDHIHAGTVVGKLEGEREMTLGFVDLLRDDFIEKDRARSIFFTQDWVFMPGVIPVASGGIHVWHMPALTEIFGDDFVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNEGRDLAREGNEIIRSACKWSPELATACEIWKAIKFEFEPVDKLDS >ORGLA09G0176900.1 pep scaffold:AGI1.1:Oglab09_unplaced028:1993:2320:1 gene:ORGLA09G0176900 transcript:ORGLA09G0176900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNEPTNVNENETTNVNENKVNERERECCRTNVNEPTNVNVKCNYRQVNERERTNVEKTLSESSLKPEW >ORGLA09G0176800.1 pep scaffold:AGI1.1:Oglab09_unplaced026:112696:117912:1 gene:ORGLA09G0176800 transcript:ORGLA09G0176800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT1G13380) TAIR;Acc:AT1G13380] MGDTERSSILVHILVIALCLTAFGFAIAAERRRSTGSIVTDSFNSTFCVYDSDIATGYGVGAFLFLLSGQSLLMVVTKCMCFGKPLAPGGSRAWSIIYFASSWVTFIIAESCLIAGATKNAYHTRYRHMVYAGSWTCESLRKGVFIAGAVFVVFTMILNVYFYMYYTKSTSQAAKKINKTTPNVGMTGYA >ORGLA09G0176700.1 pep scaffold:AGI1.1:Oglab09_unplaced026:104521:107736:-1 gene:ORGLA09G0176700 transcript:ORGLA09G0176700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp domain-containing protein / D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G52120) TAIR;Acc:AT3G52120] MADKGLFANDGSFMERFKQMQEKEKEKAAAASSSAAAAAKATNPKPVVVAANTPSASKRPLELKGGEVKKAGSISSGAKLAFSLKKNKVAVAPVKFAADDEDEEDVGADREEPVKRQKYAQPEAPASAAALSGVVAPSPPNDMTVKQVADKLANFVAKNGRQFENVTRQRNPGDTPFKFLFDKNCSDYKYYEFRLAEEEMLLAQSKEAQASKHASSSTPSSRAPSAPQRSSFEQKTNYQTPASALYGAYEGSSSQGSSSGYGEPPKDPVALMEFYMKKAAQEERKRPPKQSKDEMPPPPSLQAPSSKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >ORGLA09G0176600.1 pep scaffold:AGI1.1:Oglab09_unplaced026:99019:102102:-1 gene:ORGLA09G0176600 transcript:ORGLA09G0176600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGSYGEVGQKIDYVFKVVLIGDSAVGKSQLLARFARNEFNLDSKATIGVEFQTRTLHIDARTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVARWLEELRGHADKNIVIMLIGNKSDLGTLRVVPTEDAKEFAERENLFFMETSALESTNVENAFMTVLTEIYRIVSKKNLVANEEVDSSGNSSLLKGTKIVVPGQEPAPPTKASCCMS >ORGLA09G0176500.1 pep scaffold:AGI1.1:Oglab09_unplaced026:89432:93129:-1 gene:ORGLA09G0176500 transcript:ORGLA09G0176500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDHHHPDAAAAAAGKGAHHHHGGGGKVHKLLKSAFKRGGDHHHPGSGGGGGDQEGDLLSRSASGSSSTSAASSSRAASSSSGRRGGGGRRGDDTCSSVDGESGELDGSKNAKVLAALRDAKISYAYESFPWEKKMKELLPVPAASCFLSMLLLPKSADGSHTRYKSLEDTLARADAWLASSQAAGVPVAFMNVQTEALLTKISGEMALSTVNMGSLSDLANMANASLYGFEDYHGVDIGVVRAVRLWYTPVAGEAALEIKLLPGDTRLGFAISRTEEGFIYVSSVAEESTPGVASTRSGLLELHRAARRASRLLVVSRVGGEKVLPWMVSTAGDVKCFDTVSLSQKLSLHRHALRPITLHFLMWDTALTVKDVAKPPLPPPPTMLMLPSPPSPPPSDAEGDAPPPSGDGDEAPGSGAKGGKDSSFRFQNIDLLPDSWL >ORGLA09G0176400.1 pep scaffold:AGI1.1:Oglab09_unplaced026:75511:86092:1 gene:ORGLA09G0176400 transcript:ORGLA09G0176400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT3G04740) TAIR;Acc:AT3G04740] MAEGELGQQTVELGAVVRRAAEESYLSLRELVEKSQDEGEGKGGAYGARQRSDSEKKIDLLKFIARTRQRMLRLHVLAKWCQQVPLVNYCQQLGSTLSSHETCFTQTADSLYFMHEGLQQARAPMFDIPSALEVMLTGNYQRLPLCIEDIGSQNKLSPDEEKRALQKLNASVRYKVLVTPRPKEVSNVSVADGIAVFRVDGEFKVLLTLGYRGHLDLWRILHLEVLVGDKGGPIKLEERRRFALGDDIERRMAVSENPFMVLYAILHELCISLAMDTIIRQTNVLRQGRWKDAIRSELVTDSTTGQTGSAPLMQLGQDGEYDLSGSRIPGLKINYWLDEKAGGSAESDSSPFIKIEARQDMQIKCQHSSFILDPLTDKEANLSLDLCCIDVEQLILRAIASNRHTRLLDIQRQLSKNVQISQSPKDVILKRDVEIAKDPVKKTEQKDFADCCGNEVLQVRAYGQAYIGLGINIRSGRFLLQSPENILPPSALLDCEEALNKGSITATDVFASLRTRSILHLFAATGSFFGLKVYEKSQGTLKIPKDILHGSDLMVMGFPQCANAYYLLMQLDKDFRPVFHLLETQSDANDKTNTNACTKEALRFNKIDIGQIQISKSESNTNMFDAKLHALQNIGNCDDVIENRLPIQSGIEPLPLLPACSPSFSSVVDEVFEYEHGALAVPNHSLPQTTLQSTSHPGSLSVGFQGVGTRANASIEGASSAYSGSKFSPGVGLNSYLPSNLRHVQSTNTFSSSTVTKSSSIKLPSSNSNHELSSLSSPTEHVIADGSKSLQLVPASKINGSINLITMGSDGASRKRSISDLFLNLPSLQGLKPSSPRKRRRISESIESWSPLQAYSSDSQSRTSLTYGNILAERNNCVPATTYASVLLHVIRHCSLSIKHAQITAHMDSLAIPYVEEVGLRSPSSNLWLRLPFARDDSWKHICLRLGKAGSMSWDVRINDPHFRELWELSTGSTTTSWGVGVRVANTSEMDSHISFDAEGVILTYSNVEPDSVQKLVSDLRRLANARSFARGMRRLIGVKLNDKLDDDQTSTDIKSQPVNKGNSDAADRLSEQMRKTFRIEAVGLMSLWFSYGTMPMVHFVVEWESAKGGCTMHVSPDQLWPHTKFLEDFVNGGEVASFLDCIRLTAGPLLALGGAIRPARMPVTVSSGYNSMPKQMNNIPTQGPLANGSSSTTMHHAPSPANVAATHLGSHNLHTAAMLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPLVGGSLPCPQFRPFIMEHVAQGLNALEPSFMNATQAGAHLNSSAGTLQPASNANRVNVTQGIGMSRPASGVANHVAANLSRAGNAMLASSGLASGIGGASVRLTSGANLPVHVKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKENEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQAQNSAQEELAPPEINEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGFSQAHGDIATAQRARIEICLENHSGSVSDDVTESTLAKSNVKYDRAHSSLEFALTFVLDHALIPHMNVAGGAAWLPYCVSVRLKYSFGESNHIAYLAMDGSHGGRACWLQYEDWERCKQKVARAVETVNGSVAVGESGQGRLRMVAEMIQKQLQLCLQQLRDGPLSAGSTAS >ORGLA09G0176300.1 pep scaffold:AGI1.1:Oglab09_unplaced026:63815:63965:-1 gene:ORGLA09G0176300 transcript:ORGLA09G0176300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAVGGAVSATGGSGIGGVSRGVSGDGRVGGRPGGIRRVSREDPVTVQ >ORGLA09G0176200.1 pep scaffold:AGI1.1:Oglab09_unplaced026:57209:61702:1 gene:ORGLA09G0176200 transcript:ORGLA09G0176200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSKDHIFPNQRSLISQSSSPHNSLPKKEEIPLLSLLPSIHDHNKIHGCYKQEKEEEVMEDVDISLQIGLPSPDPNSSVIDFAKSNPLGATATTSQELDGDDDDDHKVEVEREEEEEEASDDLCLDYFSMGKLTKGKYWIPTPTQILIGPTHFACPVCCKTFSRYNNLQMHMWGHGSQYRRGPESLRGTQPAAMLRLPCFCCAAGCRNNVDHPRARPLKDFRTLQTHYKRKHCAKPFACRKCGKPLAVRGDWRTHEKNCGRRWHCACGSDFKHKRSLKDHIRAFGRDHRERPPPPPPHPLLPLP >ORGLA09G0176100.1 pep scaffold:AGI1.1:Oglab09_unplaced026:38482:38989:1 gene:ORGLA09G0176100 transcript:ORGLA09G0176100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQQKSIFAGGHAKRSKVAFFLSSQFMARSSTEEGIARWRHGVAVLGRSGAGLGVALRQRGAGGGSATAVRGRGWLGSGAGWRCSVAVALEVAVLDNGSGAHLTQKQR >ORGLA09G0176000.1 pep scaffold:AGI1.1:Oglab09_unplaced026:2250:5691:1 gene:ORGLA09G0176000 transcript:ORGLA09G0176000.1 gene_biotype:protein_coding transcript_biotype:protein_coding QHRSQMGTQAEAFLTSCADRIINLLEEHAVMILGVKDDLKKLQAKVELIKAVLEDAERKKLQYRTIEIWLNSLKDVLYEADDIIDLCRTKGRELLEEQPSSSIQQRKMHCSLLSFFSTVQLRHKIGSKIRKLSDRLTDIENNRLVLSLCHLKPCEQQDTTVNEDSTRKIVDMIFSHEDNFKIVAVTGMGGIGKTTLAQRVYNHVKIKNFYPTIIWICVSRKFSEVELIQEIIRQARGDNGHAKTKAELLPIMANTVANKCLFLVLDDIWSADVWNALLCTPLHSTPRCGCVLVTTRHQDVARGIKAMYIHEVQKLHTRSSLELLWEEIVRKCDGLPLAIKLIGSLLARKGHNPQQWSDVLRSGIWNMKELPGELKGAWGALYMSYEDLPPHLKQCFLSLSLFPADYDLAIWDLRALWVAEGFLHPKEQLIAEELAENCYAELVSRSLLQPIVLYADQRKCRMHDLLRSLAQYLSRGESLCGDPRKLDAFSLSKIRRLSVLMDEEVEEEADPLTRSQRKNLSLRTLMLLEGTSIFQRETIFSFPCLRVLVLNGKAIENLPSSIENLLHLRMLNLNYTSIASLPMSIGSLKNLQILYLIRCLRLHSLPASITQLDDLRCLGLNGTPVTHVPKGLGKLKLLNDIGGFVAGGHTTCQTELQEGWGLEELESLAQLRWLSITRLERAMISKPMLKSKCFLRHLILSCTMPQYKKLSFEEINTIEAIFEGLFPPPSLEKLQIINFCGQSLPGWLISSSLETNLPCIEYIHLIGCSFCTQLPPFGKLPQLRYLNIEDAFAIVNIGTEFVGMHGVSTAFPKLEYLTFNGMPNWEEWSMSGNEEEEEPLMPHLVELQILGCPKLRSLPTTLQKITTIQTIGITKCDSLTCVTNFRYLHNQLVIEKSSGVEIISNLPALNKLVITDVHALKHIEHLPSLRYMELCSISLDKLPEWLQGLADTNRKLANDLQLTLRCSITLMRRCVRKGPDWPTIRRFPHVSVYTHDRSALMEYNHEAGYYFTNLQ >ORGLA09G0175900.1 pep scaffold:AGI1.1:Oglab09_unplaced024:4991:11223:-1 gene:ORGLA09G0175900 transcript:ORGLA09G0175900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDLRHPVVAPSAEYAEHLSNLVAIPSLSHERHYFLGPIGNLDPTDFIIGETNRIPFRLANPNLGHWMNTFKSWPSLEKTSPEKSWTTWYKCVSASKQTHWDEIGNGQALALMLQNSTKDEPLMAAAAYFWSNTINAFLFNQGPMTPTLIDITMITCLDVSSSANPMNLNTKYQYDFRTKSIGVMKVVNRPSVIEAEFPRLEPIVEDDGEERTHRRCTSYGEYASAPADAGAKLSAELLKDWFCSFYEGFQKDARIWFPYEDS >ORGLA09G0175800.1 pep scaffold:AGI1.1:Oglab09_unplaced023:12906:13070:-1 gene:ORGLA09G0175800 transcript:ORGLA09G0175800.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFRFGSLDFITNDFGKTSATATTTATSTTSTKALKTTTRLSTSASSWLTTRRKNS >ORGLA09G0175700.1 pep scaffold:AGI1.1:Oglab09_unplaced023:7351:7566:1 gene:ORGLA09G0175700 transcript:ORGLA09G0175700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEDDDGHRRRSGGGEARPRATLPLRCRGRRRRRPAHGHGGRGCRKNSGEAEGETGAGDGVPAKPRAGRGG >ORGLA09G0175600.1 pep scaffold:AGI1.1:Oglab09_unplaced022:66596:72277:-1 gene:ORGLA09G0175600 transcript:ORGLA09G0175600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAXEYQTMPSSANDKRYSGLSNGGDKEQLPSQESEVPLTTSFDGNAMQVDGLLKNVSPTTKIVVKPNEELKSPFLVKRHLFLKRPDPIILDELYSLTTKVTDEESRQSVWVSGNLPINLKLVDIQETIKPEGVMGINCMDLAVRIMARQDAEIFKNTKCLGWRHYVDSNWKQYINDPNNLRNEYSRLSTMYDPSGSHLVLIPVSSDGHWTLYAFNMHDKKLCILDSRRDTSEGGDQDPVKRHEKIRKEVCHALNETMDVDFNFLSWKHEFPKVPRQQNSYDSGFFVFNFMRLWDGHRLIRWFSTETKELRKNFLAYILSSSDDHSAMPTNVSELIKKLPGETMMNVELLEAARAGNAKAFCELVIDPSRSINHQPSRSASCSCLLFRSTSSGSYCLCFECTSSRNSDQEKNPNKHNALTRSDAPSTVTRDTRDMLHPIQGATVEGDGVLHVAASFGVLEPVKTVLEAQNGAFATALLQAENNKGDRPLHCAATAGSIVTVKFIVDKARRIMQESDTFVWFLRAKNLDGQTCLHEAVRQGHKDVVEYLVSEDAGLGVPSQSRDVPLPLVQIVDNEGTSPLYLATTLRRDSIVKVLTETASGMPRAASYCGPAGKTALHAAVLFSEELSRTLVNWNHSLIKIRDESGSTPLHYLADGKYTTEPSCISVTELLLKKDPSSGYCEDSEGSLPIHIAAANGTLGIIVQLIKLCPGCESSCNASGQTILHIAVQTESHDVVRFVCSNEMFKMVLNMKDYDGNTALHLAVQKGHNKTFGILMGCKNVSLSIRNRNGYTPLDHAVLNKTNGLTYATYWPGHQRWVCNSLLAAGADFGTFRADHLSSKIPEQAKADREAFSDTLSKSAAVMATCAALLFNAALNIFLNVQAIYHNNNTSSNSNNNNATQGTDQLKQIQKVKKLSGDSLSISACAILLFAIAGFPILPGVIGRTFALILGLGVLIGSSMISLQALAARLDLAKVYGTGIGAFCVIFSLLCVTLCTNLLRKIVQHARPLWARCGARGFFRCILNVRRAQNYSAIPLLQ >ORGLA09G0175500.1 pep scaffold:AGI1.1:Oglab09_unplaced022:46977:47822:-1 gene:ORGLA09G0175500 transcript:ORGLA09G0175500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATRQGNTNAFRELRCPARREDCKSSCRSDEQENQIMRSPLRLDATSTVTSDRGPPEPYSIRGVTVDGDGVLHIAASICHFELAKSILEGQEDKALIVMLLQQENKRGDRPLHCAAATESKEMVQLIVERAKCITEPSNFTTSLLRARNLEGQTCLHKAILLGHTEIVKYLVSQDEGLAQIVDNEDISPLYLAIALRRGDIIQELTIKASCGPAGAVSYCGPAGKTVLHAVVLFSEGTDAISSFVVKF >ORGLA09G0175400.1 pep scaffold:AGI1.1:Oglab09_unplaced022:35983:37640:1 gene:ORGLA09G0175400 transcript:ORGLA09G0175400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYITKESQGPSGDQTLDSDVANEPNDSETEIETTVVEPNDILAQLGPKRDLSIQKGPKDRLSRGFSALFYTRNLSNGDEYDRDXLVYSKELDSVLCFYCKLFTKGREYRYRKGQLTNEGFNDWSHLSSRLREHETSPDHVLNMTNWYELRNRLQKDQTIDKFAQRQLKKEKDHWRKVLFRILAIVKFLAKHNLLAFRGSNSKLYEDSNGNFLGMVETLAKFDLLIQEHIRRITNEETHVHYLIPRIQNELIHLLACDVKAEIMKKINXAKYFSVILDCTPDTSDQEQMSLIIRYEKELKDLDLDIDDVRGQGYDNGSNMKGKHQGVQKKLLDINPRAFYSACGCHSRASKFDEPALNRYIQDCKERADCTCDLDIITIFFLPSHQS >ORGLA09G0175300.1 pep scaffold:AGI1.1:Oglab09_unplaced022:34469:35233:-1 gene:ORGLA09G0175300 transcript:ORGLA09G0175300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKGDHEWMRNSLLDVGAELGTFVWDHLSREIAKPYKAEDEALSEQLDKSAAVMAAIAALIFSTALNIFFNVESVYHNAANIAFQENHQANVVKTLTGFSLVGSAAAIVLCGLAGFPILHARLRMPGLILGLFILIISSVCTLMALAARLHLASTPLASAFTWSLVYISGLCVVYCYMKASNLFSRFDVHVRARYNRLGFVAFCRSLFKHRSLHSTVPMIQVGVYVELTILIAAIVSLWTLVGINVTPYVFH >ORGLA09G0175200.1 pep scaffold:AGI1.1:Oglab09_unplaced022:28401:29148:-1 gene:ORGLA09G0175200 transcript:ORGLA09G0175200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLLDGGGGSSGGFGPFATEHKRHEQIGNLAVELKHQRVASEPSAMVPRENQCHIAESASLDAILDFLESVTEEYEMNPEQRYTLIRVKKRGYWEPLDDPNPRKSNGKRSATIINASSFF >ORGLA09G0175100.1 pep scaffold:AGI1.1:Oglab09_unplaced022:18648:20123:-1 gene:ORGLA09G0175100 transcript:ORGLA09G0175100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADADACPAVFASRHPTEQELISSYLHPRLLLTTTKPAAAVAAGGVPSFIHHADAYAADPADLTARHLPARAADGSRAWYFFSPVRTTTERGTRRARAVESGDGCWHSESGVRAVVDAAGRRVGHRQFFSFVKKREEDGKRVRTGWLMVELGVDNDAASASSSNELVLCKIYMTPRMPPPSPPSAVTSSAAATMELMPRAPPPSAPSAVTSPAATTMELMAGGVHKRRKISDEIAAAATPPHPQQQRRQRCVPDNDGSKESSGESSSVVILDDDDDDADAPEDGGAVRSKLRSDDGVMLADARDDEQHAATSDSMAGTSGGAVTGGGHGKLLPDLNVVATVAHDDEGRHARGAPRPQDGGTSTTTTMVAGAGAERGSTAGYLPAAATGYRRTLMLFLEEDDDAVEDEQQQQAPPLPPATSTATTTRTAAEANVQRQRQPPCCTFVVHPCAAHAKMRHGAAYGCGCRVTGAVRRGGYHLPRRAVHTTTTTT >ORGLA09G0175000.1 pep scaffold:AGI1.1:Oglab09_unplaced022:9382:10948:1 gene:ORGLA09G0175000 transcript:ORGLA09G0175000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTEMILGWNGGLAKQGDEKGRTPLHFAASTNRLSMRAMVKLLLEHDRSCVYQPDEEGSYPIHVAAALGGVAGLFAVKLMIEFCPDSAGLRDGTGRSFLHVAVDNLCPSVVALARFSPGLRSAVMNMQDENGNTALHQAVHVCDIMIFFFLLIDRRVLLDVKNNMGYTPVDLARLKDHLKGLNYPVNPQCMMSSSLTHTAGHHPSGDNPTDSVNEKRVEKEERGELSMIYKDAAQNLTIGAVLIVTVTFAATFTMPGGYVSSSDDDGERRGTPTLAGTYAFDAFVAANTLAFMLSGMATFSLMYAGYTPLDFAFRERCVKLSMGLLHSSVRSVGAAFLTATYVMLERVAPKLVIAVCVAAAVGLFYINFEVWMLGWMTLALLSRGDILAALIVGLQTVAVAFWFSWPFAVIFVLPLILKGQ >ORGLA09G0174900.1 pep scaffold:AGI1.1:Oglab09_unplaced018:40391:42442:-1 gene:ORGLA09G0174900 transcript:ORGLA09G0174900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVGNPPSPPTTTVAALTDDTLLCILRRLAPADLLRAALACHRWHRAAARCVTAAPPPLLGYFFHPADTPLTAHIVAPIAALHPAAFTPLDSSSSPSSPRLSLHGLGSGGTKGFTIYDVHLGLVLLLPASLPSGVLSRILVLDPASRRRALLPQPPRDALPGDRWRGLRHIVGAAVLSRAHPRRLCFDAVCLTVDDEHPRAWVASYRGGEGSWRALPQDTGVTVAFDPFWFEGRCVHAAGDIYWHICNSGGLLKLDPTTLTFSYLLAPSELGDRNKKFRIGEAPEDGRLYMAAMEDQEMQFWVRGEASGSDNGWFLQKRMNMRKVFDTVPGLPRDKLSRTVSIWLSDIDAGRTGKLFFEGGVWALLLPYGHRQAGALGHXRWKGVWPPHLCLLHGLASCLPSSRKSXISDHPA >ORGLA09G0174800.1 pep scaffold:AGI1.1:Oglab09_unplaced018:23770:23991:-1 gene:ORGLA09G0174800 transcript:ORGLA09G0174800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGEDSIWHTMEVLCVYGMRSRIWKESKFGMIGYVKFVSCTRRFPMGIRTSNMSLVHGFRLPTSCINREGA >ORGLA09G0174700.1 pep scaffold:AGI1.1:Oglab09_unplaced018:17818:18096:-1 gene:ORGLA09G0174700 transcript:ORGLA09G0174700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREFEMSMMGELSYFLGLQIRQTPQGTFVHQTKYTKDLLRWFKIENCKTISTPIGSTAMLDPDEDGEAPDQKEYRSMIGSLLYLTACRPDI >ORGLA09G0174600.1 pep scaffold:AGI1.1:Oglab09_unplaced018:15564:15917:1 gene:ORGLA09G0174600 transcript:ORGLA09G0174600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDAVESAIEYARVSSDAMDSGVCVHTAYQCVLWEEDGEGHCGINLNKDLPNGHREGRAQGQHHGHRAPGAAHLGRAAVRAHPPPVACLLKLITAMKLKLCCCLFSAIKCTLKMN >ORGLA09G0174500.1 pep scaffold:AGI1.1:Oglab09_unplaced015:66918:67679:1 gene:ORGLA09G0174500 transcript:ORGLA09G0174500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRFVDNSVNTNLNQGVPISTTLLWTQIGEIVFPVYTTMPISAGPPMTGNENAVAATRGDSMSKDPPAEAEIGTSTTSEPKKDASAAKPCLSDRNHEPTRIASEVTRSWCPIHKTKNHTLQACWVFLNVHAEIRVCKERGIQRTSPTRDVYCPTHKTKNHDLSSCKVFLSAMRAPSPKVQQSHIPTRDKDKEQGATPIPDRFVGVIDIDPHEPSVLHLLEDYGSSRTSTPREVLAIDDVGSSARTNAEADIK >ORGLA09G0174400.1 pep scaffold:AGI1.1:Oglab09_unplaced015:39979:40476:-1 gene:ORGLA09G0174400 transcript:ORGLA09G0174400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANEGADAGDSKILLISSNGQHFQVTEAEASMSKLVSNMIEDDCTENGVPLPNVASNVLAKVLEYCKKHAAAATAEDVAVKDQELKSFDASFIDVDNTMLFGLILAANYLNVPSLLDLACQHTADLIKGKTVQEIRDTFGIVNDFTPEEEEEIRKESEWAFEN >ORGLA09G0174300.1 pep scaffold:AGI1.1:Oglab09_unplaced015:33488:33880:-1 gene:ORGLA09G0174300 transcript:ORGLA09G0174300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVFCAQILAAHCSTERTVRARLDGFKSRLRAKDDELGRKNLEMEALANTLKEAKAENKRLQSELEKGKEARAEVDRLKAELEKEKAHTAVLIDYYNLTEPKMEALRQEVRKAEASAAEESRRFSREMVKTT >ORGLA09G0174200.1 pep scaffold:AGI1.1:Oglab09_unplaced015:29749:31205:1 gene:ORGLA09G0174200 transcript:ORGLA09G0174200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYLEAVRSMEKCFTGIMVEHLPRDQNGEADALAKSAACGGPHSPGIFFEVPHAPSVPMDSSEVMTIDQEKLGEDPHDWRTPFVKHLETGWLPVDEAEAKRLQLRATKPTKFSPFMLLYGDEAMTPAELGANSPRVIFSGGEEGREVSLELLEGVRVEALEHMHKYAISTSATYNKKVRPTELIPGHLVLRKKANPVAVGKLELKWEGPYLIKRKSTTGSFRLATLEGEEFDHSWNAASLKRFYV >ORGLA09G0174100.1 pep scaffold:AGI1.1:Oglab09_unplaced015:18571:18795:-1 gene:ORGLA09G0174100 transcript:ORGLA09G0174100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAPNGERRHRSRQAAGEATASAPATSSGLGLVKRQERQRHRHRWRRPRCAAASASSKQRRHVFNMPWILLT >ORGLA09G0174000.1 pep scaffold:AGI1.1:Oglab09_unplaced015:17565:17693:-1 gene:ORGLA09G0174000 transcript:ORGLA09G0174000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWRSSLPSSQIRLEEGAIVAEAALPPLPPSLPDLARGGG >ORGLA09G0173900.1 pep scaffold:AGI1.1:Oglab09_unplaced015:15954:16451:-1 gene:ORGLA09G0173900 transcript:ORGLA09G0173900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKEGADAGDSKILLISSDGQHFQVTEAEASMSKLVSNMIEDECTENGVPLPNVASNVLAKVLEYCKKHAAAATAEDIAVKDQELKSFDASFIDVDNTMLFGLILAANYLNVPSLLDLACQHMADLIKGKTVQEIRDTFGIVNDFTPEEEEEIRKENEWAFEN >ORGLA09G0173800.1 pep scaffold:AGI1.1:Oglab09_unplaced015:10675:11175:-1 gene:ORGLA09G0173800 transcript:ORGLA09G0173800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAADVAADGKKMILLVSSDGVKFELSEAAASLSKTLGNMIEDDCATNGAIPLANVASDILAKVVEYCNKHAAAAAAKASGEEELRRFDAEFVNIDRKKLFGLINAANFLDMPCLLELTCQRAADFIKDMMPEQVRKVFGIENDFTPEEEAEVRNENAWAYEI >ORGLA09G0173700.1 pep scaffold:AGI1.1:Oglab09_unplaced015:253:513:-1 gene:ORGLA09G0173700 transcript:ORGLA09G0173700.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVAVKDQELKSFDASFIDVDNTMLFGLILAANYLNVPSLLDLACQHMADLIKGKTVQEIRDTFGIVNDFTPEEEEEIRKENEWAFEN >ORGLA09G0173600.1 pep scaffold:AGI1.1:Oglab09_unplaced012:46720:47460:1 gene:ORGLA09G0173600 transcript:ORGLA09G0173600.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQTPPVDQRQDAQRKVIQMITRADPPGQLSKRQKKMQIRAVHSITSAGEGAPQYVNQLISFGPKDAEEVMFPHQDPLVISAEIAGFEVRRILVDGGSSADVIFAEAYAKMGLPTQALTPAPASLQGFGGEAVQVLGQALLLIAFGSGENRREEQVLFNVVDIPYNYNAILGRATLNKFEAISHHNYLKLMMPGPAGVIVVKGLQPSAASKGDLAIINRAGHNVEAELHSRPKHAPKPTPHGKIVKV >ORGLA09G0173500.1 pep scaffold:AGI1.1:Oglab09_unplaced012:39089:39940:1 gene:ORGLA09G0173500 transcript:ORGLA09G0173500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQPPPPSIPGSVKGKALKLGLTDIIEENVMPIDPEKLTPEQKEEFEATLQQARDQFLNSFMKTRKGTLVQKYKIKVVADSPGTGSSKDGEVKQAPGRSSQPSTKGAADGSPGDKGDNSQGVRGVQGDGAKGPQGGNFNQNSEVAQDFFNNFQDRVDYAVYHALINQSGVLVNTLSNMMKTIADGSIAEHHAAGPVYLQGDVFPNYRSLITDVQPSIQAVPSVAPITQSTAPASTPLPATSAMAPGQPTNPRLLMREHPHHSESADSRPGCCHVSAASTRG >ORGLA09G0173400.1 pep scaffold:AGI1.1:Oglab09_unplaced012:21968:22207:1 gene:ORGLA09G0173400 transcript:ORGLA09G0173400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDCNKTPRITQPKAMHRSWIVVTPSKTRGMDSPATTVGLSPPLLSLVVHNEPCYTKCPAVAHSGLWLARLMFHNRNS >ORGLA09G0173300.1 pep scaffold:AGI1.1:Oglab09_unplaced012:9479:9892:-1 gene:ORGLA09G0173300 transcript:ORGLA09G0173300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ECEDLIKTNMVLKDFGGNPSETKGVLNVELTVGSKTIPTTFFVIDGKGSYSLLLGRDWIHANCCIPSTMHQCLIQWQGDKIEVVPANKSVNVASADLALWEMDGLDCLSGKIWDGDFLKVSDSDIQPIEDGEPKLLL >ORGLA09G0173200.1 pep scaffold:AGI1.1:Oglab09_unplaced007:93609:94502:-1 gene:ORGLA09G0173200 transcript:ORGLA09G0173200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLAPLLVLLLSSSLAAGQSTGDTVVFWGRNTDQLEGSLREACDTGLYTTVIISFLSAFGYIPGTYKLDISGHTVSAVGPDIKHCQSRGILVLLAIGGQGGEYSLPTSQAAVDLEDYLWNAFLGGGRPGVARPFGDAVVDGIDFFIDQGATEHYDELARRLYAHNKDYKGRLGVMLTATARCVFPDQRLQAALSTGLFSRIHVKVFGDGRCASRREELEKWMAAYPQSRVLVGVVASPEADRDGYVSHKDLYYDVLQFINKLPNYGGIMVWNRYWDKKTGWTAGNEPGLLDQVL >ORGLA09G0173100.1 pep scaffold:AGI1.1:Oglab09_unplaced007:83780:84306:1 gene:ORGLA09G0173100 transcript:ORGLA09G0173100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEAEVKLSIFEDAKSAFDTTEMVGEAGSEVERTRMAALAAGSQFIDAKRILKALEQAGEVAVSLIESLKHKATSVVVATTKSMFMGCGLVPALGGQQDDNNAGEDQKLDSGHLHTRASGPTHAVGPTDLQ >ORGLA09G0173000.1 pep scaffold:AGI1.1:Oglab09_unplaced007:73400:80150:1 gene:ORGLA09G0173000 transcript:ORGLA09G0173000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLQKSLRDPMVKSFDQGLPRIVFFIVTIYTINREQKNVILNVSMSQWEAAFVVTLSLLFCIHGQLDNLGFISIDCGYITRPSYPDFKTNLTYVADVGFTNTGFIHTVDVGNLQRDLAQRYTTVRYFPNGTRNCYTLKQLTRGGKYLVRATFGYGNYDAFNSPPAFDLYLGANYWVKVNITNSSRAYVHETIAVSPSEFLQVCLVNTGSGTPFISGLDLRSLPANFYPEANVAQSLVLLSFFRETVSFGFNRFHFGTDEHHIRYPVDRYDRFWQRYEDIPGWEDVPDKINGTVKSPQNDTYGAPSDLMRSASTAVNASRMDLPWSSDASMDVGIGPEYIVVLYFAEVQAISDNLLRQFLVSVDNTPLAAAFSPRHMLADVFSGTVLGSDQHSISLITTIISDLPPLISAMEIFLGQTLNESSTGSSDAIAMMTIQTKYSVKRNWEGDPCAPEAFVWDGLSCIHTSIGDIQYNPRGLHRITALNLSFSELIGDIDASFGQLLLLRHLDLSYNNLSGSIPDFLGQMPLLTFLTGNNPNLCGNHTCDPISNKNKRNKFIGFVIAAAIVATVFALSLSALFIWYRRRKTNPDVLPEADPYKSRRFKYKELQVITNDWRNVIGEGGFGHVYAGQLEDVTDVAVKVESQTSLRGNHKQFLAEVQHLTRVHHKNLVSLIGYCNDKKHRCLVYEYMDGGTLEGRLRGREDPPEPPLTWLQRVNIALGSANGLNYLHTLCSPRLIHRDVKAGNILLTANLEAKISDFGLTRPSIHGTVETRTITQLAGTPGYMDPESLQASHPSESNDVYSFGVVLMVVITGRTAIVTINGTEKNLAQCVRDWLSSGRGIEAIADPMIRDDCNLSSVEMVAQLALDCTEPAGQDRPTMADVVTTLTESLQLEMSWSSPHSMRSSTTISSSAGFTGSGRADHDAAADSIAVLQVEQAVVETSTRGFTILDRAPRSQTLDELMNTGSEFSIHGQYVWLP >ORGLA09G0172900.1 pep scaffold:AGI1.1:Oglab09_unplaced007:71839:72228:1 gene:ORGLA09G0172900 transcript:ORGLA09G0172900.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTRASHLGFVPESEDEACGGDPNSEPSPDGCVVPDFEDEACGSDPDSELPPDGGIVPDSEDEACGGDPDSELPPNGGFVPKSEEKACGGDPTLNHLPTAASSLNSRMRRVAATPTPSCLPTAAPSLVGM >ORGLA09G0172800.1 pep scaffold:AGI1.1:Oglab09_unplaced007:66089:66680:-1 gene:ORGLA09G0172800 transcript:ORGLA09G0172800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLPKNLAFTISVPSPESFHASSCYRKAEDATYAVVSRILGFSTVPRRLRATNVATAPHRRRQQGGSAHPGVSVWAEDLRLEETGCLSPLTRRRGWSLLPLLPPDATSTKLGIQLLSDPNCMVLYSLEVMKIFWYWT >ORGLA09G0172700.1 pep scaffold:AGI1.1:Oglab09_unplaced007:62735:63863:-1 gene:ORGLA09G0172700 transcript:ORGLA09G0172700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKFQLILSTFVVIAAFTLLPRPCASIEFHRKLSSWSNGGATWYGAANGAGSDGGACGYQAAVDQAPFSSMIAAGSPSIYKSGLGCGSCYQVKCSGNSACSGNPVTVVLTDECPGGPCLSEPVHFDLSGTAFGAMANPGQADQLRAAGVLQIQYNRVPCNWGGVMLTFAVDAGSNPSYFAVLVKYENGDGDLSGMDLMQTGAGAAWTPMQQSWGAVWKLSAGAALQAPLSIRLTSSSGKTLVASNVIPSGWKPGASYTSTVNY >ORGLA09G0172600.1 pep scaffold:AGI1.1:Oglab09_unplaced007:55072:55359:1 gene:ORGLA09G0172600 transcript:ORGLA09G0172600.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVQFIFSPFLDASHTSCKWVVTGSGISIHHSGTSCKWVVTGSLESAFTVQEPITFCTAKCQLLFPLLLLLKKDGYTSHRPYFILAKSFFRIFLLG >ORGLA09G0172500.1 pep scaffold:AGI1.1:Oglab09_unplaced007:47168:48857:-1 gene:ORGLA09G0172500 transcript:ORGLA09G0172500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1QRP6] MAKVHRLMNAVLRLAAAAAAATAAVVMVTSRETTSFFGIQMEAKYSYTPSFIFFVVAYAVAAAYSLLVLAVPAGSALSRLALTTDVVLGMVLAGAVASAGAISDIAKNGNSHAGWLPVCGQIHAYCNHVMAALIAGFVALAVHFVVVMYSLHIVTDVICPCH >ORGLA09G0172400.1 pep scaffold:AGI1.1:Oglab09_unplaced007:45428:45676:1 gene:ORGLA09G0172400 transcript:ORGLA09G0172400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLVLVLFFRCMGAVARAPAGPRRQWLRAAVVALCVALTGLVQLQIYSPAVPLSVALAIWAVSVTCFSADFLVASARPQVA >ORGLA09G0172300.1 pep scaffold:AGI1.1:Oglab09_unplaced007:44790:45032:1 gene:ORGLA09G0172300 transcript:ORGLA09G0172300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRKAVVGEGERRKGEEEAPVRQRSARRHSALGVVAPGSAARRPAVEDGGGKEGQPRWRLSGRRRLRPASATSTALVS >ORGLA09G0172200.1 pep scaffold:AGI1.1:Oglab09_unplaced007:39297:39764:1 gene:ORGLA09G0172200 transcript:ORGLA09G0172200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNPLRRWKTFLAAFASVDDAIEIEAADLGISRGEIRRARGRIVEMLRGAEDGRVAEELCSVLDEVMAESLLTLRLVPVTPRTLATTDLAGVVGALRKHDSERIRGLATDIVRRCEGGREARPCQDRGRHGEALSDTEAHRGRRSASLLRSG >ORGLA09G0172100.1 pep scaffold:AGI1.1:Oglab09_unplaced007:33038:35013:-1 gene:ORGLA09G0172100 transcript:ORGLA09G0172100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEEGHHDHVPVYESGSEVLQKLQEKWNSTKQQRYPAMYSSVVGGIILDPLMMVIPIDDHMVHRGHGVFDTAMLSNGYLYELDSHLDRLLLSASKAKISSPFSRETLRAILVQMTAASKCRNGSIKYWLSAGPGDFLLSPKGCTAPAFYAVVIASSATAAAAAAGGHPRLREGVRAITSTVPMKDPFFAAMKSVNYLANALAMAEAEERGAYASVWVDGDGGVAEGPMMNVAFVTGGGDLVVPAFDRVLSGCTARRVLALAPRLVDAGVLRSVGAARISAADARRCAEMMFVGSGLPLLPIVEWDGQPVGDGQVGKIALALSNMLCEDIKAGQDRVLVPYDQAS >ORGLA09G0172000.1 pep scaffold:AGI1.1:Oglab09_unplaced007:22853:27199:-1 gene:ORGLA09G0172000 transcript:ORGLA09G0172000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAKVGEKPALRKPVFVKVDQLKPGTCGHTLTVKVVSANPVPARGRAGGGGPAVGSRPARIAECLVGDETGVIVFTARNEQVDMLMPGNTAILRNARIDMFKGSMRLAVDKWGRVEVTEPASFAVKEDNNLSLVEYELVNVPE >ORGLA09G0171900.1 pep scaffold:AGI1.1:Oglab09_unplaced007:13715:18857:-1 gene:ORGLA09G0171900 transcript:ORGLA09G0171900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRLLKRACLLLLVSSWLCSLSDAKRKTPPSPPPPPPPPSSSGLPVRAVCLGGWLVTEGWILPSLFDAIPNKDLLDGAQLQLKAVAAGAYLTAAGQDGAAAVVANRTQAAPSASETFKLWRINETTFNFRASSGRFVGAGSDGGAAVVAVAAAPGPSETFQVVRDDGDKSRVRIRAPNGHFLQVALGSNSVTTDYYGESTSWGDDDPSVFVVTKVLELQGEYQICNGYGTAKATPILRNHWSTYIVEDDFKFISASGLTAVRIPVGWWIASDPNPPAPYVGGSLQTLDNAFKWAEKYKLGVIIDLHAAPGSQNPWEHSSSRDGTQEWGTSDANIAETVQVIDFLASRYAKSPSLLAVELMNEPFAPRATLESLMKYYHDGYNAVRKYSSTAYVIMSNRLGPHDPTEFLQFANGFPRAVIDVHYYTVFNDLFNNLTVQQNIDFIKSNFSSELKKCHNTKWPSHLCGRMGG >ORGLA09G0171800.1 pep scaffold:AGI1.1:Oglab09_unplaced005:53305:53442:1 gene:ORGLA09G0171800 transcript:ORGLA09G0171800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQPPPPSSPGSVKGKVPKPGLTDIIDDNIMPIDPEKFTPEQK >ORGLA09G0171700.1 pep scaffold:AGI1.1:Oglab09_unplaced005:26448:26780:1 gene:ORGLA09G0171700 transcript:ORGLA09G0171700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDREKDELSLALQTLEHPGRTRGKGVIPWKIGFKENIHTYRSWMRSKRDTEAKIADLEYRVSSYELSMQEEVARKVDERMATHRSQDPQPYIPPAMVSPSGNRSSCVSMG >ORGLA09G0171600.1 pep scaffold:AGI1.1:Oglab09_unplaced005:16882:17950:-1 gene:ORGLA09G0171600 transcript:ORGLA09G0171600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLPPCSLSLRLRAWIRRSATAVAPRPLLTGVAAACAEAIASPARRGRGRYSSGLLLAPVLRLSPLPLLDEAEGAALRVVSLPRLAGDEAKVALLPPWAAAKGEKEVARCSALASGLMRCLGMEVAVGLAATPGDRSAATRSNDKAVAEDADRATTTPMKGRSPTSSPSLTRQRVPHLGM >ORGLA09G0171500.1 pep scaffold:AGI1.1:Oglab09_unplaced005:10344:11534:-1 gene:ORGLA09G0171500 transcript:ORGLA09G0171500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAKGLPGMFWGGVINTAVYLLNRSSSKSIGGKTPYALWNGVPPAVHHLRTFGCVAHVKTTTPNLKKLDDRSRPMIFVGYEPGSKAYRAYDPATRRVHISRDIVFDEAAQWDWDAEAAADLNTDFVVEYTMVYHPSSLSGTRQDAGEPPARSSSSPRMPSDSPTAGRTPSVHGDAPAVEFVSPPTGAAANLDADHDDAPLCFRTMDNVLGPAVLPGLANREVQEELMMVSGEEPATFAQAERDEDWRRAMLDEISSIEENKTWRLVDLPSGHRPIGLKWVYKLKKDAHGVVVKHKARLVAKGYVQRAGIDFDEVFAPVARLDSVRLLLALAAQEGWMVHHMDVKSAFLNGELIEEVYVVQPPGFEIDGQENKVYRLDKALYGLRQAPRAWNTKLD >ORGLA09G0171400.1 pep scaffold:AGI1.1:Oglab09_unplaced005:9375:10343:-1 gene:ORGLA09G0171400 transcript:ORGLA09G0171400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLKKLGFKQSPLEHGLYARGDGSGRLLVGVYVDDLVIVGGDSGMIKGFKEQMKAEFKMSDLGPLSFYLGIEVHQEAGIITLKQAAYASRIVEKAGLTGCNPCATPMEPRLKLSKESAGSLVDATEYRSLVGSLRYLVNTRPDLAYSVGYVSCFMEKPTDEHLAVVKRIIRYVAGTIHLGCRYVKEGEGGLQGYSDSDMAGDIDTRKSTTGVIFFLGKNPVSWQSQKQRVVALSSCESEYIAATTAACQGIWLARLLGDLRNAATEVVDLRVDNQSALALMKNPVFHDRSKHIQTKFHFIREAVENGEIMPSYIGTEGNLQIS >ORGLA08G0237200.1 pep scaffold:AGI1.1:Oglab08_unplaced151:613:1353:1 gene:ORGLA08G0237200 transcript:ORGLA08G0237200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDMLNSNPEAQLELMNTMLQLEQLSAFPDHHGMVVPCSPTSPCMGAQGGHHHFSSVNHQPAHGVVSSGGANTGDGYRDQYYTQLLPAAAYSNAAGGGRGSEYHTTTTTRPASGGGGDGGVGPAAMREMIFHIAALQPVNIDPETVRPPKRRNVRISTDPQSVAARMRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAAANGHRPPPPTATSAAAATVAYPGLNGQW >ORGLA08G0237100.1 pep scaffold:AGI1.1:ADWL01017505.1:1123:1638:-1 gene:ORGLA08G0237100 transcript:ORGLA08G0237100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNPSVTVCRIAADRRIPGGTASAGGGTAGRYTDIAADLIDSDSDESRDRSRKRSRSQKGPKERSSRKKKSRRDGSTRKKEANEGARFALEDGNGGTGYVMSGSRHARITAVRLRKENQVYSAEEKRALAAFNSEQRARRESKVRDDLRCLVDRTLGKLAGSVHDDDPSSAR >ORGLA08G0237000.1 pep scaffold:AGI1.1:Oglab08_unplaced149:4000:4335:-1 gene:ORGLA08G0237000 transcript:ORGLA08G0237000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKQTSHKSKRPRDRSPTPSSHDDSDSDWSGGEDTVPQVARVARRSTQAHGGGGDGEGSSRQPQTPPDQPNVPIRPLRIHTPERDPTVIRQVYDWRRKSEVVAPRRDEHP >ORGLA08G0236900.1 pep scaffold:AGI1.1:ADWL01017499.1:1347:1790:-1 gene:ORGLA08G0236900 transcript:ORGLA08G0236900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSLQEPWTLIIDDGLAASFVAPATDSLEDDNQLTIEEYVRSWEQNEELGLNDMDTSSADAAYNTTNP >ORGLA08G0236800.1 pep scaffold:AGI1.1:Oglab08_unplaced145:26267:27061:-1 gene:ORGLA08G0236800 transcript:ORGLA08G0236800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDMIRNVVGIVGNVISFGLFLSPVPTFWRIIKNKNKNKKKMEVVLAAEALFMAAVALGVLLGAHTHQRRSLIVGILCVIFDTIIYSSPLTVMSQVVKTKSVEYMPLLLSVVSFLNGLCXTSYALIRFDIFITIPSGLDVLFAAVQLILYVTTTGPHPRSRTRTSSCQPSPLSPRTPASPLSARTTTSTAALPAMSPSISLSSH >ORGLA08G0236700.1 pep scaffold:AGI1.1:Oglab08_unplaced145:4745:9958:-1 gene:ORGLA08G0236700 transcript:ORGLA08G0236700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLAVCAASFHLFLLLASTSSLRRAPTEADTANHARRTAYHFQPAKNWQNDPNGPMYHNGMYHLFYQYNPHSALWDIGNLSWGHSVSGDLLNWAALDTALDPTSPFDANGCWSGSATILPGALPAILYTGIDASKEQVQNVAFAKNPSDPLLREWEKPAYNPVIALPADVPGDKFRDPSTAWLGRDGLWRIAVSAEVDGVASTLVYRSKDFVRWERNAAPLHASRAAGMVECPDLFPVAERGEDGLDTSANGAGGVRHVLKLSVMDTLQDYYMVGTYDDAADAFSPAEPERGDDCRSWRRLDYGHVYASKSFFDVRKNRRVLWAWANESDSQADDVARGWSGVQTFPRKMWLAKDGKQLLQWPIEEIETLRRKRAGLWRGTRLGAGAVQEIVGVASSQADVEVVFKIPSLEEAERVDDPNRLLDPQKLCGEKGAAVRGGVGPFGLLVMASGDLHEHTAVFFRVFRHHDKYKLLMCTDLTKSSTRAGVYKPAYGGFVDMDIDDHKTISLRTLIDHSVVESFGGGGRACITARVYPEHVATSSSHLYVFNNGSDAVKVAKLEAWDLATATVNVVVGDHHGLVAPALELEPTRTTQ >ORGLA08G0236600.1 pep scaffold:AGI1.1:Oglab08_unplaced143:127504:131497:-1 gene:ORGLA08G0236600 transcript:ORGLA08G0236600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACSFRSVARAPPPLRGLARRVVVHCCSTAPPSGGTSTSSSKLVLEVKERLEREHPGLPTGRNGRDDEEMILWFLKDRKLSVDETVSKLTKAIKWRQDFQVSELSEESVKGLYQTGKAYVHDSFDIYGRPVLVVVASKHFPSKQDPVENEKLCAFLVEKALSRLPLGTENILGIFDLRGFQVENGDLQFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPMWQLVKPLLKQYASLVRFCDVETVRKEYFTKETVPPDFRN >ORGLA08G0236500.1 pep scaffold:AGI1.1:Oglab08_unplaced143:125682:126264:-1 gene:ORGLA08G0236500 transcript:ORGLA08G0236500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICMSSVAVWKEKVVYAGRRRGEVAGAEDVGGVGAGGGCGGPYGLLGGDADADVLEIEEEAAEKVVKCGAEAEAALTVAAGGTPARPIWQRKVLMGVKCQLPRFSGMILYDERGRPVCSGVRDRARDKEKHAAAIMVLRDML >ORGLA08G0236400.1 pep scaffold:AGI1.1:Oglab08_unplaced143:114691:118414:-1 gene:ORGLA08G0236400 transcript:ORGLA08G0236400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLVAEAAVAAVLLFKTPLRKLAVMGIDRLKRGRRAPVAVKTVAGVVLALLASTLYSMAEISGRAGGDPESGGGGGGASLSPTDQVLFSRHLLEASLMGYSLFLALVIDRLHQYIRELRGLKKNVEAITKHNKMLEEAKHGRSEETKKYQEEIGALNEDMKKLKLQVQEKTEEVHVAEDKALAIRKQSESLLLEYDRLLEDNQHLREQLQSIDHRLSSPK >ORGLA08G0236300.1 pep scaffold:AGI1.1:Oglab08_unplaced143:106308:107952:-1 gene:ORGLA08G0236300 transcript:ORGLA08G0236300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:I1QLR2] CSKLQSDALREAISQIANDSREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVYRVF >ORGLA08G0236200.1 pep scaffold:AGI1.1:Oglab08_unplaced143:99516:101522:1 gene:ORGLA08G0236200 transcript:ORGLA08G0236200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGVVDGIRRWLRRHGRTASAYQPHPSGDGGLEVVLGPFTLPAAIRVPARTSPPPPPSDSQLKKESLRFGTESNISISYYNLLLHGITVYIVFKLNKTSKKHLYKVGILGQDFV >ORGLA08G0236100.1 pep scaffold:AGI1.1:Oglab08_unplaced143:92606:92962:1 gene:ORGLA08G0236100 transcript:ORGLA08G0236100.1 gene_biotype:protein_coding transcript_biotype:protein_coding HMHRKNRSSCAGEPPHENIAIFVDISGKMQCHLSSLPSLSKLPLTLMVNLELELICAKLLKEEAVELENEEARGEHDSEARSQGRIRQRTSWSGSLAGG >ORGLA08G0236000.1 pep scaffold:AGI1.1:Oglab08_unplaced143:83016:84817:-1 gene:ORGLA08G0236000 transcript:ORGLA08G0236000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGEWRRGPVIGRGATATVSIATDRRTGGVFAVKSVDVARAGALRREQGMLSALASPFVVPCVGSGVSAAVDGSGGACYDLFLEYAPGGSLADEIKRCGGRCEEPQIRSRVGDVLRGLAYVHAAGIAHCDVKGRNVLVGADGRAMLADFGCARWMAAEDCNAGGVTIRGTPMFLAPEAARGEAQGTAADIWALGCTVIEMATGGAPWPRFADPVAALHHVAHSVDVPESPAWFSAEGKDFLARCLIRDPAKRWTAEQLLEHPFVASAASDSTSKAVQIEQRVSPKSILDQCLWESTSTDSDATVALAPADRLRALSAGASVAPDWTWSMDDWIAVCGGRADDHDTTPSPQPDTTTSFFRGDEASSDLVFPGGGETERGAFAVAATVPLMAKSSEITGVERSIESRVASGSG >ORGLA08G0235900.1 pep scaffold:AGI1.1:Oglab08_unplaced143:69692:70093:-1 gene:ORGLA08G0235900 transcript:ORGLA08G0235900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNYAWHQGDTFDHLTTWIEEARRLGVGGAKLTICFIGNKCDLSDRRAVSYEEGEQFAKQNALLFIEASAKAAHNVNEAFTLTARAMCHKVEDAWVCLMRKFDP >ORGLA08G0235800.1 pep scaffold:AGI1.1:Oglab08_unplaced143:67602:68911:1 gene:ORGLA08G0235800 transcript:ORGLA08G0235800.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRRLHTFYMEASKKGLGNITARSSADCFGEEGYVWLDFSDLHAIYRRDKMDVNYVGVWCIDHYICLLIHPKDGTMLVLDPLDYSHKQYKEFLTILQYAYQYYKFKGGEQTRTREKLLLPRLECRTSFDDTGITNVQRDLCHFIHHECCHVKGDFFNPKGALAANDEFKDLREXNTAMP >ORGLA08G0235700.1 pep scaffold:AGI1.1:Oglab08_unplaced143:41922:45489:1 gene:ORGLA08G0235700 transcript:ORGLA08G0235700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESEHVVQDALNNIMVNRTTIIVAHRLSTVRNADTISVLHRGQLVEQGPHAELIKDSNGAYYQLLQLQEVNARRNGTYELDPNRLSDVANRLSDVANRLSDAANRLSDAGNFVSRHSIRKLSFERSMSRHSSLGGSRRNSQTYALTEDEIEGCDDTKSGKNVLRRLLHLHKPETAILLLGCIAASANGAILPVFGLLLSSAINAFYEPPHKLRKDSVFWAEIYVILGVVSIFIIPVQHTLFNMAGGKLIERIRALSFSRVVYQDIGWFDDPLNSSGAIGARLSADAASVKSIAGDVLSLIVQSISTALVGIVIAMIANWKLAFIVLCFVPCVFAQSYAQSRLMRGFGADAKEMYEQASTIASDAISNIRTVTSFCVGEKIIESYRNKCKGPVKKGVRQGAISGVGYGFSFALLFCFYAVSFYVGARFVHNGTADVGEVFKVFFALTMMAVGVSQSSSLARDFSKVQDAAASIFKIIDRKSKIDASSDDGMAPEKIEGNIEFQHVSFKYPARTDVQIFTNLCLRIPSGKVHVVLMTVALVGESGSGKSTVVALLERFYDPDSGAIFLDGMDLKTLKLTWLRQQIGLVGQEPVLFNGTIRANIAYGKQDQVSEEEIVAVAEAANAHRFISSLPHGYDTSVGERGVQLSGGQKQRIAIARAILKDPKVLLLDEATSALDSESERIVQEALDRVMVGRTTVIVAHRLSTITGADKIAVIKNGVVAEEGRHGRLLRLPGGAYASLVALQSSSS >ORGLA08G0235600.1 pep scaffold:AGI1.1:Oglab08_unplaced143:32303:32859:-1 gene:ORGLA08G0235600 transcript:ORGLA08G0235600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKELTLEFDRKGYLDKHESFFLEYQEPCSLKVSSESATFCATSTLNHLKVLTEKMFKSYNFNDESTIDGEARDYITNGGCNVKIKKNDGHAMPKNAGDTSIVAPPCF >ORGLA08G0235500.1 pep scaffold:AGI1.1:Oglab08_unplaced143:12:398:1 gene:ORGLA08G0235500 transcript:ORGLA08G0235500.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDFDFEEMNQLPFQLNRYISDVTKDEKFTNLKGLAELSMMLVKTERVCRYELVYRLLKLVLVLPVATAGVERVFSSMNYIKNKLRNKMGQKYLNDCLVTFIEREFFLQAKDKDIIDYFQNIKRRKVNI >ORGLA08G0235400.1 pep scaffold:AGI1.1:ADWL01017487.1:50:2685:-1 gene:ORGLA08G0235400 transcript:ORGLA08G0235400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGELHSGGGGGGEGVLVGRGWRKEEAEGGGGGGGGCSASSTSRGSSLCDSPLPSFVRHRGGPGSDLELDGLPTSSSNASSGSHEEDHGPLQGVKGEGWMQVQGPIKNSAARSTGECQDQRYRLGSVLFHGKNERKQRPASVDFGCRSVDRSSTHSPGFLVNGTGAMNKGLSVSSQNKPGAPTSPGTPSYNRQGATVVGYQQGWSSERVALSSNGQRRYSGNSMVLPHNTGRTLPSKWEDAERWIFSPNPSNALGRTSIPQSRRPKAKSGPLGPPGRFSEPYSSVSSSSSLLDTGRVGNLTANSPFLAGVLLPEHVCVSSSHAGRDLSGASGEDKSNGMGGRSGEANGAHPAVWSTRVCQRMDSAVQSSPSLTTSQESVQACTDEQIEITTDLTTSSKPEISRKDVATQTSPELSRSSSPSGRPSFSRSLSVQQVKELESCFSKLEIRDVQMDDRVTLTRWSKKHVTRGSEKNSTNIIEWKKKTVESKSSAWEVTETAKCISK >ORGLA08G0235300.1 pep scaffold:AGI1.1:ADWL01017482.1:22598:22933:1 gene:ORGLA08G0235300 transcript:ORGLA08G0235300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESEGETEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMDIDGKDVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRSTFDNVGRWLQELN >ORGLA08G0235200.1 pep scaffold:AGI1.1:ADWL01017482.1:20687:21240:-1 gene:ORGLA08G0235200 transcript:ORGLA08G0235200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTFPELGVTASDCIEMMWIQSVLYFAFYGTGKPLEMLLDRGTSKPDKYLKAKSDSLLKDGAGLLILDPYGGEMVHVAPVVMPFPHRQALYNIQYYGFWSKSGAATEKHMGWIRGLYGELEPYVSKNPRGGAVAIAAVTGSLAVCRSWRGGIWLRGNMAPGAILSF >ORGLA08G0235100.1 pep scaffold:AGI1.1:ADWL01017482.1:18022:18991:1 gene:ORGLA08G0235100 transcript:ORGLA08G0235100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPGTNKLKMLLYFLLLIVATLVITAEVGVVLAAAARVLSPSTTEEVPVVTSVRLPAQTEISSSSEGEARGGGSSRASAAAAARRMAIGSRAPTCTYNECRGCRRRCSVQEVPVDAGDPMNSAYHYRCICHL >ORGLA08G0235000.1 pep scaffold:AGI1.1:ADWL01017482.1:12795:13373:-1 gene:ORGLA08G0235000 transcript:ORGLA08G0235000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARLLLAIIGFLVLPVIWSIPETLITAELGAMFPENGGYIVWVASALGPYWGFQQGWMKWLSGVIDNVLYPVLFLDYLKSGVPALGRGATRAFAVVGLMAVLTLLSYRGLTVVGWVAICLGVFSLLPFFVMGLIALPRLRPARWLVIDLHNVDWNLYLNTLFWNLNYWDSISTLAGEVKNPGKTLPKALF >ORGLA08G0234900.1 pep scaffold:AGI1.1:ADWL01017482.1:10537:11916:-1 gene:ORGLA08G0234900 transcript:ORGLA08G0234900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G18390) TAIR;Acc:AT5G18390] MPPPAAAAALLRRVGLGLGAGVGVRGLATLPDAAQPQHPTSKDAYFAAVHHLSTVVRRDFYLERTLNRLRLPSPFPSDLALRVIRAAAPAEPLHAARFLAWLRAKPSFAASADHFDALLLPLARARLFTHLWSLAADMRALGLPLSPSTFSAVISSYGQSRLTDQAVEVFNRLPRFGCPQTTQVYNALLDALCANGSFAGAYKLLRRMARKGVAPDRATFSTLVDAWCAAGKLREAQAFLDDMAERGFHPPVRGRDLLVDGLVRAGRLEEAKAFALRMTKEGVLPDVATFNSLAEALCSSGDVEFAVALLADASSRGLCPDISTYKVMIPAVAKAGRIDEAFRLFYAALEDGHRPFPSLYAAIIKALCKAGRFADAFAFFGDMKSKGHPPNRPVYVMLVKMCVRGGRFVEAANYLVEMSEAGFAPRAPTFNSVVDGLRHCGKHDLAQRMEQLEMSMNGN >ORGLA08G0234800.1 pep scaffold:AGI1.1:ADWL01017482.1:3277:7320:-1 gene:ORGLA08G0234800 transcript:ORGLA08G0234800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1QLP7] MDDDFEMPPPGADDDLMMGEDGMGDFGGADGPPLKVGEEKEIGKQGLRKKLLKEGEGWETPEVGDEVEVHYTGTLLDGKKFDSSRDRGTPFKFKLGQGQVIKGWDLGIKTMKKGENSVFTIPPDLAYGESGSPPTIPASATLQFDVELLSWTSVKDICQDGGIFKKILKEGEKWENPKDLDEVFVKYEARLEDGTVISKSEGAEFTVKDGFFCPALAKAVKTMKKAEKVLLTVKPQYGFGENGRPAAGEEGAVPPNATLLVNLELVSWKTVTEIGDDKKILKKVLTEGTGYERPNEGAVVKVKITGKLQDGTIFTKKGHDEPEPFEFKTDEEEVIDGIDRAVLNMKNGEVALVTIPPEYAFGSTESKQDLAVVPPNSTVIYEVELVSFVKQDKESWDLNNTEKIETAGAKKEEGNALFKLGKYVRASKRYEKAAKFIEYDSSFSEDEKKQSKQLKVTCNLNNAACKLKLKDYKQAEKLCTKVLELDSQNVKALYRRAQAYMQLADLELAEVDIKKALEIDPDNRQDVKLTYKNLKEKVKEYNKKDAKFYSNMFAKMTKQPAEDGVSSSSHLNCETLKLYTFHNPQVCSNSVFF >ORGLA08G0234700.1 pep scaffold:AGI1.1:ADWL01017482.1:3:2717:1 gene:ORGLA08G0234700 transcript:ORGLA08G0234700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEVMFTIGNNKDDLSCVERPKAVEEDRLVNDSNVVSKEQIPCKETVSSMEQSEVMFTNSVDHVSSSTKEPVNLLEDKMSCIEKHVCLDETSSNDLFQLASGGSHSEASGIDKPRHQADCASLTPDQLVIPKEMDIAEGLHCTDADVGIKTLSSAVGHADENITAVNLSKNVCSPHLTVGDDIQDSIRQTIDITPMPPQVDLAEVSTSSTSHEIDKVSSKDGIDERNPNVNLTSDEVNEVHGIDVEEIPHVEDIAAYNDYQEPNTVRGTRDFEEDTQNEEIIAEASSHNITAVQSTCNVEEKEQIEEFDSNSSCNKIHEISSRGVEETKLTDVNVDTADEINVASSLENVEEKQSNRETIADPSVEIEVANLPSSLELSKLDVETSTYHTAYEANAVNAMENVEEMKQKEEIAVAPTSHINTISSATNDDQKQSEELSVGPSSDDITVPHGEFSVKEKTEETMSDPTSNKTDMVSTSGGVEEQNHGDEVTSGTNTHEESVILVHTTDNVEKKMNKDLTSEPADNVEEEVQSEDIATDLTSHESSTLHITDGAESKKQDAKVAADPAAGKIDVPRSADDAEEQKHEATVSTDDDLKGDDPSESNTPQIINGAGDKEQDAETAADPPPGKTDAPPSTDDAEETKPKEEEETVGTVVDDPKEEDKEEIADKEVIVNSDKNHVSLKSLLSEKAAETKESKKPSTKDRVLSFRRRVSRDGGSPAKPGSPKAAVSGQQQDWNSPARLPVEKKPKGKKQQWVPFICCPSMS >ORGLA08G0234600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1475886:1477725:-1 gene:ORGLA08G0234600 transcript:ORGLA08G0234600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLEQLPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCANCSSSLVYDSASRLITLPETAEA >ORGLA08G0234500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1463722:1466726:1 gene:ORGLA08G0234500 transcript:ORGLA08G0234500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCIYAAAAEEERARAEIRQLGQWRQRAVHRLLRERAQGQGRRRRQDVQPQRPRRQPGGLLLLHRRAIAEPSPSSSAVPPRARPERRAAAAGAGPLRRRLAVPPPRRWWWRDAAGKKRRRADKRRRRVVVQRRALAVAVAAAPLLRQRERQLRRRPRRQLPRQGRRRRRPARQRDTDEGVGGAAVRRLGLEPGVGGRLHAHLQQGEGGEADRPGRREAGGGARQGRRRRRRPRQRRQAIPRRRLRLHEVLLLWMVQIEMDFEAVINVIVNCREWDFRFPSAIHQLRNCIWS >ORGLA08G0234400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1458435:1461339:-1 gene:ORGLA08G0234400 transcript:ORGLA08G0234400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDRVSGRKRSVMDRLGSGGGGGGGGGGGGSRPRPDSVKRFRQADGTWRRELYMDSVGVQSSSGVPSRNIQGNQKPHLQQNTQVMRKSSVPDLREKLSGVQRPQLNSTVQIPKSVPEISTSAKPVQKREPVQKREPPVNAALPATKKVNEPSAPKQCQEKTDSSLDRLLKSLDLEKYSINFQAEEVDMKALLHMNEEDMKSLGIPMGPRKKILSALASKKKKLSKSLPTS >ORGLA08G0234300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1431580:1438775:-1 gene:ORGLA08G0234300 transcript:ORGLA08G0234300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAESGVGQRSASLLGGGDCKRSAGDEVVRRSCSPMATPQLDKRILSELELMGFPTARSIRALHFSGNSSLESAINWLLEHENDPDIDQLPLIPREINIECGDTPNEARNDIQGMRANAQESKPEESTAAGRQKETSQVERELNADQNEDEVRRRIIELFKSKQDGQERERGRIRNQLQEDKRERIRAAKDLMEAKRTLEENQRKCVMESRKADQEEEKRARERIRKRIEDDKAERRRRLGLPMENPVASVPTLTPTKIKPVEPAVSPEQLRDCLRNLKKNYKDDTTKVTRAFQILLKIIANIVKNPEEEKFRRIRLNNPVFKERVGDLQGGVEFLELCGFMKLAISGYLVMPRDKINLALLNAAGVEVASAMENPYFGLLSNLLEEKSEEKDSVEAGDQLTLKSEENWEIASCLVDKLLLLLLILIMMSWF >ORGLA08G0234200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1424458:1427806:-1 gene:ORGLA08G0234200 transcript:ORGLA08G0234200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSVFNNGGGGRSTNVNSNDIVGGTMIPMAFGVDGRRPLVSESIVPRQAALEWLLLLCIHWIVSPSKGTRRRYMHQANMHKYVDNKGKANVAIYSLHIVLFLKPSLFVQPYFFRFTINVVKIWXPCQNFNLRXPLPSHGSKRKIXGRHHHRDPNQDSFYCRLLSSSKAIFSYGSHL >ORGLA08G0234100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1417938:1418741:1 gene:ORGLA08G0234100 transcript:ORGLA08G0234100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVLRRSVTLADQLAAVGPAGTAAATAAAGSCNLRDLLKLRDEDDLAAGRRAAVTLASAMAAERLTVAPASSAAAAAAAAAARTLLDIIRDDQLPTSSGGGGEGGDPLVRRAVSLPAPVTATPPPPPPPPETPPRQLPAVSPPTVGEEEEEEQGERVSLMALLEQTERQWSAGSGATVQQHLASPSAAASASASAAAEDEAEAEAEAGKGAAGGGCCCVCMARAKGAAFIPCGHTFCRTCARELLAGRGRCPLCNAAILDVLDIF >ORGLA08G0234000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1412549:1416451:1 gene:ORGLA08G0234000 transcript:ORGLA08G0234000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:I1QLN9] MDEEKASKGRPLNAPRLDLDLNLDLFAAAVPDPGCGDTASPEASMPEEKASEGRPLKAPRLDLDLNLLAPAVPDPGCGDPALPGASKPGEKAAATTSNTDEELCAHFVRFEEDMVQFISKLRSSKFAARCEHYLCENKVEKSSILVCIDCSLPFCIGDGTMDKPQGHARWHADLEQHCVAALFSKPDTLYCFICERCLNMEVDDTESESDRAECRHLLDEEDVTLIVSEVTTSKNIPACQHPGCKINGRTRIMVCTGCNKHFCTRAEAKKKPHGHARLHARKFEHHWVGLWYSDPYKGYCFKCEFDLTLSALTVEQGMVFGKELFGQESGLVKGHGCVIRGMPNLGNTCYINALLQCLFVLGKLRARMLAPDAPSYILGYELKELFQEVNNVDNAQLQLNPTKFFACIRVLDARFISSDMQDSHELLCFLLNELDKEEKSMVPPVSPTVVDSIFCVQLSATISCSHCSYNSVSHEVMYELSVPLPSERPPPKSIASPPRDISCMSREKTGIKLFPEVDTSNTEIVKAIAEGSVSHIASLELGDVDKEKTSEPLDGDSVEVEQRSPSKADDLGQNDNAGFENTSGEPQVSIEAKKNACSVEGASEDKGKAQFSNMAYGKAKDNDSLASIEECLALFFKEELLEWRCDNCSGVSHHLSTTGSKDGEQIMASTNENTIIDRDQTVQLDKVARQSEQSKNLESLALECTSSKQPHGSDSERKAMLAMDSITEGINTLPPVKHTYSLRSRGRPPSHNKITSGMIHGEQDLASDNIANKKTDCHERVQEAVSSCLPAEEPDDLLSGQENTSSLDQGKWKQVKVDHSADQVDAKQKERENRNQGGIQTRVINKLPPVLAIHLKRSKETGKVRGHVNFEEILDVGQFMDPSSEDKDNSSYHLVGVIEHIGPSTSSGHMVAYVRPNQEQPDGGTSPWYRASDTDIRQVSLEEVLKCEASLFFYERIGG >ORGLA08G0233900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1406493:1410150:1 gene:ORGLA08G0233900 transcript:ORGLA08G0233900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:I1QLN8] MDDDKASKGRPLKSPRKDLDPLAAATPDPGCGETAPPEVSKPKEKAAAPTSNTEEGLCPHLERFEEDMAMFVSRLMASKFTPSCQRLMCDSKVDKSSIMVCIDCSLPFCIGDGTMNKPQGHAQEHAQFYAHLEKHCVAALFSKPDTLYCFICERCLNLEMSDMEAESDSSSDQVGCEHFVLDEEEITLIVSEIFASKNVQACQHPGCTITGNTHIMVCTGCNKHFCTRAEAMKKPYGHARLHAQKCEHHWVGLWYSNPYMGYCFKCEFEWILGAPNAERGMVFGKEAFDQESGLAKRHGCVIRGIPNLGNTCYVNALLQCLFVLEKLRARMLASDAPSGFVGSALKELFQEVNSVNNAQRPLNPTKFLDRVRMLNAQFAGSDMEDSYELLCFVQNQLEKEEKSMIPAVSTTVVDSIFRVQLSATVSCRRCSYNSVSHEVMYDLSVPLPSRNISCMSREKIGIKLFPKVDMSNTEIVQAIAEGRDSHITGFDLGDVDKEKPSEPLEVDSVEVEQHSQSKDGVHVPSQIQKDEVPGEIIQAPTKADDLGKNYNAGLEYTPSEPEVSIEAKKNVCSVEGSAEDKGKAQFSNMAYGKAKDNNSLASIEECLELHFEAEMVEWKCENCSEIARRRSTTSGKDSEQMMASTSENKIIDGDQTEQSDKIACQSEQYSNLDSGEQDLASDNTANKKNECHEGVQEVVPSCLAAEELANQLSGQGQNASSLDQVKLDHSADQVGPNQKEREDRYQGGIQTRFINKLPPVFAIHLKRSQLTGKVRGHVSFEEILDVGQFMDPSSEDKDNSSYHLIGVIEHIGPSTRSGHMVAYVRPNQEQPHGGPSPWYCASDTNIRQVSLEEVLKCEASLFFYERIGG >ORGLA08G0233800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1404490:1404732:1 gene:ORGLA08G0233800 transcript:ORGLA08G0233800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLIFSSEDKFSSRYRLVGVIENRGLSIDIGQCVAYVRANNQQQGGSSSWYCATDDDIKEISLEVLKCEAYLLFYERMGC >ORGLA08G0233700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1400426:1402004:1 gene:ORGLA08G0233700 transcript:ORGLA08G0233700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKSAMETGKGMSPQYAVAPESGCGDTAPPDEVSSPEQKAAAASTSNTEGRVCPHFDPFQDGVLKFISELRSYSYDCAPRFDHYLCENKVEKSSILVCIDCDLHFCIGDGTMNKPQGHARWHADLEQHCVGALLGEPETLYCFICERLLDLDVSNMQRGDFSCGKEEIDRIESDVSSSKNAVVCHHHSFDTEDIVIIKDFVESEKGDPMCDNETCLTTGEHHMMVCSECKGYFCIEPATKAKPQGHIREHALLQEHWVAVWHNDLLLLLXMXRFLGHRWXGGQGGIGSQRRGRFSCIWVFXRAWLCYQRDIESRKHLLECIVAVPPCSWKAAGKDVWTECSIGDPWYNTAWSLCXCKQREACTRPAEPGVALGLCTKVRFMVDRHFNSXQPXITLLFAQXIEXGGXDNKASKQATGCSXFCGSYRHXFHIWWXAVCYHLLQTLLVXVLFPXCILXSLSAIATKGCSIQXCCITTTEXKTYISMXDLCTFXRRXFSDSCFRIGRYSHGENIRSFGSWXVYVLVCL >ORGLA08G0233600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1395349:1396383:1 gene:ORGLA08G0233600 transcript:ORGLA08G0233600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTMVAGFMKLLMKRIPKTYMLDSADSNPLDQIAQSKDDVHCPLQSPIRKENVLITSDRELERTKSAILDSIKPEDSIEAKMDTLSGEVTTEDKGKDRSCDVVYDEADDINSLASIEELLGLHFKEMVEKRCENCSNVAQKASPISGKDGEQTVACTNVNRTVDGDQAEQSERKTCQSEQSSDLVRLDGECSSSSRQPHVADAQHQVMPTEDTMTKGDISGMSHGEKDSSSFSIVNKKPECLECAQEDVPDCHLGEKPVNLSSGQCQNANTEDQGRRKQVNLHQVEENQYDQQDRNEGAIKTSLISKLPPVLVIQLKRHMGPIKVRRHVSFKEILDVGLFLHPRY >ORGLA08G0233500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1393285:1394901:1 gene:ORGLA08G0233500 transcript:ORGLA08G0233500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:I1QLN4] MTRRRSRPRRFGAIPAYSSAMEEEKRARAAERDRAIVRSPRKGKAPRIEPLDADDSGWGGDASLEVYREAAAVATRGGGGERCEHMTCSEHDVAEIVSKIASWGDPVCQDETCMCTERHLMMVCVECDMHFCIGRFAKKSKPRGHIEEHAFDDGHPVALWYEDPYTGYCFECEDPLTIGGEEGDKGMKVKGEEGCRASGSDSGHGCVIGASGSGSGHGCAIRGIPNFGNTCYLNAVLQCLLVLGKLRARMSGPDAPPPSGMLGIILHDLFVATESVSYTRDLLDPVMLLGCVRHYKSEFQGNTMQDSHELLCCLRDSLIEEESKTRPDNMQQDAPSAVVPTVIDSIFRGQLFVTTLCKYCSFESVSQGSQDAFYDLCVALPLQNERCISQQKIAIEQFPTIDKTNTEKIHAISGGSDPQVPASELGDMVMVKTSEPLVVGKFMCSCT >ORGLA08G0233400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1387086:1390145:-1 gene:ORGLA08G0233400 transcript:ORGLA08G0233400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYRFVRSENEGKPVGLACHEAYSCSIALYNFALLTWIPLPLITPRFVTEQEVKYSIIALVEHKIKDLEKGWSSNFDYLYEAEKECKCLVHALPGGLQICPALDSITSFKTNGGHNLFSLLEIRMVHGWLANPEDNIYETVRSFSCDDLESHISDALNDNSEAAQRNRDASKRTTIHFYLMNTAIHSFNTLSSGLHRYQSLCQGLLKDEYAILYRGSDTFNLIREADEEPIYLNCKYIPLKNQPHIAKAKLWYYEKLNSRNEYAPRNVTKDEASIQKPTGNEGKLSNQEAILQTKQASDETLHYRVVQFKHENLLTSIICHKGYSLWTALYNHLVLNRALAVKPSEVKLQFLPEREVKDAIIAHLKLVLGEIEKSEKSKHEKLYNCEFIWKCFIRSTADTHQIYPVLDSFTSFKDSFGRSLICVLGIELVHGWVANPEDNIYETVKSFSSDDLESHISSLDARSDNSEAAQRDRDASKNLLAARNQFTSYGYQSLCQGLGKDEYAILYRGDDIFNLIREKDGSILILETDTDILDAYPNARWRILEEVDEEPIYLNCKYIPLKNQPHIAKVKRWYLEMKSKKKINEASSNEGAGQKQCYRDTRVSVSTRVRRGKTQL >ORGLA08G0233300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1383922:1385980:1 gene:ORGLA08G0233300 transcript:ORGLA08G0233300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLMTTKPKTYMLDSADSNHLEQISQSKGDVHGPLQAPTREENTLIASGHGVERTVSAVLDSIKPEDSIEAKMDTLSAEVATEDKGKDRNRDAVYDKADDINSLASIEEILELHLKAEMIEKRCENCSNADKKASPISGKHGEQPVACTNVNGTVDGDQDEQDQGRGKQVNMGHSAHQVEENQYDRPDRNKGAIKTCLFSKLPPVLALHLKRNLWPLKLKVSGHVSFKETLDVKLFMHPSSEDKDNSSYRLVGVVEHLGLCMDAGHFVAYVRPSCPQQTNGSSLWFCASDADIREVSLEEVLKCEAYLLFYERIEG >ORGLA08G0233200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1382209:1383501:1 gene:ORGLA08G0233200 transcript:ORGLA08G0233200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:I1QLN1] MYSEKKKARARDGYGDRDAAERRKGKAPCLEPPPTPPRAMAAAAAAEAGPSRGGCVPSRNPLKDPGDCEAVAIRDTDGEDCGHFSCDMDEIADIEMGMAARGDPMCEHETCLATGSNLMMVCPECGWCFCVGGLAHRAKPLGHIREHAYRRAHWVALRCEDPCEGYCFECEDSLAIESQMVADDGAGGGEEGYGCVVTGMPNLGNTCYLNALLQCLLVLRKLRARILGPGAPSGVLGDLLHDLFVGTNGPSYARRLLDPAMLLRCVRFRYPQFRGIAMQDCHELLCCLRDGLDEDERKWRAGKMQQGAPSAVAPTVIDSIFAGQLSVTLSCKCCSFKSDSEEVFHDLSMPLPPKGTPARSVASPPRNGRCISQQKTRMELFPAINKTNTEKIHAISEGGDAQVPASESEHMVMVKTSEPLEIGKFMCSCA >ORGLA08G0233100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1372264:1374869:1 gene:ORGLA08G0233100 transcript:ORGLA08G0233100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSADSTKVEQIFHSKDAVCRPLQTQKDKVQGETVDFLPQNMLPDVKVEEMDLTKTDAHVPEDIGPPLRKENAWIESGSDVGKNVSAVLDDVFSEPEVSSEAKTDTFSVEVRKSRSSDISCDNAQGINSLASINKYLELHFEAEMVEWTCESCSKVAQKPGINLGKYSNPMMSSTNEDITVDGDQSELSEKITCRSEQSNGRPECHEGVQEAEPSCIPAEKQANRLSGQDQNASILSEERGEQVKLHHGAHQVEENQNEQKDWNKGGIKKHFISKLPPVLVIHLMRSLLGPHKVIGHVRFKEILDMGLFMDPSSEDKDNLSYRLVGVVEHRGLGNDAGHFLAYVRASPRQETSGSSSWFRASDDSIREVSLEEVLKCEAYLLFYERMEN >ORGLA08G0233000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1364694:1368397:1 gene:ORGLA08G0233000 transcript:ORGLA08G0233000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEKRPRVGDTAESPRKSPRLVHRIPTTTDQAESGVGLKSLLGLIKNNPSKELDDPNAASLGVIDVEKKAARPGDTAATALDVNDWWEKVVALQWMASVGGDCRARRCRHVLYGEDDINLAIALIKTCDDTPMCNADNCDNTEGREISVCLDCESRFCTTHGKWHASINKHWVALVYKKPHVAYCFACEECYFIRTEHFGVVMDNEDDYFISLREEDEKGMRVDNVAGDHASGSVIGHACPIKGIPNLGNTCYLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXESCFRIGRCVLGEKIKAFKSXFYQSGTDISXHGCRWSFADXKGXGPRKGCXCPSPHSRRSCFTIICFSTKRREKXHCCSTRGXYRSQDDRFLSKSYYKRQGENSDXRCCLXQGTXYQLSCIDXEMLGIVSXNRDRMDLXELLQSSXEARHHVKYXGGHNSWRPEXTVREECTPSGRKPKXAERXEXMSHSNTSYSQVATCIDHSSDEIFGGFNEGDRTCELXGNPXCGAVHGSQL >ORGLA08G0232900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1359188:1362858:1 gene:ORGLA08G0232900 transcript:ORGLA08G0232900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:I1QLM8] MDEKARAGKSPRLKRRSSGSDPLEEAAGLTSDSSSIRRCRHVSCDQATIDLGIALIKASIDGPACDSFKCGTTEERGILVCLDCGSSLCALHARWHARMNRHWVALMHKRPNVAYCFSCEDTYFISRVRFGGTADEDFFPISTPKKDEKGNKVDNEAGGHASAATGAGSGGGTMAVLTSNVSPQRCCHVYKKGDVARVIKRIMLSDIAAACSDSMCDTTGRSLILVCLGCENPFCSGHADVHAKSTKHWNYLIYQSPYIVCCFVCKGIVFLGGQDKEEMPVDNATAGDASGSVIEHAHAIRGIPNLGNTCYLNSLVQCLLALGRLRARMLRPEDTTGRLGTVLRYLFQDTDSVNNSGGLLNPSGLLRRVRMFVPESQVTSMHDSHEALCRLRTNLDEEERLMKYGALSVEAPTVIDSIFGGQLSVTKTCKCCSLSSVSHGIVFHDLSMPIPPKKPLAKRVEPLWITKGRRSQRKRNTEKTRTIAEDGDSQNVMVKTSEPLGVDSTKVEQISQSKDAVQGPLQIQKDKVQGKAVDFLPQNVLSDAKVEGMDATRADSHIPEYLAPAPTFSPLREDDARVALGIDVEKNGSAVRPEVSTEAKVTTSSAKVTAKDKGKTRSSNIICNKAQDINSLASIEECLELHFEAETVELTCENCSKFAQKLNKSVIQTRLSLLPPVLVIHLKRSLLQSDKVKGHVSFKEILDVGLFMDPSSVDKDNSIYRLAGVIEHHGHGKDSGHFVAYVRQSRPQQTNGSSSWFWASDTDIREVPLEEVLKCEAYLLFYERMEG >ORGLA08G0232800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1350913:1356968:1 gene:ORGLA08G0232800 transcript:ORGLA08G0232800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:I1QLM7] MAEFSSAKCCMKSRRTPEATWCDFGVMNEEKRARVGDTAETPRKSPRLDRPAAAAAAAAPLTSNGVMGEKKKKNATRDVSDWCWWGKAAALLSGGDRRTRRCRHVLCEXADIDVAITLIKTRVDTPVCCVNKCDDTEGREISVCLDCQLSFCTAHGKPHAFMNDHWIALVYKRPNVAHCFACEECYFIRTDSLGEGMAVGENGFSIGTHKKDEKGMTMVNNEAGVHASMVSDYESALMVALLSDVGTPRCRHDMYNKDEVDLVQRRIMFCDITRMCSDCDNISGLMIFVCLGCEKPFCTVHSSLHAESTKHLVGLVYHNPYVACCFLCSETFVLIGEGDKRMPVDKAAGGYASESVIVHAHAIKGIPNLGNTCYLNSLMQCLLVLGKLRARMLGPDAPSGTLGTALHDLFEQTYSLNNATGLLETSLLLDCVCNLDPQFVGGFMHDNHELLCCLRKNLDEEERMRTPPNMLDSSAGAVPPTVFNSIFGGQLFVTRSCKCCSFCSVSHAVFYDLSVPVPPKKPPAKSVASTPWIEGHRSQPKICINHFEAIHKRNTEKTHRIVEDADSDPASELKDMVMVKTSEPLEVDYTEVEQISQSKDYVQDPQNVLADVKTAGMDATTTDTRIPEYIGPHPPVSQLREENAQLESCNDVGKDGNAILEVSSEHKIDTFSAEVTTEDKGKTCSSDVVCGKAQDIDSLPSITSAWNCTLKQRXXNGPVRTAPKVLGSQMSLKVHTVNRCCQVLMRTQQLVDTRGNSQRRXYAKVSNPTKNLNALKVFKTLYLIVSQLRDRTTCXAVRIKMPPHXMKEGVSKSFIIVHTKSKNAKMSRKIRIKVLLKHGLASCHLCXPFIXXDLCWGLIRXWDMXALRKSLIWDCSWTPALKTKIIPFIVXLVLLSTMAAAKIQGTLLLMXDQVLGSRPMVLPHGFVQVIPTSEKSLXKKFSSARLTFFSTRGWKA >ORGLA08G0232700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1348585:1349250:-1 gene:ORGLA08G0232700 transcript:ORGLA08G0232700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKKDLLRRLTIISIPFVFIAIPSIVIIVGMLSPHAAEPRDQSSPAAPGRNHSVSMLSTMTGGQMILSCRTAFSGNWEYFHYFILDPYKPQRAFFQPPPPPPNSAAAVPYAILCKWGYMGNFLQDVVVFNSSAAYAPRCRVEEGGCHYLFEDGKMFLVTGRRATRAAPARRREKRLVGDVVLRECAHVLGVFPTVCRYKPHEHNYVGKIIGRWRWWFNY >ORGLA08G0232600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1345622:1345840:1 gene:ORGLA08G0232600 transcript:ORGLA08G0232600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEETSAGKDDLLAIDDSNQDKAKQATVVSDESKAPIIEKKKKKKDGSLYSRADRILHCYPRGVVGHQSH >ORGLA08G0232500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1337606:1340284:1 gene:ORGLA08G0232500 transcript:ORGLA08G0232500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVSTTRLLLLVAAAAAAAAGDQEDPRGGGDNGTARLDRRTKMFLHAASASGGGGATGMEKAGLGLFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTILSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLEAGQGKSTFRRIFSRFCTPIFLESFVLTFLAEWGDRSQIATIALATHKNAVGVAVGATLGHTICTSFAVVGGSMLASKISQGTVATIGGLLFLGFSLSSYFYPPL >ORGLA08G0232400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1334163:1335479:1 gene:ORGLA08G0232400 transcript:ORGLA08G0232400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRGRGVGRGDPGLLTRAVEKVFRLVRLAEFEILFVLFFLIAFVLFKDLMSRPEYNSIFVKKPDLDGRWPGLIIICLMLLAWFLGMGLDSSCLQAYCWNFVKCHAEVTANSPCLHHVAVQFYG >ORGLA08G0232300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1330164:1331189:1 gene:ORGLA08G0232300 transcript:ORGLA08G0232300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYLVDTCGLTAAQALKASKNVSHLKSATKPDAVLAILSGVGLSGADLAAVVAAEPRLLCTKAPSVALRVASLRHRVGLSDPQIASLLLLPGGAKGLHTCDMAPRLEFWIPFLGSFEMLLKILKRNNAIVSSSLEKVIKPNIALLRECGLSVCDIVQMSQTSARVLTFSPERLKVIVQQAEKLRMPGCSWAFKNAVGAVARSNEGIVNARMEFLSSSLGCSMEKLRSAVCKCPQILGLSESKLHSKIEFLVGKVGLEPDYILQRPVLLTYSLEKRLVPRHYVVEVLLVKGLIKRTVDFYGSVCVSNEDFVARYIDHHENAVPGLTDAYAAVCSGKLPALV >ORGLA08G0232200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1325273:1328107:1 gene:ORGLA08G0232200 transcript:ORGLA08G0232200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGDGSGWCFCSGGAKLERIKNSVLAAKGAAVAAVSFPAGGGGGGRGGSGFLIHRALLLTTHGTIPSAAAAAAAEVRLSHGRLPARLVPQRFFITSPILDLTIVGLDVVNDELNSHGQQPHFLKTCLNPSLDLGSTVLLLGHTKRKDLTIGEGKVIITTDNLIKFSTDEVAWYPGSAGFDMHGNLAFMVCDPMKLAPSTPTGYASASSAALLSAKKDVPTQFGMPIPAVCGWLKQHWNGNLEDVSKPMMPPARLISTGQRSECSSVGRLNYIKTMEREGGDGMSSSQIPPRLTQHHGSCSSASAKISCGENDSVDSHSIHEQQDLTSQMHEPKIEQSASLMDNNFPPGHPRSIRLPLPLKHMMSHENKIKPNPSFSHEAQLANVRINCGTLHNVAYQENCWSEAQSSSSPPDISELGDERGGFSSGEETMYSAETRESRNIPSPKEKNPKMVGRSQSFVNHSKWDSPKSVESSKGAPSKSHTFIPLRKPHLQAAAISQKSQDFFSPTVSSSMKKRNLSQIPMKPRQSAQVTSKWII >ORGLA08G0232100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1319344:1322392:-1 gene:ORGLA08G0232100 transcript:ORGLA08G0232100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGGAMPAGSSGGDGEVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNHPVKLDSQDLLQIGDKKFYFLLPTRSIFASAAAARHPPIIPQQMPPPSYVRTGRPRLSEFHDRSFEGDYGREGDEIGNGISESGGMRGKLIKRNKKLSAESEMYGGHRINVEAIGTLGEDSRSEIRSRGDRDMDNQHILQAEEKDVVSSVATVLSDLCGPGEWMPMAKLHTELLEQFGNVWHHSRVRKYLSPEDWSPTETKGRPWYGLLALLRKYPEHFVINTRSKGRVTSEFVSLVSLLS >ORGLA08G0232000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1311700:1315000:1 gene:ORGLA08G0232000 transcript:ORGLA08G0232000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:I1QLL9] MIGWGDVYKVVAAMAPLYFALGLGYGSVRWWRFFTAEQCAAINTMVVYFSMPFFTFDFVVRTDPFAMNYRVIAADAVSKAIAIAAMAAWARTRCGCAAAKAGAQSWSITGFSLAALNNTLVVGVPLLDAMYGRWAQDLVVQIAVVQSMVWFPLLLMAFELRKAWVVGGGGGVGPAVMSSSSPPEKQRDVEMNGAVVAAPGGGGGVRLPFWATARTVGLKLARNPNVYASVLGVVWACIAYRWHLSLPGIVTGSLQVMSRTGTGMSMFSMGLFMGQQERVIACGAGLTALGMALRFVAGPLATLVGAAALGLRGDVLHLAIIQAALPQSIASFVFAKEYGLHADVLSTAVIFGTLISLPILIAYYAVLGFV >ORGLA08G0231900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1305015:1306797:-1 gene:ORGLA08G0231900 transcript:ORGLA08G0231900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:I1QLL8] MARFEAYENNGGTCVAVAGADYCVVAADTRLSVGYSILSRDSSKIAHLAYKCVLASSGFQGDVKALQRNLAAKELLYEHNHNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGAALMMPVLDNQLKSPSPLLLPARDAVTPLSQSDAVDLVKDVFASATERDIYTGDKLEIVVINSAGSHREFIELRKD >ORGLA08G0231800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1302123:1304476:1 gene:ORGLA08G0231800 transcript:ORGLA08G0231800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIVFLRASAADLTAGKPPLAGVPASAPLSAAAAAIPASSEAAVAVWRVDGASHHHHHRAPTAAATVVGLLSSIDVVAFLANHPGGAAAAFMTPAGDVVPHEHALVREVQPDTRLIEIVELMKRGARRVLVGKNIKEGCSINKQPFAPFYKAVLKITGTPRRNPSPSPSPATRSPSTTLGRDRYCCLTREDIVRFLINCLGALAPIPMQSIASLGAISRAYSHVEDSSPAIGAAWELPSDPRAVAVVRTGHDGSRVILGEISGHKLWKKDYAAAAEAMATMSAMDFATGVDESGPSPTATAAAAAGNVGGGGARARMGSVEEEIAPVPRLTRFSSRKIGFSASLANMIMVSHRKNRVLTCKATSSLAAVMAQMLSHRATHLWVVEDGDADKGAVLVGMIGYMEILRAVTRGVVVPPA >ORGLA08G0231700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1290385:1290537:1 gene:ORGLA08G0231700 transcript:ORGLA08G0231700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRKGKRREGCLTKGGRRGGGRWRTATTSHGRTTTTDGRDSGCPKEGEERD >ORGLA08G0231600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1277622:1278737:-1 gene:ORGLA08G0231600 transcript:ORGLA08G0231600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKSCSIDSEIALIGDDLLSEIIVRLPFKSVARSACVSKDWRAAVSDDYLRRRLPLLMTTVYFPDDDAVAAGGGGGGGPRFACAASDGNDGHRLEDCDLGFLPERGGVVVCDGCNGLLLCRSPGTPEFFVVDPVTRRWAALPAPAKAATLSVLAFDPSTSPDYRVVNFTGWRDRGAAVEVFSSATWAWTARDTEFGGVPASSLSGSMHYHDGILYILGSEPDCLVSLNLADFSSTAAVIDLPEPVDGGDAHVAHSGGRLHYIFRDGELLKVWELDDDDQWRPKHAVKVEHLAHGGDEVRFLAMHPEEEDVVYTWSPWKVVEHDLRRKTTTCHCQAWEFGEGERNRVVKAWLVPSSCYLSDCLAHCPVKC >ORGLA08G0231500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1272631:1273740:1 gene:ORGLA08G0231500 transcript:ORGLA08G0231500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCDDLLVEILSRLPFKSLARFKCVSRSWRALISGGDLRRRLPLLAAGLFVGDGGGGEPRYATACSGDGVEFCDMSFFPLAETAARVVDACEGLLLYRSLAAASAMYVACPATRRWAALPVPRREAQLPLLAFDPADGGGGSPRYHVVCFVAWQERGATVDVFSSETGAWAELDAAFGGVEASSLSPTTHYRGGVLHVLAYPDRVVLMDLAATTTTTTSVAPCRLAPRLPDDVDAGARLGHSRGRLHYAKCDGERLRVWALDVDAASPGSCQWILTNTVRVNEMTINGGLWAASEVKFLAFHPDMADVVYLSSPEGKVASCDMRRKEMVSSWKLGAEHHVVRFWLLGFSSGLMSCLGGEQAYQIAGAS >ORGLA08G0231400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1261430:1266182:1 gene:ORGLA08G0231400 transcript:ORGLA08G0231400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase [Source:UniProtKB/TrEMBL;Acc:I1QLL3] MSTACHGVRGFFSISAAAMTIVVTTAVVLLSGPQLAAGARVLINHAELRRRDIATAAGGGGGDGACATAVAPFGYPCEEHEVTTQDGYILGLQRIPRGRIGGVTGGGVAAARQPVLLQHGVLVDGMTWLLGSPEESLPYILADQGFDVWIANNRGTRWSSRHVSLDPKSRSYWNWSWDDIVVNDMPAIVDYVCSHTGQKPHYVGHSMGTLVALAAFSEGRMVDKLKSAALLSPVAYLSHITTPIGVVLAKAFAGELISDLLGIAEFNPASPQVSNLVRTFCRKPGMNCYDLLTSFTGKNYCLNNSAADIFLKYEPQPTSTKTLIHLAQTVRDGVLTKYDYVMPDANVARYGQADPPAYDMAAIPAWFPLFLSYGGRDSLSDPADVALLLDDLRRRGHAGDRLTVQYLPQLAHADFVIGVCAKDLVYNDVISFFRRFH >ORGLA08G0231300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1246644:1251637:-1 gene:ORGLA08G0231300 transcript:ORGLA08G0231300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:I1QLL2] MDAAMESAVGPHFSGLRLDSRRLSSSSLPTSPSAASSNGCGGNDAPAAVNGFAPPPPPSAAAAAEEGSKQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTEEESDRVQDYNFDHPDAFDTEQLLECMGQLKSGLSVNIPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDQRVRDLMDMKIFVDTDADIRLARRIRRDTVERGRDVISVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVYVVNTTFQIRGMHTLIRDRDIATPDFVFYSDRLIRLVVEHGLGHLPFTEKQIVTPTGSTYMGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHRDGDNGQQLIYHKFPLDIAERHVLLMDPVLGTGNSANQAIELLISSGVPEERIMFLNLISAPEGVHCVCKRFPRLKIVTSEIETGLNEEYRVIPGLGEYGDRYFGTD >ORGLA08G0231200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1240408:1243120:-1 gene:ORGLA08G0231200 transcript:ORGLA08G0231200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKVRGDMGKLIPVISFFLGAALTAAFVIATMDINWRLSALASWNNNDSPPAVTDEMKALSELTEVLRNASMDDRTVIMTSINRAYAAPGSLLDLFLESFRLGEGTEPLLKHVLIVAMDPAALARCRQVHPHCYLLRRPEGAVDYSDEKRFMSKDYLDMMWGRNLFQQTILQLGFNFLFTDIDIMWFRNPLRHIAITSDIAVANDYYNGDPESLRNRPNGGFLYVRAARRTVDFYRRWRDARRGFPPGTNEQHVLERAQAELSRRAGVRMQFLDTAHCGGFCQLSHDMARVCTLHANCCTGLANKVHDLAAVLRDWRNYTAAPPAARRRGGFGWTTPGKCIR >ORGLA08G0231100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1233082:1235255:1 gene:ORGLA08G0231100 transcript:ORGLA08G0231100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSTEPSNPTKSAKAMGRDLRVHFKNTRETAFALRKLPLVKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSHQSNGQGRWPAKSARFILDLLKNAESNADVKGLDVDNLFVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHVELILSEKEEAVKKEPETTIAPRRQ >ORGLA08G0231000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1225589:1227409:-1 gene:ORGLA08G0231000 transcript:ORGLA08G0231000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGARDAVVEVAKHMGKSLAVSKNAADDMMRVLSRYEGEAPMFPLSPPEVDQAEEEEVFAAAEDIIRRCNSVSSPSEMVDYLYAVDDAIAATALQGELASRAAEAVQAAMPRLEEEVRALLGSSARRLSLDSFEDLDDAGAATTPDGSPPRRDALSPEAAASASGVADRMLRAGYGPELAQVYVAVRRDALAESAAHLGVEAVAIEEVLRMEWGVLNQRIRRWSHAVRAVVKTLLAGERRLCDEVFASDEELGHECFADVARGCLLQLIGFADAVAMSTPATEKLYRMLGMYEALTAVEPDIESLFTGDARDFFSSEVAGVAAQLGSTIRHTIDQFVNVIHGESSRRPVLGGEIHPMTRYVLNYCGLLAECRATLDMVLADNNTSNHDTNDDDHDGGGGGGASSTPSGRCMREILTHLLRNLDEKSRLYDDAGLKNIFLMNNIYYIVQKMMVEFPALRELLGDDWVRRHRGQIRQYETGYLRASWMSVLASLRDDASPAAAHGHGGRAALKEKARSFNAAFEELYRSQTAWKVTDPQLREELRIAVSERLIPAYRSFVGRSRQLLESGSSSGRHSSSAAKHIKYSLEDLEDYMLDFFEGVQKFVR >ORGLA08G0230900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1219460:1223511:-1 gene:ORGLA08G0230900 transcript:ORGLA08G0230900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTAPASYSDEPPRHPALKINSKEPFNAEPHRSALVSSYITPVDFFYKRNHGPIPIVDDLSRYSVSITGIVNKNVQLSMADIRSLPKYDITATLQCAGNRRTAMSKVRKVRGVGWDISALGNATWGGAKLSDVLELVGIPKLSSVTSLGGKHVEFVSVDRCKEEKGGPYKASIPLKQATDPEADVLLAYEMNGETLNRDHGYPLRVVVPGVIGARSVKWLDSINIIEEECQGFFVQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYAVSGGGRGIERVDISVDGGKTWVEAHRYQKSNVPYISDGPQSDKWAWVLFEATLDVPANAEIVAKAVDSAANVQPEKVEDVWNLRGILNTSWHRIKIQNSSCVGRSKM >ORGLA08G0230800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1217292:1218751:1 gene:ORGLA08G0230800 transcript:ORGLA08G0230800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASAAAAALWGHKHLPLLARASSKESVEYILQALWRTRRTGLDAADRAVVRDMLHLASDADLDPLLVCLRVLIRRCVHGNIGKDEVAKLFPEEVSPELQRLLTLLLQKFQPEWQEDVAKDQVKSGKASASRPETTECPSNQNQDTTEQPAAGATEIQNGGKSSVVEKELKLQLTKDTLDKMLEDMYSTKGQASNTGNTNGHEETAGCT >ORGLA08G0230700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1206558:1206972:-1 gene:ORGLA08G0230700 transcript:ORGLA08G0230700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMLLAAAAASASQPSSLEGFQPLSKIAVHKATVDLHGSAFVSATPALLGDQVDDE >ORGLA08G0230600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1198003:1203484:-1 gene:ORGLA08G0230600 transcript:ORGLA08G0230600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1QLK5] MVSRKRGGGGGVAMAVAMLLAAASASRPSSSLEGFQPLSKIAVHKATVDLHGSAFVSATPALLGDQGEDTEWVTVKYGWANPSADDWIAVFSPADFISGSCPNPSRYPDEPLLCTAPIKYQFANYSANYVYWGKGSIRFQLINQRYDFSFALFTGGLENPKLVAVSEAISFKNPKAPVYPRLAQGKSYDEMTVTWTSGYDISEAYPFVEWGMVVAGAAAPTRTAAGTLTFNRGSMCGEPARTVGWRDPGFIHTAFLRDLWPNKEYYYKIGHELSDGSIVWGKQYTFRAPPFPGQNSLQRIIVFGDMGKQFQAERDGSNEFANYQPGSLNTTDRLVEDLDNYDIVFHIGDLPYANGYISQWDQFTAQVAPITAKKPYMIASGNHERDWPNTGGFFDVKDSGGECGVPAETMYYYPAENRANFWYKVDYGMFRFCIADSEHDWREGTEQYKFIEQCLSTVDRKHQPWLIFAAHRVLGYSSNWWYADQGSFEEPEGRESLQRLWQRHRVDVAFFGHVHNYERTCPMYQSQCVSGERRRYSGTMNGTIFVVAGGGGSHLSDYTSAIPKWSVFRDRDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTVERDYRDVLSCVHDSCLPTTLAS >ORGLA08G0230500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1190500:1194632:-1 gene:ORGLA08G0230500 transcript:ORGLA08G0230500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated protein 65-8 [Source:Projected from Arabidopsis thaliana (AT1G27920) TAIR;Acc:AT1G27920] MGSLKMTGKAPCTALPESSCAYLLQELKMIWDEVGQEENERERILQEIEQECQEVYRRKVNSANMSRIQLHQALAESEAEFTNLLLSLGERSFPGRPEKMTGTLKEQLNSITPALQEMQMRKEARLKQFIEVQTEIQRIASEIAGRPENEAITVNQEDLSLKKLEEHQSELQRLKREKSDRLCKVEEYKVLIHNYAKIMGMDPSKILSNVHTSLLDGANDQQTKNISDDILNKLNTMVQQLKEEKNQRMDKLHSLGKALTKLWNILDTNMEERRPYGEIKIYSMTSGSSMLGPGSLTLETIQKIESEVQRLDHLKASKMKELFMIKQTEIKEICKKSHMDMPYQTEMNKIMDVIMSGDVDHDDLLKTMDEYIYKVKEEATSRKEIMDKVEKWMASCDEERWLEEYSRDERRYSISRGAHKHLKRAERARIIVNKIPGLVELLMAKTEIWEQEREKVFYYDELPLLAMLKDYMLTLKEKEEEKYRQWENKKVQTQLARRHENSFILRPDTSCSRPSSRGFNTSPGSSTIWSSRLPTMVQQPSADNSSAEKDVHIRKVRNRSMQRALGNNRSISISYEDKTPSLSAIKQGVSPI >ORGLA08G0230400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1180714:1190189:1 gene:ORGLA08G0230400 transcript:ORGLA08G0230400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGAAGHPPAPRAPAGRAAPAPAPGAVPLTVHPAAATATATATPATATATPATPPNPPAPPAAPPPTFSSSRPPHPWEIAARAWLESFPDGRPPTEAEVDAYIDVHRPELPSLPRSQLHQRLLALRGDQVLDADQSAFPYRFQRTDLWKPVYKWLESLELESLVTSKEISEWLTSNPQIMDRLVEKHSKYHLIHYTQRMHLKLLKKRGKIPKSLQLSAARATVPASASPVTPQESTPTVRKSLPPVTGVSASRFVGGDAPIRDKKMSLSKKKEAFLKYELLTDLQNQLTSVLLKNRRTVAIKETDFQKPETNVSMQEGAATASTSTPTEVTKIYCNEQPNQGAADNEFGQKRKRNPIIVTPAWCYSEATTGTLQHEQNSSSHSNGARSYDIWKGHVNPSFPQRSIKKNILFCLEGREAGANWSQTCSNGGHAGRNCERWSPFLEGWNSPAVQFEGPAVHVVKQSYLSWCPTSCAYTSSAPSAQPHDRQGVRKVLDVKFHPEGLPQLVSCSNEAPNELLLFNLLSGRATQLRGHNTKIQATAFAVKGASIVSCGSNLLKVWDCITGSCLYTLGGDDHNSVGHTQKINAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSIDTMEFCSENLLACGSDCEYGGSAVVQLWDIESPESYLSFSASDSYITSLKTNPAGNTIITGSGDGTIGLFDIRACSAINHLSVGPGCEVTSVSFSNCGTYFSASSTSNNTLVWDTRLVPLNQSRDVSRSKDMRFFRPLHCLSHGKQMPTAEYASQLPGHVDEGDQGVNAMQWLHKEPVLVTVSGDGSVGMWDVTLGQPCVRHILTHNRCANAVAVAPNDEYISTGGSDQKVVLYHSKSGCAHLNWRLTYPLPGND >ORGLA08G0230300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1174844:1178398:-1 gene:ORGLA08G0230300 transcript:ORGLA08G0230300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain [Source:Projected from Arabidopsis thaliana (AT3G01920) TAIR;Acc:AT3G01920] MATATATATAAAAAASSSRLPFRRAASSSSSSQLRLPAVPPPRRASFAVVALHKRNPKRLKYASQRQFTRGDAGMLRVQVEPSGEDAWKLEPVVELINRGAVGIIPTDTVYSIVCDLSNNDSVERLRRIKGIGDSKPLSILCRSLRDIDTYTTGFPRGTNQGQANIFRAVKRVIPGPYTFILPATKELPKQCIRHGSSTRYAKRRQVGVRLPDDPICEAILQNLDEPLICTSVKSLSEDEWILDPVIIADIYEQQGLDFIVDGGTRIADPSTVVDMTGSYPTIIRQGKGPKLDWMVPEDEEQESRPTFAYKAA >ORGLA08G0230200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1162062:1162820:-1 gene:ORGLA08G0230200 transcript:ORGLA08G0230200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELETEVTDLTAQQLQLEEEHQAWGSEIDSLKAQLQVHGDQFQQLSDQYNDRRGMMDTLEEQLRESQEHVSQLEEQLRAATISTTGASTSTAVGRDRYFFLTPPYPPAFHALLGEASMLATESAPYLVDPTVTQPPAPEIVHTPLIPTPSPQLGSSLETPIQVDSKTEGTDTKLEIEPDITDPSEDETPVPRITFLGGPRTLSTARKSTRPPGKRPKPDPEATTSEPWGLRFARASDHPLPAPGSCGWLDD >ORGLA08G0230100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1137363:1142347:-1 gene:ORGLA08G0230100 transcript:ORGLA08G0230100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDLKMPPAVSWELADELENSGGGGVPAAVSSSSAAVGGGVNAGGGGRQECSVDLKLGGLGEFGGGGAQPRVAVAGEPAKGKGPAAAATGAAAAASSAPAKRPRGAAAAAAAGQQQCPSCAVDGCKEDLSKCRDYHRRHKVCEAHSKTPLVVVSGREMRFCQQCSHRFHLLQEFDEAKRSCRKRLDGHNRRRRKPQPDPMNSASYLASQQGARFSPFATPRPEPSWTGMIKTEDSPYYTHHQIPLGISSRQQHFVGSTSDGGRRFPFLQEGEISFGTGASAGGVPMDQAAAAAAASVCQPLLKTVAPPPPPHGGGGKMFSDGGLTQVLDSDCALSLLSAPANSTAIDVGGGRVVVQPTEHIPMAQPLISGLQFGGGGGGSSAWFAARPHHQAATGAAATAVVVSTAGFSCPVVESEQLNTVLSSNDNEMNYNGMFHVGGEGSSDGTSSSLPFSWQ >ORGLA08G0230000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1131269:1135305:-1 gene:ORGLA08G0230000 transcript:ORGLA08G0230000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTQSMTKTLEKYQKCSYAGPETAVQNRESEQLKASRNEYLKLKARVENLQRTQRNLLGEDLDSLGIKELESLEKQLDSSLKHVRTTRTKHLVDQLTELQRKEQMVSEANRCLRRKLEESNHVRGQQVWEQGCNLIGYERQPEVQQPLHGGNGFFHPLDAAGEPTLQIGYPAEHHEAMNSACMNTYMPPWLP >ORGLA08G0229900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1114541:1123944:-1 gene:ORGLA08G0229900 transcript:ORGLA08G0229900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRRRKRGKVELRRIEDRTSRQVRFSKRRSGLFKKAYELSVLCDAQVALLVFSPAGRLYEFASSTSSIDTIFGRYWDLLDTTIDLNIEARESRVDCNIQLRQKEHSDDPVPKINHITQCVLESNVNELNIAELRGLEEAMTNALTVVKNKLMMKVASVLPQSEKKRKSCSISEPRSGVSS >ORGLA08G0229800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1112720:1112941:-1 gene:ORGLA08G0229800 transcript:ORGLA08G0229800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELQGWETPRREECRIPVMPQCPAPPRKRPVVLPELGKERREPPKGRYFQPPDLELLFVLVPPRRQASSCV >ORGLA08G0229700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1109267:1111650:1 gene:ORGLA08G0229700 transcript:ORGLA08G0229700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAASAAAAAVASGISARTVAPRPSSSRARAPRSVVRAAISVEKGEKAYTVEKSEEIFNAAKELMPGGVNSPVRAFKSVGGQPIVFDSVKGSRMWDVDGNEYIDYVGSWGPAIIGHADDTVNAALIETLKKGTSFGAPCVLENVLAEMVISAVPSIEMVRFVNSGTEACMGALRLVRAFTGREKILKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATSETLTAPYNDVEAVKKLFEENKGQIAAVFLEPVVGNAGFIPPQPGFLNALRDLTKQDGALLVFDEVMTGFRLAYGGAQEYFGITPDVSTLGKIIGGGLPVGAYGGRKDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLMEPGTYDYLDKITGDLVRGVLDAGAKTGHEMCGGHIRGMFGFFFTAGPVHNFGDAKKSDTAKFGRFYRGMLEEGVYLAPSQFEAGFTSLAHTSQDIEKTVEAAAKVLRQI >ORGLA08G0229600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1105757:1107811:1 gene:ORGLA08G0229600 transcript:ORGLA08G0229600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAVAKAFVEHYYRTFDTNRPALVSLYQDGSMLTFEGQQFLGAAAIAGKLGSLPFAQCHHDINTVDCQPSGPQGGMLVFVSGSLRTGPDEHPLKFSQMFQLLPAGGNFYVQNDMFRLNYG >ORGLA08G0229500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1100487:1105086:-1 gene:ORGLA08G0229500 transcript:ORGLA08G0229500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGGRVRGFVRNRWLVFVAAMWMQSFAGVGYLFGSISPVIKASLGYNQREVAGLGVAKDLGDSVGFLAGTLSAVLPLWAAVLVGAAQNLVGYGWVWLAVTHRAPVPPLWAMCILIFIGTNGETYFNTAALVSCVQNFPKSRGPIVGILKGFAGLSGAILTQMYAMIHSPDHAALIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDGTSFTFVYSVCLLLAAYLMGVMIVGDLVDLSHTVMVLLTIILIVLLIVPIVIPVILSFFSDNDESAYASLLQSPGKEEASASTPSEEQTEVIFSEVEDEKPKEVDLLPASERQKRIAELQTKLFQAAAVGAVRVKRRKGPRRGEDFTLMQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYEDSHIFVSMISIWNFLGRIGGGYFSELIVKDYAYPRAIALATAQVFMAFGHFSFAMAWPGAMYIGTLLVGLGYGAHWAIVPAAASELFGLKNFGALYNFLTVANPAGSLVFSGIIASGIYDSEAEKQAHQHHNSTLLAMPGRLLAMASDATQPLKCEGAICFFLSSLIMSGFCIVAAVLSLILIYRTKIVYANLYGNPRT >ORGLA08G0229400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1097936:1099657:-1 gene:ORGLA08G0229400 transcript:ORGLA08G0229400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTCWSCGEGAVVADPDTGVLVCTSCGRIHDSGSSEFVHQSICTDNGVYDLRASSFVYHQSQSLYRDQKLSNGVVTIASIAARLGLSPTAADEALAMAKSATGGNLATQGSAFLPALASACAFLVARSHRLPLSLAEAAETAFCSVASLADLVSRIASHLSLPPLPSFDYAAALERAVRSSPSLSSAASERTEVILSQSRFLLRCASKWSLTTGRFPLPLIAALVAFAAEANGVTSITVEDIARDISARLHTSLRRYKELVDALVRVARELLPWGADVNAKNLLLNAPVLLRLMEMRSQSDPSEQFLESFAPDIAGIVQACSAVDDDESKYLQVAPQLASDDLDSNNSGQEGKELENLKISEECLSSAYQNVLKRLAQLQRLGQVGKGANRKRQRIGGLELEPCIDSLDDGWTKDMVLEDVVNIDVGFDVPPPSFAAGMKLQKKRRARIEAAKCRIDAIRKGPVESENKLQAALRNEDACSPQKPARKKRGKKRIAGSDRAMNGELPIEMPDGPGGEKKRRKGAPSDGIDWEDCIIELLLLHGANEEEIEQGQYRRLLDLHVFCAVSASGHK >ORGLA08G0229300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1093308:1095802:1 gene:ORGLA08G0229300 transcript:ORGLA08G0229300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QLJ2] MFSSSAMERRRRSPCAAVVMAAVAMLAVMPAFPGVAADLSAGYYSSSCPKLESIVRYEVSRKINETVVTIPAVLRLFFHDCLVTGCDASALISSPNDDAEKDAPDNMSLAGDGFDTVNRVKTAVEKACPGVVSCADILALAARDVVSLASGPWWSVELGRLDGLVSKASDVDGKLPGPDMRVTKLAAVFDKHGLSMRDMVALSGAHTVGFAHCTRFTDRLYNYSAGEQTDPSMNKDYAAQLMEACPRDVGKTIAVNMDPVSPIVFDNVYYSNLVNGLGLFTSDQVLYTDGASRRTVEEFAVNQTAFFDAFVSSMVRLGRLGVKAGKDGEVRRDCTAFNH >ORGLA08G0229200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1086123:1088542:1 gene:ORGLA08G0229200 transcript:ORGLA08G0229200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosylphosphatidylinositol-anchored lipid protein transfer 1 [Source:Projected from Arabidopsis thaliana (AT1G27950) TAIR;Acc:AT1G27950] MAAWRGLALAAVVAWCVAAAAAAPDAALQSKCQQDFTKLTDCMDYATGHEEAPSSTCCGDMSATQQARPECLCYIIQQVHGGRNEVQSLGLRFDRLLAMPTACKLPNANVSLCINLLHLTPSSPDYAVFANASKAAATTPSSTTPGAAAATAGGFKVQAGLSYGVVAAAMVSAVFSSIF >ORGLA08G0229100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1081505:1083900:1 gene:ORGLA08G0229100 transcript:ORGLA08G0229100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSWRTVCLLVLCTVALLRPAAAIRFVIDREECFSHNVEYEGDTVHVSFVVIKADTPWHYSEDGVDLVVKDPNGAQVRDSRDKTSDKFEFIVQKRGVHRFCFTNKSPYHETVDFDVHVGHFSYFDQHAKDEHFAPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKALLESAALIAASVIQVYLLRRLFERKLGTSRV >ORGLA08G0229000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1068344:1070685:-1 gene:ORGLA08G0229000 transcript:ORGLA08G0229000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACGSPSTEVVDEFEKLVIRMNPPRVTVDNTSDMTATLVKVDSANKYGTLLEVVQVLTELKLTIKRAYISSDGEWFMDVFHVVDQDGNKLYDGQVIDRIELSLGAGSLSFRAPPERSVEVEAEAAAAQTAIELIGKDRPGLLSEVFAVLTDLKCNIVSSEVWTHDARMAALVHVTDADTLGAIDDQDRLDTVKRLLRHLLRGGGAGARDRKATARAAIPAPRRDGAATHAPRRLHQMMHDDRAAAAAQLSSSSGDGGGRGRPVLEVVDCAERGYTLVNVRCRDRPKLLFDTVCTLTDMQYVVFHGTVIAEGSEAYQEYYIRHLDDSPVTSGDERDRLGRCLEAAIQRRNTEGLRLELCCEDRVGLLSDVTRIFREHGLSVTHAEVATRGARAANVFYVVAASGEPVEAHAVEAVRAEIGEQVLFVREDAGGGGGGGEPRSPPGRDRRSLGNMIRSRSEKFLYNLGLIRSCS >ORGLA08G0228900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1043548:1047073:-1 gene:ORGLA08G0228900 transcript:ORGLA08G0228900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGELVVVEEDDEYAKLVRRMNPPSVVIDNDSCDSATVIRVDRVKKHGILLEAVQVLVDLNLVITKAYISSDGNWFMDVFNVTDQDGNKVQNKEVTDCIKKCLESEDYLVPPATSPAGGAAPSEETTCIELTGTDRPGLLSEVCAVLASLRCNIVNAEVWTHDRRAAAVIQITDEATGLPVRDGGRLSQLQELLGNVMQGDGDGGGGGGDSRKGSTVVSLGAANAERRLHRLMLDDGDAGRCGEERGGVAAAKAKAKVVVMDCTERRYTVVILRCRDRPRLLFDTLCALTDLHYVVFHGTVDAEGGSAKEAYQEYYVRHVDGHPVRCDAERLRLVRCLEAAVERRASDGLELEVKTEDRAGLLSEITRVFRENSLSIIRAVITTKDGEADDTFYVSDAYGNPVDGKAMEALGEQLGHVVLRVKSNGRAAINRAEDSGGGGGGGGGAASIIGNLLKGSFQGFRLIRSYS >ORGLA08G0228800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1039465:1041675:-1 gene:ORGLA08G0228800 transcript:ORGLA08G0228800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKVEVNLLRLLEAAPRQQNQAKLVHYVTTARELLEQLGAETTPEGISSVSKAKISEYSEKIEALAARLAVPEPENEVPVDENREVESSYEGEKPGSPISLSSGLRRRPIAHTDVGPSSHARKDRDIGAPIKLDAEAQAHIEKHRKLQEDLTDEMVELARQLKESSLTMHQSVQETEKILDSTERAVDHSLASTGRAATRAAEVYSLASKTTCFQWLLIFMMTCMFIMVVLLIRVT >ORGLA08G0228700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1032786:1034897:-1 gene:ORGLA08G0228700 transcript:ORGLA08G0228700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSALKDLNLAQSAELEKTKDSSAKSCITKPVLNGNKCNNTEENAPPVLPDAVTNGCEAGNADVEYIDSESLTDLEDAGATLSTLVARLDSKDWVMTCEALNNVRQLAIYHKDRLQELLEPLVPLIVKSVKNPRSAVCKTALMTCADIFKAYGDLMVDSIDPLLVQLFLKSSQDKRFVCEAAEAALISMTSWIAPSALLPKMQPYLKNRNPRIRAKASMCFSKSVPRLGVEGIKEYGMDKLVQIAATQLSDQLPESREAARKLALELQAFYEKSQASSSGEVDDAPATSPDADAGAESWEAFCQSKLSPLSAQAILRVTSTTKEGVAVVVTSTPPKEGVTVGC >ORGLA08G0228600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1031662:1032081:1 gene:ORGLA08G0228600 transcript:ORGLA08G0228600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERGVRNKQGVVMKALLDRCLSKHKNGGRVEPPEGSFAVYVGGGGAARERFVVRTECVNHPLFRALLEEAEEEYGYVADGPLELPCDAGEFVAVLARIEREMAEERTVRCAGGLLLRLHPAAHLMLAAPATTPPLIVG >ORGLA08G0228500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1026873:1029253:-1 gene:ORGLA08G0228500 transcript:ORGLA08G0228500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKVEVNLIRLLEAAPRQQNQTKLVHYVTTARELLEQLGSETTPEGISSVSKAKTSEYSEKIEALAARLAAPEPENEMPVDENREVESSYEGDKPGSPISLSSGLRRRPIAHNVVGPSSHERKDRDIGAPIKLDEEAQAHIEKHRKLQEDLTDEMVELARQLKESSLTMHQSVQETEKILDSTERAVEHSLASTGRATTRAAEVYSLASKTTCFQWLLIFMMTCMFIMVVLLIRVT >ORGLA08G0228400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1024280:1025839:-1 gene:ORGLA08G0228400 transcript:ORGLA08G0228400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAPASSSGWDFTCDFEIDYGSEERASIVYKTLAVDKELQPDKVKREMSVSGGKLVVHFEAVEARFLRASFSAFVDLTVLVTKLVEEYGISKEGEGSI >ORGLA08G0228300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1020072:1022903:1 gene:ORGLA08G0228300 transcript:ORGLA08G0228300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQKNLAERPQSSEDKEEEDEKKKKIKKNTTYLTAALKDSSYGLLRKIQSAMNKEGKEGQRPFSCRRCRSREPRDLEHPHSVRLDPWFPGLGHPDLEVLSLGLGVASTGSGGDGGSSSPAGDGGDGRLHLADGAGRRLGSRPAVRRRYRTGARHADLERIEGRRRLEGNLAAHRIALKSGSGRRRAPGSSMEVK >ORGLA08G0228200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1008537:1009096:-1 gene:ORGLA08G0228200 transcript:ORGLA08G0228200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYDDLGIKDLWKIISILEKDGVETVDGSHKARISGITHVPLDFPVICKEIAEGHPLLAIIRTGKGFDDLQYNQIYKPPKVSRIVDGKSAGLHCISLVGGAMRKGRKMHFKFVNTHGEEFCKLRSLNRDDGIKGGLGNVIAEGILRRPVKFLREGRGSV >ORGLA08G0228100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1004699:1006420:1 gene:ORGLA08G0228100 transcript:ORGLA08G0228100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICTNSLVRIECRSLNGLLAFLHNLFPALSEHDAMMYLFHSNANLEQVTFRAMCDHDISCSHEDAYKAAADAAWHPRPDAQAEFAVSTHPMLLPIDKPSLAVSHTLTSSEVELISRYFSQKSYPAKSVPSVPELVPRADELVKRSQQNFMANQYFIRRKVKAALKRYAKEKGTEYELHVICGTNFDVPENGRYGYFWNRKGFPYAHVNFLARPKGSQRDNTAPSLFFLECSNGEEDIGTLFSCCAELESPTDSGRCFHCECKGTKIVHPAIGTYRGHETDFEEMSCGKQEIDSKGLIISEKMAIEFVGLCEDDSIYFDPSKDYDFALGLNNLVREDDEALEELHRDIAECERMKVSDMSCEPDLLAEITEDVLQDCQGHLVPQC >ORGLA08G0228000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:1003788:1004559:1 gene:ORGLA08G0228000 transcript:ORGLA08G0228000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISNIIVNSVSEPLLPDCTASEEEEEDGKSRKRKRGRDEAILSGIAADASCIRCLPPRMGRCETSPERRRNVPVAQRSLEGLVTFLICYFRHLPVSEALHYLLLTKADLLAAVHLIEYTRGIGGRLFPISSPTTEVALRCAAISASHPDPPAFAARSFSLASRLGDQPSSQILTDGCYLSLDAIHRLHEFLQEPLKEPPDFPKPVRDRAASQG >ORGLA08G0227900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:998107:1000358:1 gene:ORGLA08G0227900 transcript:ORGLA08G0227900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1QLH8] MAGGFLSMANPAVTLSGVAGNIISFLVFLAPVATFLQVYKKKSTGGYSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIVLYLVYAPRRARLRTLAFFLLLDVAAFALIVVTTLYLVPKPHQVKFLGSVCLAFSMAVFVAPLSIIFKVIKTKSVEFMPIGLSVCLTLSAVAWFCYGLFTKDPYVMYPNVGGFFFSCVQMGLYFWYRKPRNTAVLPTTSDSMSPISAAAAATQRVIELPAGTHAFTILSVSPIPILGVHKVEVVAAEQAADGVAAAAAADKELLQNKPEVIEITAAV >ORGLA08G0227800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:985316:985588:1 gene:ORGLA08G0227800 transcript:ORGLA08G0227800.1 gene_biotype:protein_coding transcript_biotype:protein_coding IENYSYHLLVVSFMMQANISRRPPQLRMSCVQQLALLLLLAAASVDLPKELKQLFMPASFCSSKYSFGPTHISFTRKGYGRSLNLFSFII >ORGLA08G0227700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:979186:981332:1 gene:ORGLA08G0227700 transcript:ORGLA08G0227700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QLH6] NRDLHFWLGNNWYPFIFCILKEFFLRGRFIFGPDVRSIFLTIFLIVAPVVAFCVFVARHLIDDFPGDWGISVMVVVVVFTVYDLTLLLLTSGRDPGIIPRNTHPPEPEGFDGSNDSGVQTPQQLRLPRTKDVIVNGISVRIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFAFCWVYIIKIRNAESLSVWKAMLKTPASIVLIIYCFLCVWFVGGLSVFHCYLMSTNQTTYENFRYRYDRRVNPYNRGVLNNFLEIFCSRIPPSKNNFRARVTVEQGLQQTRVASRGFMSPNMGKPVGDLEMGRKPVPWDEPRTAADIRDLEAGLGGFLDEKEGRLTHASPDLSRDDLPADLMEGRAGTHSRRSSWVNRTGTSESVDSMNAQIIAAWEAIQSDPVH >ORGLA08G0227600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:975508:976584:1 gene:ORGLA08G0227600 transcript:ORGLA08G0227600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocase inner membrane subunit 8 [Source:Projected from Arabidopsis thaliana (AT5G50810) TAIR;Acc:AT5G50810] MENSAEMQRFIEQEQQKAMVSEMVGKLTSVCWDKCITSTPGSKFSSGETTCLTNCAQRFLDMSVIIAKRFEMQ >ORGLA08G0227500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:972275:975126:-1 gene:ORGLA08G0227500 transcript:ORGLA08G0227500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAATARAAALCRIAACASASSAAAAATGGRRGAEKLPFSLAERGMVVGGHRGMGMNAVGAPPGARIEAVRERENTLLSFGRAAAHAAVAFVEFDVQVTKDGCPIIFHDDFILTQETDAVYAKRVTDLLLEEFLSYGPQKNSHEISKPLLRRTSDGRVVNWSAKDDDSLCTLQEVFERVSPRLGFNIELKFDDDIFYERSQLDRALQAVLQVVSQYASNRPVFFSTFHPDAARIMRELQSLYPVLFLTEGGTAQHKDSRRNSLDEAIRVCLEYELHGLVSEVRGVLKNPSAVLRAKESNLALLTYGQLNNVWEAVYIQYLMGVNGVIVDLVEEISNAVADFSKPVLNQSMLGSGVDLVGAKHQAFSQQQLGFLLRLIPELIQQPH >ORGLA08G0227400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:956690:959214:-1 gene:ORGLA08G0227400 transcript:ORGLA08G0227400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAAAAPVVMRHGGVVLPPGFRFHPTDEELVVQYLRRKAFGMPLPAAVIPDLHNLFKLDPWDIPGASSDGDKYFFAVRPHAARGRRQQVTASGGCWKQAGGRDKPVVVARCGGSHLVGVKKGMVFVPRQGRKAPAAAAAAAGGGCWVMHEYSLALPMHKKGCLAEAEEWVVCRIFQRSSSGSRSPRRPDNDMRRTMPAVAELGRSPSPSSSSSQSSCVTSSSDQEEVSSG >ORGLA08G0227300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:943400:948154:-1 gene:ORGLA08G0227300 transcript:ORGLA08G0227300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta [Source:UniProtKB/TrEMBL;Acc:I1QLH2] MLGVARRRLGSGCVLGQLMQALRPAAAAAARTYSAAAKEMTVREALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYQGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLTPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEREGKDVTLTAFSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRATINASVRKTNRLVTLEEGFPQHGVGAEICMSVVEDSFEYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRAVPMAATA >ORGLA08G0227200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:935482:939157:-1 gene:ORGLA08G0227200 transcript:ORGLA08G0227200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVNPAEEAPTPSSRPVSSPRSFEGVGACDLDGLLGGSSSSRVFEYPSICDSDRKTALDIPNGSTEYSRDSHCFDRPAGLRGLRIDSRDADSRSSHKLDPTVHVPTMRVVGFESGSVGGSAIIETDNCHTPFDQHELQARKRLLSPLKNVLNRQFHGDILNISAGDARFELSDSARKLNISCFQDSKKANTGMLDSFEPQAWTNSRCSNGSPEWDANKINSNLFTDGPLLGSKESLAYLDHLAASAKLAHSPLSLSPLSPKFMNRTKATGAQCRTMRDIEHHFLDSREKGGPDGIRMLSVLEETNILHDEYNVMTPKRSSCRRYQIWGPESTPTSPRVGYGRSLSLPVRRSLVGSFEESLLSGRLSYGKDNQIIDGFLAVLNITGGNFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRSSATNCRSPKLERSLSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCSYDLTDMPSGTKTFMRQKVTLSPTLSSSNPMKEGSNAGDINIGPKAESVSCGEVTERESSECSSDGSEEKDANAKRCSLDSNMMESNKHNSPVNKKNNTDSDDCCCQMDNLGGKNSCCASSRINDSSGGGVIRYALHLRFLCPSSKKSSKSMLRCKSDPSSVPYDSNAAKEDDRRFYLYNDLRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >ORGLA08G0227100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:933887:934468:-1 gene:ORGLA08G0227100 transcript:ORGLA08G0227100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1QLH0] MVELESQEAVTVASTADIAVDVSLRLLAAATSLAAAVVVAANHQQRWGIRVDFTLFQVWIGFVAVNLVCTVYAAATAAAARKAMGRWWLHHADAVVVNLEAAATAGAGAIGSIAMWGNEASGWYAVCRLYRRYCNAGAAALALSLAAVLLLGVACARSRYPKMPPTT >ORGLA08G0227000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:929302:933080:1 gene:ORGLA08G0227000 transcript:ORGLA08G0227000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGGGGGGRGQQQQWPCDYCGEAAAALHCRADAARLCVACDRHVHAANALSRKHVRAPLCAACAARPAAARVASASAPAFLCADCDTGCGGDDGAALRVPVEGFSGCPAAAELAASWGLDLPGGCGGEEEEADDAFFSALDYSMLAVDPVLRDLYVPCDPPEVVVAGGGGRRLKGEALGHQLAEMARREAETAHPHTQPHSDLSPRTPRRTSAAASGRLQEKQAPPPLPHAAATAAAAAAAPEAPLPYTSLLMMAPANCTELMENDRVGDEDENVLWESTAPSVPPTQIWDFNLGKSRDHNENSALEVGFGSNNGGFMIKSYNDMLKEISSGTTKDLEDIYDSRYCAAAEDIMSTNVCQLSSKNPSTGSNKRKASSCASTIDGPTTSTSHVPAASGALGGSSNDRGSALPKEISFCDQTVVPTGADQRPCTIKIDSETLAQNRDNAMQRYREKKKNRRYEKHIRYESRKLRADTRKRVKGRFVKSNGAHDVSNGG >ORGLA08G0226900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:906874:907618:-1 gene:ORGLA08G0226900 transcript:ORGLA08G0226900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQRVPPDEPYPPPGYPQSGPYPYPPPSGAVYPPQGYPSSHGVYPPPQGPYPPPHQPPPPGYQGYFNQGQQPYYPPPLPPPPPYDHCHHHCGDEGSGAGFLKG >ORGLA08G0226800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:885761:887390:1 gene:ORGLA08G0226800 transcript:ORGLA08G0226800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSPHHSLLLKATAAGAAIATTNDPNISSFFLYNHSHGSQAPQPANAAAAAIVEDASLESSVSAVLDTSPTVDRKRKAAEDSAHSKDSCKDGKSRRGKKASKEVEEKSTTEDEPPKGYIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASMSPVLYGFGMDSDGLHDQKIGGVFQEALSMPTPVLNQSSPAPSQAIMDTTSTTSYSLQSQHGAISFSQDNGSYLMQAVGEPRQQEMLNQLVFNNICSFQ >ORGLA08G0226700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:877365:877832:-1 gene:ORGLA08G0226700 transcript:ORGLA08G0226700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRRPRASLPRSLLPASSQERLPTAAAPPRPRRSSRARPPRSLLLLPAPAATPRPSRSRRGRSPSSPPPRPAGGATPRPRRRRGQIVLLPLRSAAGADFGPFSAAGIIDLDLSPRPSFFPTAAAVLHTAFSSAPLPALRRVLAGAAAVSCFSHSDQP >ORGLA08G0226600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:875815:876645:-1 gene:ORGLA08G0226600 transcript:ORGLA08G0226600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDSRNSLILFGLWELSVHLCKLITLTTIKVRVDGLNMEYCGGLVSNKQVDRLVPDSS >ORGLA08G0226500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:874930:875151:-1 gene:ORGLA08G0226500 transcript:ORGLA08G0226500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTLTKVPLSFSRILFHANGLCALPSRCPPATRVVIQPPSRRAGRRPPPLWPRAPSSTRRHAARGAVVQPPS >ORGLA08G0226400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:865011:865558:-1 gene:ORGLA08G0226400 transcript:ORGLA08G0226400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWRQSSTSGHALHRYDHAVSPPPLCRTLRHLRRRPSAGGGAAEAGAPTAYVHVGVVVEAPRAHVAAAARGDDAAAVGAGGEVQAVAGEVPDRVRAAAEAGVVEEEGQALGGGAAVGHVAGVDAAMAEPAHRPVDRALLAPEAVVGVSRVGVAASHG >ORGLA08G0226300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:863072:863492:-1 gene:ORGLA08G0226300 transcript:ORGLA08G0226300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGSGLAPVVGRSAGSISSVVRSSRLALSGARQVACAFQDLLGTSSCRSHHPSLPAPDPIIDGGVDFGGRAGLADELHGGSKQMATSTATWIQARA >ORGLA08G0226200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:848017:850202:-1 gene:ORGLA08G0226200 transcript:ORGLA08G0226200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGREKQQRQEAAADDVDVDVARNLRGMPWLVWLLFETPSGFAIFSFNSYIFEEENAIELWLKQFQKFEDKSAAINCTTGLGKELRGMLKIWCRRGEKLMVGSLEYKEIIEADQELKVMWGIKNLMHILVPEEQKMLTKEERLPINDDIVETACYLYHCDFLEKRHSEDLHLSDYHLLKISGLNSSEWDTMKLATALKKITRPGEELEHPPEMFSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA08G0226100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:835611:835777:1 gene:ORGLA08G0226100 transcript:ORGLA08G0226100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAASRNEEMGVIGHDQSTDATAEHGVNVSNTLVLGGSRIVTEFVAGQVITLLH >ORGLA08G0226000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:822623:830571:1 gene:ORGLA08G0226000 transcript:ORGLA08G0226000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAKLDDSPMLRTQIQSLEESAEILRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALRELGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDARKRFDKASLLYDQVRDKYLSLKKGTRADITTAIEDELHNARSSFEQARFNLVTSISHIEAKKRFEFLEAVSSTMDSHLRYFKQGYDLLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKKQIDREIRLSNGLTDAHNGDGIQTIARSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRADWKRRFFVLDSRGMLYYYRKQINRTPGGCSSHPRSIGNPTEHGSGLLSRLFSSHYHGIIHDEKSVARHTVNLLTSTIKMDAEQSDLRFCFRIISPTKVYTLQAESAIDQMDWIEKITGVIASLLSSQSPEQCFLLSPKSCGHDGSASESSSFTSQDLEPSMYDDLTLEKNTGNGQHDVRGTHYHKTNMKPEKPIDLLRKVDGNIICVDCGSMEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINFFQSLGNKFVNDVWEEVLPSSKNGESDDKLRAHGLDTAWHFTVSKPKHSDPFSAKEKFIHAKYADKEFVRKYSMDEIQLAQQMWDNVTANDKRAVYSLIVQSRANVNLVYGEMSYSSRSTTGRSSQQEHSASPSDGSPVFFDCHSHEKVSPREPLSPASTSSRVDDGDERCEGFSLLHLACHVADVGMVELLLQYGANVNAIDSRGRTPLHQCILKGRHVHAKLLLSRGANPQVADQDGRTALQCAIDGGTSDDDILVLLEDYTR >ORGLA08G0225900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:814718:818856:1 gene:ORGLA08G0225900 transcript:ORGLA08G0225900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin thioesterase [Source:UniProtKB/TrEMBL;Acc:I1QLF8] MGEAAAAAAAPEPVVEGGGGGGGEGLNPNPSGGGGGGGGGCSDSVSVELSMGGDYYHSCCGDPDPDLRAPEGPKLPYVGDKEPLSTLAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDKAEVERILKKIEQCKKTLADLGYIEFTFEDFFSIFIDQLESVLQGHESSIGAEELLERTRDQMVSDYVVMFFRFVTSGEIQRRAEFFEPFISGLTNSTVVQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDAGNISVNHHDFSPEANSSDGAAAAEKPYITLLYRPGHYDILYPK >ORGLA08G0225800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:806744:814160:-1 gene:ORGLA08G0225800 transcript:ORGLA08G0225800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASAAAWWARSRVRILLPVIFLAPALYFLLSPPSSPPFFFTLPTSREVSPSASGSRVIWAQRRVAEWRPCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDVRLCDGIAVARLLNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKDMPEEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDSAIEAARGEDRKALTAIKGTRVDRLREASDTGHHRGRHKRARSSPPRHDDEPPPPPPLSPVVTGGAHGGAGGAEWHSTVLAEDVESAVIVVALTHVISSTAAEVTTAVPPVTVAPQRAATATMFGQQVMQQPPRGFPPLPSSSGSAATAPEQQPPRRRYRGVRQRPWGKWAAEIRDPVKAARVWLGTFDTAEDAARAYDAAAVRFKGSKAKVNFPDEVAGASIAAVQLPRHHQHHPPTSLPPLPPPLPVPAHLRPHFSLAGGQSTTPAPTAAAVAAPPREEFPDLSSYAHILQSGDLEYDFHAAVSAGLTTTAGRSSSSSSLSMSPPSEDLDYKPPFGPSN >ORGLA08G0225700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:797629:801367:-1 gene:ORGLA08G0225700 transcript:ORGLA08G0225700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTTSEDALRRALAERQAAVDAQAEAVRALKAAGAAAAKAEVDASVEALKALKVEAGAAARRLQAAVGAGGGGAAREEMRQAVVNTLERKLFYIPSFKIYRGVAGLYDYGPPGCAVKANVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDHCKEKLEKDLTLSPEKAAELKHVLAVLDDLSADELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPTGLNVGYMRPETAQGIFVNFKDLYYYNGQKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFVDVADLEFLMFPRELQLSGESAKLVKLGEAVSKGTVNNETLGYFIGRVYLFLTRLGIDKNRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGVPLVAHEKFSKPREVEKLVIVPSKKDLGLAFKGNQKMVVEALEAMREKEAMDMKAALESKGETNFQVCTLGKDVVITKKMVSISMEKKLEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFRFPPIVAPIKCTVFPLVKNQEFDDAAKVIDKALTTAGISHIIDTTAISIGRRYARTDEIGVPFAVTVDSATSVTIRERDSKEQIRVGIDEVASVVKQLTDGQSTWADVSFKYPSHIGPQGDQE >ORGLA08G0225600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:795470:797005:-1 gene:ORGLA08G0225600 transcript:ORGLA08G0225600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTDWPEQAAGDESSAVVSDTDEHSEESSTDANADETATRVQRRLDEGADAEAEEMAASMQRRVDALPGKAHESEPFTIFRVAGPMRGRNRHLYEPQMVSVGPFHRGAARLRAMEEHKWRYLRDLLARNPGDAPLAAYARAARELEPAARRRYAEPVALPPREFAEMLLLDGCFIVEFFLKGEDRAADALVDAAWAMQNVYNDLFLLENQLPFFVVERFYDIATGGLGRDYLVTNLLAKYLTMDTPQDAATARPPDGEILHLLHLYYHWFLPPEDRTSDSGGAAAGKEEEEDEAFWDEWLAKPIHERVPWQMPPATELQDAGVTFRAKASPRSLVDVTFDRRGGVMEIPTAENYANRAMLANLVAYEQSRGRREMQRVASYALLMASLADGRRDAEALHRAGVLAGGDVDEAAAFYAHLCPPPEAVNNCYGEVYGGVREYCGRSWNRHRAVLAHDYFSNPWTSMSAAAAVLLLLLTVVQTVYTVLPYYHPT >ORGLA08G0225500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:790523:791978:-1 gene:ORGLA08G0225500 transcript:ORGLA08G0225500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSLLVLVLAAAAFAAGTVTAAGDGCSAGCDLALASFYVTPNQNVTNMADLFGIGAANYRSLAPYNPNIPNLDFINVGGRVNVYFTCGCRSLPGSPGATYLAGAFPFQMSRGQTYTTVAAKYNNLTTAEWLQATNSYPPNNIPDTAVINATVNCSCGDASISPDYGLFLTYPLRAEDTLASVAATYGLSSQLDVVRRYNPGMETATGSGIVYIPVKDPSGSYRPLKSPG >ORGLA08G0225400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:787879:790381:-1 gene:ORGLA08G0225400 transcript:ORGLA08G0225400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHEGKGASAGAIAGGVVAGVVVLAAIFLYIIFYRRRKAKQATLLQSSEDSTQLAGTISMDKVTPSTIVGPSPVAGITVDKSVEFSYDELSNATQGFSIGNKIGQGGFGAVYYAELRGEKAAIKKMDMQATHEFLAELKVLTHVHHLNLVRLIGYCIESSLFLVYEFIENGNLSQHLRGMGYEPLSWAARIQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNYRAKVADFGLTKLTEVGGTSMPTGTRVVGTFGYMPPEYARYGDVSPKVDVYAFGVVLYELISAKEAIVRSTESSSDSKGLVYLFEEALNSPDPKEGLRTLIDPKLGEDYPIDSILKLTQLAKVCTQEDPKLRPSMRSVVVALMTLSSTSEFWDMNNLYENQGLVNLMSGR >ORGLA08G0225300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:779529:781166:-1 gene:ORGLA08G0225300 transcript:ORGLA08G0225300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: vacuole; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Stress up-regulated Nod 19 (InterPro:IPR011692 /.../ 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G61820) TAIR;Acc:AT5G61820] MRRVTILVLLIALLSVIAPLPLEALNVRGHLLKSKTFLSPAFFLHPGSVSNKFYYDVPFPRGHLALKSFNAEVVDENGVPVPLHETYLHHWVVEPYYALKNSDSADAQKLPKGIIARNSGVCKKTLGQYYGLGSETRHTATWVPDPYGIEIGNPENPPEGYEEKWLINVHAIDTRGAIDKLGCTECKCDLYNMTIDEYGRKLPKDYIGGLYCCYDETQCKVKEGFNGELRKLFLRYTVTWLDWSDAVVPVKIYIFDVTDTSPPDEKSGTACKVEYQVEECSPENRAKNECIDVKVTKEVIPRGGDIVFGVAHQHSGGIGASLHGQDGRLLCASIPSYGNGQEAGNEDNYIVGMSTCYPKPGSVKVSDGEVLTVVSNYSSDRQHTGVMGLLYILVAEQEQPAPKPALCFSFPVPWCLPTWLTSNL >ORGLA08G0225200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:771741:777313:1 gene:ORGLA08G0225200 transcript:ORGLA08G0225200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAPPASSGSEVTGAGSGKVDSGGGAAMEERFADLCKSKLGLDESITRQAMQLFKESKSILLSSMSSLGSGSPEEIERFWSAFVLYCVSRLGKAGKGKEDGGISLCQILRAFSLNIVDFFKEMPQFCIKVGSVLAGLYGSDWEKRLELKELQANVVHLSLLSRYYKRAYQELFLLNDAKPPENSAEPNAQASDYYRFGWLLFLVLRIQTFSRFKDLVTSTNGLVSVLAVLIVHIPVRLRNFNIKESSSFAKKSDKGVNLIASLCEKYHTSEDELSKAIEKTNTLIVDILKKKPCPAASECQQDRLSFIDPEGLTYFKNLLEEGSLKLSLLMLEKEYENAINTKGELDERMFANDEDSLLGSGSLSGGAINLPGTKRKYDVMASPAKSITSPSPMSPPRFCASPTGNGYCSSKMAPITPVSTAMTTAKWLRSTISPLPSKPSGELLRFFSACDKDVTDDITRRAGIILGAIFTSSSFGERICTSVRSTNRIDAIWTEQRKMEALKLYYRVLESMCRAETQILSGNNLTSLLSNERFHRCMISCSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIESFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPTLSAEINRLGLLAEPMPSLDAIAAHHNISLEGLPPLPFQKQEHSPDKDEVRSPKRACTERRNVLVDNNSFRSPVKDTLKSKLPPLQSAFLSPTRPNPAAGGELCAETGIGVFLSKIAKLAAIRIRGLCERLQLSQQVLERVYSLVQQIISQQTALFFNRHIDQIILCSIYGVAKISQLALTFKEIIFGYRKQSQCKPQVFRSVYVHWASRSRNGKTGEDHVDIITFYNEVFIPTVKPLLVELGSGTSPNKKNEEKCAADAGPYPESPRLSRFPNLPDMSPKKVSAAHNVYVSPLRTSKMDTLLSPSSKSYYACVGESTHAFQSPSKDLKVINNRLNSGKKVSGRLNFDVVSDLVVARSLSDQNSASAAATTADIATKTPVKLEQPDC >ORGLA08G0225100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:768419:769899:1 gene:ORGLA08G0225100 transcript:ORGLA08G0225100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding HORMA family protein [Source:Projected from Arabidopsis thaliana (AT1G16590) TAIR;Acc:AT1G16590] MDRKNQTPQGQIAQVIVDFLEVAVSCVVFLKGFYPSRAFERRRYMNVVVQKAVHPQLAGYIHSATSGLLPFIQKGLVERVVVIFYDKAHVPVEKFVFKLTVNQSYCSKVEEANLEFALRAFLIKLTVAEPLTRPLPSDGSWEITAYFQSLPPDGEKEAQLWIPTDTKQWMQPPQITPIKSMSCDPVKMQLYLEQPSPREPRDPPTEP >ORGLA08G0225000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:765739:767481:1 gene:ORGLA08G0225000 transcript:ORGLA08G0225000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CAYLLGFSMGRHDISPLSEARTLKTNVSSLSQSHLSHHHLPILLSRATVSGLLLKLPLVHSVLRSLSLGPTPSLSISFLSLLRCFANMTLDNYSLNIAISAAARLPSVVVGSQFHALSLKLSLASDTFVLNALINMYSSCNYPASARLVLDSAPQGASDVVSWNTIIAGYIRGGMPNKALQSFHQMAKEQVRLDEVTLLNVLVACARTGAMKVGRLCHALVVLNGFEINCYIGSSLVSMYAKCGMVEEARRVFNRMPERNVVCWTSMIAGCTQSGRFKEAVDLFRDMQIAGVKADDATIATVVSSCGQMGALDLGRYLHAYCDGHGLGKELSVKNSLIDMYSKCGDVNKAYQIFRGLTKRDVFTWTVMIMGFAMNGLCVEALDLFAQMEGEDKVMPNEVIFLGVLTACSHGGLVEQGYHHFHRMSKVYNLVPRIEHYGCMVDLLGRAKLLAEAEQFIKDMPVTPDVVVWRSLLFACRASGQVRLAEYAAERIEQLEPKRCGGHVLLSNVYATTSRWVDVNNVRTGMDNSRTSKKPGCSFIEVDGCIHEFFAGDESHFETEAINNTLFGINELLVAESFLT >ORGLA08G0224900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:759643:762260:1 gene:ORGLA08G0224900 transcript:ORGLA08G0224900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QLE8] MEGRMQGKAPLSDSNRRIMDTDAPPRRVYQAWKGSNIFFLGGRLIFGPDVRSLLLTVCLIVIPVILFAAVISGQLAHEYHNQIGGWVASVAIIFTAYIIVLLLLTSGRDPGIIPRNAHPPEPEDVGESSNLSEWPGGQHGSTGLPLTKDVLVNGVLVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTLLCVYVFAFCWVDLRIIMDTHRCKLGRAIMKSPVSGILILYTFIAVWFVGGLTSFHLYLISTNQTTYENFRYRYDRKTNPHNRGLVQNFIEILCSRIPSSRNNFRAKVKEDSATFTSSLGMGRAISPPKMSVDLEMGMKRQTVAMEELEDLHSQIGSAMGLERCGTEPPHIVGRKGCSEIATDIEAFAEEFGLEQRFTERKKIEQRTSHNP >ORGLA08G0224800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:753259:753780:-1 gene:ORGLA08G0224800 transcript:ORGLA08G0224800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRKVAEFLRKAVVALRGKASVLRARLLFLASLRRRTAVVGAISHHLRALMPGNAPPPAAAAPDGGRLPAAEDDDEQMTLDDVAGLSELATLFQEVDDDDGARYPDWTHSMFDDDDDGEGGGEEAVSVMEVIRRRREGDGEEFDMEEEIDHAADMFIRRVRHRMAANRRSF >ORGLA08G0224700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:746911:751289:-1 gene:ORGLA08G0224700 transcript:ORGLA08G0224700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPPPPPEGGGGGGGGGGGASCSICLDPVVAGGRSVARLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHHPSPDIDIGGWVTGETYDITSDIPFGFQWCPFSGFTQLASVFEEGEAEQTSYHTVGDHSNAASSSLVCPYLALRGFLHPVHVPSSSSSGAESSSFHRHPTSLEGHAAHDLSNTQVFHATESRNHDNDHRYMSNLPVSGIPDHSVAPFGIGLPRYDSSSQQRTRPYAHHRPLVHRPTPRNGSNMVTPLGSVPAVMAETRGHGHGARGHMYQQSMHSLQSSPFPPTSRRARPRALTITSFIAASSSAEIGGHHGFAPPVNRSNSSDGEAVSRPVDRPYGWGQEGFTPFPWIPADGESHWWGTFNPMQNHTHGGFTRRPTGERMPQSHPDSGYHSMHPQRMPPFL >ORGLA08G0224600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:736941:745181:1 gene:ORGLA08G0224600 transcript:ORGLA08G0224600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVVSGALGYAKSAFAEEVALQLGIQRDHAFIRDELHMMQAFLMAAHDERDEHKVVKAWVQQVRDVAYDVEDCLQDLAVRVGKPSWWRKCSPSMLLERRSVAKKMKELRAKVEDVSQRSNRYRLINGSGSKASTDGVQSRIAGATTMSESEETRRQQDKAKVDLLQLINSSDDNLRVIAVWGTSGVNEDTSIIKRAYDDLRIGKKFECCAWMKLMSPLNQTEFLHNIIRQFYVNSLQQSAEAKQEAADLVDQIPHKMAKVDEVALVNKFKRYVNQKSCLIVVPTGVSTIEEWDMIKRCFPRENTRSRIVVCTEHVEVARMCVWRDSIPPEYKNLSETLYAFYQKGSQDGTLLVEPSSSSNITTTNGNNNLTANKKLGRIETMIATLEESQLIGRTKEKSDIIKLIKNQASQQSQVISIWGMGGLGKTALVQDIYRSQDVSNIFDKHACVTILRPFNSGQVIHSLAKQFTDENENGKERDLSKLLEGKRYLVVLDDIWDTKEWDDIVAHLPNKAGSCIIDTTREENIAKHCSKERSNAHIYKLSGLENDQALQLFNKKVFKGKTNLDEQYPDLVEQANLILKKCNGLPLAIVTIGGFLANQPKAALAWRKINEHISAELEMNPEIKTIRTVLLKSYDGLPYNLKSCFLYLSIFPEDHKVSRKRLMQRWTAEGYTTEARGKSPIEIAHDNFTGLISRSMTLPVAQESIKPERGINYCRLHDLMREISITKSMEENLVFRLENGYNSKTHGTTRHLSISSNWEGDKHEFESIVDMSRVRSLTVFGRWKPFFISEKMTMLRVLDLEDTKGLVNHHLEHIGNLLHLKYLSLRRCDDIWQLPSSLGDLKQLELLDIRSTKIFMLPKTFIKLQKLKYLHAGGINSVEQKSLATRCVEALKIEPKLCAACCVPRLLHIDGLNRRDACNYSCCLAPSGVMMGLLDLDDEPIPTLPRGSRKLKGLHTLRDVHLAWGNTVIQEIERLTQLCKLGVVGINKKNGPSFCSAISKLSQLESLSVCGDRNQDLRGCLDHGTSSSTSLPPENLQSLKLEGKLGELPQWIGKLHNLVKLRLLDTRLEDVDATIKVLEALPSLAILRLSWNSFNHDVVHLNFHREQQEATAVVLFPSLRVLQLRGIGDGLKSVQFGGGATPKLELLQFSHSPGPCGVGFMSGLKELKSLREFMLSDRQYRDDFVKDVEEQLANHPNPNKPLLKRFSVYN >ORGLA08G0224500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:731383:732678:1 gene:ORGLA08G0224500 transcript:ORGLA08G0224500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRQSIDINSHRRQPILLDTNVLITDAMNDDTVATITSSRGHAIKVSSWISQPPAISYLSFHCHYSSHPKYNNFDELDAIIVGAEGSFILLTALASPRGSERYDYLMYKLHGYGGYGYNGESSSSLEQVPLPHVYPLPVIEEFGIVPNGGKHFDLAALVVDYRSPMKCSYSMHIYSSKDTNWRVIPMVDPYPEVRKVIATKVITIAEGVLGWVDLDHGVMVCDLREDVPGLRYVPLPAPLPQNWYRLKEFLPGTFAKSFRDLVCVDGVMSFVEMEHRVIVTTEKPSDPSKMQVLYDTDLIVSYNLKDLDKKPQQLQRKDGWRIVSWSRPVSSNCWEKGCEVDAVDISGLSPNLAENLASPTLGVDDGDVVYMRSNASLGKGKIVAIDLRRKKVKAIGPYSFENHDPFSLKAFSTCLLPPDDSRKRPKYK >ORGLA08G0224400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:726915:730977:-1 gene:ORGLA08G0224400 transcript:ORGLA08G0224400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSSSSSEPTGNDEKKSSKPQGSSNDHQGFLPGGSPANTFDFASLHSLLNDPSVKEIADQIAKDPAFTQMAEQALEGEGEQGMPAIDPYIETMQKFMESPHFFTMAERLGDALVKDPAMSSLLENLTSPMHNAKIEERVSRMKEDPAVKSIMDELETGDPAALIKYWNDPETFRKISQAMGPLGGCGPDFAEPSGTEGTEEEGEYEDESIVHHTASVGDDEGLKKALDGGADKDEEDSEGRRALHFACGYGELKCAQVLLEAGAAVDALDKNKNTPLHYAAGYGMKECVDLLLKNGAAVTLENMDGKTAIDVAKLNNQDEVLKLLEKDAFL >ORGLA08G0224300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:714727:723326:-1 gene:ORGLA08G0224300 transcript:ORGLA08G0224300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSVGKSVLGGAVSYAQSAIAEEGALKLGVQRDQSFIRDELEMMQSFLLAADKEHNGHHHEVITTWVKQVRDVAYDVEDCLQDYAARLKKPPWWSLPCTVHRERRRIGNEMKELRAKVEDVSQRNMRYHGVYAAAPQSSSSVTAAELLQSTTTIDDFDKARRAAKQREKVDLSELITNDRQGGLRVIVVWETRSGPAGTVPVVRAAYEKLKGQFECHAWVRLMHSFDANEFMGSLVRQFKANSHEGTGKTPQGTPSGVSVLNEMEAQNYNLLHDFTGYVTNKKYLVVLNGLSTIEEWDWIKTYLPNNHNGSRVLVYTQQAEVASCCTDDPYKVSEIQHEGSFAKPLYVFYKEVVSQSVNSDLPESSSRPFLNRDSNTAAVKELTRSGTQLIGRGKEKDDVIKLLSDCNLNHQVISVWGMVGIGKTTLVKSIYQSSDLEKLKFERRAWVTIPHPLKETELLRSLAQCIDEDSPEKKGESKLRLPRNDLSKMELNMLRGKVSQDLEGKKYLIVLDDLLSLVEWDSIIRNLPINNNGSRIILTTRFQDVAQRCSKKEMYMYNIKGLTDEDARELFLTKVRMDGDESELKPDMMKEAEIIIKKCGRLPLAVATVGGFLSTRPRNIIEWREFGDHISEEFDNNPSLEKMKKILRSSYDGLTYHLKSCFLYMSIFPKDHDIRYRRLLRRWTAEGYSRATRNRSNEKVAEEQFKALLNKSMIQQSKTIASGKTGFCQVYNLMHEIIISKSEEENLVLVLDDHITPHSKDKVRHLIVSENWSREKNDMQNIVDVSHIRSLTVFGEWRSFFLSKKMRMLRVLDLEDAEGLRDSDLVPIGKLRHLKYLSLRDSIEIFNLPSSFGNLLNLETLDIRGTLVTKLPATIGRLQNLKYLHAGIPSGGENGARSYGQRIIILLRQFRSIQKETGTRFVVSFIMLLITAWLRNLDLFSVEVPRGIGRLRAIHTLSIVNIARGKALLKNLKKLTQLRKLGVSGINKNNCEELCSAIAGHGRLQSLLLRADGKAGLEGCLDDLSPPPEKLESLQLYGNLVTLPEWIKDLKNLQKLSLRNTNLKADATMEVLGSLPMLAILRLQDKAFENELRFGFKCLTGLTALELVNWWSLESVKFEGVATPKLKVLLVENCWDIHNGGFSGIETVSSLKEVSLLGYNYDQTYTEFKEQLQQQLNMIKPKPDLKIL >ORGLA08G0224200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:709976:714211:1 gene:ORGLA08G0224200 transcript:ORGLA08G0224200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLPAAEEDGGCVARWMRRDVLLGLALGQFVSLLITSTGFSSSELARRGINAPTSQSLLNYILLALIYGGILIYRRQPLTIKWYYYLILGIIDVEANYIVVKSYQYTSLTSVMLLDCWSIPCVIILTWIFLKTKYGLRKFIGVGVCVAGLILVVFSDVHASDRAKGPNPLKGDLLVIFGSMLYACSNVTEEYLVKKSNRIELMAMLGLFGAVISGIQISILERKELHSIKWTAGAVLPFIGFALAMFLFYSTVPTVLKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWIYFVAFAGTAAGLLIYSYKSSKEAEETAQVAGASDEHGKTGDEEAGMQNPA >ORGLA08G0224100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:703454:706997:-1 gene:ORGLA08G0224100 transcript:ORGLA08G0224100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43360) TAIR;Acc:AT2G43360] MMLLARAVLRSRLRCPPLSAAAASTAAFSSAPSPAAAEAERAVRDGPRNDWSRPEIQAVYDSPLLDLLFHGAQVHRSVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYSTGLKAQKLMNKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKDIRGMGMEVCCTLGMLEKQQAEELKKAGLTAYNHNLDTSREYYPNIISTRSYDDRLQTLEHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPAHPESVPINALVAVKGTPLQDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGDEAPAADTERCEETASM >ORGLA08G0224000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:698400:698624:1 gene:ORGLA08G0224000 transcript:ORGLA08G0224000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEGCMAKSICRRETTSPKQARPREGRRSLFRPIKPRGYWADDRPTAHQGSMNLNYTMYPCKCPLHKGNHVNSPV >ORGLA08G0223900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:689992:697585:-1 gene:ORGLA08G0223900 transcript:ORGLA08G0223900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTPTPRCPCPATRRCWMTRTITTTVMTWVRTMMGAAAGAGRGEIAMRRRRGEEEELVGGDYEGREAEGSDEVVSRREQRYIVLTEKDINERQEEDIGKVSAVLSIRREEACVLLHHYKCKLSDEWFADEEKVRDIVGLLLNGIDLPNSRKVLLVVIIFICHECWEGYISAAISDGPGCLSLRCPDPSCGAMVLQNMINKLAKDDDKVRYARFILRAYVEDSKKTKWCPAPDCTCAVEFVSDGNYDVSCNCKFSFCWNCTEEAHRPVNCETVSRWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGSWAEHGERTGGFYACNRYESAKKEGVYDETEARRERAKNSLERYMHYYERWASNQTSRQKAQADLQKAEKEQLAKLTDVFGIPETQLKFIIEAWSQIIECRRVLKWTYAYGYYLDDKVKSEFFEYLQGEAESGLERLHQCAEKDLQSFLTVRSDNTEPAPSIAEFGDFRVKLAGLTSVTRNYFENLVQALEAGLEDVHSTAQGTTSSNATNIPSKKAVTKGKTKKQLPRTSSDNSDEGWPCERCTFINPSSVDACSVCDKHRY >ORGLA08G0223800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:685737:689153:1 gene:ORGLA08G0223800 transcript:ORGLA08G0223800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAYASSRKLRGRTSKISLVIPDPVPDAEAASPRKDGVDGDGDDVRGGGGGCDDGGDVVAIATTTADEFARRYVLGKELGRGEFGVTRRCSDAATGEALACKTIRKHRRLAPPRVTAAKAAAAHGEDVKREVAIMRRMSSASSSRGGGAASSAAVVRLREACEDAADGSVHLVMELCEGGELFDRIVARGHYSERAAANIFRTIVDVVQLCHSNGVIHRDLKPENFLFANKSEDSPLKVIDFGLSVFFKPGDRFTEVVGSAYYMAPEVLRRSYGPEVDVWSAGVILYILLCGVPPFWGDNDEKIAQAILRGAIDFNREPLPRVSANAKDLVRRMLDPNPSTRLTAKQVLEHPWLKNADTAPNVSLGDAVRARLQQFSAMNKFKKKALGVVARNLPVEEVDKYVQMFHHMDKDKNGHLSLDELLEGLHINGQPVPEPEIRMLLEAADTDGNGTLDCDEFVTVSVHLKKMSNDEYLAAAFNYFDKDGSGFIELDELREEVGPNEQAILEILRDVDTDKDGRISYQEFELMMKSGADWRNASRHFSRANFSTLSRRLCKDTHTP >ORGLA08G0223700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:679131:682678:-1 gene:ORGLA08G0223700 transcript:ORGLA08G0223700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51130) TAIR;Acc:AT5G51130] MATTTAPEDAPSAAKGAKGEAKRKQSNWRGGGGGGEGGQGQKRKRKEVFVYGNYRNYYGYRIDRNVDEDPRLKIFKREWFESKDCLDIGCNQGLVTIGLAAKFKCQSILGVDIDSGLIETANWNLRRISRQDKVAVENTKAHNSSGSLSESCPEKVAPEISNGDISNGNHHDIFRVVSFRRENFVDSMCTSSEQYDTIVCLSVTKWIHLNWGDDGIITLFVKIWRLLRPGGVFIMEPQPWTSYRRNRLVSEVAKENFNTILIHPDKFREILLDKIGFRSVEVVTDKLVGAVTGFDRPIEVYHK >ORGLA08G0223600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:677068:677319:1 gene:ORGLA08G0223600 transcript:ORGLA08G0223600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IMLVCMQEQEVCVREVAGTVSELVADDIFLFLGRVMKSWRRFGFVAWLGKRIERCLNLYLPWGFWDLVAFQTAIHQWLFGMSF >ORGLA08G0223500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:676693:677043:-1 gene:ORGLA08G0223500 transcript:ORGLA08G0223500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCISVVECQRVHRSALDYFLAVLIVVVVVVAARLLICAVARCLVLNVAGAAAHHHHHHPHSPTTTDDDIEMWGAGGTTGPPPPPAIYRHAQPASQLQEMEAAEPPRAGRAVTVAE >ORGLA08G0223400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:675855:676340:1 gene:ORGLA08G0223400 transcript:ORGLA08G0223400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYAPRLTRWRVATSGGGVVRDCVEYDGKPLFFRREDCRRLVPDDEEDARECLEIAGEVFPLMEERMVPAALHGGGGVREAARCVEYVDDDRAVLLLTVTPTTEGKEKEVVVVDGGGYYDPDSGTVEHVVDVEGAREAYVLLVSVREALNRIVRIKRLN >ORGLA08G0223300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:671826:672035:1 gene:ORGLA08G0223300 transcript:ORGLA08G0223300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGQRSMGLLTVREATMGTNKNAMGSFVLHNDMWSLRSGTNPGAMRWSMKRKTDEEKKKGDSGVVLA >ORGLA08G0223200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:656623:658926:-1 gene:ORGLA08G0223200 transcript:ORGLA08G0223200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1QLD1] MAATASSSSSSAAASPLLLPSARRPFPSSKPWLPDGRAFRGSRALSSAARRCCCCCCRCEAAGEAPQRGVDDGGVVCTSTTRSRRSVLGVAVGVSSALALGLAAFDDALAAGLPPEEKPKLCDAACEKDLENVPMVTTESGLQYKDIKVGEGPSPPIGFQVAANYVAMVPNGQIFDSSLEKGQPYIFRVGSGQVIKGLDEGILSMKVGGLRRLYIPGPLAFPKGLTSAPGRPRVAPSSPVMFDVNLLYIPGLDDE >ORGLA08G0223100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:650858:655329:1 gene:ORGLA08G0223100 transcript:ORGLA08G0223100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLLKLLQHMNSDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDTIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGSPEDLVVTNSTFLGSKKAQQSINGSKDASTLSLEKEQSKLEKLKPSVKNNGAEIKKPQLTKSNSSLSKQALNSIIDKKEVVSSKTKPTSARSTPSSPTSVYSLPASFERFSNDIKQRTKSKGADKSSPSRLSLLEKAASVLKATTAGRKSSAGNLLSNTMSSIESGPKALRRSWEGNAEAKSKGNSDSKAAKTEKKSENRSTSTPRRKPLVEEKPLHKDDSKIQTPPRKSSASAPSDDSEKIVNKPSSPLRRTSGVSSNTNITNLVKIASNSKKLTDASASWTSLPPSLAKLGKELLKYRDAAQMAAVEAMQEASAAENLLRCLSSYAEVSSTAEEQNPQPAVEQFLALHAAMSRATVVADSLTKATATSTAISPDRSTPSEAAAVVEESLAVAVERRRRAASWVGAGLATDLSAFSLYNLKPPPAIAASPLAVVLVDESARPAAATKASPPAKSRLFPPKVKGRVGPVAAAVAAAPVPPPEWERGGGAEERGELARRLGEEARGWFLAFVERFLDADVAAAAAPWDRDRAARMLPQLKRVNDWLSEIAKPTEPPPPQSDADGEEAGGGAPAVANGGGNTVPEETIERLRKKIYEYLLTNVDSAASVLGGAGAGEVALPAANGKRV >ORGLA08G0223000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:642357:642887:-1 gene:ORGLA08G0223000 transcript:ORGLA08G0223000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYAPQLTRWRVATSGGVVRDCVEYDGKPLFFSREDCRRRLVPDDDEEDARECLEIAGEVFPLMEERTVPAALHDGGGVREAVRCVEYVDDDGAVLLLTVTTEKEVAVVDGGEVRVVDGGGGYYDPDSGTVEHVVDVEVEGAREAYVLLVSVREELNRIVRIKRLNXSFLRRNF >ORGLA08G0222900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:639008:639550:-1 gene:ORGLA08G0222900 transcript:ORGLA08G0222900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAAVTVLLAVVVLVSVAASLPSAVVGDARFVARTCKRTNHTECVKMLSADRRSARATTVHQLAGIAVDIAAATVKSSAAAVYGKFLENHGQVLELTLLECWWMYDLAAGEAQAAVDAYSSGGAYLDVVRHQLAGYYAGIMCGNMIVRRSKVSPVADIDRTTATHCNIAVDLIGLLY >ORGLA08G0222800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:631189:634482:1 gene:ORGLA08G0222800 transcript:ORGLA08G0222800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 2 [Source:UniProtKB/TrEMBL;Acc:I1QLC7] MVRGSVDVATKEMEALCIGQNQETKEEVSFETQEASLKASKVADSKGAPYSPPENDDDAEVDYPSQDGAQGTVIAAKKKKKKSKAKKKKGPLQQTDPPSIHVDELFPSGEFPEGEIQHYKDDNLWRTTSEEKRELERLQKPMYNAVRRAAEVHRQVRKYMRSILKPGMLMIDLCETLENMVRKLIKENGLEAGIAFPTGCSLNCVAAHWTPNGGDKTVLQYDDVMKLDFGTHINGYIVDSAFTVAFNPMFDPLLQASRDATNAGVKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSVRNLNGHGIGPYQIHFGKSVPVVKGGEQKKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDVGHVPLRAAKAKQLLVTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDAGIVEPCPPMCDVRGSYVSQSEHTILLRPTCKEVISRGDDY >ORGLA08G0222700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:629016:630508:-1 gene:ORGLA08G0222700 transcript:ORGLA08G0222700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30/L7 family protein [Source:Projected from Arabidopsis thaliana (AT1G80750) TAIR;Acc:AT1G80750] MMAEEGTQQLPYVRETVLKKRKVNEDWAVKNRERKAAKRQRRRDDGKGAIKRPEDFVREFRNKELDFVRMKTRLKVRKLPPAETLNSKLVFAIRIPGTMDLHPHMRRILRKLRLTQVLTGVFLKATDATMKRLLVVEPFITYGFPNLKNVKDLIYKKGRGFLDKEPFPLTSNDLIEKALGEYGIICLEDLVHEIASVGPHFREASNFLMPFKLKCPERRLQMKKKPFKDGGDSGNREDKINELIEKLN >ORGLA08G0222600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:625967:627860:-1 gene:ORGLA08G0222600 transcript:ORGLA08G0222600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLKAVAYEADDVLDDFEYEALRREVKIGDSTTRKVLGYFTPHSPLLFRVTMSRKLGDVLKKINDLVEEMNKFSLMEHAEAPQLPYRLTHSGLDESADIFGREHDKEVLVKLMLDQHDQQNFQVLPIVGMGGLGKTTLAKMVYNDPIVQKHFQLKMWHCVSENFEPISIVKSIIELATNRKCDLPDSIELLRRRLEGVIDRKRFLLVLDDVWNEDDNKWNEHLRPLLNSVGGLGSIIVITTRNRRVASIMETLQPYKPACLSEDESWELFSKRAFGRDVQEQEDLVTIGKCIVHKCKGLPLALKTMGGLMSSKHQVKEWEAIARSNIGDSVKGKDEILSILKLSYKHLPSEMKQCFTFCAIFCKDYEMEKDMLIQLWIANGFIQEEGTIELSQKGEFVFSELVWRSFLQDVKTILFRSLDYDFVVCKMHDLMHDLAKDVSSECATIEELIQQKAPSEDVWHVQISEGELKQISGSFKGELRSSNS >ORGLA08G0222500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:622270:622476:-1 gene:ORGLA08G0222500 transcript:ORGLA08G0222500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYVWKMSMKSQQSVHTSDKLQTSSCPSSSSVKKEVTDGEETLQGWWIGGDLGDREDKINELIDKLN >ORGLA08G0222400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:619504:621677:-1 gene:ORGLA08G0222400 transcript:ORGLA08G0222400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G10460) TAIR;Acc:AT5G10460] MASAASAPAFERLAGIRALAESGRFKAWFLDQFGVLHDGKKPYPGAVLALEKLAEKGAKMVIISNSSRRSSVTMEKLESLGFDPSCFLGAITSGELTHQYLQKRDDPWFAALGRKCVHLTWGNRGAISLEGLGLQVVSNVEEAEFILAHGTEALGLPSGDPLPKSLEELEQVLMLCLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYESLGGEVKWMGKPDKVIYTSAMSLAGVNPHECITVGDSLHHDIKGANASRVASAFITGGIHATELGLNEIGEIAGEDTIDSLCRKHGSYPTYVLPSFTW >ORGLA08G0222300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:604293:607128:-1 gene:ORGLA08G0222300 transcript:ORGLA08G0222300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTSFRLGALEKLKSFRGMEKQKSFKMMSMDNFRRHRDSPGKRGDTPLHLAARSGNAAGAQRIIAEFDPEVAAERAAQANHDGETPLYVAAERGHTDVVREILKVSDVQTAGVKANNSFDAFHIAAKQGHLEVLKELLQAFPALAMTTNSVNATALDTAAILGHTEIVNLLLESDANLARIARNNGKTVLHSAARLGHVEIVRSLLSRDPGIGLRTDKKGQTALHMASKGQNAEIVIELLKPDISVIHLEDNKGNRPLHVATRKANIVIVQTLLSVEGIEVNAVNRSGHTALAIAEQLNNEELVNILREAGGVTAKEQVHPPNPAKQLKQTVSDIRHDVQSQIKQTKQTKMQVQKIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDITQAPPGMSLGQAYVASNPAFLVFLVFDALALFISLAVVVVQTSLIVVEQKAKRRMVFVMNKLMWLACLFISVAFIALTYVVVGRDDWWLAWCTMAIGAVIMLTTLGSMCYCIIAHRMDERKIRKASTSQSRSWSQTVDSDPDLLNSEYKKMYAL >ORGLA08G0222200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:603703:604064:1 gene:ORGLA08G0222200 transcript:ORGLA08G0222200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRCFCSLNEPECLIVSAHLQMANFVLSGMVVDSSEPSTVYDNVEIRMVKERKYGVFSSSSSNNMQQTCPSNNKTILAGVHSLTRDHSSR >ORGLA08G0222100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:595600:600670:-1 gene:ORGLA08G0222100 transcript:ORGLA08G0222100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAPDSTTSSSSASSASAPAPSAARLNAAAPEFTPRSAAHHHHHHANPRRQHRGGGGGAYHHHQQHYQPHHHHQHHQHWQHYGEDEGDAVAAGAVGEGGIPEDVARRVVKQVEFYFSDVNLATTEHLMKFMIRDPEGFVPMSVVASFRKIRELVSERSALAAVLRTSAELVVSDDGKRVRRRVLFTEADAEEVQSRIVVAENLREEHRYPNLMKIFSAFGSVKSIRTCYPQGGIDGAGTSTGKASKIEMLFANKVHAFVEYETVEDAEKAVSEFSSGRSWRDGIRVRSLLGCLKQAMGQGRRGGDEVDAADEDDPETTDHPQDYETEDASQISEAHLDHQADDGYHDKGGMRHGRGRGRGGRGRGRGQYYGHSRDANHPIGTPPSNHSALADHPSKPPPGPRMPDGTRGFTMGRGKPLNPTNAV >ORGLA08G0222000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:593613:595077:1 gene:ORGLA08G0222000 transcript:ORGLA08G0222000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCILFFFRAVNQSLWFLKMNSIRRFSGMRKPNAPSAIHKDKDESVVFFREMYKREKDRDINLLEPMQSVEFDAIQACFRLKTPPATPLFPSIEMETNSSQMVFQKELPIHQQVKPSASRLSGKTEATKTSARSMFPAPNSSSEKSIFRVSTQSISNEKNQIERRSTSAAITSRKQKVVAAITPTAPTATCNATKKHSDRCYASQGSSTNGLKRVTNPELPYCAPKNLITTPLTAKAWRRDLAFGVHDTVEIGRIRRQSCLPVTVMDGKQKGLPDKVKAVTVSNNRGRAGDATLIKGMRRTDGKKEQRPKHGNQAK >ORGLA08G0221900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:585063:588695:1 gene:ORGLA08G0221900 transcript:ORGLA08G0221900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLILPMVRGVAAKAADALVQSVTGACGAVDDDRRKLQRQLLAVQRALADAEAKSETNLAVKRWMKDLNAAAYDADDVLDDFHYEVLRRDAEVGAGKVLGYFTPHNPLLFRVIMSKKLSNVLEKMNXLVEQMNELGLSVDRTESPQELKPPYLQMHSAALDESSDIVGRDDDKEVVVKLLLDQRYEQRLQVLPVIGIGGSGKTTLAKMVYNDTRVRDHFQLKMWHCVSENFEAVPLLKSIVELATNRRCQVPDKDTIELLRRQLEGAIGSRRFLLVLDDVWNEDENKWQDELRPLLCSAAGGHGSVVVVTTRSQQVASIMGTMRSHELACLNDDDSWELFSKKAFSEEVRETAELVTIGRLIVKKCRGLPLALNAMGGLMSSKQQLNEWKAIADSARDKDEILSMLKLSYRHLPSEMKQCFAFCSIFPRNHEMDKEVLIQLWMANGFIQEDGIMDLEQKGEYTFQYLVWRSFLQDVKAKKTLDHLAELQPSTILQKEIMDKALPYESIGCKMHDLMHDLAKDVADECVTSEHVLQHDASVRNVRHMNISSTFGMQETMEMLQVTSSLRTWIVPSPLCRDLKDLSLASLRALVIEKGIFHYHSVMSNHVITYSKHLRYLDLSMSQIVMLPSSICVMYNLQTLRLNGCSFLKYLPESMGKMRKLLHLYLLGCDSLVRMPPNFGLLNNLRTLTTFVLDTKAGCGIDELKNLRHIANRLELYNLRKINCRNNGIEANLHQKENLSELLLHWGRDKIYTPENNAYNEEEVLESLTPHGKLKILELHGYSGLKIPQWMRDPQMLQCLTTLRISNCLGCKDLSTLWLSVSLEHLQLSRMDNLTTMCKNVGVGAEGYTIPQQVFPKLKSLKLELLFSLEKWAENTAGEAKNLVTFPELEMLQIIRCSKLASVPDCPVLKELDRFGSYMLAMNELTHLTSLSKLNYVANSLCDCVSMPLGSWPSLVELVLRSSTHIPTTLQVEANQGQLEYLRSLSLVNCFTAASGSSEMRLGLWKCFAFVEVLHIHMCLSLVCWPTEELTSLIHLRHLYIEHCHRLEGKGSSSEEKFMSLSHLERLHIQNCYNLLEIPMLPASLQDLRLESCRRLVALPSNLGNLAMLRHLYLMNCYVLKDLPDGMDGLVSLKILEIQACAEIEEFPQGLLQRLPTLKELSIQGCPGLETRCREGGEYFDLVSSVQRICIPAAAKTEMEEESRSGI >ORGLA08G0221800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:579425:582976:1 gene:ORGLA08G0221800 transcript:ORGLA08G0221800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGVVGKAAGALVQSVTRMCGFDGDRHKLERQLLAVQCKLSDAEAKSETSPAVKRWMKDLKAVTYEADDVLDDFHYEALRRDAQIGDSTTDKVLGYFTPHSPLLFRVAMSKKLNSVLKKINELVEEMNKFGLVERADQATVHVIHPQTHSGLDSLMEIVGRDDDKEMVVNLLLEQRSRRMVEVLPIVGMGGLGKTTLAKMVYNDTRVQPHFELPMWLCVSDDFNVVSLVRSIIELATRENCTLPDRIELLRSRLHEVVGRKRYLLVLDDVWNEEEHKWEEMRPLLHSAGAPGSVVLVTTRSQRVASIMGTVPAHTLSYLNHDDSWELFRKKAFSKEEEEQQPEFAEIGYRIVKKCKGLPLALKTMGGLMSSKKRIQEWEAIAGSKSWEDVGTTNEILSILKLSYRHLPLEMKQCFAFCAIFPKDYQMERDKLVQLWIANNFIQEEGMMDLEERGQFVFNELAWRSFFQDVKVESFHVGIKQTYKSITCYMHDLMHDLAKSVTEECVDAQDLNQQKASMKDVRHLMSSAKLQENSELFKHVGPLHTLLSPYWSKSSPLPRNIKRLNLTSLRALHNDKLNVSPKALASITHLRYLDISHSSELENLPDSVCMLYSLQTLRLNGCEKLQHLPEGVRFMSKLRHLYLVGCHSLKGMPPRIGQLKNLRTLTTFVVDTKDGCGLEELKDLHHLGGRLELFNLKAIQSGSNAREANLHIQENVTELLLHWCHDIFEHSGRDFDIDVVNNKKEILEFSLPPSRLETLRVWGSGHIEMSSWMKKPQIFLCLKELHMSECWRCKDLPPLWQSVSLESLSLSCLDNLTTLSSGIDMAVPGCNGCLEIFPKLKKINLHYLPNLEKWMDNEVTSVMFPELKELKIYNCPKLVNIPKAPILRELDIFQCRIALNSLSHLTSLTQLNYVGDCGVSMDLVIPIRSWPSLVTLELTSLWNLLLPEEQQTTMPPLESIRKLSIRYSSCFFSPNSSNWPFGFWDCFAFVEELSIASCDDLVHWPVKELRSLNSLRYVKINDCKNLTSSSSEEFLFPSGLETLYIGFCSNLLEIPKLPASLETLCINGCTSLVSLPLNLARLEKLRELRLFYCSSLRNLPDVMDGLTGLQELCVRQCPGVETLPQSLLQRLPNLRKLMTLGSHKLDKRCRRGGEYWEYVSNIPCLNIDFIEERQN >ORGLA08G0221700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:566647:568047:-1 gene:ORGLA08G0221700 transcript:ORGLA08G0221700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKALKSEIEGVEEIESCFIAPSEDTPRQGLWLSPLDIVLANRGHTPNVYFYRRDVVAASTNTDFFEVGRIKEAMAKALVAFYPLAGRLHLPLDAETCVTFPVNIRRRVTPPLPDRYFGNALVIMKVASMVRDVVLGTLAASAAQIKSTLGRLDGEMLQSVIDYNEIAGMSNKPAKGNLPDTELRMIGWLGMPVYDVDFGWGKPEVMSRAESVRSGFVYMMDGTDNDGGGVRVLMCMEARKMEEFERLFYAKFVQ >ORGLA08G0221600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:563052:564348:-1 gene:ORGLA08G0221600 transcript:ORGLA08G0221600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SWSAEAIPHVYFYQRDTATAAADFFEVGRLKEAMAKALVAFYPLASRLSVDGDGRPEIDCNTEGALFVVAQSKLTVDAFSDLKPSPELRRLFAPRIEPASIMLGVQVTFLSCGGVALGTVLHHVAIDALNAFHFFQTWSSSCRDGEAAMLELPCHERTLLRTRSPPIVHPDVHSMFSLKLNFCEPSDPISTKIFVISKNQLDALKQICGGLSTFCAMSALVWQCMCIARQLPLDAETRVIFPVNIRRRVKPPLPDRYFGNALVDLKVASTVRDIVLGTLDVTAAQIKNALGRLDDEMLQSAIDYNEMAGMPNKHTKGNLPDTELRMVSWLGMPVYDADFGWGKLEMMSRAESVRGGFVYMMDGIDNDGGGVRVLMCMEARKMEEFERLFYTKFAQ >ORGLA08G0221500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:557858:561314:1 gene:ORGLA08G0221500 transcript:ORGLA08G0221500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALPFIFINSTVLCSXERLRLXLXXGCATQHGGIATSPGGAWGGRQGGRRARPERHSHVRHRQRPPQAGAPASGRPVQAGRCEAKSETNPAIKRWMKDLKAIAYEADDVLDDFHYEALRREAQIGDSTTRKVLGYFTPQSPLLFRVTMSRKLGDVLKKINELVEEMNKFGLMEHVEVPQLPYRLTHSGLDESADIFGREHDKEVLVKLMLDXHDQQNLQVLPIVGMGGLGKTTFAKLIYNDPSVQEHFQLKMWHCVSENFEVGSLLKSIIELATKRRCQLINTIELLRRQLEEAIGRRRFLLVLDDVWNDEENKWADDLKPLLNSVGGAGSVIVVTTRSQRVASIMGTLEPYELRCLNEDDSWEVFSKRAFGKQVQEQAELVSIGTRIVKKCRGVPLALKTMGGLMSSKQSVSEWEVIAESNIGARVQGKNDVMDILKLSYRHLSPEMKQCFAFCAIFPQDYEMVKDELIQLWMANGFIQEEETMDLTHKGEMIFHDLVWRSFLQDVKEEFIIGYHRDSIVCKMHDLMHDLAKDVTDECASTTKELDQLKGSIKDVRHLRIPEEMEETMTELFKGTSSLHTLIDRSWRSTLWNVSVEFNLASVRALRCSVINSAITNAKHIRFLDLSEISIVRLPDSICMLYNLQSLRLNSCDELEYLPKGMRTMRKLIHIYLYWCDSLRRMPPNIGLLNNLRTLTTYVVDTEAGCGIEELKDSQHLTNRLELYNLHKVKSEEKAKQANMYQKKNLLLFFWGRQKRYMPNDNAYNEERVLESLAPYCSNLKVLELHGYGGVEIPEWMRDPHTFQRLSKLNISNCPRCKDLPPVWLLVSLEELSLSCMDNLTTLCTNDDVEAEGCGTSLQIFPKLKKMFLRNLPNLERWAVNISGDPSSFITLPQLEILRISDCPKLAGIPDCPVLRDLNIDRCSNIAVSSLAHVTSLSYLSYDAEGFDSMTMPLGSWSSLMRLKVRSLANMVISLEDQQNQGESNLVNLRRLNLHGPKCFTTVSGFSELHHGIWVHFAFVEHLVIGDCHDIVHWPMEELRCLIRLRSLHIFKFTNLGINFSLSEEILYLSCLEELNITSCSGIVEIPKLPASLEELFIQSCQNLVVPLPPNLGNLASLRNFIVIKCESLKLLPDGMDGLTSMRKLHLDGCPGI >ORGLA08G0221400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:551065:556363:1 gene:ORGLA08G0221400 transcript:ORGLA08G0221400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAARKEWRAVPDAPLRSNGADDPGEHGKMGQSEDRAMYEDGGGRLDDYCSITIDGSGGLSEDIIQQRLQSVVHQREELQRLEMELRAQVIAHPQIIEAQRSFEAAAKEHVTAAAKLKEQLHEREKYILELEMKLDDKDRELDALKIDHQTVWANQDLLREQTKELATFRRERDNSEAERAQHLKQIHDLQEHLREKESQMLALEEQHRAAQDNIIYKDEQLREAHAWMARVQEMDTLQSQTMQAELRDRTEQFNQYWISFQQQYVEMQRGFLHTIQQLQLELNELRDRTGAPKDGSQTAQESSAESTLGQNKGNNMAANGSGTTDSSQSVKSNGVPDGSTKGNSNASPVPVVPSSLLGIGGFVPSAQIAGMHSYMMHPQGVPPSLASPNSAVPQFGSFQSQSTIQPNMQWPNQQEAQNASQTPDETNYHTSPPDQKALQQAAGNNDELSLKQNQATHAEHLTATAHGKQQQQQRFPSVVSESTHEQKLQVVESNVTEHLVYNEQQKAQDSSSIVSPIRKFEHQEQTNEFKDEKVASGNQSEEQVARHQHKASDFDASTTQIHLKGGAAEFTANVGNQTDMHTSAGGGLGSLLPRIPKEPSLLDERSLLACIVRAVPAGPDGQIKISTTLPNRLGKMLAPLHWHDYKKHYGKLDDFVASHPELFVIEGDFIHLREGAQQIISATTAAAKIAAVASSAPYSLLPSVAVTPVAQSTRQKRGPVVDSRSSNVMPSRNGSTTASFGDQFDKGGHIPKPNDSVGYNIVQGIGDVTIASKVKDIQENGFSDEVRPGQSSMHAVSANGVRQERSGLPAGNTRHGYGGKQQGRSTGPAYISRR >ORGLA08G0221300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:543793:544584:1 gene:ORGLA08G0221300 transcript:ORGLA08G0221300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVLSPSSFSATACFPTLDFEFCEVPNQWLLGLGHDELDKDAAASALAAAAASQSASNDDVPRNPPATTTTTKRRGRKPGPRSGGGGAPPIGHVEAERQRREKLNRRFCELRAAVPTVSRMDKASLLADAVDYIAELRRRVERLEAEARRAPLAPSAAAAAAWAAGLGAGAIGRDDLVVRMVGRDAAILRLTTAAAAARHAPARMMCAVRALNLAVQHASVARVGGATVQDVMVDDVPAALQDEARLRAALLHTLQLADTT >ORGLA08G0221200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:538111:538607:1 gene:ORGLA08G0221200 transcript:ORGLA08G0221200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGVKGFYRQKKKGGVAKKPISRKKLPPQNCSESQDCGDHDHGDEVEEQLQQFDMDMTYGPCIGMTRLRRWERAAAMGLRPPPRLRDLLLPPPAPHPLPSSSSSPAKILTGSSGGGGSTSVQGECLWEGKVS >ORGLA08G0221100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:528006:531459:-1 gene:ORGLA08G0221100 transcript:ORGLA08G0221100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPGAADPGARPHHVSPGQPPVVPRSPTPLDLSSAAAAAAAASYRRLSPSLRPPAHPQARLPSPYPQIPSSSSSAAAAAAAAGSSGHHARSLSQPLFFSLDSLPPLPYADLAAPPAIPPSPPSSSSDPPPPGLPPRKGGHRRSQSDIPFGFSHLSPPLPPPAPVKREAATAAEGCRSDGDDFALYDLVNSYMDLDGMEALNSSEERHEDRDSRASGTRAGSVADSSENEAESHSTPVERKDGGGKSRHCRSLSVDSFIEKLNFDESPKLPLPSPSGGLSRSGSGSLDGGAASLFGSEFANGEFTEAEKKKIMANERLAEIALTDPKRVKRILANRQSAARSKERKMRYIQELEHKVQVLQTEATTLSAQLTMLQRDSTGLATQNNELKIRLQAMEQQAQLRDALNEALTAEVQRLKLATGEITDGRMSKGLQQQMNSQLIQLQQLQIQQQQSSQTTQQGQQQQPQKSA >ORGLA08G0221000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:515281:515529:-1 gene:ORGLA08G0221000 transcript:ORGLA08G0221000.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKGLDLAADGSAQLRLLQQDRDNDDYYSKGYNRAWVRRICFHRGRERWIHHRWAQECQIRCHRAREGRIHHPWAQDVGSDGG >ORGLA08G0220900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:490567:499777:-1 gene:ORGLA08G0220900 transcript:ORGLA08G0220900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVELTGDGGTTAETRWLSPPLTHDDNRGFLQMLREKKERLGVGAAKVEVRLEKLTVEADVRVGRRAVPTLLNCAINAAQELAACAHMCTTRKKPMKIINEATGTIRPSRMTLLLGAPGSGKTTLLKALAGKLDSSLKMKGKVTYNGEEVNSSTPQYLHAYVSQYDLHHAEMTATTFGEGSNLTTNYIIKILGLSECADTLVGDEMRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDSSTTFEIMKFLQQMAHLMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGPRENATDFFETMGFKCPSRKNVADFLQEVTSKMDQKQYWIGNANKYQYHSIEKFAESFRTSYLPRLVENDHFESTNAGKSKEVKTSTSRMISSWNIFKACFSREVLLLKRNSPVHIFKTIQITVLALVISTLFLRTNMRHDTVLDANKYMGALFMAVVIVNFNGMTEIAMTIKRLPIFYKQREILALPGWALLSSVFLLSLPISFVETGLWTGLTYYVIGYAPSFVRFIQHFVVLFAMHQMSMSLYRFLAAIGRTQVMANMLGTAALIAIYILGGFVISKDNLQPWLRWGYWTSPFTYAQNAVALNEFLDDRWATEFHFANANTVGETILKVRGLLTEWHWYWICVSILFGFSLVFNILSIFALQYMRSPHKHQVNINATKVKVDYNSQIVGNGTASTDQVILPFQPLSLVFDHINYFVDMPKEMTKYGVTDKKLQLLQDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTVKIAGYPKKQETFSRISGYCEQSDIHSPNLTVYESLQFSAWLRLPSNVKSHQRNMFIDEVMDLVELTGLKNAMVGLAGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSSNMIKYFEAIPGVPRIKEGQNPAAWMLDISSRTAEYEIGVDYAEIYQRSSLYWENRQLIDDLGKPEPNTEDLHFPPKYWQDFRAQCMACLWKQNCAYWKNSEHNVVRFINTFAVSIMFGIVFWKIGSTIKDEQDVFNILGVVYGSALFLGFMNCSILQPVVGMERVVLYREKAAGMYSTMAYAIAQVAVELPYMFVQVFIFSAIVYPMIGFQMTATKFFWFALYMVLSFLYYTLYGMMTVALTPNIEIAAGLSFLIFIFWNVFSGFIIGRQMIPVWWRWVYWANPAAWTVYGLMFSQLGDRTELIQVPGQPEQTVKEFLEGYLGLQDRYFNLVTSLHVAIIALFTFLFFLSIKHLKFQRR >ORGLA08G0220800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:478118:489780:1 gene:ORGLA08G0220800 transcript:ORGLA08G0220800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT2G35110) TAIR;Acc:AT2G35110] MAHVSFKSKEADSMSRWSKYLSTEESPPSASLSWRAMGVDGPQGSASGQKHLQMEPVVQLSKVAEGLLAKMYRLNSILDYPDPNAHTFSEAFWKAGVMPNFPKICITLSKKFPEHPNKLQLEKVDKFALDALNENAEGYMQNLEQWITLLLDLLEFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSDKIPRKMILQVYNILHIMLKGGRDCEFYHRLVQFVDLYDPPVKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDVAMVVLKENLVLSLFRDEYILLHENYQLYVLPKVLESKRMAKSGRTKQKEADLEYNVAKQVEKMLMEVHEQALVSADALHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQCEVVWYFQHVGIASSKSSRGRTVDIDAADPTIGFLLDGMGKLCCLVRKYIAAIKGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQQVLHCLENIPKPQGENVPAITCDLTDLRKHWLSILMIVTSSRSSVNIRHLEKATVSTGKEGLVSEGNAAYNWSRCVDELEGQLSKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGAACCFPECASSIIPEEVNKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLEMQLSPEQAAIRLNNATRAKAVSGLLAPGHESYPDNSSSVKMLEAAMQRLTSLCSVLNDMEPICVLNHVFILREYMRDCIIGNFRRRFHSMIRTDSCLQRPSVIESLLRRHLSIIHLSEQHISMDLTEGIREVLLAESFTGPFPNLQVFETPTETHGGGSAIDIISNWYIDNFVKDASRTGVVFDASQNCFRSSQPIGGGYLAEAFTDKRELKALVRLFGGYGVDRLDKLLREHTSALLNCIDSALRSNRDALEGLAGSVNSGDRIERDANLKQIIDIETLADFCIQAGQAITFRRLLVEAVGAVLEEKVPLIYSLLKGLAMQLPEEVPDKNEIIRLRRVASSVGVGDKHDAEWVHSILAEIGSANDNSWTLLPYLCAAFMASNMWSTTAYDVNTGGFSNNLHCLARCVSAVVGGSEYTRMEREHRRNSLSNGHMDELQEPELLSRVSAEANIKSAMQLYVKLSAGLVLDSWNDTSRPYIVPKLIFLDQLCEMSPYLPRSTLEVHIPYTILRSIYHQLYGASLMATEPMEPSPRQSPLISLAHASPSMKQNRADTTPRSHTFEPGYHSSSGSQYDEGYEGDRRTGERQLRSMRRSGPLDYTGTRKVKFVEGSSSGSHGAGSGSLQRFAVSRSGPLSYK >ORGLA08G0220700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:471675:472148:1 gene:ORGLA08G0220700 transcript:ORGLA08G0220700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERLARACGGTRGRFNAHGRSPAVGPSRWWFGCGQSGGGAVGQRAPSRTVRWRELPPGHHVIDGVGPHVCRRVVGAAWVGAVGGVQLPRVGTTSTRVGTRVVGTVGPCPPLPYGWGPGLVGPEAWVPVTWSPRIRAVSHAGSQLRLPGSRHRQWYS >ORGLA08G0220600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:470121:470621:1 gene:ORGLA08G0220600 transcript:ORGLA08G0220600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAQDKAEEGEEEGTRQQHAQAGPVGAAGGGGGWGRGGCGDERHPHEQLARAQARTGRVLRRDGHGAQAAAEEPGPAHQGGGAREADPHAGGVRGQDLPAHAGARAQVRRRDHPVVAAAVGAGNHRGHRNRHRPGDRDHRRWRAPHPHPVVVVLWPGVLRGGRR >ORGLA08G0220500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:460867:465343:-1 gene:ORGLA08G0220500 transcript:ORGLA08G0220500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1QLA4] MEQPNDVGILAMDIYFPPACVHQEALEAHDGASKGKYTIGLGQDCMAYCSEVEDVISMSLTVVASLLKKYNIDPKQIGRLEVGSETVIDKSKSIKTWLMHIFEESGNTDIEGVDSSNACYGGTAALLNCVNWVESKSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQYCTKYEKIVGEQFSISDAEYCVFHSPYNKLVQKSFARLYFNDFMRNCSTVDNDAKEKLQPFANLTSEESYQSRDLEKASQQVAKHLYDIKVQPSTLLPKQIGNMYTASIYAALASVIYNKHDSLDGQRILMFSYGSGLTSTMFSLRLHDGQHPFSLMNIASVLDVTTKLESRHVTSPEKFIETLKLMEHRYGAKDFETSKDTSLLPPGTFYLTKVDSMYRRFYDKKAAEGKIKGCNGIANGH >ORGLA08G0220400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:458212:460197:1 gene:ORGLA08G0220400 transcript:ORGLA08G0220400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLINKYLSRSKNPAAGDDKEMPPPPPPPPANGAEREFMCIPGCVPVRVKRGTTTTTTTTTTTTARTSRHNFVKSAASGLFPGAQFTNHESLPAMEEAYAEFVAAFPQYAGLAQADAIRDGEYQHLDRHVCLDYTGINLFSHAQMNSSVPSTSATPPASSSGAAWQPPFFDIAYKSTSLRSQVQCGDDVAAASAAGGIGGAVTRRVMASLNIPDDEYAMVCTANRTTAFRLLAESYSFQQHGKRLLTVYDYESEAAGAMAESARRRGAEVMSASFAWPGMRVHAADLRKKLLRGRRRGGGGGHRRGLFVFPLVSRMTGARYPYLWMSAAHEQGWHVALDACALGTKDLDTLGLSLLRPDFIVCNFFKVFGENPSGFAGLFVKKSSLAALERSVIARSIGIVSIVPARRWSLHDGYSTELDPAAAAADDLDTTSSFSGPLSGTLIALTLKNVPEMDAAGEENGHSPEIREADPPPPPPPIMEVECRGLDHADALGLISIGNRLRCISNWLVVAMQKLRHPQSEQGKALVRVYGPRVKFERGPSLAFNVFDWKGEKVAPPLVQKLADRHNISLTCGFLRHICFSDKYDADRAAVLEHIDGGGKRKDAAGEMGIYVVNASLGFLTNFDDAYRLWAFVAKFLDADFVEKERWRYTALNQKTVEV >ORGLA08G0220300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:449055:451110:-1 gene:ORGLA08G0220300 transcript:ORGLA08G0220300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G51970) TAIR;Acc:AT5G51970] MGKGGKGAEAAAAAVAGAGEEENMAAWLVAKNNLKIMPFKLPPVGPYDVRVRMKAVGICGSDVHYLREMRVAHFVVKEPMVIGHECAGVIEEVGSGVTHLAVGDRVALEPGISCWRCRHCKGGRYNLCEDMKFFATPPVHGSLANQIVHPGDLCFKLPENVSLEEGAMCEPLSVGVHACRRADVGPETGVLIMGAGPIGLVTLLAARAFGAPRVVIVDVDEHRLSVARSLGADAAVRVSARAEDVGEEVERISTAMGGDIDVSLDCAGFSKTVATALQATRGGGKVCLVGMGHNEMTVPLTSAAIREVDVVGIFRYKDTWPLCIELLRSGKIDVKPLITHRFGFSQEDVEAAFEVSARGRDAIKVMFNL >ORGLA08G0220200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:445553:446263:1 gene:ORGLA08G0220200 transcript:ORGLA08G0220200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNTTAMGQLMSSSATTAATATGPASPKRPAGRTKFQETRHPVFRGVRRRGRAGRWVCEVRVPGSRGDRLWVGTFDTAEEAARAHDAAMLALCGASASLNFADSAWLLHVPRAPVASGHDQLPDVQRAASEAVAEFQRRGSTAATATATSSDAASTAPPSSSPVLSPNDDNASSASTPAVAAALDHGDMFGGMRADLYYASLAQGLLIEPPPPPTTAEGFCDDEGCGGAEMELWS >ORGLA08G0220100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:441680:442435:1 gene:ORGLA08G0220100 transcript:ORGLA08G0220100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTKLEEITGEWPPPALQAASTTSSSEPCRRLSPPSSKRPAGRTKFHETRHPVFRGVRRRGRAGRWVCEVRVPGRRGCRLWLGTFDAADAAARAHDAAMLALRGRAAACLNFADSAWLLAVPPPATLRCAADVQRAVARALEDFEQRESSSSVFPLAIDVVAEDAMSATSEPSAASDDDAVTSSSSTTDADEEASPFELDVVSDMGWSLYYASLAEGLLMEPPASGASSDDDDDAIVDSGDIADVSLWSY >ORGLA08G0220000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:438499:439086:1 gene:ORGLA08G0220000 transcript:ORGLA08G0220000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPDDLLLEVLRRLPPISLAASRVVCSAWRAAVDANRLLRADLLPLSVAGIVVNPLSLGSRFLCRPNAAATIADDLDDIRNTSRGIFNRFDFRVSDHCNGLFLVDDDVQHVVNPATRQWAPLPGYPPPHPCYPHKLFSPTICLVFDPAVSPHFEVLVIPRLRSELRRPREWPPSPFVLRAFSSRTDGRRSMGG >ORGLA08G0219900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:435942:437697:-1 gene:ORGLA08G0219900 transcript:ORGLA08G0219900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TraB family protein [Source:Projected from Arabidopsis thaliana (AT5G52030) TAIR;Acc:AT5G52030] MAAGPTPSTAASFRPPPPPCFDYREAMLGHTRAAAVTAADPALAALVESGALVRVPRRRFGPVPAWRPPEFVEPEDVWILGTSHLSEESVADVERVLRAVRPDNVVVELCRSRQDRQPSLQSWNHPEDGNSFLLVTMLAGGQTALALRLLLAVFSSKISSGANRPFGEEFRAARKVSEDLGAQLVLGDRPIEITLERAWKSLSWDEKTKLVVSLFRGITSTTDTSQDEKAAGSPYELYEKLSISYPSLLQPLIHERDMFLAWSLKRSKAVNKSKTVVGIIGKGHMNGVVYALISDQGDLRFRDLVGRASSDTWASSLIKGLVRDTIIGIVLWGLYEQLHAVF >ORGLA08G0219800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:433625:434199:1 gene:ORGLA08G0219800 transcript:ORGLA08G0219800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKLVFFFLLLLGFGNAEEELDTETCDVAKLSAEIGTYCEFDQGRMYPGRCCDSIIDAADGHDGGGTQCICRVWMEDAVRKTGITFRELLHQYIDCGGLQPSLPHLADSACSAAPEMVGTLPGPGKISGADTTNGVIFSAMRPAIIVNKMLAST >ORGLA08G0219700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:420339:421949:1 gene:ORGLA08G0219700 transcript:ORGLA08G0219700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1QL96] MCHCSSKVVAVAQCHQPLLPPPEACPALHDRPRSARGGGGAIAEVASIVRLAMPMVGAGLLMYMRSLVSMLFLGRLGRLPLAGGSLALGFANITGYSVLSGLAAGMDPVCGQAFGAGRTSVLAAALRRTVVLLLAASVPIAALWLAMHRVLVAAGQDPDIAACAYEFILCSLPDLAVQSFLHPLRVYLRVQSITLPLTYAAAAALALHVPVNVLLVHGLGLGIRGVALAAVWTNLNFLLFLVAYAYFSGLIRGDDDDDGGNGKAGEEGATTTTTTMEWGWLVKLSVHSCMSVCLEWWWYEIMVLLCGVLADPKAAVAAMGILIQTTSLLYIFPHSLSCAVSTRVGHELGAGRPERARLAARVGLACGAALGVVACAFAASLRGVWARMFTADATILRLASSALPILGAAELGNCPQTAGCGVLRGSARPGRAARINVSAFYGVGMPAALALAFWPARLDFRGMWAGMLAAQLVCAALMLLAVRRTDWDEQAARARELTGAVAGVVVGDGDVVVKGDHADAAKVKADSGLLVVTVLS >ORGLA08G0219600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:408193:409426:1 gene:ORGLA08G0219600 transcript:ORGLA08G0219600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGKDQKFPAMKKETAAVAKEEVWEVRPGGMLVQKRSPESEPPPGGAPVPTIRVKVKYNGVYHEIYINSQASFGELKKLLSEKTGLHPDDQKVVYRDKERDSKAFLDIAGVKDRSKMLLLEDPTAQAKRLLEERRHCKAERAAKSVSRVALDVDKLASKVSALEAIVSKGGRVVDADVVALTEALMNELVKLDSIAADGEVKEQRRVQEKRVQKYVEALDAIRAKTKKAAAAPPKARPPHLPPRPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWESFDLLSSVPSTSSAPVTTMAPATTTTTSPSPRFEWELF >ORGLA08G0219500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:404067:406548:-1 gene:ORGLA08G0219500 transcript:ORGLA08G0219500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTEANKKSALFGEQKQNMAKQRHPCMGELPQQQSNSSDRWGPSVSESCTIFFLGQGRPPTAGAHVEHGDASTSARIHVLHHKDDDSSLRERIARFQYXNGRSEXCSWFMPNGQKXXDPVATAHGEFLSGKG >ORGLA08G0219400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:401761:402138:-1 gene:ORGLA08G0219400 transcript:ORGLA08G0219400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKGNAVASAAAACALVLVLLAVGAEAQGGGGGECVPQLNRLLACRAYAVPGAGDPSAECCSALSSISQGCACSAISIMNSLPSRCHLSQINCSA >ORGLA08G0219300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:395667:400960:1 gene:ORGLA08G0219300 transcript:ORGLA08G0219300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPTQDAIDTFVGITGADEAAAARKLEEHHGDLNEAVNAYFNEGDRTSTRANENPIPTGYDDMELDEPFGPVPTFHMPLGNPFSLLDQGFLERAAAVYGEGPHITHPREVRRIDVEVKDNNTPAGSSGHGPVIEDVTGHEFSHGPEIRGTVLIDEDDDDDNLPSAQDTRLPSNPSSSNYSVPRAPPIANVSDYNNDIEEEMVRAAIEASKRDADGLTNGLRSGERENASRGRDDDEIARAFSMSLETAEQDRVLRQVGAHVSDHSDLSDKEDIEGATGTVERQVPTSGKVGTSDQLVDEENFQDDDVEEHSFVRQHSRRVPSGNDESTEALERANSHPSSLLPHNIENNQQFNGVFPSEWGGISSEEHDEAVMLEAAMFGGIPGRAAYPFSLPYHQNSSRYPTVAHPPSPTLTAQRLLREQQDDEYLASLEADREKELKAEQEAELRRLEAAAEREAAIAKQKQEEEEKRRKQLEEEELESKLAAKQASLPKEPLPDDVGAVTVVVRMPDGRRQGRRFMKSDNLQVLFDFIDISRTFKPGTYRLVRSYPRRAFTDSESQMSLSDLGLNSKQEALFLEQISG >ORGLA08G0219200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:393158:393646:1 gene:ORGLA08G0219200 transcript:ORGLA08G0219200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGGAGLGVGGGGGRGGMRATATAVALIWPRDGLERGGAAVGGASTAVSVGEKGGDHRWRGAGGQRVDGMGALCGEVGVWRRKVGDSRRSGGAAGGGAAVGRGAAVGGRGARGGCASGGGGWRLKGCWHRDVRSKRGGVEGNKPIFCPLTTRVRDLPPTV >ORGLA08G0219100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:387605:390135:1 gene:ORGLA08G0219100 transcript:ORGLA08G0219100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:I1QL90] MASSSGEGKGAGGAGYQYAPYGGSYYDEERRWWPWLVPTVLVACIVVFLVEMFVNDCPRHGSPLRGESCVAGFLHQFAFQPLRENPLLGPSSATLEKMGALDWAKVVHQHQAWRLISCIWLHAGLIHLIVNMLSLLFIGLRLEQQFGFVRIGIIYLLSGFGGSVLSVLFLRNNYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIITLLFIIAINLAIGILPHADNFAHIGGFVTGFLLGFVLLARPQFGWMERHELPQTNQPRKYKAYQYVLWAVALFLLLVGFVIALVMLFKGKNGNDGCHWCHYLNCIPTSRWKCST >ORGLA08G0219000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:381994:383267:1 gene:ORGLA08G0219000 transcript:ORGLA08G0219000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTAAAVVVVGGAAATMGEPSPPPPAPAAEAAGVGVGQQQRTVPTPFLTKTYQLVDDPAVDDVISWNDDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGERRLLCEIHRRKVTPPAPAATTAAVAAAIPMALPVTTTRDGSPVLSGEEQVISSSSSPEPPLVLPQAPSGSGSGGVASGDVGDENERLRRENAQLARELSQMRKLCNNILLLMSKYASTQQLDAANASSAAGNNNNNNCSGESAEAATPLPLPAVLDLMPSCPGAASAAAPVSDNEEGMMSAKLFGVSIGRKRMRHDGGGDDDHAATVKAEPMDGRPHGKDEHSAETQAWPIYRPRPVYQPIRACNGYEYDRAGSDQDGSNST >ORGLA08G0218900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:370336:372781:1 gene:ORGLA08G0218900 transcript:ORGLA08G0218900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSHRVERSEMKPGDHIYTWRAAYTYSHHGIYVGGSKVVHFTRKKEAGTAGLDSAIAISSLLSQGSPECPTFPDCGFQLPDSGVVLTCVDCFLRGGSLHGFEYGVPPAVFLAKLRGGTCTTAAADPPDAVVRRAMHLLQNGFGSYDVFENNCEDFALYCKTGLLPADEPGSIGRSGQASSAIGVPLAALLSTPFKLLAAGPLGMAAVTAGMYCAGRYITDIGVRKDVVKVEVENLSAHLGWRRAKAEEEMAMKKQQPSSHDTKVKKSLLPLKRKRDNFCEIITS >ORGLA08G0218800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:365782:368211:-1 gene:ORGLA08G0218800 transcript:ORGLA08G0218800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRELLEVRRRAAAAGHGGGGGRRGGDGPHGGDCRDGRPGRGGHAPQAAMAQQXXXXXPLKRARPDYGDVPAGQDMTGYYPRETDRTGYHALRENEAIGASYDRYLRNGMPSVAATETNRPVVGGMGGMGGMGGYPVDDRRMIGVGMDSRGMGYGARPEPPLPADASSTLYVEGLPANCTRREVSHIFRPFVGFREVRLVNKESRHPGGDPHVLCFVDFDNPAQATLALEALQGEYTYICRYGLCCFTDNMTLHFENMHLSRICVHSSFSLTSLPWKLVIVLLSYLVKPSTSR >ORGLA08G0218700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:360003:362213:-1 gene:ORGLA08G0218700 transcript:ORGLA08G0218700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAAVSSTCAAASSTTSRRSSSSAASRVQATPRRSLPSRLVASRTSPRSPVVPPVYATASPGGAGGTTAAAARKKLLIFDAEEYLAESLAKYTARLSGEAVAERGAFTVALSGGSLIKALRKLTESPYLEAVEWSKWHVFWVDERVVPKDHADSNYKLAMDGLLSKVPIPASQIYAINDTLSAEGAADEYETCLKQLVNDGVVAISEVTGFPKLDLMLLGMGPDGHVGSLFPGHPIVNENQKWVSYIKDSPKPPPERITFTFPLVNSSAHIALVVTGAGKAGAVHKAFSDKQSSSDLLPVEMVSQQEGVLTWFTDKPAVSMLSSI >ORGLA08G0218600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:352528:356888:-1 gene:ORGLA08G0218600 transcript:ORGLA08G0218600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSSTSSSSSASSSPRAPSSPPPWVQLRSLLVASSSSSSSSSSLAASGNSASPAAAAAAASASFSPASYSPHSDRGGTKSPWSRRKRKRPLSCRHWNHLFSSDGKLHDGGRKFLKKVRGGGVEPEIRAKVWPFLLGVYDLNSTEAERNVIQTNKRNDYEKLRRKCHHVLHSYKGSGLNVINEAAACEYHSCNEESEPLNLESVSTRSSPSPKGLKSLRCGISRQENATEGIDEDTSELTYVDPYLAESESSDSGTSDEEDLDRMSVSANTEVNRDQGPKFVRSASSKSGFFRNNKTPEDFATWQRIIRLDAIRTDPEWALLSHNRAEVSKEKALQYARSVGLKDYDHLEPYMIYHAARLVAVLEAYALFDPEIGYCQGMSDLLSPIIVVMEEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRAGIGRSTWSKIRLHAPPTDDLLLYAIAACVLQKRKLIIERYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI >ORGLA08G0218500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:342843:344598:1 gene:ORGLA08G0218500 transcript:ORGLA08G0218500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSSPSTQDCGGWLLYVSLAAKCGGDPCRVVGFVAVAVVAFAVTSLLHWLSPGGPAWGRYWWNRRGGLGIAAAIPGPRGLPVLGSMSLMAGLAHRKLAAAAGGSPARRRLMALSLGETRVVVTADPGVARELLASAAFADRPVKESAYGMLFHRAIGFAPYGTYWRALRRVASTHLFSPRQVSASAAQRAVIARQMVEAMRSAAAAAGGGVAARPFLKRASLHNVMWSVFGRKYELAAPESEETAELRSMVDEGYDLLGQLNWSDHLPWLAPFDLQKTRSRCSSLVPRVNRFVTRIIDEHRARLSLAVDAAVDFTDVLLSLHGGDKLSDADMVAVLWEMIFRGTDTVAVLIEWVAARLVLHQDVQARVHDELDRVVGSDRAVTESDASKLVYLQAVIKEVLRLHPPGPLLSWARLATSDVHVGGFLIPSGTTAMVNMWAITHDPAVWPDPNKFKPERFVAGPSSDQAAEFPIMGSDLRLAPFGSGRRSCPGKSLAIVTVGFWVATLLHEFDWLPLSDKSRGVDLSEVLKLSCEMATPLEARLRPRRKV >ORGLA08G0218400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:323380:330025:1 gene:ORGLA08G0218400 transcript:ORGLA08G0218400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISWVDWGECRWGGDMGAAEEEAAAAWDKAEAKEERIMVSVRLRPLNGREAGDSCDWECISPTTVMFRSTVPERAMFPTAYTYDRVFGPDSSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVLDIYDYIEKHPEREFILRFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKDHLRNLLAVCEAQRQNGETALNETSSRSHQILRLTIESSTRQYLGRGNSSTLVACVNFVDLAGSERASQTASAGVRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPARSHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELERLQSEIKFPAPASCTTHAEALREKDAQIKKLEKQLKELMEERDTVKSQLDCLLKSDCDDHSDGRVAKRWDEHSRSSESFARNASEEAFSVSDTSGVPYQDQDNAVFNGSYVFSDDRDDIVFPVQTVDLPEETKHEKFMSPWHPPSHHSSSDCIESYHMTEATSRTASEVSEEHCREVQCIDIHEHRRSTSHKFDLLLPQDTEFQTPELEISKEAVPQPDEDQELESITNRMEDPTRMCPVEEEQQDEIVDTCESNGTTDNDVKLYTCDSNISFDIQKPYPNGCLTVKRCILSSKDRALSRSKSCRASFMIIPNSWFDDSEYTSQTPPNEILKHTPRRLDKVRRSLYPENDNPSSVDRSEFSGEVSSDEVVKDMSTIDEVAKDMCPSDAEQETLTSDISCLTKLKKTDTDHEDELDEYQDQQSIRDGSTTLRTVKDVGIDSSLSASPSRWPIDFEKMRQEIIQLWHECNAPIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRRSFSASPAGGELNSAVVSSLKNLRRERDTLYKQMLKKLNNGEKERVYARWGIDLSSKQRRLQLSRLVWTQTDMEHIRESASLVAKLIELLEPAQALKEMFGLNFTLAPRSERRSFGLLGT >ORGLA08G0218300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:305569:306571:1 gene:ORGLA08G0218300 transcript:ORGLA08G0218300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGGGRHGRDDHHRPPVNPTDSAAAFLYPSTASRGGFQLWQQQPPPAAHPFYAQNIIRFADDPAAPPSSRGGRGGGPGGSGGGGTISCQDCGNQAKKDCTHLRCRTCCKSRGFDCATHVKSTWVPAAKRRERQNLLASAAESSKRPRDSAAAATSTTPTTSSGEQQQMMVGERFPREVSSEAVFRCVRLGPVDEADAEVAYQTTVSIGGHVFKGILHDVGPEHSSGGGGGMGGRHAAAGEAGSSPSTAAAPHGGGEGGSSGVAAAAAAAAVSSSAVVMDPYPTPGPFGGAHFFHGHPR >ORGLA08G0218200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:304218:304541:1 gene:ORGLA08G0218200 transcript:ORGLA08G0218200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAHEIPHVCGQMQFVATQRVPAWFPFPPELAAARHRHAPTDLTLMMEGADPVGASPLPPPLSYRFPLPLTCLEGVHVSAAAFAASPAAFLVYPGGDEEREGGRKEK >ORGLA08G0218100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:290296:291285:1 gene:ORGLA08G0218100 transcript:ORGLA08G0218100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVTAAAPPSPDKSSNLFMQIAVHPDGTITRPFVPDAPPSATGLVLSRDVPLDASLATSLRLYLPNPASPPPPTSKLPVILYFHGGGFVLFSTGSVFYHASCEAMAAAVPAIVVSLDYRLAPEHRLPAAYDDAASAVLWLRDAAAGDPWIAAHGDLSRCFVMGSSSGGNMALNAGVRACRGLDLGPAAVRGLVLHQPYLGGVARTPSEEKSGDDAVLPLEANDKLWSLALPAGADRDHEFSNPAKSMAAAAAALTGLPRCLVTGSDGDPLIDRQRELVAWLRGHGVEVVAKTDFAGSHAAELFVKETADELFAAVRAFVSCAGDVVHS >ORGLA08G0218000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:280616:281561:-1 gene:ORGLA08G0218000 transcript:ORGLA08G0218000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLQDVSMLTGLPLAGQAIVLPDPPVDWRDDIIGRYACIVPEDDSDIKGFFSEDEARGPTLHWLSQFEVGYMRDHTENYHVELHLEAYLLWFFGWVMFTSGHGNTVDARMINLASHSGRTFRFEVRTSTSLKRLIYLRRIMFSGVRTPTRRHNVVPLMASVHSACVTRLTG >ORGLA08G0217900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:275927:277563:1 gene:ORGLA08G0217900 transcript:ORGLA08G0217900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTSTPAMLLYAALFAAALLYLAVAVRRGRGAGLPPGPTGLPLVGSLLSLDPELHTYFAGLAARYGPIFSIRLGSKLGVVVTSPALAREVLRDHDLVFSNRDTPDAARSISYGGGQNIVWNPVGPTWRLLRRICVHEMIGPAGLDSLHGLRRREFMATLRHLRARSGEPVDVGAQMFLTVMNVVTGALWGGNVGSESERTAVGKEFRELVADITELLGAPNVSDFFPALAPLDIQGIRNKSDLLKDRFDDIFARIIQKRTESDHAAAAGETASDFLEYMLKLEKEGGDGKTAFTMTNVKALLMDMVIGGTETTSNTVEWGMAEMLQNRGTLRKVREELDAVVGRDGVVEEIHLPKLHYLNLVVKETLRLHPALPLMVPHCPGEDATVGGHRVPAGARVFVNVWAIQRDPAVWKDPEHFIPERFLPADGGGGRRLDFTGSEQEYMPFGSGRRICAGVAMAERMVAYSLAMLVQAFDWELPAGERLDLAERFGIVMKKATPLVAVPTPRLSNPQLYSA >ORGLA08G0217800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:267918:270342:1 gene:ORGLA08G0217800 transcript:ORGLA08G0217800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKKKVKRGPWTAEEDKKLISFILTHGRCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLTADEEQLVVDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPATHQPLPNTKVVSQTRTSTLSTATTESAKSNGMAYPFDPEGGCSRDMSVPTDSMEQSSRNTSSHGLDPLVNWLLEVELPADEPWLNFTSSNEDDFSGIVKQSAWDGSTTDWLLDYQDFSMDDSSLIDGARVQNSDGLNF >ORGLA08G0217700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:262547:264651:-1 gene:ORGLA08G0217700 transcript:ORGLA08G0217700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILCAFLDSVSSYIVADAELHEHTEVLVFQDIIIRQKYQKKGLDCTEPTPSWVLAATKLYDFAYLLMSLQRTTTEGCKCERRHMPLNLAYIKVVLPPPKSTCIDRFISWGDKTRATHSDIATMCVSKTSKYTHQDRGKIGKLGHGSQRGDVYRRLESEASKSAVESLVGNGRNGRTLTERRRGLRRGGGDGDLAPFPFPHHRRRKGRAPSEGRAYGPRLEGDAIDEGATQHILFSFLVCSTVIIVSSFCASVALAAAVDVGDGHFSTVTSPRTKNDSLYIASADTPFSLPVVTSTGIVNTYRTRTIAASPHTGMALLIVTSESDVATAVGVDIIGAKPPQLAGESNRLCTTKSPNNGLRMSEKDMVSAVLTSMITVMAKVAVPKIKRTRRDNRXG >ORGLA08G0217600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:257285:260751:-1 gene:ORGLA08G0217600 transcript:ORGLA08G0217600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRRPVAVVLSWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAAHALGLGVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLAATLFVIYMIRFKLRSTYMLDKDNFALYYVVLPCAGLALLVHPSTSHNIINRISWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >ORGLA08G0217500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:253539:255736:-1 gene:ORGLA08G0217500 transcript:ORGLA08G0217500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKDTTAAAADQNPTPNPPTAAAPPDDSAAAAAGRRPFTSLTQEEADLALARVLQEQERAYMMLSAHHGGDYAASDGGSYEFDEEGEGSDFEDEDGDGDGDGEALDEDEEVADADADAAGDPAELDPARYEDDEAFARALQDAEEREVAGRLMALAGLSDWRVMDHDDDDVDDDEDDDDDDDDEDEDGDDPQDAWEDVDPDEYSYEELVALGEVVGTESRGLSADTLASLPSITYRAQDKQDGNMEQCVICRVEFEEGESLVALPCKHSYHSECINQWLQLNKVCPMCSAEVPTSQDTRA >ORGLA08G0217400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:249317:249757:-1 gene:ORGLA08G0217400 transcript:ORGLA08G0217400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAPSVGIAFAPKPPPAAAVGSYRARKVRCAVAVAPAPVPAGTLYDVLGLRAGATVREIKAAYRRLARERHPDVAASAGADDFVRLHDAYATLSDPDSRARYDRDVVAVASMARGAHHRTMAAPAAAPRWYGRRPRRTWETDQCW >ORGLA08G0217300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:244187:246127:-1 gene:ORGLA08G0217300 transcript:ORGLA08G0217300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKAILARPIQLAEQVIKWAEEAQTCRQECLDLKAKVERLASLLRQAARADLYERPARRILDDTGKALDKAAALLDRCRGHGLIRRVFTIIPAGSFKKTSNQLDNSLGDLSWILRVSNYSNADDLDDDHIGLPPIAQNEPILFLIWEQIAVLYTGNPEARADAAASIVSLARDNDRYGRLIIEEDGVPPLLRLIKEGSSEGQETAALAIGLLGRDPECVELMVLAGVCTAFAKILKDAPMKVQGMVAWAVSELATNHPKCQDAFLQSNVIRLLVSHLAFETVQEHSKYAVASKMSIHTVLMDKKNNGSTSSSHHHDALDAVDHAAATTTTTTAMAAKPTGGGAASSSGAGAGSAGTGTTSSSSVSVGGTVAGTKQHNASLSGTSTKAREFEDPETKAYLKANAAKALWQLAMGNAAVCKNITESRALLCLSVLLEKGVDDVRYNSAMALMEICLVAEQNADLRRSAFKPTSPAARAVVDQLLRVVHKADYDELLIPCIISLGCLSRTFRATETRIIGPLVNLLDEREADVSREAAVALTKFACTENYLHVDHSKAIIHHGGAKHLVQLVYFAEQAVQIAALLLVCYIAHNVPDNEELVQAEILTLLEWASKQAAMVQDPLIENLLLEAKIRMELYQSRGAKGYY >ORGLA08G0217200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:236371:237836:-1 gene:ORGLA08G0217200 transcript:ORGLA08G0217200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMLLMLMQLLGSPTSLAVLLLSFFQGSVGVGAITFTFTNRCTDTVWPGVLSGSGTPPLETTGFALSPGGSRSLYAPSGWSGRFWARSGCDFDDSGKGSCATGDCGSGQVECRGAGASPPATLAEFTLNGADGKDFYDVSLVDGYNLPMLVQASAPDCPDTGCLVDLNERCPSELRADDGRACRSACEAFGRPEYCCNGAYGNPDTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPRSTPSSGNSKNGSRRPSHEQLEDAVWLASLKASSGAGMAATAASWPASLAFQSALAIAVVILLAQQEHPVLFS >ORGLA08G0217100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:231609:233712:-1 gene:ORGLA08G0217100 transcript:ORGLA08G0217100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSSEQCDVISLPEDQVFEMLTRVSLDDLAACRQVSTRWRRLTYEPAFAPLHCRRADAVSGYLVQTVARNRYHATFVSSMHPSPPPADLVSLDFLPSPHVRVEAVSPHRGLVCCVDADADAATPRKPASSYYVCKPATRQWRALPNPRLRYRTAATAMLARPGGGGGGAADFKIVRFSVPTLRDCLRCEVFDSRGMAWRRSADVAVWPESLVEAAPAVRAHGAMHWLRWPDRLSGGAEDIFAFDVKTETWRLIGLPPEATTEKRWARKKVAAVEGKLCLVVVVDEEVEVWVLAGYRQERWEKKMTASLTRLAMEEGNSFILRDLYASDVAFFNSVYRVLWYDFLRGKIAEAPLRHKCIQQVFKFESDLVPFEEIDESPIQILNGFASP >ORGLA08G0217000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:227417:230531:-1 gene:ORGLA08G0217000 transcript:ORGLA08G0217000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:I1QL69] MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSMRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTEKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKDTSGKETIKLAIRALLEVVESGGKNIEIAVMTHKDGLRELEEAEIDEYVAEIEAEKAAAEAAKKGAPKET >ORGLA08G0216900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:214828:215646:1 gene:ORGLA08G0216900 transcript:ORGLA08G0216900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEHTNKGAWTKEEDERLVAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTADEDDLIIKLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIRRKLLGRGIDPVTHRPVNAAAATISFHPQPPPTTKEEQLILSKPPKCPDLNLDLCISPPSCQEEDDDYEAKPAMIVRAPELQRRRGGLCFGCSLGLQKECKCSGGGGGAGAGNNFLGLRAGMLDFRSLPMK >ORGLA08G0216800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:204772:208480:1 gene:ORGLA08G0216800 transcript:ORGLA08G0216800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVDAEYLRQVDRARRHLRALISSKGCAPIMLRLAWHDAGTYDVNTKTGGANGSIRYEEEYTHGSNAGLKIAIDLLEPIKAKSPKITYADLYQLAGVVAVEVTGGPTVEFIPGRRDSSVCPREGRLPDAKKGALHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFEGAWTQEPLKFDNSYFLELLKGESEGLLKLPTDKALLEDPSFRRYVDLYARDEDTFFKDYAESHKKLSELGFTPRSSGPASTKSDLSTGAVLAQSAVGVAVAAAVVIVSYLYEASKKSK >ORGLA08G0216700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:199357:203543:-1 gene:ORGLA08G0216700 transcript:ORGLA08G0216700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:I1QL66] MSAAAVLAVVAAAVAALAAAASGYELTKNGTVITYDRRSLIIDGHREIFFSGSIHYPRSPPDTWPDLISKAKEGGLNVIESYVFWNGHEPEQGVYNFEGRYDLIKFFKLIQEKEMYAIVRIGPFVQAEWNHGGLPYWLREIPDIIFRTNNEPFKKYMKQFVTLIVNKLKEAKLFASQGGPIILAQIENEYQHLEVAFKEAGTKYINWAAKMAIATNTGVPWIMCKQTKAPGEVIPTCNGRHCGDTWPGPADKKKPLLWTENWTAQYRVFGDPPSQRSAEDIAFSVARFFSVGGTMANYYMYHGGTNFGRNGAAFVMPRYYDEAPLDEFGLYKEPKWGHLRDLHHALRHCKKALLWGNPSVQPLGKLYEARVFEMKEKNVCVAFLSNHNTKEDGTVTFRGQKYFVARRSISILADCKTVVFSTQHVNSQHNQRTFHFADQTVQDNVWEMYSEEKIPRYSKTSIRTQRPLEQYNQTKDKTDYLWYTTSFRLETDDLPYRKEVKPVLEVSSHGHAIVAFVNDAFVGCGHGTKINKAFTMEKAMDLKVGVNHVAILSSTLGLMDSGSYLEHRMAGVYTVTIQGLNTGTLDLTTNGWGHVVGLDGERRRVHSEQGMGAVAWKPGKDNQPLTWYRRRFDPPSGTDPVVIDLTPMGKGFLFVNGEGLGRYWVSYHHALGKPSQYLYHVPRSLLRPKGNTLMFFEEEGGKPDAIMILTVKRDNICTFMTEKNPAHVRWSWESKDSQPKAVAGAGAGAGGLKPTAVLSCPTKKTIQSVVFASYGNPLGICGNYTVGSCHAPRTKEVVEKACVGRKTCSLVVSSEVYGGDVHCPGTTGTLAVQAKCSKRPPRSAATAQ >ORGLA08G0216600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:195687:198118:1 gene:ORGLA08G0216600 transcript:ORGLA08G0216600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:I1QL65] MASSLAGSALSFATPVKAVKTNSISFTSARKGNAFLRLQPVPMRFAVCCAAKKETVEKVCDIVKKQLAVPEGTEVSGASKFSDLGADSLDTVEIVMGLEEEFHISVEESSAQSIATVEDAAALIDKLVEQKSAEAKSS >ORGLA08G0216500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:190171:190713:-1 gene:ORGLA08G0216500 transcript:ORGLA08G0216500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAGTGSGGDDDELVLPPASFQDGLPSSRSYPSCIGGGSAAAASASLERELLYRAELHQQQQQQQQLGGGGGVERRKRRAMKNRESAERSRARKQAYLQELEQEVRLLRAENAALRHQCHHLKAAAAEAEAAAAAAAKKPTIQRTSSATF >ORGLA08G0216400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:187540:188096:-1 gene:ORGLA08G0216400 transcript:ORGLA08G0216400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRQWEAAGXTDAVAAPGDRAWSWDALPYGCIHNSLMPSPPATACYRRGDDVAARRXWPRPLPRCREADF >ORGLA08G0216300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:180061:180382:-1 gene:ORGLA08G0216300 transcript:ORGLA08G0216300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TYGGLGVRLXVPTYPHLWRPERSRHAAFNAVCSALNRSVTGRMTDGTQDVRCTIACVRRRAARHVPSDNDGERFSSSYPSRSRSSRSGQSKAPVFPVXXEPRSLYPL >ORGLA08G0216200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:177552:177917:-1 gene:ORGLA08G0216200 transcript:ORGLA08G0216200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEMKPAGAGGTTVGDGFFTEADLAAADQLVQLSVSGGGCEDDGYDSSSSTTLQSVNNAEASAAMDDDDDMGLDRRVRKRYRHLSELYAATLPVKENHGGGKRKKREEDMGKKKQPQPR >ORGLA08G0216100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:174591:175004:-1 gene:ORGLA08G0216100 transcript:ORGLA08G0216100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLARRRKLPPAAAEADGESLVMSLSSSPKQQQRFTALELAAAEQLIHLSESSSSPRSSSFSFTSASASASSPRSVSNAPRRGGGLGEADDEDDEQEVGGRPRRNRRLRPIAEIYAATAPIGGGRKAKAKAAGVE >ORGLA08G0216000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:169138:172405:1 gene:ORGLA08G0216000 transcript:ORGLA08G0216000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVQMSDSEPQAAPPAAPAASALHHLKEIASVIEAGSLSKEVRRISRAVRLTVALRRRLAARDVSAFLAFALPPSSEAYTRLTSLVPKEDDTEMDIDTAAPATQISIKHGLPEIEIYCYLLVLIFLIDQKKYDDAKACANASIARLKNLNRRTVDVLASRLYFYYSYVHELTNSLAEIRGNLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPITARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKEALTPYFELTNAVRIGDLELFRAVADKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSDNPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >ORGLA08G0215900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:162593:167968:1 gene:ORGLA08G0215900 transcript:ORGLA08G0215900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G47530) TAIR;Acc:AT1G47530] MSSTSGSAWDHSNNGGGGSPELREALLLGDGGSSPESREIKGVAVKKQDDLEEIRSVGELMRLAAEENRRLWYLAGPAIFTSLAQYSLGAVTQVFAGHLTTLELDAVSTENMVIAGLAFGIMYGMGSALETLCGQAFGAKQHHMLGIYLQRSWVILTAMSVILLPIYLFATPILRFFHQDDEITVLAGRFSLYMIPQLFAYALNFPIQKFLQAQSKVMAMAAVSAAVLLFHVALTWLLLVPLRMGLVGLAVALNVSWWLVVLGQLAYIVMGYCPGAWNGFDWLAFTDLLSFARLSLGSAIMICLEFWFYMFLIVIVGNLPNAQVAVAAVSICTNLFGWQIMVFFGFNAAISVRVSNELGAGRPRAARLAIAVVLVSSVAIGVAFFAAVLLLRDVYGAPFTGSPEVVRAVASLGVVFAFSLLLNSVQPVLSGVAVGAGWQWLVAYINLGCYYCVGIPVGYAIAFPLRRGVQGMWGGMLTGVGLQTAILVAITARTNWNKEASEAHARIQHWGGTAKLAVDDPI >ORGLA08G0215800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:157695:158862:1 gene:ORGLA08G0215800 transcript:ORGLA08G0215800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAVHASLSSYSPPVVAGGRDDAGSSSSSSMSRLPARVAGAVARGIVTFVFATVGTILGAITGGLIGLATESGMVRGTGIGAISGAVVAMEVVDSSVAMWCSHDSGIWSVLYVLDVIWSLLTGRLVREKVDPAVQNAVDSQMNAADAPFRESAPTLAEMFDTGIPGVAAAATGMPADAIAALPVTTFAAAAGGDDRAGCSVCLQDLEDGERARRLPECGHTFHLHCIDSWLLRHASCPLCRRTVVAAAVAVDDVVVG >ORGLA08G0215700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:146679:152014:-1 gene:ORGLA08G0215700 transcript:ORGLA08G0215700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSTGAGAPVVKLYHEKSMILPDVSRVLACLYEKNIEFETVKDSYKDILRLQASRSVPVPFYDGPTFLQESRAICRYIAETYEQRGYPFLLGKDVLERASIEQWLRHEEHAFDPPSRALFCHLAFPVQDDDDDDINTEKRKLEEVLEVYEQRLGESEFLAGNKFTLADLVHLPNTHHLVTSEFAYLYDSRKNVQRWWDTISNRQSWKQVLKDMNRVEEEYQMELEQQEEQWQTELPQTSVGHTIRLDPRQTTSWHVMSRTILVPPSSAGMISTSFSSQREQPLPSETTRQDKPSPRKESNFFTTTEKTPSTPRSRAPTTQKQPSSTFFTQSTTPKIPQRTDTDISSSKDAPYQTKPSETTSKEAHDKSRFSGFFKARSHTDETATPTKHSPQEDSKTSTKIPKTRDISEAVGPNSPISTKAPHEIDERASVDPRFDKPAPYTKPTTNIPQTSSGRPSAQIDLGTSGTEADKTSSDLGGGVQSPYAQGRAEQVKKTSSDQRGSETAQPAQPHGTQQFTKDARQADQNRIAASPRQQPSESQEDTHNIMSEDERFSTKRLRKMMEESEKEAQEVKSQPTDFRPSREETPSIYKKPSDVQDRTILDDRKSGRSPSAGTRAPDYPTSAAERRVASQPKEGMPYDDRGATKPQKSPSINEQEKIPVVPSQAPPASSGKASESLKEVSPDDGLAQVSTINQWRQTSAPPPTKLAAPHAPRNVELAKTEGVDKRTQPSTTKETPRNDRNVLATGQGADRGVGNEQYDKNSIDERAQQMTPRQAAPSVTQRASASIQERISGAHGASDDKFGKTSSADQSNTPAIPKQTTVQGATPDVRGTSYADREMKLPADEKATANKQKPVSSSQQTIEQIRGGTPTSYGSTDDDLAKTSRANERQTPPSKAQAPASNRQSASTALQGGTPDARGENTAVKPSVTSPTGMPTSSRRQEPTPSVTSPTGVPTSPRRQEPTPDTQRRRAADQMPSQAPLPSSFSTRNKENGISEAGQTNTVAPDGLPDLGVPKDAGPQVAGPSVVKSQKNMNEAYSDGPSTQQLPNDQYRSQPREAKEEQGADAALINEIGKAQKDDLLANPNQSSTGRVQPTSTEETSKQQLQSGLNKPISSKDGKETVSYGSSATSREMLPSIPDKSMRMQQPQGDKSSYSSISQEDNVKQGSQAALQGSGNEQPKKRDLLANADEKIRGTTGEALQKSDEGRISSNTEQMKSNRNNSKPDGSTEPTSFDGNEGNLPESQRRGSSSNP >ORGLA08G0215600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:139892:142609:-1 gene:ORGLA08G0215600 transcript:ORGLA08G0215600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYYICSHIDPLALSPIPGIALDTLFLVAIQALAVILVSKFFHLFLRRYNQPSVISQILAGVVVGGMGLRSAIVHVDVDNVEDMYGGYISAARILYMFLVGLDMDIAALRHATHRCVAFTYATVAASLLLAAVVSSGMYGSMMHSPVKTPELLAATLMLALTNTSSIAVARIAAELKLTVTENGRLVVAAGIATNLICILGDGVLSSTTRAKGKIEGVARGEHQIRKGFLALAVAAGAVWMVRPAVTRINKRNVGQHHVGVRDLAVMLLAIWFVGNIPQFLGFDGMPTSFALGLAFPREGAAARSVADALAPPVKGIMLPFYFATIGMRMNFNSMSGAIIVPGVLITLLGLFGKAIGAAAVASYLSMPLSDALRFSVLLNIKGHVDTMNMKFAKSEGVVWAEQALYAMIIGNLISTLVAGPVVAVVRRKEEEAYRTRHQAMESLGAEQELHMLACVHSAHAAPGMLSLVELLVSEPQEQPAVHVLHLFDVGEERVVRIPYHQRIRDDDDGGGRDERGGGRDAVTRMNTIVDLFSRATGIWFRQIDVVCRGGAALYDAGAVCRAAEGVHARLLLAPCHKEQRYDGKMWCRLGGRRELNHGVLSRAPCTVGLLVDRPYRNSGTSFNVPSSVAAEAAATSGGGRTLLHPCSDRAVTHVVAAVFFGGADDREAVSLASRLAEHPSIGLTVFRFVKRSTYDSVTSTKVDELDMAFQEGDVDERFLWRFYERYAATEMAMYVEKVVERPADVEETLAGMAGMFSLVIVGRGGRQPPELLAGLERWADAGGEMGPAAAILASNDSLEMGSVLVMQQHTVVIKQ >ORGLA08G0215500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:138487:138918:1 gene:ORGLA08G0215500 transcript:ORGLA08G0215500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLAQLSKKWQGMGAIGRKRVTASEKEIIHHSSCSSSVAGKGNCVVYSCDGRRFEIPLAYLRTPVFVELLRMSQEEFGFSSDGRITLPCDAAVMEYVMCLLGREASEEVEKALLSSIVMPCSHHPSRMAQHQHFAVCSI >ORGLA08G0215400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:134855:135265:-1 gene:ORGLA08G0215400 transcript:ORGLA08G0215400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLCKSHGEAVRSDTHGGGGDGRGGRRGKLAWSSWSGCGSPPPPPPPDRLLPHADGWARLMAIAALCLGVGGGTRQRRRRRRRSGGDEVCVCFCFWFLCKQPTRCGPRPIRVNSSFVRPKYSSDQAQRPEPACWA >ORGLA08G0215300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:127327:131188:-1 gene:ORGLA08G0215300 transcript:ORGLA08G0215300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKTDGGGGGGGAAVALCRDRAALLADAIRHRYALADAHRAYAASLRDAAAALHDFLRGVQALPPPSSSDVDDAAPLRLPDLRKGDHSLPAAVVPLPVDAVVIKQVDDDGGGHIHFPSDDDDDEGGSDGSGIHIHFPSDDDDDAEPPPPRPAAAPAPHAATPYTYAPPYGYGPGAGQYEYGVDMGGYGQSFFSISYARSQPPPPSSVVSYEHRPQTANATVHYYPGDGAAGPPLPGSYYGSAAPPPSPPRVSAWDFFNPFESFESYYHQDQPSPAPPAYTPSRSSNGAREEDDNGIPEVEHDEVDKVDNHQDANGMPLPAKDVSEEQHRKSKSSEASSSTSSSMISDLHVVQKSVIEEQLRHSDAAGPPAIPGKVYNDDVEVVEEIRSQFEHAAKSAFDVSKVLEVGKMPYYQKSSGLKVSSMMICGLSSVGEEFLQFEEDKAMECGNLSSTLQKLYMWEKKLLEEVKTEEKMRVLYNQKREELKVLYGRGAEAHKLEATETHIRKLSTKISIAIQIVNTISKNINNLRDDELWPQTCELIQGLMQMWHAMSKCHQIQRHAISQARNLDSKLDSARFSEAHMDLIKRLELQLLELISSFATWVNAQKSFVGTLNEWLKRGIDYVPEVTDDGTPPFSPGRLGAPPIFIICNNWAISTGRISEKEVVDKMQAFASSVLHLWEKHRLEWRQGMMANKDMDRDLRVMERDELSMRKALDAQSKKLVLVSNQSGVSLSAQVVHDSGPTAEVGLQSCMNKVFEAMESFTAACANAYSDLHLRSEEEKTRLGQNNGRVPSFISDLAGKYIASN >ORGLA08G0215200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:124692:125486:-1 gene:ORGLA08G0215200 transcript:ORGLA08G0215200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQLCRLRQNVASSSSSSSAAAAMAGALEGVARVYEHVGPLVRFACAEQVEEELEASVALLDACAAARDSLRAMRACALDLEVAVRRGDAAGAQSAASAYARLARKARADVKKQQRRLTNSRRRERPTRDGDDAQSLQEARRLAVDVLDRVVAAAAAGGATGPSRWSTCVARAFRNRTRVACEDAEEIAAASPPALAHLYCSSSPSKDLHDGGETAARVQKQLRTLGDTIQRLEDGLELLFRRLVHCRVFLLNMCSSLSECV >ORGLA08G0215100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:122076:122783:-1 gene:ORGLA08G0215100 transcript:ORGLA08G0215100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQRSTSLPSMPHPNYIKIEEELRNICSGISSPSATIEMVSDALRRLGGVYNCINEIISLHSNQAHGKKLEEEMERSLEVLDLCSAMQEMFADLKMTIQELQMVLNRGDHAVVQVKAQSYIRLVRKAKHHLKKASNKSTSDEDGRLVSLLTTARGITASVLKSALELLSKQISTCNPSKWSLISKSFQKAKVSCEEVQLQALELGIVGLESGVENLFRRLIQTRASLLNTLSS >ORGLA08G0215000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:120226:120939:-1 gene:ORGLA08G0215000 transcript:ORGLA08G0215000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSASVPSSPCSNDTTIEQQLQTLNTVVSSPSATIDTMCDGLRKLGDIYNSIEELICTPSNQVSLCQKLQRKLVEEELGRSLVLLDLCNAMQESFMELRMSVQEMMLAIKRGEDASAQVKAYIRLAKKARKQFKKVSKKTASDKMDCRVVKLLAEAREITVSLLESTSCFLSKKIETPKWSLVSATFQKSKVMCEEEQLQELELTIKDLESGAELLFRRLIQGRVSLLNTLSS >ORGLA08G0214900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:118505:119209:-1 gene:ORGLA08G0214900 transcript:ORGLA08G0214900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSVSLPSKRQSNEAEIEDELQSLEASISSPSTTIDGLRRLGDVYNQIEEMIHLPSNQVFSAQQRKMLDGEMECSLELIDLCSAMQENFTELKTIIQDLHAALRRGDSASIQVKIQSFTRLAKKAQKQCKKMSKKTTSDKEDCKLIKLLIKARVLTVSLLESTSCHLSQQLVVPKMSLVSKAFQKKRSVVCEEEQLQALECIIGDLENGAELLFRRMIQSRVALLNTLSS >ORGLA08G0214800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:115687:116544:-1 gene:ORGLA08G0214800 transcript:ORGLA08G0214800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLELLSLYIQANHAQVDTIAAQFPFSSYPSPEKRKQEKNIMAFHLRSASAPSSPRSSETNVEEQLQSLKATISSPSSTIRTMNDGLKRLKSIYDSIDEIMCMPSSQVLLCQSQNRKAVEQELECSLVLLDLCKAMQQNFSELKASIQDMMLVIKRGEDAAVQANIPSCIRLAKKAQKQYKKISKKTLSPDQESCRVVKLLAEARETAFSMLEISSHLLSKQTVMPSYSKWSLVSKTFQKRRIICEEEQLQALELDIVDLESGIENLFRKSIQSRVSLLNALSM >ORGLA08G0214700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:113770:114501:-1 gene:ORGLA08G0214700 transcript:ORGLA08G0214700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASVPSSPRSNEIDIKGQLQNLKAIVSSSSSTIETMSNGLKRIGNIYSCINEIMCLPSSHVAICQPLQRKAVEQELERSLILLDFCNAMQESFSNLKQSIQDMQLIMKRGDNAAVQVKIQSYICLIKKAQKQFRKISKKSSSVDLESCRVVKLLAEAREIAILMLETSFHLLSRQLAMPSSSKWSLVSKTFKKRALFCQEEQLQVLESNIADLDTGVKNLFRKSIQSRVSLLNTLSL >ORGLA08G0214600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:111943:112650:-1 gene:ORGLA08G0214600 transcript:ORGLA08G0214600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEEELHSIEACISSPSLTIEMISDGLRRLQDIYSSIEEIMCLPSNQVCSSAQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDLQVFLRKGDDAVLQAKIQSYIRLVKKAKKHSKKTLKKVVLDKEECRIVKLLSEARENTTSLFKSTMHLLLKQIEMPKLSLISRAFQKKNPVICNEEQLQVLECCITDLEAGAGLLFRRLVQSRVTLLNILSS >ORGLA08G0214500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:109499:110206:-1 gene:ORGLA08G0214500 transcript:ORGLA08G0214500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEEELHSIEACISSPSLTIEMISDGLRRLEDIYSSIEEIMCLPSNQVCSSGQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDQQVSLRKGDDAVLQAKIQSYIRLVKKAKMHSKKTLKKVVSDKEECRIVKLLSEARENTTSLFESTMHLLSKQIEMPKLSLISRAFQKKNTMICNDEQLQVLECCIGDLEAGAGLLFRRLVQSRVTLLNILSL >ORGLA08G0214400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:107839:108546:-1 gene:ORGLA08G0214400 transcript:ORGLA08G0214400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPISKVEEELHSIEAWISSPSLTIETISDGFRRLGDIYSSIEEIMCLPSNQVCSSEQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDLQVSLRKGDGAVLQAKIQSYIRLVKKAKKHSKKTLTKVVSDKEDCRIVKLLSEAREITTSLFESTTHLLSKQIATPKLSLISKAFQKKNPVICNEDQLQVLECSIRDLEAGAGLLFRRLVQSRVTLLNILSS >ORGLA08G0214300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:106213:106920:-1 gene:ORGLA08G0214300 transcript:ORGLA08G0214300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSVSLRSRPLSKVEEELHSVEACISSPSLTIEAISDGLRGLGDIYCSIEEIMCLPSNQVCSPQQRKLLDGEMECSLELLDMCNTMSEVFTELKAIIQDLQVSLRKGDDAVLQTKIQSYIRLVKKAKKHSKKTLKKVVSNKEDCRIVKLLREAREITTSLFESTTHLLSKQIAMPKLSLISKAFQKKIPVICNEEQLQVLECCIGDLEAGAGLLFRRLVQSRVTLLNILSS >ORGLA08G0214200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:102704:103400:1 gene:ORGLA08G0214200 transcript:ORGLA08G0214200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKVVCCARAELPSVMPNLETLAIYSNDEVVNTPMLPTKFLYLKHLTISVSSAASFNTSYDYFSLVSFLDASPSLETLILNVSQEHMKHESVLGDSSPLRQMPEHRHYYLKSVKMTGFSSAKYLIELTCYILKNAVSLECLTLDTLYERACSLVVIVT >ORGLA08G0214100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:99605:100210:-1 gene:ORGLA08G0214100 transcript:ORGLA08G0214100.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLPPISVYADDAVLFFRPTAGEASTIKGLLTLFGEATGLKTNFCKSTITPIQCNDQQRAMVEAILSCRVEDFPITYQGLPLGTRKPTKAEVQPILDKLAKKVAGWKPKMLSIDGRLCLIKSMLMALPVHYMSVLQLPRWAIKDIERKCRGFLWKGQEEVSGGHCLVSWRKVCSPVEKGGFGVKDMNFFGRALRLKWHAKSLE >ORGLA08G0214000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:95306:96556:-1 gene:ORGLA08G0214000 transcript:ORGLA08G0214000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEEELHSLEACVSSPSMTIEMISDVLRRLDDIYNSIEQIMCLPSNQICSSQQRKLLDGEMECSLELLDICNAMSEVFTELKAIIQDLQVSLRKGDNAVAKIHSYIRLVKKAKKHFKKTVKVASDKEDCKIVKLLSKAREITTSLLESTMHLLSKQIQMPKLSLFSKAFQKKNPMICNEEQLQGYENGHVSHDTLIPFAASVASKGFLCSGSCYHSCNRPAPIHKVESASGIDCK >ORGLA08G0213900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:92523:94830:-1 gene:ORGLA08G0213900 transcript:ORGLA08G0213900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSTSLPSRPLSRVEEELHSIEAWISSPSLTIETISDGLRSLGDIYSTIEKIMCLPSNQVCSSQQRKLLDREMECSLELLDLCNGMNEVFTELKAIIQDLQVSLRKGDNAAVQTKIQSYIRLVKKAKKHSKKTVKKVVSDKEECKIVKLLSEAREITTSLFESTIHLLSKQIAMPKLSLISKAFQKKNSIICNEEQLQVLECCIRDLEAGAALLFRRLVQSRMAFHQRSISLPSRPLSKVEEELHSIEACISSPSLTIETISDGFRRLGDIYSSIEEIMCLPSNQVCSSEQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDLQVSLRKGDDAVLQAKIQSYIRLVKKAKKHFKTVKKVASNKEDCKIVKLLSEAREITTSLFQSTVHLLSKQIEMPKLSLISRAFQKKNLVVCNEEQLQVLECCIGDLEAGAGLLFRRLVQSRVTLLNILSP >ORGLA08G0213800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:89848:91100:1 gene:ORGLA08G0213800 transcript:ORGLA08G0213800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELSAANSSARVVSLMSDSDQDASCRDKESAWQFIAGSSQSQCQCVEKGDPSLDESPEENLNTVVKTSNVQLQHLQLLSSQEASLFFLETSWDHLLELDMVAICFGKSFAAAVSSAATAISLASEAAGLLLPPPVILLMCLCAFLAARRTTG >ORGLA08G0213700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:88858:89580:-1 gene:ORGLA08G0213700 transcript:ORGLA08G0213700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSASAPSSPHSNKTNVEEQLQSLKATITSPAETVETMLDGFSRIGAVYNNIEEIICLPSSQAQLCQNQQRKAVEQELEHSLVLLDLCNSIQESVSELKTSIQEMQLVHKRRDATVVQANIQYFIRLTKKVQKQSKKISKKSASAEQEGSRVIKLLAEAREVAISMLESSSHLLSKKITTSNSSKWSLVSKAFQKTGLACQEEQLQALEFAIVDLESGVETLFRRLIQIRVSSECSKLV >ORGLA08G0213600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:86858:87589:-1 gene:ORGLA08G0213600 transcript:ORGLA08G0213600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLRSASVPSSPCSNEANVEEQLQSLRATIASPSATVETMLDGFSRIGGVYRNIEEIMCFPSSQVLLCQPQQRKAAEQELERSLILLDLCNAMQESFCELKASIQDMQLAIKRADDAAVQAKVQSFIRLTKKAQKQSKKISKKSASDDQEGCTVLKLSAEAREAAISMVESSLHLLLKQIVKPNSSRWSLVSKAFQKARIACQEEQLQALELDISDLESRVETLFRRLIQSRVSLLNALSL >ORGLA08G0213500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:81171:81878:-1 gene:ORGLA08G0213500 transcript:ORGLA08G0213500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEDELHSIEAYVSSPSKTTKMISDGLRRLGDTYSSIEETMCLPSNQVCSSQQRKLFDREMEYSLELLDLCNTMNEVFTELKSIIQDLQVSLRKGDDAVVQAKIQSYIRLVKKAKKHSKKTVKKVASDKEDSKMVKLLSNAREITTSLFESTLDLLSKQIAMPKFSLISKAFQKKNAVICNEEQLQVLECCIADLEAGAGLLFRRLVQTRVTLLNILGS >ORGLA08G0213400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:79411:80118:-1 gene:ORGLA08G0213400 transcript:ORGLA08G0213400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEDELHSIEACVSSPSKTIEMISDGLRRLGDIYSSIEEIMCLPSNQVCSSQQRKLFDREMECSLELLDLCNAMNEVFTELKSIIQDLQVSLRKGDDAVVQAKILSYIRLVKKAKKHSKKTVKKVASDMEDSKKVKLLSNARQITTSLFESTLDLLSKQIVLPKLSLISKAFQKKNSVICNEEQLQALECCIGDLEAGAVLLFRRLVQSRVTLLNILSS >ORGLA08G0213300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:77686:78390:-1 gene:ORGLA08G0213300 transcript:ORGLA08G0213300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEDELHSIEACISSPSTTIEVVSDGLRRLGDIYSSIVEIMCLPSNQICSSQQRRLLDGEMECSLQLLDLCNSMSEVFTELKVIIQDLQVHLRKGDGAVVQAKIQSFIRLMKNAKKHSKKTVKKVVSDNDCRIVKLMSEAREITTSLFESILYLLSKQITMPKSSLIPKAFQKKNLVICNEEQLQALECCIGDLEAGAGLLFRRLIQTRVTLLNILSS >ORGLA08G0213200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:75600:76766:-1 gene:ORGLA08G0213200 transcript:ORGLA08G0213200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPHSKVEEELCILDACISSPSMTLDTMCEGLRRLANIYSSIEEIMCLPSNQAFSSQQRKLLDGEMECSLELLDLCNVMHEDFTELKAIIQDMQVALRKGDDAAVQSKTQSYYRLLKKAKKHFKTAKKVTYEKEDCRMARLLREARDISTSMLESTLHLLSKQIEMPKQSLVSKAFNKKKVVICEEEQLQNHKELSIGPEHSLVYSGLNRMAAKDSAGNARHCEDTADTVCY >ORGLA08G0213100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:72808:73524:-1 gene:ORGLA08G0213100 transcript:ORGLA08G0213100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASAPSSPRSAKTDVEEQLQSLEEIVSSPSATIETMCNGFRRLTDVYSCMDEMMCLPSFRLQQRRAVEQELERSLALLDLCNAMQESFSELKASTQEMQLAIKRGDDAAVQSKVQAYTRLTKKAQKQFKKINKKSASEDQEGCRVVKLLADAREIALSVLESTLHLLSKQIAMPSQSKWSLVSKAFQKTRVTCQEEQLQALELDIVDLESGVETLFRRLIQSRVSLLNALSL >ORGLA08G0213000.1 pep scaffold:AGI1.1:Oglab08_unplaced138:70913:71641:-1 gene:ORGLA08G0213000 transcript:ORGLA08G0213000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHLRSASVPSSPCSNETNIEEQLASLREIICSPSATMETMCNGFRRLTDVYSCMDEIMCLPSSQASLCKHQQRREVEKELERSLTLLDLCNAMQESFSELKATTQEMQLAIKRGEDAAVQTNVQSCTRLTKKAHKQCKKINKKPASSADQESCRVVKLMADAREITFSVLESTLHLLSKQIAVPSSSKWSLKAFQKTRVTCQEEQLQVLELDIVDLQSGVETLFRRLIQSRVSLLNALSL >ORGLA08G0212900.1 pep scaffold:AGI1.1:Oglab08_unplaced138:68980:69711:-1 gene:ORGLA08G0212900 transcript:ORGLA08G0212900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASVPSSPCSNETNAEELLQSLKVTISSPSSTIKTMSSGWKKLGSIYNCIDEIMCLPSSQALLCQPLQRKAVEQELEGSLVVLDLCNAIHESFSGLKACIQDMQLAVKRGDDAAVQAKIQSYIRLTKKGRKQFKHISKKSSSADQESCSVIKLLAEAREIALSMLESSSHLLSKQIALPSSSKWSLVSKTFQKRRLVCEEEQLQVLELDIVDLETGVENLFRKSIQSRVSLLNTLSL >ORGLA08G0212800.1 pep scaffold:AGI1.1:Oglab08_unplaced138:63465:66026:1 gene:ORGLA08G0212800 transcript:ORGLA08G0212800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35250) TAIR;Acc:AT4G35250] MASSTSLATLPSQLASPARRAALSRSATARPRHHHHPLLRAPPKAGCRLVVTCNAQTAVPTSIAQGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIRTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKHCTEKFIQDAGLDYLIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFIAMRNEKASKKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVAVLRFTRQLTRFFQWTNDVADRLAFSEVLSSDTIFSVPMNDTYQLLGVDSKDILTLEKYLQDYFTNILKKLKDLKAQSKQTDIFF >ORGLA08G0212700.1 pep scaffold:AGI1.1:Oglab08_unplaced138:56427:59822:-1 gene:ORGLA08G0212700 transcript:ORGLA08G0212700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSSGIVYGGLKYQARCIADVRAAAGSTTFLAGTLSLKEENEVHLIRFSPAESELVCDGLFYHPNEIWDLKSCPFDHRVFSTVYTSGEGYGASVWKIPELHGQSNSPPLEQLFTLDEHTGKIRCVLWWPLGKHDKLISIDDRNIFLWNIDASNKSAKVMQKGSADMLPNLRGGSWDPHDHNSIAAITDSSLHCWDLRSMKKSNAIEHAHFRDVDYNPKKQHLITTAEDEFGIRLWDLRMLKYPLKNLPGHSHWTWAVRHNPEHDQLILSAGTDSTVNLWFAKVGTDDSGPESPPGSPTREEEPLLNSYTDYEDSIYGCFRISQALLAEKMKLHVLSVVRAHNSTSLLKLLLAILYTVLWYMELMRWPDSTACDPRTIASQ >ORGLA08G0212600.1 pep scaffold:AGI1.1:Oglab08_unplaced138:50663:54682:-1 gene:ORGLA08G0212600 transcript:ORGLA08G0212600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRRRGGGGGHNKCPRPALLPAAALLLFLLAAVALLYVSPPPLTDHPALASSRRRSPHAPLLNSSGGGSTVVSEHSEISRVPISKEADGLWGSKFASRFYGCSNSSSRFLGSSVITQPDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQTSFWKDASNFSEIFDVDWFISNLSKDVKIVKELPEIGGKLRTPHRMRVPRKCTQRCYVNRVLPALLKKHVVRLTKFDYRLANRLDTDLQKLRCRVNYHGLRFTGLIEEMGEKLIQRMRERSKHFIALHLRFEPDMLAFSGCYYGGGEKERKELGAIRKRWKTLHAINPEKGRRQGRCPLTPEEVGLMLRALGYRNDVHIYVASGEIYGGARTLAPLKAFFPNLHTKETISSKEELAPFSKYSSRMAALDFIVCDGSDAFVTNNNGNMAKILAGRRRYFGHKRTIRPNAKRLYSLISNRRNMSWDSFSSRVRMVQKGFMGEPKELRPGRGEFHENPSTCICEKTVSKTVAKSNSQFEQVLSNDTERGIAIQTEQVSSNDTEMGIATSEPTVPDHTDEEAGESEADEDAPGEKEEIIDPEADDDVQFRLEDPELEGILSD >ORGLA08G0212500.1 pep scaffold:AGI1.1:Oglab08_unplaced138:45935:48484:-1 gene:ORGLA08G0212500 transcript:ORGLA08G0212500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSCKNLLPCCMGHPPATSPAGATAGVSVKVSDRYVEIKNGIFELTLSNPDGIVTGVRYNGVDNLMEILNKEDNRGYWDLVWSKLGERTGIFDVIKGTEFRIIYQDENQAEVSFFRTWDPSLEGKAVPLNIDKRFIVLRGCSGFYTYGIYEHQEGWPGFSLGETRVAFKLRKDKFHYMALADDRQRIMPMPEDRVPPRGQQLAYPEAVLLVDPINPDLRGEVDDKYQYSCEDQYNNVHGWISFDPPIGFWQITPSDEFRTGGPVKQNLTSHVGPTMLAMFLSGHYAGDDLTPKFLTGEYWKKVHGPVFMYLNSSWDGSDPTLLWEDAKVQMMIEKESWPYCFALSDDFQKTEQRGCISGRLLVRDRYLDDADLYATSAYVGLALPGDVGSWQRECK >ORGLA08G0212400.1 pep scaffold:AGI1.1:Oglab08_unplaced138:31429:37606:-1 gene:ORGLA08G0212400 transcript:ORGLA08G0212400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WAIHRRPPHRPAPPPASALWSATDMXVEIKNGIFEVTLSNPDGIVTGVRYNGVDNLMEILNKEDKRGYWDLVWSKLGERTGIFDVIKGTEFRIIYQDENQAEVSFVRTWDPSLEGKAVPLNIDKRFHYMALADDRKRIMPMPEDRVSPRGQQLAYPEAVLLVDPINPDLKGEVDDKYQYSCENQYNNVHGWISFDPPIGFWQITPSDEFRTGGPVKQNLTSHVGPTMLAMFLSGHYAGDDLTPKFLTGEYWKKVHGPVFMYLNSSWDGSNPTLLWKDAKVQMMIEKENWPYYFALSDDFQKTEQRGRISGRLLVRDRYLHDADLYATSAYVGLALPGDVGSWQRECKGYQFWCRAHDDGSFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA08G0212300.1 pep scaffold:AGI1.1:Oglab08_unplaced138:23507:28399:1 gene:ORGLA08G0212300 transcript:ORGLA08G0212300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAHYFGLGEPQMQQQQQQQPPLQNNAAAPVAATPPPKKKRNQPGNPNPDAEVVALSPHTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPSCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESGRIMPPMGAALYAAAGAGMAIGGLTGMAASHQLQPFQDHSSAITTAANAAAQFDHLMATSSAAAGSPAFRAAQPTSSSSSPFYLGGGGDDGQAHTSLLHGKPAFHGLMQLPEQQGSNGGGLLNLSYFSGGNGGHHHHHQEGRLVFPDQFNGVAAGNGARAGSGEHGNSGNNADSGSIFSGNMMGGGGGFSSLYSSSDQTVPPQMSATALLQKAAQMGATTSSGGAGSVNSLLRGLGSGGGGGALNGKPAGAAGFIMSGESSSRSTASQTAENESQLRELMMNTLSATGGSTGAGTVFVGGGFPGVDDGKLSTRDFLGVSGGAPGLQLRHGGAAGMGMAGSLDQEMKWINW >ORGLA08G0212200.1 pep scaffold:AGI1.1:Oglab08_unplaced138:5528:6079:-1 gene:ORGLA08G0212200 transcript:ORGLA08G0212200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFSFPSSSPSLSLISLFPLGLSLGRSAGETAMGSGDGGGGGERRRRSGAGTGQGPSVPARPGGHRPPTARRAVLPLPIAAARLPPHPRRRVRAARPPQPPARGAAAATIWSKLGDGEGPRVLLYYTSLRVVRETYEDCRTVRAILRGLRSAVDERDLPMDPTFLPELAALLPQRRHMTLP >ORGLA08G0212100.1 pep scaffold:AGI1.1:Oglab08_unplaced138:2050:2811:-1 gene:ORGLA08G0212100 transcript:ORGLA08G0212100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAAAGLAPAAARSCSKKTTCMTTRSGRRLFCAAWMHASLDSFFTSIKLEIFRSSSPPSARSDATDNKYTLRKTSMSVYSPSWVALAASRKEVGEEDSDARGGVEEGAEEVAEVRERAADDDEDAGAARGHHARRLRRPPHPPGQPFVPLLRRGGRRQRPPPSPGLAPRAPPRQESVGEWKSRADGQRWP >ORGLA08G0212000.1 pep scaffold:AGI1.1:ADWL01017437.1:11697:16597:1 gene:ORGLA08G0212000 transcript:ORGLA08G0212000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSHSQSQAQAQSQAGRRRRCGWLLPLLVGAAFLAEIAFLGRLDMAKNAAAVESWTTSFYARSSAPARDGKAAVVVPGADADDAPPGGGEVVEEDDGDIRLCEERLEREDGVPHDRDFDKDPVLVGGAAKDWNKCSVGCEFGFSATKTPDATFGIAPDPTVESILRSMESSQYYSENNIAVARGRGYKIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLESLDVKIDSYGSCHRNHDGKVDKVETLKRYKFSLAFENSNEEDYVTEKFFQSLVTGAIPVVIGAPNIQEFSPGEGAILHIKELDDVPSIAKTMKHIASNREAFNQSLREHHVYTNHIFGTSNDLRKFKHNHGVCLHVH >ORGLA08G0211900.1 pep scaffold:AGI1.1:ADWL01017437.1:1585:2553:1 gene:ORGLA08G0211900 transcript:ORGLA08G0211900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRSLPPVREDLRHGWGRRPARRGEDAAAGDEPRAVSSCDIEDLVCVASRQRHIVAKPPAGDTSTKRGGASVAGAREQSDGGGRLAGAREGRGGGGGSRIWGTERRRWPEPEEEGAVRRRCLEPREGGGGSGCRRWCRVSLDTLQVSPSTWYQVSPDTSQVSPDTGKMVILAMYHVLIPSRYRVILTRYCAISTM >ORGLA08G0211800.1 pep scaffold:AGI1.1:ADWL01017436.1:10613:12671:1 gene:ORGLA08G0211800 transcript:ORGLA08G0211800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPSPVFPAAAAAASTSSSSRCRCRCRITTSSSARGWSNCQGWRLHHRVWAAQAADQQGGVQQQQQQEENEDGVVDSNVLPYCSINRKEKKTIGEMEQEFLQALQAFYYDKKAVMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVGIDLFVLLY >ORGLA08G0211700.1 pep scaffold:AGI1.1:ADWL01017435.1:3291:3602:-1 gene:ORGLA08G0211700 transcript:ORGLA08G0211700.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSDTTVAKMLVGNKCDLDNIREVPVEEGKALAEAEGLFFMETSALDSTNVRTAFEIVIKEIYSNVSRKILNSDSYKAELSLNRVSIEGDSKDDQKQSNRFGCC >ORGLA08G0211600.1 pep scaffold:AGI1.1:ADWL01017434.1:391:2317:1 gene:ORGLA08G0211600 transcript:ORGLA08G0211600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WKYDGPSDSFKALIDMAAVHSSCRLCIHVATKIHEKEERTPKFMNRPCSCSSKRGKVYHLFVRERGRFKTESIFLRSDQLTMGALESAVLAKFRSLNHVPVWKDERPPSIRGGDELKVYKIYPIGLTQRQALYQFRFRDDADLDKYIKDHPCAKLEVIFV >ORGLA08G0211500.1 pep scaffold:AGI1.1:ADWL01017430.1:7728:10538:1 gene:ORGLA08G0211500 transcript:ORGLA08G0211500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLAAAAAAFLLAVAMSCHCHVARGWGGLGVNYGTVADDLPTAARSVELLRAAGAGAVRIYDANADILRALAGTGVPVSVTVPNDAIPSLAAAASPAAADEWVARNLAPHIPAARVVCLLVGNEVLSDRATAGTAWPSLVPAMANLRRALSARGLGRVKVGTTLAMDALGASYPPSAGAFRDDIAGAVVRPLLEFLNATGSYYFVDAYPYFAWAANHRSISLDYALFQGEASTHYVDPGTGLTYTNLFDQMLDAVVAAMARLGYGNVKLAVSETGWPTAGDADELGANVHNAATYNRNLAARMAKNPGTPARPGAEIPVFLFSLYNENRKPGPGTERHWGLYYPNATWVYEVDLAGRRPAASYPPLAPTPPAPVQDGTPVWCVLAGGGEAANETAVTAAVEYACRQRSGTCAAIEAGGECNQPDTLAAHASYAFNAYWQLFRKAGGTCYFNGLAEKTTKDPTFALRSKHAKPFVNLQCDRAVPSLVEFRVSALNCAQKQNV >ORGLA08G0211400.1 pep scaffold:AGI1.1:ADWL01017430.1:3189:6890:1 gene:ORGLA08G0211400 transcript:ORGLA08G0211400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEAKPRSEHNDLLKKGKHKEKKHKKESKERRGRERKEKNSDRRKDKHSKKHKREKHKDKRKNKDDDRYTNQTLEKATLRNADLDNGRLKEKIQHEAVKDIKPANELVTQILDQEGHANHTSSSTGKLLPSTKSFGSAGSKGKKRSLSSVIEKSRQPTHLNHEMIEKKYSVAYDCASLGSKPRLQNGRSLQVGSAEKHSNTNRKHSHNRMDRPQRNTEGTSTITTVVSGAERAPNGVVTPSPNSLLRTEQVGQDPVVSSHFPSRNSDSMSPRGLMEIRNGNNSDFQIRMDRQSVRSKAGAVKRKGKTKELKSNDHKYVEDKDRDRLANERKTKDRIEEKEKVGKVVVSKQERKELDSLGASKNKIDGLQRQLGQLNEEFTSDDVKKRKDAEANSSLLVAEHSMRMNKLPRISPTDPRTNGEILDYSQGSGPSSPVGTNTYKADRFQDSKECYNNGVTGSHHLKEPKTSVSSSNHGSSQVSPKLPHPDAKYLGQVYSIPAMDDWSKCIDQSWLLSRGSVDWKSEILEAAESPRVWAEARLIDSADVVALPYVVPL >ORGLA08G0211300.1 pep scaffold:AGI1.1:ADWL01017429.1:5431:11364:1 gene:ORGLA08G0211300 transcript:ORGLA08G0211300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLGKDFGSMNMDELLRSIWTAEESQAMASAAAAAAEGGLQRQGSLTLPRTLSVKTVDEVWRDFEREASPGAAAADGGGGGGEQQQPRRQPTLGEMTLEEFLVRAGVVRENTAAAAAMVAAAAAPPVAPRSIPAVNNSSIFFGNYGGVNDAAAAAAGAMGFSPVGIGDPTMGNGLMSGVAGIGGGAITVAPVDTSVGQMDSAGKGDGDLSSPMAPVPYPFEGVIRGRRSGGNVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEQNMELQKKQEEIMEMQKNFFPEMQKNQVLEAVNNPYGQKKRCLRRTLTGPW >ORGLA08G0211200.1 pep scaffold:AGI1.1:ADWL01017429.1:1262:1872:-1 gene:ORGLA08G0211200 transcript:ORGLA08G0211200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEEGRVVVDLRSAAESAGGGGDEEAHAAPLHEIESLCMRCGDNGTTRLLMTMIPHFREVVLMAFECPHCGERNNEVQFAGQLQPKGCCYRLEVPRGQNE >ORGLA08G0211100.1 pep scaffold:AGI1.1:ADWL01017428.1:5842:6123:-1 gene:ORGLA08G0211100 transcript:ORGLA08G0211100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPHGGGGGFGRELVGLLPSLLCERRRPLPTTVPDLAANFFSLPPLLAAAEGPSAAADPLPLPSSRASHQLASPLHPHVATVRGHWRWWIRP >ORGLA08G0211000.1 pep scaffold:AGI1.1:ADWL01017427.1:7286:8301:1 gene:ORGLA08G0211000 transcript:ORGLA08G0211000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAHRPASCRTSPAASPCCEYSAACAFRATAMNMQRVVVVVLHPELSTEALEQRTTTALASVALDWIAES >ORGLA08G0210900.1 pep scaffold:AGI1.1:ADWL01017427.1:85:871:-1 gene:ORGLA08G0210900 transcript:ORGLA08G0210900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRVGGDYISSLLSSSPRLDFGVPVLDAVVAPGGGGGGGDCGLDKLCGDPGFAERAARLSSFNNGGGGVGQRYGGAGAGLFGMPPPAPGDFAGGGSREASSVSDPASSAMKDAAANAKKRKYTAAAAAAAKGKGKEPPVGEEKESDGKRCKTGNGEKESSVKPKAEQAGSDSSVEDGGGGGQKQGKGKNAKPVEPPKDYVHVRARRGQATDSHSLAERVQFCS >ORGLA08G0210800.1 pep scaffold:AGI1.1:ADWL01017426.1:6207:11088:-1 gene:ORGLA08G0210800 transcript:ORGLA08G0210800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLFFVFVTAAVLCFVVPAFLLLCTSVQRRRDVGQGGGRDWQKKKKLRLPPGSMGWPYVGETLQLYSQDPNVFFASKQKRYGEIFKTNLLGCPCVMLASPEAARFVLVSQARLFKPTYPPSKERMIGPSALFFHQGEYHLRLRRLVQAALAPDSLRALVPDVDAAVAATLAAWSGGHVASTFHAMKKLSFDVGVVTIFGGRIGRRHREELRTNYSVVERGYNCFPNRFRGTLYHKAIQARKRLRAILSEIVAERRARGGGGGDDLLGGLMRSRDDGTAGAVALLTDDQIADNVVGVLFAAQDTTASVLTWILKYLHDSPKLLEAVKAEQMAIYVANEGGKRPLTWTQTRSMTLTHQVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHNPDYFQDPQKFDPSRFKVARRPSTFLPFGSGVHACPGNELAKLEMLVLVHRLVTAYRWEIVGASDEVEYSPFPVPRGGLNAKLWKQEAEEDMYMAMGTITAAGA >ORGLA08G0210700.1 pep scaffold:AGI1.1:ADWL01017426.1:347:1962:1 gene:ORGLA08G0210700 transcript:ORGLA08G0210700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSADIYDDVSHNQCSTKQGGGGHDGGTGQQCGPSEGAVVSYGSWLKHQFSPLPELAPSDTRRSTAGEKKMSYSSVQNAS >ORGLA08G0210600.1 pep scaffold:AGI1.1:ADWL01017425.1:3953:9307:-1 gene:ORGLA08G0210600 transcript:ORGLA08G0210600.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIWSGSEGGVIKAWPWDVIAKSLSLMPEEKHVAALRIERSYIDLRNNAAAGNISSFPAADVKHMLADHSRAKVWCLTSMAFAVWDARTRELLKVFGMDGQIESARLEAPVMPEQFIEEEIKAKPVKKDKPQSSFNFFQKSRNALMGAAGAVRRVATKGTFVEDNRRTEAVVQAMNGTVWSGCTDGLIIMWDGNGNRLQEFQHHCSSVQCMKALGERVWVGYASGIIQVMDVEGNLLAEWTGHSCPVIQMAIGGSYVFTLAHHGGIRGWPLASPGPLDDILRTELSNRELSYRRLVNIKMLVGTWNVGQEKASYESLMSWLGRAFFDVDLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGRTLDEGISFHRVGSRQLAGLLIAAWARKDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVYDRRICFVNNHFAAHLENVSRRNADFDHIYRTMTFNKPHGSAASATSVQLHKTVNANGNQVDEDIPEMAEADMVVFLGDFNYRLYGITYDEARDMVSQRSFDWLKERDQLQAEMRAGKVFQGMREGLIRFPPTYKFQRHLPGLAGYDSGEKKRIPAWCDRILYRDSRDVLTAECSLECPVVAKITSYEACMGVTDSDHKPVRCAFSVDIARVDEFTRRQEYGKILQSDKRLHSLLRESHFVPDTIISTNNIILENQEHVVLRITNDCQRNKAAFEILCESQSITKQDGTKSEFPPRASFGLPLWLEVEPSVGLIEPGQTMEVTVHHEDYYTQEVFVNGVLQNCWCEVTRDKEAVLLVNVTGSTSTETITHRINVRHCCSTISASPPINPPSITTPSVDVLSGEASTRSSKKNPSNYLQRSDFKPFGSSEVHDLCPL >ORGLA08G0210500.1 pep scaffold:AGI1.1:ADWL01017425.1:381:1049:1 gene:ORGLA08G0210500 transcript:ORGLA08G0210500.1 gene_biotype:protein_coding transcript_biotype:protein_coding THGILNAVSWGLLLPMGAIFARYLKTFKSADPAWFYLHVACQLIGYGVGVSGWATGIHLGNLSKGITYSLHRNIGITVFALGTLQSFLKIFALFLRPKKDHKYRVYWNAYHHSVGYTIIILGIVNIFKGMSILNVEQKWKTGYIITISILGGIAVILEAVTWSIVLKRRKEENKSYNGASNGHLPLSM >ORGLA08G0210400.1 pep scaffold:AGI1.1:ADWL01017424.1:2189:3073:-1 gene:ORGLA08G0210400 transcript:ORGLA08G0210400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTRAGLRSAFDLPIAAASLQIARGALWPRCWGPRPADPDRALRLARSAIRRAPLLVPLFDRCFLPCRPCLAGNPIFFVTDDRVLCCGLDILHFFTRDSSFQPLDLRPPSSSSSVAPSSGEATPYMRRSLDAACGGKAPRWIEFWSDAASDRRRRDSSSSEASTASSSSGCASPPARRSRTPHWVDTYLDRLGSVLKSGGWRDTEVNEMVEVTASGLFDGEEAPAVDADAVLDALLLKADRCSDSLRRAGWSSEDVSDALGLDLRRCKERPRPAVQLPPEIAVKVERLAKSVARR >ORGLA08G0210300.1 pep scaffold:AGI1.1:ADWL01017423.1:6264:7805:-1 gene:ORGLA08G0210300 transcript:ORGLA08G0210300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:I1QL02] MGKHHVTLCCVVFAVLCLASSLAQAQVLFQGFNWESWRKQGGWYNFLHEKVEEIASTGATHVWLPPPSHSVSPQGYMPGRLYDLDASKYGTEAELKSLIEAFHDKNVECLADIVINHRCADYKDSRGVYCVFEGGTPDGRLDWGPDMICSDDTQYSNGRGHRDTGAGFGAAPDIDHLNPRVQRELTDWLNWLRTDLGFDGWRLDFAKGYSAPLARIYVDNTNPTFVVGEIWSSLIYNGDGKPSTNQDADRQELVNWVEGVGKPATAFDFTTKGILQAAVQGELWRLHDGNGKAPGLMGWMPDQAVTFVDNHDTGSTQSLWPFPSDKVMQGYAYILTHPGIPCIFYDHVFDWNLQHEIATLAEIRSRNGIHAESTLDILKAEGDIYVAMIDGKVITKLGPRYDAGGIIPSDFHVVAHGNDYCVWEKEGLRVPAGRKHY >ORGLA08G0210200.1 pep scaffold:AGI1.1:ADWL01017423.1:85:1727:-1 gene:ORGLA08G0210200 transcript:ORGLA08G0210200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:I1QL01] MPPDVEVIRHKHIDHPSSTRDRSVVVSSNSLSNTVSAYTDMKNTSSLCLLLLVVLSSLTCNSGQAQVLFQGFNWESWKQQGGWYNMLKGQVDDIAKAGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTAAELKSLIAAFHGKGVQCVADVVINHRCAEKKDARGVYCVFEGGTPDDRLDWGPGMICSDDTQYSDGTGHRDTGEGFGAAPDIDHLNPRVQRELTDWLNWLKSDVGFDGWRLDFAKGYSTDIAKMYVESCKPGFVVAEIWNSLSYNGDGKPAANQDQGRQELVNWVNAVGGPAMTFDFTTKGLLQAGVQGELWRLRDGNGKAAGMIGWLPEKAVTFVDNHDTGSTQKLWPFPSDKVMQGYAYILTHPGVPCIFYDHMFDWNLKQEITALAAIRERNGINAGSKLRIVVADADAYVAVVDEKVMVKIGTRYDVGNAVPSDFHQTVHGKDYCVWEKGSLRVPAGRHL >ORGLA08G0210100.1 pep scaffold:AGI1.1:ADWL01017422.1:4602:6041:-1 gene:ORGLA08G0210100 transcript:ORGLA08G0210100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPHSGVVDAAAKGVKLGGGGGGALMVRRVASGKLLSASSHLLFRATILATLCLVCLFTVHYPSLLSHSFHLSSAAAAAANGKHRAASRSSHRSLLGSSAAVAYGGAAWEKEVRRSAAPRRDGGLSVLVTGAAGFVGAHCSLALRARGDGVVGLDNFNSYYDPSLKRARQRLLASRGVAVLDADINDAALLERLFDAARFTHVLHLAAQAGVRYAMRAPQTYVASNVAGLVSVFEVAAKHADPQPAIVWASSSSVYGLNTDAPFSEEHRTDRPASLYAATKKAGEAIAHAYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFARSIVAGEPITLFRTADGADARRDFTYIDDVVKGCLGALDTAGESTGTKSGKKRGPAPLRVYNLGNTSPVPVTRMVAILEKLLGRKANKRVVTMPSNGDVPFTHANVSHAARDFGYRPATPLDAGLRRFVDWFVHYYKLDTAKIAKGKRKSMAMSAAS >ORGLA08G0210000.1 pep scaffold:AGI1.1:Oglab08_unplaced115:81282:85043:1 gene:ORGLA08G0210000 transcript:ORGLA08G0210000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGESEVVTVATGGQEERQPPPVVKAQNKSQLPMRQKRKKVCTRVRVQDQEEDNDFMEPACQVKSKVAEKATQDHTKHKLSEQEPEDEVEEEDEDEYEDDVEDEDEEEEEDDDNDFMEPALKVKRNVPQNRKTEAMQGSKKKVASKQKLTKDVPVRKRDHFSVNTRCQPNAILEMVGPLNKPQRDRLNALGFDWVFKFQMNGLRSRELIEYLMDCLDPDSMCLDLGGRGKLPVTPDVVHCVLGLQNGHLDPPVVSDTAPLDPIREELGLGKRRKYLVHPFWIGLRWGALTISQCSAFXXSCLASCWPQTLAPTSQGIXSTWXAKTWSSTKTWPSASLLLIIXDGQLRGGRVARGVQSTAAQLYLCCITLTTFFARPXSQTPIHHAHNFSTPVLLTKLRISQNQQRRMALPLLGNXIXGVGKALATLFQRRRXRERLELLVGVVERGNTLMNXQLKKQLXQDPKKRLVLVVTSQVFVVSXDHLLSHLGVPASKLGWMLLSNMTRRSSRLWVTYTRLKTAXWMFXLRFARQVILRXLTHGKAKRKRRDGLPPLDSNAVTDKTSGSGNENTTQASIGTPPTQANDVLGDEQSRGNVESSPPMHVEDPKDAEAGQPCEPATDAHNDNDAELSNLVDKICTNVEGLVDKICTHVESTPMPAIAPSLAANLSPAIMPIEMEKRRPLANPKYISPFKCVSTEPLWDDTGDNVMEVYKIVCNGQLPDVESAYLIDXMEGAIVWKGDELRNCFSEGGKLTNDIMLFWSTCLIYDDANYRKDSIGYREVLNSENHNLTFSFDAAVNIISRECKSFNLPTQVIKYMPSAEPMNGVL >ORGLA08G0209900.1 pep scaffold:AGI1.1:Oglab08_unplaced115:74909:75268:1 gene:ORGLA08G0209900 transcript:ORGLA08G0209900.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTRVCRLDHPDSCIQNPDTSAVSCFLVNLFLLVLRLLAGSRLFLSRQEQQQRGVGVSVTKAQHPCGSCSRTANVVSSPKSKFIHLSSKDREPPLLHHLTGDLLTARYADCIFNEEHFSAL >ORGLA08G0209800.1 pep scaffold:AGI1.1:Oglab08_unplaced115:56060:58641:1 gene:ORGLA08G0209800 transcript:ORGLA08G0209800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLSFADKWDFSLGLDFASQIWSRLRSPFLVSDRKVGLWMYSLKSFQNKFFKLHFHLWRNGGPDWYREYTLWVLEEENSWTKVGRKSKLISNGVIRPGVSFANVFSSEPTRSRAAVLIKKVFDTIKDSILDPNRPSVLPVRKLVFDCLDFPRRNVVQVSGSNLLHDGSSSKAGSLGSFKCSKCLATGHSRSDCRFRVCFKACFAYGHKARYCLAQIRSPLVRDTLVEAFGFQYSKFHTVHFRHHDRGSNWRAAHTNRRGWVMFLGYPLDFRNQHYINKEVSLFGRLVDWQERDPIPGRVMLRAVFDDIDAVPRVFLLKELPLRGGLGQSWTFGVFVLNTEFADIHLGDEDLPPLMVHLQEPTQQHNDHMDVQPDAPPQHDQPWGNWDQQGENNPENTGNSGISAGPNQNLAMSILENEASPVFFVLDSVQGKIQEVVLRNQVLRQLSNXIQGPPTILLVHAPFISLVLPRRNVAFDSLPLVYHSSQLPLVVVQPLGHDEDMDHDMGSPLGHDEIFDVQPLAISEPLDQAQPKSPPRIGPVPLLLEPPRASVKKRDGKTVMFDPDRRQSSRLRSSSQELTQPDPRMGIGKPRGKSAKKLKELVGISNILTGNSSLSASDFHSDLDEECFSTSDSSPSDCSISLLVSLVPFPXIK >ORGLA08G0209700.1 pep scaffold:AGI1.1:Oglab08_unplaced115:48193:49840:-1 gene:ORGLA08G0209700 transcript:ORGLA08G0209700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGAGEDLASGSVRGDGGGRERPHGQRWTAAAAAHREDLVSGGGGWGRRRLRATDGDLRPAAVDGEAPAVGRPRLSFSARRRRKGTTTRGVSRALRGXXLMRLVCPLGEVXKFSFRPPGFDSHFSHFLQDCISLRPARTRPVRAIQIIEQEIKSDPIQELRKETRESQNHKKTGATAHIRGKHAAAPRSWRLGERPTVRRKEDVIAEALTGRGCLHCTGEDNDAAEERAPPTEGRASPAEGGRRRRARVLLAAVGRG >ORGLA08G0209600.1 pep scaffold:AGI1.1:Oglab08_unplaced115:43611:44066:1 gene:ORGLA08G0209600 transcript:ORGLA08G0209600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFNAPAAATAPPTKLLVGVRLNGDGVKVEELIRRRRRREQSIRRPLPLLHGSHGLSAPSCADPSSAATGGVRSGDLFLSRSDPVVSQRPAHADPWPATTGGSRSGGVHCGRGFPATAASARAAHTPHPAVSFFPVLVCLSYVFNVFVLFL >ORGLA08G0209500.1 pep scaffold:AGI1.1:Oglab08_unplaced115:39028:41798:-1 gene:ORGLA08G0209500 transcript:ORGLA08G0209500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKRSTSTSTSSKRGCSATRTATAGSIAGVDHNTYHCLIVLVLFETPSGFAMFGMSGDRLIQPNALQEIWANFGVDYRVSKFIWLKEFREIKDKSSAINHDTGVSCDLAEMIMKWHHPGQKMAVGKPEYKEIIERSLSVPCMFDEIVMEVMWGLKNLMHVLVPQEKMKLSKDDYLPMSQGLYMLLNRYGLDVKPEMVTDSIIKLACFLLDCEYCDVKNSKHLRWTGEYIEKRSGIKCLDWDLMKLATGIKIICYPTERSTAEEAMFTQDELSKLVKDAHKYEGKIRKRSFMNAYSEMVEARQLIPMVQKQLEDLVKEAKDACEAEQST >ORGLA08G0209400.1 pep scaffold:AGI1.1:Oglab08_unplaced115:16431:19427:1 gene:ORGLA08G0209400 transcript:ORGLA08G0209400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDRRQCTHALTCVRRRGGKAALASISGEGHVVQLGHVLAHNTHVGFGLCLEVSVRLNGCEHGGSRSLLGRGSGLG >ORGLA08G0209300.1 pep scaffold:AGI1.1:ADWL01017394.1:427:1988:1 gene:ORGLA08G0209300 transcript:ORGLA08G0209300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETTTMMKVLVRVREFDVEKDLPAVEELERRCQVGLSGDMAAVHDHADDGDGAAAKEKKKTKTKTKKKKAGMSLCVEQIGDPLARVRHAPEHVMLVAEYGEEEEKKKVVGVIKACVKTVSRGGKQEKPFVKVANLLGLRVSPSHRRLGIGTALVRRAEEWCVARGAEHATIATTESNAASLALFTGRFGYAPFRRPEFIGHPVHAHRLPVARGHRVFQLPPEVAAAAYARLLPPQDAEFLPADMPALLAHKLTLGTFVAVAADGASFAVLSVWDSTRSLSLRVSGAPALLRASLAALRALDRGAPWLHLPSIPDIFRPFGAYLLYGLRMSGPDGPALLRSLCHHAHNVARKNPACAVVAADISPDDPAAAAVPRWRRFCCNEDVWCIKNLNPDEHDADDWAAPPPPPGRHLFVDPREF >ORGLA08G0209200.1 pep scaffold:AGI1.1:Oglab08_unplaced109:1321:1605:1 gene:ORGLA08G0209200 transcript:ORGLA08G0209200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWAAAMEMLLLVAAATAVAVVAAQCNPEQLSACVSPIFYGTTPSESCCSNLRAQQKEGCLCQYAKDPTYASYVNNTNARKTIAACGIPIPSC >ORGLA08G0209100.1 pep scaffold:AGI1.1:Oglab08_unplaced095:20681:22798:-1 gene:ORGLA08G0209100 transcript:ORGLA08G0209100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLMAAKAYKYKAELLVKDYLLADSYVPYASVLGGILMCKLSYDFTRFISSFYFKGYGSLTKMQKVEWNNRGMSTVHAIFITLMSAYLVFFSGLFSDQQDGPVTFRSSSLSNFTLGVSVGYFIADLAMILWFYPSLGGMEYLVHHVLSLTAVTYTMLSGEGQLYTYMSLISETTTPGINLRWFLDVAGMKRSKRYVVNGVAMFLTWLVARIILFMYLFYQIFLHYDQIKQMETFGYLLVCVVPAILFVMNMIWFSKILRGLKKTLAKRH >ORGLA08G0209000.1 pep scaffold:AGI1.1:Oglab08_unplaced095:18162:19460:-1 gene:ORGLA08G0209000 transcript:ORGLA08G0209000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIINSTRFDFLDIIEPVTTYTDGYLLSLNLGMPPQVFQVYLDTGSDLTWVPCGTNSSYQCLECGNEHSTSKPIPSFSPSQSSSNMKELCGSRFCVDIHSSDNSHDPCAAVGCAIPSFMSGLCTRPCPPFSYTYGGGALVLGSLAKDIVTLHGSIFGIAILLDVPGFCFGCVGSSIREPIGIAGFGKGILSLPSQLGFLDKGFSHCFLGFRFARNPNFTSSLIMGDLALSAKDDFLFTPMLKSITNPNFYYIGLEGVSIGDGAAIAAPPSLSSIDSEGNGGMIVDTGTTYTHLPDPFYTAILSSLASVILYERSYDLEMRTGFDLCFKIPCTHTPCTQDELPLINFHFLGDVKLTLPKDSCYYAVTAPKNSVVVKCLLFQRMDDDDDDDDVGGANNGPGAVLGSFQMQNVEVVYDMEAGRIGFQPKDCALHS >ORGLA08G0208900.1 pep scaffold:AGI1.1:Oglab08_unplaced095:5782:14766:1 gene:ORGLA08G0208900 transcript:ORGLA08G0208900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRYTEQEEALEISSLRRIIAAYANYHDAAERDVKRYERSFKRLPPAHKELLFNLGLKYQRLRWCISMNASFIMDMLEAFEPPFDMSQHVDMDDHDCAENMHGHCHADCAHSVDRGDCLRSSISVSNSELHEPDGCPRKDDKTHELSRETDNKDEVVDMESCSRPVGDKLGPSQVEDKSCNGDKAMDAAANCQDTDCVACSADENVIPQQFMAPSLQLNVPPIDVDKVRCIIRNIVRDWAQEGQKERDECYKPILEELNRLFPNRSKERPPSCLVPGAGLGRLALEISTLGFVSQGNEFSYYMMICSSFILNHTQETNEWTIYPWIHSNCNSLSDNDQLRPVSFPDIHPSSSGITEGFSMCAGDFVEVYNEESQESSWDAVVTCFFLDTAHNIVEYIEIISKVLKDGGVWINLGPLLYHFADSYGPDDDMSIELSLEDVKRVAYHYGFVMEVEKMIDTTYTANMKSMMQNRYRAAFWTMRKNASRSKAQKHQ >ORGLA08G0208800.1 pep scaffold:AGI1.1:Oglab08_unplaced095:225:4289:1 gene:ORGLA08G0208800 transcript:ORGLA08G0208800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRLCREKASKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAFIVKLYYSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHSYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNEPDYTSTKGTKPLPDSSSRLSSSAPKRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGLPWERLYQMKAAFIPEVNSELDTQNFEKFEETGAQIQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGICLLFEKKCCAYGTAELKKKSSKSKRPTIKTLFESMDEDEPVQGSFLNMLPHKEGQPSSHSIPPEQYQPRHK >ORGLA08G0208700.1 pep scaffold:AGI1.1:ADWL01017370.1:653:1904:-1 gene:ORGLA08G0208700 transcript:ORGLA08G0208700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEAPFDRYMACIPFRASFVSDSDNNDDDQMEVDEDKSWNQVENEEVNDQQQPDHKVDQGGDPAARKMKGKMNESSMVGIEIMEDTDKQAGVWYCNKNDGKKWHCRNIVDGPKTLCDYHLAKSRSYYTRTGEAGAAAASSKSSRAKAPAIAKPKSSSKRTPAGESSAQNNSIAAAAAAAAVSVLPTISSQPSKRKASNGLLGGDAYYFYDMFVPYRKKDRGGSSSKQQAGAEEKEILPQDNAVAMEEKMDGKKLYDGVYNSSDYSSDTASDDESDEDYTVGGASKRRTKKRKMKLSVKKVQFSKMMKKRVKERSLKSLL >ORGLA08G0208600.1 pep scaffold:AGI1.1:Oglab08_unplaced092:112166:114242:-1 gene:ORGLA08G0208600 transcript:ORGLA08G0208600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDRVQVEAINSFSNLELLKEVYGLIWILPILTLLLGITIEVLVIVWLEREISASIQQRIGPEYAGPLGLLQAIADGTKLLFKEDILPSRGDIPLFSIGPSIAVISILLSFLVIPLGYRFVLADLSIGVFLWIAISSIAPIGLLMAGYSSNNKYSFSGGLRAAAQSISYEIPLTFCVLAISLLSNSSSTVDIVEAQSKYGFFGWNLWRQPIGFLVFLISSLAECERLPFDLPEAEEELVAGYQTEYSGIKYGLFYLVSYLNLLVSSLFVTVLYLGGWNLSIPYISFFGFFQMNKMVGILEMTMSIFITLTKAYLFLFISITIRWTLPRMRMDQLLNHGWKFLLPISLGNLLLTTSSQLVSL >ORGLA08G0208500.1 pep scaffold:AGI1.1:Oglab08_unplaced092:111529:112071:-1 gene:ORGLA08G0208500 transcript:ORGLA08G0208500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit I [Source:UniProtKB/TrEMBL;Acc:I1QKY4] MFPMVTGFMSYGQQTIRAARYIGQSFIITLSHTNRLPITIHYPYEKSITSERFRGRIHFEFDKCIACEVCVRVCPIDLPLVDWRFEKDIKRKQLLNYSIDFGVCIFCGNCVEYCPTNCLSMTEEYELSTYDRHELNYNQIALSRLPISIMGDYTIQTIRNSTQSKIDEEKSWNSRTITDY >ORGLA08G0208400.1 pep scaffold:AGI1.1:Oglab08_unplaced092:110559:111089:-1 gene:ORGLA08G0208400 transcript:ORGLA08G0208400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 6 [Source:UniProtKB/TrEMBL;Acc:I1PHU7] MDLPGPIHEILVLFGGFVLLLGGLGVVLLTNPTFSAFSLGLVLVCISLFYILLNSYFVAVAQLLIYVGAINVLIIFAVMFVNGSEWSKDKNFWTIGDGFTSLVCITIPFSLMTTIPDTSWYGILWTTRSNQIVEQGLINNVQQIGIHLATDFYLPFELISIILLVSLIGAITMARQ >ORGLA08G0208300.1 pep scaffold:AGI1.1:Oglab08_unplaced092:110044:110349:-1 gene:ORGLA08G0208300 transcript:ORGLA08G0208300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit K [Source:UniProtKB/TrEMBL;Acc:I1QWL4] MMFEHVLFLSVYLFSIGIYGLITSRNMVRALICLELILNSINLNLVTFSDLFDSRQLKGDIFAIFVIALAAAEAAIGLSILSSIHRNRKSTRINQSNFLNN >ORGLA08G0208200.1 pep scaffold:AGI1.1:Oglab08_unplaced092:109318:109563:-1 gene:ORGLA08G0208200 transcript:ORGLA08G0208200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I iron-sulfur center [Source:UniProtKB/TrEMBL;Acc:I1Q6F0] MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLGPETTRSMALSY >ORGLA08G0208100.1 pep scaffold:AGI1.1:Oglab08_unplaced092:107696:109198:-1 gene:ORGLA08G0208100 transcript:ORGLA08G0208100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase chain 4 [Source:UniProtKB/TrEMBL;Acc:I1Q6E9] MSSFPWLTILVVLPIFAGSLIFFLPHRGNKIVRWYTMSICLLEFLLMTYAFCYHFQLEDPLIQLKEDSKWIDVFNFHWRLGIDGLSLGSILLTGFMTTLATLAAWPVTRNSRLFYFLMLAMYSGQIGLFSSRDLLLFFIMWELELIPVYLLLSMWGGKRRLYSATKFILYTAGGSIFFLIGVLGMGLYGSNEPRLDLERLINQSYPATLEILFYFGFLIAYAVKLPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLIRINMELLPHAHYLFSPWLVIIGAMQIIYAASTSLGQRNFKKRIAYSSVSHMGFIIIGIGSITNIGLNGAILQILSHGFIGATLFFLAGTACDRMRLVYLEELGGVSIPMPKIFTMFSSFSMASLALPGMSGFVAELVVFFGLITSPKFLLMPKMLITFVMAIGMILTPIYLLSMLRQMFYGYKLFHVPNENFEDSGPRELFLLICIFLPVIGIGIYPDFVLSLSVDRVEALLSNYYPK >ORGLA08G0208000.1 pep scaffold:AGI1.1:Oglab08_unplaced092:102734:104935:-1 gene:ORGLA08G0208000 transcript:ORGLA08G0208000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTYQYAWVIPLLPLPVIMSMGFGLFSCSNSTKNLRRIWAFPSVLLLSIAMVFSVHLSIQQINGSSIYQYLWSWTVNNDFSLEFGYLIDPLTSIMLILITTVGILVLIYSDDYMSHDEGYLRFFVYISFFNTSMLGLVTSSNLIQIYFFWELVGMCSYLLIGFWFTRPIAASACQKAFVTNRVGDFGLLLGILGFFWITGSLEFRDLFKIANNWIPNNEINSLLTILCAFLLFLGAVAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLIARLLPLFISLPLIMSFISLIGTLTLFLGATLALAQRDIKRSLAYSTMSQLGYMMLALGIGSYQAALFHLITHAYSKALLFLGSGSVIHSMEPLVGYSPDKSQNMVLMGGLRKYIPITRTCFLWGTLSLCGIPPLACFWSKDEILSNSWLYSPFFGIIASFTAGLTAFYMFRIYLLTFDGYLRVHFQNYSSTKEDSLYSISLWGKRISKGVNRDFVLSTAKSGVSFFSQNLSKIHGNTGNRIGSFSTSLGTKNTFVYPHEPGNTMLFPLLILLLCTLFIGSIGIHFDNEIGELTILSKWLTPSINFFQESSNSSINSYEFITNAISSVSLAIFGLFIAYMFYGSAYSFFQNLDLINSFVKGGPKKYFFHQLKKKIYSWSYNRGYIDIFYTRTFTLGIRGLTELTQFFDKGVIDGITNGVGLASFCIGEEIKYVGGGRISSYLFFFYVMYLCSYSFFFL >ORGLA08G0207900.1 pep scaffold:AGI1.1:Oglab08_unplaced092:102117:102389:1 gene:ORGLA08G0207900 transcript:ORGLA08G0207900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S15 [Source:UniProtKB/TrEMBL;Acc:I1R2H1] MKKKGGRKIFGFMVKEEKEENWGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRQRLLAYLAKKNRVRYKKLISQLDIRER >ORGLA08G0207800.1 pep scaffold:AGI1.1:Oglab08_unplaced092:101505:101696:1 gene:ORGLA08G0207800 transcript:ORGLA08G0207800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Orf63 [Source:UniProtKB/TrEMBL;Acc:I1QWR2] MSFNSRTRNWKVTEGDPSFCNENYIKNSGQFRNQAKRLNTYAKKFIIGPPLIRRFNLYESLLV >ORGLA08G0207700.1 pep scaffold:AGI1.1:Oglab08_unplaced092:97243:97605:-1 gene:ORGLA08G0207700 transcript:ORGLA08G0207700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANLLAVFAPPPPLSLSGHLGALAGDPGCFPLDDEAYPPSSHWPTLTPVIFGSYLVFRVCLDLVPLAQPAPKQCFTPRCPVNCCASTHFGENQLALGSSGISPLTTTHPLILQHQSVRTSA >ORGLA08G0207600.1 pep scaffold:AGI1.1:Oglab08_unplaced092:96154:96694:1 gene:ORGLA08G0207600 transcript:ORGLA08G0207600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQLSTFCFEILRRVALWRAHSIYDFAFMDVDKILPFSSTLGWHSLNVNGEVQKRKGLRWIPRYPETRKGVASDEMLRGVENKHRSGDSQIGQPFELPAESMSRQETTWRTETS >ORGLA08G0207500.1 pep scaffold:AGI1.1:Oglab08_unplaced092:94533:94934:1 gene:ORGLA08G0207500 transcript:ORGLA08G0207500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSGRSGGGTTAPLFSRIHTSLISVWRAISRAQVEVRPQWENGAPNNASSQTKNYEITLSFWGDGGIVPFEPFFHAFPGGLEKAAINRTSLILPS >ORGLA08G0207400.1 pep scaffold:AGI1.1:Oglab08_unplaced092:91832:92050:-1 gene:ORGLA08G0207400 transcript:ORGLA08G0207400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILFSMFYSILVGEEPDSVFLKKEGKQNQVKMIWVAPSSCAKDLTISEGTGATFLFNFHSRVSICFHALF >ORGLA08G0207300.1 pep scaffold:AGI1.1:Oglab08_unplaced092:90321:90599:-1 gene:ORGLA08G0207300 transcript:ORGLA08G0207300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDRKGDLSVDFSTINPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYRIIRGALDAVAVKNRQQGRSSAL >ORGLA08G0207200.1 pep scaffold:AGI1.1:Oglab08_unplaced092:89234:89704:-1 gene:ORGLA08G0207200 transcript:ORGLA08G0207200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:I1Q659] MSRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDAAKGGGGAIRKKEATHRMAEANRALAHFR >ORGLA08G0207100.1 pep scaffold:AGI1.1:Oglab08_unplaced092:86690:88934:-1 gene:ORGLA08G0207100 transcript:ORGLA08G0207100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit N [Source:UniProtKB/TrEMBL;Acc:I1Q4K3] MIWHVQNENFILDSTRIFMKAFHLLLFQGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTSLVISITALLFRWREEPIISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKRDLRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGLGFKLSPAPFHQWTPDVYEGSPTPVVAFLSVTSKVAASASATRILDIPFYFSSNEWHLLLEILAILSMILGNLLAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVSIGLLTSVLSIYYYLKIVKLLMTGRNQEITPYVRNYRRSPLRSNNSIELSMTVCVIASTIPGISMNPILAIAQDTLF >ORGLA08G0207000.1 pep scaffold:AGI1.1:Oglab08_unplaced092:85292:86041:1 gene:ORGLA08G0207000 transcript:ORGLA08G0207000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDETLLVFTLVVSSVSVFLFGILLFMVLISATRDFRERTKSKLVKIMIWAGIVVITFAIAVRIYPIFIFLLKERIKPLVEALYDKLPWIWEVSLSRYWDRLIDFLDRYLWACAQRIQTGIRKQKGEFVVTFSCRVKKRLYARAIEVGIHLSLLSNLFWILKTTLAVGYRLLWVLYYIISFEGFLGSFRLYLVYFGFYCLLFSGKWLRTSEDRGERQAQISGILLRGMLIECAFSVLCLEEDSNLHAL >ORGLA08G0206900.1 pep scaffold:AGI1.1:Oglab08_unplaced092:83978:84259:-1 gene:ORGLA08G0206900 transcript:ORGLA08G0206900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23 [Source:UniProtKB/TrEMBL;Acc:I1QWQ5] MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRMGPILGHTMHYRRMIITLQPGYSIPLLDREKN >ORGLA08G0206800.1 pep scaffold:AGI1.1:Oglab08_unplaced092:81932:82147:-1 gene:ORGLA08G0206800 transcript:ORGLA08G0206800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPAMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYESARKDTKSRR >ORGLA08G0206700.1 pep scaffold:AGI1.1:Oglab08_unplaced092:80988:81437:-1 gene:ORGLA08G0206700 transcript:ORGLA08G0206700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22 [Source:UniProtKB/TrEMBL;Acc:D2SYG2] MTSFKLVKYTPRIKKKKSGLRKLARKVPTDRLLKFERVFKAQKRIHMSVFKVQRVLDEIRWRYYEETVMILNLMPYRASYPILKLVYSAAANATHYRDFDKANLFITKAEVSRSTIMNKFRPRARGRSSPIKKTMCHITIVLNIVKKSK >ORGLA08G0206600.1 pep scaffold:AGI1.1:Oglab08_unplaced092:80214:80933:-1 gene:ORGLA08G0206600 transcript:ORGLA08G0206600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3 [Source:UniProtKB/TrEMBL;Acc:I1QWE9] MGQKINPLGFRLGTTQNHHSFWFAQPKNYSEGLQEDKKIRNCIKNYIQKNRKKGSNRKIEADSSFEVITHNKKMDSGSSSEVITHIEIQKEIDTIHVIIHIGFPNLLKKKGAIEELEKDLQKEVNSVNQRLNIGIEKVKEPYRQPNILAEYIAFQLKNRVSFRKAMKKAIELTKKTDIKGVKVKIAGRLAGKEIARAECIKKGRLPLQTIRAKIDYCCYPIRTIYGVLGVKIWIFVDEE >ORGLA08G0206500.1 pep scaffold:AGI1.1:Oglab08_unplaced092:78606:79016:-1 gene:ORGLA08G0206500 transcript:ORGLA08G0206500.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYNPKRTRFRKQHRGRMKGKSYRGNCICFGRYALQALEPTWITARQIEAGRRAMTRYARRGGKIWVRIFPDKPVTIRPTETRMGSGKGSPEYWVAVVKPGRILYEMGGVSETVARAAISIAASKMPIRSQFLRLEI >ORGLA08G0206400.1 pep scaffold:AGI1.1:Oglab08_unplaced092:78125:78496:-1 gene:ORGLA08G0206400 transcript:ORGLA08G0206400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14 [Source:UniProtKB/TrEMBL;Acc:I1Q6C6] MIQPQTLLNVADNSGARKLMCIRVIGAASNQRYARIGDVIVAVIKDAVPQMPLERSEVIRAVIVRTCKEFKCEDGIIIRYDDNAAVIIDQKGNPKGTRVFGAIAEELRELNFTKIVSLAPEVL >ORGLA08G0206300.1 pep scaffold:AGI1.1:Oglab08_unplaced092:77575:77985:-1 gene:ORGLA08G0206300 transcript:ORGLA08G0206300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:I1Q5Q7] MGKDTIADLLTSIRNADMNKKGTVRVVSTNITENIVKILLREGFIESVRKHQESNRYFLVSTLRHQKRKTRKGIYRTRTFLKRISRPGLRIYANYQGIPKVLGGMGIAILSTSRGIMTDREARLNRIGGEVLCYIW >ORGLA08G0206200.1 pep scaffold:AGI1.1:Oglab08_unplaced092:74739:76790:-1 gene:ORGLA08G0206200 transcript:ORGLA08G0206200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRVKIEVSRYDSSKGRIIYRLPHKDSKRTEDSKDTEDLKDTKDSKDPQGRVVFWSSAGTCGFKSSRKASPYAGQRTAVDAIRTVGLQRAEVMVKGAGSGRDAALRAIAKSEEVAGSTQTLQWKCVESRVDSKRLYYGRFILSPLRKGQADTVGIALRRALLGETEGTCITHAKFGSVPHEYSTIAGIEESVQEILLNLKEIVLRSNLYGVRTASICVKGPRYITAQDIILPPSVEIVDTAQPIANLTEPTDFRIELRIKRDRGYHTEVRKNTQDGSYPIDAVSMPVRNVNYSIFACGNGNAKYEILFLEIWTNGSLTPKEALYEASRNLIDLFLPFLHTEEEGTRFQENKNRFTSPLLSFQKRLTNLKKNKKRIPLNCIFIDQLELPSRTYNCLKRANIHTLLDLLSKTEEDLMRIDSFRMQDGKQIWDTLEKHLPMDLPKNKF >ORGLA08G0206100.1 pep scaffold:AGI1.1:Oglab08_unplaced092:74100:74519:1 gene:ORGLA08G0206100 transcript:ORGLA08G0206100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPTGLLTVPFLENVNKFQNPFRRPVATTVFLIGTAVALWLGIGATLPIEKSLTLGLF >ORGLA08G0206000.1 pep scaffold:AGI1.1:Oglab08_unplaced092:72399:73097:1 gene:ORGLA08G0206000 transcript:ORGLA08G0206000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6 [Source:UniProtKB/TrEMBL;Acc:I1PI01] MKFSYTVLGGGFGLVTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFSSVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFLMIRKQGISGPL >ORGLA08G0205900.1 pep scaffold:AGI1.1:Oglab08_unplaced092:70635:70865:1 gene:ORGLA08G0205900 transcript:ORGLA08G0205900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMATQTVEDSSRPGPRQTRVGNLLKPLNSEYGKVAPGWGTTPFMGVAMALFAVFLSIILEIYNSSVLLDGILMN >ORGLA08G0205800.1 pep scaffold:AGI1.1:Oglab08_unplaced092:68562:70088:1 gene:ORGLA08G0205800 transcript:ORGLA08G0205800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP47 reaction center protein [Source:UniProtKB/TrEMBL;Acc:I1QWF7] MGLPWYRVHTVVLNDPGRLLSVHIMHTALVSGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSISGGTVTNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQAVNPAWGAEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSDGLAENLSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLVDEEGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRSQLGEIFELDRATLKSDGVFRSSPRGWFTFGHATFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGTFQKVGDPTTRRQPV >ORGLA08G0205700.1 pep scaffold:AGI1.1:Oglab08_unplaced092:67400:68050:-1 gene:ORGLA08G0205700 transcript:ORGLA08G0205700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:I1Q6D3] MPIGVPKVPYRIPGDEEATWVDLYNVMYRERTLFLGQEIRCEVTNHITGLMVYLSIEDGISDIFLFINSPGGWLISGMAIFDTMQTVTPDIYTICLGIAASMASFILLGGEPTKRIAFPHARIMLHQPASAYYRARTPEFLLEVEELHKVREMITRVYALRTGKPFWVVSEDMERDVFMSADEAKAYGLVDIVGDEMLDEHCDTDPVWFPEMFKDW >ORGLA08G0205600.1 pep scaffold:AGI1.1:Oglab08_unplaced092:66121:66477:-1 gene:ORGLA08G0205600 transcript:ORGLA08G0205600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L20 [Source:UniProtKB/TrEMBL;Acc:I1QKV5] MTRVPRGYIARRRRAKMRSFASNFRGAHLRLNRMITQQVRRAFVSSHRDRVRQKRDFRRLWISRINAATRIHKVFDNYSKLIHNLYKKELILNRKILAQVAVLNPNNLYTISNKIRLSI >ORGLA08G0205500.1 pep scaffold:AGI1.1:Oglab08_unplaced092:61727:61978:-1 gene:ORGLA08G0205500 transcript:ORGLA08G0205500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b559 subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1QKV4] MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITDRFDSLEQLDEFSRSF >ORGLA08G0205400.1 pep scaffold:AGI1.1:Oglab08_unplaced092:59248:60210:1 gene:ORGLA08G0205400 transcript:ORGLA08G0205400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome f [Source:UniProtKB/TrEMBL;Acc:I1PHZ3] MENRNTFSWVKEQMTRSISVSIMIYVITRTSISNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVLRIPYDMQLKQVLANGKKGGLNVGAVLILPEGFELAPPDRISPELKEKIGNLSFQSYRPNKKNILVIGPVPGKKYSEIVFPILSPDPAMKKDVHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATSTGVVRKILRKEKGGYEISIVDASDGRQVIDLIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFFASVILAQVFLVLKKKQFEKVQLYEMNF >ORGLA08G0205300.1 pep scaffold:AGI1.1:Oglab08_unplaced092:58326:59018:1 gene:ORGLA08G0205300 transcript:ORGLA08G0205300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CemA [Source:UniProtKB/TrEMBL;Acc:I1PHZ2] MKKKKALPSFLYLVFIVLLPWGVSFSFNKCLELWIKNWWNTRQSQTLLTAIQEKRVLERFMELEDLFILDEMIKEKPNTHVQNPPIGIRKEIIQLAKIDNEGHLHIILHFSTNIICLAILSGSFFLGKEELVILNSWVQEFFYNLNDSVKAFFILLVTDFFVGFHSTRGWELLIRWVYNDLGWVPNELIFTIFVCSFPVILDTCLKFWVFFCLNRLSPSLVVIYHSISEA >ORGLA08G0205200.1 pep scaffold:AGI1.1:Oglab08_unplaced092:57544:57906:1 gene:ORGLA08G0205200 transcript:ORGLA08G0205200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYGIAGLFISAYLWCTILWNVGSGYDRFDRKEGVVCIFRWGFPGIKRRVFLRFLMRDIQSIRIQVKEGLFPRRILYMEIRGQGAIPLTRTDEKFFTPREIEQKAAELAYFLRIPMEVF >ORGLA08G0205100.1 pep scaffold:AGI1.1:Oglab08_unplaced092:54216:55670:1 gene:ORGLA08G0205100 transcript:ORGLA08G0205100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCREGRMSPQTETKASVGFKAGVKDYKLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVVGEDNQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPPTYSKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRACYECLRGGLDFTKDDENVNSQPFMRWRDRFVFCAEAIYKSQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHAGTVVGKLEGEREMTLGFVDLLRDDFIEKDRARGIFFTQDWVSMPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNEGRDLAREGNEIIRSACKWSPELAAACEIWKAIKFEFEPVDKLDS >ORGLA08G0205000.1 pep scaffold:AGI1.1:Oglab08_unplaced092:50910:52171:-1 gene:ORGLA08G0205000 transcript:ORGLA08G0205000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGVINEKNLEESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGNGQIGVLPNHAPINTAVDMGPLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQQALEIAEANVSRAEGTKELVEAKVALRRARIRVEAVNWIPPSN >ORGLA08G0204900.1 pep scaffold:AGI1.1:Oglab08_unplaced092:48730:49092:-1 gene:ORGLA08G0204900 transcript:ORGLA08G0204900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit A [Source:UniProtKB/TrEMBL;Acc:I1QKU8] MFLLHEYDIFWAFLIIASLIPILAFWISALLAPVREGPEKLSSYESGIEPMGGAWLQFRIRYYMFALVFVVFDVETVFLYPWAMSFDVLGISVFIEAFIFVLILVVGLVYAWRKGALEWS >ORGLA08G0204800.1 pep scaffold:AGI1.1:Oglab08_unplaced092:48001:48678:-1 gene:ORGLA08G0204800 transcript:ORGLA08G0204800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit B [Source:UniProtKB/TrEMBL;Acc:I1Q5K7] MSLIEFPLLDQTSSNSVISTTLKDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDALTKLRKKISREIVEDRTLSQKKNRCFTTSHKLYVRRSTNTGTYEQELLYQSPSTLDISSETFFKSKSPVSSYKLVN >ORGLA08G0204700.1 pep scaffold:AGI1.1:Oglab08_unplaced092:47424:47903:-1 gene:ORGLA08G0204700 transcript:ORGLA08G0204700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit C [Source:UniProtKB/TrEMBL;Acc:I1Q5K8] MQQGWLSNWLVKHEVVHRSLGFDHRGIETLQIKAEDWDSIAVILYVYGYNYLRSQCAYDVAPGGSLASVYHLTRIQYGIDNPEEVCIKVFAQKDNPRIPSVFWIWRSSDFQERESFDMVGISYDNHPRLKRILMPESWIGWPLRKDYITPNFYEIQDAH >ORGLA08G0204600.1 pep scaffold:AGI1.1:Oglab08_unplaced092:41192:43170:-1 gene:ORGLA08G0204600 transcript:ORGLA08G0204600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf3 [Source:UniProtKB/TrEMBL;Acc:I1QKU5] MPRSRINGNFIDKTFSIVANILLRIIPTTSGEKRAFTYYRDGMLAQSEGNYAEALQNYYEATRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYRGEQAILQGDSEIAEAWFDQAAEYWKQAIALTPGNYIEAQNWLKITKRFEFE >ORGLA08G0204500.1 pep scaffold:AGI1.1:Oglab08_unplaced092:38245:40590:-1 gene:ORGLA08G0204500 transcript:ORGLA08G0204500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRSPEPEVKIVVDRDPVKTSFEEWARPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTGDLEEISXXXXXAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGALIFASLMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQIHVSLPINQFLDAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYAEFLSFRGGLDPITGGLWLSDIAHHHLAIAILFLIAGHMYRTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSTTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWVQNLHAGAPSVTAPGATTSTSLTWGGGELVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGTISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIIQGRAVGVTHYLLGGIATTWAFFLARIIAVG >ORGLA08G0204400.1 pep scaffold:AGI1.1:Oglab08_unplaced092:36015:38219:-1 gene:ORGLA08G0204400 transcript:ORGLA08G0204400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A2 [Source:UniProtKB/TrEMBL;Acc:I1R2E3] MELRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWIQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGAAGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSTLSLIGGWLHLQPKWKPSLSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLDVLPYPQGLGPLLTGQWNLYAQNPDSSNHLFGTTQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLIAGHMYRTNFGIGHSIKDLLEAHTPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPSYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTTYGFDILLSSTSGPAFNAGRTLWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >ORGLA08G0204300.1 pep scaffold:AGI1.1:Oglab08_unplaced092:35556:35867:-1 gene:ORGLA08G0204300 transcript:ORGLA08G0204300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S14 [Source:UniProtKB/TrEMBL;Acc:I1Q644] MAKKSLIQRERKRQKLEQKYHLIRRSSKKKIRSKVYPLSLSEKTKMREKLQSLPRNSAPTRLHRRCFLTGRPRANYRDFGLSGHILREMVYACLLPGATRSSW >ORGLA08G0204200.1 pep scaffold:AGI1.1:Oglab08_unplaced092:31863:33242:1 gene:ORGLA08G0204200 transcript:ORGLA08G0204200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 B subunit [Source:UniProtKB/TrEMBL;Acc:I1QKU1] MKNVTHSFVFLAHWPSAGSFGLNTDILATNLINLTVVVGVLIYFGKGVLKDLLDNRKQRILSTIRNSEELRRGTIEQLEKARIRLQKVELEADEYRMNGYSEIEREKANLINATSISLEQLEKSKNETLYFEKQRAMNQVRQRVFQQAVQGALGTLNSCLNTELHFRTIRANISILGAMEWKS >ORGLA08G0204100.1 pep scaffold:AGI1.1:Oglab08_unplaced092:29595:30338:1 gene:ORGLA08G0204100 transcript:ORGLA08G0204100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 A subunit [Source:UniProtKB/TrEMBL;Acc:I1QWH6] MNIIPCSIKTLKGLYDISGVEVGQHFYWQIGGFQIHAQVLITSWVVITILLGSVIIAVRNPQTIPTDGQNFFEYVLEFIRDLSKTQIGEEYGPWVPFIGTMFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALALLTSAAYFYAGLSKKGLSYFEKYIKPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >ORGLA08G0204000.1 pep scaffold:AGI1.1:Oglab08_unplaced092:28634:29344:1 gene:ORGLA08G0204000 transcript:ORGLA08G0204000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S2 [Source:UniProtKB/TrEMBL;Acc:I1QKT9] MTRRYWNINLKEMIEAGVHFGHGIKKWNPKMAPYISAKRKGTHITNLARTTRFLSEACDLVFDAASQGKSFLIVGTKKRAADLVASAAIRARCHYVNKKWFSGMLTNWSITKTRLSQFRDLRAEEKMEKFHHLPKRDVAILKRKLSTLQRYLGGIKYMTRLPDIVIVLDQQKEYIALRECAILGIPTISLADTNCDPDLANISIPANDDTMTSIRLILNKLVFAICEGRSLYIRNH >ORGLA08G0203900.1 pep scaffold:AGI1.1:Oglab08_unplaced092:23563:28362:1 gene:ORGLA08G0203900 transcript:ORGLA08G0203900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta'' [Source:UniProtKB/TrEMBL;Acc:I1QKT8] MAERANLVFQNKEIDGTAMKRLISRLIDHFGMGYTSHILDQIKTLGFHQATTTSISLGIEDLLTIPSKGWLVQDAEQQSFLLEKHYYYGAVHAVEKLRQSVEIWYATSEYLKHEMNSNFRITDPSNPVYLMSFSGARGNASQVHQLVGMRGLMADPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTADAGYLTRRLVEVVQHIIVRRRDCGTIQAISVSPQNGMTEKLFVQTLIGRVLANDIYIGSRCIATRNQDIGIGLVNRFITTFRAQPFRAQPIYIRTPFTCRSTSWICQLCYGRSSTHGDLVELGEAVGVIAGQSIGEPGTQLTLRTFHTGGVFTGGTADLVRSPSNGKIQFNGDLVHPTRTRHGQPAFLCYIDLHITIQSQDILHSVTIPSKSLILVQNDQYVESEQVIAEIRAGTSALHFKEKVQKHIYSESDGEMHWSTDVYHAPEYQYGNLRRLPKTSHLWILSVSMCRASIASFSLHKDQDQMNTYSFSVDGRYIFGLSMADDEVRHRLLDTFGKKDREILDYSTPDRIMSNGHWNFVYPSILQNNLDLLAKKRRNRFAIPLQYHQEQEKEPISCFGISIEIPFMGVLRRNTIVAYFDDPRYKKDKKGSGIVKFRYRTLEDEYRTREKDSENEYGSPENEYRTREEECKTLEDEYRTREEEYETLEDEYGIPENEYETLEDEYGILEDEYRTREEESEDEYGSPENKYRPREDKYGTLEEDSEDEHGTLEEDSEEDSEDEYGNPEEDSVLKKGVLIEHRGTKEFSLKYQKEVDRFFFILQELHILPRSSSLKVLDNSIIGVDTQLTKNTRSRLGGLVRVKRKKSHTELKIFSGDIHFPEEADKILGGSLIPLEREKKDSKESKKRENWVYVQWKKILKSKEKYFVLVRPAVAYEMNEGRNLATLFPQDLLQEEGNLQLRLVNFISHENSKLTQRIYHTNSQFVRTCLVLNWEQEEKEEARASLVEIRANGLIRDFLRIGLIKSTISYTRKRYDSRSAGLILHNRLDRTNTNSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRNFSGLLGTIAPSISNFSSSYYLLTYNQILLKKHLLLDNLKQNFKVLQGLKHSLINENQRTSNFDSNIMLDPFQLNWHFLPHDSWEETSAKIHLGQFICENVCLFKSHIKKSGQIFIVNIDSFVIRAAKPYLATTGATVHGHYGEILYKGDRLVTFIYEKARSSDITQGLPKVEQIFEARSIDSLSPNLERRIEDWNERIPRILGGPWGFLIGAELTIAQSRISLVNKIQKVYRSQGVQIHNRHIEIIIRQVTSKVRVSEDGMSNVFSPGELIGLLRAERAGRALDESIYYRAILLGITRVSLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGIIPVGTGFQKFVHRYPQDKNLYFEIQKKKLFASEMRDILFLHTELVSSDSDVTNNFYETSESPFTPFI >ORGLA08G0203800.1 pep scaffold:AGI1.1:Oglab08_unplaced092:18050:21278:1 gene:ORGLA08G0203800 transcript:ORGLA08G0203800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:I1QKT7] MLRNGNEGMSTIPGFSQIQFEGFCRFINQGLAEELEKFPTIKDPDHEISFQLFAKGYQLLEPSIKERDAVYESLTYSSELYVSARLIFGFDVQKQTISVGTWYFRNPYVRNYKRNFFQQKCELGRIGRRNMNRRLNLDIPQNSTFLLPRDVLAATDHLIGMKFETGILDDDDMNHLKNKRIRSVADLLQDQFGLALGRLQHAVQKTIRRVFIRQSKPTPQTLVTPTSTSILLITTYETFFGTYPLSQVFDQTNPLTQTVHGRKVSCLGPGGLTGRTASFRSRDIHPSHYGRICPIDTSEGINVGLTGSLAIHARIDHWWGSVESPFYEISEKAKKKKERQVVYLSPNRDEYYMIAAGNSLSLNRGIQEEQVVPARYRQEFLTIAWEQIHVRSIFPFQYFSIGGSLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQTALDSRVSVIAEREGKIISTNSHKILLSSSGKTISIPLVTHRRSNKNTCMHQKPRVPRGKSIKKGQILAEGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTDTTSQGSAEKITKEIPHLEEHLLRNLDRNGVVKLGSWVETGDILVGKLTPQIASESSYIAEAGLLRAIFGLEVSTSKETSLKLPIGGRGRVIDVKWIQRDPLDIMVRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGTPVDMVFNPLGVPSRMNVGQIFESSLGLAGDLLKKHYRIAPFDERYEQEASRKLVFSELYEASKQTKNPWVFEPEYPGKSRIFDGRTGDPFEQPVLIGKSYILKLIHQVDEKIHGRSTGPYSLVTQQPVRGRAKQGGQRIGEMEVWALEGFGVAHILQEILTYKSDHLIARQEILNATIWGKRVPNHEDPPESFRVLVRELRSLALELNHFLVSQKNFQVNREEV >ORGLA08G0203700.1 pep scaffold:AGI1.1:Oglab08_unplaced092:11780:11992:1 gene:ORGLA08G0203700 transcript:ORGLA08G0203700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein Z [Source:UniProtKB/TrEMBL;Acc:I1Q6A0] MVTWRIRNMTIAFQLAVFALIVTSSVLVISVPLVFASPDGWSNNKNVVFSGTSLWIGLVFLVAILNSLIS >ORGLA08G0203600.1 pep scaffold:AGI1.1:Oglab08_unplaced092:9504:10925:1 gene:ORGLA08G0203600 transcript:ORGLA08G0203600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP43 reaction center protein [Source:UniProtKB/TrEMBL;Acc:I1QKT5] MKILYSLRRFYHVETLFNGTFVLAGRDQETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVLDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVLKALYFGGIYDTWAPGGGDVRKITNLTLSPGVIFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGFICVFGGIWHILTKPFAWARRAFVWSGEAYLSYSLGALSVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRSWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFFFVGHLWHAGRARAAAAGFEKGIDRDLEPVLYMTPLN >ORGLA08G0203500.1 pep scaffold:AGI1.1:Oglab08_unplaced092:2027:3298:-1 gene:ORGLA08G0203500 transcript:ORGLA08G0203500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:maturase K [Source:Projected from Arabidopsis thaliana (ATCG00040) TAIR;Acc:ATCG00040] MQHPVLTILYYVSPFDKPRNISLSLIQVEIQMEKFEGYSEKLKFPRQYFVYPLLFQEYIYVFAHDYGLNGSELVEIIGSNNKKFSSLLVKRLMIRMYQQNFWINLVNHPNQDRLLDYNNFFYSEFYSQILSEGVAIVVEIPFSLREQSCPEEKEIPKFQNLRSIHSIFPFLEDKFLHLHYLAHIEIPYPIHLDILLQLLQYRIQDVPSLHLLRFFLNYYSNWNSFITSMKSIFILKKENKRLFRFLYNSYVSEYEFFLLFLRKQSSCLRLTSSGTFLERIIFSRKMEHFGLMYPAFFRKTIWFVMDPLMHYVRYQGKAILASKGTLLLKKKWKCYLVRLWQYSFSFLDSTAKDPSKPIRKLLLRFSGVLFKCTNKFFVSKESNAGEFISNRYSNEKIRYQSPCYSSHWIFSKSPILYWIGASY >ORGLA08G0203400.1 pep scaffold:AGI1.1:Oglab08_unplaced092:82:1143:-1 gene:ORGLA08G0203400 transcript:ORGLA08G0203400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II protein D1 [Source:UniProtKB/TrEMBL;Acc:I1PHW4] MTAILERRESTSLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAALEVPSLNG >ORGLA08G0203300.1 pep scaffold:AGI1.1:ADWL01017347.1:533:1853:-1 gene:ORGLA08G0203300 transcript:ORGLA08G0203300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGNLQEAPFDKYLVRIPRRASFVLNSELELEDDTMESKDKIWNHDDEEEEEVKNQKPAAHSLKKDGAARKRSRKGNDEPILQEEEEDMVMKTEESEDKEATIWFCKKNDGKKWHCRSIVDGPNTLCDYHLARSRSSYTPSSENGASATAAATCSSGPTKADAIGKIKAPPAKSSGAKRNSPGAAAASSSKAAAATATAPSSSKASSSSVSVTVPTSSISQRRKRRKKSTNGSGGDYYFYDLFGPFRGKDRRNHGVVSASEEDHKGLLKAKEKMEYIDVDNLSNNSSITGGGDKENDEDYVVGGAGKARAEKRKGKIAVEKMPFPKMVKKRTVKERSLKSLL >ORGLA08G0203200.1 pep scaffold:AGI1.1:Oglab08_unplaced090:17518:17829:1 gene:ORGLA08G0203200 transcript:ORGLA08G0203200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDWDSTQDLVDFRVASTVSVDISLPSTYVKRTSTTAASRMGTDLDIMLINNVVPLYSGSYVSPREGGKYMPSRANVIFTEAQVNILIIARCVCEFMKTWLR >ORGLA08G0203100.1 pep scaffold:AGI1.1:Oglab08_unplaced090:11358:13635:-1 gene:ORGLA08G0203100 transcript:ORGLA08G0203100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSNKAVAEISKIASSLLPFGQGDADEGEPVAGVTEEVVVFVRHISTRPETWLDFPLFISERYADDFELSDAQYVHALSMEHLVPSLSDIKAAICSTDMSEACFWKIYFVLLHSKLNKQDAELLSTPQILQAREELLQSLQTKNKRGSEVPEEEESSKTVIMSSAPSEEKVIQPSSIENKDWKTRGFIVRRTQF >ORGLA08G0203000.1 pep scaffold:AGI1.1:Oglab08_unplaced090:4808:8632:1 gene:ORGLA08G0203000 transcript:ORGLA08G0203000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWWGRSGGGGGVGNGGGTPVVVKMENPNWSISEVEAAEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAASAALAVASAARRRVASGRTDADAAPGESTALRARSYGCIRVSLVLSLLLLAVEVAAYLQGWHLEEVASLLAVDGLFAASYAGWMRLRLDYLAPPLQFLTNACVALFMVQSIDRLVLCLGCFWIRFKGIKPVPQAAAAGKPDVEAGAGDYPMVLVQMPMCNEREVYQQSIGAVCNLDWPKSNFLVQVLDDSDDATTSALIKEEVEKWQREGVRIIYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQADFLKRTVPHFKGKDDVGLVQARWSFVNKDENLLTRLQNVNLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPATMSILNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVGLVEKHSKQQRVGSAPNLDALTKEESNPKKDSKKKKHNRIYRKELALSFLLLTAAARSLLSAQGIHFYFLLFQGVSFLVVGLDLIGEQVE >ORGLA08G0202900.1 pep scaffold:AGI1.1:Oglab08_unplaced089:6298:9916:-1 gene:ORGLA08G0202900 transcript:ORGLA08G0202900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1QKS8] AVTAGGVGGEEAFDEAASALAALRDAELGPPPKDRPGAGAERRRSGHAEAAVVPEQFLCPISSEIMRDPVVLASGQTYDRRFIQEWLSAGNRTCPQTQQVLSNTILIPNHLVRSMIAQWCTENGIALSPLENQEEDLVTNNERKSFSELFDRISSSSNISEKRQAIKDLRLLTKRNSSFRAVIGENPDSISQMISAVSNPELESNSEVLEDTVTTILNLSIHESNKKIIGDDTKAITFLISALQSGTMEARSNAAAAIFSLSALDSNKAKIGESGAMRPLVDLLEHGSMTAKKDAASAIFSLCKMHENKSRATKSGVIDVVLKAISDESLTDESLTILALLSSDHETVEEIGETGGVPCMLHIIKDDQCKRNKENAVAVLFSICMYDRTKLREVVEDENLNGSLAWLAQNGTSRARRKAAGILDKLKRTIHKTHYSC >ORGLA08G0202800.1 pep scaffold:AGI1.1:Oglab08_unplaced087:64823:67757:-1 gene:ORGLA08G0202800 transcript:ORGLA08G0202800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAARLAARRLLGLATSSASESAAARRLSRSPISYAAAGCSSRLFSTALNYHLDSPENNPDMPWEFTEANMKKVNEILSHYPSNYKQSGIIPMLDLAQQQHGGWVPVAAMNAIAKIVEVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSREIEEALLEHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYSKGSEGYTYNYYEDLTPKRVVEIVEMLKRGETPPRGTQHPERKNCGPAGGNTTLHGEPKPPPCRDLDAC >ORGLA08G0202700.1 pep scaffold:AGI1.1:Oglab08_unplaced087:57875:63604:-1 gene:ORGLA08G0202700 transcript:ORGLA08G0202700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTSLTEAEAGITCFASSLPGFRGVLKHRYSDFIVHEVARDGSVARLTSFDLPDECVDVSEEDKAAPSADADHSQALESFRALCGDADCDALKGLLEKASAGAEADVSPVILSPDADKAHRSEVHNFFKRSFKFLVTDTVEHNDGVQRCIRVRLGSGARGGRGGGGRSGGGRGRKRKNMGGSDWRDDRPFDSRGSTSWPNHVGKFLRFHLCKENKDTQEALGVIGKMLGLQSRSFGFAGTKDKRAVTTQQVTVFKISANRLAALNNRLFGIKVGNFSYVKEGLVLGQLMGNRFTITLRGVVAESEDIIKASVEGLGKNGFINYYGLQRDDIREMREHYKEHGDIDMALRNFPRHLVAEKAILQCLKKCPGNYLQALKSIPRTLRMMYVHSYQSYLWNHAASARVEKYGISQAVEGDLVYSKEAPPGEATSVDTSEPCDDQINSSDIDLCSETLPEETIQSVKIVDSEDLLKGVYSFEDVVLPLPGSQALFPGNGIADIYHELAKKDGISLTENAHGVKEFSITSMKGGYRRVFQRPIDFEWELMTYTDDTASLAETDLDVLSRNKPTKAKEVNETISSAISNAQSHDSKVAGPLDSSMPGSETGLVEEKSVGSSDMLARKLAIKLAFTLPASSYATMAIRELLKTSTSVTYQKTLDC >ORGLA08G0202600.1 pep scaffold:AGI1.1:Oglab08_unplaced087:54605:56836:1 gene:ORGLA08G0202600 transcript:ORGLA08G0202600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXPISVKPPSPVAAAPPPPPVQVPVPPPPPPPLPPAVAAVEPLPPQPVAVVVAEAEPCSMNQLALTPTPKRQKVEESADGNGCKHCACKKSRCLKLYCPCFAGGGYCSEKCGCQPCFNKALYAETVQTTRKVLLSRQKRMSLKINRRSEANAEAVEDAHHSSSSTPPRRGCNCKKSSCLKKYCDCYQDGTGCSLFCRCEDCRNPFGKNEGIMAEESKRFLYTGADLDHSEDEQDFIVERSPRLQSPISKESSFQQTPPHIRATNRDTHMFPQAISQWQPRSWHCSKRQSNDRVIDDSGEYKNSNHDWQFAKPEDSYSISRCVQILNGMAELSQVEKSVAPDVFLQAGNREIFISLNGDVRALWLKRKIQNLT >ORGLA08G0202500.1 pep scaffold:AGI1.1:Oglab08_unplaced087:48390:52018:1 gene:ORGLA08G0202500 transcript:ORGLA08G0202500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 54 kDa protein [Source:UniProtKB/TrEMBL;Acc:I1PX46] MVLAQLGGSISRALAQMSNATVIDDKAFADCLHEIARALLQSDVQIRMVSDMRANIRRAVNLDALPAGTNKRRIIQQAVFAELCNMLDPGKPSFTPTKGKPSVVMFVGLQGSGKTTTCTKYAHYHQLKGFKPSLVCADTFRAGAFDQLKQNATKAKIPYYGSYMESDPVKIAVEGVERFRKEKSDLIIVDTSGRHKQEAALFEEMRQVAEATKPDLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKLDGHAKGGGALSAVAATKSPVVFIGTGEHMQDFEVFDVKPFVSRLLGMGDLSGLVNKIKDAMPADQQPELMQRLIEGTFTLRVFYELFQNLLNMGPIGQVLSMIPGFRSELMPKGHDKESQAKIKRYMTIMDSMTNAELDSTNPKLMSESRIKRVARGSGRTMKDVTDMLEEYKRIAKVCSKLKKKLPKNMDRNVMNNKDTLNTINNLIPKQLLNQIGGVNPLQSIMKQMGLKT >ORGLA08G0202400.1 pep scaffold:AGI1.1:Oglab08_unplaced087:44085:45172:-1 gene:ORGLA08G0202400 transcript:ORGLA08G0202400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKGVMQLLGRCWEVLMQLRGQWWEVVMQLRGQWLEVVNKLIEEEYHTETDSDYMPGDESPSDDEEEAANIHKEYKEVKKKIKTGFILGIDGAGLEGSQSNPNVHADQGKSGNETPYEESDSKRSIDELGSDGEVTTRSSKFPRYKKKQGVPVFELGMKFSSKKLFRKAVTAYALSERKVINFIKSDPRRVRAKCDWPSCPWVCLLSKTIRRDNKLVTSRRIAEKYEKFILSNPSWNLAHMKATVQEEMFADASFSKLKRAKKLVMLKAMDATKGQYQKLYNYQQELLRSNPGSTVMITTWSFQTLHRVLCRAKKGRGQLVLPLVLSA >ORGLA08G0202300.1 pep scaffold:AGI1.1:Oglab08_unplaced087:35679:37023:1 gene:ORGLA08G0202300 transcript:ORGLA08G0202300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSNGLLKGLRRVLEQQRKPIDFYRKSQAWSSTVSFSDIDEKSEMGGDDDYTDSRRELEPQSVDPKKGWGFRGVHRAIICGKVGQVPVQKILRNGRTVTVFTVGTGGMFDQRVVGDADLPKPAQWHRIAIHNDQLGAFAVQKLVKNSAVYVEGDIETRVYNDSINDQVKNIPEICLRRDGKIRLIKSGESAASISLDELREGLF >ORGLA08G0202200.1 pep scaffold:AGI1.1:Oglab08_unplaced087:30995:33527:1 gene:ORGLA08G0202200 transcript:ORGLA08G0202200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKYLETARAERSVWLMKCPPVVSHAWQGAVSSSDAAGSNPNPVVAKVVLSLDLLRSEEPSLQFKMEMAQTNTGNTPKSYSLNMSKDFVPMCVFSESNQGKLSCEGKVEHKFDMKPHSDNLVNYGKLCRERTQKSMIKTRKVQVIEDHRMSLIPLPGMVGLIPSGSKEKKKQTPTKPSDAKRIRRDRRELENIIFKLFERQPNWALKALVQETDQPEQFLKEILNDLCFYNKRGPNQGTHELKPEYKKSTGDTDAS >ORGLA08G0202100.1 pep scaffold:AGI1.1:Oglab08_unplaced087:27502:28215:-1 gene:ORGLA08G0202100 transcript:ORGLA08G0202100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQRMVIVGEEHCGGGEDRELTVRKTTLFCPGDGLEAYDHGTGTLAFRVETYGRGGVCGGGAAAGDLALLGPEGEPVLTVRRRRPSLHHRWDGFLGDGAASGQKPLFSARRSSILGVGSGAAAVLVDLLAPGAAGEFRVDGSFPRRCCRVVAVKAAAPAGGGGEEEEEEVVVAEVRRKVDEDAHVVMGRDVFVLWLRAGFDAAFAMGIVLVLDRITGDELNGDLSEDLAVASSPV >ORGLA08G0202000.1 pep scaffold:AGI1.1:Oglab08_unplaced087:22588:26217:1 gene:ORGLA08G0202000 transcript:ORGLA08G0202000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQSVNAGKAKVDVHVDLTHMLCEALLLPPMRNSGATFSQIVGRISLKHPSLFGRSEKLDVILDKGINESNAVIAFRRPRPEWLSQQSFVIQHTMTPEIAVHGFPADNFTRSGSRGINLSRLSLGVELNEPSTSNWTSGTSVKFEHIRPVNNEGRSIARDHDGFPLTCSGNLHDNMIILKQESGYADVNDNSFLKVNLQMEQGLPLVPKSLTFNRVKCAVSKGMKLGPTFLVTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGAGRLCLIANCEYTVPLAKHLEGSIFMDCGSDLGSACHVPGNPALRQGKPGFGVGFGYGIHFNTDLGQIRVDYAMNAFSRKTIYFGINSGGGS >ORGLA08G0201900.1 pep scaffold:AGI1.1:Oglab08_unplaced087:14455:19753:-1 gene:ORGLA08G0201900 transcript:ORGLA08G0201900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVQPPPSPPPPRRPRSGPPPGLKNLGNTCYLNSVLQCLASTPPLATFCLSFRHSNLCKKVLPNKDKECVFCVVERQISRLLRTEAGALDSPAKIIRCLPLFAEHFRWGRQEDAHEFLRYIIDACHTAGLCIRKRLPASNANGDAGEEEVRVQGPCMVMKETFGGALLSQVKCLTCKGESNKTDEIMDISLDLPGSNSVADALARFFQPEILEGSNKYSCERCKKLTSARKQLFVLRAPKVLVIQLKRFEGINGGKINRNIEFKETLFLSDFMYNKNQDSLPVYNLFGSIVHSGFSPDSGHYYAYVKDAIGRWYCCNDSHISPSSSQDVLSEKVYILFYILSTKTQKPSTNGYSSSAAKSSNSNGNGISNATSNEPLKIPLVKQNGLCSSKGIAPPPLKNGKIAPGMHLKPIHLKNNGTGKVSNGKASIIPGNKLEVSEGLTLPAVNGRDSGKYAEPGKMNANGSVSCNKTDVNSQRVLPNTNGNGNPIHFSDLQETTDAKATCAEQYSEKSSIASLEDSKNPVSCHEMSAVIVKDVVSSGKDSSSLKHRLEEGKFKEMLAESASSELHLSGWVDDVRNFMHTIKRRRLQNTGTPQDSDTMRKELISESGRIFRSKIPESLREHLIQALRSYYQDKFSLGG >ORGLA08G0201800.1 pep scaffold:AGI1.1:Oglab08_unplaced087:9634:10872:-1 gene:ORGLA08G0201800 transcript:ORGLA08G0201800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPAGSRRWRCDAGDEHGCWLSSSAGGGGDDHFDRLPDPLLLVVFNRIGDVKALGRCSLVSRRFHDLVPLVDSVLVRVDCVIPDDPASSSSSSSSPSAAPSSPTASARARTVFSQIARIVLGGIVKPIQALGQILSPANSASVLAASVTSSPSSSSSSSSSSPPLPGDVSHHSPSEVLRSFKELRRLRIELPAGELSMEEGVLLKWKADFGSTLGSCVILGASSAGKDGGAGAAPAVDCGESDETGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIADHTTLESLDLTDADGQGVLTMDKWQLQELRVKPVSASGGSHRTLMPALSMRLWYAPHIELPGGLVLNGATLVAIKPTEEATRDTVGSGIAGSAGGCWVSDAFEEPYRTAVGMLLKRRTYSLEMNSF >ORGLA08G0201700.1 pep scaffold:AGI1.1:Oglab08_unplaced087:5345:5563:-1 gene:ORGLA08G0201700 transcript:ORGLA08G0201700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAGVASRGFGDGSARRLTALGGGDLRGSRDEDSAGHDDDDGGCGRTRREWGLRAPMARRRLRASLDRCCA >ORGLA08G0201600.1 pep scaffold:AGI1.1:Oglab08_unplaced087:2287:3834:1 gene:ORGLA08G0201600 transcript:ORGLA08G0201600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNHSDAAFPVAATTPLLGRRPLDAGEFRRQGRQVVDFIADYYASINDYPVRPAVAPGFLAGKLPATAPSTPEPDALTAGLRDVRELMLPGVTHWQSPRHFAHFSATASNVGALGEALAAGLNVNPFTWEASPAATELEVVVTDWLGKALHLPERLLFAGGGGGTLLGTSCEAMLCTIVAARDEKLAEIGEERIGDLVVYCSDQTHFSFQKAARIAGIRRGNCREIPTCRESGFVLTATALQAAVAADEAAGRVPLFLCATVGTTPTAAVDPLRELCAAVEGRRVRVPGVPPRHRRRRGRGLVQHEPAQVAPRQHGLLRAVGGAAGGARRRARHRRRRDPQGRGHGGEAREGRPPPPRRGGLQGLAGRAEPPVPRAEAMARPPLPRRRRPARRRALPRPHGGRARAHGARRRAVRGARPEAVRAGLLPAARRRRRRTARRRRRAHGQQRTQQEAPRGGERDGASVHELRRGGRHVRAALRRRQLAHRGAARPGGVERRAGAGRRRLGHRRRRR >ORGLA08G0201500.1 pep scaffold:AGI1.1:ADWL01017334.1:481:1830:-1 gene:ORGLA08G0201500 transcript:ORGLA08G0201500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSDHLSGLPDDLLRHIISLLSAKEGAVTAVLSRRWRPLWRQAGTVNLDTEPYLYPAAYRGNNFPEHRRSAFVGHALAALAACESPRVLSLRLVSEEIEGGAAEERCAGVVDAVLDAPAAARVEELRVRCAVSWLCEHGSCERSSSSGTWRLRLGSLPCAAATLRVLHANDVGVERLGDGDGGVVLPLLEEMRLVKATVSPETLQGVIDAAPRLANLRDRCIELDAPRLRSFVNERSLPGRFSLTSPAPDLAPADLHFHDHRSYGDKDPNNLTVPMWSCLHLHGVRVLKLQLDFYAEYIAMDADDADDGVTATFPNLEYLELDAHCKDDHDMATELTVASVLRWCPAIRELRLRLSVADAEGRVNVIYNSKRHMIHHARMMRNSFGQDVQTKIDVDVTNITTSSP >ORGLA08G0201400.1 pep scaffold:AGI1.1:ADWL01017333.1:76:1976:1 gene:ORGLA08G0201400 transcript:ORGLA08G0201400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLPSFVRHRGGPGSDLELDGLPTSSSNASSGSHEEDHGPLQGVKGEGWMQVQGPIKNSAARSTGECQDQRYRLGSVLFHGKNERKQRPASVDFGCRSVDRSSTHSPGFLVNGTGAMNKGLSVSSQNKPGAPTSPGTPSYNRQGATVVGYQQGWSSERVALSSNGQRRYSGNSMVLPHNTGRTLPSKWEDAERWIFSPNPSNALGRTSIPQSRRPKAKSGPLGPPGRFSEPYSSVSSSSSLLDTGRVGNLTANSPFLAGVLLPEHVCVSSSHAGRDLSGASGEDKSNGMGGRSGEANGAHPAVWSTRVCQRMDSAVQSSPSLTTSQESVQACTDEQIEITTDLTTSSKPEISRKDVATQTSPELSRSSSPSGRPSFSRSLSVQQVKELESCFSKLEIRDVQMDDRVTLTRWSKKHVTRGSEKNSTNIIEWKKKTVESKSSAWEVTETAKCISK >ORGLA08G0201300.1 pep scaffold:AGI1.1:ADWL01017327.1:44:241:-1 gene:ORGLA08G0201300 transcript:ORGLA08G0201300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMDDLSGQKRICVIRDVYGHHRLGFLSGSGLLCCWAAGLWESEKMKKALGAALSSEMALGAKK >ORGLA08G0201200.1 pep scaffold:AGI1.1:Oglab08_unplaced076:5344:5769:-1 gene:ORGLA08G0201200 transcript:ORGLA08G0201200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLDSDSRESEGRWLVGPTEQRTKGAGPPWTKSTGPRMVRHVAPTGHHAAGRAGHGMDGNDLPRGKSGGWGWAASRPARAWRTAGTGDRPTAASGDAGSGTDSGADVTACMWGAAARAEEERKGREGVLTSEHSGRREG >ORGLA08G0201100.1 pep scaffold:AGI1.1:Oglab08_unplaced076:2417:2641:-1 gene:ORGLA08G0201100 transcript:ORGLA08G0201100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSFAGSLVDYFVHAPSLGSNKPLGQACMATLQVDRAVTVHPGGSCRRRQFRPSPRPCQASRSHGKASMRLY >ORGLA08G0201000.1 pep scaffold:AGI1.1:Oglab08_unplaced075:96912:101564:-1 gene:ORGLA08G0201000 transcript:ORGLA08G0201000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:I1QKQ9] MEDSMSARMILSGIPLEESYLQHRLDFMAQQERKGIKQGKIPIDECYYLMGTTDPTGTLRPNEVCVILENGQFSGDVLVYKHPGLHFGDIHVLKATYIRDLEKEYVGYAKYAILFPISGPRSLADEMANSDFDGDIYWVSKNPKLLEHFKPSEPWVQAIKPKKTKQKKPQDCNESKLERLLFHEFLKTRFTPSFALGTAADSWLAYMDRLLTDSLDEIEKKLIEEKMLKLVDLYYLALDAPKTGNKVNIPSDLMVKQYPHFMGRSFSYHSSSILGQIYDKAEDVESLRSCNVQSIGVSLLPCFMEREAPPAARHLWQHRYEEYLTDSTMLYRAMVDKEERNMKFQELYEKYKHMLYDASEFEQTQRDPDDVFSEACVIYQIVYEKARWSNDASRCGFAWKVAGRALCHFYALKNEGDTALCSLPLLRKIIKKDHRR >ORGLA08G0200900.1 pep scaffold:AGI1.1:Oglab08_unplaced075:82509:86619:-1 gene:ORGLA08G0200900 transcript:ORGLA08G0200900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEQVRMAVLLMLNCFVKATAPPPWPPSASSASFLDDLGDLGIAPLIRADEAATARASADFGNLSVAGVGAPRLAAAAAVLYPSRPADIAALLRASCARPAPFAVSARGCGHSVRGQASAPDGVVVDMASLGRLQGGGARRLAVSVEGRYVDAGGEQLWVDVLRASMAHGLTPVSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVLELDVITGVGEMVTCSKEKAPDLFDAVLGGLGQFGVITRARIPLAPAPARARWVRFVYTTAAAMTADQERLIAVDRAGGAGAVGGLMDYVEGSVHLNQGLVETWRTQPQPPSPSPSPSSSSSSSFFSDADEARVAALAKEAGGVLYFLEGAIYFGGAAGPSAADVDKRMDVLRRELRHERGFVFAQDVAYAGFLDRVHDGELKLRAAGLWDVPHPWLNLFLPRSGVLAFADGVFHGILSRTPAMGPVLIYPMNRNKWDSNMSAVITDDDGDEVFYTVGILRSAAAAGDVGRLEEQNDEILGFCEVAGIAYKQYLPYYGSQAEWQKRHFGAKLWPRFVQRKSKYDPKAILSRGQGIFTSPLA >ORGLA08G0200800.1 pep scaffold:AGI1.1:Oglab08_unplaced075:76087:76389:-1 gene:ORGLA08G0200800 transcript:ORGLA08G0200800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISASSMLSILVIERVDERAGLSCLLSLLSLILVSSACERVLDDMRLWVVLNSAPCIAIPAMLFLFPP >ORGLA08G0200700.1 pep scaffold:AGI1.1:Oglab08_unplaced075:73044:74753:-1 gene:ORGLA08G0200700 transcript:ORGLA08G0200700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSPALPNSYTLPLALRAAASPRVASAVHAHALHLGLHAQHDVAGQILAAYSRLGRAADARRVFDAMPPGRTTFHWNALISAYSSGCDPDAARDAFARMAAAGARPDAVTWTALLSAHARSGKHADVLQLFGEMQRSGCEGNAESMAVALSACPYAGDLALAKGKAIHGCGVVKGLMHGYLFVTNSLICMYGKLGEMDDAKKAFRDATAKNTVTWNTLITSYAAAGLCDEALDVLAQMEQIGGTVAPNVVSWSAVIGGFASSGDTDRALELFRRMQQQWLSPNVVTMATVLSACADLLALRLGRELHGHAMKAELERHSLVENGLINMYAKCGKVSGARKVFDGMKTRDLISWNSMLAGYGMHGLCDEALALFTDMAGATVEPDGVTFVAVLSACGHAGRVTEGRRLFDRMVRAHKITPSMEHYTCMVYLLGRAGLLRDASELVETMPVRPDLCVWGALLNSCRIHGDAAMAEATIANVLQSEDQSTGNHMLITNLYAMCGMWDESKKVRVMTKEAGLRKNPGQSWIEVDNKVVAFAAGSAPPNLTGAEDVFGMLDDLYAEMEDEQR >ORGLA08G0200600.1 pep scaffold:AGI1.1:Oglab08_unplaced075:69490:72586:1 gene:ORGLA08G0200600 transcript:ORGLA08G0200600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLVRFFLTILANTFLHSPYQHSSPLESSQCSTPLGSESGGKRRRGRRGKQKGLGEVVSVHEKKEAKTSPFPLLLLCRATRRRITEVYDEMYQIVRAKRNDTGKVHEFINCLVDARNELLHKSEMVQRSCRIKKALLSNPCSRRANSYDRLCEQVHKLEAEHKRLKKDADIYNYIQEQLQMSESYKLLIELSALVEKAEREDALAAEAAEMTFEELLAQEKSDAAFWQRHRKLTSILPK >ORGLA08G0200500.1 pep scaffold:AGI1.1:Oglab08_unplaced075:67784:68480:1 gene:ORGLA08G0200500 transcript:ORGLA08G0200500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPHQLALIVLLLVALAALFLALLRGRCRCREGEAHQLPEQAEAGAGVAEGEEGGRERRKRRKARRRQRKGAGDDDAAGGEGDEALQLQLLRRRPRFPLASVAGALQRRITARYDDLARASQAHSLTIHQTFKIESDLGFDIMDLMMLVCTFSLVRQVLNQWFC >ORGLA08G0200400.1 pep scaffold:AGI1.1:Oglab08_unplaced075:62994:64226:1 gene:ORGLA08G0200400 transcript:ORGLA08G0200400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRGGHTTCHTSSPSLSLRHKARTAVGVRAPVARVRRAVGVRAVVECGEDAAAAGGVEEGVGGRGRQDSDVTVMATDVDDIYGRQCIMHLLTGDDVDVPFFTLLP >ORGLA08G0200300.1 pep scaffold:AGI1.1:Oglab08_unplaced075:58736:62082:-1 gene:ORGLA08G0200300 transcript:ORGLA08G0200300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFDGRAADPGSYRDRRSEGAFGGGTRAFAPTSKADSAAAAAAADLDGLPRFEKNFYVESPSVAGMTEEEVEAYRRRREITVEGRDVPKPVREFRDVGFPEYVLQEITKAGFVEPTPIQSQGWPMALRGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEATKFGASSKIKSTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQIKKIVSQIRPDRQTLYWSATWPKEVEQLARNFLFDPYKVIIGSEELKANHAISQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKDLINILEEAGQKVSPELANMGRGAPPPSSGHRDRYRGYGGGRSWS >ORGLA08G0200200.1 pep scaffold:AGI1.1:Oglab08_unplaced075:47181:54580:1 gene:ORGLA08G0200200 transcript:ORGLA08G0200200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAGWAAPAFAVAAVVIWVVLCGELLRRRRRGAGSGKGDAAAAARLPPGSFGWPVVGETLEFVSCAYSPRPEAFVDKRRKLHGSAVFRSHLFGSATVVTADAEVSRFVLQSDARAFVPWYPRSLTELMGKSSILLINGALQRRVHGLVGAFFKSSHLKSQLTADMRRRLSPALSSFPDSSLLHVQHLAKSVVFEILVRGLIGLEAGEEMQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKKMARLIQRIIREKRARRAAASPPRDAIDVLIGDGSDELTDELISDNMIDLMIPAEDSVPVLITLAVKFLSECPLALHQLEEENMQLKRRKTDMGETLQWTDYMSLSFTQHVITETLRLGNIIGGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDDTLYDEPYKFNPWRWKEKDMSNGSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWVAEEDHIVNFPTVRLKRGMPIRVTAKEDDD >ORGLA08G0200100.1 pep scaffold:AGI1.1:Oglab08_unplaced075:39472:39792:1 gene:ORGLA08G0200100 transcript:ORGLA08G0200100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDSMALFLLGFSGSCSFLQQKLDKLLNTRDTLLKLLNGVFCTNTFYMKVVLKYHINLFFKFVIIKTQLIICYYHLVLRETLNLYLHLQXIQTPSCIEICSFGEF >ORGLA08G0200000.1 pep scaffold:AGI1.1:Oglab08_unplaced075:29397:30833:1 gene:ORGLA08G0200000 transcript:ORGLA08G0200000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPPFAAGDSPPPTALLLPRTTTTAGAAPAPRRSSASSRLHLLLTAALAVATSYLLLILPRTPLSAAPAPAAAARAQVKLEKPVVILISSDGFRFGYQHKAATPHIHRLIGNGTSAATGLIPVFPTLTFPNHYSIATGLYPSSHGIINNYFPDPISGDYFTMSSHEPKWWLGQPLWVTAADQGIQAATYFWPGSEVKKGSWDCPDKYCRHYNGSVPFEERVDAILGYFDLPSDEMPQFLTLYFEDPDHQGHQVGPDDPAITEAVVRIDEMIGRLIAGLEERGVFEDVNVILVGDHGMVGTCDKKLVFLDELAPWIKLEEDWVLSMTPLLAIRPPDDMSLPDVVAKMNEGLGSGKVENGEYLRMYLKEDLPSRLHYADSYRIPPIIGLPEEGYKVEMKRSDKNECGGAHGYDNAFFSMRTIFIAHGPRFEGGRVVPSFENVEIYNVIASILNLEPAPNNGSSSFPDTILLPSE >ORGLA08G0199900.1 pep scaffold:AGI1.1:Oglab08_unplaced075:21449:22042:-1 gene:ORGLA08G0199900 transcript:ORGLA08G0199900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:I1QKP8] MSKYGTIPTSSSGAAPPPLPLGGGGGASPLDFFSRAKARGATALATRRPWRELADPHALGLPPSLADAYLRVRANLAHYAMNYAIVVLAVVFLSLLWHPASLIVFLVCMVAWLVLYFLRDEPIVLFGRVVGDGAVLAALAAVTLVLLLLTGATANIVSSLLIGVLLVVLHAALHKAEENVDDEVGRWYTPVPPQPAH >ORGLA08G0199800.1 pep scaffold:AGI1.1:Oglab08_unplaced075:15289:18814:-1 gene:ORGLA08G0199800 transcript:ORGLA08G0199800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAVGGGEQSMPEFWPEGSGILPMDERPPPPALRLPRGRRDGGLSGWLRSRCSSYAVYDDINIVLHMTAVHDEEESLVNLVHPFSCVFSTDEKGISHSSRAKNPTSSCCRLRFMVAGHSQCRGDMDQD >ORGLA08G0199700.1 pep scaffold:AGI1.1:Oglab08_unplaced075:6908:8613:1 gene:ORGLA08G0199700 transcript:ORGLA08G0199700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCSSWIHGYANANATAGNNGFMCGYAASCSPVEYQQQQQLVGSQIEHHLNQISMQMGMDDESAVYDGASMVDDLLMASSSAHHHAGAGSFQYSSPTSSSASFRSASVSCSPESSAAATTHFLGPPAPSAAAAGFHYQEVSSQAPLPLPLPPYEPQHGQYTTVLSPPPPAPELPATTTPATGGAFRRYARHLRPRRLPKPGRCGQRMFKTAMSVLTKMHVAATYNRQYYYQQAAAAAASASAAEAPPSGNQLQHMISERKRREKLNDSFVALKAVLPPGSKKDKTSILIRAREYVKSLESKLSELEEKNRDLEARLASRPAAAAKNDKGETAAAPAPEAGDETKRKDLVEIEVTTSGSGPGAADAAAAAGGDQETCTLNVDLRGGGGGGGMSTTDVVLRTLQCLREQIGDGASLVAMSTSAGSGGRPPRANLTLQLKV >ORGLA08G0199600.1 pep scaffold:AGI1.1:Oglab08_unplaced075:601:1863:1 gene:ORGLA08G0199600 transcript:ORGLA08G0199600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICNEKICFLGHEIGXCKIHLQEHIAKKILQFRDAMNDKKELQRFLGIVNYARSHINNLAKLAGPLYAKLRKNGTKNTMNYNGVEFFTFGEDNKLRIFPPNFYKFKPRDHVIIDEVQECILDNFWYQYNNRKEEKGYILXILNSLSEYFHLINELMLVAENIEAIEQMPIYVVFEGRVPGVYISFEEIISQKIDAKLTRGISWKKYKDIEEALGQARKILGINYYLEPTSKEYIQKCKRARNKKIQSPHIIQI >ORGLA08G0199500.1 pep scaffold:AGI1.1:Oglab08_unplaced073:2813:8009:-1 gene:ORGLA08G0199500 transcript:ORGLA08G0199500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQRQRDTSSEEELPREPWTVEKDVHLLNNLAAHGGEFQREPWVEKDDAASWTVEKDDVPLVNNVVAHGDPEGSSNSLARSGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNAEAIRVARSRGVQTIIATGKSRPAVIEVLGKVNLAGTGGIVSESSPGVFLQGLLVYGEGGQKLYQQNLDIEVCREALLYSLKHRVALVAFSQDDCYTTLDDHPLVDFFHVMYHEPKAKIISDVDHFLSTIDIQKFVFLETPEVISSVLRPHWARRVDGKAQVVQAQGDVLEVVPLGTSKGNGVKILLESLCASPDEVMALGDGENDKEMLQLAGLGVALCNGCEVTKVVADVIGASNDESGVAQAIYKYL >ORGLA08G0199400.1 pep scaffold:AGI1.1:Oglab08_unplaced071:37074:37669:1 gene:ORGLA08G0199400 transcript:ORGLA08G0199400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLLGKKSAKSSSTKAKDLAKAANNKPMLSEDPPVISEPALVNSHNDGNAENCKLQNGVAV >ORGLA08G0199300.1 pep scaffold:AGI1.1:Oglab08_unplaced071:33182:33385:1 gene:ORGLA08G0199300 transcript:ORGLA08G0199300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSTTRNMTFCDEFLVTSELFVISTFHFMTICMRWGGLVTKKRCPSLIPTRNHHKVFQWDIVTNINLS >ORGLA08G0199200.1 pep scaffold:AGI1.1:Oglab08_unplaced068:8326:8655:1 gene:ORGLA08G0199200 transcript:ORGLA08G0199200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RYRRRRGAAESCSPPPIKVKRHLTPPPIVRAPSQFQEDEVKSSEPSIYKLAAQVKKLRKENIELRDCNAELGVELAEIRNNFDTLSRGLYAKIKRTFVEMGKENKYYAN >ORGLA08G0199100.1 pep scaffold:AGI1.1:Oglab08_unplaced068:7040:7447:1 gene:ORGLA08G0199100 transcript:ORGLA08G0199100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIRNWNMDIEGAEEWRKMQIAKLEEWREKAYHNAKIYKERTKRWHDKRIKIKKFKPGDKVLMFNSRVKLFGHGKLRSKWEGPFDVIDTSSHGAITLRDDSGNIFKVNGQRLKIFLEPNETLDEEVDVIELIDYEP >ORGLA08G0199000.1 pep scaffold:AGI1.1:Oglab08_unplaced067:617:889:-1 gene:ORGLA08G0199000 transcript:ORGLA08G0199000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARMARCGDDGSDDGGRLKLAAAGKRGGARGGGVPEAERGNGVEAGLRHGAAKPKVVADWRGGGWSSGGGRLEPAGKRRCAGAAWGGR >ORGLA08G0198900.1 pep scaffold:AGI1.1:Oglab08_unplaced066:46171:53014:1 gene:ORGLA08G0198900 transcript:ORGLA08G0198900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEGPSAEVNETSVEEVNAREDRGVVAPVGIQPGGVADEGETVGAIVDEMEREDSNNERVEEELSHIQYSLPHLLHYKSYRLSSMARGRGVEDPNWRSDPCVYLLPPWCQRPPCLCGDRCQLMASRNPDIRGRRFFSCPNYDRETRTTACAYIEXVDTENPVLNLTTCLQEGHWYFVSESNEQYLQRKAAYERQCRVQQSDWRVLTTALPPWEARPRCRCGDRCQVLRSINPTTLGRRFFVCPNILDDDFMEPPRRCQYREWIDTRRVLTPPSRVVQLELPEQYRVTKALFERGEGSSRRG >ORGLA08G0198800.1 pep scaffold:AGI1.1:Oglab08_unplaced066:28187:28414:-1 gene:ORGLA08G0198800 transcript:ORGLA08G0198800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETCRERGGDPVDRPLGGLRSVEDDGGDGVGGSGGGKARAGGSNGRWSWHPTARFPPHPGVTPPELTRAVPPSL >ORGLA08G0198700.1 pep scaffold:AGI1.1:Oglab08_unplaced064:145469:145690:-1 gene:ORGLA08G0198700 transcript:ORGLA08G0198700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGTRSRIWKESKFGTIGYVKFLSCTRGLPKVFRTLSMSLVRGFRLPTSYINRGGA >ORGLA08G0198600.1 pep scaffold:AGI1.1:Oglab08_unplaced064:112003:112617:-1 gene:ORGLA08G0198600 transcript:ORGLA08G0198600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGSRXGRGRRSTPRMGVAPNPGASSSGSHEAARAVELEILLEKHSEGMLVRIGLTCRHGFFPILCCCWSGPNTGRRFLACSQVEQPCDFKYXINERFEGRAKRVIQDLDSMRHSMSELYEHSSRQWDDMYADRQRAKQQIHELKCTIRKQRECIVILICFSIVIACGIWLIV >ORGLA08G0198500.1 pep scaffold:AGI1.1:Oglab08_unplaced064:39729:60844:1 gene:ORGLA08G0198500 transcript:ORGLA08G0198500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVMKRKFQDYWNISYLNLSIPVILDPRFKYSYVKFRFEQSFWRXGQYISXARSRRQLNNFSLIIHQKXMTKTMNXLVEEVMLKQAFCKRMHFSIDGLITXRRCVTCGLGLXIAQGPTKLLKMVVLAASIISKSGKALVSRQYVDMTRIRIEGLLAAFPKLVGNGKQHTYIETEDVRYVYQPIEGLYLVVITNKQSNILEDLDTLRLLSKLVPEYCPSLDDDGVCKTAFELIFAFDEAISLGNKEKVTVQQVKEYCEMDSVEEMEYKQMMQEKIKETKDFMKKKVIEIEKTRMEKGKHNKGGYSSISGPQVIEKSFNGMSISCTGFGSGSGLGGLNTDTDTFTSRPKGRTSGGTTGAGKGIGMKLGNTKKTNQFLESLKAEGEVIMEDFQPCSLQSRSSPLPPSDPVTVAIEEKLNVAVKRDGGVNNFDVQGTLALQVLNDADGLILLQIESQDIPGLSFKTHPNINKDLFNSQQILGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSILGNETYVNIEYEASEMFDLHSVIISIPLPALREAPRVRQIDGEWKYDSRNSVLEWSIILIDQSNRSGSMEFVVAPADPSMFFPISVGFSASNTFSNVKVTGIRPLKEGSNPPKYSQRIRLVADNYQVV >ORGLA08G0198400.1 pep scaffold:AGI1.1:Oglab08_unplaced063:31713:34056:-1 gene:ORGLA08G0198400 transcript:ORGLA08G0198400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISWKEGFKNDPHKKREAYKDKLRDEGAAEFERQMMDFCVKHMILPRPETKETEPDYPFDDLPENTPCRLHVPIARSGKTFEAATTIAIPGRTYNEQFIPDAYAMVQPQVVHEGFESYDIDYPTADGIFVLGDAVDLVILWHKNDIFFGLGTDAGEKPVLPKPGLGKPPRPPKRKVTDKADDPEMDKENPVPEVPPQIALPEEAKEIAPPETAMEIQLLDVPMEITVAEPEVEFVASVGSDKDEVTGLEWDGTEPEIFEDPSPAKEPEVPRVLRSHDSKSKDANKEKFMLTVFRGGKKRAKLRDDDPQKASELAGPTYFATDDCPKKMQYMDAKKKKEPIGFLDPTRICQTQHTVTLAPGSDQLKGKNPKEIAEYKKGLHKEKLITVAQYIGRAFLHFQNKRVVMAAYNFKVNGRYRTNAEDLPRLECRTSFDDTGITNVQRDLCHFIHHECCHVKGDFFDSEGALAASDEFKDLREWNTAMP >ORGLA08G0198300.1 pep scaffold:AGI1.1:Oglab08_unplaced063:25444:25725:-1 gene:ORGLA08G0198300 transcript:ORGLA08G0198300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRAIAGPMASKLGGPRRLLWPSRGRTGPSEAVLLWAGCRGEPVLAESSFFGMHSRDPSPRIILGSWSSPWVSADSVEGTHAIRQQAPHGQG >ORGLA08G0198200.1 pep scaffold:AGI1.1:ADWL01017257.1:648:4213:1 gene:ORGLA08G0198200 transcript:ORGLA08G0198200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRPVTGEGIPVTGHXIEARQRWIVDGCTMRIVRLPSIERGSLNLSHLRIMTKKVGXACTCCAHVETVRMNRXSKTRMKCMLFXXXMDSXRNIPAGPSMESKRRLMSQLKKCWIRMXRTPPQLEKACSYLLLXVERPXIWTLSVYLQCCMTLKTQRTTTDILRSLVSWWKIVRCRCMMDASRSTASCHACWNSXSLRLVMAGRTRVLQNFLSCXRICCQRGTIYRRLHMKRSKYYVRWAWSTLTSMSALSVGHQDTNEQRVKVKEDRDNLATISMCSLNRXSMTSKSYGMRAHEHGTHMHKSISTSMRCCFVPSTIIRPLATFLAKLXKGNGRVRSVWRKREANGXSIHTRRSTWVTGDFSQGITRIGIXERISMDTEIQPDPRQSXQGQRCTTXXWEXPTSLEKRGKLEREKRRAHRRKKQRSMWKNKRQKKGACGKRSQYFGDYHTGRILKFATAXIWCMSRRMYARVXWDYCLTQVLQRMVSTPDEIWKIWVFARSYIPXPRNPAGFTFLQPATLCRKKRRLICXHVXVVXRFHLVTHQESVGSFHCKILSWXEXSRMIATFLSHSCCPLQXGIFCLLKCDTRSSGCVPSSMQLARRSLIPRDXMNDKQNLXEPYVILRCTFLQLSLTXWNIFRCTLXGKQSAVVQHSXRRCILAKGTWGSLRVMYGTVHIPRGVSSRVTPPKRSSIFVWTTCQKHLQLDYHDLIMKGGLMVLVLLEERLLGWIGRCTIKLISRYYSIXLRWCRTLTNTLQLSDKRTEADQRVGSGISTYLHSTSGXRTELPGCRTCLVKHFSGCHRVLNGVPPPGKDMTXMDTPFTRXSKTANAQCRTVGYASRLVVTVVVVINTMVDYLKFEVPLFRCRXVDLRNVKVDNEGFTTINLANNAYKDEPFVLAKQVVQVFYIVDPCNKKLHVVREGKRRIVGLDNIADEDDYNQHVHGIGQEIPLEEEEEEDEVQNAHVDHEERLFL >ORGLA08G0198100.1 pep scaffold:AGI1.1:Oglab08_unplaced059:22043:24782:-1 gene:ORGLA08G0198100 transcript:ORGLA08G0198100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGLLAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFSPYFWPYLGQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGSMETTTDANQNTGATCDKVKSQLSNFLPTSRRIMQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVVGIDPYAVPKSMGIFQTITSTKPITTVSVATRIIDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >ORGLA08G0198000.1 pep scaffold:AGI1.1:Oglab08_unplaced059:20066:20746:1 gene:ORGLA08G0198000 transcript:ORGLA08G0198000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVAMNMKRKEHAEVANNGLSIFLDPKRLKLQDGEIPDMMEEEKPSAGVQLDPTVPTMALSWMLPHDTMNTAYEMSSSETPPLRADQAAAAAPWTSRFSSG >ORGLA08G0197900.1 pep scaffold:AGI1.1:Oglab08_unplaced059:6805:7140:-1 gene:ORGLA08G0197900 transcript:ORGLA08G0197900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKQTSHKSKRLRDRSPTPSSHDGSDSDWSGGEDVVPQVARVARRSTHAHDGGGDGEGSSHQPQTLSHQPNVPIGPLRIHTPERDPAVIRQVYDWRRKSEVVAPRRDEDP >ORGLA08G0197800.1 pep scaffold:AGI1.1:ADWL01017246.1:725:1165:1 gene:ORGLA08G0197800 transcript:ORGLA08G0197800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKLWLANWHIAQEHNLRRDRVTSWLSDDFLKLLQYQFACSRPIIIAKISELEVWRCSYSGWKFSRS >ORGLA08G0197700.1 pep scaffold:AGI1.1:Oglab08_unplaced053:11524:12129:1 gene:ORGLA08G0197700 transcript:ORGLA08G0197700.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKPNCLNSSNRSKSFIKIQPSIKAEIGTSTTSEPEKDPSEAKPCISNKNHEPTRITSEVTRSWCPIHKTRKHTLQACWVFLNVHAEIRACKERGIQRTSPTRDVYCPIHKTKNHVLSSCKVFLSAMKTPPPKVQQSRIPIRDEDKEQGATPISDRFVEVIDIDPHEPSVLHLLEDYGSSTTSTPREVLAIDDVSTSARTNV >ORGLA08G0197600.1 pep scaffold:AGI1.1:Oglab08_unplaced052:26489:26677:-1 gene:ORGLA08G0197600 transcript:ORGLA08G0197600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALVSTATGALNPVLMKLAAQLCNEYMISKEVHKEIESFSSELTAIHSFLLKMSEEDRES >ORGLA08G0197500.1 pep scaffold:AGI1.1:Oglab08_unplaced052:13729:15269:1 gene:ORGLA08G0197500 transcript:ORGLA08G0197500.1 gene_biotype:protein_coding transcript_biotype:protein_coding YXTPRRRGETEQXINIQANIRXDKTPDIDFRDKYANFVDTSGMYLQKEQLSTRVGCGRAHPIIENVLDKCRVVAPNLPTKTDDLSHNTGYGWAI >ORGLA08G0197400.1 pep scaffold:AGI1.1:Oglab08_unplaced052:1210:1527:1 gene:ORGLA08G0197400 transcript:ORGLA08G0197400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAREQCPLSYANNAVTCREDGQMNCENGTVNMEKSAMSSNKMATGLLKSCGNVMPCRNMERSGPAMYKVDMRGSTKQFSMDSKMMMCLIVFGCLIAALDMFRNVA >ORGLA08G0197300.1 pep scaffold:AGI1.1:ADWL01017228.1:167:2242:1 gene:ORGLA08G0197300 transcript:ORGLA08G0197300.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIKISKEDVIGNMTFARRIERGAPLVLDWAIRNNGTCPPALSSGENGKQRQQAAAACVSPHSYCLNATNGPGYFCNCSDGYAGNPYVSNGCKNVNECDPSFYKENYPCIGGKCLDTEGGFKCKCNFGRKRDSKNSHICQPVLSKPAIVVTATTCAISILSIIFLFLHMEREKRKLREFFKKNDGQLLQSMGIKIFKKKTIEKITNNYSTIIGKGGFGLVYKGAVDNDQKVAVKCPNPISVDTARQNDFANEVSIQSQISHKNVVRLLGCCLETNIPILVYEFIPRGSLYDVLHGNGDDSNITEHKLSLDVRLGIAIESAEALAYMHSSASQKILHGDVKSSNILLDENFTPKVSDFGISRLLSIEKDHTKFVIGDANYMDPVYMKTGLLTEKSDVYSFGVVLLELITGKKARYEGNESLPLNFVKSYMTESRAREMFDKELMCTEEVNCLEMIGDIAVQCLEEDVDKRPAMKEVSEHLHLARKEFMQNQGKISCEEADEIAIEFPLSHQLSPA >ORGLA08G0197200.1 pep scaffold:AGI1.1:Oglab08_unplaced046:5074:5296:-1 gene:ORGLA08G0197200 transcript:ORGLA08G0197200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDPPPITGDGLALHPIDVCHRSLLHGTPPPPPSTSRKRQREDEFVPSATELEQAGVHFSRSPTRSLRDISFR >ORGLA08G0197100.1 pep scaffold:AGI1.1:Oglab08_unplaced036:9388:9831:1 gene:ORGLA08G0197100 transcript:ORGLA08G0197100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQPPPPSSLGSVKGKALKLGLTDIIDDNVMPIDPEKFTPEQKEEFEATLQQARDQFLNSFTQTRKGTLVQKYKIKVVADSPVTGSSKDGEVKQAPSGSAQPSTKGATDGSPSDQGDNSQGVHGVQGDGAQEPQGGNLNQNSELA >ORGLA08G0197000.1 pep scaffold:AGI1.1:Oglab08_unplaced026:43354:44607:-1 gene:ORGLA08G0197000 transcript:ORGLA08G0197000.1 gene_biotype:protein_coding transcript_biotype:protein_coding NEEEASGSHPSAGQKRARGQRGAAKKIEGRHIITEVDEDGRPSAPAEAANNFVRHSGWVVRDNVPVSTVYWRRTRARGDNDSFVPDSEKEMLWTTMLDTFTLPAGTENIVKHWTLKKMAEQFQSFKGDLYKKYILKGLTPNFDVFPKLRDHWDEFVAYKTGQQGQAMMARNKDNAASRLTDAVVASSQGTFRPDREKDELSLALQTPEHPGRTRGKGMIPWKIGFKEDIHTYRSRMRSKRDTEAKIADLEYRVSSYELSMQEEVARKVDERMAAHRSQDPHPYIHPAMVSPSGNRSSCASTGLVGSQSMDAMQTQDETTYPVDEITQRTPCELHIPFKNLSIKVYS >ORGLA08G0196900.1 pep scaffold:AGI1.1:Oglab08_unplaced026:27144:28214:-1 gene:ORGLA08G0196900 transcript:ORGLA08G0196900.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVNRIHLRNEAKMATLERLVPHLGTLEATRSQLHETKELARKNEHDLRDRIAELQESNFELSGSSKVQAAKISQLEKQIQTLENDKAELVRQRDSALKEVEDRKIKSQAQFDVLVDKINRLEGARDKVANAATPLIQAMFFNNAGPSTLDAAEIFDKLRVAPDTYFKNIKEAGSMGASLALAMTKSLYPRVDIDAIDGFADGTSEEAALDLINDAQKAADKIAADVVERFQDVDLRPTGSDNSDDEKLILIDITMILMINDDGGSICTILMNLCYTWCSILSF >ORGLA08G0196800.1 pep scaffold:AGI1.1:Oglab08_unplaced025:150385:152603:-1 gene:ORGLA08G0196800 transcript:ORGLA08G0196800.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDGIIKTELWGGNGTSHDITETPKDLISVQIKSKDTIDHLTFTYKDTKGNQQTVSWGGTMGDDHLAEVSGSVGPFPSQKQACTVNSLTFVTSEGRRGGPWGKRGKDDKDFKVPVGKGRIVGFYARADVFISAIGFYIRP >ORGLA08G0196700.1 pep scaffold:AGI1.1:Oglab08_unplaced025:143600:147875:1 gene:ORGLA08G0196700 transcript:ORGLA08G0196700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complex small chain family protein [Source:Projected from Arabidopsis thaliana (AT3G50860) TAIR;Acc:AT3G50860] MIQAVMIMSTQGKPRLLKFYSYHAPEKHQDLVRAVFQLLSARPDSVSNFVKVDAIFGPGAKLIYKHLATLYLGFVFDGSENELAVLDLVQVFVETLDRCFKNVCELDIVFNFNKLHTILDEMILGGQVIETSSEQIMRSVEEIARLEKQSNTTSLIPKSISERFSR >ORGLA08G0196600.1 pep scaffold:AGI1.1:Oglab08_unplaced025:139205:140674:1 gene:ORGLA08G0196600 transcript:ORGLA08G0196600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTCQAYLEQTLCWTSSLLIDFFQLLTPARRKVYGWLVSPWMAKVLVCLEEAGVEYEVVPLSLTNGDHRRPEHLARNPFGQIPVLEDGDLTLYQSHAIARYVIGKHKPELLGLGEGGSVEESAMVDMWLEVETHQYEAAVKPIVWHCLVHQHVGLERDQGVVDESVEKLRAVLEVYEARLSSSSAGRYSYLAGGGSGDRVSLADLSHVPLMHYFTATEYGGVLGEYPRVKAWWEALLARPSVKKVIAGMPTDFGFGSGNLP >ORGLA08G0196500.1 pep scaffold:AGI1.1:Oglab08_unplaced025:125025:125303:1 gene:ORGLA08G0196500 transcript:ORGLA08G0196500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRESFGVDHGVRITDSVPPPSQVXWRQFRVVGLGAVDPDLVTKAAGSRPTAGRALVRAAGEGSINGAWGRGASCGEARYGWQSSSHSRR >ORGLA08G0196400.1 pep scaffold:AGI1.1:Oglab08_unplaced025:109028:110307:1 gene:ORGLA08G0196400 transcript:ORGLA08G0196400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWTSDEVPKEYEYGKPFLPFNLTCELPWPMRLMHEWYLRASELGLGMITVHVPEGAFKDGPNANFAFSFKDLHAFFKMDKMDINLVGAWCLEHYILFLVYPTDQTVVVLDPADYDKDAYMKFLCLLNLAHGHYKKRGGYVKNASREKLYIRGHWPCYKQLSLTNLYGYYVYEMLRVNGRYITEFTDLPSIPYSASRFDQKT >ORGLA08G0196300.1 pep scaffold:AGI1.1:Oglab08_unplaced025:103957:105317:-1 gene:ORGLA08G0196300 transcript:ORGLA08G0196300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPLHHSSIPLHHSIHYHCIIQKRRGGEERARWSAVAWRRGGRRLLGEDNGAGLGRTIAASLVGEAGGLTVLLTPRGGGCRGGWRLDGALDAGVGLDGVEKRPAAARISPAVEEAVAASMETGEEASAPEEAVAASVETGKEASATEAGRCGREKTAAVRARWRRGGEKGRGGEEATAARARWSSGKKAARARWRRGSGGEGEVELGEEDGKGEVERRRAARIWGCRRRGELVGGADSRGRRRGGAAEGCGGGEEAAVRGRRRGG >ORGLA08G0196200.1 pep scaffold:AGI1.1:Oglab08_unplaced025:93001:93892:-1 gene:ORGLA08G0196200 transcript:ORGLA08G0196200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1NMY4] MAYYAIAPILCALVQNELYMHLYINQVYAGQSTNQLVVITSSQPQGFGITVINDWPITDGANTVGRAQGLHFQSGQTSEKWYTSMNLIFEDTRFSGSSLQVMGTIPQDGEWSIIGGTGEFVAAQGIVEHNVIQEAGGARTYELKIHAFYTPMQSSGVGAYGCNSWKLGP >ORGLA08G0196100.1 pep scaffold:AGI1.1:Oglab08_unplaced025:89575:90295:1 gene:ORGLA08G0196100 transcript:ORGLA08G0196100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGGGRGGGAAGAQRAVGVAESGXRTWWGRAWRERGVTVEVVALEAEVVVAGAKGSEVARVSAAASTKAMHEMGLQRRAASAANPFPLSFSSDSLPFPAVQRRWSGQLYVHEAGGEGGSVGGGPVSLVVGDEDAAVEALARAQPILVKLGLTMKAKWPHSWKSMPEVQLPMSLSYKCSSSPQHRTAXEALAFQPFLPM >ORGLA08G0196000.1 pep scaffold:AGI1.1:Oglab08_unplaced025:78795:83639:1 gene:ORGLA08G0196000 transcript:ORGLA08G0196000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPVPSVQAMVAATGIDHVPPRYLRPTDADEPVASDGGEAEIPVVDFWRLQLGDGDELARLHIACQYWGFFQSFRMALDRYCAAVKITADGLLAAMATNLGVEPEVIAERCVGGVQSVRVQYYPPCGQADKVAGISPHSDADLVTILLQANEVDGLQIRRGGAWLPVRPLEGALIVNVGDILQVFTNGRYKSVEHRVVVDGKKERLSMATFHSPSKNAIVGPLSEMVEHEDDAAYTSMDHDELLKLFFAKKLEGKNFLNPIKKLKNSG >ORGLA08G0195900.1 pep scaffold:AGI1.1:Oglab08_unplaced025:61389:61806:1 gene:ORGLA08G0195900 transcript:ORGLA08G0195900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNSYHLATWADSAKTRNPTRPGSSHETCGCTLGSDPXLMKXSPXLTKATCQTTIAHSSTVGSHRDHTRQXSHRYITTXPEQP >ORGLA08G0195800.1 pep scaffold:AGI1.1:Oglab08_unplaced025:55688:57013:1 gene:ORGLA08G0195800 transcript:ORGLA08G0195800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVPSVQSMVAAEGGAHVPPRYIRPRDEAVATDGETEIPVIDFQRLQLGHDEEMARLDRACQDWGFFQLINHSVPEDVVDGMKANARGFFELPAETKKQFAQERGQLDGYGQLFVVSEDQKLDWADILFLNTLPVQNRNFRFWPNQLAKFRSALDKYSAAVKSIVDFLLVTVANNLGVDPEVIANKCGTDGIQAVRMNYYPPCVQADKVIGFSPHSDSDLLTLVLQVNEVDGLQIKRNETWFPVRPLEGAFIVNVGDILQIFTNGRYKSAEHRAVVDMKKERLSIAAFHSPSVHAVIGPLKEMVAHEHEAVYRSIGHDEFMKLFFSSKLEGKSFLDRMKKL >ORGLA08G0195700.1 pep scaffold:AGI1.1:Oglab08_unplaced025:53011:53310:1 gene:ORGLA08G0195700 transcript:ORGLA08G0195700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKARLSFVTTIASLLSAIARLHHPPMFAATAGKECLGFVAVGSSASVGKMGDERSGGGYLGRRNDRSGGGTMVRGVGAIAREARRRMTVEEDEAGEE >ORGLA08G0195600.1 pep scaffold:AGI1.1:Oglab08_unplaced025:45322:45985:-1 gene:ORGLA08G0195600 transcript:ORGLA08G0195600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QKK5] MHNELYMHLYINQTINQTISGPNPNQLVVVNGSQQAPLFFGLTAISDWTILDGPGPNASVVGRAQGMHFQSGHIREKWYTSMNFLFEDTRFNGSMLQVMGTTPQDDQWAILGGTGEFVAAEGIVEHKIVQVDSTGRIYEIKYIYIYIYP >ORGLA08G0195500.1 pep scaffold:AGI1.1:Oglab08_unplaced025:36491:38893:1 gene:ORGLA08G0195500 transcript:ORGLA08G0195500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:UniProtKB/TrEMBL;Acc:I1NMX8] MLRRQPGDAPASAAASEADLAQLSTAIAAGEDLGPFVRRAFACGRPEPLLASLRAAARDREAEIEELCRAHFHDFIRAVDDLRSLLADADALKGSLSASHAALLSSAAPLLASLESFLAARGLAGNLSSALASSRRCVRLLALANRANAHLQGGNHNLYLALRAVDAIDRDLASGPEPLPLPTLRRMLLSLVPAVRAHAEREISREFSDWMVSIRAASRHLGQVAIGRSAAARQRQEELRSKHRPLEECITLDDDGVGDLDDFAAAAATADVADGAAAASFDLTPLYRAMHIHHTLALGERFKKYYLENRKLQLTSDFDVIAATPFLESHQVFFSQIAGFFIVEDRVFRTGGGLTSRPDVDALWDSAVAKMVSVMEDNFSRMQTANHLLLITDYAALLSATMRRYGYPVGMLLDVLARHRDKYHDLLLADCRRQVVEALAADKFDQMLMRKEYEYSMNVLAFGIQSSDITPAFPYVAPFSCTVPDICRIVRSFIEDSVSFMAHGGGGDTYAAVKKYLGRILSEVVDASIQKLVDSGGGLSVSQAMQVAANMSVMERACEFFTGHAAQLCGVPLRAVERGRRDFPLRKSRDAAEALLLRLLRSKVDEFMGQSDGVTWMADDPPAGGNEYANEVIIYLETLTSTAQQILPLPVLRRVLVAVLAHISERIVGLFLNDSVKRFNASAVTGIDTDLKMFEAFGESMSSLFVDSDQESAANEMKAALVEARQLVNLLMSNSPENFLNPVIREKSYNKLDYKKVAAISEKFRDSSESYFSTFGTRGARQNPKKKSLDTLIKRLREAS >ORGLA08G0195400.1 pep scaffold:AGI1.1:Oglab08_unplaced025:24724:31497:1 gene:ORGLA08G0195400 transcript:ORGLA08G0195400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:I1NMX7] MSARLRVEELRAELQRRGLDASGNKPVLVRRLDAAIRKEEEEAAVSAAAKEEADAGGVVDGEGNGEDKRKRKRRGDGEDVDNSESDAAKLEGMSYRELQALAKSRGLAANGSKKEVIERLLCAPSDTDGGVQDKKKIAKGFADGDDRVEECRKEKIVTATRKGAAVLDQHIPDHIKMTYHVLQLWFLLKGDEIYDATMNQTNVGDNNNKFYIIQALESDAGGSFMVYNRWGRVGARGQDKLHGPFSSREQAIYEFEGKFHGKTNNHWSDRKSFECYARKYTWLEMDYGEADRETNKKVSPSTDQIKETKLETRIASFISLICNISMMKQQMVEIGYNADKLPLGKLSKSTIFKGYDVLKRISNVISRADRRQLEQLTGEFYTVIPHDFGFKKMREFIIDTPQKLKAKLEMVEALGEIEIATKLLEDDSTDQDDPLYARYKQLSCDFTPLEVGSEEYSMIKTYLANTHGKTHTNYTVDVVQIFKVSRHGEMERFQKFATAGNRMLLWHGSRLTNWAGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYASEACRSGVLLLCEVALGEMNELLNADYDANNLPKGKLSTKGVGQTEPNTAESKITDDGVVVPLGKPKAEPSKRGSLLYNEFIVYNVDQIRMRYVLHVSFNFKKR >ORGLA08G0195300.1 pep scaffold:AGI1.1:Oglab08_unplaced025:3961:13418:1 gene:ORGLA08G0195300 transcript:ORGLA08G0195300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:I1QKK2] MWTAAGRRLHQQRDLHAILRTAHRRCCTRPIGGGLDAPAAPPGDLRTLSGVGMLIHQFKALLAPKKIYPWRSSHLQSLEVRRLHTAPSNAAAAAVTDGGDQDKTKSAKDDDGDDKVQCKKEKIVTATKKGAAVLDQYIPDNIKTAYHVLQVGDEIYDATMNQTNVGGNNNKFYIIQALESDAGGNFMVYSRWGRVGTRDIHWSYRKGSHCYAHKYTWLEMDYGEADKETNKKTSSITNQLEETKLETRTASFISLICDISMMKQQMVEIGYNADKLPLGKLSKSTILKKGFPMFISGADTDRTQLEQLTGEFYSVIPHDFGFKKMSEFIIDTPQKLKAKLEMVEALSEIEIAIKLLEDDSSDQDDPLYARYKQFCCDFTPLEVDSEEYSMARERKIKTYLTNTHGKTHTGYTVDIVQIFKVSRLGEMERFQKFASAGNRMLLWHGSRLTNWAGILSQGLRIAPPEAPISGFMFGKGVYFADMFSKSANYCCASEACKSGVLLLCEVALGEMNELLYGDFGADNLPNGKLSTKGVGQTEPNIAESKITDDGMVIPLGKPEKGSLMYNEYIVYNVDQIRMRYILNVNFNFKRWG >ORGLA08G0195200.1 pep scaffold:AGI1.1:Oglab08_unplaced024:19276:19524:-1 gene:ORGLA08G0195200 transcript:ORGLA08G0195200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEKEKYCNKGSTEKKLHTTKQMLDLFAHSLELHRNEGHLLQQNQQKYNMLVNEINMICAQSALYLPKHVKWLGQPAWPNK >ORGLA08G0195100.1 pep scaffold:AGI1.1:Oglab08_unplaced024:13555:13836:1 gene:ORGLA08G0195100 transcript:ORGLA08G0195100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAGINCKSVTTRTVYSSSLIIECLVVLFASGSFDEIAIVAGGCDKNGQVLKSAELYNSETGHWETLADMNLARRLSSSFFLDGFYRFVLSLFY >ORGLA08G0195000.1 pep scaffold:AGI1.1:Oglab08_unplaced024:8515:9778:1 gene:ORGLA08G0195000 transcript:ORGLA08G0195000.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDIADKEYEGRRAYIADYLDAKGRSILITKPTIKQGRVSGKEQIKHFVYLLESLAMNSADEQEEHVTWLIDLRGWSISSTPLSTSRESMHIVQNYYPGIIAVAILSNTPRIFESFWKIIKHFLEAKMSEKVKFLYTNNPENHKIVSEMFDMDLLETAFGGRNSITIDIDNYAERMRRSDLARGVLIIQTDINLISRHH >ORGLA08G0194900.1 pep scaffold:AGI1.1:Oglab08_unplaced020:3637:3885:-1 gene:ORGLA08G0194900 transcript:ORGLA08G0194900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPPPSPSSASPGSVKEKIQKLGLSDVNEGNIVTIDPEKFTPDQKKDFEAMMKQARDQFLNSFMQTRKGTLVQKYKIKVVA >ORGLA08G0194800.1 pep scaffold:AGI1.1:Oglab08_unplaced019:9521:10478:1 gene:ORGLA08G0194800 transcript:ORGLA08G0194800.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVNRIHLRNEAKMATLERLVPHLGTLEATRNQLHEAKELARKNEHDLRNRIADLQESNFELSGSSKVQAAKISQLEKQIRTLENDRAELARQRDLALKEVEDHKIKSQAQFDVLVGKIKKLEGARDEVANVAAPIVQAMFLNNNGPSTLDASEIFDKLRVASDIYFKNIKEAGSMGASMALAMTKSLYPRVDIDAIDGFADGTSEEAALDLISNAQKAADKIAADVVERFQDNDLRPTGPENSDDEKTDTN >ORGLA08G0194700.1 pep scaffold:AGI1.1:ADWL01017125.1:3406:3501:-1 gene:ORGLA08G0194700 transcript:ORGLA08G0194700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGKIALTLSNMLCEDMKAGPDRVLVLYDQTS >ORGLA08G0194600.1 pep scaffold:AGI1.1:ADWL01017125.1:741:2108:1 gene:ORGLA08G0194600 transcript:ORGLA08G0194600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTPAPRATPFLLAAVLSIVVVAASGAEARWYGGGGGGGYSPSPSPVSSIVSEQLYASLFLHKDDAACPARGFYTYASFVRAAARFPRFAATGCADARKREVAAFLAQISHETTGGWATAPDGPYAWGLCYKEEINPQSSYCDATDKQWPCYPGKSYHGRGPIQISWNFNYGPAGQALGFDGLRNPEIVANCSDIAFQTALWFWMTPRDTKPSCHQVMVGEYRPGPADVAANRTAGFGLVTNIVNGGLECNRAGDARVNNRIGFYRRYCQVLGVDVGPNLDCEHQQPF >ORGLA08G0194500.1 pep scaffold:AGI1.1:ADWL01017118.1:262:2144:-1 gene:ORGLA08G0194500 transcript:ORGLA08G0194500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSDGNDFAELLWENGQAVVHGRKKHPQPAFPPFGFFGGTGGGGGGSSSRAQERQPGGIDAFAKVGGGFGALGMAPAVHDFASGFGATTQDNGDDDTVPWIHYPIIDDEDAAAPAALAAADYGSDFFSELQAAAAAAAAAAPPTDLASLPASNHNGATNNRNAPVATTTTREPSKESHGGLSVPTTRAEPQPQPQLAAAKLPRSSGSGGGEGVMNFSLFSRPAVLARATLESAQRTQGTDNKASNVTASNRVESTVVQTASGPRSAPAFADQRAAAWPPQPKEMPFASTAAAPMAPAVNLHHEMGRDRAGRTMPVHKTEARKAPEATGATSSVCSGNGAGSDELWRQQKRKCQAQAECSASQDDDLDDEPGVLRKSGTRSTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGTGLCIPPMLLPTAMQHLQIPPMAHFPHLGMGLGTGWASST >ORGLA08G0194400.1 pep scaffold:AGI1.1:ADWL01017117.1:268:654:1 gene:ORGLA08G0194400 transcript:ORGLA08G0194400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAITTTTDTMMATAWCIHHRWASSMLDLPSPASRVQIRHQACGSAGAAGLGSGGSVAVGPHECGSSAAGPREALSCRRDHPHRCGKLSAVIVVKAILAEALRCFNFFPKTLTKDTAEGTTPTLGCQ >ORGLA08G0194300.1 pep scaffold:AGI1.1:Oglab08_unplaced005:2374:2982:1 gene:ORGLA08G0194300 transcript:ORGLA08G0194300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGIQRHLTAPYSPQQNGVVEHRNRSVMAMARSLLKGMLVPGRFLGEAVRHAVYLLNRLLTKAMGDWTPFEAWTGRKPQLGHLRVFGCTAHAKITTPNQKKLDDRSTPYVYLGVVEGSKAHRLFDPRRGRIHVSRDVIFEENVPWQWSAVAGEQNSTEFTVEEDGVDAPPAHPVPRYRAPSPAVPQSHLASPVGASPSLPTSP >ORGLA08G0194200.1 pep scaffold:AGI1.1:ADWL01017113.1:1:3041:1 gene:ORGLA08G0194200 transcript:ORGLA08G0194200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:UniProtKB/TrEMBL;Acc:I1QKJ1] TAQALATKHGVGDVLVTEARARPGGNITTAERAGEGYLWEEGPNSFQPSDPVLTMAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPGDLPFFDLMSIPGKLRAGLGALGVRPPPPGREESVEDFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEDTGGSIIGGTIKTIQERGKNPKPPRDPRLPTPKGQTVASFRKGLTMLPDAITSRLGSKVKLSWKLTSITKSDNKGYALVYETPEGVVSVQAKTVVMTIPSYVASDILRPLSSDAADALSIFYYPPVAAVTVSYPKEAIRKECLIDGELQGFGQLHPRSQRVETLGTIYSSSLFPNRAPAGRVLLLNYIGGSTNTGIVSKTESELVEAVDRDLRKMLINPRAVDPLVLGVRVWPQAIPQFLIGHLDHLEAAKSALGKGGYDGLFLGGNYVAGVALGRCVEGAYESASQISDYLTKYAYK >ORGLA07G0269100.1 pep scaffold:AGI1.1:ADWL01015476.1:4687:6091:-1 gene:ORGLA07G0269100 transcript:ORGLA07G0269100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELREIFQRPWKILLRLQSSKSSMVSTLQGDENEMTTWRPSCPADCLVKLVQLGVLVMAWRMQLCLRLYGGPGTSSLRSDESGHDDGGGSNHNDTEGAAMGEATTTLKEQHGLEVASVALRWAYPALDGRIQRWRRRGWEGRWWRSLIWRSGDGATIMWLHDGGVGLGSTGASATTTDCGLVAVPRQQWQTAVTMMMARTDGS >ORGLA07G0269000.1 pep scaffold:AGI1.1:Oglab07_unplaced161:123988:126006:-1 gene:ORGLA07G0269000 transcript:ORGLA07G0269000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGATWTRRLHLHGLFLAVLLLLTLPAGSTAAAGGGGGGGGTVIGIDLGTTYSCVGVYRNGHVEIIANDQGNRITPSWVAFTGGGERLIGEAAKNQAAANPGRTVYDAKRLIGRRFADAEVQRDMRLLPFAVVDKGGKPHVRVEVRGGDVRLLSPEEVSAMVLARMKETAEAYLGEEVTRAVVTVPAYFNDAQRQATKDAATIAGLAVERILNEPAAAALAYGVGKEGAGGKNVLVFDLGGGTFDVSVLAIDGGVYEVLATNGDTHLGGEDFDQRVMEHFVELVRRKHGRDIAGDARALGKLRRECERAKRALSSQHQVRVEVESLFDGVDLSEPLSRARFEELNNDLFRKTMAPVRKAMADARLSNADIDEIVLVGGSTRIPKVRQLLRDYFGGKQPNQGVNPDEAVAYGAAIQANIVGGDTDNKTRDMVVIDVTPLTLGLETAGGVMATLIPRNTPVPTKRAQLFSTYKDKQTTVTVKVFEGERSMTRDNRLLGRFDLAGIAPAPRGAPQIEVAFEVDADGILSVSAADRATGRSERITISGDDRKTSREEIDRMVGEAEEFADEDRRHRERAGARNSLEAYVYGVKNAVVGGEMAGAMDGGEKEKVEEAVMEAYEWLDGNQDVGKEEYEEKLRELEDVCNPVMSAVYQRSGGSRRDGDGGGDDDHDEL >ORGLA07G0268900.1 pep scaffold:AGI1.1:Oglab07_unplaced161:120582:121443:1 gene:ORGLA07G0268900 transcript:ORGLA07G0268900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSYFIVAMLISNCLGFIATPIAQVVGSSSSSGVGGRKVLSAGGLHQVYHHGGTVPGPSDPLGPPSDEIYHHGGTVPGPSDPLGPPSDEIYRHGGGHHTTPGPSDPIGHPPSDEIYGHGGGHHTAPGPSDPIDPPPSDEIYNRGGHHTAPGPSDPIDPIGPPPSDEIYNRGGHHTAPDPSDPIDPVGPPPSDIXPWWLSYCSGPKXPHRSFSKX >ORGLA07G0268800.1 pep scaffold:AGI1.1:Oglab07_unplaced161:110506:114200:-1 gene:ORGLA07G0268800 transcript:ORGLA07G0268800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPAMSHSSAFLLPSPSAAAAGADADGAAYALLVLNQRLPRFAPRLWDRAQVRVCADGGANRVFDGMPELFPGQDPDEVRRRYKPDVIKGDLDSVRPEVKEYYSNMGTQIVDESHDQDTTDLHKCVAFITENSAIPNKSNLCIFALGALGGRFDHEMGNINVLHLFPNNRIILLSDDCLIFLLPRTHTHNIHIERSIEGPHCGLIPIGAPSATTTTTGLQWNLDNTSMSFGGLISTSNIVREESTVVTITSDSDLIWTISLRHHS >ORGLA07G0268700.1 pep scaffold:AGI1.1:Oglab07_unplaced161:107299:107547:1 gene:ORGLA07G0268700 transcript:ORGLA07G0268700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAAVGHRAETGSGERPSRPSPPPSWTPPHGERGEQRREREEKVAAAAAAGKGEEGGGTGAREIEREREGEDDDGAERRE >ORGLA07G0268600.1 pep scaffold:AGI1.1:Oglab07_unplaced161:103401:106616:-1 gene:ORGLA07G0268600 transcript:ORGLA07G0268600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGGRCASTRARTARWTRRRRRSRGAPSSHWRRSPPPRRPPSQGVTWXDPRRGNPRLRAIAAPSPPAATSRRKIQMVLAAXPLTARKLRFSRMIMGKGSTLRVWFYLRLGDSVVKMAVADVQDLPVEDLRDNEVITVDSEGPGKPLQSIPLVQDKDAIQLARTFVNEKVKELMEGVSEPGVLQSRLCKITSFLVQATSIAAGLHDEVPLQIRGQTAALVTQISGLEQQVEELSKKLCSTEDELEVTNAMLKETQAAMLEAQSDRATAITAMNSLAMRMGASFARLGTILDPPPNAADSLEKSIKQMTALVSLLGPVSHSHSLSLARSSLTVGIAALLCRERGIEGLREPSGMDTRQFVRSQGPEFHSLISQVVDSMEQRLAKTVEGSGWRDSGATKEPGKPADSNKGTMPEPH >ORGLA07G0268500.1 pep scaffold:AGI1.1:Oglab07_unplaced161:96517:98490:-1 gene:ORGLA07G0268500 transcript:ORGLA07G0268500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRKLKRSRAKVKVGLPRKKPREFKPAFDLPEALAAAAAAEGGGHAPSWDAEGSVVKNYAAFGVVANPNLLGAHARGTPRLVQSAPLQAPDIDAARAPVDEFEPVDSGSDLESDDLKSALGKQRRDGKSAPLEPLTKVQRIYIGRLIEKHGDDYKAMFMDIKLNTMQHSVGTLKKLCERYHVVGKSIIHPLK >ORGLA07G0268400.1 pep scaffold:AGI1.1:Oglab07_unplaced161:92311:92643:-1 gene:ORGLA07G0268400 transcript:ORGLA07G0268400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >ORGLA07G0268300.1 pep scaffold:AGI1.1:Oglab07_unplaced161:86751:88297:-1 gene:ORGLA07G0268300 transcript:ORGLA07G0268300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAARRGARRSRARGLLLLAAAAAVAVVVVVAAAAAAGEEERHQQQQQQPGGTFEFNLPGHGNVKASWSVADDEESRWLDRLAADAESSSSSSSASAAGGGGGGGHRIPFGDDSVKFGSDAYEFIADLLRQGTDDGEGEKPTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNLEIVCILFFFCCVCERDVXVCFXWFSSIGGFVHNVFSGKSKQHGEEPSHVESDKEFSNSKLPPHDDM >ORGLA07G0268200.1 pep scaffold:AGI1.1:Oglab07_unplaced161:81702:84744:1 gene:ORGLA07G0268200 transcript:ORGLA07G0268200.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFQEDVKLMSDTGLEAYRFSISWSRLIPRGRGPINPKGLEYYNDLIDELVKRGEICDCSIGIEIHVTLYHLDFPQALQDEYNGWLSPRIIEDFTAYADVCFREFGDRVRHWTTVGEPNVLSIAAYDSGVIPPCRCSPPFGTSCAAGDSTVEPYVAAHNSILAHASAVSLYRDKYRAKQKGVVGTNIYSFWPYPLSRSCADIDGRGSELDFTIGWILDPLVYGDYPEIMKKQAGSRIPSFTKEQSELIRGSADFIGINHYKSLYVSDGSNREKAGLRDYNADMAAHFRGTTISVPN >ORGLA07G0268100.1 pep scaffold:AGI1.1:Oglab07_unplaced161:59921:64967:1 gene:ORGLA07G0268100 transcript:ORGLA07G0268100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTSTCSSFSLLLLLLLLAAAPWRSGEAAAAAAAAATAAARALNFTRQDFPGEFVFGAGTSAYQYEGATDEDGRSPSIWDTFTHAGKMPDKSTGDMGAGGYHKYKEDVKLVSDTSLEAYRFSISWSRLIPRGRGPVNPKGLEYYNSLIDELVERGIEIHVTLYHLDFPQILEDEYHGWLSPRVIDDFTAYADVCFREFGDRVRHWTTMDEPNVLSIAAYDSGAFPPCRCSPPFGANCTAGNSTVEPYVVAHNSILAHASVTRLYRDKYQATQKGFVGMNIYSFWNYPFSSSSADIAATQRALDFMVGWILDPLVYGDYPEIMKKKAGSRIPSFTEEQSELIRGSADFIGINHYTSVYISDASNGETVGPRDYSADMAATFRISRNDTPSGQFVPTRLPRDPKGLQCMLEYLRDTYQGIPVYIQENGFGHFGKDDDSLNDTDRVDYLSSYMGSTLAALRNGANVKGYFVWSFLDVFELLAGYHSPFGLHYVDFEDPNLPRQPKLSAHWYSKFLRGEIGINIESTISPDEHEHEHADQ >ORGLA07G0268000.1 pep scaffold:AGI1.1:Oglab07_unplaced161:49066:52815:1 gene:ORGLA07G0268000 transcript:ORGLA07G0268000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGASSVMEDANGVVDLDVDIVGLESGAACSKFVKTEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPANGDAAALMDAAVSDNLDRLLKKKRVTDHWRKYISPLMWRCQWLELRMKDLQSQVSRYDRQLAVLKHEKELQTKMIELDCSSSRSVPFSSHCCRKTMKRRRRKRNEEKMNASSYISNHTVFSYYEKTEADAFSIDDDEDTDENTTVNNETDWLLGIKRGEATVEQILLSIQSAQDKVLSLRSSLKKAMAKKSKGAILKVNTHMNGAQSSSCSPGKGKVLERSPRDMSDCDMDDAAMPESALSSYGEANDMDIFESTMSLLSAEVPHQMGEFHQSSEDVLIDNQAAEEGYQNFEVISHPCKRLRVSVKRESGAHSEDESVAPTVSVKKEAHEEATTSFGLQAAFFKPGYTGKRRRRMPKIQRRGGSSASPFSSWISSRIRKKRQF >ORGLA07G0267900.1 pep scaffold:AGI1.1:Oglab07_unplaced161:43852:44085:1 gene:ORGLA07G0267900 transcript:ORGLA07G0267900.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGANVKGYSVWSFMDLYELFGGYNTWHYGLIAVDFSSAERRRQPKRSASWYSDFLKNNAVIRVEDGSSVSVAAHAQL >ORGLA07G0267800.1 pep scaffold:AGI1.1:Oglab07_unplaced161:35685:41265:1 gene:ORGLA07G0267800 transcript:ORGLA07G0267800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRPLHLLLVFLSSPWLLLLLLLLVVQGTSSLQFTRDDFPHDFAFGAGTSAYQYEGGAAEDGRTPSIWDTYTHSGRHPEDETGDVASDGYHKYKEDVKLMSEIGLEAYRFTISWSRLIPSGRGAVNLKALQFYNSMINELVKAAIQIHVVMYHMDLPQSLQDEYGGWISPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNAMAQAGYDMGILPPNRCSYPFGSNCTAGNSSVEPYLFIHHSLLAHASAVRLYREKYKVAQKGIIGINIYSMWFYPFTDSAEDIGATERAKKFIYGWILHPLVFGDYPDTMKKAAGSRLPIFSNHESEMVTNSFDFIGLNHYSSVYTSNNNNVVKAPLQDLTADVATLFRVTKNDTPTPVFVPGTIVDPRGLEHALKYIREKYGNLPIYIQENGSGSSSETLDDVERINYLAKYIAATLKAIRSGANVKGYSMWSFVDLYELFGGYSTWHFGLVAVDFDSEKRRRQPRRSASWYSEFLKNNSVIRVEDGFVSAASHAQL >ORGLA07G0267700.1 pep scaffold:AGI1.1:Oglab07_unplaced161:23527:28225:1 gene:ORGLA07G0267700 transcript:ORGLA07G0267700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPLHLHLLLLFFLAWLMLLLLLQGVSSLQFRRDDFPDGFAFGAGTAAYQYEGAAAEDGRTPSIWDTYTHSGRHPEDGTGDVASDGYHKYKEDVKLMTEIGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNNMINELVKAGIQIQVVLYHSDLPQSLQDEYGGWINPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNVMAQGCYDTGILPPNHCSYPFGSNCTGGNSTVEPYLFIHHNLLAHASAVRLYREKYQVAQKGIVGINMYSLWFYPLTDSAEDIGATERAKQFMYGWILHPLVFGDYPETIKKVVGSRLPFFSNYESELVTNAFDFVGLNHYSSVYTSNNNNVVKAPLQDLTADIATLFRATKNDTPTPEFLPGNTVDPQGLENALEYIRENYGNLTIYIQENGSGAPDGTLDDVERINYLQKYIAATLKAIRNGANVKGYSMWSFIDIYEIFGGYNSWHYGLVAVDFGSTERRRQPRRSASWYSDFLKNNARIRVEMAPLSLQPLMLNS >ORGLA07G0267600.1 pep scaffold:AGI1.1:Oglab07_unplaced161:13757:20424:1 gene:ORGLA07G0267600 transcript:ORGLA07G0267600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCGCASGEDAMETRRPLHPLLLFSSPWLLLLLLLVVQGVSSLPFTREDFPDGFTFGAGTAAFQYEGAAAEDGRTPSIWDTYAHSWRNPGGETGDVACDGYHKYKEDVMLMNETGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNSMINELVKAGIQIHAVLYHIDLPQSLQDEYGGWVSPKVVDDFAAYADVCFREFGDRVAHWTTSIEPNVMAQSGYDDGYLPPNRCSYPFGRSNCTLGNSTVEPYLFIHHTLLAHASAVRLYREKYQAAEKGVVGMNIYSMWFYPLTESAEDIAATERVKDFMYGWILHPLVFGDYPETMKKAAGSRLPLFSDYESELVTNAFDFIGLNHYTSNYVSDNSNAVKAPLQDVTDDISSLFWASKNSTPTREFLPGTSLDPRGLELALEYLQEKYGNLLFYIQENGSGSNATLDDVGRIDCLTQYIAATLRSIRNGANVKGYCVWSFMDQYEMFDDYKAHFGIVAVDFGSEELTRQPRRSARWYSDFLKNSAVIKVDDGPVSTAFHAQL >ORGLA07G0267500.1 pep scaffold:AGI1.1:Oglab07_unplaced161:6506:9232:1 gene:ORGLA07G0267500 transcript:ORGLA07G0267500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRRLLLLRRLSTLHPHPSLSSSSTTASPAAPATHHLLPPPAPLAPHARGFAFSSAEEAAAERRRRKRRLRIEPPLHALRRDPSAPPPPRDPNAPRLPDTTSALVGPRLSLHNRVQSLIRSGDLDGASVAARAAVTSRVRPTVFTCNAVAASMVRAGRHDDAVSLFDFFFRRSNIVPNVVSYNTLILAHCEAARVDSALQVYREMLDKAPFSPSAVTYRHLTKGLVAAGRIQDALDLLREMLNRGQGADSLVYNNLIAGYIEEDNWDKAFELFEELQGRCLVYDGVVHTSFMEGYWKKGMDKEAMENYQSLLDKKFRMTPATCNVLLETLFKHDKDKEANDLWETMIDNHTPPSFIGINSESYNVMVNQCFKKCKFHEAIEVFHRQPRKNVQMDVGCFNNIIGKLCENGMLEEAEKLFEEMETKSVLPDVYTYTYLVDSCFKVGRVDDTIQYFYKMADGREHGPKFNIGFFNRMFEGLTEAGRMDDALKVYGRMPDKEIKPNTTTFETLVNALCKEGDLDKARELVSDMAKGGVTPPPEFRDSVIDIFKNAGRQEEIEKAFEEKPVPLPPQPRPEYRPRSSPQGLPGFASNQTRGSYMPHQGQLTYGSQPLHPAVGGSQAIQPQGMPLRPQQPVFGGPQVDKSEFGGRPLQHGPSAPDPRQPGVVSMTQPPNVSTPNTWQHSGVHATQGTHHGMGSPHPWQQSVGIGQVQQTDYSSGPPMQPGFGRPQPPQPMHSAPQTQQPGYGTSHPWHTRFNAPQVQQPSYGGPQSSQHAVGSTQPPQGQFGTPQAPPPSPGFRYQNRPEYGQAVDQHNRFGSPQGEPRFHTQPQQQGFDAQAPGNHALQGQNSFNARRGQVGFGNQGAPPEYGASPSQPSHGAAWNQRGYGLSEDQEDVNQQVVPHAYARVR >ORGLA07G0267400.1 pep scaffold:AGI1.1:Oglab07_unplaced161:2338:3015:1 gene:ORGLA07G0267400 transcript:ORGLA07G0267400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARYWQHRCACSSRVVPGSGKPGVTSHSSRFDYISSSASSSSMIVVIVSPSSSLARLRAPLVHDALLCVHDYSTAPHALFAAWLPRHQLPDFGYIDHGYSTHGFIDHGSLGSFVLATSTMAQRAIIRIEHSCRFLLQSKCSRCSRLDCGGMLEYMYSWYSSPPILSNNGHRGSEPPPPLWRSPL >ORGLA07G0267300.1 pep scaffold:AGI1.1:Oglab07_unplaced160:87245:93289:-1 gene:ORGLA07G0267300 transcript:ORGLA07G0267300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQERRTIDLEEGWAFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSMVLPSLRDKHDEFMLRELVKRWSNHKIMVRWLSRFFFYLDRYFISRRSLIPLEQVGLTCFRDLIYQEIKGQVKGAVIALIDKEREGEQIDRALLKNVLGIFVEIGLGSMECYENDFEDFLLKDTTDYYSLKAQSWILEDSCPDYMIKAEECLKKEKERVGHYLHISSEQKLLEKVQNELLAQYATPLLEKEHSGCFALLRDDKEEDLSRMYRLFSKINRGLEPIANMFKTHVTNEGTALVKQAEDSASNKKPEKKDMVGMQEQVFVWKIIELHDKYVAYVTECFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVAAHQELNPGIDLAVTVLTTGFWPSYKTFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGSDRLTYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPANRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMGHQQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLEREKDNANVYRYLA >ORGLA07G0267200.1 pep scaffold:AGI1.1:Oglab07_unplaced160:83522:86549:1 gene:ORGLA07G0267200 transcript:ORGLA07G0267200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYAAEAGAAAGAGRGRAARHPPLTSLVVSTIAAFSAVVVFAILRSVHDAAVSRTTTLLGHNLEPTPWHLFKHDKGRPPARAAFRCAPSLTCRPPVAQPAPGTTNASANASAXXXXXXXXXXXXXXXXAPWRRGGGGVTRALLDAAQRRASMRVAITGGGRWLHVELYYACVQSRALFTAWSLLQLMRRYPGRVPDVELMFDCMDRPAINRTDYGGGGDGDHGSPPPPLFRYCTTRNHFDIPFPDWSFWGWPETNIEPWSKEFRDIKEGAKAIKWQERVATAYWKGNPDVASPLRVALLNCNDTNMWHAEIMRQNWDEEVKSGYHNSKLSSQCTHRYKIYAEGFAWSVSLKYILSCGSMALVIDPQYEDFFSRGLRPEVNFWPVHIDVAAGGMCESIRDAVEWGEAHPAEAEAVGRRGQRLMEELDMDAVYDYMLHLLTEYARLMRFRPAEAPPPRPPAQEVCEASVLCLAGEKQRRFLEASAASPAVSEPCVMPPDAGE >ORGLA07G0267100.1 pep scaffold:AGI1.1:Oglab07_unplaced160:78319:78486:1 gene:ORGLA07G0267100 transcript:ORGLA07G0267100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGTAAERAETMAQIDNGCEEWGFFQVAIHNQIMCLLSCCRDRKL >ORGLA07G0267000.1 pep scaffold:AGI1.1:Oglab07_unplaced160:68280:70472:1 gene:ORGLA07G0267000 transcript:ORGLA07G0267000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPGPRRRTSTCSSAATTRAPRRRRPCRTPSRRRPWPPLSTTSRRGSLPIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKATKPKKAAARKREREEPADAAAAAAAGGVAGEPKKKKKKKLAELNGVAVAAAGKPGLLPAKVDHDLKQVISELQSLPLVASYVAGRRSISDEARSFLLAFRSKSFKKSHENDPPEDNKASKPNAATAADGQKPPAKKKPAARPGDTTAASAKVAGVKRAPSDRQEELATKKKAKLNKIKTLATDKKAAGLELAAAAPAAARKNDAIAARKKEKEPALAPAIKTPSPTALMMKFPPKTTLPSVASLKARFARFGPLDVDGIRVYWKSHMCRVIYRFKSDADVALKYARANTTMFGQVAPNYYLRGVESDGDLAADAAPPPPQQRSELRLMETAPFRPGTSGGNGAPLPLSRAVPARAVVGQQPKSILKKTVTDDGAPSPAALRDAPRVKFMLDAGDSKLEPPPPAAPASGGGDAAAPLAKSATKSVGFAPPPLQPPARPAQHPHLQPPARPAQQPPRPPVTQPLPPPPPLHQHQPYQPRHTDALPLPLPPPPPPPFSVQQQQLPPPPPYHLRHSIDGMHHQLPGPPLPPSYQHRAAAGVVFPGQHQQQPYRPNNDTQLGLPGAGAAAGDVTPAWKRGGREFDEELMRVMRGIAKMVEPLTDKNGNFPYHLFTSA >ORGLA07G0266900.1 pep scaffold:AGI1.1:Oglab07_unplaced160:60006:60845:-1 gene:ORGLA07G0266900 transcript:ORGLA07G0266900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVLEVTLVSAKNLKKVTMFSKMRVYAVASISGGDPRVPTHRTHADREGGRSPMWHAPLRFPIPDAGADMRAIALHVLLRAERVFGDSDVGEVFVPVKDLVAAAPEGGEHRHLSYHVRRPVSGRKCGVLHISYQITDEAAEPASAAGARGASSTRHVSGKGLQLGAITAYPSAGRHSGGRRGAAQSPTLAYHHGSPYGGGGGGAHAQHHHHHHHHYGYGYGPAPYGHGAASHGGGGGMGMGAGLGMGVVGGAVAGMVLADMLADGEMDAPLDAGMSC >ORGLA07G0266800.1 pep scaffold:AGI1.1:Oglab07_unplaced160:58021:58572:1 gene:ORGLA07G0266800 transcript:ORGLA07G0266800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSLAAVAAAVLVAAACSGRAPVAEATIESTCGAAAKGDRRVDVGFCARQFASYHGAAEADAWGLAKIAALIGVNLADDAVFDIGAGKIRPSPGGGAKGDKAMDACAKAYDAVGVAFAEAADELGSRRYAAARQELARVAALVQRCDGGLSRAGARSPLPRYSADCQQVAIIGIAFTSLLK >ORGLA07G0266700.1 pep scaffold:AGI1.1:Oglab07_unplaced160:56891:57475:1 gene:ORGLA07G0266700 transcript:ORGLA07G0266700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXIYIYIYIYILLLLLLLLLLLLLLLLLLLLLLLLPESRAIQRYVLRKYNKPDLLREGNLEESAMVDMWMEVEAHHYDPGISHIIRECVIKPMIGGGARDQAIVDENVEKLRKVLEVYERRLSESEYLAGDFVSVADLNHFPYTYYLMTTEYATLVESCTNVKAWWERLLARPAVRKVAALFPPVTSA >ORGLA07G0266600.1 pep scaffold:AGI1.1:Oglab07_unplaced160:48730:54669:1 gene:ORGLA07G0266600 transcript:ORGLA07G0266600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRVTGPAGSPLVAAVLASLEEAAAGGGYELVGMAAARERSTRPHLARNPFGKIAAFAEDGELALVDAVGGHVDGSRSHARVTS >ORGLA07G0266500.1 pep scaffold:AGI1.1:Oglab07_unplaced160:42032:45770:1 gene:ORGLA07G0266500 transcript:ORGLA07G0266500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIPGTVAASGVYYNDQYRMPCKLKGIHCMALNCIPQKAKVRKCMNGYQSTFRFCVNEKNGQTTGQSNGSLIQQGQNFRCHSYGSHNSSETKECSLEDGTDSYRDFEEHSRGASQFSDNQVAAKKKSVKSSQGLAEACKFVYNDAKFVNERAQNDILLLSRGITRLNKRACQDVAVLGSGFLKLDARARKDTKKIDHSVKERAARLTHFARILKEQAQSDLKKAADQHWSDGALEADLRRADSVVRRRAMEDAFMALKFVRDIHDMMANRLQEQFAKDGSSSPANSRSFITLEKNGNTFELFPHEVSTDQITAIEQAYWSMASALSEADGIDYTDPEELELLVATLIDLDAMDGKKSVSLLAECSSSPDVNTRKALANALAAAPSMWILGNAGMGALQRLAQDSNYAVARAATRAINELTKQWELEEGDSLRFVLNQNMVSKETADDSAAADDTR >ORGLA07G0266400.1 pep scaffold:AGI1.1:Oglab07_unplaced160:32620:37932:-1 gene:ORGLA07G0266400 transcript:ORGLA07G0266400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGALVLKSGGLSVSDYDSIVAINIFVALLCSCIVIGHLLEGNRWVNESITALVMGLITGGVILLVSGGKNSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIILFGAVGTLISFVIISLGAMTLFKKLDVGPLQLGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIEDIDIANFDSLVLLAFIGNFLYLFFTSTLLGVVAGLLSAYIIKKLCFARHSTDREVAIMILMAYLSYMLSMLLDLSGILTVFFSGIVMSHYTWHNVTESSRITTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSATILGLVMVGRAAFVFPLSFLSNLSKKETRPKISFKQQVIIWWAGLMRGAVSIALAYHKFTASGHTELRINAIMITSTVIVVLFSTMVFGFFTKPLLNLLIPPRPDIAADLSSQSIIDPLLGSLLGSDFDVGQPSPQNNLQLLLTIQTRSIHRVWRKFDDRFMRPMFGGRGFVPFVPGSPVERSIHGSQLGTVTEAEHS >ORGLA07G0266300.1 pep scaffold:AGI1.1:Oglab07_unplaced160:22477:30526:-1 gene:ORGLA07G0266300 transcript:ORGLA07G0266300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPAGGYARRGSGGGYGYHYYGGGGGGSAQTSPPGGSPTASPVHRHARTGSLGGAGAASTVGRRGRAHNTAARAAAQRLARVMASTDGAGVGGGGGGVGGGGDGGSGSDDDEYELSGPPIELSSTPRRTGTRSPSPSIGRYLSDQAPVSRPPSLTNRYTPGKSVPMIPSIKQQNRPATSGPGSESPAINRREQRRSVDLGSSLRARRTSSSLHDEINSLQVETEGMYEKFNLAEERSEEGDAKSMHMAAVIADAIEPEANLISRKDAALQQRKASLRSASRRSNSASCDEIAALRSEAKEEVVLKRCWLARYWKLCVRLGIEILHTINTYLQSGGIHCDIAEEKQEYWSSFAPLSLEVVLSIGQKARDGALSDHADMETKSKMSDASHVNDMSGDGNIESMLLVERGLRELSSLKVEDAVMLALSEHRRVRPLSGPSSEGQNPPESLELSEEEQDDVRFKQSWLTYFWRRAKSHDIEEDIADERLQFWIEQGNHPVSTIDIIEVERGLTELRKLGIESQLWESTRRSLDDDFSNHGSPTGSEI >ORGLA07G0266200.1 pep scaffold:AGI1.1:Oglab07_unplaced160:20049:20393:-1 gene:ORGLA07G0266200 transcript:ORGLA07G0266200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKKSPPPWKTKGSQQPRGGGAAAAAAAGSKKAKKKKKETPLPPLLPPGTAVEVLRNGAWVGGGTVTIRNDRTYMVRLAGGMTVLATRRRVRPAPPPVTADVSPEPDRVAGD >ORGLA07G0266100.1 pep scaffold:AGI1.1:Oglab07_unplaced160:18164:19450:1 gene:ORGLA07G0266100 transcript:ORGLA07G0266100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGVGGLRQLLAAAVTTGVAEARAAIFGHALNPTGKRAATKLLRKKMVGEQLAQWYPYDIKRDDPLVMAREEKARLSKLEMLKRRGKGPPKKGQGRRAVKRSK >ORGLA07G0266000.1 pep scaffold:AGI1.1:Oglab07_unplaced160:8826:13617:-1 gene:ORGLA07G0266000 transcript:ORGLA07G0266000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAAEEDDVPRLQEPLRTVRGRGNEQDSKGRSHCSRSPPASPSSERAQGMKRRRKMTEADHSCEDGEEKVPEKMNEGEEEEEEVSSALSSPLHMPLLPFKFSGYDSDGQEILEPPDMDIVDAYQQRREEFQEKRGDSHIPTADGASTSVPLDQVGPDSREEISTQEPLATTGK >ORGLA07G0265900.1 pep scaffold:AGI1.1:Oglab07_unplaced159:206547:209149:-1 gene:ORGLA07G0265900 transcript:ORGLA07G0265900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFSCPVDDETAAVEDAAPVAEQAVLKASLGSGGRLRIEGSLSFKTREQQSLQVETKIPAVTSPRAAPAPMPRELLRTRFADAAAAAAPESPKHEAAAVTLQKVYKSFRTRRRLADCAVLVEQSWWKLLDFALLKRSSVSFFDIEKQESAVSKWARARTRAAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHYYYDCWLQCESKEPFFYWLDVGEGKEINLEDRCPRWKLLSQCIKYLGPQEREDYEVVIEDGKFMYKNSREILDTSGGPRDDKWIFVLSTSKNLYVGQKKKGKFQHSSFLAGGATSAAGRLVVEDGTLKAIWPHSGHYRPTEENFQEFQGFLKDNNVDLTDVKMSPTEEDEEFWSRLRSIPSDRCADAADNTEEEMNSSEQTVNCQTSEATETPTEEISSQHIQETINNPSTTLPRVASSEGPATSNAGDNGSSEEGGEDHHRQEEGDEPSSPSSSSSVPREKILERINSKKEAKSYQLGKQLSFKWTTGAGPRIVCVRDYPSELQLRALEQVHLSPRSAAAAAGGRPSSRFASPQRSSSPMARGCSEPLTPREAFRSHLQQGVLIR >ORGLA07G0265800.1 pep scaffold:AGI1.1:Oglab07_unplaced159:201233:204924:1 gene:ORGLA07G0265800 transcript:ORGLA07G0265800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase 36 [Source:Projected from Arabidopsis thaliana (AT1G16280) TAIR;Acc:AT1G16280] MEVDGEARPFLLFSKPKSSKKKPKQEAEPQVHTQPEEPPNPSPSPAIEPDLRDSDEASAAAVTEHAGDDAAAAAVPSTFAELGLSQWLVDVCDSLGMRVPTAVQRRCIPRALEGRDVLGIAETGSGKTAAFALPILHRLGEDPYGVAALALAPTRELAAQLAEQFRALGAPLGLRCLAAIGGFDSLGQAKGLARRPHVVVATPGRIATLINDDPDLAKVFARTKFLVLDEADRVLDINFEEDLRVIFGSLPKKRQTFLFSATISDNLRSLLELSGNNSYFFEAYEGFKTVDTLKQLYIHVPPDAKELYLFYLLSKMNEDNIRSVIVFVSTCRTCQYLDFLLEELGHPAVSLHSHKPQSRRLAALHNFKSSKVPVLLATDVASRGLDIQTVDLVINYDVPRYPRDYIHRVGRTARATRGGLSISFITTQRDIRLLHEIEDVVGKQLGAYDGEMRDVNKDATKVFKARRLANMKMADEGHEDKVQARKEQKKRAQERKRKHDE >ORGLA07G0265700.1 pep scaffold:AGI1.1:Oglab07_unplaced159:196879:198636:1 gene:ORGLA07G0265700 transcript:ORGLA07G0265700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium ion binding [Source:Projected from Arabidopsis thaliana (AT4G08810) TAIR;Acc:AT4G08810] MSSSDQDDHDEDAPLFRASSGDDDDHPHPRRRSSPVGEVPVAQSIIKAASNVCFSLFVLAVLVVTVVAVTYQPPDPWLQSSAAITTSLSRVLPNSTFLLPDDSLLPTGEDFNSSSSTPSAPRRDDPDQATAIATAAAVNGTCDPDAPLNCSDPRVLAAVKAFNAKAFFRKSIVFLSYEAPVPGPKPGECDVAWRFRNRREKSWRRYRDYRRFALVPGDGCALDINRVGKFRSGTNAARPPRQKGSKNRNPRFAPPPVDTEINDTIPIVGSEAEFRRGKYLYYMRGGDHCKSMNQFIWSFLCGLGEAKFLNRTFVMDLNMCLSGAHTNNGKDEDGKDFRYYFDFEHLKESVSVVEEGDFLKDWKRWDKKKGPGRISVRKVPSYKVTPMQLKRDKSNIIWRLFDGQEPENYWYRVCEGRAAKVIQRPWYAIWKSKRLMNIVTEIAGRMDWDYDGLHVIRGWKAQNKQMYPNLDADTSPEALVDKVPKLIKQPMRNLYIATNEPFYNYFDKLRSYFHVHLLDDYKELWSNTSEWYNETTTLSGGRPVPFDAYMRVIVDTEVFYRAKTQVETFNNLTRDCKDGINTCNL >ORGLA07G0265600.1 pep scaffold:AGI1.1:Oglab07_unplaced159:188685:189194:-1 gene:ORGLA07G0265600 transcript:ORGLA07G0265600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVLMARDQLLQRPRWRYERLRGDGDGDGEEAAAGVCRVRRQLRLRRRVVAAGAAGRMARGGGGGGGRKKVRLMRLVFLLPARRVAALFAELVRRLAAAAAASVVVDAAAECPTIVFSSQWGLPVLSHSASGGGGGAASAARNARLRAFYLERSLSSAGAGAAASPC >ORGLA07G0265500.1 pep scaffold:AGI1.1:Oglab07_unplaced159:185713:188602:1 gene:ORGLA07G0265500 transcript:ORGLA07G0265500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASPFPLRIQATAASTARHRHDQASTPRARVLSLVTPPRRSDSTALAFLGFRCDTAAAAAPGPSGEERTGRRSGEEEEEEEHAGMDAPVAVAVPPQPQPQPPPAPAAARPYRWSRAVARSPAAWMRLGVGGLLVGSIIFAFYEWGLPLLSEKVLLPVMRWEARSFGRHLLAIVLIASLAIFPVVLLPSSPSMWLTGIIFGYGFGFLIIMVGTAIGMSIPYFIGSLFRERLHEWLEKKWPREIALVKLASKGSWFKQFRVIVLLRISPFPYSMLNYTVTVTHIKYGPYICGSVVGMVPDALVNIYSGRLILTLAGLKYHNHRLTTVEIVYNVISITVAFLVAIGFTVYAKRALDEMERSEGTCPEPAGIAHGSTELRAHHQECSNSSSVPIDVVYTVYSDDSLARHVLDLLPESLHGFGYNGEK >ORGLA07G0265400.1 pep scaffold:AGI1.1:Oglab07_unplaced159:182760:184408:1 gene:ORGLA07G0265400 transcript:ORGLA07G0265400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGGSTMEAQFCDSKNFSNRQPNHSGKIKLELFPIDKAIQKVLQQENRNPYLELTLAPRKKISSVVQHLNIKWGNSQCARGELMLFPYDAQLDNIAGSGKWTHSDSCTAADVHAAVGSPLRFRLRYGWFEPDFEQQSHGPNLQDVHSVDKIIDNKPLDPVLMEQKQMVHLSEFPNDFAAQSVGDNAVHTVGNQSKVTPLSWIDCISNISFEELLSEAAPSADNKQLLSQNNSQIPINFDSFDAAIASVIGQQQASNQMKVSNPSLWDAEEACHAFPLQSQTSIRTFGSGPNHSDAITSSILGTIPECVTDVDQQCFTEVWEEEPSPHIPLSCRDNVAPYISLPEFGEPELGAYCSRLLSGTDSLGLSGLLSNSLDAFQKFSVF >ORGLA07G0265300.1 pep scaffold:AGI1.1:Oglab07_unplaced159:173989:174852:-1 gene:ORGLA07G0265300 transcript:ORGLA07G0265300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog A5A [Source:Projected from Arabidopsis thaliana (AT5G47520) TAIR;Acc:AT5G47520] MAYDGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGKALAESQGLFFMETSALDSSNVAEAFQTVVKEIYGILSRKVFQSQEQKRSEQSLSNGKPVMLSDSNEPTSGGRWCCSS >ORGLA07G0265200.1 pep scaffold:AGI1.1:Oglab07_unplaced159:170772:171625:1 gene:ORGLA07G0265200 transcript:ORGLA07G0265200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRSRKPRNFATFRLCPRPGAADASDRVFFRVDNNPYYVPGFADDDVLGGAAAAAVGEGDDNAPSSSASGETGPLPDHVRREILELGLPDDGYDYLAHLREIRPSISSTGGGGASAAFLPVRRHARAHFGPPVDVKAYDASRVRIGSSGKETTTATAAAVEVEVTRIENAIDPDVARLLEESGEPALAGSESESEDDDLEEDFVLVANQDDDDFVLVEIENQFEEEEENIAAADDSEEDGLKNGECKVGNSASA >ORGLA07G0265100.1 pep scaffold:AGI1.1:Oglab07_unplaced159:168356:169688:1 gene:ORGLA07G0265100 transcript:ORGLA07G0265100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYTAGAKFDCLLFDMDDTLYPLSLGINLACRKNIQDYMLNKLQIEESLVPKMCLDLYREYGTTMAGLKVLGYDFDYDDFHAYVHGTLPYEKLKPDPVLRHLLLSLPQRKIIFTNSDKAHAATVLKKLGLEDCFEGIICFETLNPSTEPEEDDSDSTDGGSSSDSSASHRKRKILCKPSLESMEAVIEIAKLDAMKTVFFDDSPRNIAAGKAAGFHTVIVGSSAAVAGADVALESIHNIKEAVPELWEAAGEHVQAQLAQAAVDLRSAAVETTVLA >ORGLA07G0265000.1 pep scaffold:AGI1.1:Oglab07_unplaced159:153144:156400:-1 gene:ORGLA07G0265000 transcript:ORGLA07G0265000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:I1QEV8] MGCSWALAALVLGFLVVAVHGSEPWLNQTQVYSTNANSGSNGVFVGITLIQSAAAKGAVCLDGSLPGYHLHRGFGSGANSWLVNLEGGGWCNDVKSCVFRKSSRRGSSNHMESQLQFTGIMSNRPEENPDFYNWNRVKVRYCDGGSFTGDGADASAGLYFRGQRIWQAAMDDLMAQGMRYANQALLSGCSAGGVSTILHCDEFRGLFSGSTNVKCLADAGMFLDFVDVSGQREMRDFFNGIVRLQGSGRSLPRSCTSRMDKTSCFFPQNVVPNIQTPTFILNTAYDVWQLQQSVAPKRADPQGLWRGCRMNHASCNSNQLQFLQGFRNQMLDAVRGFSGARQNGLFINSCFAHCQSERQDTWYAGDSPRLGNKRIAEAVGDWFFDRADAKYTDCAYPCDGTCHHLTFRGDY >ORGLA07G0264900.1 pep scaffold:AGI1.1:Oglab07_unplaced159:148773:149737:-1 gene:ORGLA07G0264900 transcript:ORGLA07G0264900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLCNVGLVLADRCCTHACVALLYTLVENYIRFETPNSSKDQKRPETRLMLKAMKNNLLEGPGHWPSFCLSHRPRKNYTLDDIGNL >ORGLA07G0264800.1 pep scaffold:AGI1.1:Oglab07_unplaced159:140821:142279:-1 gene:ORGLA07G0264800 transcript:ORGLA07G0264800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRAGGARKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIHLHSMLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKKLRQRGIDPATHKPLAEVVAAAACARTPAVFSDAELILSSIGAQPPPESYMYYDGSRYSTECGAASVGGDGSLSSLSGYSQATAEFAVDGASASALLHCGGGGPTSSGGGAPPAPTAAVIPSVSSSSTLNSMVGLSPAAATTTTTTTDEQYGNTNHLPWLELGPSTTTPSSSAAAATVDHYGAALDELKWSDYVFDGYNHQLPPYNHGGICGGGDSKDTAVHFDAHALGNWC >ORGLA07G0264700.1 pep scaffold:AGI1.1:Oglab07_unplaced159:135308:135718:-1 gene:ORGLA07G0264700 transcript:ORGLA07G0264700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPMPAPPLPSSSPPPGRDAATAAAATGTMPLHPSFRGAPPPSPSTYIIQIPKDQVLRVPPPDRAARKPPPAPPRVLCRLQRVPPPGPPRRRPVARVPPGAEERDGVLDGVDRRRRELHGGVEEAARSARCP >ORGLA07G0264600.1 pep scaffold:AGI1.1:Oglab07_unplaced159:129832:131394:-1 gene:ORGLA07G0264600 transcript:ORGLA07G0264600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLCVVGKTFLFWFGAQPNICLADINIVRQVLSDRTGMYPKDLTNPYFAHLLGKGLVLIDGDEWKRHYKVVHPAFDMDKLKMMTVTISDCTGSMMSEWESELGMKGGSAEIELSQRFQELTADVISRTAFGSSYSEGKQVFLAQRKLQFLAFSMFLTIQIPGFRYLPTKKNLKIWSLDKKVRSMLRNIIKIRLANKDTMGYGNDLLGLMLETCAPEHDESQQLSMDEIIAECKTFFFGGHDTTSHLLTWTMFLLSTHPEWMRKIRKEVTTMCGDEVPTSDMLNKMNLLNMFLLETLRLYSPVSLISRRTGTNAKFGGIKVPEGTILRIPIATIHRDKEVWGEDADEFKPARFENGVSKAAKNPNALLSFSNGPRSCIGQNFAMIEAKAVITMILQRFSFTLSPKYVHTPISVITLRPKYGLPMILRSLKVKRDL >ORGLA07G0264500.1 pep scaffold:AGI1.1:Oglab07_unplaced159:117927:119616:-1 gene:ORGLA07G0264500 transcript:ORGLA07G0264500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLGWMVAAAVAAVVASWAFDAVVKLVWRPRAITRRLRAQGVGGPGYRFFSGNLGEIKRLRDEGAGVVLDVSSHDFVPIVQPHFRKWIPLYGKTFMYWFGARPTICLADVSMVRQVLSDRTGMYPKNVSNPYFARLLGKGLVLTDGDEWKRHRKVVHPAFNMDKLKMMTVTMSDCAQSMISEWESELGTKGDIVEIELSRRFEELTADVISHTAFGSSYKEGKQVFLAQRELQFLAFSTFLSIQIPGSSYLPTKKNLKTWSVDKKVRSMLTDIIKSRLNNKDVAGYGNDLLGLMLEACAPEHGESQPQLSMDEIIAECKTFFFAGHDTTSHLLTWTMFLLSTHPEWQEKLREEVATECDSKVPTGDMLNKLKLVNMFLLETLRLYGPVAFIQRRVNAELELGGITVPEGTVLSIPIATIHRDKEVWGEDADIFKPERFENGVSKAGKYPNALLSFSSGPRACIGQNFAMIEAKAVIAMILQRFSFTLSPKYVHAPTDVITLRPKYGLPMILKSLKV >ORGLA07G0264400.1 pep scaffold:AGI1.1:Oglab07_unplaced159:111094:113465:-1 gene:ORGLA07G0264400 transcript:ORGLA07G0264400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGWALAAVLATWCLLDALSRLVWRPRAVAAALRRQGVRGPGYRLLVGSLGDINKLRADAAGAGGAAALDVASHDFIPFVQPQFRQWIPLYGRVFLYWFGWTPDMCVADVEVAKQVLSDRTGLFPKNVTTPMLLKLFGRGLVLANGDEWQRHKKVVHPAFNTDKLKMMTATMAGVARSMVSRWEEKVASHGGKVVIELSSQFEELTADVISHTAFGSSYAEGKQVFMAIKELQFIAFSSLLSVQIPGSRYFPTKKNLKVWRLDRKVRSTLMQIISNRLAAAAKEKAGGGGGGYGKDLLGLMLEASAPPELGTKRRQPPVLSMDEIIDECKTFFFAGQETTSHLLSWTMFLLSTHPDWQDKLREEAVRECAGAGAGDDDDQLPTYDMLGKLKLLNQFLLETLRLYSPVPAIRRRTAAAVEMGGVTVPGGTMLTFPIATMHRDEEVWGADAGVFDPMRFDGGGAMAKLLSFSTGPRACVGQSFAMVEAKAVVAAILRRFRLELSPEYVHAPTDVITLRPKHGLPMVVTRVHA >ORGLA07G0264300.1 pep scaffold:AGI1.1:Oglab07_unplaced159:108137:110251:-1 gene:ORGLA07G0264300 transcript:ORGLA07G0264300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAAAAAAVKGRIKSRPQLPPLASPATTSTCAPAPAATARRGSHAAASQVVSHASLLLRLQSCPDFQEARRLHAAVLVGGHGHGTVLVAQLVRAYAKLGDVAHALRVFDGMPRRNSFAWNAVIKGLVDAGRFSEALEMFWGMVNDGSVAVDGFTYPPVIKACAALGAVAQGRKVWEMVEADIASGNARPNVFVQCALVDMFAKCGCLDEARNVFESMQVRDLAAWTAMIGGTVHSGNWLEVVDLFNHMRSEGFGVDSLIAATVISACGRAGELQVGTALHGCAVKSGASGDIYVSNALVDMYCKCGCVEMADCLFWSTNSKDVVSWSSLIVGYSQNGMHNVSVSLFCEMISLGINPNSSTLASILPCLSVLKLIRSGKEIHCFSIRHGLERSEFVVSALIDLYSKQGLIKVAETIFWLTLDKDLAIWNSMVAGYAVNGYSDSAFCALRLLQKVGLKPDHVTVVSVLPLCNQHDMLIQGKELHAYVIKYCINSVCSVNNALLDMYCKCGFLEVAKEVFQLMTERNTVTYNILISSFGKHNHEDQALSFFDLMKRDRIAPDKVTFVALLSCCSHAGLIYKGLHFYHSMLHDYNISPEKEHYSCIVDLYSRCGKLDEAWCFMSNMAEEPEIDVLGGLLAACRVHNRMDIAELVGKRIFEQNPNDPGYHILLSNIYADAGMWSDVTRIRTMIQERNLKKETGNSLT >ORGLA07G0264200.1 pep scaffold:AGI1.1:Oglab07_unplaced159:103672:103957:-1 gene:ORGLA07G0264200 transcript:ORGLA07G0264200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTATCIDIILAIILPPLGVFFKFGCGIEFWICLLLTFFGYLPGIIYAVWVITK >ORGLA07G0264100.1 pep scaffold:AGI1.1:Oglab07_unplaced159:99002:100804:1 gene:ORGLA07G0264100 transcript:ORGLA07G0264100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homologue of NAP57 [Source:Projected from Arabidopsis thaliana (AT3G57150) TAIR;Acc:AT3G57150] MSSPPPAAAASPSSELTKSKKKKTKSKDAATAAAVDPPSLAEAEAKTDGYLIKPQSLVPSLDTSTWPLLLKNYDRLNVRTGHYTPLPSGHSPLKRPIAEYLRYGVINLDKPSNPSSHEVVAWIKRLLRVDKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARFHAAVPDTARVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDADRHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDARWAMDNYNDETYLRRIVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIDVGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMVAEGLLDKHGKPNEKTPAEWIRNVALPTGGDAMIASIAAAPEEAKVKAEQDVVATEEVKEKKKKKHKDEEDAADEGRKRKIEDDDASASVPVKKIKVEESAEEVEGEKSEKKKKKKKKDKGEQGSGDAEVKEEKADISDGEKVGSEKKKKKKKSKEGGDVVDPESAANGDGVEAEKSEKKKEKKKKKSRDTEEA >ORGLA07G0264000.1 pep scaffold:AGI1.1:Oglab07_unplaced159:93437:94377:-1 gene:ORGLA07G0264000 transcript:ORGLA07G0264000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAGGYPSAAAISFPDADVDDDSDDGDFTDAPLIDPSDPTFPNPASSSAAAAAMTTPAAAGGGSGSGSVGGVGSSSGGGERRPLFQRLWTEEDEIVILRGFAEFTAARGTAFASHQYDTDPFYEDMRGRLQLGFSKSQLVEKLRRLKRKYRNCVSRLRGSGSAFSFRSPHEQAIFEIARNIWRPTNKHGRDGDSDDEDATQVTPAPVPVNTSPNDHNANYSDNGGLGTPQIAGHATSAGCFRCRQELLDASV >ORGLA07G0263900.1 pep scaffold:AGI1.1:Oglab07_unplaced159:78178:90151:-1 gene:ORGLA07G0263900 transcript:ORGLA07G0263900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] GREVLPLEGTPPKNGGSRRRMGRRVRRRRRLGLSAEQLDQLERDAYRKLAERKASSSAASTATLPLPSAAYSPVKNSHHHPASRVSQESCFGKVESLSPSRLSQPNASGNAVNNSQGNLSKVSVHLFLHSTGVIAAKFQYHQKLVDAVHKIPKASWNGKERVWMFPHSSLSVAEEVLSTVPGIAVEVQKLDPLVKRALTASLYAGDLRDLYGKIPTDVESKLMPFQREGVRFALQHGARTLIADEMGLGKTLQAIAVASCLHDAWPVLVISPSSLRLHWASMIQHWLNIPTEDILVVLPQTGGSNKAGYRLVYSNTKGDFNLDGVFNVISYDVVPKIKDMLLDLDFKIVIADESHFLKNAQAKRTMHSLPVLQKAKYVVLLSGTPALSRPIELFTQLQALYPTVYKNVNEYGNRYCKGGFFGLYQGASNHEELHNLMKATVMIRRLKKDVLSQLPVKRRQQAFLDLSEKEMRHIRALFHELETVKIKIQSCDSQETMDSLKFAQKNLINKIYNDSAEAKIPAVLDYLGTIIEAECKFLIFAHHQSMLEAIHQHLLKKKVKCIRIDGQTPVPVRQTLVTDFQNKDDIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQGKLENLGQMLDGQEKTLDVSQSDTRPSPSKQKTLDAYLKRCSNSTEADQPKLKNPRF >ORGLA07G0263800.1 pep scaffold:AGI1.1:Oglab07_unplaced159:73995:75560:-1 gene:ORGLA07G0263800 transcript:ORGLA07G0263800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 family protein [Source:Projected from Arabidopsis thaliana (AT4G01790) TAIR;Acc:AT4G01790] MSKKKNKSQLPIKAPFKDAEQGITSDYIGGDALDDLLSKLIKSVEVAKASREGLPEKIWMKQQFAVGVNDVTRVLERMPAATAATHSGHSSTEAITDKALCRAPPVLLQVVLVAADCNPKWLTKHIPTLASTRQVPVLCLKDNKESSLRLGQVVNVRTALAIGVKARDSIINKAIDEVLKTANLVAKEP >ORGLA07G0263700.1 pep scaffold:AGI1.1:Oglab07_unplaced159:69035:69646:1 gene:ORGLA07G0263700 transcript:ORGLA07G0263700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QEU5] MQGRMVTWSMASVIVAAACIFLSCSASVAHGRRFVRSSSYDEPCKEMRLYLHDILYDYSNSTSNSTSAAVTKPTALSTAVSKSGYFFGRVVVFNDPMTEGRALPPSLEETTVRAQGLYLYDKKEDYSAWLAFSIVFNSTAHRGTLNLMGADPLAEKTRDISVVGGTGDFFMSRGVATLRTDAFEGFTYFRLQMDIKLYECYVV >ORGLA07G0263600.1 pep scaffold:AGI1.1:Oglab07_unplaced159:65299:65763:1 gene:ORGLA07G0263600 transcript:ORGLA07G0263600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QEU4] MHGDEDILYDFSNSTSNSTSAAATKPTPLSTAVSSPGYFFGRVVVFNDPMTEGRALPPSLEETAVRAQGLYLLDKKDDFSAWFAFSVVFNSTAHRGTLNLMGANPNAATRDISVVGGTGDFFMSRGVATLRTDAIEGFKYFRVQMDIKLYECYF >ORGLA07G0263500.1 pep scaffold:AGI1.1:Oglab07_unplaced159:59141:59749:1 gene:ORGLA07G0263500 transcript:ORGLA07G0263500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QEU3] MATWSKPSLIAAVIFLLVSLLSSASVANGGRSGGRRLVRSYDEPCKEMRLYLHDILYDYSNSTSNSTSAAATKPTPLSAAVSNPGFFFGRMVVFNDPVTEGRALPPSLEETVVRAQGLYLYDGKVVFDAWFAFTVVFNSTAHHGTLNLMGADPNTEMRDISVVGGTGDFFMSRGVATLRTDAFEGFTYFRLQMDIKLYECYV >ORGLA07G0263400.1 pep scaffold:AGI1.1:Oglab07_unplaced159:57753:58193:1 gene:ORGLA07G0263400 transcript:ORGLA07G0263400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLIQVLPMLTSLSEIHTIHWSGDLPERLNSTFFLLCCWRLWNHRNEVVFQHLAPSINRLLRCCVNDAKLWANRFKLADRAVLPSWLASFSSPLLSTLCNLSCLFSLSLFRLFFRYVMLPLFFLYGLYSFDVSLILIQVGSFPPPPPV >ORGLA07G0263300.1 pep scaffold:AGI1.1:Oglab07_unplaced159:48486:52707:-1 gene:ORGLA07G0263300 transcript:ORGLA07G0263300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QEU1] MQKTSMNPVTDPVAAATGRVAIRQLPIKTQPNSQSLTPFLQLPPKPPPNLLFSSPLASVELRHRARARRRRRPSHPRRAPAMRMGKYEMGRALGEGHFGKVKLARHADTGAAFAIKILDRQRILAMKIDEQIKREIATLKLLKHPNVVRLHEVSASKTKIYMVLEYVNGGELFDKIALKGKLSEKEGRKLFQQLMDAVSYCHEKGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQNQRKDGLLHTTCGSPNYIAPEVRIKHSVLLNRGYDGSLSDIWSCGVILYVMLTGNLPFDDQNTVVLYQKILKGDARIPKWLSPGAQDILRKILDPNPITRLDITGIRAHEWFRQDYTPAMPFDDDDDNNISDGNLHMTENQDIETSPAISQINAFQLIGMSSCLDLSGFFEKEDVSERKIRFVSNYSPTSLFEKIESTVTEKGFQVQKNSGKLKVIQVCKEPANPRGHGNLLISAEVFEINESLYVVELKRSSGDCSLYRQLCASLSEDLGICKRQQLLKKDSMRQDLCRHNSSF >ORGLA07G0263200.1 pep scaffold:AGI1.1:Oglab07_unplaced159:46303:48126:1 gene:ORGLA07G0263200 transcript:ORGLA07G0263200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKRGAAAAATAALPEQQAVHARLEKSARPDVLYATGVMRAYLRASLPLQSLRLLAGLLPRAPRLLATSFSLSVALQACGSAAAPVSVGAALHARALRSGFAAADLFVRTALVEMYAKAGRVDLARDAFDEAPLRDVFLCNVMLSAYVSRSEVAEARKVFDGMPMRDLVSWNTMIHGYAMRGEVGLAREIFDGTEDRDSFSWSSMISAYAKSRRSKEALELWREMHAASIIPDCITLVSVVSACSDLGALAVGAEVHRFVESNRIELDLKLGTALIDMYAKCGDIESAQRVFDRMPEKDVQTWSSMIIGLANHGLGHESLSLFSKMISEGMKPNGVTFVGVLIACTHVGLVSEGKKYFRSMNEVHGIEPTVEHYGCMVDLLGRSGHVEEARQLIRSMTFEPDTIIWRALLGACRIHKNVEIAEEAMAKLRVLDPLGDGHYVLLSNIYAQANSWEGVAEMRKTIRRDNIQRIPGRSSIEWDEKIHEFVSGDRLHPRSKEIYRVLEEMMDRLKQAGYKPMTGLVLQDIDEQSKERSLAEHSEKLAIAFALLTTPARSTIRITKNLRACEDCHSAMKLISLVYDRKLIVRDRNRFHHFSEGQCSCKDYW >ORGLA07G0263100.1 pep scaffold:AGI1.1:Oglab07_unplaced159:44095:45356:-1 gene:ORGLA07G0263100 transcript:ORGLA07G0263100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62190) TAIR;Acc:AT3G62190] MRSSEAMELLGLPAHTRPSPSELLIQVKAAYRRMVMESHPDRVPTHQKSQAESKFKQISEAYSCLKDGRRYGSRMEVHVMRSGVPTGFGRSNKTLVKAPFLLIIFAAVSLGGFSASRAYQRQNAMCSSQNPFLP >ORGLA07G0263000.1 pep scaffold:AGI1.1:Oglab07_unplaced159:38937:42123:1 gene:ORGLA07G0263000 transcript:ORGLA07G0263000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYATAKTSVWWDIENCQVPRACDPNLIAQNMSSALAAAGYTGPVSISAYGDIGRIGNAVTHALSSTGISLNHVPAGIKDASDKKILVDMLFWAIDNPPPANYLLISGDRDFSNALHKLTMRRYNILLAQPPNVSQALTAAAKSVWLWKSLVAGEPPLAESPYVSSTASGNMVELDKSKNINSDSSDTTTDTNPQNGLQSDHQKGGNGKADKQSKVKQPRRNQLDNVSKPASNEENSVEVADNSKEYTTDHPTQSSMPSSSSSSSSESQDGAKVNQSSKPKVQPFSLPKKPAKSAHCHQKTAPHDFFNSKKSGASAESAAKNGTPDSGNGGGYNPKHHKPHTSQSPRPQNSITHPHSGSGIFHHTLSSQRTNSCPPSAGHNGAPTAPLQSWPSAPPYHSPPVNYPDLNQINISGYPRGIHDNQGVNMNYHPNHSGSPHNVQPAYNSYRPPTPPSMPSNMQNAGQWGVNPGYPQPSSDPQGLIRNILGALEVLKTEKIPPIEQHISDCIRYGEANLPNFDVKKALELAIQHQAIVLKMLGPMSFYLGKNQNLWKCVNIMDINAKYPKDTFDAVHRFISSTSGSSAIKNSRSKYQAAIVLKNQCLKHLALGEVLQILYIIINTKKWFVPHSSGWQPLSFNIIVVDATTGAGGKA >ORGLA07G0262900.1 pep scaffold:AGI1.1:Oglab07_unplaced159:31408:34741:1 gene:ORGLA07G0262900 transcript:ORGLA07G0262900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVGRWGSMKQTGVSLRYMMEFGSRPTERNLLLSAQFLQKELPIRIARRALELESLPFGLSRKPAILKVILGEWSVNKVRDWYLDSFRDIRYFPEVRNRNDELAFTQMIKMIKVRHNNVVPTMALGVQQLKNEQYRTRKIPTAFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTELSPIQVAQAASEDARSICLREYGSAPEIDIYGDPTFTFPYVSSHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGAEDVTIKQVSDEGGGIPRSGLPRIFTYLYSTAKNPPDMDCPSEGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >ORGLA07G0262800.1 pep scaffold:AGI1.1:Oglab07_unplaced159:12139:14495:-1 gene:ORGLA07G0262800 transcript:ORGLA07G0262800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMSPELEQVDGEIQDIFRALQNGFQKMDKIKDSNRQSKQLEDLTGKMRECKRLIKEFDRILKEDEKKNSADVNKQLNDKKQLMIKELNSYVTLRKTYQSSLGNKRIELFDTGNDQVAEDNTVQMASEMSNQQLMDAGRKQMDQTDQVIERSKKVVAQTVEVGSQTAAALSQQTEQMKRIGNELDSVHFSLKKASQMVKEIGRQVATDKCIMAFLFLIVCGVIAIIVVKIVNPHNKNIRDIPGLAPPAQNRKLLSIESFGSL >ORGLA07G0262700.1 pep scaffold:AGI1.1:Oglab07_unplaced159:4620:11495:1 gene:ORGLA07G0262700 transcript:ORGLA07G0262700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09450) TAIR;Acc:AT1G09450] MAREAAAPGRTAGKASAPSGHHHAGARGGGGDLWSEIMASGGGGGAARIGVVYGRRRAAQEASRPRGAVDVRGVAAGEKRASFEPSKRTSWNRSLSIRGRESILFAPGTKIQPQQNPCRAQKRPPKPGNRVKRTFGGPPDLKKEKAYFEEVDAFELMEESPSPKNFGTWARGMEQNYIVHDLSAILERWKISKLAKFAASRPLFDIMETPVVPSVRSDCSLHDSHRTPEKDRGSRTNPMRRTIPSGLSDKTSIFTSFSELKIKEEPDDSSIPSLSAEAMTAFAQLLLVCNQSAPITLAEAFSTYWSFNEDVHGNSTPGSIVKLGEGTFGEAFRAGSTVCKVVPFDGTSLVNGETQKKTEEVLEEVLLCLTLNNLRADRGDNVKENSCHGFIETKDFWVCQGPYDPSLICAWEDWDAKCCSENDHPNDFSNEQCYIVFVQADGGRDLEKFALLDYNEACSLLVQVTAALAVAESACEFEHRDLHWGNILLDRDETQDKNHTMGFTLQGKNMCTRTFGLNVSIIDFTLSRINTGDAILFLDLSTDPALFEGPKRDKQAETYRKMKQITNDYWEGSFPKTNVVWLIYLVDIVLQKRYSTFTSKDDRELRAFKKRLAKYDSAKDCLTDSFFCDLLLSDEDAQPSTA >ORGLA07G0262600.1 pep scaffold:AGI1.1:Oglab07_unplaced159:6:608:-1 gene:ORGLA07G0262600 transcript:ORGLA07G0262600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QET4] MAAWSMASLMIVAAIFLLSLTSASVVHGRSSRRRFVRSYDEPCMEMRLYLHDILYDYSNSTTNSTSAAATKPTALSTAVSSPGYFFGRVVVFNDPMTEGRALPPSLEETAVRAQGLYLLDKKDDFSAWFAFSIVFNSTARRGTLNLMGADPNAATRDISVVGGTGDFFMSRGVATLRTDAIEGFKYFRVQMDIKLYECYV >ORGLA07G0262500.1 pep scaffold:AGI1.1:Oglab07_unplaced158:52789:55547:-1 gene:ORGLA07G0262500 transcript:ORGLA07G0262500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PEVGLKLLIAYGRHQEAGKGDSVTNLMINMADVVHMLMHTAKGHDVCPKRLQPERSEKIANGMTMHVNAHAPVQNLNVDMGEQSPDHVSSKFDERAHASALRLQEKSSDAKLNCGFEGSSTEFSCSSHSEEPKVNGSERSQAGAVWDVFRRQDISKLNEYLTANWEELAASSQVKNPIYEQSVYLNKYHKRILKDQYGIEPWTFQQQIGEAVFVPAGCPFQVKNLQSTVQLALDFLSPESLGESARMAQEIRCLPNDHDAKLKMLEIGKISLYAASSAVREIQRITLDPKFNLDLKFKDQNLTQAVSENLARVTKQRNVPCS >ORGLA07G0262400.1 pep scaffold:AGI1.1:Oglab07_unplaced158:46184:49986:-1 gene:ORGLA07G0262400 transcript:ORGLA07G0262400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLAHLAAGVARAAASSSRLRGPRPAASALVAPLLASPWEPSGGGQSHWLVPSRGHVGHSHHHHHGEEVGGEASERIFRLGLAADVVLTVGKAVTGYLSGSTAIAADAAHSLSDIVLSGVALLSYKAAKAPRDKEHPYGHGKFESLGALGISSMLLVTAGGIAWHAFDVLQGVMSSAPDIIGNVSHAHHSHGSSGHHHGIDLEHPILALSVTAFAISVKEGLYWITKRAGEKEGSGLMKANAWHHRSDAISSVVALLGVGGSILGVPYLDPLAGLVVSGMILKAGVHTGYESVLELVDAAVDPSLLQPIKETILQVDGVKGCHRLRGRKAGTSLYLDVHIEVYPFLSVSAAHDIGETVRHQIQKSHNQVAEVFIHIGSLQPLNQNAL >ORGLA07G0262300.1 pep scaffold:AGI1.1:Oglab07_unplaced158:38474:42324:1 gene:ORGLA07G0262300 transcript:ORGLA07G0262300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLEPEDGDGHQSDATDDDLPPRICTGKAYQAEIPNLATEDECRQYMSNTTDSCMALGYDCPIPIMWTLPSEFNKKEEEIQKQHSSETKAIENSRDGDSQTTSICPTSNNTSGQCSTSQDPHPELPDQIVSDSHQAHDDKLAPCSTQEGLNFTDKAMADQGEIEQFIPVPNSSTSIWSDQEAELLLLGLYIFGKNLHVLSRFVGSKTVGDVLSYYYGKFYKGEAYKRWSACRKAKIRRCILGERIFIGWRRQELISRLKSKIPKEAHDLLDEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEKNGFDTAMKLNQDVPFDGYHELPKFTIIDTSLVQGEEPSQVRELRNLPADANISFGPLRHTHNMVSDSSSDEHDTDDRSSDYKEGYAGVTADENGTEMVSSKNADNESQVDSFRNMAATSCSVFPVNGHSSNGNGDTIGATSFFPQKTKIEKRKYLSPVTKRRRLTSCSNDQTSRRSFSFSKGPGLEKEKVKLPSTSSKPTAIDVGGSFQSKSLASCSGKEKPCQQIKDASNSHANDRSNEKMNVARPKEKPSGHKVDTLASVHSKTAVEDTKPAKGVAQSSDLVANQVKLETPQDDKTVTIAHAPSSDNHGSILKNKETTSSSNTEIVHDAPEATRGGPANPQPDLQASSQAMNPRRQGTRVRPPTARALEAVAFGLLGSGKRKADPTGSSRPRQRARKSTKEAASVSTSSDTEKSSMDSGARQ >ORGLA07G0262200.1 pep scaffold:AGI1.1:Oglab07_unplaced158:16685:27340:-1 gene:ORGLA07G0262200 transcript:ORGLA07G0262200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETCYGVLCREYEHSKDAMFEFLLQCADQLPHKIPFFGVLIGLINLENEDFSKGIVDTTHANLQDALHNENRDRIRILLRFLCGLMCSKVVLPNSIIETFEALLSSAATILDEETGNPSWQPRADFYVYCILASLPWGGSELFEQVPDEFERVLVGIQSYISIRRHFDDIAFSVFETDEGNSPNKKDFIEDLWERIQVLSRNGWKVKSVPKPHLSFEAQLVAGVSHRFSPISCPPPTISQSSSEIVKGQEKHEADLKYPQRLRRLHIFPTNKAENMQPVDRFVVEECILDVLLFFNGCRKECAFYLVSLPVPFRYEYLMAETIFSQLLLLPNPPFRPIYYTLVIIDLCKALPGAFPSVVVGAVHALFDRISNMDMECRTRLILWFSHHLSNFQFIWPWQEWAYVKDLPKWAPQRVFVQEVLEREIRLSYFDKIKQSIEDAVELEELLPPKAGPNFRYHSDEGKESTDGHRLSKELVAMVRGRKTQGDIISWVDEKIIPVNGAKFALDVVSQTLLDIGSKSFTHLITVLERYGQIISKLCPNEEMQLLLMDEVSAYWKNSTQMIAIAIDRMMGYRLLSNLAIVKWVFSPANVDQFHVSDRPWEILRNAVSKTYNRIFDLRKEIQTLRKGLQAAKEASEKAARELEEAKSIIEIVDGQPVPSENPGRLRRLQARADKAKEGEVTTEESLEAKEALLARGLEESKELLRLLFKSFVEVLTERLPPISADGDVPNLRAGDPNVNSSARDPEATTMEIDNENGGDNDSSQLNGQNKKISHNVGELEQWCLCTLGYLKSFSRQYATEIWSHIAMLDQEIFVGNIHPLIRKAAFSGLCRPTSEGSHL >ORGLA07G0262100.1 pep scaffold:AGI1.1:Oglab07_unplaced158:5938:13965:1 gene:ORGLA07G0262100 transcript:ORGLA07G0262100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNGGIIPGPANAASLPAPVLIEDNWVCCDMCHKWRLLPYGTNTSMLPKKWICSMLDWLPGMNRCDISEDETTNALNALYVTQIPAAGVSSGGPHTAHASVAASSTYNISGQLGQSRKRKNALKDENCYEHDQQAPAKMTLTSNQQAPAKNREVVDSEHYTNDRDPVSTHDLVPQSKSASERHKSKHKGRSSHLDGGDLTEKSKKHSKSKNRRGIDRDEHKTSKKTKKEDRHYFNKDWKNEYDLAGNEVRDETKTLSAKAKMSKDSCEQDEFSLRKEKASRFDILEKTKRINDDDVAFHEKMKEHRAGIETLDFSGKKKTVKEWEDNRLSSMDHTSKGGDNENLNERLSKIKKSEARPEEVQYANALFSSAGRHQDNELVADNKFVTCKEGPSELWDNQPPRQVLNLAEPTRRDVACLQSSTVATSSSSKVSSSRRNKNSREAKGSPVESVSSSPLKNSNTDKISKARKTGKDGELNADSSILHTPMKYPTHEVGLLHTGQQAVGEAILRGSTNNSGMGRVDNQLYPGDKKILDMHGPTLQPDQQDCFNPRATADSTGHKSKNSAPSHQGRNGSSNLISEGNKQIEMSSRKEKLRPSIDNQDMQKSIGQDNHSHMKEGKSEVHTTRVKPGASKNHTQLRSNVENGDSASPIRRDGNMVAFALKEARDLKHKANHLKEKGLELESMGLYFEAALKFLHVASLWETPNLDNSRSGDVAQSMKMYSETAKLCSFCAHAYERCNKMASAALAYKCVEVAYLKAAYYKHPSASKDRQELQSVVQIAPGESPSSSASDIDNLNSHGLSKALSTKGGNSPQVAGNHLPLAVRNQAHLLRLLAYTNDVNCAFDATRKSQVAIASAASSQERGKTVDDGLASVRTVLDFNFNNVNELLRLVRLSMELINT >ORGLA07G0262000.1 pep scaffold:AGI1.1:Oglab07_unplaced157:12743:13583:-1 gene:ORGLA07G0262000 transcript:ORGLA07G0262000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAALVARLARDVSAARDAARLAAAAASRAARSSAVASSSPCARSAAVVVARRAARSAAAAASRAARAVSRIPSYNLDDYSDYEPTPAPPSPLPSYGPEPADDETDKDFETDLVDSVTDKDFESDEAIWAFYERWCKAYDKERDHAEMAHRFKIFKETAELVHRSNKDAPEEEKLCFGPYCDGFDEQQRAEFLLKFGHFHGIHEFVEQWKIDFPKPRKIDSPNQSP >ORGLA07G0261900.1 pep scaffold:AGI1.1:Oglab07_unplaced157:12001:12273:1 gene:ORGLA07G0261900 transcript:ORGLA07G0261900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTADPRASARWCGSALGCAGANSGRGVAPARTVVSGGVEAGIGHEGNRCWRWKRSRLPQLNPSCLWAVRASLTEWLFLTLQIPILPAR >ORGLA07G0261800.1 pep scaffold:AGI1.1:Oglab07_unplaced156:45346:45894:-1 gene:ORGLA07G0261800 transcript:ORGLA07G0261800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKNHPVKEQPHDSVGTAILSKSPKLEANFSRAAAALSRAQAKKVKRFLPNPERHWGPKIRAGRKITSKHASLLGPDVVNRVINGAASTEDEKVAEPNNPTTETGGDATNEEDEPSTKRQKKRRCWIGNRTLIVTEPEHVSLSPLNSSMFVMLHFS >ORGLA07G0261700.1 pep scaffold:AGI1.1:Oglab07_unplaced156:37381:44021:-1 gene:ORGLA07G0261700 transcript:ORGLA07G0261700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXLLLRRRLLPDLPAQPHMKKAKFPGSSSSSSSAAAPGVTEKNGLHVDPTAAAARTGGRTNGEEDAEMVLADQEELAAPSASAPAGVAANLFRKKATLPQPSAARKPLRIKIGQPKLPTNFEEDTWAILKDAITAIFLKQKLSCDVEKLYQAAGDLCLHKLGANLYERIKKECEVHISAKISALVGQSPDLVVFLSLVHRTWQDFCDQMLIIRGIALLLDVKYVKNVANICSVWDMGLKLFRKHLSLSPEIEHKTVTGLLRLIESERLGEAIDRTLLSHLLKMFTALGMYSESFEKPFLECTSEFYATEGVKYLQQSDIPDYLKHVETRLQEEHERCILYLEANTRKPLITATEKQLLQRHTSAILEKGFTMLMEANRVKDLSRMYTLFQRVDAIELLKQALSSYIRGTGQGIIMDEEKDKELVPFLLEFKASLDRILEESFAKNEAFSNTIKESFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGILDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQKELAVSLFQSVVLMLFNDAQKLSFLDIKESTGIEDKELRRTLQSLACGKVRVLQKMPKGRDVEDKDEFVFNEEFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKTLSHTLLITELFQQLKFPIKPSDIKKRIESLIDREYLERDRSNPQIYNYLA >ORGLA07G0261600.1 pep scaffold:AGI1.1:Oglab07_unplaced156:25787:36390:-1 gene:ORGLA07G0261600 transcript:ORGLA07G0261600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTTPLAEAACRKSGLSVVDMLSPFSLFKKIDVPVRTASDQPYRLQMFKIRMVYASDVRKQDYEVADQRIKPVVSEANESALPDLLSDPPQLEDVLSKPEAELCPLWIKKFNRALMRTLSFSEHETFDHPVACLLVVSSKDNEPISKFVDLFNTNQLPSLLNEGIMDPQILKHYLILHDQQDGPQEIAMNILAEMKSTLGLNDCKLLCINSSTEADGADAENSWLPYKSHGLHNQDGACWLNTDDLNEIKDFMQDLASNHIIPYMEQKIRVLNQQVATTRKGFRNQIKNLWWRKRDDVPEAANGPMYTFTSIESQIRVLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMDSAFSTYLRIGSSGKRNATRCGIWWAEMLKTRGQYREASSVYYRVSNEEPSLHSAVLLEQAACCYVLSKPPMLRKYGFHLVLAGNSYYISDQKQHAVRAYRNALFVYKQHPWSYINDHVHFNVGRWYGVLGIFDVAIKHLLEVIACSHQSLTTQSMFLNDFFHFVQSMGKKFDVYKLQLPVINMPSLRVIYEDHRTYASDADVNVSESIWQELEEEMIPSSSIVRTNWLEKSPDLRKYKDSCVCVVGEAVKVRIELRNPLQIPVAVSCISLICQLSTSLDASSAVNSVLTTGAGEDIANTKPAISTFEDDGNNFTVSKLDIVLGGSETKSVQLEVTPKVEGILKLHGIRWTLSDLLVGYQYFEFDTKRKTKKGKKGPRRTLSNTLIVIKTISKVYVVICVPYGPHTRSWSMDDLLVNVAKNIKMKLSHPRFVIPGDLSEVDFEFPQCLRKHVQSEISTVSTKRTQGDAKGLLFTFSQDIKIQEGATFSWPIWFHAATPGNFSLYISLYYEMESPSEITYRTLRMHYNIEVFPSLNVSFAIRMCSSRLKEYIVRMDILNRTPSESFILHQLSCNDSKWAISSLPLCDSIRSIETVSANQSVSCFFKIKDLGTNSCKEAENSSCRSDMLFLSHEGNSNTEEFDVSQSPITNFHYQERYQQGRLAKGPRDLLDFILISKAVGGNYSKSDPDVQLLSHHVCHCSAIDQSPIWWFMEGPRTITHDFSKSYCEANIQLVIHNSVQHNISARVVTYDSVPDKSQTVNLQDSNSNQGGWYDVSLENDIKAISTAKGTHYQKQPSESISPFVWCSLSSAQVDLKPDTSTKVPLKVCIFMPGTYNLSNYQLQWKVHSSEVGQVDENQRSGGGQGHPFYVTVLQDA >ORGLA07G0261500.1 pep scaffold:AGI1.1:Oglab07_unplaced156:20020:21015:-1 gene:ORGLA07G0261500 transcript:ORGLA07G0261500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFSSSWKRTGGGGGGGDGDIESGGGVEMAPPPGAAAGASLDRFFEDVESIKDELRDLERIQRSLHDANEGGKSLHDAAAVRALRARMDADVAAAIKKAKVVKLRLESLDRANAANRSVPGCGPGSSTDRTRTSVVAGLRKKLRDSMESFSSLRARISSEYRETVARRYYTVTGEQPDEATLDNLAETGEGERFLQRAIAEQGRGEVLGVVAEIQERHGAVAELERSLLELHQVFNDMAVLVAAQGEQLDDIETHVGRARSFVDRGREQLVVARKHQKSTRKWTCIAIIILLVLILVVVLPIVLKFVNNNKSSSSSPAPATPSPPPPTA >ORGLA07G0261400.1 pep scaffold:AGI1.1:Oglab07_unplaced156:17157:19092:1 gene:ORGLA07G0261400 transcript:ORGLA07G0261400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATLSSRSRRACAEAASVLLVLLVIAPSVAAGGDTNGVYEPCADAAVQRGDGFTFGVAFAARGDFFSGGVQLSPCDGRLSLASKGAKLAVFRPEVDEISLLTVNTSAGGGFDPATSGGYMVAFAGRKYAARSPPVFVSNSSYTVTSFTLVFEFNKGTLQNLYWKANGCSACSGQPSFTCVDQSCAISTANCTGKGGTVDCSPGIQLAFSGTDKHEAVLNSWYEVSKLRQYSLVGLFSNLKDSLTSQFSIFF >ORGLA07G0261300.1 pep scaffold:AGI1.1:Oglab07_unplaced156:12464:15465:1 gene:ORGLA07G0261300 transcript:ORGLA07G0261300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISARWLKSLVGMRKVEKQQQQSKENGDGGRVAQKRDGANHFHCQNQHGQDHDNLGAPEEFPDENGPSEGDSNALSCSEPAFSSPNVPVPQTEEELKEIWAATVIQTVFRAFLARRARRALKGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRIALESQTDQQAILQEKINETHVREIEDGWCDSIGSVEDIQAKLLKRQEAAAKRERAMAYALTHQWQARQHAAITAFQPDKNSWGWNWLERWMAVRPWESRFLGSYAADGIPVSSGAMQDEENAVYTPHKKHVRRQTSTLHSNILNQKTCLPNSEGGGSSSNRSGGLASAKSKLKLSSREGCDEISARPSGLGTRSSSNPKERTGHLDPQGNKRFSLPASCVEAGKRMTNKSAVNRSLKVTKHHLASSIELPRRVELQT >ORGLA07G0261200.1 pep scaffold:AGI1.1:Oglab07_unplaced156:5056:7671:-1 gene:ORGLA07G0261200 transcript:ORGLA07G0261200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEVLGVPKDASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGPGGGMHDPFDIFSSFFGGGFGGGSSRGRRQRRGEDVVHPLKVSLEELYNGTSKKLSLSRNVLCSKCNGKGSKSGASMKCSGCQGSGMKVQIRQLGPGMIQQMQHPCNECKGTGETISDKDRCPGCKGEKVAQEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLNLTEALCGFQFVLTHLDNRQLLIKSKPGEVVKPDSFKAVNDEGMPMYQRPFMKGKLYIHFSVEFPDSLNPDQCKALETVLPPRPVSQYTDMELDECEETMPYDVNIEEEMRRRQQQQQQEAYDEDEDMHGGGAQRVQCAQQ >ORGLA07G0261100.1 pep scaffold:AGI1.1:Oglab07_unplaced156:136:288:1 gene:ORGLA07G0261100 transcript:ORGLA07G0261100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPAPLLLHLLHLPALTVPDEGTATRGDGCGDSVFQTRPATGYGLNGR >ORGLA07G0261000.1 pep scaffold:AGI1.1:Oglab07_unplaced155:39298:39921:-1 gene:ORGLA07G0261000 transcript:ORGLA07G0261000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGAPPGAPGMMTPGDGNSVGMFSSDRIGGFGYGVGVSVGILLLITTITLASYFCTRAPVTAADAAAAAGSSRRHRGGGGGGGGGDGAGHEHDDVELGIDEATLKGYPEVVYGEARKEAAKAASAAATKKGGATTCSCCSICLDNYGDGDVLRMLPECGHLFHRECVDPWLRQHPTCPVCRTSPLPSPLPTPLAEVTPLAMARPS >ORGLA07G0260900.1 pep scaffold:AGI1.1:Oglab07_unplaced155:33486:35776:-1 gene:ORGLA07G0260900 transcript:ORGLA07G0260900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRRRFPVFLAAALLTLLLLPASAAATDVEYCRQGRDYPVKVSGVEIVPDPVVSGQPATFKISASTDKSITKGKLVIDVKYFFFHVHSESHNLCEETSCPVTGEFVLAHEQTLPSITPPGSYTLTMRLLDDGNKELTCISFGFSIGFISPLALI >ORGLA07G0260800.1 pep scaffold:AGI1.1:Oglab07_unplaced155:24863:30728:-1 gene:ORGLA07G0260800 transcript:ORGLA07G0260800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTFTRVEFDRLTDLLRARTVESDLSAPADNLDNKNEARNTMDGIGGSTSHGMAADHSIAADDPVCGASSPAELAKQYMNSRYSKENRPNSLRSQVLLKNKAEASNIAYDRRRPGGPFVQELSQFSNENSELPVNGYVTPGLHGRSAIYRMSCSPFFKGPSSSNDINMSPFSSSQTRANSLVSGCRQVLKRRGAELENELGSIGPIRRIRQKSNMMSTFRDARSSPRGNFLPSRTIGSDLTDGGSPIRDSPSSKRLLLGTGQSVEPAEARRNDEDGKISSDNVLAASPQSNKMAEKIFEQLNIIVPSPKEKLSLPQFAAGNASCSMSKQPVRQGNEPNGTSDPSSSQKFQPMDSVKHSLDPELNGSPSSKDKLRKDGSSKLLSHSFKDLGNKDIRSDNVALXXXXXXXXXXXXXXXXXXXRRSRKLNQFYSNKKVESNSVQKAVSSPVSEKPIASALKDARPLGLFSPNDPENRATHDVPSDNNNGFKFPHVPSGTLLESSVSQVPLASNKDDKLISASSSIFGLKQSSTPDSEQTNTADVKTEARLGESVTKPTTLDITNLEGGNERERTEDVHKSSDKVLPSAAPFHFASAASTTASLSNGFSLPSSSKLSNVTPIDKPAVSLAPSTVSTTFAPSSTSPPVSSPIPAIPTFNFGSSTSMVAATRSDSTNTVAKPASSLLFGTGDAIAEAKSTAQDTANKASSNLTSNDGIASTTSASTAPFTFSSSGNNTFGFNSPAQPAGFSTSVDGSTTQPSATSTIFGSKLPQSEGTMSQPSKSSPVQFSSPFQTVTTTTGASSSGSGSVAFGVGTASTGSGITSFGTGASSSGPSTVSFGLGASSSGTGALLFGAGASSSGTGALPFGAGASSSGTGALSFGAGAGTSSSGPGTVSFGAGTSSGPGTVSFGVTTSSSGSLFGNSPFGSGTTFSGPGSGFAFSSPSSSAGSSLTMASTSMFSTSSTASSSPAFSNPFGSSSSPPSMFTFGQSASSGGGFSFGAQSSPAFSSQAPVFSFTSASMNSSTPQPAFGMTNANTSFGMGSPGNDQMNVEDSMADDTNQAAPAPAPIFGSSPFGQPASSPAAPVFGAPAVPSAGVFQFGGQQGSMQQNAAFPPAGGSLEFQGGNFSLGSGGGGGDKSSRRVIKVKRNPKKR >ORGLA07G0260700.1 pep scaffold:AGI1.1:Oglab07_unplaced155:20020:23020:-1 gene:ORGLA07G0260700 transcript:ORGLA07G0260700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSISKNGFFQSVSTVLWKARQDGDDALPGANGAPDGGGQGRLPAPPPPTSDAPLAVQNKPPEHVKIVSTTDTASAEQDASKSSAGSDSGEAARTRPRVPPVKRVSSAGLLVGSVLKRKTESLKDKYSLGRKLGQGQFGTTYLCVERATGKEFACKSILKRKLVTDDDVEDVRREIQIMYHLAGHPNVISIRGAYEDAVAVHLVMELCAGGELFDRIVQKGHYTERKAAELARVIVGVVEVCHSMGVMHRDLKPENFLFADQTEEAALKTIDFGLSIFFRPGQVFTDVVGSPYYVAPEVLKKKYGQEADVWSAGVIIYILLCGVPPFWAENEQGIFEEVLHGRLDFQSEPWPSISEGAKDLVRRMLVRDPKKRLTAHEVLRHPWVQVGGLAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMIDTDNSGQITFEELKVGLKKVGANLQESEIYALMQAADVDNSGTIDYGEFIAATLHMNKIEREDHLFAAFQYFDKDGSGYITADELQLACEEFGLGDVQLEEMIREVDEDNDGRIDYNEFVAMMQKPTMGLPAKKSGGLQNSFSIGFREALRMS >ORGLA07G0260600.1 pep scaffold:AGI1.1:Oglab07_unplaced155:14753:17749:1 gene:ORGLA07G0260600 transcript:ORGLA07G0260600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVRGALVFFFLLLAGAAAETAPEEPTLPSAAADGAASSGTTSGTGAGTGINSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKHNVTIFAPRNEALERDLDPEFKRFLLEPRNLKSLQSLLLFHVLPARLPSGSWSAVSHPTLSGEEVELAAAANDGAMRVAHAAVTRPDAVLRPDGVIHGIERLLVPRSVQEDFNRRRSLADISAVLPTGAPEVDPRTHRLKKPAPPVPPGAPPVLPIWDAMAPGPSIAPAPAPGPGSGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGHGEGSAYLFDPDIYTDGRIAVQGIDAVLFPPDDTKTAPDTAPVRRAPAVTGTRKKNKLRRGKLLEATCQMAGILGQRLRFASCQ >ORGLA07G0260500.1 pep scaffold:AGI1.1:Oglab07_unplaced155:5122:7457:1 gene:ORGLA07G0260500 transcript:ORGLA07G0260500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPVCGALVCFFVLLVARAAAETAPEERPTLSSADVASDGNGNGARAGAGKAVGAGAVGGGIVSNSVLVALLDSRYTEMAELVEKALLLQTLEHAVAEHNVTVLAPRNEALERDIDPEFKRFLLEPRNLNSLQSLLLFHVLPARLPSGSWPAAATHPTLAGEVVELAAADGGGMRVAHAAVSRPDAVVRTDGVIHGIESLLVPRSVQDEFNRRRSLADISAMPPTAAPEVDPRTDRLKKPAPPGDSPVLPISDATVPDPSVALASEPSDHYDGDSQVKDFIQTLLKYGGYNELADILVNLTSLATELAQLVSEGYALTVLAPNDEAMARLTADQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGHGEGSAYLFDPDIFADGRISVQGIDAVLFPPVETKTKTKPATARIRTAPAMTGVSKIKHRRGKLLEAACQMVGILGQRSQFTRCQ >ORGLA07G0260400.1 pep scaffold:AGI1.1:Oglab07_unplaced155:867:3662:1 gene:ORGLA07G0260400 transcript:ORGLA07G0260400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKVKMESKLSSAAAFVEGGVQDACDDACSICLEAFWDNDPSTVTSCKHEYHLQCILEWCQRSSQCPMCWQPISMKDPMSQELLEAVEQERNIRANRLNTAAVFHHPVLGDFEVPVGADDAELEERIIQHLAAAAAMRRSHRHGRRDGHRSRSGSHSRPQIVVFSRNEAIPGGSLHASSGQDEDHEQSSGLGSAHPFAALAAIDQGHMSGGSQLYVGHSDQGASNPSLHDERAMSRTSENQSAHVNQDTAGPSDLQSFSDTLRSRLQSASMKYKDSITKSTRGWKERWFSRSNTISGLGTEVRREVNAGIAAVSRMMERLETKDDTGPSAVPAASACSPSDANNQRTVSPNHAAVVNETSSTTCASGSGSQ >ORGLA07G0260300.1 pep scaffold:AGI1.1:Oglab07_unplaced154:12075:13973:1 gene:ORGLA07G0260300 transcript:ORGLA07G0260300.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSDIPIDDVRKVCPACRGICNCRVCLLGDNVIKFEIGVDTKAYGLRTDIIRAKVNPDEQMCCDFCKVPVFDYHRHCPRCLYDLCLDCCRDIRRSRTSVARGEYAEGRAVDRSKDTSNKRARMEPSAESANDKSVPQRRDIKNIDIRSLFPTWRVNNDGSITCGPHEAGGCGSSKNSEEMVNGCKVHVLENGCSSCNDGRTLELTGHRNFGVSTCSNNGGIDRFCVFSPVLEDLKSEGIIHFRKHWIKREPVVIRNAFEPSLSSSWDPLNIWRGIQEIMDEEVDDDVIVKAVDCSNQAEVDIELKQFIKGYSDGHKGEDGELMMLKLKEWPPPSVLEEFLLCQRPEFIVNFPLVDFIHSRWGL >ORGLA07G0260200.1 pep scaffold:AGI1.1:Oglab07_unplaced152:74604:80652:1 gene:ORGLA07G0260200 transcript:ORGLA07G0260200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSAAAKRPAAEEDQEEEKAAAAPSAAAGEGAGVGRGRLPRRRPRRRRGEGRRSAARPWRRRWIAGRRMRRRRRRRGWRRRRMRRLWASAAGARRCRRLTRLGCRLXPAPWIGWRPSXGPARRYRIRPAINAALLLRIYKQSXRKXRIWRMAWPKSGCPRWPTGARSPGADXYLNMLRILPFLLMPRILLLVMELTITXDWKGHIPTHLFVDXSMLSEVLFLKSTSPKLFVXMESLLTRLIKLRYVEGMKLFSIHLXGMLMYPFYCLRLIQWVPYWLCLRLAPTGFDFYWTLVHNKIFNXVISNDXTIKYFAFESPXCDVRYLSNFQRRNQAHRHFPLLGVVFSRGSIRLSKILRIFFHLRRPKXHPFTLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMEDQFNSEENTPFAWCQLLKEDLKNATIDPSEISETFDSCPYYLSENTKSALHSSAYVNLHCKDYIKFTKDISSLSQRVLLSGPAGTDIYQQYLVKALAKHFGARLLTIDSSMLFGGKTTKESDSYKKGDRVRYIGSLQSTGIILDGESPPDFGSQGEICLPFEENRSSKVGVRFDEQIPGGIDLGGNCEVDHGLFCSVDSLCLDAPGWEIRSKHPFDVIIQFISEEIEHGPLVLFLKDTERICGNNDSYRALKSKLQYFPAGAFIIGSHVHPDDHKEKANASSLLLSKFPYSQAILDFAFQEIHDK >ORGLA07G0260100.1 pep scaffold:AGI1.1:Oglab07_unplaced152:64989:69485:1 gene:ORGLA07G0260100 transcript:ORGLA07G0260100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:I1QEQ9] MASASLLLRLPTPSTAPSSGASSSFLSLPPTLLRQARGGAASSSALVARAASGGSPNPLFNPRADPFLSTLAAASPEELQAAAAGGGRRGDDHLPFLEIFQNAKLMASPAQVERSSSSYSQHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAQLMYLEWMNSKEPVYIYINSTGTARDDGEPVGMESEGFAIYDAMMRMKTEIHTLCIGAAAGHACLVLAAGKKGKRYMFPHAKAMIQQPRIPSYGMMQASDVVIRAKEVVHNRNTLVRLLARHTGSPPEKIDKVMRGPFYMDSLKAKEFGVIDKILWRGQEKYMADMLSPEEWDKVAGVRRPDIM >ORGLA07G0260000.1 pep scaffold:AGI1.1:Oglab07_unplaced152:61262:61687:1 gene:ORGLA07G0260000 transcript:ORGLA07G0260000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRALPFVLLVAAAAAALVVVPATAATAEALVAHWGMRAAPCLEGTVEEECVADGEVGVVGLRRWRRRRRLFQLMDDEGGGDYGGGGAGAAAAAQYISYAALMRNSVPCSIPGASYYNCRPGADANPYTRGCSAITQCRD >ORGLA07G0259900.1 pep scaffold:AGI1.1:Oglab07_unplaced152:55109:58871:1 gene:ORGLA07G0259900 transcript:ORGLA07G0259900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSMSGGALRTFTRCVTCLARVGSDLVLQAHPAKLELHTLNSSRSAYASISLARDFFDEFSLSAAAAADSAPSSTPLQCSVLLKSVLSVLRTPTAALDRIAASLPNPDAPKLQLTLHCLNGVKKTYWIACSAESEVQTLALDRSNFSSRLAIRPRDLARLLSNFQSSLQELTVIATDPAAGLSNVGVDGEIEGKAVELRSYIDPTKDDCDTRLHTQLWIDPTEEFVEYVHSGDPVDVTFGVKELKAFLTFCEGCEVDILLFFQKAGEPVLLVPKFGLDNGSSSDFDATLVLATMLVSQLTDSSVAQQPTTSAQRAEEPRVAATPPPVPENVSNHTKIWSELSGSAPKSFEVNREKYTQKERNANSNALNDTSMLHSVNARYKPPVADNANDTMQPMQMDHLEEPPDVVSDIPRSQHHPSNWVGADEDDDDDEDEELFVQTTPHYMD >ORGLA07G0259800.1 pep scaffold:AGI1.1:Oglab07_unplaced152:53319:54253:-1 gene:ORGLA07G0259800 transcript:ORGLA07G0259800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S21 [Source:UniProtKB/TrEMBL;Acc:I1QEQ6] MQNEEGQMVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFIRAQGDADSALDRLWQKRKAEVKQQ >ORGLA07G0259700.1 pep scaffold:AGI1.1:Oglab07_unplaced152:50067:51873:1 gene:ORGLA07G0259700 transcript:ORGLA07G0259700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLQSEMASPRICCSALLVLLLVSSCNAGDHPACPAAWSAAVGAELFHGGVGGGGGGEAQCSAAAPHTPVAVFAHDVDPVRFALNLEFAEAEFFLHAAFGVGLDHLAPNLTLGGPPPVGARKAGLDELTWRVCAEFAYQEIGHLRAIQRTVGGIPRPLIDLSAHNFAKVMDEAVGYHLDPPFDPYANSLNFLLAVYVIPYLGINGYTGTNPLIDGYATKRLVAGLLAVESGQDAVVRGLLFERRRETVSPYGATVAELTDRVSALRNKLGQCGVKDEGLIVPEQLGAEGKICTNILSANVDSLSYSRTPAELLRILYLTGDEHVPGGFYPEGANGRIARMFLKKPPRINHGV >ORGLA07G0259600.1 pep scaffold:AGI1.1:Oglab07_unplaced152:25828:27162:1 gene:ORGLA07G0259600 transcript:ORGLA07G0259600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADAVSPAPATPAAAQQQLRTVVLRVSIHCLGCKKKVRKVLRSIEGVKDVKVDAAMHKVTVTGTVDGDTLVKRLYKSGKQAVPWQHPHVAPAPEAAKAIEAAPPQPEAAPAGDDDGGKGDAAAAKEAAQAESSEEKKTEEKPEAEKEAEKKEEEEEEAKPSDEAKKNAGGESEAAPEAKAKGDDVGAEPAKEAVPAAAVKEASNDDEGAKDEKSKPKDAAEAAPPAAATTTERSLHFSPPAAAPAHKQHEEHYPYPYYGAPQPVMSYHMAQPTTSVSYYAPRPEPAYSMQQHPPPPAYSAPPPPQQQQQYPPPSPQPQPQAMQQQWSPSYLYMPYPHSSPDTYYRDYYSPPGTTHAPPLQDEYRMFDDENPNACSVM >ORGLA07G0259500.1 pep scaffold:AGI1.1:ADWL01015388.1:7262:9208:-1 gene:ORGLA07G0259500 transcript:ORGLA07G0259500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIGDPSPSSRRSVKRRPPARSPELSPKAWGGEAPELIRRLEELEEAAARLRGEKEAAEEAARELQAELDAERASAETATSEAMLMIERLQREKAAAQMEARQFRRYAEGREDREREVQEELASLSDLAASYHSRLQSHGIDPDSFSDDGEEEQHDEEDGEEVEQIDTAALQTDGSSGGDSISGMEVKAMVDDDEEEQFTPVEKEFEYTVDVRCASSTTKVSGAVVVGEFMGEGNAAAGGLYARVEALEADRAAMRREIAALRAERAQLVMARAMARRLCREVVAEQKAKKVAASPRSFSVLGVCKWVLSIIFWRNRSSTARYTFGLSTTFLGFLLLLDRSTMLSPWRRLPSPRQ >ORGLA07G0259400.1 pep scaffold:AGI1.1:ADWL01015388.1:5136:5633:1 gene:ORGLA07G0259400 transcript:ORGLA07G0259400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTVAMPPSSSSSRRGGLRGPRPLPLKVSSSSRGSSPSSSASKGASKKAAAAPVIVYEHTPKVVHARPQEFMTVVQRLTGKPPAAPPLMMPAYDAPAMVEQGAAAACGAGGDPLLLTLGQHQAAAPAQPPAVPSPMMAAGMLLSPGFIFSPNTMQSIQELSPLF >ORGLA07G0259300.1 pep scaffold:AGI1.1:ADWL01015388.1:1:2501:-1 gene:ORGLA07G0259300 transcript:ORGLA07G0259300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCVGPSAAGQNGFFANVALWRPRPADAAPPALPPRSSAPSDQAPEPVTIPPSEHSSHHSSRSADPSTPTAAAEQPANKAAPKVKRVQSAGLLADSVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGREFACKSIAKRKLLTQEDVEDVRREIQIMHHLAGHANVVSIVGAYEDAVAVQLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIVGVIEACHSLGVMHRDLKPENFLFIHQKEDSPLKAIDFGLSIFFKPGETFTDVVGSPYYVAPEVLMKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSEPWPNISESAKDLVRKMLIRDPKKRLTAHEALCHPWVCVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVVIAESLSEEEIAGLKEMFKMLDTDNSGHITLEELKTGLQRVGA >ORGLA07G0259200.1 pep scaffold:AGI1.1:Oglab07_unplaced150:853026:856304:-1 gene:ORGLA07G0259200 transcript:ORGLA07G0259200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGYVYGGGILAVVLAVVVVTLPPPATAAPVAECDPGVANTNVTGNSAFDRNLGLLAAALAANASAAGAPGFAVRTAGAAPDQVYALALCRGDVNASACRACVAAAFVDAKSVCPGGISLYEDACLIRFTGQSFMDFLRPDKWQVSQMTWIPSQASGIVKVPEVGWFNAAVAKILAALVEHAWATTTGNNSTTTIKYFATGEESFNPKIYGFAQCVPAMSPEQCKECLRSLHDNAKNVYMGNSLRWVGVYSVWCRLMYSVRPFYGGRATLQLSPPPPPAVETPVEAGAGKKKSAAGVAAGLACSVLVLLILAVFAFVRFKRRTKAVEADHPLKKITRAQCMIFDLPTLQEATENFSENNKLGEGGFGSVYKGVLSDGQEVAVKKLLGTGGHGLDQLHNEVLLLAELQHKNLVRLHGFCLHQGETLLVYEYIKNGSLDNLLFDINRGNALNWEQQYNIILGIAKGILYLHEDSSLRIIHRDLKSNNILLGEDMEPKIADFGLARLLGEGHTHTRTTRVVGTFGYMAPEYAIDGNVSTKIDIFSFGVLVLEIVTRRRNCNSDDHDLVNLLSDVWNCWTKGTVSQMIDQSLHGYSQSQALRCIHIALLCVQSDPNDRPQISSVIFMLTRENMELHPPAQPAFFFGGGSASSSPSFGQRSYVYDRCGFDNISVNGVTLTEPYPR >ORGLA07G0259100.1 pep scaffold:AGI1.1:Oglab07_unplaced150:843569:845621:1 gene:ORGLA07G0259100 transcript:ORGLA07G0259100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALAHLLLAAALPLLFLSRADGGEVGVCYGRDGNNLIDPPSVVSLLKAKGITMVRIYDADPTVLNALANQNIKVMVAMSNRDLAAGAAKDFNSALSWVKNYVLPYYRSSQINGVAVGNEVFQQAPDLTSQLVSAMRNVQAALARLGLADAIKVSTPISFDSVKVSFPPSAGVFQDNIAQSVMSPMIDFLQQTNSYLMVNFYPYIAWANSNGQISRDYAVFGPNASPVVDQASGITYHSLFDAQLDAVYFAIDHVSGGSVRVSMAQARRGRPSPRIPVKCTECGHPSGGRLPQLSTLDDVQVDVATKANAQAFNNGLISRALFGATGMPDVSVYIFALFNENLKGGASVEQNFGLFYPDGTEVYQVDFHNGGGGNVCPTKASWCVANSAVGSTRLQAALDWACSNGADCGAIQPGKTCFAPNTLVAHASYAFNDYYQRKSQASGTCDFSGAAFIVYKPSPSICDPNPSWCIAKPEVGDTRLQNALDYACGSCADCSAIQRGAQCFDPDTKVAHATYAFNDYYQTAGRASGSCDFNGAATIVTQQPKIGNCVLPPNNS >ORGLA07G0259000.1 pep scaffold:AGI1.1:Oglab07_unplaced150:831912:832922:1 gene:ORGLA07G0259000 transcript:ORGLA07G0259000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYARFATARLAPGVPRLAALLPVLALLPFLPFAFASIHLRTISAFSLVWLCAFKLLLLAAGRGPLHPSLPLVRFAACAALPIKVVDDEKRKPTTSTSSSSRRLAPAFVLSYAAKAAVFAALVSARCYREGMPAYAVVAFDGAHVYLMLELFLASAAAAARVVLGAELEPQFDRPYLATSLADFWGRRWNLMVPAVLRPSVYLPVRARHGAAAGVAAAFLVSGLMHEVLFYYITLDPGCTTGEVTAFFALHGACVVAERWWLEEARRRAWRWRAPRRAVATAMTLAFVTGTGSWLFFAPVTRSGLDKAIVAECEGFMAFLEEAGWKAAAAARLLPS >ORGLA07G0258900.1 pep scaffold:AGI1.1:Oglab07_unplaced150:826591:830590:1 gene:ORGLA07G0258900 transcript:ORGLA07G0258900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGSLLLRVHAALLLLAALPALIAGQPWQICGDNGNYTANSTYQANLKQLAAALHKNVSSGTGRLFASGAVGAVPDAVYALALCRGDINATACADCVGTIFQDAQQLCPYRKEVSIVYDSCYLRFSNLDFLSSADNSGVVDLYNTGTVSGDVGRYDRAVTGLLNATARYAAGNTNASSRLFATGVMVGFDAQFPKIYAMAQCSPDLSPAQCGLCLGAMVARWWQTFEPNTQGARSVGARCNMRVELYSFYNVPSMLQLQAEAVSPSPSPAPAPAGKPPAVPGTTGGKRRNGADKVLAIVMPIVAAILAVTVIGFCFWRRRRPEKAPPPGPLRSASRSEDFESIESLFLDLSTLRIATDNFSENNKLGEGGFGVVYKGSLPHGEEIAVKRLSQSSVQGMGELKNELVLVAKLQHKNLVRLVGVCLEEHERMLVYEYMPNRSLDTILFDAEKSSLLDWGRRLKIINGVARGMQYLHEDSQLKIVHRDLKASNVLLDSDYNPKISDFGLARLFGGDQTQDVTNRVVGTYGYMAPEYAMRGHYSVKSDVFSFGVLVLEIVTGRRNSGSYYSEQSGDLLSIIWEHWTMGTIMEMVDRSMGERAAGGEIARCIHVGLLCVQENPASRPAMSAVNVMLSSGTVSLKAPSRPAFYIRKGGGDDGGGTGSYSGSFVGTLPSSGRSAPMSPNEVSITELEPR >ORGLA07G0258800.1 pep scaffold:AGI1.1:Oglab07_unplaced150:814247:816833:1 gene:ORGLA07G0258800 transcript:ORGLA07G0258800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDDAAPAATKISISGAALAALLQRCAMADGDCDGLLSGRASTTPAPPPSLSDYDDHAPAKSTPAISLSISGHSSLSRPSSLSDPLGRFHPSAAGPASIGFFSSRRRTALRPSMRELSLAHSLSKSLALAHPLLFLLVSPSSPNLSTHSYDHRAFLLIGSRLVPTSLHVVNVGPVFRDQYHSFAPDSPMPWLPLAQHSSSSAAAAGDAHTIGEQNAVDGVVDGFGLGKLQGILGSAAGQAAEMDGMYAGMLRRLEKLAREVEKSNLLVLKQENRNLLLRFRYAGLE >ORGLA07G0258700.1 pep scaffold:AGI1.1:Oglab07_unplaced150:806907:811316:1 gene:ORGLA07G0258700 transcript:ORGLA07G0258700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKKKTSWSQIVKNGRPTNMSVTTRNLRPQDLGAVIFGCTNNTIAECHSRQLFGLPRTHLSYVQNIKEGLPLFLFNYDDRKLYGIYEAASNGKFCPESNAWSQDGKGKTSYPAQVAMRIKVWCVPLAESQFRGAILANYYQRMPGAPGQKLHFFQFELDHAQTRALMDMFTPSPSTNNGWSPPVAQLSDEHVRSSWAPVYAGNNGLKSEKIVKSYADVVNNNKFEQVRTGDVVHVDAEHASSGNEHVNGFDDLDCGDTTPESEEYALSGKEVEVQQQRQQQQQEQSGQQDKLSFKGVLEKLKMLSVQQRKSTFYANATETESIDAYSCKDVQDDLPENLDSEVDQFSWGHSKLLMHSLDSESCTEVKLVDIVKELYERIAIMEKKQAWSNKELKYLQGVNERLLKRVVELKGTVKTLNSKIDPLTLDDSLSQFVEQCLGSEDVIYLVGGFDGFSHLPSLDSFSPSLDVLTPLKSMAVGKSYASTVALDGKIFVLGGGDGVCWFDTVDCYDRRRDDWTTCPSFTHDKGSLAAVSFNGKIYAYGGGDGNECFSDVEVFDPAYGKWIKNQSMLDKRFALAGVELNGAIYAVGGFNGVQYLSSAERLDPREPSWKRLPKLSTGKGCHTLAVLDDKIFSIGGYDAEAKTMVATVELYEPRMPSWVMAEPMNYNRGYHSSAVLGGSIFAFGGVKGEGDAILDVVERYKEGCGWVTTESRSIGRRCYCSAIVL >ORGLA07G0258600.1 pep scaffold:AGI1.1:Oglab07_unplaced150:797454:802466:-1 gene:ORGLA07G0258600 transcript:ORGLA07G0258600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY family transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G26640) TAIR;Acc:AT4G26640] MADSPNPSSGDHPAGVGGSPEKQPPVDRRVAALAAGAAGAGARYKAMSPARLPISREPCLTIPAGFSPSALLESPVLLTNFKVEPSPTTGTLSMAAIMNKSANPDILPSPRDKTSGSTHEDGGSRDFEFKPHLNSSSQSTASAINDPKKHETSMKNESLNTAPSSDDMMIDNIPLCSRESTLAVNVSSAPSQLVGMVGLTDSSPAEVGTSELHQMNSSGNAMQESQPESVAEKSAEDGYNWRKYGQKHVKGSENPRSYYKCTHPNCDVKKLLERSLDGQITEVVYKGRHNHPKPQPNRRLSAGAVPPIQGEERYDGVATTDDKSSNVLSILGNAVHTAGMIEPVPGSASDDDNDAGGGRPYPGDDAVEDDDLESKRRKMESAAIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNTGCPVRKHVERASHDPKSVITTYEGKHNHEVPASRNASHEMSTPPMKPVVHPINSNMQGLGGMMRACEPRTFPNQYSQAAESDTISLDLGVGISPNHSDATNQLQSSVSDQMQYQMQPMGSVYSNMGLPAMAMPTMAGNAASSIYGSREEKPSEGFTFKATPMDHSANLCYSTAGNLVMGP >ORGLA07G0258500.1 pep scaffold:AGI1.1:Oglab07_unplaced150:795036:796670:1 gene:ORGLA07G0258500 transcript:ORGLA07G0258500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPTSRMIHPFSNIPSQNLKQFQYSDNPQHPCHPYRAPSDTHVVPHHYGLKSHSPDAGYESQATPNKYTLDSSEGAGCMRHDSPSSQSFTTRSGSPLSQEDSHSDSTDGSPVGASCVTEDPNDLKQKLKDLEAVMLGPDSEIVNSLENSVANQLSLEPEKWVRMMGIPRGNLKELLIACARAVEEKNSFAIDMMIPELRKIVSVSGEPLERLGAYMVEGLVARLASSGISIYKALKCKEPKSSDLLSYMHFLYEACPYFKFGYMSANGAIAEAVKGEDRIHIIDFHISQGAQWISLLQALAARPGGPPTVRITGIDDSVSAYARGGGLELVGRRLSHIASLCKVPFEFHPLAISGSKVEAAHLGVIPGEALAVNFTLELHHIPDESVSTANHRDRLLRMVKSLSPKVLTLVEMESNTNTAPFPQRFAETLDYYTAIFESIDLTLPRDDRERINMEQHCLAREIVNLIACEGEERAERYEPFGKWKARLTMAGFRPSPLSSLVNATIRTLLQSYSDNYKLAERDGALYLGWKSRPLVVSSAWH >ORGLA07G0258400.1 pep scaffold:AGI1.1:Oglab07_unplaced150:771415:773928:-1 gene:ORGLA07G0258400 transcript:ORGLA07G0258400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKCSVLLNRAREFEPSRANGGYILSTSSYPQIRQYAASPDEHLRSLPSLLPPPPGQELPLAYLRAQRQSSGNYRGIQAQRRPLIDQTGALQSSFPESICLKEELQSLSMPRNSPNAGRNLVGHPHSSSKSSSKPCHFHFFRGYCKKGVNCQFFHGSVPELHNPRQVHPFASLSKLDMEIRELLIGIPPPVAVDRLPSMYFEKYGKPLRPDGWLTESQQHGRTGCSLTSLLMGLNTIRVVEREHGQYHVVLVEDARKKYMDCLGLAHSCNLMDTGTGSNQIYMTFPVHSKFTDDDVENYFKYCLNFIVTRQFGPVSGVRIPYQEKRMFGFVSFLYTETVRLILSKGTAHFICGSRVLVKRYMEKPELRXQHEKKSHRSDDLDEASAYEDSDEIILPDSLGLY >ORGLA07G0258300.1 pep scaffold:AGI1.1:Oglab07_unplaced150:766880:769175:1 gene:ORGLA07G0258300 transcript:ORGLA07G0258300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT5G55580) TAIR;Acc:AT5G55580] MAPPPPLAALRPAPFPLPRLLPCPASAAARRGAVAFSLQTNVRLLKPNRRSRRSRYPYYDHDEDEDDDEAEFEFEEGEEEEEDGYETDDDLSGLEYPGVLYSNNPRAPIKKPGQEKPALKQNWEGRQPKTRDRCDTSKKVDALHAKSKASRSTGLVDIDNEVELKNESISRSLFQKLQEEYDFDDKWLPLIDYLCTFGLKESHFTNMYERHMACFQISQASAEERLEFLLSVGVKSKDMKRMLVRQPQILEYTLSNLKSHVAFLVGIGVPSARIGQIISAAPSFFSYSVEQSLKPTIRYLIEEVGIEESDVGKVVQLSPQILVQRIDSAWKSRFLFLSKELGAPKDNIVKNGHKTPTAASLQY >ORGLA07G0258200.1 pep scaffold:AGI1.1:Oglab07_unplaced150:752008:754496:-1 gene:ORGLA07G0258200 transcript:ORGLA07G0258200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLSDRKVARFEKNVTKRGSVPETVKKGNDYPVGPIVLGFFVFVVVGSSLFQIIRTAQNAGYF >ORGLA07G0258100.1 pep scaffold:AGI1.1:Oglab07_unplaced150:749654:750211:1 gene:ORGLA07G0258100 transcript:ORGLA07G0258100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSDGMPASRNARCTYRNMDPMPDACWNALATSALTMLRRTGSVGLASSSSQSARSSLHRVRHAGVATVSSASQPTSCGSPSSVFVSRRRSSASSSDNVLERTRRAWSLVKSHCGNSGMRRTAKPSNAGMAVPVATVSRQATRTGRFKNLGEKQRPPRMQPRHTKPSGCEVRPRCSDDGLSSPA >ORGLA07G0258000.1 pep scaffold:AGI1.1:Oglab07_unplaced150:745934:746903:1 gene:ORGLA07G0258000 transcript:ORGLA07G0258000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRARTLTGDHLRSSSSSNQAPSWGAKRARSGSALRDRSLPDSEWRDWSSIGNGPAWLISERVLAGDDVADYADLPELRGHRVFGPTAEGLLVLLDEATFAVRLLNPLTRQLTDLPPANTVLDPTSLMISPVHYLLHVLTVHGAGLADGSATVVVYFENARKLAAAKPGDERWTVVEPDASFASVSSFAGRFYCATRHAIRPVIVEVRTTDHRLPPQLVVVAKLAGVPSSPRNL >ORGLA07G0257900.1 pep scaffold:AGI1.1:Oglab07_unplaced150:741574:743221:-1 gene:ORGLA07G0257900 transcript:ORGLA07G0257900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPKKKNASYAFTCAILASMASIILGYDIGVMSGASLYIKKDLKITDVQVEILMGILNIYSLVGSFAAGRTADWIGRRFTVVFAAAFFFAGALLMGFSGDYATLMVGRFVAGVGVGYAIMIAPVYTAEISPASSRGFLTSFPEVSINLGILLGYVSNYAFARLPLSLGWRVMLGVGAAPSVLLALMVLGMPESPRWLVMKGRLADAKAVLEKIADTPEEASERLADIKAAAGIPDDLDGDVVTVSKKRGGEEGQVWRELVVSPTPAMRRIVLAAVGLHFFQQASGVDSVVLYSPRVFQSAGITGDDQLLGTTCAVGVAKTVFILVAAFLLDRAGRRPLLLTSTGGMVVSLVGLATGLTVVGRSPDAQVPSWAVGLCVASILAYVAFFSVGLGPMSGLYTSEIFPLRARALGFAVAVACNRVTSGVISMTFLSLSSAITIGGSFFLYAAISSLAWVFFFTRLPETRGQTLEEIGKVFGMDDTAMEAEDSAAYRERLLATSP >ORGLA07G0257800.1 pep scaffold:AGI1.1:Oglab07_unplaced150:738844:740755:1 gene:ORGLA07G0257800 transcript:ORGLA07G0257800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTSNSRRLCNGRGQVMPGPTLPEAVKMGPHAIRIVVGGLGEGELSTATTVHGPERASSSSAPPEMASAALPEAVAPKKKGNVRFAFACAILASMTSILLGYDIGVMSGASLYIKKDFNISDGKVEVLMGILNLYSLIGSFAAGRTSDWIGRRYTIVFAAVIFFAGAFLMGFAVNYAMLMFGRFVAGIGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNYAFSRLPLNLGWRIMLGIGAAPSVLLALMVLGMPESPRWLVMKGRLADAKVVLEKTSDTAEEAAERLADIKAAAGIPEELDGDVVTVPKRGSGNEKRVWKELILSPTPAMRRILLSGIGIHFFQQASGIDSVVLYSPRVFKSAGITDDNHLLGTTCAVGVTKTLFILVATFFLDRVGRRPLLLSSTGGMILSLIGLGAGLTVVGQHPDAKIPWAIGLSIASTLAYVAFFSIGLGPITWVYSSEIFPLQVRALGCSLGVAANRVTSGVISMTFLSLSKAITIGGSFFLYSGIAALAWVFFYTYLPETRGRTLEEMSKLFGDTAAASESDEPAKEKKKVEMAATN >ORGLA07G0257700.1 pep scaffold:AGI1.1:Oglab07_unplaced150:719010:719313:1 gene:ORGLA07G0257700 transcript:ORGLA07G0257700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLIVVQVWDHDLDVCRQQEKVAREDGYRFCHPIAISVSSVSVSSPNKSGTLDSEAATKSRIICMHPATLHG >ORGLA07G0257600.1 pep scaffold:AGI1.1:Oglab07_unplaced150:716536:717475:1 gene:ORGLA07G0257600 transcript:ORGLA07G0257600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGEKQQQQQMFASYVDASLLAASGEVQGERPRARRRRRRGARCVGGGGGGGEVDGGDPKKRRLSDEQVEMLELSFREERKLETGRKVHLASELGLDPKQVAVWFQNRRARHKSKLLEEEFSKLKHAHDAAILHKCHLENEVLRLKERLVVAEEEVRRLRSTAGSHTASGEGGDIMGLGGSGACVAGSPSSSFSTGTCQPPSFGGGGGGGDHLGDDDLVYVPEYGGYADNSVVEWFSLYGLI >ORGLA07G0257500.1 pep scaffold:AGI1.1:Oglab07_unplaced150:697778:700106:1 gene:ORGLA07G0257500 transcript:ORGLA07G0257500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNPTGTEGEPGPAVEPAPAGAGAAPVVKKKRNLPGTPDPDAEVIALSPGTLLATNRFVCEVCGKGFQRDQNLQLHRRGHNLPWRLRQRGPGAAPPRRRVYVCPEPGCVHHNPTRALGDLTGIKKHFCRKHGEKRWTCQRCGKRYAVQADLKAHTKTCGTREYRCDCGTLFTRRDSFVTHRAFCGALVEETGRVLAVPAPPSPRPPDLEAEENVDKDKEEEVKEKEKEKELEENEDSPVAEVDEPQPSQAVAEVPQQCAPSPPSPPPILQEHPQPVVAVVPNVDEQEVVAKPAVIAKIEVEDERDEEVCFQEADRYKDAELEDSNLLDNDTPMLPCFLPSPSEAIGTDGSSTSCGTGSSVTNAIAPATTTSTFAGLFASVTTSSTPQSRSLRDLIGVDPTFLCLAIGAPSSLFPQTNASDPCSFAPPPAPHMSATALLQKAAEVGASQSSSSFLKEFGLAASTSSSPPSKLSQGRFTTGNTPTTSHPHPHPHPHPHPPQGRFMDNVPQPPLPAKLPHRMFTDNSVQQWHHRSNQQMEMEPGPMLPGGLGLGLTYDSGNSGLPDLMMGPSALYGPKPATLDFLGLGIGGTMGGSTANGGLPALMVGGELDMGSAQAPWEEAKRKTNGRTIL >ORGLA07G0257400.1 pep scaffold:AGI1.1:Oglab07_unplaced150:684217:691932:1 gene:ORGLA07G0257400 transcript:ORGLA07G0257400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGQPNSAAAADKTQNSSVPPVEGVAGGGTSYGWVDGGLQASSLGNGAIDPTKIHSADLLHVWSMPSTANVSQQEAPRPLEHVNLLAARNERESFQIALRPKVSWATSGIAGSVQVQCTDLCSSAGDRLVVGQSVTLRRVVPMLGVPDALVPIDPLNSQINLLPGETSAIWVSLNVPCGQQPGLYEGEIFISAVRAEAESRGESLTKSERYQLYKELRNCIDITEPRDYSSSEEMVQRLTSASTTLRRMLALPSFQDCQENNGLGDMMDEDIMNNVAVRLKLSLTVWDFTLPLTPSLPAVFGISETVIEDRFCLEHGTKGWYDALDHHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKAKEYYSDPRLAAYAVPYAPILSSTDAAKNSLRREVEILKSEAHWSKSYFYLWDEPLNMEQYDVICSISNELRSYASDVRILTTYYCGPSGSELAPSTFEAFVKVPNVLRPHTQIFCTSEWVLGTREDLVKDIVAELRPDLGEEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERILSGMQDIEYLKLYSSRYGREEGLALLEKTGVYLGPDRYALDHGPIDVMRGEVYRTCRS >ORGLA07G0257300.1 pep scaffold:AGI1.1:Oglab07_unplaced150:681227:681730:1 gene:ORGLA07G0257300 transcript:ORGLA07G0257300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuvB-like helicase [Source:UniProtKB/TrEMBL;Acc:I1QEN1] SPHADIEYFSFLNRALENDVTPILVIATNRGITSIRGINYQSLHGIPPDFLNRLLIITTQPYTEDDIPKVLDIRCDEEDVEMSTAYAKVLLTKIGVETALRYAIHLITSAALAWQKHMGKIVEMEDISRVYQLFLDVKRSAQYLMEYQSQYMFNEVPGEGGDNAMQS >ORGLA07G0257200.1 pep scaffold:AGI1.1:Oglab07_unplaced150:669114:672123:-1 gene:ORGLA07G0257200 transcript:ORGLA07G0257200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKPPAVSDVGAWAMNVVSSVGIIMANKQLMSSSGYAFSFATTLTGFHFTVTALVGWISNATGYSVSKHVPLWELVWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCLMEWVLNSKHYTTKVISAVVVVAAGVGICTVTDVEVNAKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAVSLIILGPFADYYLNGRWLLNYNFSTGATFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTVKNILGMLLAVMGMVVYSWAVETEKKASAPIPRNKSDMLDDSEDVPLKARVSGLPSSDLEEGEMKS >ORGLA07G0257100.1 pep scaffold:AGI1.1:Oglab07_unplaced150:664678:666036:-1 gene:ORGLA07G0257100 transcript:ORGLA07G0257100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHPLAASRVRISPLIPAAAMAGTAGAAAASYAQHRRRFCAIVATAAASPVPAAAAAAATGFDFNAYMGEKAAAVNRALDASIPADEPPAALHEAMRYALLAGGKRVRPALCLAACAVVGGREAWAMPAAAAVEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVYGEPIAVLTGDALLSLSFHHMARFDSYPPDIDADKHPARVVRAIGELARCIGSEGLVAGQVVDLEMTGSTETVPLERLEYIHLHKTAALLEASVVIGAILGGGSDEQIESLRMYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLEKSREFAEKLLSDAREQLSGFDQETAAPLLHLANYIAYRQN >ORGLA07G0257000.1 pep scaffold:AGI1.1:Oglab07_unplaced150:661035:661262:-1 gene:ORGLA07G0257000 transcript:ORGLA07G0257000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGSCRASGGCGWRWRSAEAAVRQLRLHGVGIGGAGGGATSGQLDDSGGAGAGCGAVAALLDTGAGGGEAAGRLEA >ORGLA07G0256900.1 pep scaffold:AGI1.1:Oglab07_unplaced150:660561:661004:-1 gene:ORGLA07G0256900 transcript:ORGLA07G0256900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WWAARWRRSGGFGEAAIKPGGRVDRAGGEVVFLVVGQANPVWGTPPLLCGELLCRLEAVVSLQGKLRLPRQCCSLSGLPWAGFDGDAGVQRGGGGLGQRAGEGGCCGSLVIGRTGSRPEEGRRSGVAEAMCRRCLVGGFGFGDGPWR >ORGLA07G0256800.1 pep scaffold:AGI1.1:Oglab07_unplaced150:654313:657510:-1 gene:ORGLA07G0256800 transcript:ORGLA07G0256800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKVVANLQILGLCLLHLCLAASAAAYYPDMLLETSFIPRDYARYADVARRCRSALASADELSPFDPVGAGVLARDLSFANGDWGQDAGRAPLMPSQGGDSPFLRLATFAVTHIDTDALRRRRPAMSAVNVSGVLSFTITRNCCCSSEYAVPHRQVSPEFKFLPGASRLTILFEGVYTETRSPGNDDDIGGGERVLCMVGNGVLPMRGGDSADPWAWARNAGDGSFEPPVMADGNMVLMLRYPKVHTLTTRAVRGELTSTSAASHNAYFDAVRLVSRIGQYSSYLFRPEHGELAANGCSTSTTRPFVCDDGVEGNCAGDLHGGASFCDILTELSPGDHGVLAVVPNWNCNSTDEFCSRLGPFQTGGGATNTTDRMLTGFAIAMQDLRCEPHGGEKPAARVSAVFRAVSPWEDQQLAVRRTGLGGATLSAEGVWRASTGQLCMTGCLGVIDAAAVGDEACHYRVSLHVPTTFSIRRRSIIVGQITAGDGSHFPLSFHQSVPPKHPWNRFGRSEASLRVAYDYTKVENAGELLRRSEPSGFRSSSIAKALVSYPRQAGAAAAAADEMMSLSDLADDLSLHFQPGPRLPFLPEQKVWPQWPVLHLDMLSVGPLVGSYSPPLRTLPSTPVARAEIDGGVEQQQHQLLNVSAVLSLSGKMFGWSPVMSLEGVYNQEDGRMYLIGCRNVEAPWRIVSTSRDLEDGMDCSIELRTTPISYRGGRRDAPPDTLTEQTIEGLVCIAMLSGTIAAAVGQLRYIASHPDVAPYVSLVALGVQAVGYTATLVTDAKMLPAWPTYNYRMYVGHLHWNMDSTVKALTLAALLLTLRLAQKVRRSRARASARSPAEPGRVPSDGAVLLRSSGVYLAGLVFVLAVHAVATHTSSTSKQEVFFVEQKAAAASHAPPSCMRTRGAVVERYVGLVKEWFLLPQVIGNAVWRVNCKPLRNAYYGGVTAVWMLPHVYRYLRPPEVYIYRPEVQDDAMAFYAKATDVVVPVVAVALALLIYVQQRWNYKIVGWSLLRTEQTKVQHVY >ORGLA07G0256700.1 pep scaffold:AGI1.1:Oglab07_unplaced150:649319:652411:-1 gene:ORGLA07G0256700 transcript:ORGLA07G0256700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNLLFLPEFLLYTCMMASSCFAYQFNPSEEAEHSYLRFADVERQCRSVLTSASELADNAYRVKRVKRELSFEKGDWRQDAGTDPLVPFDGGDAAEDGRRPPLDPLRLATFVVTHVDDDDERRARNAVNVSGLLVLTISRTSASPEIGYHVPVVSSPVFELLPGSTKLRIVFEGVYTEAARSGNGGGERVLCMVGAGVLPTRGADGADPWGWAKNSGRAGFQPPVATDESMLLVLRYPKELTLTTRAVVGEMRSTRAMSDAAYFDTVKLVSGSTWNRQYEFRRPEELAAAAGTCRPLTSSDDGGNRARDLYKGRHLCDVLERYIHGVITARPTWRHCNSTATGAPCPFEMDRAEDAAIVGIVLHDLRCLGYDLDMAGNPGGVKVSVVFRALSPREHWYTAVQRTALSGATLSAEGVWNASAGEVSMVACRGIGGKACHFRVCLSFPATFSITGRDMMLGEITTVDVNETGGGARSSLSFRQRMPPPRLQRCVSGILPVVYRYNYTKVKLAGEFLRRNSSPSDLREIIARSLPLSYPNCGGNGDGKRSLADLADRLTLRFTAMPSLFSPPGWMERPVLHLEVFFLGQLIERFMPASDDATTRSSAIPGDEPCXQEQRLLNVSAELTIFGDLRVASSAMSLEGVYDREDGRMYLIGCRDVHHLPWRSSSARRELELKEGMDCSIEVKVEYPPPTTHWFVRSTARVQIASTRVAGDDPLHFDTVKLRAQPVRYPRRWPDFVSRAIVDSVLCVVLLMATIPAALCQLHHLKHHADVAPYVSLVMLGVQALGLVMPLFAGMEALLARVTLQPELDTTRPLPPPGSSYMLDYNPPYQAVDRTAKILAVAAFLLTLCIAWKVRRSRARLLARSSGEAARVPSDGKVFVYCSSAHLALFVVVLALNSSRDATVEQHVGLMQDMFLLPQVIGNAAWRVNCKPLAGSFYVGITAARLLPRAYDLVRPTPVADVFSDDVHASETASAISREGFFPRAGDVVMPLAAVSLAGAVFVQQRWNYAIVSRMGNSSQQQKLHHIF >ORGLA07G0256600.1 pep scaffold:AGI1.1:Oglab07_unplaced150:640026:641062:-1 gene:ORGLA07G0256600 transcript:ORGLA07G0256600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAAAAAAAAGRTPTWKERENNKRRERRRRAIAAKIFTGLRALGNYNLPKHCDNNEVLKALCREAGWVVEDDGTTYRKGCKPPPSSAGGASVGMSPCSSTQLLSAPSSSFPSPVPSYHASPASSSFPSPSRIDNPSASCLLPFLRGLPNLPPLRVSSSAPVTPPLSSPTASRPPKIRKPDWDVDPFRHPFFAVSAPASPTRGRRLEHPDTIPECDESDVSTVDSGRWISFQMATTAPTSPTYNLVNPGASTSNSMEIEGTAGRGGAEFEFDKGRVTPWEGERIHEVAAEELELTLGVGAK >ORGLA07G0256500.1 pep scaffold:AGI1.1:Oglab07_unplaced150:635727:636454:-1 gene:ORGLA07G0256500 transcript:ORGLA07G0256500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEKPLPAEEIQGINDEAEPHPPSRNKDFLHNEEFQRVMRDVVVGPDYVPGGYALRILTDPATAFEELLEYYRKAGLIEGQVXKRYNIFEEVEGLDNSQYEIKMEEEPTKVEEAVRCRGRDATPDCPNEVKKRRLMDSDREAVPCNLPQECLSNSWYAAV >ORGLA07G0256400.1 pep scaffold:AGI1.1:Oglab07_unplaced150:633406:633973:-1 gene:ORGLA07G0256400 transcript:ORGLA07G0256400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEKPLPAEEIQGINDEAEPHPPRRKRNDDLLQDEEFQRVVRDIVIGPDNVPGGGHALRIIRDPATAFEELLECYRKAGLLEGQVWKRCNIFKGLEGLDNLQDEVKMEETVKEEEEEATGCRGRDASPDRPDELAKKRRLDGP >ORGLA07G0256300.1 pep scaffold:AGI1.1:Oglab07_unplaced150:614396:614734:1 gene:ORGLA07G0256300 transcript:ORGLA07G0256300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTGGCLPMGLATTPRTDVGRGQDLGGGGRRAAWICSAIDFIDMEGGVAFIDNAMDGAEGAVDVDALDDDLGVVDQARRLTRPIFFTALVKICEGLKMCFTRIRRVAWI >ORGLA07G0256200.1 pep scaffold:AGI1.1:Oglab07_unplaced150:613036:613314:-1 gene:ORGLA07G0256200 transcript:ORGLA07G0256200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTCLPWCSWHLAAASADNSGGGHGGGVGLTAWWWRATAQRFAEAEAGVGGSGDCGRGCGGGGDAGGCGSLATTTGGGEGVGCEMRMAVAR >ORGLA07G0256100.1 pep scaffold:AGI1.1:Oglab07_unplaced150:611061:611691:-1 gene:ORGLA07G0256100 transcript:ORGLA07G0256100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTAEDQKQWKVVEVQRINAESHPPPRRDDRCDDLRNDEEFQRVMDNVVFGRGYDPTRDDLRISTDPTAMVELIEYYHKMGLIEGEWVAYSFLEGVDGVELEDKTAMDEKPVIEEDDPLVEKEFFLPVGNPLYFRFCNRE >ORGLA07G0256000.1 pep scaffold:AGI1.1:Oglab07_unplaced150:605364:606017:1 gene:ORGLA07G0256000 transcript:ORGLA07G0256000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISLACFADWALRGLDYGGGSSDLSMLVGSSGGGRRTVGDGGGEAPKLENFLDGNLFSDVHGQAAGGYLYSGSTVNGAGGYSNGGCGGGTIELSMIKTWLWSNQPQPQPSPPQHADQDMSTDASASSYACSDVLVGSCNGGGGGAGGTASSHGQSLALSMSTWSVASTAGGSVVVAAESSSSENRRVDSPGGAVPRKSIDTFGQRTSIYRAVRDFH >ORGLA07G0255900.1 pep scaffold:AGI1.1:Oglab07_unplaced150:603028:603690:-1 gene:ORGLA07G0255900 transcript:ORGLA07G0255900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRRSYAIHRTPALPRIVSNSSSVATAEDAHRGGNYKQRPICRGDERLPMPPLHSKRRRDEHHRRDYHYDDDDYAYRASKKKKQQAAAEQAARMPNNRTTRPTTRRASSSRSRAKEESHARGGGAAPRRENKDRKDGAGGRSSQRRAPTISGPRVPPPAPPPPAALPDSLTAQDALSAAAARAREISLMREEARRELAKMVRTVEFNDPYISPMDALKP >ORGLA07G0255800.1 pep scaffold:AGI1.1:Oglab07_unplaced150:596451:602195:-1 gene:ORGLA07G0255800 transcript:ORGLA07G0255800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G23330) TAIR;Acc:AT3G23330] MKMMSMAQTMRKTSVVVLQEEEDLTSSATHRAPAPPPREVVRVRLRLTPAPVATAEDAARGGKMPPPPPLSCEQEEACVEQEGRGGPCPLLLRRGATPDDQELQRRRRALAGERAGAVTVAGEAGLLDGARHAERGHRRGPFGHGQAEGGLAVEGGGPRRELAKMPTKAGTSCPEPEPEGPVASHAQPLNPEGLSSPTAVARRGRRARSGGQGYGAGCSGAGGSGRVQRGRSTAAEPLLRAAARRRLGVDAAWRLQQARARLGRLEAWPPSRPVQFYIHYWLLRNLVAITRKSIKRQLLALKVKISSHRSHSNCYIMSLAAVASPHFPPSWAYQIRMAASQGQFLHAISLFLQMRASVAPRSSVPASLPAALKSCAGLGLCTLAASLHALAIRSGSFADRFTANALLNLCIKLPGFHHPFGTNGPSGEGGLESAAYESMRKVFDEMLKRDAVSWNTLILGCAEHKRHQEALSMVREMWRDGFMPDTFTLSTVLPIFAECADIKRGMVVHGYAIKNGFDNDVFVGSSLIDMYANCTQMDYSMKVFDSFSDCDAVLWNSMLAGYAQNGSVEEALGIFRRMLQAGVRPVPVTFSSLIPAFGNLSLLRLGKQLHAYLIRARFNDNIFISSSLIDMYCKCGNVDIARRVFNGIQSPDIVSWTAMIMGYALHGPTTEAFVLFERMELGNVKPNHITFLAVLTACSHAGLVDNGWKYFNSMSNQYGFVPSLEHCAALADTLGRAGDLDEAYNFISEMKIKPTSSVWSTLLRACRVHKNTVLAEEVAKKIFELEPKSMGSHVILSNMYSASGRWNEAAQLRKSMRIKGMKKEPACSWIEVKNKLHVFIAHDKSHPWYDRIIDALNVYSEQMIRQGYVPNMEDVLQDIEEEQKREGQPPLDASAIYNSSLRHFEISDSKFPLHSISLSYDNLAWMLALVEISADLWSIRSALQGTEEIGCLVYFPCVIKLFVLEE >ORGLA07G0255700.1 pep scaffold:AGI1.1:Oglab07_unplaced150:593927:594952:-1 gene:ORGLA07G0255700 transcript:ORGLA07G0255700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPPPPPPRPPFKVRIRIKPARAARAEEDAGKQEERLPMDHPLSTTTTKRRPEGEESSGTASQPAPERVNPSGTTTPAARAAASCCVNEEALASSSHAGGRDDGASAIKKCKDGEGRQEDAKNAQESSGMTSPAVHSARATSPAPPPSRTKKETPVRAHAAVRDDDATPPRAIKSCKNGERGQEKDAESAQGCARTRSPPVRSARATTPAASPSRSKKETPVRAHAAVRGDDATPPRAIKKCKSDERRQEKDGESAGQCATTSSPRVQPSPTPSPAPAARPDPSAAENSLREAIERARPHMRRDIARQREAARREIASMVRTVEFNDPFISPEDVNKP >ORGLA07G0255600.1 pep scaffold:AGI1.1:Oglab07_unplaced150:590071:593273:1 gene:ORGLA07G0255600 transcript:ORGLA07G0255600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cycloligase [Source:Projected from Arabidopsis thaliana (AT5G13050) TAIR;Acc:AT5G13050] MIKNGAASLMVRLLHLPRAASAPTYPRRRHHLALLPSLRLRVPAPAAAAAMSSAAAAQEVADQKRALRSEVRRALRALTPEQRASEDQAIQNAILNSSWFKESKRLCAYISCAQLREVDTSKVLSEVLSPNSEHGHAKDLYVPRVEDKNRNMRMLKITTMDDLVKNSMNILEPSPLDASGNAREEVLSTSSPVDLFLLPGQAFDRTGRRLGRGGGYYDTFLMRYQELAKEKGWDQPLLVALSYSVQILEEGIIPVNSTDVPIDALVSSSGIIPISPAALARI >ORGLA07G0255500.1 pep scaffold:AGI1.1:Oglab07_unplaced150:578428:578649:1 gene:ORGLA07G0255500 transcript:ORGLA07G0255500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFGTIDYVKFLSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA07G0255400.1 pep scaffold:AGI1.1:Oglab07_unplaced150:574978:575820:-1 gene:ORGLA07G0255400 transcript:ORGLA07G0255400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDVSSPLNIGLTDPEWMCTSMILRFLLFCSVLDLLLQGKNRIGESAGETAPTRALLRFGPKRLSGHRSAAACGPSGHTARPRPSTRVLKTSHGLWPVEVAN >ORGLA07G0255300.1 pep scaffold:AGI1.1:Oglab07_unplaced150:572210:574522:1 gene:ORGLA07G0255300 transcript:ORGLA07G0255300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSKPLQCLALFLLLAQLAHSAFIPKPKNRTEHKPDQLSNTYIVHVNHLLKPSRFATLEHWYISMVATHSPRAATNATAAAAAVAGRILYTYDTVMHGFAVRLAADEARSLSRGAPGVTAVHQARMYYPQTTRSPGFIGLDPEYGLWRDTEFGDGVIIGVIDSGIWPESPSFNDSGLAAVRRSWKGGCVGLGARLCNNKLVGAKDFSAAEYGGASSPRDDVGHGTHVASTAAGSEVHGAGLFMFARGTARGVAPKARIAMYKCGGNWGCSDAAIIAGIDAAVKDGVDIISISLGGFPIPFYEDSLAIATFGAQREGVFVALAGGNSGPRPYTVTNVAPWMTTVGAGAVDRLFPANLTLGNGEVLVGQSLYTKMATGTTMAPLVLLDSCDEWSLSPDVVMGKIVVCLAGVYEGMLLQNAGGAGLVSMQGEEWHGDGVVADAFTLPALTLSYSKAEKLMDYFESAASPVASFSFACETVTGENRAPTAVGFSSRGPNRVVPELLKPDVLAPGLNILAAWPRDIPVSMLNMDTRRSEFNILSGTSMACPHAAGVAALIKKRHGDWTPAMIRSAMMTTAATLDNTGRDITDEGVQEAANATFTSATPLAAGAGHVRPQLAVDPGLVYDAGVEDYVEFLCSLNYTVEQLRVFVPDTAGCAPALPGGGPANLNYPSFVVAFNGSTRVRTLTRTVTKVYEKPETYSVAVSAPAGVKVTVRPATLEFKEKNEEKSYTVEFTSVAGGHVNQSWDVGHISWENRKHQVRSPVVFMWN >ORGLA07G0255200.1 pep scaffold:AGI1.1:Oglab07_unplaced150:565571:570479:1 gene:ORGLA07G0255200 transcript:ORGLA07G0255200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIMDNQSSIEELDEPLGRVPILSYGSGHMLNDITSSCWFTYLLVFLTDIGLSPSDAAVVMLSGQLADGFATIFVGELIDRFGHFKLWHAGGSILVAISFSSVFGSCLPCKLTGTISSTMETVGYSTFAAIFNVGWAVTQVSHMSMVNCMTSNPTSRVALVSCRNAFTMIANLSLYGIALLIFSLRQSVSVIVQYRWIAYVSIALGSCFVVVFLIGTEEPGLNQHCQNKRLSRISWTHWFKKVLYYQVALVYMFTRLVTNVSQALLAFYVINDLEMPQSSKALVPAIIYVCSLIVSVILQETRWSSWRLKNYFSAGAMLWILSGVGIVVLPSGMHNFMYALSITIGVANALMTVTSISMEGILVGEDLNGCAFVYGSLSFVDKVSCGLALYILESYQGSTNTRQNLELAFGYSVTRLGLGLVPAACSLLSAIIAYTMDLPDTRRRPLVEPLLA >ORGLA07G0255100.1 pep scaffold:AGI1.1:Oglab07_unplaced150:554702:555763:1 gene:ORGLA07G0255100 transcript:ORGLA07G0255100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LANLGEGPAGLIAERVLANDVADYIRFRSVCQPWRRCCAAPPADDALDRRFHPRXWLILPEKPRIRYHCRLLNLSTGECILAHLPEFRGHRVFSPSTEGLVLLLHESTHVARLLNPLTHQLTDLPPVTTLLDLLLPLCDLSVDGFGLADDRTVVIHNTVFLAVAKPGDKCWTAVNLTDCLRPSMSFAGRFYGVTSDAIMVVEVSRESQTPQLVEAADLTLQHRFSRMLGSAHLVDNNIGELLLVHRTLSGNKRLYQAYRVDLDGRKTVPVRGLGGRAVFIGHDCSLSVSPATFPSIVGDAVYPGFDCGDRTGLEHIEAYHQLADGTIEHSCYEDPGKEWEHPVSIADYLSSWV >ORGLA07G0255000.1 pep scaffold:AGI1.1:Oglab07_unplaced150:548986:550949:-1 gene:ORGLA07G0255000 transcript:ORGLA07G0255000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLHGSGPLRSRNASSSDEIQLRIDPVHGDLDEEIDGLHSRVRLLKGVAQEINAEAKFQNDFLSQLQMTLIKAQAGVKHNMRRMNKSIIQQGSNHVVHVVLFALFCFFVVYLLSKFSRR >ORGLA07G0254900.1 pep scaffold:AGI1.1:Oglab07_unplaced150:543961:547767:-1 gene:ORGLA07G0254900 transcript:ORGLA07G0254900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSFAWVDERRGGVGGGGGGRTAARSRQGGIGQSPHHAYPEHDVAASATASAGAEAEVSNDVRGEATAQRERGPYEAPCEQYTSFRFGLADDRTVVIHNTSFLAVAKPGDKCWTAVDLSDHLRPIMSFAGRFYGVTTHQRCHHGGGGQPGEPDAAAGGGRRDLTLQHRFSRMLGSAHLVDNNGELLLVHRTLSGDKRLYQAYRVDLDGRKTVPVRGLGGRAVFIGHDCSLSVSPATFPPSLVTPSTRASAVGIELAGSTSKPMITWQMEPLNTHSMKTAKRIGNTL >ORGLA07G0254800.1 pep scaffold:AGI1.1:Oglab07_unplaced150:538527:542758:1 gene:ORGLA07G0254800 transcript:ORGLA07G0254800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate--CoA ligase [ADP-forming] subunit alpha, mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1QEK6] ASARRASQLLGSAASRLLHARGFAAAAAAAPSPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTTMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALNKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFVDDPQTEGIVLIGEIGGTAEEDAAAFIQESKTQKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALREAGVTVVESPAKIGSTMFEIFKQRGMLE >ORGLA07G0254700.1 pep scaffold:AGI1.1:Oglab07_unplaced150:535601:536902:1 gene:ORGLA07G0254700 transcript:ORGLA07G0254700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I light harvesting complex gene 2 [Source:Projected from Arabidopsis thaliana (AT3G61470) TAIR;Acc:AT3G61470] MALVSASSSTTAVAALPGAARASSFLGGAGRSGRLLLRQAESSSARASFAVRAAAPDRPIWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPESLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQQYFTDTTTLFIIELILIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGTGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFQAFTPK >ORGLA07G0254600.1 pep scaffold:AGI1.1:Oglab07_unplaced150:530583:531986:1 gene:ORGLA07G0254600 transcript:ORGLA07G0254600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATECDVNKSRRFDLGMSRRTRRSTSLITCYQNQQVQPLVQQLRQDAKLKTLFQCQDIELQPPCPYEDQELRILQAPLQCEVDAQETLNQHRDEQEEKLHHYLDEEHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDQDEEKKTPKQYLDEDQKTLQQCQDEEKAPNQYEDEDNTTGQYQDGEQKTAEQCEEEKTSEKYHDEEHKSLEAQQQCQDTKQKAQEQRKTVKKPITPPFADDVPRFSLQDLIQEKQLLIVGEAKATSKLGNGEKAIIADHKLPVPPAAGGATLAMVIKRPDGGKKSMGVIRRCVKALNQMVKAKHGSKKNKPF >ORGLA07G0254500.1 pep scaffold:AGI1.1:Oglab07_unplaced150:526984:529737:1 gene:ORGLA07G0254500 transcript:ORGLA07G0254500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme family protein [Source:Projected from Arabidopsis thaliana (AT3G13550) TAIR;Acc:AT3G13550] MSSSSSSFPTSRSPFPAGAGAGTSGGGGGGGGGSGGGGGGGGWSGVRPWGSSGGTSVSSSGKRIQKELMDLNASDCSAGPKGDNLYHWLSTIIGPQGSPYEGGIFFLDIVFPIDYPFKPPMVTFKTRIYHCNVDSTGNLSMDILREGWSPALTISKVLLAIKAIITNPDPYCPLVPSIGRLYLTDRTKHDEIAAEWTMRFAR >ORGLA07G0254400.1 pep scaffold:AGI1.1:Oglab07_unplaced150:523114:525857:1 gene:ORGLA07G0254400 transcript:ORGLA07G0254400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT3G13560) TAIR;Acc:AT3G13560] MLEHRNMWPASLKGVVILLMLMVFNVSGAFVGINVGTDISNPPSASDIVSILKEKKIQHVRLLDSDHQMLSALANTGIEVVVGVPNDQLLRVGQSRSTAADWINKNVAAYIPATNITHIAVGNEVLTTEPNAALVLVPALQFLQSALLAANLNTQVKISSPHSTDMISKPFPPSTATFNSTWSSIMLQYLQFLNNTASPFMLNAQPYYDYVKGQGVFPLEYALFRSLNPDSQISDPNTNLFYTNMFDAMVDATYNSMQAMNFTGIPVMVTASGWPSHGGQNEKAANVDNALAYNTNLIRHVLNNSGTPGQPNNQVSTFIFELFNEDLRAGPVSEKNWGIMFPNATTVYSLTFEDMATTNTDSPVLRGTFCVANSSAPHSALKQSLDWACGPGSANCSAIQPGQPCYKSDDIVAVASYAFNDYYHRTRASGGTCNFNSTAMVTSTDPSHGSCIFAGSTGSNGSSGGAASGPVSPDNFATQIHSCWLTHLITLLSIMVFM >ORGLA07G0254300.1 pep scaffold:AGI1.1:Oglab07_unplaced150:507307:508133:-1 gene:ORGLA07G0254300 transcript:ORGLA07G0254300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLSTVAVVAWVLASAALWAGLHWRFRRPALHKAEEALVCICEERARMLQDQFAVSVNHVHALAILVVTFHYDKHPPALDQDTFAVYVARTSFERPLLSGVAYAQRVVHADRESFERQQGWIIKTMKHEPSPAQDEYAPVIYSQPPRRPSPTSRKRRGES >ORGLA07G0254200.1 pep scaffold:AGI1.1:Oglab07_unplaced150:505444:506411:1 gene:ORGLA07G0254200 transcript:ORGLA07G0254200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHEEEHEESSLQAAEAELSSARIELESIKEEGLRFTTSIERTRRETARVTDEIRRLTEQEKAASAQLEDDAEAAEKEKTLTETENRRAMSDAENIDAEIAAAEQRIRESERHEFTIPRFEYEYLTGRAEVVHAVAEMKAAAAEAWTEARRTSEEIAMRAEAIERELGEASAADAEVTNTPRRPVEARGSGSAATTRMEKSRRMSSSSKPRAPSSNVKRKRRVLTLNCLKLLAGKCRGQN >ORGLA07G0254100.1 pep scaffold:AGI1.1:Oglab07_unplaced150:502487:503812:-1 gene:ORGLA07G0254100 transcript:ORGLA07G0254100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKSETKTRGGLTAWFALTSVYKSEQFKSMAIAYTSPTAAILCEDAFQSMYAQMVCGLCQRHDVVRFGAVFAAALVRAIRFLQLNWGQLAADIEAGELGPHVADPSVREAVSGILRPDAELAEFVRIECSKGDWAGIITRIWPNTKYVDAIVTGAMAQYIRTLQYYSGGLPIVSTSYASSECFFGINLRPVCDPSEVSYTIMPNMAYFEFLPVGEVADATNLVDLARVEVGREYEVVITTYAGLSRYRVGDVLRVTGFHNAAPQFRFVRRQSVLLSVELDKTDEAELHRAVERASSALLRPRGVSVAEYTSRACTERIPGHYVVYWELLTESPVGAGDGDTVDGETLGRCCLEMEEALSAVYRQGRVADGSIGPLEIRIVRPGTFEEVMDLAVSRGTSIGQYKVPRCVTVPSVVELLDSRVVSSQFSPALPHWIPTPRSD >ORGLA07G0254000.1 pep scaffold:AGI1.1:Oglab07_unplaced150:499477:500274:-1 gene:ORGLA07G0254000 transcript:ORGLA07G0254000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSGSTLLPLPLAPSLSISPSNDGESGPGMARYRVDGDGGTATTRQVDIDCIHGTTTSKRAAGKDKAAMQECHASPPPVNYFKNKADYNAAAASASAGAINYK >ORGLA07G0253900.1 pep scaffold:AGI1.1:Oglab07_unplaced150:490936:495848:1 gene:ORGLA07G0253900 transcript:ORGLA07G0253900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGISTIGAASRSLSSSLMAAAKEPDVENLRLIEELTSNVDAVQERVLAEILGRNADAEYLDKCGLDASDTDRATFRAKVPVASYDDLKPYVKRIANGDRSPILSTHPIIEFLTSSGTSAGERKLMPIVTDEMARREVLSSLATSVLNVYVPGLHTGKGLYFLFARSETKTPGGLTAQPALTSVYKSEHFKRRAYAYTSPIWRPSSARTRHRACTRRCCAACASATTCCAXAPCSPLRSSGLSASSSSTGLSSRRTSRPESSTPASPTRLTESAPGATGPALSRAFGQKPSV >ORGLA07G0253800.1 pep scaffold:AGI1.1:Oglab07_unplaced150:478445:484800:1 gene:ORGLA07G0253800 transcript:ORGLA07G0253800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homogentisate prenyltransferase [Source:Projected from Arabidopsis thaliana (AT3G11945) TAIR;Acc:AT3G11945] MASLASPPLPCRAAATASRSGRPAPRLLGPPPPPASPLLSSASALFPRAPCNAARWSRRDAVRVCSQAGAAGPAPLSKTLSDLKDSCWRFLRPHTIRGTALGSIALVARALIENPQLINWWLVFKAFYGLVALICGNGYIVGINQIYDIRIDKVNKPYLPIAAGDLSVQTAWLLVVLFAAAGFSIVVTNFGPFITSLYCLGLFLGTIYSVPPFRLKRYPVAAFLIIATVRGFLLNFGVYYATRAALGLTFQWSSPVAFITCFVTLFALVIAITKDLPDVEGDRKYQISTLATKLGVRNIAFLGSGLLIANYVAAIAVAFLMPQAFRRTVMVPVHAALAVGIIFQTWVLEQAKYTKDAISQYYRFIWNLFYAEYIFFPLI >ORGLA07G0253700.1 pep scaffold:AGI1.1:Oglab07_unplaced150:474939:477467:1 gene:ORGLA07G0253700 transcript:ORGLA07G0253700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAALPIETAFSLPAPLPSWPSSVSDGGFAKGSIDLGGLEVRQVTTFAKVWSTGQDGGGATFFRPEQVPAGFSALGHYAQRNDRPLFGHVLVARDVSGGGLLAPPLDYAPVWSSQDGAAHFWLPTPPDGYRAIGVAVTASPDKPPRDEVACVRADFTDACEAEATVWDKDGFSAVALRPAVRGVDARGVHAGTFVLARSDATAASASALACLKNNGAAYTSCMPDLAQVNALLAAYAPQLFLHPDEPYLPSSVTWFFQNGALLYQKGSQTPTPVAADGSNLPQGGGNDGGYWLDLPVDNSQRERVKKGDLAGAKVYVQAKPMLGATATDLAVWFFYPFNGPARAKVGPLTIPLGKIGEHVGDWEHVTLRVSNFSGELLRMYFSQHSAGAWVDAPQLEYLDGGNRPSAYSSLHGHALYPRAGLVLQGDARLGVGIRNDCDRGSRLDTGGAGRCEVVSAEYLGGGGGGVAEPTWLLFDREWGPREEYDIGREINRVAKLLPRSTRERLRKLVESVFVGEGPTGPRMKGSWRNDEREAK >ORGLA07G0253600.1 pep scaffold:AGI1.1:Oglab07_unplaced150:469210:472098:1 gene:ORGLA07G0253600 transcript:ORGLA07G0253600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19850) TAIR;Acc:AT5G19850] MATSGAAARPPVATTLSARRGTAPSATAAAASCPASSARRTTWRPRARLSSGNAARARARLLRVEASSMADPVEESLPAAPHTATDAPLETQPQVRTSMWNWKGYNIRYQYAGTSGPALVLIHGFGANSDHWRKNIPILALKNRVYAIDLIGYGYSDKPNPRELGESFYTFETWGEQLNTFCSEVIKSEAFFICNSIGGLVGLQAAAMEPQKCKGIFLLNISLRMLHISKQPWFGRPFIKSFQSLLRNTVIGKLFFSAVATPESVKNILCQCYHDTSAVTDELVQFILQPGLDPGAVDVFLEFICYSGGPLPEELLPRVKCPVLVAWGEKDPWEPVELGRAYASFDTVEDFVVLPNVGHCPQDEAPDLVNPLVESFVTRHS >ORGLA07G0253500.1 pep scaffold:AGI1.1:Oglab07_unplaced150:465502:467953:-1 gene:ORGLA07G0253500 transcript:ORGLA07G0253500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSKTMLVVFINIVFIHCLSVNIGAAVANPAASDGRFVHHGFTAEDLTMDGLAAVTPTGLLALTNATYQTKAHAFHPAPLRFLNTSSSAAATVRSFSTSFVFAIVSDDPRFRNNVDHGLAFVVSPTKNLSTANAGQYLGLLSMADDGKPSNHVFAVELDIITNPEFGDIDSNHVGVDVNSLRSLQAKTAGYYVDGDGAFRSLQLNSQKPMQVWVDYDGQAKQLNVTLSPVQVPKPKKPLLSQAIDLSTVMAEEMYVGFSSATGVVFTHHYVLGWSFSFDGGAAPSLDFSMLPKVPRVGPTRRSVMLYVVLPIASALLFLVAFVLGVFFFVRRWHRQYAEVREDWEVEFGPHRFTYKDLFHATQGFTDKNLLGAGGFGSVYKGVLPVSNTEIAVKRVSHNSRQGMREFIAEVVSIGRIRHRNIVRLLGYCRRKGELLLVYDYKTNGSLDKCLHDNATSTTLCWPKRIHIIKGVASALSYLHKDWEQVVIHRDVKASNVLLDSEMNGLLGDFGLSRLRDHGADAKTTYVVGTMGYIAPELMHTGKATPLTDVFAFGVFLLEVTCGRRPIGESDSNEILLIDWVLKHFLSGSILDVVDPRLAGRFSFEEVNLVLKLGLMCSHPLPKARPSMDRVVKYLDGMLPAPELSPTHMSYNMMELMLQNGVGSHSLPVWSSLLDSSVGTMSSASVLSDGR >ORGLA07G0253400.1 pep scaffold:AGI1.1:Oglab07_unplaced150:459083:461098:-1 gene:ORGLA07G0253400 transcript:ORGLA07G0253400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREPFHCVTLLLLLLAAADRCAAATGGSGGLQFAYDGFSGANLTLDGAATVTASGLLMLTNGSIQMKGHAFHPSPLPLRAARSFSTTFVFAIFGQYADFSSHGLAFFVSASADAFAAALPGQFLGLFNGTGATTGNRSAAGVFAVEFDTLFNAEFHDLNSNHVGVDVNSLTSVKAADAGYYDDVTGQFRNLTMISRKPMQAWVDYDGGSTEVTVAMAPLGTARPKKPLLRTNVDLSDVATGAAHVGFASATGILFSRHFVLGWSFAVDGPAPPLNISSLPPLPRAWPKPRSKVLEIVLPIASAALVAAVAMAVYAMARRRLRYAELREEWETAFGPHRFSYKDLFHATKGFSDKNLLGTGGFGSVYRGVLRKPDMEVAVKRVSHESRQGMKEFVAEVASIGRLRHRNLVQLLGYCRRKGELLLVYDYMPKGSLDKYLYNGSKHPLSWPQRFHIIRGVASGLLYLHEDWEHVVIHRDVKASNVLLDGEMNGRLGDFGLARLYDHGAVAQTTHVVGTMGYLAPELGHTGKATPSTDVFAFGAFLLEVTCGRRPIVQDEHGNRAVLVDWVTEQWSKGALVNVVDARIPSGFDPDEVSLVLKLGLLCSHPLPNARPTMRQVAQYLDGDMALPDLSPTYLSFTSLERMYKEFNRNSISYISSASMGAISDISGGR >ORGLA07G0253300.1 pep scaffold:AGI1.1:Oglab07_unplaced150:455086:457179:-1 gene:ORGLA07G0253300 transcript:ORGLA07G0253300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMIAKFSAHFVLLLVFSLSNDVVVVSAAGGDGQFTYQGFAAANLTVDGLATVTASGLLVLTNFTYQAKAHAFHPAPLRFLGESSTAAAGANASGGAGADVARSFSTAFVFAIVSGYDGLSDHGLAFVVAPTANLSAANAGQYLGFLNATNGTASGQILAVELDTIMNPEFHDISSNHVGIDANSLMSTQARPAGYYGDGDGAFRELRLNSRQPMQVWVDYDGQAKQLNVTLSPVQVPKPKKPLLSQAIDLSTVMAEEMYVGFSSATGVVNTHHYVLGWSFGFDGPAPPLDLSKLPRLPRFGPKPRSKVLDIVLPLATALLVAAVLAAVFFVVWRRRRFAEVREDWEDEFGPHRFAYKDLFRATDGFKDRNLLGVGGFGRVYRGVLPESNLEIAVKRVSHDSRQGIREFVAEVVSIGRLRHRNLVQLLGYCRRKNELLLVYDYMANGSLDKYLHERNVTTLFWPERLWIIKGVASGLLYLHEDWEQVVIHRDIKASNVLLDSAMNGRLGDFGLARLYDHGTDPKTTHVVGTMGYLAPELVRTGKASPLTDVFAFGVFLLEVTCGRRPIETDEHDKRVVLVDLVLEHHRNGSIVGAADPRLTGKFDVEEVALVLKLGLLCSHPLPGARPSMRNVMQYLERGGKSAPDLSPSYVSYSMMAIMQNEGFDSFIMSGGPRLATSIGTVSGASSMTIMSEGR >ORGLA07G0253200.1 pep scaffold:AGI1.1:Oglab07_unplaced150:448526:452819:-1 gene:ORGLA07G0253200 transcript:ORGLA07G0253200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNGGFVALLLLLSFLLSSPLPARCDAPLPVNVWPKPTSMSWAEPHMAVRVSSSFHVVAPSGNAHLLSAARRYAALLLAERYRPLVTPAVNVTAGGAGAGAAGRGAELGYLTLAVSDLHAPLQHGVDESYALEILPAGAAATVTAATAWGAMRGLETFSQLAWWCGRERAVLVAAGVRVEDRPLYPHRGLMLDTGRTYFPVADILRTIDAMAANKMNVFHWHITDSQSFPLELPSEPALAEKGSYGDGMRYTVDDVKLIVDFAMNRGVRVVPEIDTPGHTASWAGAYPELVSCAGEFWLPDASDWPSRLAAEPGAGQLNPLEPKTYQVMSNVINDVTSLFPDGFYHAGGDEVTPGCWNADPSIQRYLARGGTLSRLLEKFVGAAHPLIVSRNRTAVYWEDVLLDQAVNVTASAIPPETTILQTWNNGGNNTRLIVRAGYRAIVSSASFYYLDCGHGDFAGNDSAYDDPRSDYGTSGGSWCGPYKTWQRVYDYDVAGGLTAEEARLVVGGEVAMWTEQVDAAVLDGRVWPRASAMAEALWSGNRDATGRKRYAEATDRLTDWRHRTVGRGVRAEPIQPLWCRNRPGMCNLVRQRRSATGVGDSGGAAEAEDGGGRSTGLGDSGGGAARRRWKTAAAARRASETAAAGPCDGRRRQRWPGGSCSKTTTAPGGRRIGQGQHTPTMAMVNEVVTMRLAAMDAGGTMGV >ORGLA07G0253100.1 pep scaffold:AGI1.1:Oglab07_unplaced150:435124:446105:-1 gene:ORGLA07G0253100 transcript:ORGLA07G0253100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDDQAAAAALLGGDPAAFDALLSTLMSSSNADRAAAEAAFHRLRGSHPEPLALRLASSLSSPATPADLRAMAAVLLRKLLSPTPSSDASSAAAAPVWPHLSPAGQAALKQHLLSALQSDPPKPIAKKVCDAISELAALLLPENAWAELLPFLFRAASGPEAPNLQESALLIFARLADYIAESLLDHLMTIHNLLASALAHLTSPDVRIAALSAAVNLVQCLPTNADRDKMQDLLPAMMRALTDCLNSGQEASAQEALELLVELAGAEPRFLRRQIADVVGAMLQIAEAAQLEDGTRHLAVEFVITLAEARERAPGMMRRLPQFVGRLFAVLMQMLLDVEDDPAWHTAETEDEGAGEGNNYGVAQECLDRLAIAIGGNAIVPIASELLPQYLSAPEWQKHHAALITLAQIAEGCAKVMLKNLEQVVSMILNGFQHPHARVRWAAINAIGQLSTDLGPDLQVNYHQQVLPALANAMDDFQNPRVQAHAASAILNFSENCTPEILTPYLDGIVTKLLVLLQNGKQMVQEGALTALASVADSSQEHFKKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQVMEVLMSLQGTTMENDDPITSYMLQAWARLCKCLGQDFLPYMNVVMPPLLQSAQLKPDVTITSAESDDDIESDDDSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRRAAVAAMPELLRSAKLAVEKGLAQGRDESYVKQLSDYIIPALVEALHKEPETEMCSSMLDSLNECMQLSGRLLDENQVRAVSDEIKNVIIASATRKRERSERSKAEDFDADEGELLKEENEQEEEVFDQVGECLGTLIKTFKASFLPFFDELSVYITPMLGKDKTAEERRIAICIFDDIAEQCRESALKYYDTYLPFLLEASNDENSDVRQAAVYGVGVCAEFGGHVFRPLVGEALSKLNNVITHPEAKHADNIMAYDNAVSALGKICQFHRDGIDAAQVIPAWLGCLPIKDDKIEAKVVHDQLSSMVERSDADILGPHSQYLPKIVSIFAEVLCNGTELATDETTKRMIWFWDVLHQRLLESAKTKYSKDTQKLAFELETFYGVCEILRASFLLSLRFVLDLGFERFPRRRQRKKRLHGXGMKRRXDRPVDD >ORGLA07G0253000.1 pep scaffold:AGI1.1:Oglab07_unplaced150:432135:433082:-1 gene:ORGLA07G0253000 transcript:ORGLA07G0253000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNFQVQPPVFQLQDYCYYYSQEVAAAASPAAKPTKPRGRKKGSTSHSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETADAAARAYDEAARLLRGAEARTNFAPRISPDCPLAVRIRGILHHKKLKKARSAAAATAGSPGAASKKRSTTAAAAAATPTITTTSNSNSDGAGSACGGSSSSSSSTDSCDGAVKQGGGGGGAPTDASEVYRPDFVHAGAEEFDSWMFDTAFGPFPELDSFAAVDAVTPPPATASPEESSAGTPPVEMAEFERIKVERRISASLYAMNGLQEYFDKVFDASACDPFWDFSPLCH >ORGLA07G0252900.1 pep scaffold:AGI1.1:Oglab07_unplaced150:429143:429370:-1 gene:ORGLA07G0252900 transcript:ORGLA07G0252900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYPIWRLEPLDLRLICDEEPVERVGVRESPHEKVFERLSRDGAISTVAATVTVTVTAAASVSLDVAWVSDLIN >ORGLA07G0252800.1 pep scaffold:AGI1.1:Oglab07_unplaced150:424716:427734:1 gene:ORGLA07G0252800 transcript:ORGLA07G0252800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:I1QEI6] MREIISIHIGQAGIQVGNACWELYCLEHGIEPDGTMPSDTTVGVAHDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGSYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDENDDGEDY >ORGLA07G0252700.1 pep scaffold:AGI1.1:Oglab07_unplaced150:414651:415190:-1 gene:ORGLA07G0252700 transcript:ORGLA07G0252700.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDGGDRRRRRIRARHRRRRRRRNHPRAAGARSCRWLQREVPRRELLRRRRSRLASRRDAFDNDDEVDDAVANASEGSGDADNDEEVEMVLVEDEQGGGGDHRWQQHVVGVLCSVGLTAATAAGLALLLGCGGGGGGGRPKPAVAVNFRASANYKVQMRSSYHESSMTVTKLRAFDNVIR >ORGLA07G0252600.1 pep scaffold:AGI1.1:Oglab07_unplaced150:412749:413746:1 gene:ORGLA07G0252600 transcript:ORGLA07G0252600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSATRGEADSPSPEVEPSVLVTLRVKDSEGVRITRTMRRTDKLRDLTDFYLAMVVPAAVAQGHVCRPVGVFMHYGRRVTGYETPADYDMDDGDEVSFFPDRVMSLPVTLTVKDSKGRTVTRTMRRIEKLNVLFDLYHAMFRVPWPQASVTRTMHRTDKLQDLIDFYDAMVPADKCCDGECQVVYGGKTVEGEKTPADCEMEDGDQIKRMSSMFVTVTVGFVSLGKNIEHAYTLRRTDKLQGLMDLCSSMMPSRYKHGCRFLFDGRFVLGSQTPDDLAQEDVDMIDLTCY >ORGLA07G0252500.1 pep scaffold:AGI1.1:Oglab07_unplaced150:408665:409651:1 gene:ORGLA07G0252500 transcript:ORGLA07G0252500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKATREDDADDSSSPEVEASSLITLRVKDSEGVRITRTMRTTDALDDLMDFYLGMVPADMDAAEGVFMHYCRRVTGDRTPADYDMEDGDEVSFFPDGTWTTPVTLTVTDNNGRRVTHTMRRLHILDILFDLYFAMLPSTAPREGVFIYHCRELSPKQTPEECNMKDGDEIAFSPFSKPSAFVTLTIRGNNNNGGGSVVVTRTMLRTQERLQDLIDLYFAMVSTDDERGEFDVTCCGRKVDIEKTPADYGMEDGDQLRLAPATERSRFVTINLVTMVGVKRAYTLRRTDELQGLMDLCLSREPASMYQNGCIFLYNGRRRVQGSETPD >ORGLA07G0252400.1 pep scaffold:AGI1.1:Oglab07_unplaced150:407798:408172:1 gene:ORGLA07G0252400 transcript:ORGLA07G0252400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRSGITAAVKVEEEDDGKSPAAKRAGEYVTLKVQDTDGRAVYRTMRRTEQLQGLVDFYYDRAHGRVQRGTGRFLYDGRRLSGWQTPAELDMEDVDEVDFFEELIGGAACSGWAPAPSSMLAS >ORGLA07G0252300.1 pep scaffold:AGI1.1:Oglab07_unplaced150:397210:397602:1 gene:ORGLA07G0252300 transcript:ORGLA07G0252300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGWSGIPAAVKVEKENEWKTPATWEWKAPATRVAGEYVTLKVQGTDGRAVYRTMLRTEQLQGLMDFYYDRSHGRVQRGTGRFLFDGRRLRGWQTPAELQMEDGDEVNFFEELIGGAAGSGWDPPSSILA >ORGLA07G0252200.1 pep scaffold:AGI1.1:Oglab07_unplaced150:396214:396546:1 gene:ORGLA07G0252200 transcript:ORGLA07G0252200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSPRAEEDAKETVKPIFITLKVMDQEDRRIRHTIRMADKLQVVMDMYYTKAPEVTYGTGTFLFDGIRLKGDMTPMGLEMVDGDTVDFFPVMIGGGGFFQCNLLPSSH >ORGLA07G0252100.1 pep scaffold:AGI1.1:Oglab07_unplaced150:391287:393179:-1 gene:ORGLA07G0252100 transcript:ORGLA07G0252100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSHHCCWFLLLLLLLAAWCVRGEAEVANGGHQDLPPLLSFKSYNPAAAALESWVGGDPCSGAWIGVRCSRGRVVGVFLDNASLVGGVAPLLGLARLGVLAVRRNSLSGRLPPLDNSTSPRLRHLLVSHNQLTGGLRVSLPSLVTLTAEHNGFHGDLRALSIPMVRSFNVSRNMLDGEISGDLSRFPSSSFGGNLGLCGLPLPRCVHAYNALGDSVGQSPSAAMEEASSGGSNGGLSKLSVTALMATGIGNAALMVISVAISVAMFVYMRRKLRSWKGASDAALSFEEEDKVRNREEKGQKSNGGGLVCFDGGEELRLESLLKASAEVLGKGVSGSTYKAVLEDGIVVAVKRLSALQFPGRSKAFDRHMRLAGRLRHRHVVSLRGYCNSNGERLLVYDYLPNGSLQSLLHGSNGGGGGGRSLDWAARKAILFGAAQGLNYIHTFPARPALVHANVKPSNILLDEHGAACVSECGVMRYAANIQQSIPQPPRCPPGLFLDRAAAAAGGGGWHGYAAPELASGAGAAGASATQESDVYSFGMVLLEVVTADNAGDGNGGGGGGDGGEDETMGWVKIGMLCTAEAPEERPRMAQVLAMMGEFM >ORGLA07G0252000.1 pep scaffold:AGI1.1:Oglab07_unplaced150:385511:385954:1 gene:ORGLA07G0252000 transcript:ORGLA07G0252000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRMKALAVAAIAASLVASAAAAHAPAPAPASDAAPAFKEHEYMVTLV >ORGLA07G0251900.1 pep scaffold:AGI1.1:Oglab07_unplaced150:376782:381169:-1 gene:ORGLA07G0251900 transcript:ORGLA07G0251900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN binding [Source:Projected from Arabidopsis thaliana (AT3G03890) TAIR;Acc:AT3G03890] MKPLLLTPAARLAAAAPSTLSRLLRLQSGRHHAHASSSSNRLLPPLLRPPRDGFRGGRLISSSSSSSSSQMAAPADAPGGSADAFEVIRAHQEKAARLPPVEEIRTILDQSVRGVLATHSQGHVGYPSGSMVDFACDQDGSPILAVSSLAIHSKNLSENPKCSLLVAKDPEDRTDTVITVYGDATPVSDQEKDAVRSAYLRRHPEAFWVDFGDFCFLHIKPKAVRYVSGVATAILGSGEFSAAEFKEAKVDPISQFSTPITSHMNKDHSDDTKLIVQYSTTVKVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRRAQDRKDVKTLIVEMLQAAKASSSSAE >ORGLA07G0251800.1 pep scaffold:AGI1.1:Oglab07_unplaced150:370139:373513:-1 gene:ORGLA07G0251800 transcript:ORGLA07G0251800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT4G35335) TAIR;Acc:AT4G35335] VMYLLYCLKESYDVVSQKDIESPGERSLSSTSATSSLSTAGASKGKNSWKLKSIVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLIALYRTWNSQGVTEDNRLSTSFDEVSVYPIPAILYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFVLLCAGCTTAQLNPSSDHVLQTPIQGWVMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMLFNLVAICVQDFDAVMNKGFFHGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFGFHLSLAFFLGSTVVSVSVYLHSVGKPQPQK >ORGLA07G0251700.1 pep scaffold:AGI1.1:Oglab07_unplaced150:367902:369198:-1 gene:ORGLA07G0251700 transcript:ORGLA07G0251700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLRLRSSALSRAPPPPPLLQAPCSSPVCSYRSVQRRTGAREDNSTGRRQRWHSVLQRAENMVNAIKGLFISCDVPMAQFIVNLNASMPASDKFILHMLDPTHMFVQPHVAEMIRSKISEFRDQNSYEKPT >ORGLA07G0251600.1 pep scaffold:AGI1.1:Oglab07_unplaced150:360730:364056:1 gene:ORGLA07G0251600 transcript:ORGLA07G0251600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARRGCLVALLAVLFLACAAEGGAAAASAAQQQQQPRRRRHLLRRQRQVHSHLRRLNKAPLASIESPDGDIIDCVHISNQPAFDHPFLKNHTIQMRPDYHPEGLYDESKVASQQNTQTITQMWHKNGVCPENTIPIRRTKKEDVLRASSIRRYGKKKHKSTPNPMSVDPDMLNESGHQHAIAYVEGDKYYGAKATINVWQPRIEQANEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNQIAMGASISPLSNYGGSQYDINILVWKDPKEGNWWLQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGSHTSTQMGSGHFPEEGFGKSSYFKNIQVVDSSNNLRAPSGIGSFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNPNCP >ORGLA07G0251500.1 pep scaffold:AGI1.1:Oglab07_unplaced150:352438:355691:1 gene:ORGLA07G0251500 transcript:ORGLA07G0251500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G20110) TAIR;Acc:AT1G20110] NPAPYPPEPSPAPAPYYSYPPTAAGAATQHAPAAEPSPAPLPYDAPYYGGYQPPPTAGYGDDDYLNEGAYAYSGDGGSEPYGARGTAPTRSGAAMFDDYGRSIGPSSGGADQWPTGGGGGVGGSFGKIARAVPKAESHEDANGGAQKFRVKLLPEGAGSPTDVLCQIGLDGIRMLDPSTSRTLRIYPLDTLTRWDVLDSTVFAFWAKTPVDFEAKRIRLKSNSYTSNTLLDTVTAATVQFKEIGGDARGRGTVDSSKPMSQSNEKKKGFDWMFAKPVDEVKDHWVPDEAAKKCYSCAVDFSPFNRRHHCRNCGEIFCDKCSQGRTALTAEDNAPLVRVCDRCMAEVSQRLSIAQEAANRSATVQSHEDLARKLKEEMERNRKSSGSASGGSSGTKMREVACPTCTVHLQVQVPTSGSETVECGVCQHAFRVSAN >ORGLA07G0251400.1 pep scaffold:AGI1.1:Oglab07_unplaced150:348563:348955:1 gene:ORGLA07G0251400 transcript:ORGLA07G0251400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVAWVAAAARVPAELCQGQGGARGRRRRLRADEVLRALLVAPVRELERLADWLFVFFCLPLPDYYVPGSGRGGLLVARAPSPPSGGAFLHYGGRYRRPLSLLLPSSSSSSSSSSMSSSEEYYYYSDD >ORGLA07G0251300.1 pep scaffold:AGI1.1:Oglab07_unplaced150:343161:346911:1 gene:ORGLA07G0251300 transcript:ORGLA07G0251300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase [Source:UniProtKB/TrEMBL;Acc:I1QEH1] MEASLPFHHHHPAASSTAAHHAARLTPPPPPRDPRATARWVPPAAAPARSRSPANLGLPPHPPRRLRLRLAPPRITAAVTGGPRRPRRRAPPPLECAGGSSSSLRRPREEEEEEEERSSTAHAGVSLVGENKVLQMSSTVPKASNIFWHDCAVGQADRQKLLKQKGCVVWITGLSGSGKSTLACTLDRELHTRGKLSYVLDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFADAGLVCIASFISPYRRDRESCRALLSDGSFIEVFLNMPLELCESRDPKGLYKLARAGKIKGFTGIDDPYESPLNSEIEIKEVDGVCPSPSDMAGQVVTYLEEKGFLHD >ORGLA07G0251200.1 pep scaffold:AGI1.1:Oglab07_unplaced150:339351:339707:1 gene:ORGLA07G0251200 transcript:ORGLA07G0251200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVQYQAAAAATAMACEEEFMPQGLISCFGRSLSRASSGRHLEYCSRDVSGGGEDSRRMAQERSARAKLRWKAVAQEIMARRSGGGGGSGRRRKTAFSYDSKSYALNFDDQAAGAE >ORGLA07G0251100.1 pep scaffold:AGI1.1:Oglab07_unplaced150:336177:336632:1 gene:ORGLA07G0251100 transcript:ORGLA07G0251100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSSGKGMSCSVLPYRRAAPAWVKTSASEVEEMIVRAAKKGQLPSQIGAILRDAHAVPLAQGVTGGKILRVLKSRGLAPEVPEDLYFLIKKAVAMRKHLERNRKDKDTKFRLILVESRVHRLTRYYRLAKKIPALFKYDSTTASTLVA >ORGLA07G0251000.1 pep scaffold:AGI1.1:Oglab07_unplaced150:328987:331824:1 gene:ORGLA07G0251000 transcript:ORGLA07G0251000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPKANAAAAGDLPEYAEVDPTGRYGRYNDVLGKGASKTVYRAFDEYQGMEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDVSRRNINFITEMFTSGTLRQYRQKHMRVNIWAVKHWCRQILSGLLYLHSHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVISGTKPEALYKVKDPMVRQFVEKCLATASRRLSARELLKDPFLQVDDLVFCPGDGDYSLMNYLRQPYLEHAYSNVSMMSNGLSESIDEDTPTEDRWDCEDDDIKADGIDLFNGHEDEPLGNVDITIKGRKSEDGSIFLRLRIADNDGHVRNIYFPFDIEADTALSVATEMVAELDITDHEVTRIAEMIDGEVSALVPDWRPGPGIEESQDTTYCHNCGSNVSSCGSLYAYMSSAARGCQCAELHGRFEEITFQANGEQTDLQDSGGSSDDGGGQTQHVKDKEAVHSNGFVQMGRRGPPDQFCFSSFQEQSCSPRHYEYDTSLQAKGFDMKHEVKMAKYKARKMAHLRRAIHPSLDFDSLNGERRMKSSLNKLQSFHIGKNHNFRIPTCEQSPGARDAEEDPDIFNLAYHSRHPDPGAQRARHCEVDAQSSPDLLFTARSYYTGAQLPTNLPRTKSVTLNAIDA >ORGLA07G0250900.1 pep scaffold:AGI1.1:Oglab07_unplaced150:311139:314802:1 gene:ORGLA07G0250900 transcript:ORGLA07G0250900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDPSVSDEDDDLETLVPQNHAKPPSSSFHVAGLRAAVPPSAASLGRVLWSRRYLLLFVALPVLFLVLFVSLGGASSLRLPASIRLPSAAAPAADPAASRMRDAELRALYLLRSQRSGLLALFNRTAAPTNGSSSGSNAVSYADLHDALLGQIKINREIQAALLSAHRTGAAGNATEDGLDLDLPADGCRRRELPSNRRTIEWNPKKDRFLFAICVSGQMSNHLICLEKHMFFAALLGRILVVPSQKLDYQYDRVLDINHINDCIGRKVVITYEEFTEKRKKVSIDQFICYAASPPCFIDEDHVKKLKGLGISLGKIQAAWPEDAKLKEPKKRFVEDIMPKFTTDAEVLVIGDMFYADVEEEWVMQPGGPLAHKCNTLIQPSRLIMLTAQRFVQTFLGGNYIALHFRRHGFLKFCNVKKESCFFPIPQAAECILRIVEKANAPVIYLSTDAAESETSLLQSLVVFNDRQVPLVKRPEHHNSEKWDALLYRNHMGGDNQVEAMLDKTICALSNVFIGASGSTFTEDILRLRRGWGSASHCDEYLCQGELPNFIAELD >ORGLA07G0250800.1 pep scaffold:AGI1.1:Oglab07_unplaced150:308215:309074:1 gene:ORGLA07G0250800 transcript:ORGLA07G0250800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPEGLLLLSRKDAPHGVRLLNPLTRHLTELPPFATVLTPEQRNDPHQGLRGEDFRVRGVAIADSSSTSTSTVVAYLKSPTTLAVAKPGDERCTKIDFDHRLMLYSTVPFAGRIYHATAIGIMTPETTTSSDQPPRMLTAVDTTIDPPFSFCSMSDSLHLVDMAGGSXSWCTEPXATTNSGGSTRCTGWIWTPGCXFRRGAXAAAPCSSDCLGRCRFLRAHFPPSPVIRSTWVSTAAKERKSAGTMLGMEASNIPSXSSMRRGLSRLLSWTASPGASRATASNLV >ORGLA07G0250700.1 pep scaffold:AGI1.1:Oglab07_unplaced150:305703:306014:1 gene:ORGLA07G0250700 transcript:ORGLA07G0250700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQSLLAPLKKLWIRMHSAQRKKRGIYILYEDVKSCPCEDVQILWSILVESSHGGGHHHHHPPPLRLKQ >ORGLA07G0250600.1 pep scaffold:AGI1.1:Oglab07_unplaced150:302255:303109:1 gene:ORGLA07G0250600 transcript:ORGLA07G0250600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFASCLSQSGVQVAHSSSPGGQNMVQCTYLARLRGKSCSVTVTWSKMTMGQALSVAVDDSSYRCLCKAEIKPWLFSKRKGSKAMEVDGGALDIVWDLSSAKFAAGPEPVEGFYVALVCDLEAVLVLGDMRKDGDHRVSSDVLASNAVMIARKEHVYGKKVYSAKARFLDIGQLHHITIECDTLGLKDPSLEIRIGKKRVMQVKRLAWKFRGNQTVYVDGLPVEVLWDVHDWLFGSSNGCAVFLFQSGQSMEKLLSRSCSQNEKELQAHRFGFTLILNAWKTE >ORGLA07G0250500.1 pep scaffold:AGI1.1:Oglab07_unplaced150:292311:296793:1 gene:ORGLA07G0250500 transcript:ORGLA07G0250500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:I1QEG3] MPLRAMLPLPLLLAALAVAAAAAGAPRPHPLDPLSAAELTAVRAAVLASPLVPARPLTFHYVGLDEPDKPDVLSYAYGGGGVASRHALPRRAFVIARAGGESHELRVDVANASSPSVLSHAVHRGAGFPTLTLEEQFAAVALPPKHPPFVESVRRRGVDMDDVLCAVFPVGWFGGDGEPRRSRVAKVLCFVAGATANFYARPLEGVTLVVDLDRMAIVGYRDRVAYPVPKAEGTDYRAGKAGPPYAGPAPAPGVVVQPEGRGFHIDGHVVRWANWEFHVGFDMRAGTVISLASVHDADADAGGARRRRQVLYRGFVSEIFVPYMDPVEEWYYRTFLDAGEYGLGLWAFPLQPGGDCPANAAYLDGHYSGQDGRPVEARNMICVFERYSGDVAWRHTEAGFPNQLITEVRPDVSLVARMVVSAGNYDYILDWEFKTSGSIKLVVSLTGLLEVKATAYAHADEVAQDAHGTLVAENTIAVYHDHYVTYHLDLDVDGTNNSFVKNTVTAVVRDADADAAADTPRRSYWTVRREVAEREADGQVDLGGGAPADLVFVNPGKKTRMGNEVGYRVVPGGATAASVLADDDFPQRRAAYCKKQVRVTPYSRSEKWASGLYADQSTGGDGLAAWSERDRAIRGEDIVLWYTVGLHHVPYQEDFPVMPTLSGGLELRPSNFFERNPLLTTMPIGHGRPLVNCATDNGCKSDXHALWQTLISFELEKFQSNSTRSWVLWSAMRFLAAHALLKYSGNLFSPSQNIFQTLTWSTRY >ORGLA07G0250400.1 pep scaffold:AGI1.1:Oglab07_unplaced150:288333:290523:1 gene:ORGLA07G0250400 transcript:ORGLA07G0250400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02730) TAIR;Acc:AT4G02730] MSQQQQAPAPPYRPYRQVRAATPHSRAVSCVRFSPCGRLLATASLDGTVALLSPSSLAAIATLRGHADGVSDISWSTDSFYLCSASDDRTLRIWDVRPVLAGLNPGSGGAQPADPNADRCIRVLKGHTNFVFSANFNPQTNSTVASGGFDCTVRIWDVKSGRCVRAIDAHSEPVTSVHFIRDGSIIVSGSHDGTCKIWDAGTGSCLKTVIDEKKPAVSFSMFSPNGKFILVAALDDTLKLCNFASGKFLKMYSGHVNRKYCLQSAFSVTNGKYIVSGSEDNCVYIWDLQGKNILQKLEGHTDTVISVSCHPTENKIASGGLDNDRTVRLWLQDG >ORGLA07G0250300.1 pep scaffold:AGI1.1:Oglab07_unplaced150:284478:287038:-1 gene:ORGLA07G0250300 transcript:ORGLA07G0250300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKRELEKKRKAATADFGGKSFVRRSELEQKQLQKRRHEEHCQLLSKAPPATSSASAAGSDPSNPNADPAQSSAANPNPNSSSSAAAASVPRGLAGKKTPQDEALLSEERRIDELDLPRHEVVRRLRILREPVTLFGEDDADRLARLKSVLKSGVIDDIDDLDMTEGQTNDFLRDMVELRKRQRAGRDTYVKGKGKRTGGGDGGEGGAGGDNADDGDGDGRRSGDDADADKDSKRMKTKFEELCDEDKILVFFKKLLIEWNQELDEMPELEKRTAKGKSMVATFKQCARYLSPLFEFCRKQVLPDDIRQALLVIVECCMKRDYLAAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSIKRLMTLCQRRYPALPSKSVEFNSLANGSDLQALLSEEKDPGNPPSEDRLRLMPASKE >ORGLA07G0250200.1 pep scaffold:AGI1.1:Oglab07_unplaced150:282964:283994:1 gene:ORGLA07G0250200 transcript:ORGLA07G0250200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein [Source:Projected from Arabidopsis thaliana (AT1G23420) TAIR;Acc:AT1G23420] MSSAARHHCSGLRERLGCVQCSFCATVLLVSVPCSSVLRVVAVQCGHCSGILSAVNLPPSPVSASIELTPQELDAGPPPGEYSDESSGDDREGRDAEDDAPAPAAAAVANKPPGRKQRTPSAYNCFVKEEIKRIKSMEPNITHKQAFSTAAKNWAHLPRIQQKRGRDSC >ORGLA07G0250100.1 pep scaffold:AGI1.1:Oglab07_unplaced150:273485:275633:-1 gene:ORGLA07G0250100 transcript:ORGLA07G0250100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G63170) TAIR;Acc:AT3G63170] MVSLRFPAATFPRLPPPRPPSRPAFAAALAAAAAAAAAAAASLTLTAKSAGRPPLPHPAPLWASISLADGAAPGSVEPRTGAAFPAETSGGRRLLGVGLRRTTILGLKSIDVYAFGVYADDHDLRQLREKYQKLPVSQLKENAELINDALERDIRMTVRLQIVYGRLSIRSVRSAFEKSVGSRLLKFGGSDTHELLQSFVSLFKDEYKLPKGSVIELSRESSHVLKISIEGEELGSIQSKLLCKSILDLYIGDDPFDKNAKESVQENMASILKN >ORGLA07G0250000.1 pep scaffold:AGI1.1:Oglab07_unplaced150:266775:270870:-1 gene:ORGLA07G0250000 transcript:ORGLA07G0250000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAADDAAAGEDQALSDLREKHRIDLERLTLTAQPLRTLALFALAIGQSIKSTCLCVLKDSARLKFLVLLVASACTPLLLTNGPHEKHVQELLWYIRFGLWWVILGVASSIGLGSGLHTFVLYLGPHIALFTIKAVHCGRTDLKSAPYDTILLKMRPSWLEKDCLEFGPPMYQETIPFSKILHEVHLEAVLWGIGTALGELPPYFLSRAASMSGRKLDDLEELDASVSGEGFLSSTLHRAKRWLMSHSQYLNFPTILLLASVPNPLFDLAGILCGQFNIPFWKFFLATLIGKAVIKVYIQTTLVITLCNNQLLDLVEKRIMWVFGNVPMVSSVLPSLVAKLKTAKSKFLSASVAASASSVVKETKWNLSFSLIWNTVVWLLIMNFIVQIITSTAQSYLKRQQELEIRKKLSATIQTVSETTGLSN >ORGLA07G0249900.1 pep scaffold:AGI1.1:Oglab07_unplaced150:255899:256282:-1 gene:ORGLA07G0249900 transcript:ORGLA07G0249900.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRSIREAARGGDAVARRRGEVRHHFGPPASGERPAAGDGRHGGLAFPPARIEAKAKLDRRDTRRLEMRTRRRPCRSADWPPLLTWVSANCKREAGGRHGFRGEGRIKCFGKRLCFFSFVHPPWRTPKL >ORGLA07G0249800.1 pep scaffold:AGI1.1:Oglab07_unplaced150:246939:252506:-1 gene:ORGLA07G0249800 transcript:ORGLA07G0249800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKGGSNPKSPIVWKAADEMIGVPVPRKARSASTKRSSHEWSVPGGGSSGGGGSGSVAGDTSQIQRPSSRPISPASGSTTGPARKKLKHLGGSGSSGASGQAPKQRPSPASAPSTAPPQPPPPKISKSPSFIQEEIEVAEVLFGLTRQFPCPSKPVESNHKLEVRDAPEAKSGNSSPAPSSSVARPSDSTSVATIAPKRKRPRLVKYDDDVRPASPAKPDLAEPSSRPETLPASRSELKASVSAATDSGTTTATAGAQHEAMREQEKREDHRSRDSELRPGESDRRDHRPESRAEPTPPAQPSGKPDGESAAVVSEARNGEATAATKIELASDGARQEKFCIDLMAPPPGKSPDRDGASDPDVDKKGLDSEMDMAARGNSEKKDAERPRRGLEINLEDDKMVQRMPADEVAPKKLTLQLDLEKPSLGDEKSPSERRPQPALQQQKPKNEIKHEKSAMPAVTPPMPIPVGSWLGSFPPFGYLGPVPALSAAGLHHPMDVKPGSSAGLQHAALLPPVRPKRCATHCFIAQQIRYNQQVTAKMQNFWTPATAAAAAAAASRSAAPFFGPRPFNMGVVPPAEAASLLVNPMQGSYPVRAHAPLQEAKAPSMATSPFQGSLSKDKAAMNNAAVAESSQRKQPPAHEAQQPGPVPNMLQGPAFIFPFNQQQAAVVAAAANAASRVGDAKPSVGSNTMPPSAAAHNSSANPGAAAMNLSFANLPPADAQLFAILQNGGYPFQVAAHAGGPPAAYRGMAPPGPAVPFFNGHVYPSHMLHPSQQQGASPQNLQKNPMSNMSASSQKHQPQQSQGLLGYAPNANAAAAASNSQSYSSGNQRPVLLPGLAHRQDSEKTVQDGPSGDDKSSHPQKGGYDHNYAVPVHLPNFALMPPTSAAGGGGQSDKKLSDHHPQQLPPASRGQGVRIDLASSPSFVVPFGSVGAPGSAPGGLDFSSLGPNHQLFQSHQEAGRHGYPQLNFATAQSVQAGQHKPQRQVSGETKSVAGDSSTHNTVDSERKKSAAAKYPGDSQQHSLSFSRQDNKSYVPPFLSGNTNDSSSRTLSLIGSEPSNAFSLGGKSANTSAPAATSAAAPSPSSIPQQQQQQQQHFLQLHKQQQQLIQHHHMNSRPRSAAPSNTGGYSDRLNMPSFQGMMYPSSAAQVGVPSQSPQLKPSSARATGAPAGVASPGASPSNLIVMKSGLHQQQAKAPMQSLSTSNHQPQSSSKMGPVTNMSTGGGDLSRSSNAPVASGSPSNSVSKSTGGSPPASGSAKGVPPAVQLPSPQQQSAKNPASTSGAKSAPTNHYSTMPMPSILGQQPNVPPGSNSGSKQQSHMMKQQPFPQGGHFFISNAYTPQAPGAAGGVALGLYQKRSADKTQQQQQPHQQSAMSAAASNNMKTHHPPAGSFMHLAAASQSPSGVPHSHMSAAQLTFGAPMSMSVKPSSDQKPAAGK >ORGLA07G0249700.1 pep scaffold:AGI1.1:Oglab07_unplaced150:229946:234587:1 gene:ORGLA07G0249700 transcript:ORGLA07G0249700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosome recycling factor, chloroplast precursor [Source:Projected from Arabidopsis thaliana (AT3G63190) TAIR;Acc:AT3G63190] MPPLHAVSPAAAAAPPRALSSAARVPQRPGCVPERPNILSSSTNFMSLRAGPMRFYSRPLILQNSDKRAVLRHATIEEIEAEKSVIEDQARERMEKAIETVQNNFNTVRTGRANPAMLDRIEVEYYGTPVNLKSIAQINTPDATSLLIQPYDKSSLKLIEKTIVAANLGVTPSNDGEVIRVTVPPLTSDRRKELAKTVAKLAEEGKVAIRNIRRDAIKAYDKLEKEKKLSEDNVKDLSADLQKVTDEYMKKIEAIQKQKEQELMKI >ORGLA07G0249600.1 pep scaffold:AGI1.1:Oglab07_unplaced150:223358:228765:-1 gene:ORGLA07G0249600 transcript:ORGLA07G0249600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAALVVMWAGTAAAAVYEVGDKTGWTIMGNPNYTAWAASKKFHLGDTVVXNXTRPTLTRPQSGWTADQYSVXSRSEKVRITPELSRSTDAKKRRAVFTYNKQFHNVMAVSKADYKNCDARKPIATWSTGNDSVVLNATGHHYFLCGFPNHCGIGQKVDIRVAASGHSSAAPSMAPAPASGSEAPVAPGGGGGSSGHAAAAPSPHGNAAVGRSVFTAIAVSVLSVAAAGLKLI >ORGLA07G0249500.1 pep scaffold:AGI1.1:Oglab07_unplaced150:199181:218033:-1 gene:ORGLA07G0249500 transcript:ORGLA07G0249500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWLIRPKPISPPLSRSRRRHRRARAKPTPRLRPPGARADSVSVSPVHARGGESAAAMDSRPREADAPAEPSGGAAPAAANGEVEITKPRNDKRGYRRVVLPNALECLVISDPDTDKAAASMNVSVGYFCDPEGLPGLAHFLEHMLFYASEKYPIEDSYSKYIAEHGGSRNAFTSREHTNFFFDVNNDCLDDALDRFAQFFINPLMSPDAILREVNAVDSENQKNLLTDILRMSQLQKHICLESHPYHKFSTGNRNTLLVNPNKEGLDILEELIKFYSSHYSANLMQLVVYGKESLDNLQTLVENKFSDVRNTGRKRFSFYGHPCSSEHLQVLVKAVPIKQGHTLRILWPITPNIQHYKEGPCKYVSHLVGHEGKGSLFYVLKKLGWAMSLRAGEGDWSSVFSFFSVVIRLTDVGHVGNEKALGLGFCVAYDSFMILILLFVAQNEINKKILPKCSFINYKMSSAQYHRLMTFPNLPLLQIFPPEDWLIASSVPSKFSPDAIQSILNDLTPDKVRIFWESKKFEGQTNLTEPWYGTSYSVEAVPPSIIQNWVNRAPMEDLHIPKPNIFIPSDLSLKNVEEKGSFPCMLRKTLFSRVWYKPDTMFFTPKAYIKMYFHCPLSRSSPESIVLTDMFTRLLMDYLNDYAYDAQVAGLYYAVKPNDTGFQITMVGYNDKMRTLLETVIGKIAAFEVKVDRFVVIKETITKAYENFKFQQPHQQASYYCSLILEEQKWTWDEKLAAISHTEASDLEKILPHLLGKTFIESYFAGNMEPGEVKGVIQHVEDILFNAPVSLCKALPSSQHLTKRIVKLERGLRYYYPALCLNHQDENSSLLHYIQIHQDDLKQNVLLQLLALVAKQPAFHQLRSVEQLGYITWLKQRNDSGVRGLQFTIQSTVKDPANLDARVEAFLKMFEGTLYQMPDIEFKSNVNALINMKLEKYKNIREESAFFWREISEGTLKFDRKEAEVAALRDLKKEELIEFFDNHVKVNAPRKKILSIQVYGRLHTNEYEKVVHDEPQPHSYQITDIFSFRRSRPLYGSFKGGIGQMKL >ORGLA07G0249400.1 pep scaffold:AGI1.1:Oglab07_unplaced150:186510:196170:-1 gene:ORGLA07G0249400 transcript:ORGLA07G0249400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSRPPETDAPAEAVPPAAAAKGDVEITRPRNDKRGYRRVVLPNDLECLLVSDPDTDKAAASMNVSVGYFCDPEGLEGLAHFLEHMLFYASEKYPIEDSYSKYITEHGGSTNAFTTCEHTNFFFDVNHDCLDDALDRFAQFFIKPLLSADATLREIKAVDSENQKNLLSDPWRMTQLQNHISLESHPYHKFGTGNWDTLEVKPKEKGLDTRLELIKFYDSHYSANLMQLVVYGKESLDNLQTLVENKFCGVKNTGRERFSFPGHPCSSEHLQVLVKAVPIKQGHTLRILWPITPNIQHYKEGPCKYVSHLIGHEGEGSLFYVLKKLGWAMSLEAGEGDWSYEFSFFSVVIKLTDVGHEHMEDIVGLLFRYITLLQTSGTPKWIFDELQTICETGFHYRDKSPPIHYVANISSNMQIYPPEDWLIASSVPSKFSPDAIQGILNELTPDNVR >ORGLA07G0249300.1 pep scaffold:AGI1.1:Oglab07_unplaced150:182517:183092:1 gene:ORGLA07G0249300 transcript:ORGLA07G0249300.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLTVKGRETEAAGGGGAEVRCSGEAQVPIRHRANRRWPLPHVEGSPQPDPTIAAPPQLDPIVTRPLLLDLAATVGSGCCKASDRGGIEALAIVEEEELRHAVTAVVVVAPEADGGGCAPPGTGDGRLATLPPSRESRHAIVIISLPTTVVVVVALSAGVRSDHHRATPVADVVTTVVIAPVDRRWGKRDGC >ORGLA07G0249200.1 pep scaffold:AGI1.1:Oglab07_unplaced150:175983:178114:-1 gene:ORGLA07G0249200 transcript:ORGLA07G0249200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHLLCSLLLATALLLLSISRTEAGEVGVCYGRDGDDLMDPPAVVNLLKENGITKVRIYDADPTVLRSLSNTGIKVMVALPNKDLASAGHDQGSALDWVKTNVVPYYNQGTQINGVAVGNEVFRQAPDLTPQLVPAMKNVQAALASLGLADIIKVSTPINFDAVNESFPPSKAVFQDNVAQSVMSPMIDFLQQTNSYLMVNFYPYIAWANSKGQISRGYATFGPNAGVVDPWSRITYYSLFDAQLDAVYYAINQVSGDSVRASMAQARWGHLTPRVPVEGSEGGHPSGGQLSKLTTQADAEVDVATKANAQAFNNGIIRRALFGASGMLDVSVYIFALFNENKKAGASVERNFGLFYPDGTKVYEVDFHGGGICPTKASWCVANLAVGNSRLQAALDWACNNGADCSAIQQGTPCFEPNTMVAHASFAFNDYYQRMGQANGTCDFAGAAYIVYQPSESICDPNPSWCIANPAVGDMRLQAALDYACGSCADCSAIXPGARCFEPNTKVAHASYAFNDYYQRVGRVSGSCDFGGAGSITYQAPDYCPCISSPIYNFSKQT >ORGLA07G0249100.1 pep scaffold:AGI1.1:Oglab07_unplaced150:169313:171380:-1 gene:ORGLA07G0249100 transcript:ORGLA07G0249100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHLLHALIAAAALPLLLLPRAADAGEIGVCYGRDANNLIDPPAAVNLMKANGISVVRIFDAEPTVLNAMANTGIKVTVAIPNADLAAAGQDLRSATDWVTSNVVPYRSRGTLISGVAVGNEVFKQRPELTGTLVSAMRNVHRALENLNLANDVKVSTPIAFDALKQSSPPSAGEFKDEIAQSVMKPMINFLKQTGSFFMVNLYPFFAYVAQPDKISLEFATFRPNAGVLDGNTSIRYFSLFDAQLDAVYAAINRVSGGSLTVSMARRDGILSVQASESGHPSGGRFPLSSMLAAADTDSVATIANAQAYNNGLIRRVVSGASGMRDVSAYIFSLFNENEKPGPAIERNFGLFYPNGQKVYEVDFRGGGGGEACPTKTSWCVARTDVGSAALQSALDFACGNGADCSAIQQGSVCFEPNTLVAHASYAFNDYYQRKGQASGTCNFSGAASIVFKPSPSICDPNPSWCVAKSEVGDAQLQNALDYACGSCADCSAIQPGARCFDPDTKVAHATYAFNDFYQTTGRASGSCDFAGAASIVNQQPKIGNCELPPNNAGTEQTAIEDQSTANLPATAWQ >ORGLA07G0249000.1 pep scaffold:AGI1.1:Oglab07_unplaced150:167369:167824:-1 gene:ORGLA07G0249000 transcript:ORGLA07G0249000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIERTNAADLVPAHSRDAGTAKDPASTGRHDLRCSGPRWPGATATDRLVLVTSPMSVGHWRRSAHHHREDENAKARLNCHEDGLLHGDIVAAGDGSLHGEEEGEQGKRXXGGGRWRWRVQRDVRHHTREIDCRRRCGCLGIDGRWEWKPF >ORGLA07G0248900.1 pep scaffold:AGI1.1:Oglab07_unplaced150:160528:162549:-1 gene:ORGLA07G0248900 transcript:ORGLA07G0248900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHQLRALLVAAALPLLLLSRADAGEIGVCYGRDASNLIDPPEVVKLLNANSITMVRIYDTDPTVLNALANTGIKVMVMLPNEDLASAGGDVRNATDWVKNNVVPYLNQGTLINSVAVGNEVFKKNSELTGMLVSAMQNVQTALANLNLADGIKVSTPIAFDALDVSFPPSDGRFKDSIAQSVMKPMIDFLVQTGSYLLVNLYPMYAAADPSTHISIEYATFRPNSGVLDGKTGIMYFSLFDAELDAVYAAISKVSSGSLRASLAQGDQMLVQVAESGYSSGITFGGPVVVEADADLNAIATIPNAKAYNNGLIRRVLSGSPGKHDVSAYIFSLFNENLKPGPATEGHFGLFYPNGQQVYEVNFQGGRSSCPTNASWCVANPNVDNAALQRALDWACNNGADCSAIQLGKACYEPNTLVAHASYAFNDYYQRKGQASGTCNFNGVAFIVYKPSPSICDPNPSWCVAKDSVGEAQLQNALDYACGSCADCSAIQRGAQCFNPDTKVAHATYAFNDYYQTAGRASGSCDFAGAATIVTQQPKIGNCLLPPNNA >ORGLA07G0248800.1 pep scaffold:AGI1.1:Oglab07_unplaced150:150816:151477:-1 gene:ORGLA07G0248800 transcript:ORGLA07G0248800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMPNDDLASVGQDLGSSTNLVKNNVVLYLNQGTLINADPSSISIEYATFHDNGGVLDKNTYKTYFNLFDTDLDIVYAAINKVSGVSVLDVKATESRYPXGMKIPPLADANVDSLAKIANAQA >ORGLA07G0248700.1 pep scaffold:AGI1.1:Oglab07_unplaced150:144614:147741:1 gene:ORGLA07G0248700 transcript:ORGLA07G0248700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGYGILAVAAAVVVLTLPSAVRAAPTNICDMGVATYAANSTFEANLDRLGAELPANVSAARATGGYAVATVGAAPDLVYALALCRGDVNASACGACVAAAFADGKRSCPGIKGATVSGPGDGCVLRYSGQSFMNFLSTEQWQVSEILWYPDHATDSIKVPDVGWFNAAVAKILAALVEHTWATTTSNATAKKYFSTGEEEFDPKIYGFVQCVPDLSPEQCKECVRTIHDQAKINYMGNSLPWASTYSVWCSLMYSVRPFFGGRAMLQLSPPLPPAVDTPVGTHEPGAGKKKSVAGLAAGLACSVGVLLILSVLVFVRFRRRTKAAETDHPLKKITRAQCMIFDLPTLQEATENFSENNKLGEGGFGTVYKGVLSDGQEVAVKKLLGTTGHGLDQLHNEVLLLAELQHKNLVRLQGFCLHQEQTLLVYEYIKNGSLDDILFDTTKGNSLNWEQQYNIILGIAKGILYLHEDSSLRIIHRDLKSNNILLGEDMEPKIADFGLARLLGEGHTHSRTTRVVGTFGYMAPEYVADGNVSTKIDIFSFGVLVLEIVMRRRNSDSGDHDNVNLLTDVWNSWTKGTISQFIDQSLDGYSRSQALRCIHIGLLCVQPDPDERPHISSVIFMLTRENMELQPPAQPAFFFGTESASSSPSCGQRSYVYDRYGFASVSVNDVTLTEPYPR >ORGLA07G0248600.1 pep scaffold:AGI1.1:Oglab07_unplaced150:135298:138149:1 gene:ORGLA07G0248600 transcript:ORGLA07G0248600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHVALSVPVAAAVALLLLVLPRAAESYPWAKCNDTAGDFPARRSSSYLASINLIAATLPGNASASPDLFATAEGVGAPPDQVSALTLCRGDANASTCLACLTQAFLDLPNACAYHKVAAIFYDSCQLSYSNATIAAGDFSTEKIPIYGFRSYANVTMEQARYNRLVAALVNATADYAARNSTRRRYASGEADFNAEFPKVYSWAQCTPDLTPASCRSCLAQIIGRGIGFFENRVGGFVRAVWCSFQYSTTPFLDGPMLVRIQGTSGASPAPAPAPSPAAVVPAVNQTPPAATPTPEGDANYSTEAEDIENLDSMLIDISILRSATGDFAESNKLGEGGFGAVYKGVLPDGYEIAVKRLSKSSTQGVEELKNELTLVAKLKHKNLVSLVGVCLEQQERLLVYEFVPNRSLDLILFDTEKSEQLDWEKRYKIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFGRDQTQAVTKNVIGTYGYMAPEYQTRGNYSIKSDVFSFGVMVLEIVTGRKNNHSYNSQQSEDLLTMIWEQWVAGTVLEMVDPSMNSFFSESDVMRCIYIGLLCVQGDPGERPVMSSVVLMLGTDTVELHAPAKPTLFARKGGGDESGVASGGMSIVSLEEQS >ORGLA07G0248500.1 pep scaffold:AGI1.1:Oglab07_unplaced150:120813:125073:-1 gene:ORGLA07G0248500 transcript:ORGLA07G0248500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSVSLPCWTEITHXSIPVGTRKWIELLFAHAGITPMDRRLILSVAVAVAVALLVPPAAGEPWPVCGQDFGTFTPKSRFSANLQLIAAALPRNASSSPDLYATAVDVGAVPEQVTAAALCRGDVSASSCLGCLTQAFADLPNACGNSRDAATYYDRCMVSYSAINFLSGGAGGEDPARIDAYTVKNDNKVTSEQGRYNRLVAALVNATADYAAYNSTRRYASGEADFDAALPKVYSLAQCTPDLSPARCRSCLAKIVAQELWSYKDDIGGRTLSVRCSFRVETKPFLNGTTMVRLPATSAPSPAPPVNATPSAATPGRETKYKVPRLVLIILLPIIAAVNLVVCFCVWRRKRPVITKAKQTNANYYAEADDVDSVDSMLMDISTLRAATGDFAESNKLGEGGFGAVYKGVLPDGNEIAVKRLSKSSTQGVQELKNELALVAKLRHKNLVSFVGVCLDQHERLLVYEFVPNRSLDLILFDTEKREKLDWEKRYRIINGIARGLQYLHEDSQLKVVHRDLKASKILLDANMNPKISDFGIARIFGQDQTQAVTNRFVGTYGYMAPEYMMRGNYSVKSDAFSFGVMVLEIVTGRKNDDFCNNTHQSEDLLTTIWERWMAGTVDEMVDPAMSAYVSASDVRKCVHVALLCVQENPADRPVMSSVVMMLDSETVSLQVPSKPAFFARNGGAKPGVASDESTASV >ORGLA07G0248400.1 pep scaffold:AGI1.1:Oglab07_unplaced150:115069:117063:-1 gene:ORGLA07G0248400 transcript:ORGLA07G0248400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHHLLRILLATALPLLFLSHAADAGELGVCYGRDADNLMDPHSVVNLLKANGITMVRIFDADPAVLRALANTGIKVMVALPNTDLGSAGWDPSYAQWWVENNVVPYYPATLINGVAVGNELFDQAPHLTQQLVPAMRNVHAALVRLGLADAVKVSTPIAFSAVKVSFPPSAGAFQDDIAQSXXAPXSISCSRLTRTLWXISTHSLHMRILLPESPSSTPRSVPMLVCSIRXAASHITASLMPSXMQFTMRXTRFLVVTRGPRXPKQEDGCPSEFQNQATPLVVESTQGSQKPMLMGTRWQLKLTLKHTTMALPSESCSGPPIWRMFLRTYSLCSTRTRRVGHLXRATSACSIRMGRRCTMLTFMVVVHVPQRRASVWQMQLLGTHGYREHWIGRVVTARTAVLSNREKCAMSQTPWLHMHLMHSMTTTNVMARQVARATLPVLLTSSTSHHQASVTRTRVGAWQTQRSGTCGYRQHXTMHAVAAQTAVPSSLVDGALILTPRWRMRPMHSMTITRLPAGQVARVTLVVPLPSSTRHRELETAYSHQARLLQLNIFY >ORGLA07G0248300.1 pep scaffold:AGI1.1:Oglab07_unplaced150:111326:114310:1 gene:ORGLA07G0248300 transcript:ORGLA07G0248300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAGYGILAVVLVLLPPSATPAGIADCDLGVGNTYVGNSTFEANLNHLAAELPGNVSTAHTGGFAVATVGADPDQVFALALCRGDVNATACRACVAAAFVDGKNACPGINGVTVYEDACVVRFSGQRFMDFLSPDQWQVTEMIWVPEQASESVNAPAVGWFNAAVAKILAALVDHAVATATGNNSTTKKYFATGEEDFDPNIYGFAQCVPDLTPEQCKECLNTFLFQAKQVYFGKSLSWVGMNSVWCRLMYNVRPFYGGRAMLQLSAPPPPAAEAPVLSLEPGAGKKKSAAGIAAVVASSVVVLLILSFFAVVLFRRRSKVTETDHQLRKITRAQCLIFDLPALQEATDNFSDNNKLGEGGYGIVYKGVLSDGQEVAVKKLLGTSEHGLDQLQNEVLLLAELQHKNLVKLQGFCLHQGETLLVYEYVKNGSLDNFLFDTSRGNTPNWEQLYNIIFGIAKGILYLHEDSSLRIIHRDLKSNNILLGEDMEPKIADFGLARLLEEGHTNTRTTRIVGTFGYMAPEYTIHGNVSTKIDVLSFGVLVLEIVTGRRNLNSDDHDRGNLLSDVWNCWTKGTVTQLIDQSLEEQFRRQALRCIHIGLLCVQSDPDDRPHMSSVIFMLSRENMNLQPPAQPAFFFDGDSNSCSQPFEQPVYNRPNAIYEEDISVNRMTVTDIYPR >ORGLA07G0248200.1 pep scaffold:AGI1.1:Oglab07_unplaced150:106186:109285:1 gene:ORGLA07G0248200 transcript:ORGLA07G0248200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVVVVVAVLLPLAAAQQTMQFSSCASGAYAANSTYEANLAVLAAALPGKASTAAAGAAAGYATATVGAVPDQVSALALCRGDANATACRACVAASFRVARRDCPSSKDATTYQDGCIVRFSDQRFLDFVGVNSPVSELYSDADSNITAVPVAWFNAAVVALMNATVDTAVAAGSGSNNTKKYFATAVEDFDPKHYPKIYGMAQCAPVMTAAQCRSCLGGFVSSIPWFLNGKPGGRVLGIWCNLRYSVKPFYQGQPMLQISAPPEPPPPAMPSLAAPPTRTARRRRVAGIPAGVACFVVLMLILSAYAFIRFKRKKAAKKDNLLKKMARGKCTIFDLATLKEATENFSEKHKLGEGGFGTVYKGKLPDGQEIAVKKLIESCGHGLNQLHNEVLVLAELQHKNLVRLQGFCVHKDEMLLVYEYIKNGSLDNFLFAHASRGNALSWDQQYNIIFGIAKGIMYLHEDSSIRIIHRDLKANNILLDEDMDPKIADFGLARLIGGGHTHTKTTRIVGTYGYMAPEYAIHGNVSPKIDIFSFGVLVLEIVTKRKNCSYGDGTTDTVNLLSDVWSYWTEGVISQVIDQSLEGHFRNQALRCIHIGLLCVQSDPDDRPDIPSVIFMLTRDNMELQPPTEPAFFFNGNSNSASQTSDQRVYVYDRSGKIYEEDISANGITLTDIYPR >ORGLA07G0248100.1 pep scaffold:AGI1.1:Oglab07_unplaced150:100471:105779:-1 gene:ORGLA07G0248100 transcript:ORGLA07G0248100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRAGCLSMLLTAAVVLLALAPRGAAYPWQVCGTTGNFTANSTYQANLDAVAAELPRNTSSSPDLFATAMVGAVPEQVSALALCRGDANATECSGCLATAFQDVQNMCAYDKDAAIYYDPCILYYSNVPFLSSVDNAASTNRVNLQNVTSDPGRFNGMVAALVNATADYAAHNSTRRYASGEAVLDRESEFPKVYSWAQCTPDLTPAQCGDCLAAIIAKLPRLFTNRIGGRVLGVRCSYRYEVNPFLNGPVMVHLTAPPIPTASPPAAPAAAAAGEDSSNSAESENISSVESMLIDISTLRAATGCFAERNKLGEGGFGAVYKGTLPDGDEIAVKRLSKSSAQGVGELKNELALVAKLQHKNLVRLVGVCLEQEERLLVYEFVPNRSLDQILFDADKRQQLDWGKRYKIINGIARGLQYLHEDSQLKVVHRDLKASNILLDMNMNPKISDFGLARLFGRDQTQGVTNLVIGTYGYMSPEYAMRGNYSLKSDVFSFGVMVLEIVTGKKNNDCYNSLQSEDLLTLVWEQWTARAVSEAVDPVMGGGFSWSDVMRCIHIGLLCVQENPADRPVMSSVVMMLGSDTVSLRAPSKPAFCARRNGGGGGAGASSGGTSSGTSTAASVQGK >ORGLA07G0248000.1 pep scaffold:AGI1.1:Oglab07_unplaced150:95586:99268:1 gene:ORGLA07G0248000 transcript:ORGLA07G0248000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFARHRVLLCRLAAALLLAPLAAAQPWQFCGQGGNYSANGNYQSNLAGLSATLPKNASASRTLFAKDSLGAVPDIVYALALCRGDVANATACESCVATAFQDAQQLCPYDKDAFIVYDLCYLAFSNRNILAAAAADDDDDGSPKFLMNTQNASAPAEVFDAAVATLLNATSSYAAENSSRRFATGEEAFDTAATPTIYGLSQCTPDMSPDDCRSCLGRIIALIPRYLSRRKGGRAIGMRCNFRYEMGPFFAGGSMLRLPAPANSTPTAPTGGRKKNKSGTALAIALPLVVILLATVAICLSVQWWRRRSRSKQQPSNSIQMAEDIENTDSLLVDLSTLRAATGNFSESNRIGEGGFGSVYKGVLPSGEEIAVKRLSMSSGQGIEELKNELVLVAKLQQKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDLEKRKELDWGKRFRIINGIARALQYLHEDSQLRIIHRDLKASNVLLDSDYNPKISDFGLARLFEGDQTREVTSRVVGTYGYMAPEYAMRGHYSVKSDVFSFGILMIEIVTGRRSSGSYSFDQSYDLLSRMGALDHGNNFGDDGSLSYKPCSSRPDAQVYSHWATVCSR >ORGLA07G0247900.1 pep scaffold:AGI1.1:Oglab07_unplaced150:87818:91930:1 gene:ORGLA07G0247900 transcript:ORGLA07G0247900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRVRCLLAAALLLVAPMAAAQPWQFCGQSGNFSANSAYQSNLRQLSATLPKNASAALFAAGSLGTVPDIVYALALCRGDIANASACESCVDNAFQGGQQLCPYNKDVFIVYDLCYLRFTNRNLLASATDNGSPMMLMNAQNASATAEVFDAAAATLLNATSSYAAANSSRRFATGEEAFDAADPTIYGLSQCTPDMSPDDCRSCLGDIIALIPQYFGRKRGARVIGTRCNYRYEVYPFFAGGSMLRLPAPAAPPAPPPAPGPANMTPPAPPGERKKNKSGTALAIALPLVVVLLATVAICFSVQRRRRRSRSKQQHSYSMQMGEDTESVDSLFIDLSTLSLFIDLSTLRAATGNFSETNRLGEGGFGSVYKGVLPNGEEIAVKRLSMSSGQGIEELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDLDRRRELDWGKRFRIINGIARGLQYLQEDSQLRIIHRDLKASNVLLDSDYTPKISDFGFARLFGGDQTREITCRVVGTYGYMAPEYAMRGHYSVKSDVFSFGILMIEIVTGRRSSGSLTFDQSNDLLSLVWEHWTMGTILEMMDPSLTSHAPRDQMLKCIHIGLLCVQDNPADRPMMSTVNVMLSSSTVSLQSPSKPSFFIPKSDTDSNIYSESHPLTSQSTASTGRSGGMSVNDLSITELEPR >ORGLA07G0247800.1 pep scaffold:AGI1.1:Oglab07_unplaced150:85356:87399:1 gene:ORGLA07G0247800 transcript:ORGLA07G0247800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LMTNLTRMCPSLTISASSVFICLKRRKASKNQKTQIIPAPNKIKRGNCAIFDLPTLQIATDNFSDSNKLGEGGFGTVYRGKLGNGQKVAVKKLSQAQYTREGLNQLHNELQLLAELQHKNFVRLLGFCSHQDEMMLVYEHIKNGSLDIFLFDTSRGKTLNWEQRHNIILGIAKGILYLHEDSSIRIIHRDLKANNILLDENMNPKIADFGLGRLLGGGHTQTKTATVVGTYGYMAPEYVLFGKVSPKIDIFSFGVLVLEIVTGRRNSSFDNTYNAVNLLTEVWNCWTKGTALQLADQSLDGYSDSKVLRCIHIGLLCVQESPVDRPSISSVILMLTRRRIKLQQPRQPAFFFGGDFSSVYQQQHRHRNYMYDKSGVIVEDKFSVNDVTNTDPYPR >ORGLA07G0247700.1 pep scaffold:AGI1.1:Oglab07_unplaced150:77356:81759:1 gene:ORGLA07G0247700 transcript:ORGLA07G0247700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRGHLPACVAAVAAAAALLTSLLPPPVAGQPLGNFCGDSGNYTANSTYQGNIRSLSATLPKNASSSRTLFATATLGAVPDIVYALALCRGDTANASACAGCVAAAFQDAQQLCPYDKDATVFYDACALRFSNQNFLASTNGDTSSSSSXTRRTCPRRPRCSTPPWASSSTPPPTTRRRTRPGGSARGRKGSTAARFTGWRSARRTWRWPPAGAAWGGSSGXCPSISAGSRAAGSSDSGATTGTRYIRSSTGSRCCSSRRHHWERRRRRLRRPXTXRHRPPPEEEKXTEVNSMDRICPWNKTGRVLAIALPIVAAILAAVVICFYIWKRKTERARKPSIADPTDPEDIGSIDSLILSISTLRVATDNFDDSNKLGEGGFGAVYKGVLPSDQEIAVKRLSQSSQQGIEELKNELVLVAKLQHKNLVRLLGVCLEEHEKLLVYEYMPNKSLDTILFDPDRSNVLDWWKRLKIVNGIARGLQYLHEDSQLKIIHRDLKASNVLLDSDFNPKISDFGLARLFGNDQSQDVTNRVVGTYGYMAPEYAMRGHYSIKSDVFSFGVLILEIVMGRKNNVSYDSEQSVDLLSLVWEHWLAGTAVELADSSMAGHCPGDQILKCVHIGLLCVQEDPTERPMMSMVNVMLSSSTVSLQAPSRPAFCIQKSSVNSDSYSEPFRGANHSTDRSPMSPNEVSITELEPR >ORGLA07G0247600.1 pep scaffold:AGI1.1:Oglab07_unplaced150:73533:73905:-1 gene:ORGLA07G0247600 transcript:ORGLA07G0247600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WARTSSRAGSTPQKPDHPPTRCRSQAKVWFRRPCSFRSLVRASDQKRGGESSTGAEEEELTPRKEASGSFLSDSRPPYLXGERQSWERGIVDAPLRRQSWERGFVVAKEXRRDVTPARHATPRL >ORGLA07G0247500.1 pep scaffold:AGI1.1:Oglab07_unplaced150:55003:55158:-1 gene:ORGLA07G0247500 transcript:ORGLA07G0247500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSGRKRICVIRDVCGHHRLGFLSGSGLLCCWAAGLWESEKMKKALGAD >ORGLA07G0247400.1 pep scaffold:AGI1.1:Oglab07_unplaced150:52020:52358:1 gene:ORGLA07G0247400 transcript:ORGLA07G0247400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAAILLLVLALVAPPLAAAAVLDDGGGGHRPPFHMCGMVQGRFAPNSSYEANLRRVAATLPAMVANGSSSSSSGVDVLVGERPDQISASAFCGANSSAAPEYSDCGACVAK >ORGLA07G0247300.1 pep scaffold:AGI1.1:Oglab07_unplaced150:40534:45726:1 gene:ORGLA07G0247300 transcript:ORGLA07G0247300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVVLFFFFLSPPLAAAAAYTEYSCNGTRGNFTEGSAFGLNLELLAAELPANASSSRSLFASAAVGAAAAPEDRVFGLALCRGDMRDAAACAGCVSGAFQRLRALCGRDRDATYYHDLCVVRYSGDDFLSRPDDNSPVINALDANASTYYGWDGRNATTRSFFLSLVGTLFGEMAMYGSYNSSARRYASAVMYVNPQLPTVYGLAQCTPDLSPAQCWHCFQGLQEQNRQWYDGRQGGRILGVRCNFRYESYQFYAGTPDVRIGLQDVAPSPTANNGTNHRKTLVIVLSVSITVFCFMLVGCLLLIKKLRKGDGRKSNRQLEAHSRNSSKTEEALKLWRIEESSTDFTLYDFSDLAAATDNFSEDHRLGRGGFGPVYRGELSDGAEIAVKRLAAQSGQGLKEFKNEIQLIAKLQHTNLVRLVGCCVQEEEKMLVYEYMPNRSLDFFIFDQEQGPLLDWKKRLHIIEGVAQGLLYLHKHSRVRIIHRDLKASNILLDKDLNPKISDFGMARIFGSNMTEANTNRVVGTYGYMAPEYASEGIFSVKSDVFSFGVLLLEIVSGKRNSGHQHYGEFVNLLGYVWQLWREERGCELIDPTLGECSGSEAAAIIRCVKVALLCVQDNATDRPTMTDVAAMLGSDGVPLPDPLPPPHYQLRVSGDDYDDGGRGSPAGGGFRPSRWRFTDSCSTNDVTITTIEEGR >ORGLA07G0247200.1 pep scaffold:AGI1.1:Oglab07_unplaced150:37328:39247:1 gene:ORGLA07G0247200 transcript:ORGLA07G0247200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSKSWLRLIADNEHRKKLPQTLSGFFYESMNHERCPYTARHFTNVTGKGMPLVSPTFSFLPQCHDVDLLDCCNGLLLCRCYVSRGTFQFHYAVCNPATKEWVMLPDANWAIEENHTACLCFDPAISSHFHVLEYVEEDEDSYVTLVTGVEIYSSETGLWTLHENGWNDEVVISLSVNRRSVLLNGFLHSVTPADEIVAVDMEGKKWRKIPMPDPDGDIGIIHQTQGRLCAFNVDPNDILELSIWFLEDYDTDNWILKHTVSSIDLFGRKKYQLDFDYQVIAVHPECNLIFFVYGWHNTLMAYEMDRKEVRVIRKLGHESCLPYLPYVPMFSESLPDGCWRRRECKQEHVQWCTNKKLQNILEMKDNKDGD >ORGLA07G0247100.1 pep scaffold:AGI1.1:Oglab07_unplaced150:28110:29393:-1 gene:ORGLA07G0247100 transcript:ORGLA07G0247100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERYSYSTPRVFNRIAVASRLRALLSSRLLGNRPAQWRRFTGEGEGARLPTCTTVSTARARGASEAKRRSKRQRFVSPSDSSSARIDDPWRRSVVRYLSLCRFDCFVRAIPICSLFTCSICSRIHGLQAEGLSGRQCKEIIPLDSCNGLLLCRCLQFDGVSKFHYAVCNPATEEWVMLPDANWADGENRIACLCFDPAISSHFHVVEYVEDEAERVTGVEIYSSKTGLWSLHGNGWNGVVLVSLSVNRRSVFLNGFLHSVTPADEIVAVDMEGKKWRKIPVPDRDNDIGIIHQTQGCLCAFNVDLLDIFKLSIWFLEDYDTNNWILKHTVSSLDLFEGKNYRLDFEYQVIAVHPECNLIFFVYGLDNTLMAYEMDRKEVRVIRNLGHDYCWPYLPYVPLFSELLTDGR >ORGLA07G0247000.1 pep scaffold:AGI1.1:Oglab07_unplaced150:20773:21906:1 gene:ORGLA07G0247000 transcript:ORGLA07G0247000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPMGRRNPAASLTDDLIVEILRRFPVRSVCRFKRVCRSWRSLIADHEHRKKLPQTLSGFFYESLNGERCPCLAHHFTNVSGKGVPLIFPSFSFLPQCDTVVPLDCCNGLLLCRCFQPGPNNGDDEVGVFHYVVCNPATKEWVMLPDANWANGETRIACLCFDQAISSHFDVLEYVEAEYEDVTGVEIYSSETGLWTLHESGWGDDVVVRHWANPRSVFLNGFLHSATCAAEIVVVDMEGKKWRTIAMPEPEGDTGIIHQTQGRLCAFNVDPDDIFRLSIWILEDYDTDNWILKHTVSSLRLFGGKKSQFGFDYQIIVVHPECNLIFFVYGWDKTLMAYEMDRKEVRVIRNLGHDSSDPYLPYVSLFYESFADGR >ORGLA07G0246900.1 pep scaffold:AGI1.1:Oglab07_unplaced150:1362:3231:1 gene:ORGLA07G0246900 transcript:ORGLA07G0246900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QWQFLQRLHAGSSSSSSSGGLWIVAIVVPVAVLLLGFLGCFLWIRRRRRRGTVSVPTMSMEMEQVLKLWRIEESGSEFSLYDFDQIADATDNFSDACKLGQGGFGPVYKGQLPDGLEIAIKRLSSCSVQGLMEFKTEIQLIAKLQHTNLVRLLGCCVQADEKMLIYEYMHNKSLDCFIFDTEKGAMLNWDKRFRIIDGIAQGLLYLHKHSRLRVIHRDLKASNILLDREMNPKISDFGMARIFCSNVTEANTTRVVGTHGYIAPEYASEGLFSIKSDVFSFGVLLLEIISGKRTAGFYQYGKFFNLTGYAYQLWQEGQWHELVDQALGEDFPAMEVMKCVQVALLCVQDSADDRPNMSDVIAMLGSEGLTMPEPRQPAYFNVRISSLAVSSSSFGESYCMSNVTLIEEEGR >ORGLA07G0246800.1 pep scaffold:AGI1.1:Oglab07_unplaced149:211283:216214:-1 gene:ORGLA07G0246800 transcript:ORGLA07G0246800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIFWESKKFEGQTNLTEPWYGTSYSVEAVPPSIIQKWVEKAPVEDLHMPKPNIFLPSDLSLKNAEKQASFPCMLRKTLFSRVWYKPDTMFFTPKAYIKMDFHCPLSRSSPESSVLTDVFTRLLMDYLNDYAYDAQVAGLYYGVRPNDTGFQITMVGYNDKMRTLLETVIGKIAEFEVKADRFSVIKETITKEYENFKFRQPYQQAFYYCSLILEEQTWAWDEELAAVSQIEASDLEKFLPHLLGKTFIESYFAGNMEPGAVKGVMQHVEDILFNAPVSLCKALPSSQHLTKRIVKLERGLRYYYPALCLNHQDENSCLLHYIQIHQDDLKKNVLLQLLALVAKQPAFHQLRSVEQLGYITMLRQKNDSGVRGLQFIIQSTVKDPANLDARVEAFLTMFEGTLYQMPVTEFKSNVNALIDMKLEKYKNIREESAFFWGEISEGTLKFDRKEVEVAALRDLKKEELIEFFNNHVKVNAPQKKILSIQVYGGLHSSEYEKIVHDEPQPNSYQITDIFSFRRSRPLYGSYKGGVGQMKL >ORGLA07G0246700.1 pep scaffold:AGI1.1:Oglab07_unplaced149:193598:193975:-1 gene:ORGLA07G0246700 transcript:ORGLA07G0246700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHFFDVFSVDLFCIYRRIPEHPVFFLTLASHQPYLVFPGRYLQYTFQCYNNVSTAQIHQDDLKQNVLLQLLALVAKQPAFHQLRSVEQLGYITVLTQRYNVAFILIVFFIAKGCAALFCIGMW >ORGLA07G0246600.1 pep scaffold:AGI1.1:Oglab07_unplaced149:189146:190897:1 gene:ORGLA07G0246600 transcript:ORGLA07G0246600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLDGGGLLGVFTNSTGMNPSAAAPIVAVEFDTFQNEWDQSSDHIGIDVNSINSTAVKLLPDRSLSNVTEPMVASVSYNNSTRMLAVMLQMAPQDGGKKYELNSTVDLKSLLPAQVAIGFSAASGWSEELHRVLTWSFDSTLVVTAGNRRRWRAGVVAGVVIASAVVVGASICLFVMIRRRRISRRRTREEYEMGGSDDFDMNDEFEQGTGPRRFLYSQLATATNDFSEDGKLGEGGFGSVYRGVLSEPAGVHVAVKRISKTSKQGRKEYASEVSIISRLRHRNLVQLVGWCHGRGDFLLVYELVPNGSLDAHLYGGGAALPWPTRYEVALGLGSALLYLHSGYEKCVVHRDIKPSNIMLDSAFAAKLGDFGLAKLVDHGDASQTTAVLAGTMGYMDPEYAASGKASTASDVYSFGIVLLEMCCGRRPVLLQEQSIRSRLLEWVWDLHGRGAILEAADERLRGGELALDAKQMECVMVVGLWCAHPDRGVRPSIKQALAALQFEAPLPALPPTMPVPTYSSSPSLALYCDAAAASSSSSSAGFSSSTSGERSSTSSSAATAESSWLLKHNNRGSEKIVLGNN >ORGLA07G0246500.1 pep scaffold:AGI1.1:Oglab07_unplaced149:184046:186089:1 gene:ORGLA07G0246500 transcript:ORGLA07G0246500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPNLGKHCSVGDCNQIDFLPFTCDRCDHVFCLQHRSYTSHQCPNANQKDVTVLICPLCAKGVRLNPNEDPNITWDTHVNSDCDPSNYQKVTKKKKCPVPGCRETLTFSNTIRCKDCTKEHCLKHRFGPDHKCPGPRKPESTFPFGNMLRRSQKAESRSNSNSSSTSSSWWSSSLLTAATSFKSSAEAGMQKLSTATTQAIQKAKDGISTSSSNSGDLVEQCVQCPARFSTVGALIEHCEKSHQSNSQSSRSRVTVDVCPKCSKAFRDPVLLVEHVERDHGGTSRA >ORGLA07G0246400.1 pep scaffold:AGI1.1:Oglab07_unplaced149:178671:179750:-1 gene:ORGLA07G0246400 transcript:ORGLA07G0246400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARMAAAVVCLPSSSSSSSACRAAPLPWSRGVVVGVRRRHTVARAARRRGRRPGRRGLVIVDEFAGQYEEGFEDVHTEIMNYFTYKATSTVLHQLYEMNPPAYTWLYNYVVVNDPKEGKHFLIALAKERQDLAERVMITRLHLYSKWIKKCDHAKMYEKISNENLEIMRQRLMETVAWPTDDTNTSDTAK >ORGLA07G0246300.1 pep scaffold:AGI1.1:Oglab07_unplaced149:175569:177638:-1 gene:ORGLA07G0246300 transcript:ORGLA07G0246300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTKYTCLLALYFSLSLKIAHVNPLSFKLNFTESNHNGSATIQLQEDAFYNKAVKLTKDELNGKITQSVGRAIYTDPVPLWDSTTGQLANFTTRFTFKIYAPTNDSSYGEGLAFFLSSYPSVVPNNSMDGYLGLFSNSNDQSDPLNQIVAVEFDSHKNTWDPDGNHVGINIHSIVSVANVTWRSSINDGRIANAWVTYQANSRNLSVFLSYQDNPQFSGNSSLSYLVDLSKYLPDKVSIGFSASTGKFVELHQILYWEFDSSDVHLMKTEKTKGILVISLSTSGSVVVCSIGLVCFFLCFRRIRRTTRSREKEEEKLDCDESIDSEFEKGKGPRRFQYNELVVATDNFAAERKLGEGGFGAVYQGFLKDQNIEIAIKRVAKGSTQGRKEYISEVKIISRLRHRNLVQLVGWCHEHGEFLLVYEFMPNRSLDKHLYDGGNLLAWPLRFKITIGVASALLYLHEEWEQCVVHRDVKPSNVMLDSGFNAKLGDFGLARLVDHDRGSQTTVIAGTMGYMAPECVTTGKASKETDVYSFGILALEIACGRRPVVPKEDNDKISLVQWVWDLYGRNEILNAIDGRLDGEFEEREVISLMVVGLWCAHPDYNIRPSIRQVISVLKFEAPLPDLPPKMPVAMYFAPPISLCRFSQSSNGTLKELERPNSYGNTSSSSATNDSCAPPSVRLPEVGY >ORGLA07G0246200.1 pep scaffold:AGI1.1:Oglab07_unplaced149:173797:174591:1 gene:ORGLA07G0246200 transcript:ORGLA07G0246200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADPLVAGATVSSDSDSDDLHLLLPNLLPSAATAASDAQLHHFHLPALPSPITVRTLPSLGLTFQLWPSASTLLRVLPASPHLLPRAPTPESPLGILELGSGTGAAGLALAAALPAHAVLSDLPAALPNLRHNASLNAPLLDARGGSVSVAPLPWGDAAAMEAVAAPPPASRFDLVVASDVVYYEALVDPLIETMRFFVKGDVVFVMAHMRRWKRTDKKFFAKARKVFDVEVVHEDPPLEGWRHGPVVYRFTEKKQRGKK >ORGLA07G0246100.1 pep scaffold:AGI1.1:Oglab07_unplaced149:170367:171097:-1 gene:ORGLA07G0246100 transcript:ORGLA07G0246100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLSYRPVVVSSSHRLDGHSPFSGGVRGGRELMPIEGICRQVEAGCFAHCCAVLCKGEPSRSSFRPVTSGLHAHDQERSVCPVLPHAGCRVVSWELGKLWDGQFAM >ORGLA07G0246000.1 pep scaffold:AGI1.1:Oglab07_unplaced149:157132:158193:-1 gene:ORGLA07G0246000 transcript:ORGLA07G0246000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEHTAASGSRSSSSRGADDREIVISTGREIVVRSGGEEREEEVVVEEELEEPEFRDIHALSPPPTPTPTPSQPSSYHRRRRESWESAAGSRHTSIRSVGSDTAPSELFPTMSREFSAMVAAAANANANAAAAAAAAANGGDSSRAGVDDALGRIGEDELEETNPLAIVPDSNPIPSPRRAHLALPAPGDVSSAGGGHGDEVSVGQVKKEEVESKIAAWQIAEVAKVNNRFKREEVVINGWEGDQVEKANAWLKKYERKLEEKRAKAMEKAQNEVAKARRKAEEKRASAEAKRGTKVARVLELANFMRAVGRAPSKRSFF >ORGLA07G0245900.1 pep scaffold:AGI1.1:Oglab07_unplaced149:149759:154348:1 gene:ORGLA07G0245900 transcript:ORGLA07G0245900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSEPGRPWTVSATWAPAGGAAVEDAVSFETSADDAEAVPSAVFLERPSPDGDGDAPPCEVTVHFRGKYEIHRVYVRSTARIYEIYYTTDPKDSSKDYLCTVRCGPAVKEPLPSAEESMPQWSSDASTSEKHEHETKSVSSSIDEDSWVDVKIPELHVEKNRSKSQEPNAIGAKQETTLAHYEATAEITDASPCVSLTVRLLSLQSKTSVHIEEIYIFADPVEPTNDESETRPGNMGGSSLLAMLVPSLMQMSKSRNQKTDNKYFSDASRTQLSQGCAMAVNNSPCENVVRDAEPCSTDDLNFKSAGMESKLNAIDGDTITYEKGNHYELKDSKSILLPIQTTENTQAPLTKNQSVSNTDQPVTPLMDENPNPYSRIEGKLDTLLSKMEKMELYCSRFDDGMMRPICSIESRLQRLEQQFDVFSMEIKTLQASSARMTAPDGLSDMINPQDKTDNDGKAENSASTTNRQPGLVVRAPEFSLEESFSCDKSNENAVTLRGPSMVPRLLVKAPDFVSESEFACEKLHDGSFSPVDFALSSEKEPKTSPGLVVKVPEFPNDSDDELEEEKETEVGDHDDGHTKSDAALSESTVDSFKSKSHVSVDGALASALEALLGSTKKTPSSQSAACPASNSTAENTTDSSTCPFSSEQVDETSTNDGSAGQLSGTFVDANKVNTFISCEEADAVPQTYLSKANLKAEVNDLNNVLDLNMMAFAESTEPLCVPQLHTVEESKDVGSQANLKNNGSSSYMMQSIANTEHIIAPSQLPTVLESINDGQQLNGNRSTLSLAEFLVARNANFSKNVISEALSSNGGAEMHTFKRTSMESAKNIKDISQLLLQKALEVNEDDSAKFSVSGGMNSCCLRAFTDSKKRCIESSGLEASLNDSFTKSEVEHSLSDLCSMESFSAEPAREAVGSGGVTAGNCVDDPFTGSSTVNPTAGEELQKVCDLLYEYNDDVLGMAFVAKKTSKSSPSLEVLLAESSDSEAEISDAKDTDNDAGLSSARLFSTFSSSDDEAPRTDEPIIDVVDLPTPLDAYASSKNELVDDESLLDMDDPPIPPESYASVLSDMHHACLNDQSKPSGTFAEEGNGEYPDSLI >ORGLA07G0245800.1 pep scaffold:AGI1.1:Oglab07_unplaced149:147985:149427:-1 gene:ORGLA07G0245800 transcript:ORGLA07G0245800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRFVPFPAIAVGGASLRFLADNTRAVGGSGRRRCSDVVAFSSSEKGPGPGSGEEREPRAEEALRRLAELDSQLEGLSEPRERPPAPPLPPDPYMDRDMITGRGSKDELPEFSPTYVTFSTLALVILTIFTNVVFNLYIKPSVDGVDQPVRIERVPMVNPADQQFK >ORGLA07G0245700.1 pep scaffold:AGI1.1:Oglab07_unplaced149:145658:146644:-1 gene:ORGLA07G0245700 transcript:ORGLA07G0245700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNERSFRVSPMGTALQACYADLGQRPRPPPIHFRPPRNPHRASRLSSPRRGMCVSPDPRMRIPSATSAHASAATPAHRAPPARDREGALVGSRQPAGARGLRAARQNRAWGICMGRGRLRCRRAVAVADAWCMQFFDRDTVGGWEQLGSGEVMIARGQLGKGRIALRGLAVIWLFWPGRAARDGRGQRERHVMGV >ORGLA07G0245600.1 pep scaffold:AGI1.1:Oglab07_unplaced149:142079:143077:-1 gene:ORGLA07G0245600 transcript:ORGLA07G0245600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASFMLAVLLAVAVAAAPARAVRCPPSDKQALMRVKQSLGNPATLSTWSLASADCCEWDHVRCDEAGRVNNVFIDGANDVRGQIPSAVAGLTALMSLSLFRLPGLSGPIPACLTALSNLQFLTISHTNVSGVIPDSLARIRSLDSVDLSHNSLTGPIPNSFSDLPNLRSLDLRSNKLTGCIPAGLVQGQFRSLILSYNQLTGPIPRDDAQDEINTVDLSHNRLTGDASFLFAAGRPIGKVDLSWNDLDFDLSKLVFPPELTYLDLSHNRIRGTVPRSLAALSTLQTLDLSYNRLCGPLPRLHGVIRHGCKPYEHNQCAGGAPLGGCHQS >ORGLA07G0245500.1 pep scaffold:AGI1.1:Oglab07_unplaced149:125968:129237:-1 gene:ORGLA07G0245500 transcript:ORGLA07G0245500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPEGSGRGRKKQQQEQKQKQKEPKQQQQQQKKGKKPNPFSIEYNRSSAPSGHRLVVLREPTGRDIAARYELGGELGRGEFGVTYLCTERETGDAYACKSISKKKLRTAVDIEDVRREVDIMRHLPKHPNIVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAALVTRTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSDNAKDLVKGMLNPDPRRRLNAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIAEHLSVEEVAGIKDMFEKMDLNKDNMINFDELKLGLHKLGHQMADADVQILMDAADVDGNGSLDYGEFVALSVHLRKIGNDEHLHKAFAYFDRNQSGYIEIDELRESLADDLGANHEEVINAIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQLTTTQ >ORGLA07G0245400.1 pep scaffold:AGI1.1:Oglab07_unplaced149:118102:119987:-1 gene:ORGLA07G0245400 transcript:ORGLA07G0245400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCQGLSAGHAQLLAFPAAKHNVSFARTSCLGTPAGSWSVKRARLHAERKSFSMGALRVGTGFVQNDMLASFGRDDSIKGIPSLAARHSQHRRLEVGCRASSLASFSYPELTSKPRWWWRTLACVPYLLPLHNMWSYADVIYQLHTYLQGFSLVYTFIDTMTLFPGWLLLVIFMTVYFFVVRRKWSPHFLRFHVILAILLDTGSQAVATMCTWMPSIVYQGKPMQYFWMAIAFIQIFTVLECMRCALAGMYPNVPFISHTAFIHSDLNLFR >ORGLA07G0245300.1 pep scaffold:AGI1.1:Oglab07_unplaced149:112499:116768:-1 gene:ORGLA07G0245300 transcript:ORGLA07G0245300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein K [Source:Projected from Arabidopsis thaliana (AT4G36720) TAIR;Acc:AT4G36720] MALLAPAISGEVGLRLLLAPLSSTVVIRTASCAIGVGLPVYSTFRAIEKKDQKEKERWLLYWAAYGSFSIAEIFADQILSSVPFYYHVKFAILVWLQFPSNSGAKHVYRRYMRPFFLKHQAKIDRILNILSKELNKFVSSHEDEIHFIEHMAARGASTANYIINGPEQSEAVRAAIEGPNSTTTEEAGTPRNET >ORGLA07G0245200.1 pep scaffold:AGI1.1:Oglab07_unplaced149:108650:110665:1 gene:ORGLA07G0245200 transcript:ORGLA07G0245200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELADLVVVPSQPPLAGGRRDRLAALLELAAADDVDGLRGALAEGGEEAAELADGVGLWYGRSKAYEARTPLMVAATYGSAGVVSLLVGLGGCVDVNRRPGADGATALHCAASGGSRNAVAVVKLLLAAGADPATPDSAGRFPADVILAPPASPDALGDLEVLLGRRRALAVATSVASGSSSPPLSSSPDEGNRSPSSRSSSLSPITVDRGKKEYPVDPTLPDIKSSVYASDEFRMFAFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKHPYTAVPCPNFRRPGGCPSGDSCEFSHGVFESWLHPSQYRTRLCKEGAACARRICFFAHDEDELRHVPHNSGAGLLSPRASSSIDMTAAAALGLLPGSPTRHFAPPPVSPSAGSNGGAAAAHWLQGSRLRSSFNARDAAVDDLGMLLEWESQYLGALCLPPSSRPQPRLSAGLSIRPTIAPSNLEDMYASDMAMSPRFPNDQGHSVYSPAHKSALLNKLHQQKGLLSPVNTNRMYSPRALDPSSLAHSPFGGMSPRSPRTVEPTSPLSARVGAPATQREMFEQFTSLNKHQLPSVGSPRNSTAWGTVGSPMGKVDWGVDSEELVRLRRPAQPGFGEDETDVSWVQSLVSNAELNGKRGEVQGMPGTSALMNRPDLNNQGDLLDQTVIGAWLEQMHLDQK >ORGLA07G0245100.1 pep scaffold:AGI1.1:Oglab07_unplaced149:98896:102164:-1 gene:ORGLA07G0245100 transcript:ORGLA07G0245100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEVKNDEEYQMDPIEIKDGEEHSIEMLVEQPRFLEPICPEEVNEDTRVYPRVGDEYQVEIPNLATEEERMKLRSCPVDDSGIFGFDYPVGVGLAIPVTWTQKTSDHVKKEQTGFSGRSSCSSQDECNSHVTEDIPRNVPGCKVECDEHDEKLLKSAEQDINCLQNGKASDYIPLPGMTHYSWTDEEAQTFLLGLYIFGKNLVQVTKFLQCKTMGEVLSYYYGEFFRSDSYNRWAACRKVRSRRCILGLRIFSGTRQQELLSRMLAGIAREVQHPLLEVFKTFNEGTSTFEEFILSLRSTVGARVLVEAVGIGKGKYDLTGFALDPSRNHGISTRAEIPIGKACSSLSSGDIIKFLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKDSSLVGKHALVFLIPGVKKFSRKKLVRGNHYFDSVSDVLSKVASEPRLLEFGVEGSNGEGGFKIENGWIHDAELEKSTVTDKKSSCYTRPSEPGCSPELMKFTVVDTSVVQGEEPCKVRSLRNLPTDASHGYMSSPHSEDSGSDSSEEHSDSEDSSQSYEHINTNQNKTGAKYVNEKKCKPPTGDRMDIDVLQKNSTFAGTMTSTNGHMSFDQGFSLMNNACSSTATILPVGTQRVHATNSSTEINFQFDQRVTPEPQIFLAASVAKRRRLVSCKNERTGRRNTAANKRQHGKQVDTAQHDVSKSNEASAGAKPFIWGSIPNSSTTINFDMSNIHLCHKQYNVPPTDEKMVLKEKSQDKHVIDLNIPQVPSDYESAVSYIVPSSDKNTHTMDRSIHSSETNRMDDCLPDINASCNGLLSEQRRQSTRSRPPTTRALEALACGFIGTKQKGAEGNFPSSSRSSRPVRRPRRLTDGAVSFPSDGEGSSSHFTDSAIIVNEWHMTNRQYQVLDNTPTDKSTDNRTRELFGADKSADKGTREFFGADKSADKGTREFFGADKSADKGSHELFGIP >ORGLA07G0245000.1 pep scaffold:AGI1.1:Oglab07_unplaced149:93690:97457:1 gene:ORGLA07G0245000 transcript:ORGLA07G0245000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFGPLLLVLPLSSALLVLSAATAPRGRPSQVDVGRQLREELWERNQGHEMLRSWRDGDPCSPSPWEGFSCRWKDGNLFVVKLNFSSKKLQGPIPAAIGNLTELDEIDLQDNNFTGSIPESFFDLTHLLKLSVKCNPFLNNQLPHGLSISVEFSYGGCAYHSPPGASNQRIAVIGGVAGGSLACTFALGFFFVCFNKREKNPQKKDCSSTRNPVFEECSTHKATNSAVQQLSLKSIQNATCNFKTLIGEGGFGSVYRGTLAHGEEVAVKVRSTSSTQGTREFNNELRLLSAVRHDNLVPLIGYCCEKDQEILVYPFMSNGSLQDRLYGEASKRKVLDWPTRLSVCIGAARGLAHLHGFAGRCIIHRDVKSSNILLDHSMCGKVADFGFSKYAPQEGDSNASMEVRGTAGYLDPEYYSTQSLSTKSDVFSFGVVLLEIVTGREPLDVQRPRDEWSLVEWAKPYIREYRIEEIVDPGIKGQYCSEAMWRVLEVASACTEPFSTFRPSMEDVVRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDRKMFASGSARFASFDATKGHLQTMPSLPG >ORGLA07G0244900.1 pep scaffold:AGI1.1:Oglab07_unplaced149:83692:88318:-1 gene:ORGLA07G0244900 transcript:ORGLA07G0244900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: exocyst complex component 84B (TAIR:AT5G49830.1); Has 132 Blast hits to 132 proteins in 25 species: Archae - 0; Bacteria - 0; Metazoa - 7; Fungi - 0; Plants - 117; Viruses - 0; Other Eukaryotes - 8 (source /.../ BLink). [Source:Projected from Arabidopsis thaliana (AT1G10180) TAIR;Acc:AT1G10180] MESSSEEELEEDFPGHEWITPQSSIRAAYQSQTEKGIRKICSELLELKDAIENLCGNMQSKYHAFLRISEEVVEAEQELIELQKHVSAQGILVQDLMSGVCRELEMWQKHCKDEHVEEKDLQTELDEILSYDTQDSKVSFLDKLDTLLTEHKIEEALLALETEEKKCMATDDPGKELDAEISTYKTALSKRKSILEDQLVRYSEQPSLSITELRKSLSGLIKIGKGSLAHQVLLKAYGSRLQKNVEAFLPTCSIYTETYSATLSKIVFSAISKVSKESSSLFGDSPMNLNRIIQWAEYEIETFARLVKENSPLPESVSALRSACICIQTSLTHCSYLESYGLKFSNLLMVLLHPYVEEVLELNFRRLRRKIVDSAKNDDILLPSPQEGSRLSSSVAPNIMLTSSGKKFMSIVNDVLDQITPMTIVHFGGTILNKFVQLFDKYVEALIEVLPGASEDDHLVESKEPIEFKAESDAQQIQLIGTAYTVADELLPAAVSKFFDIQTEKKRIGGTGEGLGSGSIYSIEYKEWKRSLQHSLDKLRDHFCLQYVLSFIYLEGKSRLDARMYLELKTDDLLWECDPSPSLPFQALFVKLRQLASVAGDVLLGKEKIQKVLLSRLTETVVMWLSNEQEFWDVFEDQSIQLRPSGLQQLILDMHFVVEIAVCGRYPHRPVQQLVSVIITRAIAAFSVRNVDPQSSLPEDEWFLDMAKVAINKQLGTSGSESELEEPVVVHDEISDSEESSISSPSTIGSEDSFASANNDDLETPVYFTDPEA >ORGLA07G0244800.1 pep scaffold:AGI1.1:Oglab07_unplaced149:81796:82160:1 gene:ORGLA07G0244800 transcript:ORGLA07G0244800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPAPVTAYPPPAMAPLQQPTGQAPYTAPPQGNYAPPPPPGYPGNFDVGMNPPQPAQTQSRGDKAFLEGW >ORGLA07G0244700.1 pep scaffold:AGI1.1:Oglab07_unplaced149:78899:79099:-1 gene:ORGLA07G0244700 transcript:ORGLA07G0244700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDPDPSGSTKRGLSSAVAARGSGEARAARSRAARSATRRQRLGAPAARGARGGRRGGGRRGLGTRRA >ORGLA07G0244600.1 pep scaffold:AGI1.1:Oglab07_unplaced149:74073:75398:1 gene:ORGLA07G0244600 transcript:ORGLA07G0244600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor [Source:Projected from Arabidopsis thaliana (AT5G41920) TAIR;Acc:AT5G41920] MKAKRAADDEEEGGERERARGKRLAAEGKQGLVVVSTGEEEEAAAETRGLRLLSLLLRCAEAVAMDQLPEARDLLPEIAELASPFGSSPERVAAYFGDALCARVLSSYLGAYSPLALRPLAAAQSRRISGAFQAYNALSPLVKFSHFTANQAIFQALDGEDRVHVIDLDIMQGLQWPGLFHILASRPTKPRSLRITGLGASLDVLEATGRRLADFAASLGLPFEFRPIEGKIGHVADAAALLGPRHHGEATVVHWMHHCLYDVTGSDAGTVRLLKSLRPKLITIVEQDLGHSGDFLGRFVEALHYYSALFDALGDGAGAAEEEAAERHAVERQLLGAEIRNIVAVGGPKRTGEVRVERWGDELRRAGFRPVTLAGSPAAQARLLLGMYPWKGYTLVEEDGCLKLGWKDLSLLTASSWEPTDGDADADVAVAGDTHHESHDS >ORGLA07G0244500.1 pep scaffold:AGI1.1:Oglab07_unplaced149:71898:72635:1 gene:ORGLA07G0244500 transcript:ORGLA07G0244500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSSWHGGQQRLTAGWSHAAAPPHSLDSSRRHGVPKATAPPEAARARHLLQIHAQFVASGLLADAFAASRLILFTTSTRLLPLPFHHSLRLLRLAPSFCAARANESGEQPLGQSPLEAEQQPPPPPRGGDGGSKDATAVAPRPGLAGRERGERGRRRRHAASGSGGEGTGRTRPLPSRRVGAWQGGNGESEDVAAIAHAAPSFLVPQPQLGQPPPPPLLQEPRLIASTRGAGHPVAGQGEDRPQLH >ORGLA07G0244400.1 pep scaffold:AGI1.1:Oglab07_unplaced149:68527:68859:-1 gene:ORGLA07G0244400 transcript:ORGLA07G0244400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGGGGSGGRQGSEPESAAAVHVPGPCAATQRALAECHRSAARGPLRPEVLCRHLNRALAECLVTSCCPGETEAVRTLCGSAGTALKRSQCQRARIGLSLCLESHQEP >ORGLA07G0244300.1 pep scaffold:AGI1.1:Oglab07_unplaced149:65171:66213:-1 gene:ORGLA07G0244300 transcript:ORGLA07G0244300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c [Source:Projected from Arabidopsis thaliana (AT5G45040) TAIR;Acc:AT5G45040] MHRLPLASRPPGPHRAAAAAHRSPQRTTTACCGRLKQEATPSFASLAVAASAAAERAATPLLAAAALLLSAASPGFLASTPSAFAQSEGAALFRKACIGCHDMGGNILQPGATLYMKDLERNGVATEDELYNITYYGKGRMPGFGEKCTPRGQCTFGPRLAEDDIKLLAAFVKSQAENGWPKIDGDGD >ORGLA07G0244200.1 pep scaffold:AGI1.1:Oglab07_unplaced149:58291:64413:-1 gene:ORGLA07G0244200 transcript:ORGLA07G0244200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha 1,4-glycosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G19900) TAIR;Acc:AT4G19900] MLPRSHSHPAARRRSGLGAQLCAVVAALLLLVSLAVLHSRLSSSSSSPFPLSSSGDGVSNSSLVEDEDDGAAVLDPTVTITATTTTTTTTTNAAADSTTDGSSVSNPEDDPIDELDVLDEDTASGLGAADEVPASASASSLVWDHAVGVARLPFRLPAAGDPLPAGLPHLEPAHRIAAAAFGSDDEPVDLELRVEISSIAGVEDALLLKPASSAPETPLRAGWARWLEGKADYLRRDRMLRSNLEFLNPRNHPLLQDPDSPGLTSLTRGDRMVHRMLLAEIEKAASKNFERRSLQSSDIKQGMGVTEKVQQRRWGYYPGIDPHLGFNEFMEKFFEHGKCSVKVFMVWNSPQWAYGVRHQRGLESLLRQHPEACVVMLSETLELEFFQEFVKEGYKVAVALPNLDELLEGTLTHDFVSVWNEWRKTKYYPLHYSELVRLAALYNFSGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPFLAECLKEFHSTYDDELLQWNGAELMTRVIRNMSDKADDNSGHLDIKFEPSVAFYPISSTDITRYFSEADSTDERAQHDALFSRIVNDSTTFHLWNSITSSLVPEPNSLVERILNRYCLHCLDVL >ORGLA07G0244100.1 pep scaffold:AGI1.1:Oglab07_unplaced149:56770:57396:1 gene:ORGLA07G0244100 transcript:ORGLA07G0244100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPAGAAPAVAEPATTTCCSASSTPGTRTAAPRRRAGGGRRDARIGALRGAVHELQHQHVDRRARLLTHPEHLRAAVREQEELVLVRHRHGGDVVDHDALQRMGHLHRCVKETLRLHPPSLMLLRHARRSFVVRTRGSGDAEYEVPAGHTVASPMVIHNALPHVYEDAGSFDPGRFGPAREEYRAYAADHTYTVFGGGRHACVGEALSR >ORGLA07G0244000.1 pep scaffold:AGI1.1:Oglab07_unplaced149:51226:53421:1 gene:ORGLA07G0244000 transcript:ORGLA07G0244000.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAAVWFSAIAAVLLAASTIAVVVVAKMTGKRNGGAAAAAAAAAEAELPLPPVVSGVSLIIPVITRGPMAVADELYVKLGSVFTVSFLGVVKATFLVGPEVQGGFYSRPESEVHQGGTYRMTVPMFGRGVMYDVDVATRSEQIAVCFEALRPTKLRSSTVTMVRETEEYFAKWGEQGTVDLKRELDLLILTIASRVLLGKEVRETMFADVVASFHELMDNSMHLISLCFPNLPIPRHRRRDTASARLKELFSRAIQLRRGSGRAEDDVLQRFFESRYRDGRAMSNNEITGMLIALVVAGQHMSSSASTWTGAFLLRDPKHLAAAVDEQRRLIGDDRVDYDALTTGMSTLHRCIKEALRMHPPAPALVRTVRRGFAVRTREGKEYRMPAGHSVVSYAAFNHRLGYVYRDPDEYDPERFGPERKEDRVAGKFSFTAFGGGRHACLGEHYAFLKMKVIWSYLLRNFELELVSPFPEVELNNIMLGPRGEVMVRYKRRKLTST >ORGLA07G0243900.1 pep scaffold:AGI1.1:Oglab07_unplaced149:45502:49114:1 gene:ORGLA07G0243900 transcript:ORGLA07G0243900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERGRLPRKPRPSPILVPQPPASPPPPPRLLAFLLPRSLLSLAARAMPSRRPSPLLLLLLALALAFLFLLLSPSAPSASHLSSSLASAAAAVSTPASSPPAPVKIYMYDLPAKFTYGVVRSYMAARARAGAAGAASAIPDDELRYPGHQHSAEWWLFKDLRRRGPRDRPVARVGDPADADLFYVPFFSSLSLVVNPIRPSAAAANASDAAEPAYSDESTQEELLVWLERQPYWRRHQGRDHVFICQDPNALYRVVDRISNAVLLISDFGRLRSEQASLVKDVILPYAHRINSFQGDVGVESRPSLLFFMGNRYRKEGGKVRDTLFQVLENEADVIIKHGAQSRESRRMATRGMHSSKFCLHPAGDTPSACRLFDALVSLCVPVIVSDYIELPFEDVIDYRNISIFVETSKAVQPGFLTSTLRGISSQRILEYQREIKKVKHYFEYEDPNGPVNQIWHQVSSKAPLIKLLINRDKRLVERGTNGTDCSCICSTTKELLRK >ORGLA07G0243800.1 pep scaffold:AGI1.1:Oglab07_unplaced149:39728:40537:-1 gene:ORGLA07G0243800 transcript:ORGLA07G0243800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGLSRGRRCTIASRLNASAEAAVAATSSSRGEKQKAAVARRVEYYDEEDARGEARYDVFINHRGVDTKRTVARLFYDRLVAAGVRAFLDNQSMRPGDRLDERIGEAISQCGVAVAIFSPHYCDSEYCLRELALLVESRKKIIPVFYNIKPSDLVLPQAVVESVAHHPRDIERFKFALREVKNTVGLTYDPATGDLAELVTSAATAVLENIDKHEVTQGRQMIMSRL >ORGLA07G0243700.1 pep scaffold:AGI1.1:Oglab07_unplaced149:34177:35539:1 gene:ORGLA07G0243700 transcript:ORGLA07G0243700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSVNLRSCALTTRSTERNNGQNVKQTARTHAPTHAPARREGVSRQTGVCHLQRNPAGIELGADVYAVQGSERQFVRTVVVATNSVLVSTLYRCRSVSSNKLGREEREDVAFHGELEIDRCTSRGPRQGRRDSAVRDLLSLRVGSCIAVPVPVRDTNLCQYSVKAAPRVIDNWCHFALSFRRPYTVANRDGFINYVLPFSIASLGMPFIFSKL >ORGLA07G0243600.1 pep scaffold:AGI1.1:Oglab07_unplaced149:29381:30973:-1 gene:ORGLA07G0243600 transcript:ORGLA07G0243600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDSSSGSAPPRVLRRQQQQPGSAPELPPGFRFHPTDEELVVHYLKKKAASVPLPVTIIAEVDLYKFDPWELPEKANFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPIMASGSTREKVGVKKALVFYRGKPPKGVKTNWIMHEYRLTDTSSSAAAVATTRRPPPPITGGSRGAVSLRLDDWVLCRIYKKTNKAGGGQRSMECEDSVEDAVAAYAPSSQQHATAAAGMAGSDGAGGVAAAHGGDYSSLLHHDSHEDTFLVNGLLTAEDAAGLSTGASSLSQLAAAARAAATPCDATKQLLAPSPTPFNWFEAFLPRAKEFPGGLSRSSRDIGDMSLSSTVDRSLSEAGAVAIDTGDAANGANTMPAFINPLGVQGATYQQHQAIMGASLPSESAAAAAACNFQHPFQLSRVNWDS >ORGLA07G0243500.1 pep scaffold:AGI1.1:Oglab07_unplaced149:21460:24111:-1 gene:ORGLA07G0243500 transcript:ORGLA07G0243500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASPNDQTLFLDLLFLPLASAQLGRGQTTPRYHTRQFGCDGVRQRAATTHWGGARRLAALRRTRGSSLARARKGGGRASGHRLRGGKRGVVEDEAANYAWGGGHQPAVRKEELVRRPPAGRISSACQARGGALQHGGHTQGNSSIFHIGPARARRGGGWAPGHCPRGGRRWSWRTRQRPHRQTPPRRQQRRSARRGGVTLVLHPAAASVDAGQCYAP >ORGLA07G0243400.1 pep scaffold:AGI1.1:Oglab07_unplaced149:456:4597:-1 gene:ORGLA07G0243400 transcript:ORGLA07G0243400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVARSGTAVDAGGDGGEDGKRRRRRWKAPREDQLGMVPGRIFSNDGRSRTATVYTQQGRKGINQDAMLVWDGFGGEDDGVLCGVFDGHGPHGHVVARRVRDSLPLRLMSAARDSGADMPAAAWRKAFARAYKAMDKDLRSHPSLDCFCSGSTAVTVLKLGSDLYMANIGDSRAVLGSREATGGGMVAVQLTVDLKPDVPSEAERIKKCRGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKDYGVISVPEFFHWSLTEKDQFVILASDGVWDVLSNQEAVDIVSASPSRSKAAKSLVEAATREWKTKYPTSKIDDCAVVCLYLDGKMDHERDSTASLDNISIEEGSVADPNEPQEQEPTLTRNFTVRTVAGSTQEKTLAGVDARIAGVANDQNWSGLDGVTRVNSLVQLPRFSEERAIG >ORGLA07G0243300.1 pep scaffold:AGI1.1:Oglab07_unplaced148:8552:10838:1 gene:ORGLA07G0243300 transcript:ORGLA07G0243300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHLLSPLLSPLAYPKPPSSRLAPRRVASHRTVAPPPAARRAPLSEPDVGISRFASALPGFRGALKKRYSDFVVHEVALDGSLVRLTSFDLPDGECVDAKEGGMDEAQALESFRLLCGDADCEALRGFLERVSEGGDSDVSPIILSADADKTHRSEVHDFFKRNFEALLTDTVEHSDGIQRCIRVRLKPGRRERRDVDGRGRNRKGTGSSGWRDDKPFDSRGSIIWPDHLGKFIRFHLYKENKDTQEALGKIGKMLGLQPRSFGFAGTKDKRAVTTQQVTVFKVQASRLVALNSKLIGIKVGDFSYVKEGLALGQLMGNRFTITLRSVVTESEDVIKAALDGLITNGFINYYGLQVQ >ORGLA07G0243200.1 pep scaffold:AGI1.1:Oglab07_unplaced148:1180:6320:-1 gene:ORGLA07G0243200 transcript:ORGLA07G0243200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPSTPRLGAVAGAAASPGAAEQMFAALVGGKAYPISSEFWRQLLELPLTQQWPRDRVLQACHAFAQNNYHTKHLAKILIHLVWCLQECMAESSHAAYRKAINAAYISSIFLKFIIENAKTDNWQELSLEIDKDEKGLENFPSEQSVEYFLMRGVLNYIGSVDVSLESCYLHHELLNLMLVLMSTQLCSGPSPEPKDVHPFIDAAMLQDSSIVSSVVQKLLLNFVKRPRIPLNSSHPAFSDDGGPGVLQRVGSVAANFVLLPYYTFNYLVSSNAEGASSQLADNSLLVLLILIHYRKCITMSESFPSSNVYTSDLNTNVKDAPAFHDNPYYKALSNAKDSQYDRADVEGNAQNGLVVRLSFASLFDALGTCLKDESSVLLLYSLVHGNCDFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIVLLILSQDSTFNASVHKLVLPSVPWYHERLMHQTSLGSLMVVILIRTIKYNLSKLRDVYLHTNCLAILANMAPHVHRLSAYASQRLVSLFDMLSRKYTKLAELKNDKAIKVISDQIEADNISDDTSTELHIYTDFLRIVLEIINAILTYALPRNPEVVYAILHRQEVFQPFKNHPRFNELLENIYTVLDFFNSRMDMQQLDGEWSVDKVLEVINKNCRSWRGEGMKMFTQLRFTYEQESHPEEFFYPICMARCPITRAKINPTVRQGG >ORGLA07G0243100.1 pep scaffold:AGI1.1:ADWL01015302.1:2589:3187:-1 gene:ORGLA07G0243100 transcript:ORGLA07G0243100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVEGKICLQKLEEIRKMSVPTVEGLSTTPSTYDRLNEELSTISGFGPFTRANVLMCMGFFHMIPADTETIRHLKQFHKRASTISSVQKELDNIYGKYAPFQFLAYWCELWGFYNKQFGKISDMEPINYRLFTASKLKKATVNS >ORGLA07G0243000.1 pep scaffold:AGI1.1:Oglab07_unplaced145:5063:7530:1 gene:ORGLA07G0243000 transcript:ORGLA07G0243000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKNLETARADRSVWLMKCPTVVSRAWQEAATAAASSSSSSDAAAGANSNSNANPNPVVAKVIVSLDPLRSEDQQLQFKMEMAQTGNGNTPKSYSLNMFKDFVPMCVFSESNQGKLSCEGKVGHKFDMEPHSDKN >ORGLA07G0242900.1 pep scaffold:AGI1.1:ADWL01015299.1:2667:6470:1 gene:ORGLA07G0242900 transcript:ORGLA07G0242900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHIRARAWEATVRKVVQQQPQPQPQPGGSRRRVSPMLAADDPETASSSSASTAGGDGEHRHDGYVERGLPNGDFYTGQWRGGAPHGAGKYLWTDGCMYEGEWRHGKATGRGRFSWPSGATYEGEFRDGFMDGAGTYTGAAGDTYRGSWSMNLKHGHGKKSYANGDHYDGEWRSGLQDGAGRYIWRNGTEYTGQWRAGLIHGRGALVWSNGNRYDGGWEDGCPRGQGTFRWADGSVYVGYWTRDAATGIVQQKGVYYPSPAASSPTARDPRDVFARDLPGFMGGAAEPVASLPHRSLNHSGNRGGAAAAAAAGNARSSSASGLSNSSGGDRKYDKICIWESDGDITCDIVDGAALADEAVGPRRSIRAAGGGDELVLAPPSPAPRIAKWVPPREAKRQGETIAKGHKNYELMLNLQLGIRHAVGKQGPVTLDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGSEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHSIHRRFDLKGSSLGRTTDKPQTEIDQYTTLKDLDLNFIFRLKKQWFHEFQSRQVDRDCDFLEQEKIMDYSLLVGVHFRGNREKLLSEGSTDYDINKISTPRLSRGNVDQCLTDPNRWPKIKLGANMPARAELTARKNDLEPQLIGEPTGEYYDVVLYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPRQYSRRFKDFIYKAFQEDRLDS >ORGLA07G0242800.1 pep scaffold:AGI1.1:Oglab07_unplaced143:9500:9757:-1 gene:ORGLA07G0242800 transcript:ORGLA07G0242800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFSTYPPVSVSGTGTPLLKVVRAFPGSMAWVTYFSAVAPGMSLVEKQWLVHGAHTSALQRLVLGPRLEAFSLPLLTLKSRVTLCP >ORGLA07G0242700.1 pep scaffold:AGI1.1:Oglab07_unplaced143:8744:9106:-1 gene:ORGLA07G0242700 transcript:ORGLA07G0242700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANLLAVFAPPPPLSLSGHLGALAGDPGCFPLDDEAYPPSSHWPTLTPVIFGSYLVFRVCLDLVPLAQPAPKQCFTPRCPVNCCASTHFGENQLALGSSGISPLTTTHPLILQHQSVRTSA >ORGLA07G0242600.1 pep scaffold:AGI1.1:Oglab07_unplaced143:7655:8195:1 gene:ORGLA07G0242600 transcript:ORGLA07G0242600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQLSTFCFEILRRVALWRAHSIYDFAFMDVDKILPFSSTLGWHSLNVNGEVQKRKGLRWIPRYPETRKGVASDEMLRGVENKHRSGDSQIGQPFELPAESMSRQETTWRTETS >ORGLA07G0242500.1 pep scaffold:AGI1.1:Oglab07_unplaced143:6034:6435:1 gene:ORGLA07G0242500 transcript:ORGLA07G0242500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSGRSGGGTTAPLFSRIHTSLISVWRAISRAQVEVRPQWENGAPNNASSQTKNYEITLSFWGDGGIVPFEPFFHAFPGGLEKAAINRTSLILPS >ORGLA07G0242400.1 pep scaffold:AGI1.1:Oglab07_unplaced142:16092:21430:1 gene:ORGLA07G0242400 transcript:ORGLA07G0242400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:I1QE82] MPTSGPDAMQPALRRRRRRQGFLLPFACLSAALIAAALLPGAAFADGVTPSEARRLRDEVKDMFYHAFDGYMQHAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKERFDAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGMRIQSYDDQLLHLAADLAQRLLPAFDTPTGIPFGSVNLVYGVDENESKITSTAGGGTLTLEFGVLSRLTNDSVYERVTKNSVRGIWARRSKLDLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLK >ORGLA07G0242300.1 pep scaffold:AGI1.1:Oglab07_unplaced142:14883:15152:-1 gene:ORGLA07G0242300 transcript:ORGLA07G0242300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRLCDCLPPPRHVSLSASLPAPRGRPRSATAGPALLLLRAAAANPASIRTASALFLGIMCIGGTTAVVAGIATVVIPGLYPHQHDE >ORGLA07G0242200.1 pep scaffold:AGI1.1:Oglab07_unplaced142:11138:12743:1 gene:ORGLA07G0242200 transcript:ORGLA07G0242200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AESVMGNEMGNNNVTGQQDDEPLSNKEIETVTNLPETTASVSITIEDTTSNKDSSTLVEKIKLVHKTTERSEEINIGNSQSLLKENMEGPLEDEESGMRDDLIGEDKAEKLDQGQTAVSIIENLLMPMQGGSTSSTETITTDYLDADDSDIKEVVIENEPTGKGNSLYVRPADDTNLKTFKNDRARIPDEKEDISEISQRATVETGIGSCEVIDEGKKTHGLEDQNKDTCGALDIGEVVSKFRSSLTDTSATDAIELEKHELNKRGDDVAGEISDSLTRTEEHNAIERTHTEQERGAKDAAVKDPADNSDEEKKSDCTHDIVSLVEVNGKKFTGLDSFLSYQLSTVNEEKVQTEVREGLFRPSSPLQLIEDFHKRDLKVDSPHNNEETIISTYEVETTDIHDTLAVSQFDKPQQMLLEEPEVVKFENGSILSCMQLVEKKFKDRHILSSWLKTGER >ORGLA07G0242100.1 pep scaffold:AGI1.1:Oglab07_unplaced142:647:1051:1 gene:ORGLA07G0242100 transcript:ORGLA07G0242100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKGGVSHEMARATVQAHSFSEKKKGSRRKHTCAAARVPYVFSGSAGKARAKASCRMPIKLNQASVRTSMHIDLPAPRGQDTRKDTRSTLHAFDQPGNDARRDERLRTARDEAPTYAARRRVSLPRPPALDQP >ORGLA07G0242000.1 pep scaffold:AGI1.1:ADWL01015291.1:5:2330:-1 gene:ORGLA07G0242000 transcript:ORGLA07G0242000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAGAAERQQQQQQRGGAASASGNAVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPNTLPQRGGEVNVTLGGIDLNNSG >ORGLA07G0241900.1 pep scaffold:AGI1.1:Oglab07_unplaced140:17807:20120:-1 gene:ORGLA07G0241900 transcript:ORGLA07G0241900.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQSSYKSQLQIYAQKKGKLLPSYQTIREGPGHASRFKSVVTVDGKAFESPEYFHTVKEAESAAAKLALMSLPQEASSSEQVPVQPLSYKNLLQELAQKHGFSLPVYSTTSDGSVQVPMFKSTVVFQDGSFQGEPANTKKQAEMNAARVAFQHFEDRRKNALSSTVLRGPHLGQGTVHISAGQVKIAEPVFSVPQASTATSHSATGATDRDYHSLGSTNPLPIAKSTNCADVHIQPCEFKDEKPAFPEPKTELEVMDSSPELTPLEDAYSAPVASTSTVSSSGCGSDPLASASTVNSTGCGSVPLASASTVSSTGCGCSLLTNRVQVYPRRPDLVLPEGATVLPFSDDVWVAVSLPTLNH >ORGLA07G0241800.1 pep scaffold:AGI1.1:Oglab07_unplaced140:11591:15611:-1 gene:ORGLA07G0241800 transcript:ORGLA07G0241800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICPKISLACVLLLLWFTSTGDAAYMKYLDPKQPTNTRIKDLISRMTLAEKIGQMTQIERGVASADVMKNYFIGSVLSGGGSVPAPQATPAVWVNMVNEFQKGALSTRLGIPMIYGIDAVHGNNNVYNATLFPHNIGLGATRDPDLVRRIGEATALEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHRVVQQMTDIILGLQGDIPINHTKGVPYIAGKDKVAACAKHFVGDGGTHNGINENNTITDEHGLLGIHMPPYYDSIIKGVATVMVSYSSLNGVKMHANHDLVTGYLKSKLHFRGFVISDWLGIDRITSPPDANYTYSVQAGINAGIDMVCEFVSRRLVHIFILATISMVXFNFSQHSYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEXLIXQEHRDLAREAVRKSLVLLKNGNSPNQQFLPLPKKARSILVAGSHASNLGYQCGGWSIEWIGGSGDITVGTTILEAIKSTVADSTHVVYSENPDESFMKNNDFSFAIVVVGERTYAETTGDDPELTILDPGTDTIRTVCSTAKCAVVIISGRPVVIEPYLPMMEALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDLHYDPLFPFGFGLTINSSQPGFSGADRLRDRNGGMVYVVLSLVLSVILMHASGIGRTK >ORGLA07G0241700.1 pep scaffold:AGI1.1:Oglab07_unplaced140:4988:6991:-1 gene:ORGLA07G0241700 transcript:ORGLA07G0241700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGYLHGFTPNSGLWLVKRHGTRNSGRLVERKPGSIVERANCMLIMAHGEDLDLEAAAAAAPYHALQGDLREHDEASGRRCSQACLLWTLGLLGLTMFLSTFAFFSTTTRPAATPTLGGRYYHDHDAFSVSIAGYEGIDPGSAGAAVSPAFRVTLGTANGACVDRAAVTVLYSGVALGWAHAEPRDCAAGRRERDVEVVARGQGVGLSERLRGRMASEWRSSGALVLDIDVKAFDEVTSPAYAARHVPDRLIICKVTLDEQGSDSSACPCSNRIMALITFVHRSSCPLRTTTMITMNKQINVLDLLFAITNVRVPIKSQVWYATSSIDFDLYLPASPVRRGLIYLPPMGAGLVEDEDRRRDCLDGHPHIQCAVTVILMAICLALPVYGCWASIYGYKTPDFWVKVPGIEGLERGPSALAAPVFNVTLRVDNEATRRPFCTSRASAAVSYAGVQLGHVDLPGGFCVPGQVVSSVPIVATSDGLRIPSELYERMESQRRRHERVSLEVQVRLDDCCGQLPVMLWCTAVVHGQPQGPFLCKVAPMLKDGEPRPPRLYY >ORGLA07G0241600.1 pep scaffold:AGI1.1:Oglab07_unplaced140:618:2025:-1 gene:ORGLA07G0241600 transcript:ORGLA07G0241600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVTPRAPELLQQDQDEEMLVPDQDVVVEGPQPMEDSGSTVENEQVPETSTSRFTWTIEDFSNHRKLYSDVFVVGGHKWRVLVFPTGNSVQSLSMYLDIADANEQPHGWSKYAQFSLAVINQLDSKYSLRK >ORGLA07G0241500.1 pep scaffold:AGI1.1:ADWL01015288.1:5844:6954:1 gene:ORGLA07G0241500 transcript:ORGLA07G0241500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPNRWDPASRALVRPLRLASDRAASVAVRVSRHPARPSDALLVSVLGAPGDALSPPDQTSILEQVRRMLRLDEEDGRAAAEFQAMHAVAREAGFGRIFRSPTLFEDMVKCILLCNCQFSLPLPLPSLATSMRNSDTNMSRYLGIAISYYIQPCCSTADGQGPCQCLLHCVSFNWS >ORGLA07G0241400.1 pep scaffold:AGI1.1:ADWL01015288.1:106:4027:-1 gene:ORGLA07G0241400 transcript:ORGLA07G0241400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:UniProtKB/TrEMBL;Acc:I1QE72] MAVTRLAVAAALSAAPPSSRRRRAFFHHSCRPLPSSVAAAKALRASAAPAVDEEAPASPPPSDLGKGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGVTGPDLMDKMRKQAERWGAELHQEDVEFVNVKSRPFVIRSSDREVKCHSVIIATGAAAKRLRLPHEDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRKDQLRASKAMQDRVLSNPNITVHFNTEAVDVVSNPKGQMSGIQLKRTDTGEESVLEVKGLFYGIGHTPNSQLLQGQIDLDDAGYILVEEGTAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCVAALSVERYLVANDLLVEFHQPVREEKEKEITDRDVEMGFDISHTKHRGQYALRKVYHESPRLVCVLYTSPTCGPCRTLKPILSKVIDEYNEHVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRLAILNLFICY >ORGLA07G0241300.1 pep scaffold:AGI1.1:Oglab07_unplaced138:19170:20616:-1 gene:ORGLA07G0241300 transcript:ORGLA07G0241300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGDVDGAAGALRRFVREAGGCAVVDGGLATELEAHGADLHDELWSASCLVSAPHLIRKVHLDYLDTGANIITSASYQATIQGFQARGLSRERSEALLRRSVHIAQEARAIFAEGWSKGPYANHRSSPRRPVLVAASIGSYGAYLADGSEYTGDYGISVTKETLKSFHRRRLQVLADAGPDLIAFETIPNKLEAQAAASGDPITECAAVADACARVGAVGVNCTAPRLVHGLILSIRKVTSKPVVVYPNSGETYVAENKEWVESEGGASETDFVSCVGKWRQAGAALVGGCCRTSPATVRAISWALRESDDAVGGDGDRDDFPAVAVL >ORGLA07G0241200.1 pep scaffold:AGI1.1:Oglab07_unplaced138:15051:18559:1 gene:ORGLA07G0241200 transcript:ORGLA07G0241200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 54 kDa protein [Source:UniProtKB/TrEMBL;Acc:I1QE70] MVLAQLGGSISRALAQMSNATVIDEKVLSDCLNEISRALLQSDVQFKMVRDMQSNIKRIVNLETLAAGTNKRRIIQQAVFTELCNMLDPGKPSFTPKKGKPCVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVSVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGTFTLRLMYEQFQNILKMGPIGQVFSMLPGFSSELMPKGHEKESQAKIKRYMTMMDSMTDGELDSTNPKLMTESRILRIARGSGRPVRDVVDMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNVQHMSKVLPPQMLKQIGGMSGLQSLMKQMGSKEMGGMFGGMGGDK >ORGLA07G0241100.1 pep scaffold:AGI1.1:Oglab07_unplaced138:2:8062:1 gene:ORGLA07G0241100 transcript:ORGLA07G0241100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WKHDLHFASKTXKEXRSVFCGMTSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGTVKEEALSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMLERVSHLASTSSRDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLVLGGIARLLRSFFPFLQQLSKFSSGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSIPALQRGILEIIPMLRPTTMLSSMWSPLLLELLCYLNSHDTPLQKQGKEMHEQKSDAANGATHGFLERSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERFNSSPEVIRCLGRCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLVPLHCSRFSLSCLQMMFSLCCCTSGTSLCATVSETSKVSISVLTKRCEIILGQFLADENDLGEGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >ORGLA07G0241000.1 pep scaffold:AGI1.1:ADWL01015284.1:6083:6435:-1 gene:ORGLA07G0241000 transcript:ORGLA07G0241000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDRRELENILFKLFERQPNWSLKNLMQETDQPEQFLKEILNDLCFYNKRGPNQGTHELKPEYKKSTEDADATAT >ORGLA07G0240900.1 pep scaffold:AGI1.1:ADWL01015284.1:2004:4910:-1 gene:ORGLA07G0240900 transcript:ORGLA07G0240900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSATPGAVGELAELVLPWLPPQDLAAAASASRALRAAASSVSAGRAADAAHGLEPHPIPFDNLVDGKPYAYFLYTPFSLTPSSASASPRRAQPWGRTWARPPGPTWPRSDLGGFPSSGCACAQGACGGARGCPCADPEAEAVGLGSEAGMGSLRECGDGCACGPSCGNRRTQLGVTVRLRVVRHREKGWGLHAAEVLRRGQFVCEYAGELLTTEEARRRQGLYDELASVEISSKEKSSPSVMVMLDLGRMACRAFALGMHFPLQESGGDEDEPFDKEDSWREWRTQQKNNLVPLQSFIQSTLPFPLSLAFVSSVQQPQLMPMPPLPMPHVPFALALQVLSE >ORGLA07G0240800.1 pep scaffold:AGI1.1:ADWL01015284.1:632:1550:-1 gene:ORGLA07G0240800 transcript:ORGLA07G0240800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAQPPGSSKRRGAVERPASGAGLQAEEASLAGPGAPCGACKFLRRRCVPGCVFAPHFSGCGGSGVGAGVGGRGRERGAAEFAAVHRVFGASNVAKLLSRVPAALRRDAARTVCYEAQARIADPVYGSVGTILALQHQVSLLQGQLSVLESQLFNLRVALASAHPDTPPQHFVVLQPAHSAASTPNQVVVNYDDLPHAVDFMDAEPAALRGLESLQLSQPLQREEDEGHRDMNLFSDSVGQRQL >ORGLA07G0240700.1 pep scaffold:AGI1.1:Oglab07_unplaced136:28948:29289:-1 gene:ORGLA07G0240700 transcript:ORGLA07G0240700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTASESGLFDHLINVWEFKPGPVPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSRLVSSLSDRCYRIYGPPIPVLENTYGQGR >ORGLA07G0240600.1 pep scaffold:AGI1.1:Oglab07_unplaced136:26896:28283:1 gene:ORGLA07G0240600 transcript:ORGLA07G0240600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGSWLLQTCSPSAALASLAVVTTSLLIIGYASSSFFLGAPAYEYDDVVEAAAAVPRRGPGYPPVLAYYISGGHGDSVRMTRLLKAVYHPRNRYLLHLDAGAGAYERARLAGYARSERAFLEYGNVHVVGKGDPVDGRGPSAVAAVLRGAAVLLRVGAEWDWLVTLGASDYPLVTPDDLLYAFSSVRRGLSFIDHRMDSGGAEAVVVDQNLLQSTNAEISFSSGQRAKPDAFELFRGSPRPILSRDFVEYCVVAPDNLPRTLLLYFSNSLSPMEFYFQTVMANSAQFRNSTVNHNLRHTVAQDGGAPTSQGADGQQASRYDAMVGSGAAFAGAFGDDDDALLQRIDEEVLGRPLDGVTPGEWCVADGEEGTDNECSVGGDIDVVRHGAKGRKLATLVVDLVGA >ORGLA07G0240500.1 pep scaffold:AGI1.1:Oglab07_unplaced136:17793:23449:-1 gene:ORGLA07G0240500 transcript:ORGLA07G0240500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRQARLKAKKVEDLAAQDPAENLVAVAPTVAGRRGRGRGGRGGGRATGRGRAGGRGRGVPVIDLDPDQPCEVLPGAAIGGVAAGGPHHIEELANKVVKMDGGSAEKIGGGEDDGNASPVPDKVQVGHSPQYKVERKLGKGGFGQVYVGRRVSGGTDRTGADAIEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGIPWVHYKGRQGDYYVLVMDILGPSLWDVWNSVGQTMTPSMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASKWKETPNGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKSFLVCKKKMATSPDLLCCFCPPPFKLFLESVTNMRFDEEPNYAKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNDDGLFISSVASSANLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENNYYISAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGFSEQWKPVFLNLTGILTSYIKLIILHLSHVLQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTVS >ORGLA07G0240400.1 pep scaffold:AGI1.1:ADWL01015280.1:1903:3246:-1 gene:ORGLA07G0240400 transcript:ORGLA07G0240400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRMSIAAMSVLAVAAVLVVAGTAAAAASCPATPPDAGATLQVSHAFGPCSPLGAESAAPSWAGFLAEQAARDASRLLYLDSLAVKGRAYAPIASGRQLLQTPTYVVRARLGTPAQQLLLAVDTSNDAAWIPCSGCAGCPTSSPFNPAASASYRPVPCGSPQCVLAPNPSCSPNAKSCGFSLSYADSSLQAALSQDTLAVAGDVVKAYTFGCLQRATGTAAPPQGLLGLGRGPLSFLSQTKDMYGATFSYCLPSFKSLNFSGTLRLGRNGQPRRIKTTPLLANPHRSSLYYVNMTGIRVGKKVVSVPASALAFDPATGAGTVLDSGTMFTRLVAPVYLALRDEVRRRVGAAAAVSSLGGFDTCYNTTVAWPPVTLLFDGMQVTLPEENVVIHTTYGTTSCLAMAAAPDGVNTVLNVIASMQQQNHRVLFDVPNGRVGFARESCTAA >ORGLA07G0240300.1 pep scaffold:AGI1.1:ADWL01015278.1:66:1742:-1 gene:ORGLA07G0240300 transcript:ORGLA07G0240300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQQQQQQHRIYILQFRKGEQDQEVACKVSTPPKAGAGRRVMYYYHDYGGGGAGGAGKNGKAAQPRAFSMRRFFGLLLLSFVSVGTLFVAPVSFFSFVHSDEGSGGAAAAARRAVEVAAAPCSGMGNDSLCCDRTSTRADICFARGDVRMHSASASFQLVSSSSGNATAAAVEEERIRPYTRKWEANVMATIDEVRLRRVPAGGAARCDVVHDVPAVVFSTGGYTGNVYHEFNDGILPLFVTSNHLRRRVVFVILEYHDWWMTKYGDVVSRLSAFPPIDFTADRRVHCFPEVIAGLRIHGELTVDPEKTPEGKSIRHFRTLLDDAYRGRIQYLERLERRAARSRKRRAAAAKPTTTSIALPIMAPPAKQASPSPPDRPRLVIVSRTGSRVIENEADVAALAADVGFDVRVVRPERTTELCKIYRELNASDAMVGVHGAAMTHFLFMRPGKVFVQVVPLGTDWAAGAYYGEPAARLGLRYVGYKILPEESSLSREYPTGDPVLTDPAGVGKRGWDVTKKVYLDRQNVRLDLPRFREVLVGAHRHLVAGKRRRRQRESQ >ORGLA07G0240200.1 pep scaffold:AGI1.1:Oglab07_unplaced132:381693:383457:1 gene:ORGLA07G0240200 transcript:ORGLA07G0240200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTSQRKKKRGTLVCSPYAMYELRLQLSPRQKQAIEDLGNLLKINKIHIDRNLCNKIARSYDKEKKAFNINGTFITMTLDDVYCLLGLTSKGEKIFEAPKINKPKLFNLYKKEGQITITLQALQEAIINSSSYDDHFIXRFILFSIGCFICPTTQRYVRSEYLNLVDDVDKMSELNGSSLTLNQLTKGILKFREKTTNIKGNVCLLQIWYWEKVRLDKLAVTIYYSGRQKPLIQYWDKKNEKDRISYLFGEGKVIISFLILCYQLFVKSLIHILTKT >ORGLA07G0240100.1 pep scaffold:AGI1.1:Oglab07_unplaced132:366959:374588:1 gene:ORGLA07G0240100 transcript:ORGLA07G0240100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGVPPPPSRAYVSGPLRDDDTFGGDRVRRRRRWLKEQCPAVIVHGGGRRGGVGGQLEKAGRREEARNSVSGGAKKNRSNGVDKSPTIPHHRPRDPPYPTLPRRHGHRTGGGGGYSLGFRFASAVGEVRVPDPKPRRNPRPEQIRILEAIFNSGMVNPPRDEIPRIRMQLQDYGQVGDANVFYWFQNRKSRSKNKLRSGGTGHAGLGLGGNRASAPAAAATAHREAVAPSFTLPPILPPQPVQPQQQLVSPVAAPTSLSSSSSDRSSGSSKPARATSTQAMSVTAAMDLLSPLRRSARPRQEQRHV >ORGLA07G0240000.1 pep scaffold:AGI1.1:Oglab07_unplaced132:363918:365279:-1 gene:ORGLA07G0240000 transcript:ORGLA07G0240000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAAMLALVLLSPTTLATDVHGFRATLTRIHQLSPGKYSAAVRCDSHRLAFLSHAAAAAGSKATTTTTTNSSVSFQTLLDNSAGAYNMDLSIGTPPVTFSVLADTGSSLIWTQCAPCTECAARPAPPFQPASSSTFSKLPCASSFCQFLTSPYHTCNATGCVYYYPYGMGFTAGYLATETLHVGGASFPGVAFGCSTENGVGNSSSGIVGLGRSPLSLVSQVGVGRFSYCLRSDADADADAGDSPILFGSLAKVTGGNVQSTPLLENPEMPSSSYYYVNLTGITVGATDLPVTSTTFGFTRGTGAGLGGGTIVDSGTTLTYLVKEGYAMVKRAFLSQMAMANLTTVNGTRFGFDLCFDATAAGGGSGVPVPTLVLRFAGGAEYAVRRRSYVGVVAVDSQGRAAVECLLVLPASEKLSISIIGNVMQMDLHVLYDLDGGMFSFAPADCANV >ORGLA07G0239900.1 pep scaffold:AGI1.1:Oglab07_unplaced132:334158:335465:1 gene:ORGLA07G0239900 transcript:ORGLA07G0239900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAAILALVIILLPPITLAGDLHGFRATLTRIHELSPGKYSEAVRRDSHRIAFLSDATAAGKATTTNSSVSFQALLENGVGGYNMNISVGTPLLTFPVVADTGSDLIWTQCAPCTKCFQQPAPPFQPASSSTFSKLPCTSSFCQFLPNSIRTCNATGCVYNYKYGSGYTAGYLATETLKVGDASFPSVAFGCSTENGVGNSTSGIAGLGRGALSLIPQLGVGRFSYCLRSGSAAGASPILFGSLANLTDGNVQSTPFVNNPAVHPSYYYVNLTGITVGETDLPVTTSTFGFTQNGLGGGTIVDSGTTLTYLAKDGYEMVKQAFLSQTANVTTVNGTRGLDLCFKSTGGGGGIAVPSLVLRFDGGAEYAVPTYFAGVETDSQGSVTVACLMMLPAKGDQPMSVIGNVMQMDMHLLYDLDGGIFSFAPADCAKV >ORGLA07G0239800.1 pep scaffold:AGI1.1:Oglab07_unplaced132:331092:331667:1 gene:ORGLA07G0239800 transcript:ORGLA07G0239800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLRSKTHLTGLIALRGGPTWQHICILAPRILLSSRILPPSSPNLLLYPRWLLPRRRCDYDRVVRHGRRTLAVEYNVAQLSRGSTKEWRKVTSEARKLSKHNVFCWACLVDDKAVPWLLCFLSSTTAAIQDNAVASLLNLSKHPAGRMTIMEVGGVGLVVYVINVVAKAVAQHNVAGHPLLPLVEQPRCIPEV >ORGLA07G0239700.1 pep scaffold:AGI1.1:Oglab07_unplaced132:315563:316318:1 gene:ORGLA07G0239700 transcript:ORGLA07G0239700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVAAAAGFGPHQKMTLDADLVQVLTAGDAVRLEELLMGREGCGGDDGGYRRTDVALQVSINVGAAALRAAAPRTGTSSLLGVTSNGNTALHLVASRGHVELAKLISEMAPSLVATRNKCLDTPLHCAAKAGHRDVAACLLPMMRAAEGTAPLRAMNQLGATALHEAVRHGRAEVVDLFMAEAPELAAVTSGDGVSPLYLAATTGSVRMVAALLRPSRDGTPSPASFAGREGRTALHVAAAISKGMWPFL >ORGLA07G0239600.1 pep scaffold:AGI1.1:Oglab07_unplaced132:310676:312206:1 gene:ORGLA07G0239600 transcript:ORGLA07G0239600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAYVDIRIIIRIRRKPLYHIRIRIREKISVFVSVSELSENYPIRKDPRVLVHNCLCWVRAPLSLNHRAAHIIVDEPAPALDDEEDYMTRNGTIGSVLIATVAFAAAFTVPGGFIADDRPHAGTAILASRFAFRAFVVTDTMAFLCSIVATSFLIYGSAKEIPRGHRWWYSLLASGLVPWGAQFLIGTFALGFHLVLGSANRGLVIFVYMVSSAAVLFCFPGIWGPFCLGLWKTIWRRAGWRGLINIHDRPSSLLEFLESLFTGPLMDIRRTLFPVLISVTFVVAIALDIVMPRQ >ORGLA07G0239500.1 pep scaffold:AGI1.1:Oglab07_unplaced132:300548:306541:1 gene:ORGLA07G0239500 transcript:ORGLA07G0239500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAATVEFGPQILWLDKELLQVLAAGNKVLLEELLRGEGGTHPARTNGQVAISFHGTSEPAARRGTSRLLGVTSNGSTALHVVASHGHAELAALICERAPSLAATRNRSLDTPLHCASKAGHRDVAACLLRVMDQATPRSRNLTGATALHEAVRHGHVEVVDLLMTTDPWLASVTTNGGVSPLYMAFRSLNSVNFNCKPEIAQEILNWPEGPSLLTRADSSGRTPLHFAVIYGRLDTVKLFLGGDAASPRLTSISDSDGSYPVHAAAMFGRTKIIDELVKKCPNYYELVDDKGRNLLHIAVESEQEMVVRHICGNDMFAMLLNATDYDGNTPLHLAVKQGYPRIFGLLLGTRGVDMCIMNKDGHTARDISCCASTLERGRLRYFLLFVESRSQATRWELGAPVDTDTAPAISPSTLDLVTGRRLTAVVRSLRRRGG >ORGLA07G0239400.1 pep scaffold:AGI1.1:Oglab07_unplaced132:298761:299033:1 gene:ORGLA07G0239400 transcript:ORGLA07G0239400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFKHVRDVDCYPNLSIAYRILFTVPMTVASAERSFSKLKLLKNYLRTTMTQERLNGLATLCIEKRLLDEIDINIIINNFVSRNVRRNY >ORGLA07G0239300.1 pep scaffold:AGI1.1:Oglab07_unplaced132:277508:277672:1 gene:ORGLA07G0239300 transcript:ORGLA07G0239300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMDDLSGQKRICVIRDVCGHHRLGFPSGSGMLCCWAAGLWESEKMKKALGAA >ORGLA07G0239200.1 pep scaffold:AGI1.1:Oglab07_unplaced132:266644:267690:1 gene:ORGLA07G0239200 transcript:ORGLA07G0239200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPAAAAAVEFGTHPRDMLTLDGELLRVLITGDRVRLEEILREAGSYGGDGEHPGDGGNGGSPPHEVPPLVDGHRDPRRDGPELIAINLPDHAAPAVVVEAGLSLSPRRSYGYLKRCIAACSWSSMARWCQARDAPAPHPARGRGVHPRQPIAVAPAARHGAGCLLGVTSNGNTALHLVASRGHAELAALIRVRAPSLVATRNRCLDTPLHCAAKAGHREVVARLLETPTGVAEAEADQLAAAATAEAAMRVRNCLGATVLHEAVRHGYTEVVHLLMSRAGAAELASVASDDGVSPLYLAATTGSVRMVQELLRMLRPADDGRRSPALFTGREGRTALHVAATKSAG >ORGLA07G0239100.1 pep scaffold:AGI1.1:Oglab07_unplaced132:260139:263411:-1 gene:ORGLA07G0239100 transcript:ORGLA07G0239100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAFGAVNLVLGLIQDEARLLGRVREDLQFIMQEMESMNNVLRHIVANKGSTTAGDYQLRPWMKQVMELAFDSRNCVELYTQSGSARCGWLPWEMVARHRVVTRIRELKIRAREISERQARYGIAVSHHQLPVEVTTTMEPRDASVRPRNQRRTNAGSWNNPSRRAILEDGWYGDYGSVDEALSRLKPFTEYLYVEVKPPEELNSDDKQQPQPLLDGGNKHDDKEQPPPPVQPGGCVKLQPPLQSDGSDKQDDKDQPLPLQSEGGNKEQPPPLQSEGGDKEQPPPLQSEGGDKEQPPPLQSEGGDKEQPPPLQSEGGGKEQPPPPQSGGGIKQQQQLPQSDGDDQQPLLPQSDGGDKQQHIRVVIISVQDGMDEAAVGETMLKRYKSHWRNPWNDEELHVSVQRPPILSEITKAMVDKLRHPKERETDNEAEDRQRLAKKLSNENVLLVLSGLNYPVLWHQVLELLSSTGCSDSAVILCTNDSKMAKYCCDSAKCGPPVIYSLVDIYLNRALALLPHRYDDRHLKGILCNILTQCCPDVFCMKMFLHALYYNPETTEHQLQVLNTSLGNEYTDHGRQDRIMAFCYQALPNNYKNCLWYSTVFTRGISMPDGVRRASLLRRWVAQGLITQVDQSSAEIEAGHCFEAMLRQKLIVPSGLSGARKVKSCTVHPVVADLIDRESSTVEDLLLNNQLPLDLDLLYSIRNGMQLHPANSNITRFLNSLSSTSRLLLTVLDLEGRKGLKANDLHTVCKIHKLKYLSLRNTDVAQLPKQIGQLRLLETLDIRGTRVQVFHTTLPMLKHLLAGCIIVCPGKDIVKSKEFFSTVCVPRAVATMEKMEILSHVKVSNSATELNNIGDKLEHLKKLGVVLSGTKASLIDLFLQVDKLHRCLRSLSIRMDPPGNWDAIDAILLRPPKLLESLHICSIRSRLPPRIKELHHLAKITLRDTFLNQGALDVLSRLDGIRYLRLCYHSFAEGALRFWSFGNLMDLIIEDDIIISVTFGYRNPDKLEKIVWSFTHMEKLSGVRKLQSLTHLELKGGTCNPQNLEKLKRKVSEHSNGITFTLKLPENQSQ >ORGLA07G0239000.1 pep scaffold:AGI1.1:Oglab07_unplaced132:254330:258951:1 gene:ORGLA07G0239000 transcript:ORGLA07G0239000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cytosol, nucleus; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: NUC153 (InterPro:IPR012580); Has 3663 /.../t hits to 21323 proteins in 1057 species: Archae - 109; Bacteria - 2369; Metazoa - 13796; Fungi - 4858; Plants - 1657; Viruses - 489; Other Eukaryotes - 13360 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G01160) TAIR;Acc:AT3G01160] MEDERFAAARTDPRFRAMRRKEAKVELDSRFTSMLTDPRFSSSSAPVDKHGRRRRKKGGRENPMLQYYLNQEEEEEKEKAKLVEEEEEEGDAEEQQGEEESSSSDDDNDDEDEEEDDDDEYSVGSDIAHYLMGRHDDTPMIDKETHRLAVVNMDWDHIKAVDLYMVMTSCLPKGGRVLSVSVYPSEFGLERMKIESTKGPAALVDVNGSDGEYSGGDDDDDDEEEEDSSDTEHDSEAENNKLRTYELNRLRYYYAVVVCDSSATANHLYMNLDGTELLKTSNVFDLQFIPDSMEFKHPARDVATEAPPSYKEPNFETRALQHSKVKLTWDDDEPERKKVLRRKFTDDQLDDLDMYLASDDSASDDEGADNHGDESLQSGAKRKLTREERLALLLQGDKSEEEQTDGEDMEITFNTELEDLSKRILDRKVNNEKTVWEKHQEKMKEKRKSRKRRSKDDDDDGYSSEDGLDEHDDFFDDEMSDEEIKPNKKQKAKAKDKGKGKGKDKLPEQHLEDEATREELELLVAADKDAGNGAKGYNLKRKKGTKGKKGKEQSVEDELPDIDLSKDERFSAMFNSHLFAVDPTDPQYKRSAAFMRKQAGTKGAHEPSLGGRGSGRGTLPPDDVPTDTHDQKPDGTSTEKLETMSAVKSLKRKLTALKNTSKSDR >ORGLA07G0238900.1 pep scaffold:AGI1.1:Oglab07_unplaced132:249705:253735:-1 gene:ORGLA07G0238900 transcript:ORGLA07G0238900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSVAGDIELDRPNLEDYLPSDSLPQEFPRNLHLRDLLDISPVLTEAAGAIVDDSFTRCFKSNSPEPWNWNIYLFPLWCLGVVIRYGILFPLRGLTLLVGWLAFFAAFFPVHFLLKGQKMRSKIERKLVEMMCSVFVASWTGVIKYHGPRPSTRPHQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLKDREVVAKKLRDHVQHPDSNPLLIFPEGTCVNNQYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWYLEPQYLRDGETAIEFAERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRIFADSVLRRLEES >ORGLA07G0238800.1 pep scaffold:AGI1.1:Oglab07_unplaced132:248134:248736:1 gene:ORGLA07G0238800 transcript:ORGLA07G0238800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYRLPMYRERPAVRCINFLCAVLLTMVLVAGIIMFVLWLSLRPHRPRFFLDDFTIPNLNRQSGAVNLPVRFTVDERNPNQKIGIHYGTIYGSVYYNDLLVASGPVVQPFYQQPKGDTPLLGQLTASGPTPGDPAWQRFAGDAAAGSVALRLLLNSTVRFQVQMWDTREHHMKVDCEFGLRGDGTLQQGDKNKQCTLYF >ORGLA07G0238700.1 pep scaffold:AGI1.1:Oglab07_unplaced132:244772:246640:1 gene:ORGLA07G0238700 transcript:ORGLA07G0238700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QE45] MQQLLAMKLILTTLVVAVLALSAGTATATCDTLTVGHYRQSCRAAETIVRDTVKLYFSKDQTVTAPLLRLHFHDCFVRGCDGSVLLNATAASGPAEKDAMPNQSLDGFYVIDAAKAALEKECPGVVSCADILALAARDAVSMAAGNINGASLWQVPTGRLDGRVSSAAEAVANLPSSFADFAKLKEQFGSKGLNVQDLAILSGAHAIGNSHCVSFAKRLYNFTGKGDADPTLDRAYAAAVLRAACPPRFDNATTVEMVPGSSTTFDTDYYRLVASRRGLFHSDQALLQDREAAATVRVMARSSRQAFFRRFGVSMVRMGNVGVLTGAAGEIRKNCALIN >ORGLA07G0238600.1 pep scaffold:AGI1.1:Oglab07_unplaced132:232196:232615:-1 gene:ORGLA07G0238600 transcript:ORGLA07G0238600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNCLERARAKVTAWGAEEGDGGEDEFWGATAAEFSGGGGARGGVPSTRKKEIIKDGGGGEGSSSPTRRVKIRMTKGQLRRLLAGAGRGAAVEDVVAEIMSMGDVHVEPVKAEEGGGGRRPPPSPSKLEPIQEDMDE >ORGLA07G0238500.1 pep scaffold:AGI1.1:Oglab07_unplaced132:221028:223625:-1 gene:ORGLA07G0238500 transcript:ORGLA07G0238500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAASNQPVLAASDLGQSNLDAGAGAGAVGGGGFIALDVSALSSLAGDGPDTTAAPPRTPKVVRSLSRKGERKPADGDGNGAAGTGKRPPLSPLFVHVAAADDMGGLGRLVHTPVAGTPGGKSRRLGRQPAAPWLDPRRVVFFFATLSSVGTLILLYFTLSMSKMGGDSSGGGGSDAR >ORGLA07G0238400.1 pep scaffold:AGI1.1:Oglab07_unplaced132:216438:220405:1 gene:ORGLA07G0238400 transcript:ORGLA07G0238400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSPRFGSMISAKLASPPPSLLLPPSPRLQGRRLTPPSCTPGTPAALPSPGPDKEPEREAAGSGSGSATTPRSPAQLGSSQLHRWSRARAHRSGRRLEWPTIRDRGSGGASSPPTPTRPHPSSDEAASAAAKVAVEEEDGYGVVGRDEAAKSIYMVSDGTGWTAEHSVNAALGQFEHCLVDRGCAVNTHLFNGIDDMDRLIEIVKQAAKEGALVLYTLADPSMAEATKKACELWGVPSNDILRPTIEAIASHIGVAPSGIPRSSPSRKGQLTEDYFRRIEAIDFTIKQDDGAQPQNLNRAHIVLVGVSRTGKTPLSIYLAQKGYKVANVPIVMGVNLPKSLFEIDQDKIFGLTINPVVLQAIRKARAKTLGFHGQKSNYAEMEHVRGELDHANQIFAQHPIWPVIEVTGKAIEETAAVVVRIFHDRKQKCAMPRISKRVAPIRIYDYLSEMVNTHVEYQKIFARDF >ORGLA07G0238300.1 pep scaffold:AGI1.1:Oglab07_unplaced132:213563:214246:1 gene:ORGLA07G0238300 transcript:ORGLA07G0238300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WATALCHAGAALRSAGAAGDWRFSDARPRLREVSALTAAETATDDILVASPDVVMTSVAGKMSCSTGERDVEGGRNREKRKKEDADYAI >ORGLA07G0238200.1 pep scaffold:AGI1.1:Oglab07_unplaced132:203593:205646:-1 gene:ORGLA07G0238200 transcript:ORGLA07G0238200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDWSTLTGCLVMLIAERLLANDVTDYIRFRAVCSPWRQHTEDPRVGDGLRPKYLPRSWIMLEETAPAAAPFRNRLLNTGTGAVLAVDVPELEDHDVMGPTLGGLLTLRERGGAYVLRLLHPFTRHLTELPSLVTMIHAASHDPKMVEPEYHQPTAIGLSDDHKAVAVFCGLVNKVAVARPGDSHWKWVYVPHFHLESAASLAGCFYAVSHVYIYQLESDGARGEPKLVPVAYVPVDAPSFRLTLVADDERERLMLMKEVFYVHAGEEVPPEGPDMLTMPRVCVAYAVDMAARTIALSRLGARALFMGDDRAVWASPGAFSPGVAADTVYAGRPNRLFTVHECGIEADRPLTVVLHTHGLVSGLTRHAVFESDDGEDLNPMGIVETVSSYVASDRGGAARPTMYVASHARRGRGRGV >ORGLA07G0238100.1 pep scaffold:AGI1.1:Oglab07_unplaced132:202295:202729:1 gene:ORGLA07G0238100 transcript:ORGLA07G0238100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKPKDDFDFLEPSVLLDETHYQTGFKNGYSEGLVSGKEEGRQVGLKNGFQVGEELGFYQGCLDVWTSLVSIDQDAFSARVRKNIEQLAALLRSYPLSNPEDEQVQDIMEKIRLKFRVITASLGTKLEYQGRPTSSKQDVEDL >ORGLA07G0238000.1 pep scaffold:AGI1.1:Oglab07_unplaced132:190267:200586:-1 gene:ORGLA07G0238000 transcript:ORGLA07G0238000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tyrosyl-DNA phosphodiesterase-related [Source:Projected from Arabidopsis thaliana (AT5G15170) TAIR;Acc:AT5G15170] MASSSRVRVGNLVPLNEGNASSSNGSVSSIPIYLGANVVGRNHLVVVDKRVSRKHLSLHASADGSIQAVVEGPNPIIVRSEGQRRKVCAQERVKIAHDDVLELIPGEYFVKYLNVGDNHKSSTSMGSSDFKKGKRLCEDDTVVIKRNRQIMEDEALARSLQKSFAEESSTISGLGCDQMLSSLDSAGSSERNNERIHSVDYLKDVLSLTFRLMRVQGLPSWTNTSSVTIQDVIQGEVLLAVLSNYMVDMEWLLTACPSLRKVRHVLVIHGEDGASVELLKKVKPANWILHKPPLPISFGTHHSKAMLLVYPQGIRVVVHTANLIHVDWNNKTQGLWMQDFPWKDAKDVNRSVSFENDLVDYLSAIKWPEFRVNLPVVGDVNINAAFFRKFDYKSSSVRLIGSVPGYHVGPNIKKWGHMKLRSVLEGCTFEQQFCKAPMIYQFSSLGSLDEKWMSEFACSLSAGKSDNGSPLGIGKPLIVWPTVEDVRTSIEGYAAGSCIPSPQKNVEKDFLRKYWARWKADHVGRCRAMPHIKTFTRYNGQDIAWFLLTSANLSKAAWGALQKNNTQLMIRSYELGVLFLPKTHQSVPQFSCTGKNNSNLNNLAPGKEIKTKLVTLCWKSDEEKEQSTEIIRLPVPYQLPPKPYGTEDVPWSWDKRYTKKDVYGSVWPRHG >ORGLA07G0237900.1 pep scaffold:AGI1.1:Oglab07_unplaced132:184037:184666:-1 gene:ORGLA07G0237900 transcript:ORGLA07G0237900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDIQIPTAFDPFAEANAGDSGAAAGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF >ORGLA07G0237800.1 pep scaffold:AGI1.1:Oglab07_unplaced132:180090:181600:1 gene:ORGLA07G0237800 transcript:ORGLA07G0237800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucan endotransglucosylase/hydrolase 8 [Source:Projected from Arabidopsis thaliana (AT1G11545) TAIR;Acc:AT1G11545] WGRWGGGRGLVGXRPRXFLLLLCAVSASVGRRRRRQRRRSATTSRSPEPRITSRPPPTARRGTSTSTTRLASGSRRRRGISSGGSAXTSSSPATTPPASSPPTTYMCSDVDAAPQRDELDFEFLGNRTGEPYIIQTNVYRSGVGGREMRHSLWFDPTADFHSYSILWNPKQIVFFVDKVPIREYRNSDKPNAFFPIMKPMYVFSSIWNADDWATRGGLEKTDWTKAPFISSYRDFTADACSWGTAAAAASPPSCAASTGNSWWDQPPAWALDAGQREDSAWVARNLVIYDYCDDRKRFPSPPEECLLRTTSS >ORGLA07G0237700.1 pep scaffold:AGI1.1:Oglab07_unplaced132:174843:175988:1 gene:ORGLA07G0237700 transcript:ORGLA07G0237700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thiazole biosynthetic enzyme, chloroplast (ARA6) (THI1) (THI4) [Source:Projected from Arabidopsis thaliana (AT5G54770) TAIR;Acc:AT5G54770] MAAMATTASSLLKTSFAGARLPAAARNPTVSVAPRTGGAICNSISSSSSTPPYDLNAIRFSPIKESIVSREMTRRYMTDMITYADTDVVVVGAGSAGLSCAYELSKDPSVSVAVIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELGVAYDEQEDYVVIKHAALFTSTVMSRLLARPNVKLFNAVAVEDLIVKEGRVGGVVTNWALVSMNHDTQSCMDPNVMESRVVVSSCGHDGPFGATGVKRLQDIGMIDAVPGMRALDMNTAEDEIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNAIDGTIKKAAAAAAHPELILASKDDGEIVDA >ORGLA07G0237600.1 pep scaffold:AGI1.1:Oglab07_unplaced132:158769:160709:-1 gene:ORGLA07G0237600 transcript:ORGLA07G0237600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate lyase [Source:UniProtKB/TrEMBL;Acc:I1QE34] MSSPFSVPSLIMEEEGRFEAEVAEVEAWWGTDRFRLTKRPYTARDVALLRGTLRQSYASGDMAKKLWRTLRAHQANGTASRTFGALDPVQVAMMAKHLDTVYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQLYHDRKQREARMSMSRAERAHEPYVDYLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHLEDQSSVTKKCGHMAGKVLVAVSEHVNRLVAARLQFDIMGVETVLVARTDAVAATLIQTNVDARDHQFILGATNPRLRNRSLAAVLSDAMSAGKNGRELQAIEDEWLATAQLKTFSDCVRDAIASLNATDADKQRKLQEWSAATSHDKCVPLEQARDIAAGLGVTSLFWDWDLPRTREGFYRFRGSVAAAVVRGRAFAPHADVLWMETSSPNIAECTAFAEGVRAASPGAMLAYNLSPSFNWDASGMTDADMSEFIPRVARLGYVWQFITLAGFHADALVTDTFARDFARRGMLAYVERIQREERSNGVETLQHQKWSGANFYDRVLKTVQGGISSTAAMGKGVTEEQFKGSWTGPGSESSSHVLAKSRM >ORGLA07G0237500.1 pep scaffold:AGI1.1:Oglab07_unplaced132:155925:158208:1 gene:ORGLA07G0237500 transcript:ORGLA07G0237500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQNSKNKSDYGLEDFFQEVQEIETLLDKMSNINHKLQEANEESKSVTKASEMKAINKRMEKDINEVGKITRTIKVKLEEMDRNNLENRKKQGCEKGTGVDRSRIALKNKLKERMKNFQNLRQIIQDEYRQGVARMVFTVTGEQPSDQVIDHLIETGSSEQIFEKAIQGIGRGQIIATVKEIHERHDVVMEIEKKLLELQQIFADMATLVDAQGETLNDIENQVQNAVDHIQRGTGELRTAKRLQKKSRKCMFIAIIILLVIAAIVVLSILKPWAK >ORGLA07G0237400.1 pep scaffold:AGI1.1:Oglab07_unplaced132:141650:143442:1 gene:ORGLA07G0237400 transcript:ORGLA07G0237400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRDFDHADCFDNSGPVHMMAKNGAGSPRTVVDWGKEEHRRCVAACLVKGVYIIENDSTRRRVHTNALAPPWWENFGFNLLDVIRDDSDHDDQFIIGAIYEHVPPLGEPAHPLSPHYVVAFRGTMISHPKALIDLYLDAKIMVNTLKESKRSRLANTAVKKLVATIDKGMGGACGHGTAGSCIVWLAGHSLGASLALDVGRAMMVEQGYNLPTFLFNPPQVSPTPAIDVLLPIKKAQKAKRDIYAVSYFVKAGLGKVLNPHKERMENLFKRLSPWAPELYMHERDVICKGYIDYFEQRQQVQERFRAVATSAMTLSYRDMFFSMFGMEKEQPHLLPSARLWKSTSKDEDAHALQQWWKPMGEQSLSVRR >ORGLA07G0237300.1 pep scaffold:AGI1.1:Oglab07_unplaced132:132338:132955:1 gene:ORGLA07G0237300 transcript:ORGLA07G0237300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYLDLKVMVNTLPESKRSHLANKEVQNLVATIDKGTGSGCGGHGDGGSCIVWLMGHSLGASLALDVGRAMMAEKGYNLPTFLFNPPQVSLAPAIDVLLPTKKARRSIHAASSFLKARMGKVLKPHKERMEKLFEQLSPWAPELYVHERDLICKGYISYFEQREQVKERFRGVGKSAMALSYRDMLFAAFGKEKERPHLLPTARL >ORGLA07G0237200.1 pep scaffold:AGI1.1:Oglab07_unplaced132:108216:108803:-1 gene:ORGLA07G0237200 transcript:ORGLA07G0237200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSTKLSKIDEAVVTTEDGVEGDSRRLLSPRQVDLDDVGWCRRRTAYLTNGSGVAEARTAGSGAPKLGMVGSTTSEFRVSGSGAPEFMVAGTVRGRVGDVEARRRPEISGDGRRGLSMALGSGVVPRSPMVLAPALSSSLARYDPDLAWWRREGGGDPDLEWWRHGGDRGRWVKEVATVGGGQRRQRPGGGGRRSR >ORGLA07G0237100.1 pep scaffold:AGI1.1:Oglab07_unplaced132:103626:105186:-1 gene:ORGLA07G0237100 transcript:ORGLA07G0237100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDVDLADSGPVHMMAKNDCTGSSGIVIDWDKEEHRHCLAACLVKGVMVMMKDRSNPLAPAWWKSFGFRCRNVDASDQGSSDSGRDDEIFGATYEYEPPAGLPRHPSAPSYVVAFXGTIPTNLGDLIHDIKIVYNTFSNSNRCDITHDEVEGLLQGSANSCTMWLAGHSLGASQALDVGRSMAEKGFNLPTFLFNPPQVSPAPAINLLRPNEKAKMHLYATSSLLKVGLSKIVKSHEEHMEDLFKPLNKDKERPHLLPSALLWKNLRMDNDVENHPSKCTLLRKANRLKKRVLKAHSLEQWWKPDNELSLTKTQYNYPSA >ORGLA07G0237000.1 pep scaffold:AGI1.1:Oglab07_unplaced132:100622:103141:1 gene:ORGLA07G0237000 transcript:ORGLA07G0237000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDRDNMVSEQSIHGIPIREMQWTTHGGSDDCWGQDLSHGGDSVGGARQVFDEMPSQLGSAAGAALHVQVNHLIYPVSTNVMHQVFNPYGAVAVXMLVVDAWRVEAIVWFRTTCDAEWAQAELHGRNIYDGGCVLDVQHVPTLLEDRADIAPTKCSMQVPGCATTKSDTQSTPTTLEHMFPATMSPSAASTKSAVTTTSASVTEAMEAETSMDKVVENAGKAIQDLCTRIDRILEAFHDTKVDLSENKDSTRDVAVLSANTSPTTIALEVSAEVGPTNHVDSAKLGMGTTIECSMKGKNQLVDDDGKDMANDERTELIEVDTKFTSVNLWFRDPWLALNAIPSRILIGCLSHDLGVNSLSLVPSTLEVPYHCFVLGSVCRVSSPPVPLWRVAVPLYSDQVNSGSRPSPWPDPWLHSGSGSVVVFQPLQPWPPPLQAKSKGSIVERQLELWHDPQIKQDNKGVVVNLLQPRLSPDKWNESWFSCDNAWELAQSHSKFLLTEHMALIAQYEKNRFEQDLSLCMVSKRASWNLWNLLTEGSISLMEAKAQLFRRMHWVGSKTMDQFVWNLCMPNMEKSPWPPPPHKIRTDLLWLNSHEVSSLQFNAEFWRLLARINLFDCHSGQEDMXLFXAGAKQCSHFGAVPWIGYHVXCKVLEIFAXXCFNPREXRIQFQGCIPYVQKFRXAICKQQYSSEHSNKLFLSCFTQVWSSSKFVSSTKFISAQTYSKLFTGQTFSXKERHRFCSYXQKNPFTSAAASTYVQEIISNVXDQSSSAETDKALESWNSSSQQFLDGYLQQKCCIDLGTSRFLRREECHVSGGLHGLLEKWAWPSSHTEGASNQEV >ORGLA07G0236900.1 pep scaffold:AGI1.1:Oglab07_unplaced132:90567:97235:1 gene:ORGLA07G0236900 transcript:ORGLA07G0236900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAETSPPSTPSTASCPTPRPDAAAAAPSMSPSLLRAARSGDERRFVKALLADPAAPDLDAVATAGGNTLLHVAAWGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXATNRRGETPLHDAVRGGHEAAARALTAADPGLAGLCGGAGESPIYMAAAAGSLGMVRLLTKTYRNDEEEEEELPVLCSCTGPGGRTVLHAAVLTSNVIEMTQGLLQWNPTLVKEVDDSGSTPLHYVASVGNIPALKLLLGYDTSPAYVPDSNGLFPVHIAAKMGYGQLIYELSRYCADCDEMLDSKGRNFLHIAVEHKKWKVVWHFCGTQELERMLNVMDYEGNTALHLAVKNADQMIVSLLMANKAVLPNIVNNQGLTALDLAVLATDKGISYTLNPQVIILRCLAWTGAVLSPRRLDHFIDEFNIGKASGNELKKFTNISQNLVVGSVLISTVTFAAVFTLPGGYISDGHPHAGAPILWHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRALYMFFSVISMEQATRSMVAAFALGAYVVLSPVSERIALVVCLSTFTTLLLRNPSNWQLGFLFMPIKRRLGWRGAFRAHLPQETRSRLTVGVGSNFASLIFWRMLEIYMCSWRIAVSPGTQAQVDHRRASTIASDRARHRQCSSSSTTSPMAGDAAALLLVVADQGEEHAKGVQEQLRGGSMPAATQSSGNPSAWEGAIHKVALGDGEVMDAS >ORGLA07G0236800.1 pep scaffold:AGI1.1:Oglab07_unplaced132:58589:59873:1 gene:ORGLA07G0236800 transcript:ORGLA07G0236800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPAAVPVTVDELRRAQRADGTAAVLAIGTANPANCVTQADYADLYCRVTNSEHVAGFKDKLDALCVSASGSEKRFFHHTEEMINAHPEFLDRATPSLDARLEIAAAAVPELAATAAARAIVQWGRTATDITHLVVTTNAGAHAPGADVRLAALLGLRPTVRRTMIHLNGCSAGAAALRLAKDLAENSRGARVLVACVELTVLTFRGPDSPHTVTCQALFGDGAGAVIVGADAARPVEHPLFEMVSASQTLIPGTEHVITMQLTEHGLDGDIDTKELVPLAANNVKQCLSDALTPLGLDGGEWNDLFWAVHPGSPLILDHIESALQLKQGKLAASRKVLRENGNMLGSTLIFVLEEQRRRMEEEGDGAEWGVMLGFGPGFTIETMVLHAPDDSRKKN >ORGLA07G0236700.1 pep scaffold:AGI1.1:Oglab07_unplaced132:37073:38455:-1 gene:ORGLA07G0236700 transcript:ORGLA07G0236700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQEKMIREAMAAMNGQTAADVAVNLYPFIRKYTDGRVERLLTSSYVPASEDAGRGRGGVGVATRDVVVDRDNGVSARLFLPSSAATGGGGGGRRLPVVLYFHGGSFCTESAFCRTYHRYASSLASRAGALVVSVEYRLAPEHPIPAAYDDAWAAFRWVESLSDPWLAEYGDLRRTFVAGDSAGGNIAYHTVARAGRENVGGGIQGLIMVHPFFWGPERLPCETVWDGASVFPAFGVDWLWPFVTAGQADNDDPRIDPADDELASLPCRRVLMAVAGRDTLRDRGRRLASRMRGDVTVVESEGEDHGFHLYSPLRATSKRLMQSIVQFINQPPSPCPPPPAPAPSPAMRWPATILPELDEWSSTYSDDTANSSQILLGMPARAYKAIFVDRMDRKAAKTGRLSSNPTVNASLSIGPSGKASKTSCYGMSFGRTRAYNFRGVAAAGSGQCQPPFRGATI >ORGLA07G0236600.1 pep scaffold:AGI1.1:Oglab07_unplaced132:2698:4191:1 gene:ORGLA07G0236600 transcript:ORGLA07G0236600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QE24] MAAAAAAHVLVFPFPAQGHINCMMHFATGLLGAGLHVTFLHSDRSLRRLGGAAAALAAGSPRLRFLSIPDGLPDDHARAAGDLLEHMESIRTKGSVAYRRVLLASLVRAGDDGSTGVQFPPVTCVVADGIMPLAIDIAEEIGVPALGFRTVSACSFLAYLSIPRLFELGELPFPAGGDLDEPVRGVPGMETFLRRRDLPSFCRGGGGGDSQNDPMLQTVNEVTAHSRKARALVLNTSASMEGPALAHIAPHMRDVFAIGPLHTMFPAPAAAGSLWRADDGCMAWLDGQPDRSVVYVSLGSFTVISLEQFTEFLHGLVAAGHAFLWVLRPDMVGASQSAALREAVAAAGDSRARVVEWAPQLDVLRHRAVGCFLTHAGWNSTLEAAGEGVPTVCWPFFADQQINSRFVGGVWRTGLDMKDVCDAAVVERMVREAMESAEIRASAQALARQLRRDIADDGGSSAAEFQRLVGFIKELSQSMADSKPDDLLTAGIRNALN >ORGLA07G0236500.1 pep scaffold:AGI1.1:ADWL01015237.1:3474:4904:1 gene:ORGLA07G0236500 transcript:ORGLA07G0236500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QSS7] MKRKTFVLFPSLGVGHLNPMVELAKHLRRHGLGVVVAVIDPRDDDATSADATARLAAANPSVTFRILPAPATASPDPGAHRVRRSLDTLRLANPVLLEFLRSLPFAVDALLLDMFCVDALDVAAELAIPAYFFFPSPASALAVFLHLPHYYANGTSFREMGKAALLRFPGIPPIRTVDMMATMQDKESETTKIRLYQFKRMMEGKGVLVNSFDWLEPKALKALAAGVCVPDKPTPSVYCVGPLVDTGNKVGSGAERRHACLVWLDAQPRRSVVFLSFGSQGALPAAQLKEIARGLESSGHRFLWVVRSPPEEQATSPEPDLERLLPAGFLERTKGTGMVAKNWAPQAEVVQHEAVGVFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNKVIMVEEMKIAVPLDGYEEGGLVKAEEVEAKVRLVMETEEGRKLREKLVETRDMALDAVKEGGSSEVAFDEFMRDLEKSSLENGVCS >ORGLA07G0236400.1 pep scaffold:AGI1.1:Oglab07_unplaced125:121136:122788:1 gene:ORGLA07G0236400 transcript:ORGLA07G0236400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTPGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVTLLGR >ORGLA07G0236300.1 pep scaffold:AGI1.1:Oglab07_unplaced125:109450:114309:1 gene:ORGLA07G0236300 transcript:ORGLA07G0236300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPLFDLNVAHEDWDWEKGEEAEAEEEPEEVVEEGKKEVVVLEEEEEEEPHEVIMEEEVVEEEAAVGGEAEEVDGEVRRKRKDCEVLVGGLPRDAAEEDVARALADAGDVEEVRLVRDPADPRSNKGFAFVRFAAAWQARWAADDVRTAMVKGEACMICKNDANETLHLRNICFDWTKDDLAEELKTYKLENLEDINLVEDPERKGKNRGYAFLDFRTNVDGVDAFFKLQNRDIYLGTDVRAQVSFSKTLSQDDKIMEKVKSVFLDGLPPHWDEDKVREVFGKFGEIDSIHLARNMFKAKRKDFGFIGFTSRQSALDCISTVSKGGIVEGSGKVRIKASLQRPRPTLKKHSWQGITPMLGIRRGFIGKSYGDREHYGDRERYDDRERYHNRECYGDRGFGFSGHDSAVSGHIHRYERARPREAYLDSRYTNEYPRHRHSRHEESIQRDAYRSKYGHSYLERSHRDSCPDCNPSDHSSSAFYKTGRKLMASSSPGMCNCGECYVEQDAAPASSQVVPLRHQLAKPFHERSSEPDDHSASAYEAAEYKERKSSYTYLSSVELPLVHWWCSPDAESSQKDFWLLWALQFFPALWLRTFYLGMPCHVMWHCSSFTPDTYR >ORGLA07G0236200.1 pep scaffold:AGI1.1:Oglab07_unplaced125:101218:101517:-1 gene:ORGLA07G0236200 transcript:ORGLA07G0236200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAGGQVDSWACMLPPPLLVAIVDEYAAACATVDSTGTVDVPTADEVADVLSSPGGRRGGLERKVKFMTPLVWACMATVSGSGMRGYMTWRIERRGE >ORGLA07G0236100.1 pep scaffold:AGI1.1:Oglab07_unplaced125:88853:89821:1 gene:ORGLA07G0236100 transcript:ORGLA07G0236100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVNRIHLRNEAKTMTMEKLVPHLGTLEATRNQLHEAKEVARKNEHDLRDRIAKLQESNFELSGSSKVQAAKISVLEKRIQTLGNDKAELAKQRDSALKDVEDRKIKSQAQFDVLVNKIKRLEGTRDEVANAATPLVQAMFINNNGPSSFDAAKIFDKLRIAPDTYFKNIKEAGSIGASLALAMTKSLYPKIDIDAIDSFADGTSEEAALDLINDAQKAADKIAVDVVERFQDNDLRPTESNNSDDEKIETD >ORGLA07G0236000.1 pep scaffold:AGI1.1:Oglab07_unplaced125:70975:72153:1 gene:ORGLA07G0236000 transcript:ORGLA07G0236000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAATVESEVALTPSSTPTPTNAPSVEINDSQGTEVEHDNKRLKSAAWQDFVKKKINGAWKAECKWCHSKLGAESRNVTKHLLDHIKTCKSRQARKGLTQSNLKMGTDAEERVTVGKYVFDQEVASKELALMICLHEYPLSIVDHVGFRRFCGALQPLFKVMNRNTIRKDIIDLFGVNKISIGNYFHKLQSRVAITTDLWTATHQKKGYMAITAHFIDDEWKLKSFLLRFIYVPAPHTADLISEIIYEVLADWNLESRLSTITLDNCSTNDKLMENLLGTMLDKLPADTLMLNGSLLHMRCCAHILNLIVKDGMTILDKIIEKVRESVSFWTATPKRHEKFEK >ORGLA07G0235900.1 pep scaffold:AGI1.1:Oglab07_unplaced125:69160:69489:1 gene:ORGLA07G0235900 transcript:ORGLA07G0235900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYRRRALTVASTLVPAACGSAGGMPCVPGARGGERKLHGVATGGGRQLRCGWPRKAVESAFQMADRQGKVSGLIRQPLTKGKTSCGGVRVSLRACGGGGGGACRCR >ORGLA07G0235800.1 pep scaffold:AGI1.1:Oglab07_unplaced125:49132:49768:1 gene:ORGLA07G0235800 transcript:ORGLA07G0235800.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGTHQHFDICFYRHQYKSLNWLIKSFFEYDKCAQCESQGSSSGVGQYKSTADAAGRDNNVDGASSSSIATGRSS >ORGLA07G0235700.1 pep scaffold:AGI1.1:Oglab07_unplaced125:44537:46725:1 gene:ORGLA07G0235700 transcript:ORGLA07G0235700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLPKWFDEFKWLEYSVDRDATYCFVCYLFKESNHGGDAFVNGGFRIWNMKGRFHKHCGAVNSAHCEAEEKYILFMKPKSSIRESFASNSAQVKDEYEVRLLWSLKCIRYLLRQGLAFRGHDESRNSENKGNFRELLQWLVENFEEVNKVVLGNAPINCQMIDHKIXKQLICSCAHETTKFIIEELGDECFAILADESSDAYQQEQLALCLQFVNKARQPVERFIGLVHVEDATSLTLKEAIKSLLMQYQLPLSKVRGQGYDGASNMKGHVNGLKKLIMEDSPSAYYVHCFAHQLQLTLVAVAKENTDCAWFFGQLAYLLNVLGMSCKKIRMLRIAQAEYMIEALKLGXIETGQGLNQEMGLARPGDTRWGSHYRTVMHVMSLYPSIKKVLFRIGKECTGVEAIGAQTMLEVFXSFEFVFLLHLMNDIFGYTTDFCQALQKREQDVVNAMDLLAFTKVELDVLREDDGWREFLGKVTSFCVKHKVKVVDMDGKYEPIHRSRKFFKDAINYYRFHADMFLGVIDRQLQELNNRFDEVNTELLRCMASFNPSKGFSAFNVESLVKLAEFYPHDFDFEEMNQLPFQLNRYISDVSTDEKFTNLKGLAELSMMLVKTERVRRYELVYRLLKLVLVLPVATAGVERVFSSMNYIKNKLRTKIGQKYLNDCLVTFIEREFFLQAKDKDIIDYFQNIKRRKVNL >ORGLA07G0235600.1 pep scaffold:AGI1.1:Oglab07_unplaced125:37870:39301:-1 gene:ORGLA07G0235600 transcript:ORGLA07G0235600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGMENLLPEDVLVNILHRLAPRCLAISRCVCKPWRTIIDARCLLRVDLLPHLVGGIFINFHDLILSEFISRPSIGPTISGNFNYLPHNSIVRDHCNGLLLLDGYVDYPATQQYYVVNPATRQWVQLPPCPSSHPGMYSESMEYLVFDPRLSSQFENAVYCEAIEEQKFEWDSDSDNVIDINNRSNLTGYVTFLGFHPYKEVVFLSHTLTRGLACHLNTRKVQDMGNIRPKYYGTDMGIQPFIEGSFPYTPWLGEFPEDN >ORGLA07G0235500.1 pep scaffold:AGI1.1:Oglab07_unplaced125:13323:30839:1 gene:ORGLA07G0235500 transcript:ORGLA07G0235500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPDPPGPTFLREVELRLLRCTLPSPATPPRPRLPLATRSPRSPPPPSPPSRPVSTRPPSPPRPRTSSLQPPPLQGPRRGSTATSPPPPRRSCAGTAAGRRRARGSSAGAPSCCRRRWPRFSRSRSRTXRGLQGSIPLSLSGLHHWMKDVIVILKMNGMHGLLLSXLLLVPMFMGNSHLCSVMDGSIELNIVVSWFSAYXESLCSLSLQNLCXLLXRVWTPQIVVVCHGGCVDFLWSDRTLXTSYHPLCLIKYKSTRIRHWPTLVNLKMFLATGVLCCVMEKAHILSQLLSXKLELRNINMAELINQGCIWIVLKKHVGCISLSQGCLVFEQYISYMILIVCHMHGLEMGALNYGRQPDEVDAKSQMVLVANTSGPASGEGQVTELTGAQDDAAALKNARSSVPGESDEFCDILRMPRLVENDNDSGNDEKKDPSKKAVLTAMQQAAVLAECLHVSRRSRHDEMSGWEMAPFIESIDSQEDSYFVVRSLCDILRIRWESTRNRTKQRALLMMENMVEDVGNDFPVAAQRAKLVFGVQMPTIPALRKEYGELLISCGIVGEALDIFKDLELWDNLIYCYRLLGKVADATSLINARISVTPNDPRLWCSLGDVTNNDDHYKKALEVSNNKSARALRSLARSAYNRNDFHASKMLWESALALNSLFPDGWFAYGTVAWKTFSCKHFFKDLSSFTSFHSASTWMVIGYLDAVKIDKDLEKAVDAFTRSVQIDPENGEAWNNIACLHMIRGRSQAAVQAFKEAVKFKRNSWEVWDNYSKVLLDTGSIQQTLEAVKMVVNLSSNKRFNIDLLEKVMAMLEEQPTHLSDTQEAESSRSTSDDANQETRKYNQLLDIIGDILQQIVRSGGSNSEIWGLYARWHKTKGNLIACSEAMLKQVRSLQGSGLWHDQTKFTKYAQASLQLCKVYMEISSSTGSQRELFSAEMHLKSSLKQASDFLHTPEYKALDDCLAEIKNLIGPA >ORGLA07G0235400.1 pep scaffold:AGI1.1:ADWL01015230.1:5416:7149:1 gene:ORGLA07G0235400 transcript:ORGLA07G0235400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRATAAAASRPRRASTKPKAHSFLSPVAPPPPSARARGRAGPSSPSSSSPSTPTAAGLSFLSSPGSSTSPKPAPRCRSSRRSPLASPRTGTASPLSAAVAPGAPAPSPASAAVSSVGDLRSAAASQMEALKRRLDELHSRAHTDLDASLSRASKRFKIQDHACQQLTDEVDKEYKKMSDSIKENTEMIKAKYKQIMAEAQSSTSRGIRSIL >ORGLA07G0235300.1 pep scaffold:AGI1.1:ADWL01015230.1:1242:3345:-1 gene:ORGLA07G0235300 transcript:ORGLA07G0235300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAGSYPYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFAWLLVLSVSACSPGIAWTVVNLAHFAITYHFFHWKKGTPFAADDQGIYNRLTWWEQIDNGQQLTRNRKFLTVVPVVLYLIASHLTDYKQPMLFLNTIAVLVLVVAKLPNMHKVRIFGINADI >ORGLA07G0235200.1 pep scaffold:AGI1.1:ADWL01015228.1:4221:5232:-1 gene:ORGLA07G0235200 transcript:ORGLA07G0235200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVADDPSAAAAATPPPPQFPTVTLLQVACRGDGDGGGAAAAEVFVVDLLAVPLAELREPLRELFERPEVLKLGFRFKQDLVYLSATFAAALGSSAGFERVEPFLDVTNVYYYLKGHDMQKRLPRETKSLATICEELLGVYLSKSLAIYARAIESAYQSFHGIQSHTPIALTLKVPGEIETG >ORGLA07G0235100.1 pep scaffold:AGI1.1:ADWL01015228.1:819:3175:-1 gene:ORGLA07G0235100 transcript:ORGLA07G0235100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMSPCTCTKARPELTRGYNEAVHCAGCTLTFTAQKLLPHLWCRDSGKCLPTNELTSDGHCPQRDAECSSSEDAVCFDDYFTSVVMKYAEKILLTESDTKPHSSRRKEKQKLATNAKCKEKFEGSTEWQGLPPWDPSAGGDGCPKFLCDVMIEGLAKHLRCVGIDAATPSSRKPEPRELLNQTYKERRVLLTRDVKLLKYQYLASNQVYRVKSLLKHDQLAEVIDTFQLKISEDKLMSRCTKCNGRFIQKPLTLDEAIEASKGFQIIPSCLFKRNLEFWKCTDCNQLYWERSAKLQRLSDLWGFSRPGW >ORGLA07G0235000.1 pep scaffold:AGI1.1:ADWL01015227.1:1669:5104:-1 gene:ORGLA07G0235000 transcript:ORGLA07G0235000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAAAAAKEVDKKVQLMKEIRAHEVAIGELNNLPPSRAVYQKTCNLFFRKSVKSAVTSEQKQLDMAKSRLQKLDQA >ORGLA07G0234900.1 pep scaffold:AGI1.1:Oglab07_unplaced119:36573:43256:-1 gene:ORGLA07G0234900 transcript:ORGLA07G0234900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPVATVTDDSAEILHSEMGEKASLADADRSGDRRKAAAAGESHTSDDLLLLRRRLLDELRKLREAEKAERERDARSARLILLSLLLAVATPVLLWLSAGGEPLLLVWRLSLLLTAYFFLCAALLSLSRGLFTLAVDFSYGALLAYFAGLVVVFLNSVSAAAMAGHALAEHRQSDGAKRSADEIPTLSHDKEEYARCCRITMAVLSFLMLVAPTVFIAWELISWWLTIADFPVDEIVGDLSIVVMFYVFCFLLLLIEEESHFYTIIAVFLVVAALPLFFSIVFGDVAAMVVSWIGILALTVLLGYRLRLYSSYQQHKVMMMTRSDDKLDDQKQELAKSKDTPADDNHEPVDASSVPSPPPSAGSPPYIHPEEPILS >ORGLA07G0234800.1 pep scaffold:AGI1.1:Oglab07_unplaced119:18911:23816:-1 gene:ORGLA07G0234800 transcript:ORGLA07G0234800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIQHSDLGEKASLVAAAAAAGEEHERHTSDLLRRRAIRLRRAELSKLGEDPERDRRDREATLIVLTLLLALAMPILLWLSAGEAPAPLLVWRLSLLLSTYFFLCANVLFVTKSFCAIVVDVYFGALLAYYADHVLGTRIGTVTIYLNSIFTAAFAGYALAERRRSDGTEQSADNVPAFADDEEEEYARAVLISSAAVISITLLFPTAYVSWMILCPYATTVEDVLRDLSYTILAYLFFATTLVTRHLLRGALLGDGRFYVFIVVFSIITVLPLFFAGIFGDVAGIVVIWLGIIALAVLFGYSVAIYSYYTQIQTMRSSQPPSEKADAEKKELDEISRDKLEHTSSPGRSTHVHSNPHSSASSSAEGSPSTHHXIDRRXDRSGGAERLVPRLLLVLRRIGRECSTALEVKHWXSIMGSSYLKTCMFVFVLGVNKDTIFVIYDTILCLFIELCFMCASLFFFLSHKSLHGQI >ORGLA07G0234700.1 pep scaffold:AGI1.1:Oglab07_unplaced119:15967:16251:1 gene:ORGLA07G0234700 transcript:ORGLA07G0234700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPNLHSALTEPIVEGWLVDRACYQDVINAFLLLIAKNTAIRVWQPSTALTVSGPASVHCDQPQEEAASRRSE >ORGLA07G0234600.1 pep scaffold:AGI1.1:Oglab07_unplaced119:8925:9374:1 gene:ORGLA07G0234600 transcript:ORGLA07G0234600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVVSATIKAVKTARRNKARCRELALRAKEVKGVLREYRKAASGGDAATTTRGILCRLKAAIDDALKLAESCGRRSRSNNGGLLSRLQLHRLVASDGLAAKLDDVNGRITSCLVDLQAAIAVRSMMDNYRRANNPVIILYTWIIQISL >ORGLA07G0234500.1 pep scaffold:AGI1.1:Oglab07_unplaced119:5676:6504:1 gene:ORGLA07G0234500 transcript:ORGLA07G0234500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSAILKVVQAISKAVSTARRNATCCKELAERAQQVAKILPDSNSKAVARGDATAANILRRLRGALDDALQLVESCQSGGGCLSWPLMLVTGDGLAAKFADVNARISNCLVDLQAASGVRIEEKIDRQAANGSRIEKKLDKLAAGSRDQPNQRRANNSSQREIINTGKNGNNGWNRGGGQQQNGGKGGKRRGGKKAAGPPPPQPQFRPSAGAGVPLCHVHPHHHHSMEEDPTSCSVM >ORGLA07G0234400.1 pep scaffold:AGI1.1:Oglab07_unplaced113:8563:9507:1 gene:ORGLA07G0234400 transcript:ORGLA07G0234400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMVVVVAAKVLVSLWCVGACCLAAYLYRVVWVAPRRVLAEFRRQGIGGPRPSFPYGNLADMREAIAAARQQLAEARRRRRARDGGGGGGDGGGIVHDYRPAVLPFYEKWRKDYGLVKNNITELTDYGSSRATQ >ORGLA07G0234300.1 pep scaffold:AGI1.1:Oglab07_unplaced113:1015:1491:-1 gene:ORGLA07G0234300 transcript:ORGLA07G0234300.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEPTSFSSPTAFPIEPCGLLQRRSRWAVRAPSTAQQAPFTHPQPPSLTEKICIHHLHSPAGTHLPSPLDGDSEIHKTVTTSCGWAEGLRRPPLGYCGGRVKATTTSSSSYSSSTAVMLPTRPKCSTTMRMTPGHPPPLANEVGGVERKLAMRSLSSLL >ORGLA07G0234200.1 pep scaffold:AGI1.1:Oglab07_unplaced111:38597:38917:1 gene:ORGLA07G0234200 transcript:ORGLA07G0234200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TYGGLGVRLQVPTYPHLWRPERSRHAAFNAVCSALNRSVTGRMTDGTQDVRRTIACVRRCAARHIPSNNDGERFSSSYPSRSRSSRSGQSKAPVFRCNKSPEVFTH >ORGLA07G0234100.1 pep scaffold:AGI1.1:Oglab07_unplaced111:7677:17391:-1 gene:ORGLA07G0234100 transcript:ORGLA07G0234100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETLDLNEPPHENQGGGLNYVLLQKDSKNICRTKVCDIPVKVPSVWSIVRFVPTKVYQQCDFLKFSLLPEPEDDRQNIEWGKFMRFLWDNQRVAVVRFSSFALHIFPPQSHESHERPNFSHAVVMYEQKDPGDCKPMAGVSDALKRSYRSDFQSGSMNPKSYLREEICDSGPNPKEMNASRVTELHKSVPESSPCESVEDGPRILDPIVKKRTTTLAKNFVSTDPSYLRTLSQTHAGWVFGAIAELIDNSRDADASRLNISVKSLFSKKADKKIPVLSVIDDGHGMTCAEMMRMISFGHKRPDKQRQDQIGRFGIGFKNLEIPVVTYRKEGQYMEVDSSVQSEATAEYNLNAIKEFSPFNEYFIGEKLGIFGEDGTGTQIYIWNLDRWGADYTLDWSSGKPSEDPVHHGRGDILIRSRRVRLRPGQTSNNVPLDYSLQSYLEVMFLNPRMKISVQGSSVKTRPLAKTLNKTSVISGEIMGRTIQLTLGRSNVEWDRMNCGIFLYWHGRLIESYKRVGGQKHNADMGRGVIGVADITDLIDDEDGNSWVLNSKQGFQDCEMYAKLEEWLGRKADEYWDTNFDTLELRKGRERNKADHEWVQCYSCRKWRILNAGFDTKSLPDEWFCYMPPFNGKCEVAEQQMARGVIVIGEKRSEHDKRDRITQQEEVAKVNARETRSDDSQSQKFTQDEDVKDVKLISTVVNKKRKSSNGTRSMDKNNSEDKHDDAEGDSSQTQPGTPRPVLKRIRRGPARSCKQS >ORGLA07G0234000.1 pep scaffold:AGI1.1:Oglab07_unplaced111:2050:6700:1 gene:ORGLA07G0234000 transcript:ORGLA07G0234000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:expansin A20 [Source:Projected from Arabidopsis thaliana (AT4G38210) TAIR;Acc:AT4G38210] MSSVLLFLLLLLLSGVSLSGCIRLGNGGYEEWRMGSATYIKESLGHPLNDGGGACGYGDLDIFRYGRYTAGVSGALFGRGSACGGCYEVRCVNHVLWCLRGSPTVVVTATDFCAPNLGLSDDYGGWCNFPKEHFEMSEAAFLRVAKAKADIVPVQFRRVSCDRAGGDEVHHHRRRQLPAGADHERGGGRGGGGGEGEGVEDRVDPDGEELGPELAVRRRPPRPAAVVRGHRREGPHGRRLQRRAAGLDVRADLRRQAVRRVGVDDVGVILGMIVIIMVIIILYR >ORGLA07G0233900.1 pep scaffold:AGI1.1:ADWL01015202.1:4197:4631:-1 gene:ORGLA07G0233900 transcript:ORGLA07G0233900.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIFVVVPLVATTLACLHVPHTFHVCCRLTMAYVLISQLLYGDTSKQIKTRLSRLWDFHDINDEEKIYHTELALLDETGASIHVQIYPSLRNKFKDLL >ORGLA07G0233800.1 pep scaffold:AGI1.1:ADWL01015201.1:6444:7792:-1 gene:ORGLA07G0233800 transcript:ORGLA07G0233800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNNDLGGLGGRPATSQANPFESALYGAGPGLIRSGLGAYGEKFLGSSSEFMQSNITQYLSNPQYYFQVNSQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPIQDINAPDLYIPLMAFGTYVVIAGYALGVLGRFTPEALTLQFTKGLLGWFLQVILIRVLLYTLGSGEAPLLDIVAYAGYGFAGTSLAMLVRVFWSPSYYFVLPWFSICTGVFLVKTMKRVLLGAPRSYERHPSRNHYFLLFLAVVQFPMLFWLGNISG >ORGLA07G0233700.1 pep scaffold:AGI1.1:ADWL01015201.1:5187:5621:1 gene:ORGLA07G0233700 transcript:ORGLA07G0233700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMANATVVFFFLAAAAVISCHAARAGNSTATAAAAGDCKLSDITVTAARTGKVVEGQPEYEVAVANGCACPQNGVRVSCPGGGGGGVPSVEPVDESKIRADEVGLCLVNDGMPVAKGSPVTFVYAWKQPLEFAAAQATPRCS >ORGLA07G0233600.1 pep scaffold:AGI1.1:ADWL01015201.1:1945:2427:-1 gene:ORGLA07G0233600 transcript:ORGLA07G0233600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSRSSTVTSSPTTYSWITWRIRRSLTLGIAKLLNNQQVHYTITKIMGTKGYAAPEWFVEIICCGRLPPDNQRIGTMVPLLNWVESLIEDGRMSEVSTRGGRRERAGAPMVLGSSMADSAERYARVAYMVHTGGPIYEANNTRGGAHAHGCCPSASLI >ORGLA07G0233500.1 pep scaffold:AGI1.1:Oglab07_unplaced107:5928:6679:1 gene:ORGLA07G0233500 transcript:ORGLA07G0233500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRSAPVESEAEPGADGPVDEANAVEEEKSGKGEDGIEEAADTVDSVKDSVKPEGMDAKEEAVEQEGGAAVDKAKDIPVTSNGKTDAGGNEVAEMKVEKLENGDGHVKADGDSNGDNKGADGEKQLMLASAGEDVEDPVLSKLASNSFMFDYSCGGDDSGTEEEQAAFMKELERFYREKLMEFKPPKFYGEGLNCLKLWRQVTGLGGYDQVLILMPY >ORGLA07G0233400.1 pep scaffold:AGI1.1:Oglab07_unplaced106:29927:31178:-1 gene:ORGLA07G0233400 transcript:ORGLA07G0233400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRLSIVSSWLPIGMYPIATYLLEIKLAGDRKNARVEYDWFIFSKVVDSNVLSYRNFVDEIAKSYPWGPNETVTIGYMDLVDKTSHHVKTDQDIIAMFEKFIDIKVIPMIIRIHGIDETVDELDHTLDNVNIDVFNTPLAISTQVDFSQSSSNTQPSRVTVPSNTYLVNPFPMGEHVGVDDEGMYLNDVEEAAAGHAEETRGKEVVNEESEDESYSASKDASEDETEDASKDECVDESEDEGMASDEMPEHCPTTTYDKNDPPMIKGSTHPNIDELRLAIAQHEIKKEFEYNIIKSEPGKFTASCATEGCKWRIYASAVADGVTIMVKTNLVVHGCSSTRRSETIKAAKQVLDL >ORGLA07G0233300.1 pep scaffold:AGI1.1:Oglab07_unplaced106:21316:21471:1 gene:ORGLA07G0233300 transcript:ORGLA07G0233300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPATPTDFSPLISLAHHNEPCYTKCAAVAHAGLWLVRLMSHNSSSRTGP >ORGLA07G0233200.1 pep scaffold:AGI1.1:ADWL01015190.1:81:2143:1 gene:ORGLA07G0233200 transcript:ORGLA07G0233200.1 gene_biotype:protein_coding transcript_biotype:protein_coding STYFFPLMLLSPLGLVSLVTKLNKICMLISFLLHIILNQSSDPFNTIQQLMGICPNLQFDEAQWIIRIKRILDEEIEVHDSQPISIFDVPKPLLCTKPEAYTPQLVALGPYHHCREELRDMEMYKLSAARRAQRHLPGTSFQQLVAVFATLEFEIRAYYHRHLGLSNDALAWMMAIDVSFLLEFLQTFSQDSSQRAALQRIPSRMSHLVNPSRRTSSHTMVLHDVVMLENQIPLFLLLKATEMRGSSRATAESVLSSVLSGFFQEVSSLVATGSPCTDTTRHAHLLDFLYSNMAPCYVEGLDLDDATEQADDDDDDQSKHHMKSTLRSLTDLLIKRVTKFLSVLVDLGVRIILKLLTRIPCLSMIAQQLNSQPTQGQQPNKDFQNNKSCVSPLLEEIAVPCVAELAYSGVRFVPANGGISTIEFCAEAATLRLPVIRVDVNSEVVLRNMVAFEASTGRRALVLARYVELMNGIIDTDEDARLLRESGVILNHLKSDREVAELWNGMTRSVRLTRVPALDRVIDDLNRHHDSCWKVRINRFLKARVLGSRELVACITMALLILFMSLQAFCIARTKGAD >ORGLA07G0233100.1 pep scaffold:AGI1.1:ADWL01015189.1:8993:9439:1 gene:ORGLA07G0233100 transcript:ORGLA07G0233100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRKITSDEEEAAVKPTRSFRYEDYSTRRVFLRSYPLQWDWASPAPGEKEKQQQQQVQGVVAGGDGEEDDDEYGGGGGDERGGRRWRRQVAVAVVEWGEEKLLLLRRVKKRLALYLIGCHYAGHRAALPFKSSSASCTAAMLASSR >ORGLA07G0233000.1 pep scaffold:AGI1.1:Oglab07_unplaced101:2189:5370:1 gene:ORGLA07G0233000 transcript:ORGLA07G0233000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPATLSLRPFATLAPSRAALPRVGAGFALPPAVSCQPRRRRLSLRAVAVDSDQQGSPEPPDQEAKPKKYHFLVANAKFMLDEEEHFQEQLKEKLRLYGEREKEQDFWLVVEPKFLDRFPNITKRLKRPAVALVSTDGNWITSFMKLRLDRVLAEQFEAETLEEALASNPVDLKFDKPEKWTAPYPKYEYGWWEPFLPPKSSNGTA >ORGLA07G0232900.1 pep scaffold:AGI1.1:Oglab07_unplaced100:123:1236:-1 gene:ORGLA07G0232900 transcript:ORGLA07G0232900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPKIEPLKPKAKSVPVPVQRTEVISGNNMKPAKVQNADDVEKAKACQLEFGSYCLWSIEHKEVMKDTIVKRLKDQLFVARSYYPSIAKLKGKEALTRGLKQNIQEHERVLSESIVDADLPSFIKSKIEKMDQTIGRAKACTVDCNNVDRKLRQILHMTEDEAHFHMKQSAYLYNLGVHTMPKSHHCLNMRLTVEYFKSAPLDSDDSAVHKFNVPDHRHYVIL >ORGLA07G0232800.1 pep scaffold:AGI1.1:ADWL01015183.1:1028:1879:1 gene:ORGLA07G0232800 transcript:ORGLA07G0232800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDMPVAVDDDLQELIDELMNAGPEDEADDREVEEISATALSEATEYLEDPDPPSPEQVGWAEGAVISAQSAADNMASYALDLRRALAVFAGTGRPEEAVLRKNVAWADARRAEAVEIASAARRLLEKELRCMAARDHPIIPELAALITAMRDSTKSLVLQDSGGDAVRSRKVGLLDSAIKFEDAVVGKMTALKEKLTRGAAAFAGEEEIVQALNKHAATAEAEIAESQAFSAVLLADANRAAIPVVVVQKRPAPETETETEEEPPRQRRRTGDAGDSAAQD >ORGLA07G0232700.1 pep scaffold:AGI1.1:ADWL01015183.1:377:662:-1 gene:ORGLA07G0232700 transcript:ORGLA07G0232700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGQKTVAALPHLVKSLRSEPVSNAPRLRHLPSLRRTFSLYDQINLIESVPEDQLRFQS >ORGLA07G0232600.1 pep scaffold:AGI1.1:ADWL01015182.1:3518:5336:1 gene:ORGLA07G0232600 transcript:ORGLA07G0232600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEKADGGGRRRRSRATRSSGSGASSTAAERKEMERRRRQDMKGLCVKLASLIPNEHCSKSKMQGATRTQLGSLDEAAAYIKKLKERVDELHHKRSMMSITSSRCRSGGGVPAAAAGQSTSGGGGEEEEDMTRTTAAAAVVEVRQHVQEGSLISLDVVLICSAARPVKFHDVITVLEEEGADIISANFSLAAHNFYYTIYSRAFSSRIGIEASRISERLRALFLNKTNGQTCLK >ORGLA07G0232500.1 pep scaffold:AGI1.1:ADWL01015181.1:1370:2474:-1 gene:ORGLA07G0232500 transcript:ORGLA07G0232500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSDSQRRKRCAACYREFNKKEHLVEHMRTSLHSAHDPRCGVCGKHCRSLDALRDHLTGALPKPECAAAFASRGCPLCLHVVLPPTAAAHSCPAAAPPLGGVLALGCKMVGAGSDGSLDVCARVCVVDEQERVVLDTFVKPHIPVTHYRYDTTGIRPEHLRDAMTPKQAARRVQELLLNGEAAWKARSSRGRARILVGHGLDHDLESLGMDYPEYLKRDTARYPALMKTSNSRLSNSLKYLTLAYLGYHIQLAGRHHHPYDDCVAALRLYRRMRGARPHTCRDAGVGPHAPPPTPAEAFPAWRQRELERMSPEELLQLSTSDYYCWCLDASATD >ORGLA07G0232400.1 pep scaffold:AGI1.1:ADWL01015178.1:1:2082:-1 gene:ORGLA07G0232400 transcript:ORGLA07G0232400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLPCNLLTRRRALTACAAVAALTAAAALFLLATPPTEDPTHPYLLASLLHNTSNQPDSAAASSLSPAQPPLPSTSILQLQTNLPSGFTTVPSMFLVPSPSPAENLDDGSMEETDPPDLKENPPAESAHFLQEPISSGSPIRRSDINNKGHDMKDHAMLPPRPEVPVPLWSTAADEELIYAKKEIAIAPLVSNDPDLHAPLFRNVSVFRRSYELMERLLKVFVYHDGAKPIFHSPELKGIYASEGWFMKLMEGNQHFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSIFVKKYIDFISAKFPYWNRTKGADHFFVACHDWVCILPLS >ORGLA07G0232300.1 pep scaffold:AGI1.1:ADWL01015177.1:5546:7629:-1 gene:ORGLA07G0232300 transcript:ORGLA07G0232300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKIFEGSLVLLSLLLFTSLAVADIASEKQALLAFASAVYRGNKLNWDVNISLCSWHGVTCSPDQSRISALRVPAAGLIGAIPPNTLGRLVSLQVLSLRSNRLIGSIPSDITSLPSLQSIFLQDNELSGDLPSFFSPTLNTIDLSYNSFAGQIPASLQNLTQLSTLNLSKNSLSGPIPDLKLPSLRQLNLSNNELNGSIPPFLQIFSNSSFLGNPGLCGPPLAECSLPSPTSSPESSLPPPSALPHRGKKVGTGSIIAAAVGGFAVFLLAAAIFVVCFSKRKEKKDDGLDNNGKGTDNARIEKRKEQVSSGVQMAEKNKLVFLDGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEDGTIVVVKRLKDVVAGKKEFEQQMEQIGRVGKHANLVPLRAYYYSKDEKLVVYEYVATGSFSAMLHGIKGIAEKTPLDWNTRMKIILGTARGIAHIHAEGGSKLAHGNIKATNVLLDQDHNPYVSDYGLSALMSFPISTSRVVVGYRAPETFESRKFTHKSDVYSFGVLLMEMLTGKAPLQSQGQDDVVDLPRWVHSVVREEWTAEVFDVELMKYLNIEDELVQMLQLAMACTSRSPERRPTMAEVIRMIEELRQSASESRDSSNENARESNPPSA >ORGLA07G0232200.1 pep scaffold:AGI1.1:ADWL01015177.1:687:4621:1 gene:ORGLA07G0232200 transcript:ORGLA07G0232200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKRNKKKKGNQGKNTGDVTSSTAEAAIQSHNHETAPNDHHNGTDADDAMSTVGEEAPQYQNHEPDRQANHDSTNTDDVMSSVGEGIPFQNLDPAMTHENHKVSSTAHADQRSVEMSDSTVELDMHRLYEAKLDKLHDTIKKLEDEKSLWHQKMSSMEIEVEKLHNKVDYHAQNEVRLEEKLNNLQYGYDVLIKKEVALDNKVRSIEVINDALTHQETSLKERLSGLEETNKVLLVQVKVLEEASNNTVEESQRLVKGFDELASRLGVFEAKSALTEASVTKKGNELIVDRSVNSSAAITSVDNYSPINSSPSNAYVSNHLEEAPMQLPETTINDVSSEGLIDMNAHQRSKQDFDEPRTSEEILPVALDDIQIHEEDPQPPVADDEAEEVPFSDAPIVGAPFRLISFVARYVSGADLVNQK >ORGLA07G0232100.1 pep scaffold:AGI1.1:ADWL01015176.1:13132:13778:1 gene:ORGLA07G0232100 transcript:ORGLA07G0232100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNRVMWQFGRLQTIPHRFSTSIDLHKVDRRKNKKVTDWAYYHQDHITQWEKFEENGVPDQGQHNRMEFDVYLAWLHRTYRLFLRPAWTLADIADDPEDVEKQNEYDTRTRQGTTVETGPVRDRVARELLRTINDAGVALGTAPGSEGEGGTLRNAL >ORGLA07G0232000.1 pep scaffold:AGI1.1:ADWL01015176.1:10663:10860:1 gene:ORGLA07G0232000 transcript:ORGLA07G0232000.1 gene_biotype:protein_coding transcript_biotype:protein_coding INEKALFLGEIKCLLGVVGERPKKWLEDHMAHKVKWARAFDTNGRRHSIMTSNMAESFNNVLRGI >ORGLA07G0231900.1 pep scaffold:AGI1.1:ADWL01015176.1:3880:6936:-1 gene:ORGLA07G0231900 transcript:ORGLA07G0231900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAGGRSKDGGGGGAALLGGGGVTCFDVKSFVASLALLTLIMALWQLHPYQPLVLLPAALSSSPCPLLPRSPTSGIAVSFLSTAAATNSTDTATVPTTTAAARVAATKRPTLPARQRERDPNKRELRPYGTAAALFVQMGAYRGGPRTFAVVGLASKPAHVFSNPYFKCEWLPNAPAGAPPVRTKAYKMLPDWGYGRVYTVVVVNCTFPSNPNADNIGGKLLVHAYYSTASRRYERFVALEEAPGSYDDARFRPPFAYDYLYCGSSLYGNLSSARMREWLAYHARFFGPRSHFVLHDAGGVTPEVRAVLDPWVSAGRVTVQDIRAQEDYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPDGRALEDVLAQLQPYTQFTIEQNPMSSKLCIDDPTEDYSREWGFEKLVFRNSITGVRRDRKYAIQARNAYSTGVHMSQNVYGRTTHKTESLIRYYHYHNSINVMGEPCRKFVPKPANGSKVMFEGIPYVYDDNMKRLAGEIRRFEKQTIGDVHT >ORGLA07G0231800.1 pep scaffold:AGI1.1:ADWL01015176.1:889:2433:1 gene:ORGLA07G0231800 transcript:ORGLA07G0231800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWIFYSLTLLLCLACSLLLRARASAAAVEVAPLPPGPRTVPVLGPLLFLARRDFDVEPTLRRIAAEHGPVFTFAPLGPSRPTIFVAARGPAHRALVQRGAAFASRPRGVSPASVLLTSGGRNVSSAQHGPIWRALRRCISSGVLNPARLRAFSDARRWVLDALVSHIRGEGGAPLTVMEPFQYAMFCLLVYMCFGDRPGDARVREIEALQRELLSNFLSFEVFAFLPPITRLVFRRRWNKLVSLRRRQEELFAPLIRARREAGAGGDCYVDSLVKLTIPEDGGRGLTDVEIVSLCSEFMSAGTDTTATALQWILANLVKNPAMQDKLREEITAAAVDGEVREEDLQAMPYLKAVVLEGLRRHPPGHFLLPHTVEEETTLDGYRVPANTPVNFAVGEIGLDSEVWTSPEVFRPERFLAGGEGEDVDLTGSKEIKMMPFGAGRRICPGMALALLHLEYFVANLVREFEWREVAGDEVDLTQKLQFTVVMKRPLKATAVPLRGDRSAAAAVTGSA >ORGLA07G0231700.1 pep scaffold:AGI1.1:Oglab07_unplaced091:26020:26698:-1 gene:ORGLA07G0231700 transcript:ORGLA07G0231700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSRTYNLINSAWWIGKFQGEVFDASPSRARKKGRCAVRRSSALSIHGVPQPCGQLLWVLGFRRAARLGGQGRRPAGGARAARVQPAARLIVSLFLESDVEINLRNYRAQGRVTPWEGEKRRRGLGRRTAAAQEDMWGEGDDLWGRM >ORGLA07G0231600.1 pep scaffold:AGI1.1:Oglab07_unplaced091:4928:10107:-1 gene:ORGLA07G0231600 transcript:ORGLA07G0231600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVASNVELDSAVFQVSSAQNRYEAIACSKGNTELIASGPFDQLVLHLEDARKFQSCSTAGTFKLSLSGNAKGSSWFTKSTIARFLNTINSPDASKSANGILHEISQLEETRKFHQSLYSKEQRNPTGGALSGGVFGTIGVEQQGNVGPNSSEATKNELLRALDLRLTVLKEEIFALLNRAVVSNMSTRDVSDLSSFVQHFGASEFSWLMRCLLLIPDCQPSEVSQQQSFPAEKDDKGENALHTRNISSHTIIQRPITNNVSPAKLAQIERESSTESDDSSESSAEDEAVVERSRPLMRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESGSCNGETDQPSRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNNNSEEKTIDSTSQSKSNNTGSDSEKDGAETQAEPGSASASSTVVTPSVEGFHTNMQGVAMPETETAVSSHTEISAEQTKSGQEENSDRAMASAEWNRQKEAELNQMLMKMMQVMPGKFSSANVTTTGITSTNEKKGGLQGQHKEKRDSKVRTEKGGRRPAKEASTRPLKETVGQKKAAITPKTGTAAEKRNSPVPQRARRNSSPPVLPKELTPKAPARKSSPKPSPAPVTRSSWSGGSLTKATTAQKTKSSPGTVSAPTATSRRRTPVASSPSQPTSKVERSAQPVKNKKETVAASKPAIKGIEEKKTKTATKTSRLAKSTPISDEKSSAATRPNLYNKVAKKSSVVPLESKPSKKATGISQSAGTDAVKSKMPQLDDSSNDIGNITQAEDKEHSAVTTQPKTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTENSAPSLATTEMDSSEQVEPHTEVQPPPEEDMGISSAAWVEVEHEEVTDVGENVVPEDVTSPSIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGLKRLLKFARKNKGDSNSNGWASPSVVSEGEDELEEPRGGNEGVNSSRRTFDGPKTNSILSAQSTTGSFNSTNSDRLRDRPGAAPSTKSSRSFFSLSNFRSSRSNESKLR >ORGLA07G0231500.1 pep scaffold:AGI1.1:Oglab07_unplaced091:857:3616:1 gene:ORGLA07G0231500 transcript:ORGLA07G0231500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYVKIAKLVDMALASGKIYEGEGFKYIQQSFENGTLHLIGLLSDGGVHSRIDQLQLLLKGASEHGAKRIRVHILTDGRDVLDGSSVKFVELIENDLAKLRDKGVDARIASGGGRMYVTMDRYENDWQVVKRGWDAQVLGEAPHKFQNALEAVKKLREDPKANDQYLPPFVIVDERGRPIGPIMDGDAVVTFNFRADRMVMLAKALEYESFDKFDRVRFPKIRYAGMLQYDGELKLPSHFLVAPPEIERTSGEYLARNGIRTYACSETVKFGHVTFFWNGNRSGYFHPNLEKYEEIPSDIGIPFNEQPKMKAVEIAKKTRDAILSCKFDQVRVNIANGDMVGHTGDIEATIVGCKAADEAVKVIVLDAIEQVGGIFVVTADHGNAEDMVKRDKSGKPLRDKDGNVQPLTSHTLNPVPIAIGGPGLQPGVRFRSDLPSAGLANVAATVMNLHGFEAPDHYEPTLIEVVDK >ORGLA07G0231400.1 pep scaffold:AGI1.1:Oglab07_unplaced090:10369:18070:-1 gene:ORGLA07G0231400 transcript:ORGLA07G0231400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDFTELHKSPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDTLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQDDRDKFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEDTNKRIPLPREIRSICQLELVPVVTASIPVDPNCQYEEGSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLVGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRRAFVKVCNNFRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAADADRLCQMFPGWGAWL >ORGLA07G0231300.1 pep scaffold:AGI1.1:Oglab07_unplaced090:6867:9108:-1 gene:ORGLA07G0231300 transcript:ORGLA07G0231300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETDINAPPPPAPAPAGAGEGSSSAAGPSSRKPNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >ORGLA07G0231200.1 pep scaffold:AGI1.1:Oglab07_unplaced090:208:6037:1 gene:ORGLA07G0231200 transcript:ORGLA07G0231200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVVSFPGDLAAVSFLDSNRGGAFNQLKVDLPFQRRDRRAVSLRRTCCSMQQAPPPAWPGRAVVEPGRRSWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLADQVKTFKPKLVAVRNESLVDELKEALADCDWKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAQKHKVKILPADSEHSAIFQXXXXXXXXXXXXXXXTASGGAFRDWPVDKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMIETQDSSVLAQLGWPDMRIPILYTMSWPDRIYCSEVTWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVELFIDEKIGYLDIFKVVELTCDAHRNELVTRPSLEEIIHYDLWAREYAASLQPSTGLSPVPV >ORGLA07G0231100.1 pep scaffold:AGI1.1:Oglab07_unplaced089:6962:9566:-1 gene:ORGLA07G0231100 transcript:ORGLA07G0231100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGKRRSARLLKLEEQKNDDTTATGCLLDPWQIIRNSIAGVRGKRKRNEENQHLQGEASSSQALCATTDINNLSSKSSAVQIIEYILDTLEMRDTHELFAMPDDIQVTDYAERVNRPGDFATLRQKNKDGMYNTLEQFENDVYMVFQKAMSINSEDTIPYREAMSLLHQAKQVFLSLKSNQMYSESELAAWRQKNLVSQSPAKLNGKFDGSKVGSGSGAGGAAPTTPQRPSAPARKKIAAKTGAVAAATSVKSTTRQRAARESNGAPGRRARKAASVTPGTAEHGGAGASATVEQRRLAYADEADHGGWRPVPVVSTGQHATLVYRPQTAAHTYQDSLRRFVRHAGLKARVAAEFRSLECDVRARQAAPAPGYWPNGGFASSSGAGTASRSFLPHGRCPPPSPPSAAFGGGGAAAASSADAAGNKAPPRCRLETDEVLKLLVLIGRPAFMERARRVLGHERQESSSKQGHDQKPAVTRAGDDDGGAKAGVTEAKPGKKKGSASKPAAVEFGPFAPPKLVIPGRQLGFSQFAGSSSQPFKVTPTTPNVPDKKKKRG >ORGLA07G0231000.1 pep scaffold:AGI1.1:Oglab07_unplaced089:3763:6543:1 gene:ORGLA07G0231000 transcript:ORGLA07G0231000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIPVACLEDNYAYLIVDESTKSAAAVDPVEPEKVLAAAAEVGVRIDCVLTTHHHWDHAGGNEKMAQSVPGIKVYGGSLDNVKGCTDQVENGTELSLGKDIEILCLHTPCHTKGHISYYVTSKEEEDPAVFTGDTLFIAGCGRFFEGTAEQMYQSLCVTLGSLPKPTQVYCGHEYTVKNLKFILTVEPDNEKVKQKLEWAQKQREANQPTIPSTIGEEFETNTFMRVDLPEIQAKFGAKSPVEALREVRKTKDNWKG >ORGLA07G0230900.1 pep scaffold:AGI1.1:Oglab07_unplaced088:35662:42326:-1 gene:ORGLA07G0230900 transcript:ORGLA07G0230900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLPIPQGLSFLRSVGWFEERKADAAAARQRHSPRLKLQTDREVYRPGDSVTATIEICTPAGLKDDAASAETGEDAPSLLVDGLSFEIKGIEKLDSQWFSVSKPLPGSKQRRGEHLFLDCSAPSLIPKVIIASGQTKTYIVRVELPKILPPSYRGISVRYIYYVKSILFGRSIVLGNGDQNIAPVNTAVQLEARVPLQICVSQKGSNLLNEEAVPVNFPLPIEQPDIFWREKDEDSEWSKANDNTDLEEGYDSSKDEVSSVSSYNKANPESSLRSSVSMHSLSSRLSTSEPLYSRERPSFPSYSPIPRLSVSEISDDHGGGVLSPQRKLNHLLSDHHPSNGQMFSLDPDRSNDDAGPPLTPKYVEPAGSEGFMRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGSGTRRCLEVSVTLETSETVNPRVIHPSRRSSPSITKVHSEHYEVVADLHQTSFLFSVPIDGPMSFSTSKVSVQWSLRFEFFTTPEGIDSSRYEHPLLVEKREKGDWVLPITVYAPPLRRQAIHGRNDRSVLVGNLFNS >ORGLA07G0230800.1 pep scaffold:AGI1.1:Oglab07_unplaced088:29886:30449:1 gene:ORGLA07G0230800 transcript:ORGLA07G0230800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECSYNSMSPSTPLPPPPSAAAAKKGSPEAAAAHKERPKIRIIHIIAPEIIKTDVANFRDLVQRLTGKQQQQQQESAETTLLPPSPVAVLDEKKEKVTTKKRPAPAEDESMMRKKKKKIKCEVKVEEGHGFGYDHLDHTDLWMDLNPGGFLSFLEEEDVFQGMAADLFQSPLGSSRMDFVGEMYAS >ORGLA07G0230700.1 pep scaffold:AGI1.1:Oglab07_unplaced088:14231:18081:-1 gene:ORGLA07G0230700 transcript:ORGLA07G0230700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELTVTGWFLSPIIREMQDTALSYIRGQFSWEKDQEKDLERLDTILTEILAIVDAIEKREIKDGNQRKLLRKLKDAIYSAVDVLDSFQYMALKSKVDSQAMVSRVTSSCVYLGKRVVGTDKFRRKLTDMLKKLDEVKTTADTLFKLVSFDSATAKLLPVTQARVTSPLKEENHIYGRKDDLDRLRDLLLMQSDSSAPGPSNSCVPVISIIGVGGIGKTSLAQLAFRDERIRASFGLRIWVCVSDIYDETTLARDILESVTGENYRSVTKLDELKNVLQEKISQKNFFLVLDDVWYDENRTNWENELVWDGVLSTLDTGLGGSKILVTTRTNKASELLRAGACLQLGGLNRDDYWMLFKSCAFGEKHPGLFQELKEIGMQIAERLNGLPLAAKVIGRLLNVDLDSSHWKKVLESDISGDVMKVLRLSYQHLPIHLQLCFSFCSLFPKSWRFDPRRLTDMWISQGFVQKEDESDNDMNVEDVAKGYFNDLVQRSFFERSLLDLPIEYVMHDLINDLARNVSKDEYTRIESEKQKEIPPNIRHLSISAHLWAGMKKTEMKNLRTLLVWSKSWPCWKLSLPNGVFKKSKYIRVLDLTGCCLERLPTSVKNLKHLRYLAFRVPEKPLPTALVQLYHLEVLVTRGHSCRGSECFQLPTNMKKNLLKLRKAYLFNVGGATISGFGGQTLLHGPGEFHVKKESGHRLGELKEMNNIRGRLSVRFLENVEHQQQAVDAHLDCKEHIKHLQLEWSDLPRPITSELDPYVLEALRPHPDLERLNITGYKGERSPSWFETNWMKALTSIVLQNCMGWVQLPPLGQLPLLEDLVLRNMHAVKQIGQEFYGNGDTKGFPKLEEIVFDGMPNWEKWSGIEDGSLLPCLTRLYIAKCPKLQEAPPLNARPKVEVAITSDSLPSSCLFDSLMASASYLILLVNCCSFLSSLNTDQLSHVEELNVKSCTDQMPACGFIGLSSLKVLRISNCSALLSSVCVEAGEELDTCFFPQSLSELEIVDCNIQSSLLPRYLQGLTNLSTLMINSCDSMDLLSFAYGPHHLTSLEAIIIKDCIFLSSLDGFENLIALRKLVVADCKNFCFLPADLNALISLKTLAIYGCPKMKFLPQNGVPASLQLILLSLLHPELDRQLQRREGTEWDKIAHVPEKKLEVELIDLLTLFPSSSF >ORGLA07G0230600.1 pep scaffold:AGI1.1:Oglab07_unplaced088:9485:10541:1 gene:ORGLA07G0230600 transcript:ORGLA07G0230600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVPDDALADILRRLPPRSLAAARCVCKPWRDLVDGRALLLPRLLPHSVHGVLINYIDHDRPHLFSRRRSRSRSRSSSSPAASSGGGDIDGNLSSVPPKGDMDWWHVMDHCDGLLLCAVEWGNRLCVCNPATRRWATLPRCPESPKPIRYGTGGAYLAFDPAAASPPHYEVFLIPGLPEKPPPPPPKQKAKAITAPPFCLDSLLASLDGACWTMEEVEPPPPPSPPASSMGDADLYRLMEWPPSPYKVYVFSSRSGRWEERAFVREGGETAATTTTVDDMEPWECPLEGPRQGYTGTACPGREHCMCILVVLLLPGKFIQLRMST >ORGLA07G0230500.1 pep scaffold:AGI1.1:Oglab07_unplaced088:2760:3002:-1 gene:ORGLA07G0230500 transcript:ORGLA07G0230500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGGRPLARLTPRPSPRARHRPQGAPQAQAHVQRRAGARREARLRLARAREQAWVLLDRRRQREGAGWLQEPRRRRVN >ORGLA07G0230400.1 pep scaffold:AGI1.1:Oglab07_unplaced087:10861:14856:-1 gene:ORGLA07G0230400 transcript:ORGLA07G0230400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFKQQQQQGGGNGGGQGKRLERRLSLGEYKKAVSWSKYLVAPPGAKIRGGGEELWSADLSKLEIRTKFATGRHSRVYSGRYAARDVAIKMVSQPEEDAALAAELERQFASEVALLLRLRHPNIISFVAACKKPPVFCIITEYMAGGSLRKYLHQQEPHSVPIELVLKLSLEIARGMSYLHSQGILHRDLKSENILLDGDMSVKVAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPEQAAVAVALKIAFVQNARPPLPPSCPVAISHLITQCWATNPDRRPQFDDIVAILESYIEALEEDPSFLQSYIPPPHPLHHHHHQHHNHHHQQSLLRCFPRYRTTRRSASLRV >ORGLA07G0230300.1 pep scaffold:AGI1.1:Oglab07_unplaced087:8505:9080:1 gene:ORGLA07G0230300 transcript:ORGLA07G0230300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRDHLAVIAEALCAIAADMNAAARALDPSTVISLACAAFTVAIVLVCYADICGRLATLHSQTQTPAGEDEDNNATPPEAPAASSLKDRQRSSHGTDTSSSSESSSSSSSSSSSESSSSSSSSSSESSSSSSDDDLARGRQQRNPSPPPQYKKEARRSPSPSPPSSSSTSSSSSSSGSSWWTRSARSFY >ORGLA07G0230200.1 pep scaffold:AGI1.1:Oglab07_unplaced087:1908:5004:-1 gene:ORGLA07G0230200 transcript:ORGLA07G0230200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSSTSSPQDGSGGGEFSDNLVLQTPQPMREDYIQNAVNFLGHPKVKSSPVFYRRSFLEKKGLTKEEIDEAFRRVPDPQPNSTDVAAVASQQAGIANQSAGVQPYETVQAPQAINTGPIVPHAQPQLSWSRTLIGVGVFLGVGASAAVILKKLFVPRLKSWIQGAHVEGDEISGNELKSKFYEEIKAAIQDSASAFSDIAKTNQELLASKDEDKKILTKLAQAFDSQAEAFRSLSDSLNRMSENRFYQYNLMEDHFQSAPWNGPTTNSWRASQQTNAYNTSPRSDFDSGRHPFMPVPGEPSPGAFPARSYVEQQRMQRPGYGFQPQMSNDRWNPGSPLTNYHGAPPYQQYHHGSTNAIDEALAPAPVPAPAPPAESPFQRRWVPPQPPGVVMPEAVAAIRQPRQQVAAASRPSESAAATEQPQSGDVAGGAAMANAGNGEAEQEREAAA >ORGLA07G0230100.1 pep scaffold:AGI1.1:Oglab07_unplaced086:3755:6394:-1 gene:ORGLA07G0230100 transcript:ORGLA07G0230100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWAHQRKIIAREFFLDKVKGMVDLMVDSAQTLLKSWEEGIDKNGGTIDIKIDDDIRAYSADVISRTCFGSSYIKGKNIFLKIRELQKAVSKPNVLAEMTGLRLVTKFFPIKRNKQAWELHKQVHKLILEIVKESGEERNLLRAILLSASSSKVELAEAENFIVDNCKSIYFAGYESTAVTAAWCLMLLGLHPEWQDRVREEVQELTMVIQETLRLYPAGAFVSRQALQELKFGGVHIPKGVNIYIPVSTMHLDPNLWGPDVKEFNPERFSNAQPQLHSYLPFGAGARTCLGQGFAMAELKTLISLIISKFVLKLSPNYEHSPTLKLIVEPEFGVDLSLTRVQGKMSMDKWFMSTSYGYVVSTKRQHILETLKKIPFSSSGYYLKVNKSMMG >ORGLA07G0230000.1 pep scaffold:AGI1.1:Oglab07_unplaced086:114:820:1 gene:ORGLA07G0230000 transcript:ORGLA07G0230000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPWCCGPLAAYLNLSLFSLTNGLAEALIGLGMFFWPFLEGLLILANALAILNEDRFLAPRGWSMSEVSGNGQSKSLKGQIVGLFYATQFFRMPLIALNVLIIVVKLVSG >ORGLA07G0229900.1 pep scaffold:AGI1.1:Oglab07_unplaced085:9204:9824:1 gene:ORGLA07G0229900 transcript:ORGLA07G0229900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSVFDTTGSALSSFKSFMHSPLFS >ORGLA07G0229800.1 pep scaffold:AGI1.1:ADWL01015153.1:180:3192:-1 gene:ORGLA07G0229800 transcript:ORGLA07G0229800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATACASPAAARPPLHIPLRSPPSAAHLPSAAASRRASSAACRCTASASASPSTWDWTRWTRHFADVDQAESYASLLKFQLEEAVDNEDFAEASKLKKAILEATGNDAVALVMSELKTAIEEQRYQDASRLTKLARTNLVGWWVGYAKDTDDSIGRIVRISPGVGRYVAKSFSPRQLVTASSGTPLFEIFLVRDDDETYTMKVVHMRPTKGTSSASSVSSATAESPAKEENESSLESSAISEGITDEANTDTTLKGDEDVEDKEQDVGNAKDSSVEGLKSVLNFFKSRIPEFKVQVINVDVSEEAELASDSSEELVQDDVKSTSENSLEDSTTEELQQDDVPDGDSDSAEDSKSPEMKLFISGVVHNKEDAGAKSYVRVPAEINNLEKDSFELYIPGKGSDRDLADTKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLKLTLSKARVKLTENTIFNRIITDSNGSDPFSGLYVGAFSPYGPEVVQLRRKFGHWNSTDEVEFFEYVEAVKLTGDLSVPAGQITFRAKIGKGKRLENRGAYPEEFGVIASYKGQGRIAQPGFKNPRWVDGELLVLNGKNVLSERMAHS >ORGLA07G0229700.1 pep scaffold:AGI1.1:ADWL01015152.1:3552:4343:1 gene:ORGLA07G0229700 transcript:ORGLA07G0229700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDPGYGYGGYGYGYGYGYGGAGYDMAGYGGGGGGYYTSDPYNAAPAAYEDPLAVAGRRQHDFPAPLTGVEFQPSDTCPKNYVIFDQTYDRSRVMFHPSLANNLGNSGGGYDHHHHCGYGGFEQDYASKSAYYGVEDDGGGGCSIRQKEDTDEIDALMSTEDGEEEDDVLSTGRTPGCRAGGSPDSTCSSGASRSDCGGGRKPEAGGGERKKERMKKMMRTLKGIIPGGDRMDTPAVLDEAVRYLKSLKVEVKKLGVRGSSS >ORGLA07G0229600.1 pep scaffold:AGI1.1:ADWL01015152.1:1391:1744:1 gene:ORGLA07G0229600 transcript:ORGLA07G0229600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHPSIRLTSSPVPFHRPICFFYFCLLLIGVPSYHLQFPDNFGKRQGQDALGRDDVRSAGGVLPRHPVIDLVTGKAIGHRR >ORGLA07G0229500.1 pep scaffold:AGI1.1:Oglab07_unplaced081:7049:10393:-1 gene:ORGLA07G0229500 transcript:ORGLA07G0229500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAAAAAVAVSSSSPLFSSSSSRPLPRRHLPPSSVSLRPRRRSIAAASAAVESAGRPLLEARDLVACVKENGQEILSGVNLTIREGEIHALMGQNGSGKSTLMKVLAGHPEYEVTGGTVLFKGENLIDMEPEERSLAGLFMSFQAPVEIPGVNNYDFLLMALNARREKKGLPALEPLQVYPIIEEKVNALNMNAEILNRAVNEGFSGGERKRNEILQLSVLGADLALLDEIDSGLDVDALEYVAKAVNGILTPNSSLMMITHYQRLLDLIKPSYVHIMEKGKIVKTGDRALANYIDESGYKAIATA >ORGLA07G0229400.1 pep scaffold:AGI1.1:Oglab07_unplaced081:1546:3422:-1 gene:ORGLA07G0229400 transcript:ORGLA07G0229400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRATAAAVVALVVLLPHLVVAVVLDVGGGVAARPCHSASVDGDAGCLSWRVMVEANNARGWRTVPAACVAYVRGYMTRGQYGRDLSSVMDQVAAYVDTVEADGDGLDAWILDIDDTCLSNLLYYEAKQFGAYDPSAFKTWASKGACPGIPAVLELFVTLQAKGFKVFLLSGRDEETLATCTSENLESEGFLGYERLIMRSPEYRGQSSSVFKSAMRKRLVEEEGYRIRGNVGDQWSDLQGDYVGDRVFKIPNPMYYVP >ORGLA07G0229300.1 pep scaffold:AGI1.1:Oglab07_unplaced081:34:958:-1 gene:ORGLA07G0229300 transcript:ORGLA07G0229300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIFDVGQKKRSADDFPLCVHLVSWEKENVSSEALEAARIACNKYMAKHAGKDAFHLRVRAHPYHVLRINKMLSCAGADRLQTGMRGAFGKPTGTCARVRIGQVLLSVRCRDAHAAHAQEALRRAKFKFPGRQRVIFSAKSGFTRFKRDEYLKLKSEGRIVPDGVNAKVRTKPRCIARNDIIITKLINACMVNSG >ORGLA07G0229200.1 pep scaffold:AGI1.1:ADWL01015149.1:1578:1844:-1 gene:ORGLA07G0229200 transcript:ORGLA07G0229200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFSTYPPVSVSGTGTPLLKVVRAFPGSMAWVTYFSAVAPGMSLVEKQWLVHGAHTSALQRLVLGPRLEAFSLPLLTLKKQGHLVSLNL >ORGLA07G0229100.1 pep scaffold:AGI1.1:ADWL01015149.1:830:1192:-1 gene:ORGLA07G0229100 transcript:ORGLA07G0229100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANLLAVFAPPPPLSLSGHLGALAGDPGCFPLDDEAYPPSSHWPTLTPVIFGSYLVFRVCLDLVPLAQPAPKQCFTPRCPVNCCASTHFGENQLALGSSGISPLTTTHPLILQHQSVRTSA >ORGLA07G0229000.1 pep scaffold:AGI1.1:ADWL01015149.1:3:281:1 gene:ORGLA07G0229000 transcript:ORGLA07G0229000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYDFAFMDVDKILPFSSTLGWHSLNVNGEVQKRKGLRWIPRYPETRKGVASDEMLRGVENKHRSGDSQIGQPFELPAESMSRQETTWRTETS >ORGLA07G0228900.1 pep scaffold:AGI1.1:Oglab07_unplaced079:134002:134757:1 gene:ORGLA07G0228900 transcript:ORGLA07G0228900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRVDPQTSDITINVIDPGVQMNMDDGKGPSAEVNETSVEEVNAREDGGVVAPVGIQPGGVADEGETVGAIVDEMEREDSDNERVEEGDSSDDETDINPAEWASEDFSGMVVSEEDSVRWEYKENEVIQGAIYSRAEDMKKAVKHFAVSLHREFWVAKSNRSQYEVRCVKEKDGCPWRVHAYKGKWKDYWTVSVVTKHTCFLPGV >ORGLA07G0228800.1 pep scaffold:AGI1.1:Oglab07_unplaced079:129978:131767:1 gene:ORGLA07G0228800 transcript:ORGLA07G0228800.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRTQPKETNNFPTNIFSMFSAVQSTSSTSTIEASSLKSPSVVIVASGIKMSESLPSTPPLLTSADPSSSRSRSLNVDENSTTKAHQSPGHQLCRQNLDNKVLSFKSFNESYLEEGRPLSSMPSVYSKDIIAGGSHESEKYDPPCPIRTHGEKYFTNLYGKQDSKIKNKISFEEWVNKEKGILEKAVERIALYPPSTGDVINRADVSSLQEDPRAD >ORGLA07G0228700.1 pep scaffold:AGI1.1:Oglab07_unplaced079:124226:126622:1 gene:ORGLA07G0228700 transcript:ORGLA07G0228700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKRSSFLLLVVVFALLLLTSMAAGGRKMLINKHQVQSMETSDDESMHQGQEDDEMLAMVHERILRQVKTNDYGNYDSPPTMAKPHAKEIPN >ORGLA07G0228600.1 pep scaffold:AGI1.1:Oglab07_unplaced079:112814:116558:1 gene:ORGLA07G0228600 transcript:ORGLA07G0228600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGGGGGVMAGPGVAGGGGGGGGGGVGGDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPVAGVAWFLDLFDYYIRTDERDAFSKELRLDTKVFYFDIGENKRGRFLKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINNEASRLYVLPNHPNQQHLEPPERLPGLSDDVGAGFIAGHGSQSASGPEVDVERLVDLPPQEEISGMGMSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTGANVRTVESSQR >ORGLA07G0228500.1 pep scaffold:AGI1.1:Oglab07_unplaced079:104625:108533:1 gene:ORGLA07G0228500 transcript:ORGLA07G0228500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGALASTIVRQVLTKFGSSVWDELALLCTFRADLAAMEAQFATIRAVLADAEARGGAGGDAAVRDWLRRLRDVAHDIDDFLDACHTDLRRGEGGGDCSVCGGLTPRSFAMAHRLRSLRRELGAVAASKDRFSLSPDARPPASRQLPSVPPMRETISMVDEAKTVGRSADKERLMRLVLDAAGDDDDDDDDGVSVIPIVGIGGLGKTTLAQLAFNDRRANDEVFDPRIWVSMSAGFSLATLVQAVHPIVAAPSEWCDLATTTTTNLEAIARFLSMAFTGNKYLLVLDDVWSESHDEWERLRLLLRGGKRGSKIIVTTRSRRIGMMVGTVPPLMLKSLSDEDCWELFKRKAFEEADEELYPKLVRIGKEIVPKCGGVPLAAKALGSMLRFKRNEESWIAVRDSEIWQLDKEETILPSLKLSYDQMPPVLKQCFAYCSVFPRNHEIDKGKLIQQWVALGFVEPSKYGCQPVSDKADDCFEHLLWMSFLQEVDQQDLSKKGLEVDGRVKYKIHDLVHDLAQSVAGDEVQIISAKRVNGRTEACRYASLHDDMGSTDVLWSMLRKVRAFHSWGRSLDINLFLHSGFLRVLDLRGSQIMELPQSVGKLKHLRYLDLSSSLISTLPNCISSLHNLQTLHLYNCINLNVLPMSVCALENLEILNLSACNFHSLPDSIGHLQNLQDLNLSLCSFLVTLPSSIGTLQSLHLLNLKGCGNLEILPDTICSLQNLHFLNLSRCGVLQALPKNIGNLSNLLHLNLSQCTDLESIPTSIGRIKSLHILDLSHCSSLSELPGSIGGLHELQILILSHHASSLALPVSTSHLPNLQTLDLSWNLGLEELPESIGNLHSLKTLILFQCWSLRKLPESITNLMMLESLNFVGCENLAKLPDGMTRITNLKHLRNDQCRSLKQLPNGFGRWTKLETLSLLMIGDKHSSITELKDLNNLTGELRIECWSHKMDLTTAAKRANWRNKKKLSKLTLLWTIPCSVDDFENVETFLEVLVPPENLEVLEIDGYMGTRFPSWMMKSMESWLPNLVSLDLSNIPNCSCLPPLGHIPYLQSLHLRYMAGVHSMSSEILVKRQKCVLYQSLKELHFEDMPNLETWPTSAATDDRATQPEGSMFPVLKTVTATGCPKLRPKPCLPDAITDLSISDSSEILSVRKMFGSSSSTSASLLRRLWIRKSDVSSSEWKLLQHRPKLEELTIEYCEMLRVLAEPIRYLTTLRKLKISNCTELDALPEWIGDLVALESLQISCCPKLVSIPKGLQHLTALEELTVTACSSELNENCRKDTGKDWFKICHIPNIVIS >ORGLA07G0228400.1 pep scaffold:AGI1.1:Oglab07_unplaced079:103239:103480:1 gene:ORGLA07G0228400 transcript:ORGLA07G0228400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINTRPPEATTYKPTRYESAISDLAEHGFEEEDYPVVDYESDLQTDMSTTVK >ORGLA07G0228300.1 pep scaffold:AGI1.1:Oglab07_unplaced079:102163:102576:1 gene:ORGLA07G0228300 transcript:ORGLA07G0228300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSHTNKVPIPTVTLELGYGEDKARTPCIDTTDCSKETHAKCLMAALNVNGGSNQAVVAFLTMTDMFKIIPTYVEPMDIFSARSTIDHKENIPMPHRRRMHLMVNGLAECLLASSSPSSSLFPYSPSLVGRAAV >ORGLA07G0228200.1 pep scaffold:AGI1.1:Oglab07_unplaced079:99997:100854:-1 gene:ORGLA07G0228200 transcript:ORGLA07G0228200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSGIRSFCHGVDSTSTTMQRRLVGADAASSSFLTVPTSTASSVGVAESEAAAAVTLEQMILQLDLEEAAARKAQQQQQPRRASCVNSSDGRVLRSARDALSQYPRFSLDGGRDAMYRASFSDHHHYYYHDAALASSSSGHRRSPPPCRGMPPTVAGESVVWCKPGVVAKLMGLDAVPVPVRGGGQRRGGAAATAGGRRKASGAPPLASVIAGGGGRKRRGRRTGREEEEEELEKERLFMALHGYDVAVARACHAGALHPSVAPNVSGMGRGAEDGWGFRLPH >ORGLA07G0228100.1 pep scaffold:AGI1.1:Oglab07_unplaced079:90175:94750:-1 gene:ORGLA07G0228100 transcript:ORGLA07G0228100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLPDTLSSLMGFNKHLTPSWIESVSHIIDGLSPTKPQMKVMVEKDENISDDNTESEAKVQKIQDELVSLNAQLKQITLQRREALNNYLDLKGNIRVFCRIRPFHHEESYSSRNLFTLDESNVFLKVAETKRKQYKFDKVFNQFSTQGDVFSEVEPVIKSALDGYNVCIFAYGQTGSGKTYTMEGKPTNLGVIPRGIQTLFNQASECNNRFLFTFSMLEIYMGNIRDLLAPRSKTNGLKNVPSLSIKSDPDGGIEIEDLVAVTVNSFQEVKRLYEMGTRLRSTASTMANSTSSRSHCLIRISLTSLNATERRKATSKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKPHVPYRNSKLTQVLRDSLGCESKTLMLVHISPDEGDLCETICTLGFATRVRSIRLESEEPPEMKARKETLLIDFGQKVNDLEHECEDIRRKIKNLEESMEHLTGPQPTIYSNFDMSHLSSEELKTDVSSNVRNSKNRREASSRLPRFMKPTASSQHRIGLNNRTPIINRLKPPVPPRRRPSSVYAESVMVPVNAAPWQSECSSECSMSLTSDMNWTPSIRDGTECSQDASEYEIKQVIFSEHEKSSHDQVTCYTDYPLAESRDIQIKIEEKGIVDIDNWLHQQIVEKTSTFRSKMVLDIPGVTEAEIHVSSIPSPTTMACTKEDSQVKDEVMGLTLQSSTDYVEDIKQSKTDNQFTAKELCTPPFKEFCSNNEVKGHKNEHPVYHGRPRRSLQEELENCTLEKPNMDSKSHRSHDDKHKTGNVLSYTGE >ORGLA07G0228000.1 pep scaffold:AGI1.1:Oglab07_unplaced079:86624:88135:1 gene:ORGLA07G0228000 transcript:ORGLA07G0228000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPPPPSLSPDLHRVLSLLPRLASPRHLLQAHAYLLPRGGHRHARVASALLLASLRLPLRDHAAALVRRVHPSVSLRAAARLRGRGGGGLAAQLHSLLVRAGHAADPHASASLVQAYCSCGSVASARRVFDETAASADVVSWNVMIDGYVKSGDLARAREMFDVMPGRNVVSWTMVIGAYAQMKQPEEAIEVFRRMQVEGIEPDGVALLSVLSACGDLGVVDLGEWVHRFVLRRGLCQEIPLMNAIIDMYVKCGSVKKALEVFEGMEQKSIVTWTTMIAGFALHGLGSEAVELFRRMEKENVSPNDITFLAVLSVCSHVGLTDLGRWYFKTMVSQYKIKPRVEHYGCMIDLLGRAGCLMEARGLVQDMPFKANAAIWGALLAAARTHGDTELGEQALLHLIELEPHNSGNYILLSNIYAEQERWDAVRELRISMRDRGLRNVPGASSIDVDGMVHEFTSRDGSHPSLHKIREVLCAINSNIKSVGHIALLPESLHDVEEG >ORGLA07G0227900.1 pep scaffold:AGI1.1:Oglab07_unplaced079:73059:75256:1 gene:ORGLA07G0227900 transcript:ORGLA07G0227900.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCSYEPGPAFEENSEEAMLDISQTESTELWLIQWPLNQLDASDFHGQELTLKLHRDGKLSSLESSSGKSYDLVSFAAQQPDATVFLPSGPEAKAVGKIARRVSLVRYPDPEELEKPGLGSLTPSSKKSAGSSKKTRSRFTSGSKNRSSQGSAQSLGQQSAEPAHKHNQKREDESSLGHSNVSGKSAEGSQVRGGDSGTTSEVPQTPVEKSKKKNKKVRIAE >ORGLA07G0227800.1 pep scaffold:AGI1.1:Oglab07_unplaced079:56904:63618:-1 gene:ORGLA07G0227800 transcript:ORGLA07G0227800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDRAVRGSSDRRLRTKYDNAVYVVQRAFALYPFEEVAFSFNGGKDSTVLLHLLRAGYYLHKSSSDGEVEMNTIQNCPVRTIYFESPCAFPEINSFTYETVSTYGLPLETIHSDFKSGLEGLLKERPTKAIFIGTRIGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWSFLLTCKVKYCSLYDQGYTSIGSIYDTVPNALLSDSTTGKSFRPAYMLSDGRLERAGRTKKNISSVSSNGTNSTEVEQTISRSASIIVVGDEILFGTVEDKLGAGLCKKLHAIGWRVSHVAVVSNEIDSVAEEVERCKSTDDMVFLVGGLGPLHSDISLAGVAKAFGVRLAPDEEFEEYLSQLIGDNYTGDRNEMALLPEGITELLHHKMLPLPLIKCKNVVILAATNVDELETEWGCLLDTQESGLVMAKSFVSKHLCTSLLDVKIAPVVAKLCIDFSDVYIGCYRISRSGPLVVSFLGKDNQRVEAAAEKLTNSFEGQFSQVDSCK >ORGLA07G0227700.1 pep scaffold:AGI1.1:Oglab07_unplaced079:48390:55603:-1 gene:ORGLA07G0227700 transcript:ORGLA07G0227700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFHVYEAIGRGKHSTVYKGRKKKSIEYFAVKSVDKSQRSKVLNEVRMLHSLDHPNVLKFYSWYETSAHFWLILEYCVGGDLKGLLEQDKKLPENSIHDLAYDLVKALQFLHSQGIIYCDLKPSNVLLDESGCMKLCDFGLARRLKDIEKTNPGDVPQPLKGTPCYMAPELFQEGGVHSYASDFWALGCVLYECYSGRPPFVANEFTQLVKSIISDPTPPLPDNPSRSFQNLINCLLMKDPAERLQWSELCEHHFWRSRMSIIPLPPQPAFDNMVDLSATPYLVERNGDKPSRQSTPPKPRDGLRKKDENSAKVFTPVKNVLSGKKNNAKPSCKADGLKGVNILRMSRVAKRNLQREKDKENYRRHPTEASENDTEVKIENNDMELDFGENPEGDAPDDNDGSDNPGSAEDEKLSTQGTDGNEENCMSNQMDMLTDEGPVKAETMIKTEQNCSENLDVVATPPSICMRKAQRAKTTSGAAAGSEPSDISAAFWHPTDLAVKPVMPGRKGDKAVETVPMLPFEALPAVDYIKLPREQMNAFNSQILQSLSGTFQVSEKQNIIKYLEMLSINSDAANIITNGPIMLLLIKMLRLSKTSVLRVQIASLMGLLIRYSTILDIELASSGIFNALSDGLRDKHDKLRRFCMATLGELLFYISTQSDQDTKEINAQESPLKDNRATASWQVPSSVIALVSSILRKGEDDLAQLYALRTIDNICSQGTDWTSRFASQDVISHLCYIYRATGKQENTRLIAGSCLARLARFSSSCIHLILERLAFKDIACTLIKGNSREQQISLNLLNSALVNSQIIPTMNRYIQSLTEEKQLVPGLISLIEQGTDVLRGKTLLFVALLCKNSRRWLPHFFCNAKLLSAVDRLGKEKEGFIHQCTEAFVQLVASLVPGVLDTVSSDIQQVMGGKRHGAATALTGRAHPKSIIHLFPVILHLLGSVSFNHRVVTSHVLLQLANLMKILEAPFQARDDFQMTLLRVLEAATEEPSVILNEHKIFTSRILPSLSVLYKGNKDGDARFLCLKILSDVMIVIFSDSSLTSNEQTVSDLEKISQKYFLPMYPSFAEDEDPIPIYAQKLLVMLMEHDYVKVSDILNEATVSRCFEFLLGDLSNANVSNVKLCFALASAPDMDTDILSQLQVVRRIGNLLEFVTAKDMDDFLEPTLELCRAFIIRGIGSDKFVALSKEPALLVDSAFSMSIAVDQQSCVMDICDFGGNMGIFLDLVGSSDPHISDLASDCLVLLLKAAPREATVGLLTNLPKLSVVLDLLKHGTCLRLTRLLYCLAFSCRQYLAHGMIVSISLSALMRVEALVSTFKGSHDGRLADAASYLGAELQRLPRCG >ORGLA07G0227600.1 pep scaffold:AGI1.1:Oglab07_unplaced079:39065:42912:1 gene:ORGLA07G0227600 transcript:ORGLA07G0227600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLACLFPCPQEVRDEDEEPRSGQRVSSVEYSKSSESCPLKTEGSIDMVGIRQNKGHGEATIFTLRELADATNNFSTECLLGRGGFGSVYKAFLNDRQVVAVKQLDLNGLQGNREFLVEVLMLSLLHHPNLVKLFGYCVDGDQRLLIYEYMPLGSLEDRLHDLRPGQEPLDWTTRMKIAADAAAGLEYLHDEAIPAVIYRDIKPSNILLGEGYNAKLSDFGLAKLGPVGDKTHVTTRVMGTHGYCAPEYLSTGKLTIKSDIYSFGVVFLELITGRRALDSNRPPDEQDLVAWARPLFKDQRKFPKMADPSLHGHFPKRGLFQALAIAAMCLQEKAKNRPSIREVAVALSYLASQTHESQNTAARHTLPGPSVPRVLDNQINQDTSLPSQHGVHMPPLAGTDHMVQEVKENCRSSSHRLGRGRVTPNGADRERALAEANVWVEAWRRQEKTSKMR >ORGLA07G0227500.1 pep scaffold:AGI1.1:Oglab07_unplaced079:17055:21553:-1 gene:ORGLA07G0227500 transcript:ORGLA07G0227500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPHAAAAAAGGGEGEGGASPDTGLEGPMWRMGLGGGGGGGGGGGGGDGDAAGRLPERPGEEDCVYYLRTGACGFGDRCRYNHPRDRGGTEFGGGARNAAALDYPERVGQPICEYYMKTGTCKFGTNCKYHHPKQDGAVLPVMLNNSGFPIRLGEKECSYYMKTGQCKFGTTCKFHHPEFGGVPMTPGIYPPLQSPSIASPHPYASLANWQMGRPPVVPGSYIPGSYTPMMLSSGMIPLQGWSPYPASVNPVVSGGAQQNVQAGPVYGMGHHGSSSTIAYGGPYVPYASSTGQSSNNQQEHGFPERPGQPDCQYYMRTGDCKFGATCKYHHPRELSAPKSGYMVNSLCLPLRPGAQPCAYYAQNGYCRYGVACKYDHPMGTLGYSPSALPLSDMPIAPYPIGFSIATLAPSSPSPDLRPEYISTKDQSVNQVTSPVAASEPVGSILPKGVFPADTMMRAQTNTTSGGSSSPGGGR >ORGLA07G0227400.1 pep scaffold:AGI1.1:Oglab07_unplaced079:10918:11468:-1 gene:ORGLA07G0227400 transcript:ORGLA07G0227400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVEAAQHTVLLNDWWHRSTYEQAAGLASVPIVWVGEPQSLLINGRSRVCGCGRFGAYFSWRPARCTLDGADVGFTYDSDTRRTCSQWGPHWINLSQGQTGL >ORGLA07G0227300.1 pep scaffold:AGI1.1:Oglab07_unplaced079:2847:3209:1 gene:ORGLA07G0227300 transcript:ORGLA07G0227300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSPYTPCSSILYSKSSIRASSDPPRQAGNPHTPATFVAVVNILSARARSLRSTLMPPPSLCDSLPWNCGHATSALLSIPSPPEPEARDTRPEVSVHFCLCSRAWRGADSGRRHLV >ORGLA07G0227200.1 pep scaffold:AGI1.1:ADWL01015146.1:6343:6573:1 gene:ORGLA07G0227200 transcript:ORGLA07G0227200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQQRNEHPHWLGTAGHIGKEQIWAQEDAAATAANVPTPFSDIPEQRARNWARARGKVNLDGFVTFENKSDAVIYQEL >ORGLA07G0227100.1 pep scaffold:AGI1.1:ADWL01015146.1:2550:2816:1 gene:ORGLA07G0227100 transcript:ORGLA07G0227100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNAYKDEPFVLAKQVVQVFYIVDPCNKKLHVVHEGKRRIVGLNNITNEDDYNQHVHGIGQEIPLEEEEEEDDVQHARVDHEEGLFL >ORGLA07G0227000.1 pep scaffold:AGI1.1:ADWL01015144.1:151:2724:1 gene:ORGLA07G0227000 transcript:ORGLA07G0227000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QDS8] MKSFSFVPLLLIALLLHQSSCLLQVEAENLTAGSTLRPPHYITSPSGDFAFGFRALGSGRPDGRFLLAVWFNDAVQEKAVVWYARDPGSGSAVTATAQSVFSVTLAGQLSLAGTAGSNMATGAGATRVSKRSDADFSAGRFSLYVQADGNVVLYLNLAAGNVDPYNAYWATGTNQPGNTQDGNTTLFFASPGRVYYQVKDGTVHDLTTPMAKANYYQRATLDPDGVVRVYVRRRSPTSSTSTTTANASWAVAGMFPGDGCSMGTRGLDGFCGPNSYCVVSDDGRLDCACPSGYSFVDAQLRYRGCSPAFAPPRCDFVGDDVANRSGEFVIAKLPNTTWTASPYKVYSYTAEEQCGDLCLNDCFCVAALFDGTRCTKMASLTGAGRQGSNVTAKALIKVRTRSTPPAAAVARRRVPPLRYILLLGFSAFLLLAATTSLVLLHRRIRRRSSSDHDMVMRLFTRKELYDATNGFQRLLGRGGFGEVYHGVANSLHLLHSPDTDIAVKKLIVSNEYTEREFANEVQSIGRIHHRSLVRMIGYCKEREQRMLVFEFMPGGSLRSFLFHQQPRRRPPPPPWTWRAEAALAIAKGIEYLHEGCASPIIHCDIKPDNILLDDKNNPKIADFGISRLLGDEQLHTTVTNVRGTRGYIAPEWLHGDRRIDTKVDVYSFGVVLLEMICCRRCQDPITSQLHQDDNGDCDDDTVTLFGWAAGLVSHGRVEVLLRSDDDAAEDLERVERFARVAFWCIVHNPSLRPTIHQVVQMLEGVVEVHAPPHLLSYTDSSSSSFIHTDSPALRPRGSSCPVELNLI >ORGLA07G0226900.1 pep scaffold:AGI1.1:Oglab07_unplaced071:14276:14701:-1 gene:ORGLA07G0226900 transcript:ORGLA07G0226900.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRISVASCQSSRAGIKDGGIPDVGQVSFASSALALQTLVTSFAEGGSCSRSRCFGHRGGIGWRRSVARSVAVAAGPRAARCQYFRPGRGCHAVASPRAERGRDRRHWIGVDGRRLRVGHGGLRAQRLGAQSIGRREAPDLA >ORGLA07G0226800.1 pep scaffold:AGI1.1:ADWL01015123.1:3:5805:1 gene:ORGLA07G0226800 transcript:ORGLA07G0226800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGHEAAARALTAADPGLAGLCGGAGESPIYMAAAAGSLGMVRLLTKTYRNDEEEEEELPVLCSCTGPGGRTVLHAAVLTSNVIEMTQGLLQWNPTLVKEVDDSGSTPLHYVASVGNIPALKLLLGYDTSPAYVPDSNGLFPVHIAAKMGYGQLIYELSRYCADCDEMLDSKGRNFLHIAVEHKKWKVVWHFCGTQELERMLNVMDYEGNTALHLAVKNADQMIVSLLMANKAVLPNIVNNQGLTALDLAVLATDKGISYTLNPQVIILRCLAWTGAVLSPRRLDHFIDEFNIGKASGNELKKFTNISQNLVVGSVLISTVTFAAVFTLPGGYISDGHPHAGAPILWHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRALYMFFSVISMEQATRSMVAAFALGAYVVLSPVSERIALVVCLSTFTTLLLRNPSNWQLGFLFMPIKRRLGWRGAFRAHLPQETRSRLTVGVGSNFASLIFWRMLEIYMCSWRIAVSPGTQAQVDHRRASTIASDRARHRQCSSSSTTSPMAGDAAALLLVVADQGEEHAKGVQEQLRGGSMPAATQSSGNPSAWEGAIHKVALGDGEVMDAS >ORGLA07G0226700.1 pep scaffold:AGI1.1:ADWL01015122.1:1525:2907:1 gene:ORGLA07G0226700 transcript:ORGLA07G0226700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQEKMIREAMAAMNGQTAADVAVNLYPFIRKYTDGRVERLLTSSYVPASEDAGRGRGGVGVATRDVVVDRDNGVSARLFLPSSAATGGGGGGRRLPVVLYFHGGSFCTESAFCRTYHRYASSLASRAGALVVSVEYRLAPEHPIPAAYDDAWAAFRWVESLSDPWLAEYGDLRRTFVAGDSAGGNIAYHTVARAGRENVGGGIQGLIMVHPFFWGPERLPCETVWDGASVFPAFGVDWLWPFVTAGQADNDDPRIDPADDELASLPCRRVLMAVAGRDTLRDRGRRLASRMRGDVTVVESEGEDHGFHLYSPLRATSKRLMQSIVQFINQPPSPCPPPPAPAPSPAMRWPATILPELDEWSSTYSDDTANSSQILLGMPARAYKAIFVDRMDRKAAKTGRLSSNPTVNASLSIGPSGKASKTSCYGMSFGRTRAYNFRGVAAAGSGQCQPPFRGATI >ORGLA07G0226600.1 pep scaffold:AGI1.1:ADWL01015111.1:1463:2377:-1 gene:ORGLA07G0226600 transcript:ORGLA07G0226600.1 gene_biotype:protein_coding transcript_biotype:protein_coding NDSTRRRVHTNALAPPWWENFGFNLLDVIRDDSDHDDQFIIGAIYEHVPPLGEPAHPLSPHYVVAFRGTMISHPKALIDLYLDAKIMVNTLKESKRSRLANTAVKKLVATIDKGMGGACGHGTAGLGKVLNPHKERMENLFKRLSPWAPELYMHERDVICKGYIDYFEQRQQVQERFRAVATSAMTLSYRDMFFSMFGMEKEQPHLLPSARLWKSTSKDEDAHALQQWWKPM >ORGLA07G0226500.1 pep scaffold:AGI1.1:ADWL01015107.1:430:1714:1 gene:ORGLA07G0226500 transcript:ORGLA07G0226500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPAAVPVTVDELRRAQRADGTAAVLAIGTANPANCVTQADYADLYCRVTNSEHVAGFKDKLDALCVSASGSEKRFFHHTEEMINAHPEFLDRATPSLDARLEIAAAAVPELAATAAARAIVQWGRTATDITHLVVTTNAGAHAPGADVRLAALLGLRPTVRRTMIHLNGCSAGAAALRLAKDLAENSRGARVLVACVELTVLTFRGPDSPHTVTCQALFGDGAGAVIVGADAARPVEHPLFEMVSASQTLIPGTEHVITMQLTEHGLDGDIDTKELVPLAANNVKQCLSDALTPLGLDGGEWNDLFWAVHPGSPLILDHIESALQLKQGKLAASRKVLRENGNMLGSTLIFVLEEQRRRMEEEGDGAEWGVMLGFGPGFTIETMVLHAPDDSRKKN >ORGLA07G0226400.1 pep scaffold:AGI1.1:ADWL01015105.1:1908:2792:1 gene:ORGLA07G0226400 transcript:ORGLA07G0226400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSTRSQSHDALEGSRSCGDEPPPPPTMAEVLMAVERNREAQNAVLQQIVASAAATAAHIAQGAGGGGHHPAGGLAEFQRTQPPVFTRSDDPLDADDWLCTIQRKLTLIHCPDAEKTNLAAEQLQGAAGDWWENFVAMQRTSRFVTWAQFRDAFRATHVPKGIMDLKQREFLSLTQGNKSVMEYLREFNHLARYAPDDVNTDTCKQNRFMNGLSAEMQLELAAHSFLDFQDLVNRSVVVESKMKNLKAERKRKMAAQISAAGGSQKPHGWQPPPPCFQAAPPPRPQGFVPRPP >ORGLA07G0226300.1 pep scaffold:AGI1.1:ADWL01015103.1:1086:1472:1 gene:ORGLA07G0226300 transcript:ORGLA07G0226300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSTTSEFRVSGSGAPEFMVAGTVRGRVGDVEARRRPEISGDGRRGLSMALGSGVVPRSPMVLAPALSSSLARYDPDLAWWRREGGGDPDLEWWRHGGDRGRWVKEVATVGGGQRRQRPGGGGRRSR >ORGLA07G0226200.1 pep scaffold:AGI1.1:ADWL01015098.1:4657:5376:1 gene:ORGLA07G0226200 transcript:ORGLA07G0226200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYLDLKVMVNTLPESKRSHLANKEVQNLVATIDKGTGSGCGGHGDGGSCIVWLMGHSLGASLALDVGRAMMAEKGYNLPTFLFNPPQVSLAPAIDVLLPTKKARRSIHAASSFLKARMGKVLKPHKERMEKLFEQLSPWAPELYVHERDLICKGYISYFEQREQVKERFRGVGKSAMALSYRDMLFAAFGKEKERPHLLPTARLWKNSSMDGDAHDLQQWWRPDRELALSAKRYNYP >ORGLA07G0226100.1 pep scaffold:AGI1.1:Oglab07_unplaced041:138856:139175:-1 gene:ORGLA07G0226100 transcript:ORGLA07G0226100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKIKVVFGKRTQLLQKTWRVRKGSKEDKTSRKGTLCNQQPNSTNLWGY >ORGLA07G0226000.1 pep scaffold:AGI1.1:Oglab07_unplaced041:125775:126403:-1 gene:ORGLA07G0226000 transcript:ORGLA07G0226000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVNRIHLRNEAKTATLEKLVPHLGTLEATRNLLHETKELARKNEHDLRNRIAELQESNFELSGSSKVQTAKISQLEKQIQILENDKAELARQRDLASKEVEDRKIKSQAQFDVLVGKIKKLEGAWDEVANAAAPIV >ORGLA07G0225900.1 pep scaffold:AGI1.1:Oglab07_unplaced041:125430:125774:-1 gene:ORGLA07G0225900 transcript:ORGLA07G0225900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMFLNNNDLSALDASEIFDKLRVAPDIYFKNIKEAGSMGASMALAMTKSLYPRVDIDAIDGFADGTSEEAALDLISDAKKAADKIAADVVERFQDNDLRPTGPENSDDEKTDTD >ORGLA07G0225800.1 pep scaffold:AGI1.1:Oglab07_unplaced041:117090:118477:-1 gene:ORGLA07G0225800 transcript:ORGLA07G0225800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTDDVNSTPWSLPKRFIQEPARFVSPVVVGPGMPSSDVSLSIQLRHFLLTNGGCMDSVKLLEIDSSVAYGNDVLESFSDGSLTEGLFIDVFSLILFKDDMKYRLDTYGKRIFIPTSISVTLVDIMDSNNYTLIGALVSDHHGALAKRIVKRLSDALQAVVPKSFCRFGGFRKNMMECPKMQICSNDCAFYIMRFMEAYDGNRESIKNLSIPTDSVIVRSSTLHQLMFSEYNQATPLHSDI >ORGLA07G0225700.1 pep scaffold:AGI1.1:Oglab07_unplaced041:111297:111551:1 gene:ORGLA07G0225700 transcript:ORGLA07G0225700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGMIYAEDLGYMSTPCLSPPSDVDDLNPPEDPNNIIVLHPAFIDDGDIDIIQEDIYNFRYDQTPPRDAQSSATRFLRHKRD >ORGLA07G0225600.1 pep scaffold:AGI1.1:Oglab07_unplaced041:76076:77825:1 gene:ORGLA07G0225600 transcript:ORGLA07G0225600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPTFTVPAAEGLAYKPTPETRVHGAQHRGDCAKVQVGLVKPEYELFPLKYPPNDEVLSLGNARGTFIQWPKDLIEIRGGKVQASLLAPRKLDLGKGQEETKGKEVKKKYVAPQEFQLGMPLVGDDVLAAMGTACKDLHVYYMEKSNARRPNKATDILGEYDGKPYLGPTNYIVVDFKNLFDLYRLRAVETSLLKCYSFAHWILLVIIPKWSTYLYLNSRIDKNAYDWTPIQLAVDEAWAQYVQRGGLRKTGHDTLIHKKDFPVKQQIGDQCGFHVCHNIHLLYREKVKTLAEFEGTITKSLPTSFEEAITNTYYATVMMXL >ORGLA07G0225500.1 pep scaffold:AGI1.1:Oglab07_unplaced041:41995:42508:-1 gene:ORGLA07G0225500 transcript:ORGLA07G0225500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKYDLPLLGYKTRFSLWQVKMLAVLVQISDLDEALESFRKKKSTEWTAEEKRKDRKALSLIQLHLSNDILQEVLQEKTAAKLWLKLESICMSKDLTSKMHIKMKLFSHKLQESGSVLNHISVFKEIVADLVSMEVQFDDEDLAVMN >ORGLA07G0225400.1 pep scaffold:AGI1.1:ADWL01015079.1:160:2990:-1 gene:ORGLA07G0225400 transcript:ORGLA07G0225400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGLLLAANGGAGERRLLANPRQMVPSWTEAGARLLVTRFLAGYVSVGNLTLLEEGGTMFSFGEAGKKCQAKCVMRVHDPLFYWKVATEADLGLADAYINGYCSFVDKKHGLLNLLLILIANRDSNKQSSTSTSRIRGWWTPMLLTAGVSSAKYFLRHVSRKNTVTQTRQNISQHYDLSNDFFSLFLDPSMTYSCAVFKDEDESLEAAQQRKVSLLIHKARVERDHHVLEIGSGWGSLAIQVVKQTGCKYTGVTLSEEQLKYCQRKVKEAGLEDHMTFLLCDYRQIPTVRKYDRIISCEMIEGVGHEYMDDFFGCCESLLAQDGLFVLQFISIPEERYEEYRRSSDFIKEYIFPGGCLPSLSRITSAMSTSSRLCLENHELQLG >ORGLA07G0225300.1 pep scaffold:AGI1.1:ADWL01015077.1:471:1070:1 gene:ORGLA07G0225300 transcript:ORGLA07G0225300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVAVVGAGVSGLAAAHEAARGGGGVRVTLYEREDSLGGHARTVAVDGDAGPVDLDLGFMVFNREDPNEHDIQILFPISLFLTILHSKWPLIFSILPH >ORGLA07G0225200.1 pep scaffold:AGI1.1:ADWL01015076.1:190:1041:-1 gene:ORGLA07G0225200 transcript:ORGLA07G0225200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAPFKTILLVVFFALFAVSSLQPSAAVRDAQVFKPTVANADVIQPSASLVGLPGLPPLQPLPTIQIPGLPPLPQLPTIQIPSLPPLQPLPTIQIPGLSPLLPQLPTIQIPGLPQLPTLPTIQIPELPPLPPLPSFSITPGSPGAPARIPISSQSALAAAPIAPQQPTECLSSLMALMPCVEYVTKADVPAPPSVCCDGFKSLVEKAPICLCHGINGNISKLMPAPIDLTRMMSLPVTCGVAPPVEALTKCFSKS >ORGLA07G0225100.1 pep scaffold:AGI1.1:ADWL01015075.1:2536:2778:-1 gene:ORGLA07G0225100 transcript:ORGLA07G0225100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGIQQFVMSFEVGVTLEDDSQWQAPAHCFPATAADDDDDDDDEDEEQDEMIKSNSDHIEDVDDGLDAARLLRRLAGAAAF >ORGLA07G0225000.1 pep scaffold:AGI1.1:ADWL01015072.1:2680:3771:-1 gene:ORGLA07G0225000 transcript:ORGLA07G0225000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRPPLAVSPRRLRPRPHRAAAGVPRPPVACSVQTPPGSIKKATTPMRSSFCALPTSRLEPTPRAKLDFAAAPSPARAAAAAGKENRHVDDEVALDLTAMPMPMPMPTPTWTASPLPPPTSPLFERGRLYDLYSARRNERLKRKHGFPAGEEEAEAMAADPCVAVELSKRRGAKKMTGAESVRRSMPAAADFSAAGRAATSTLGLRSSLRSSKEMKKASAASSSFAGAKSPAAKERRASTRSSARRF >ORGLA07G0224900.1 pep scaffold:AGI1.1:ADWL01015070.1:597:1287:-1 gene:ORGLA07G0224900 transcript:ORGLA07G0224900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAAQDPTTQGRHLRAEQAASEHQDLRFTHCCQVHPKLLHCLASDKYYPFPSSAPAASTIKPFLTSTEHQNGNIGCIP >ORGLA07G0224800.1 pep scaffold:AGI1.1:ADWL01015052.1:441:1991:-1 gene:ORGLA07G0224800 transcript:ORGLA07G0224800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATMDAVMPGAAGAHNATAAAAAGRRGGGIVAGMMAFPEVQTVELLVAVSIFVAIHSLRQRRSQGLPSWPLVGMLPSLLLGLRGDMYEWLTGVLASRGGTFTFHGPWLTNLHCVVTSDPRNLEHMLKTKFGSFPKGPYFRDTVRDLLGDGIFGADDEVWRRQRKAASLEFHSAEFRALTASSLVELVHRRLLRVLGDAEEVGDAVDLQDVLLRLTFDNVCMIAFGVDPGCLRPGLPEIPFAKAFEDATEATIVRFVTPTAVWRAMRALGVGHERVLQRSLAGVDRFAYDVIRQRKEEVAGGGGGGGGGRSDLLTIFTKMRDADTGAAAYSDKFLRDICVNFILAGRDTSSVALAWFFWLLNKNPAVEAKILEEIDDIVAARRSSSPAPAAAANGADEDDLVFHPEEVKKMEYLHAALSEALRLYPSVPVDHKELEMFTLKNEGSRGEKEKT >ORGLA07G0224700.1 pep scaffold:AGI1.1:Oglab07_unplaced018:78:1143:-1 gene:ORGLA07G0224700 transcript:ORGLA07G0224700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:I1QDQ5] LPTTDPPPPSSVPAVVGWTNVRLEVPLVLLLVVQSALEAWLRPAEFESSPAPFLPVTDVLDSLSVPATAAYADGLTMDHPIMTTEFWTSHGCLLLPYEQVLPENMRVKLPHLIRAVHVAVQIVTWFTDPMHGNTMKAPCGLKTRSFATFQYLLVPITSQ >ORGLA07G0224600.1 pep scaffold:AGI1.1:Oglab07_unplaced017:34627:35193:-1 gene:ORGLA07G0224600 transcript:ORGLA07G0224600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFFGDDFTVYLVDDTPKSILEAYASPDADYWKEAVRSEMDSIIANGTWELTERPCGCKPVECKWVFKKKLRPDGTIEKYKGYTQKECDDFFDTYSPVARLTTIRVLLSLAASHGLLVHQMDVKTTFLNGELEEEIYMDQPDGFVVEGQKGKVCKFFMFLYGLKQAPKQWHEKFDRTLTSAGFTVNEAD >ORGLA07G0224500.1 pep scaffold:AGI1.1:ADWL01015041.1:613:1320:-1 gene:ORGLA07G0224500 transcript:ORGLA07G0224500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAKPPRVDPNVRPAKAPHVVVVVPADPAGDVATAPNTSSVERGVTVLLSVAFVVAVFGVLLFGVWMNPIVSIDGVSATGLDAAAGKNASAATTVSPSFDVAVRLRRRWFRLLPDTYTNGTVSVSFAGAGGAAVARGALRDVTLTAFSPSVVSATARAPPTALLAAGELRRGGEVRLDVTVSYDRSQVHEPYSPLSHGFRAMCAATALGGGNSTAAVSCTVVRIPSPNDLSWFQ >ORGLA07G0224400.1 pep scaffold:AGI1.1:Oglab07_unplaced014:9359:10174:-1 gene:ORGLA07G0224400 transcript:ORGLA07G0224400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGHWRDPLQLVPIIAEAVRFLRFEPFRAKIPLFIPRSDLEMEESGYIVNDRLTVECEVTVTKGPQVSRTIGCSEIGVPPSELSEHFGKLLEEEEDVGRDVVFSVEGESFAAHKLVLAARSPVFKAEFYGEMIERGTFSIDIKDMQPSVFRALLHFIYTDVLPADIGDLEGDDYVEFIRHLLVAADRYAMDRLKLMCQSILGKYVDVKNVATTLALADQHNCDKLKDVCIQYISSLDEVDAMFRTKGYANLKRSCPSVLADLFEKTSKFRAS >ORGLA07G0224300.1 pep scaffold:AGI1.1:Oglab07_unplaced013:4304:10281:1 gene:ORGLA07G0224300 transcript:ORGLA07G0224300.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSFLLVEFVDRRMDRLVRVYYGGRVVEPYVGAHVEFEDMSLKTILFPTHPTLDELRSRVKEVLGWTEDNVEIRFYGRYNVGQGHKYILNVIGQLEWEVYFDLVKESQFRSLEVFASKLVRTVENLDLNKYPSYHYVEKNFVTYSSHHGGGASKTELVREDLEGEGDKKRPLLGNDLGEAGPSKRHCDTNDVDAAREMKRXLVQEGLDLSEHLSESVHWSSYGGNPEYPAEVAGQYVNPDDYFDVELSGGHDSVSVEVNREEVDEEASIEQYDVEFAEDSDDDCPFPPLTNNDKLALEECHAFEKFGKKQDWPVEDISTGVXLHKYDRVRTKKVKDWGLEHNRYIDEWRTAGRNDRTYRLFLRPTWTEADIEDDRDSDEGRNPYDVXTRVGYQMEHAPLRDRVSRELLRSVNEMGHALQAPRGGEDTENTLRNVLEKIHQRCRKLVASWIGRRVPTAETTITTTSIRASKYRSTLHQDRRAXISWWLVVVTHSAREGKGEGAGSTXRRXXRRRRGGXGLRRTRRXGDRHVAASRRASGDATHAIQLAIHSGSEKEEAEGGANHPGAAVGEGGASQCREAEGFQAGGRAAPATLAPWSMRVAPAEARRQKGFGRRRRAVPATLAPRSGGRQPLPGGRTDLVKSFFCGGGGRKLVGPAEEGRASHAGAVV >ORGLA07G0224200.1 pep scaffold:AGI1.1:Oglab07_unplaced013:2166:2639:1 gene:ORGLA07G0224200 transcript:ORGLA07G0224200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAIAIGDSFSFSLVLVAIYLALCLGYVSLQLLFLNFKHMIAHLSEVFVTFIGTSRQVSAQDHRYTYQISNMSIRHKIEELRPNWILASCNDSTHGKIYQT >ORGLA07G0224100.1 pep scaffold:AGI1.1:Oglab07_unplaced012:127631:128077:1 gene:ORGLA07G0224100 transcript:ORGLA07G0224100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHPKFMQIELRSGLVLLPPGYTPTRVARDHGKKKRGTAAGGGIERPPSRPAPVRVKSIAAELASGAELAVAWAATGSVLAVGAEGGGGAAVGGCVQKGGNGGGGYGNAGRGGTDAGWLRDCDIVAADAGSWRKQANASAIRGSICN >ORGLA07G0224000.1 pep scaffold:AGI1.1:Oglab07_unplaced012:109193:113041:-1 gene:ORGLA07G0224000 transcript:ORGLA07G0224000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMSCISGQAPSASPPPVAKRRSSVSSRRGGGGGGAKAVAIDEEALAAAAALVLGQRSSFGGGGGGGGGAFERSASVRYAARRQQQQQGPPLPRSSSTRPRSLADPELHPQQLLAKDLNTKDLETNIIVLVHGGGFGAWCWYKTIALLEDSGFRVNAIDLTGSGIHSYDTNKISSLTQYAEPLTSYLKSLGDNEKVILVGHDFGGACISYAMEMFPSKVAKAVFLCAAMLKNGHSTLDMFQQQMDTNGTLQRAQEFVYSNGKEHPPTAINIEKSLLKDLLFNQSPSKDVSLASVSMRPIPFAPVLEKLVLTEEKYGSVRRFYVETTEDNAIPLHLQQGMCDMNPPEKVLRLKGSDHAPFFSKPQALHKTLVEIATMPPVKAS >ORGLA07G0223900.1 pep scaffold:AGI1.1:Oglab07_unplaced012:97231:98899:-1 gene:ORGLA07G0223900 transcript:ORGLA07G0223900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSMAAAQESSLLLFLLPTSAASVFPPLISVVVLAALLLWLSPGGPAWALSRCRGTPPPPGVAGGAASALSGPAAHRVLAGMSRAVEGGAAVMSLSVGLTRLVVASRPETAREILVSPAFGDRPVKDAARQLLFHRAMGFAPSGDAHWRGLRRASAAHLFGPRRVAGSAPEREAIGARIVGDIASLMSRRGEVPLRRVLHAASLDHVMATVFGKRHGDLSIQDGELLEEMVTEGYDLLGKFNWADHLPLLRWLDLQGIRRRCNRLVQKVEVFVGKIIQEHKAKRAAGGVAVADGILGDFVDVLLDLQGEEKMSDSDMIAVLWEMIFRGTDTVAILMEWVMARMVMHPEIQAKAQAEVDAAVRGRRGGVADGDVASLPYIQSIVKETLRMHPPGPLLSWARLAVHDARVGGHAVPAGTTAMVNMWAIAHDAAVWPEPEAFRPERFSEGEDVGVLGGDLRLAPFGAGRRVCPGRMLALATAHLWLAQLLHAFDWSPTAAGVDLSERLGMSLEMAAPLVCKAVARA >ORGLA07G0223800.1 pep scaffold:AGI1.1:Oglab07_unplaced012:88655:91555:-1 gene:ORGLA07G0223800 transcript:ORGLA07G0223800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAAGQRASPLLAKNDGSSYGEESQSLLEEQEPQVKTKQSGWRAPSIILGLECLESMAFNGIATNLVVYIRSVLHGGIASSASTSSLWYGTSFFVPILGATIADTYWGNYKTVLISFIMYLLGTVFITVGAFLPSAPALCNTESCSSMNGTQHLVYFSGLYLTAIGCGGVRSALLPLGADQFNNDSSLDIQKRRNFFSLFYICVIFGVITSGTIVVWIQENVSWAIGYGVATACIALALIGFLVGTPIFRRHEPHGSPVRSVFQVIVASFRNLALELPADSSLLYEVRRKNTQKSEPKLAHTDDFRFLDKAAIMSDLSLDQDSCTSPWRICTVTQVEELKILIRLLPIWATGIFFCVGISQMHTTFIQQGTVMNTKIGSLSIPAASLYSFEVICVTFWVFLVNKVIIPVTRACFANGAEMTQLQRIGIGRFLMIFAMAIAAFLEMKRLESVQGGDQPLSIAWQLPQYFIIAGAECFTIITQLEFFHGQAPDSMKSMLTAFALLTTALGNYFSSAIITLIARVTGTWHSPGWIPDDLNKGHLDYYYWCLAAISAVNFVVYIYFASKYKLKKAVIQV >ORGLA07G0223700.1 pep scaffold:AGI1.1:Oglab07_unplaced012:86116:87573:-1 gene:ORGLA07G0223700 transcript:ORGLA07G0223700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60960) TAIR;Acc:AT5G60960] MWRRHLLRRLLPSPATAAAAAASPSPAIRLLSTAAPDPAPPATLASSLAGALSALSSTPPPATSPDAYFSLHFSDVRPTNALLAEALALAPPATSRAAAELFRFLVRRRSLHPSDSALAPVVRHLARRRDFPAVRSLVQEFPSALGHDTLDAYLLSLARAGRATDAVKVFDELPPQLRTRQALTSLVSSLSAEGWPSHAEGAVKKVANEIFPDDNICTLLVSGYANAGKLDHALRLIGETRRGGFQPGLDAYNAVLDCICRLCRKKDPLRMPAEAEKFLVDMEANGIPRDAGTFRVLITNLCKIRKTEDAMNLFRRMGEWGCSPDADTYLVLIKSLYQAARISEGDEMMTWMRSAGFGAKLDRKAYYGFIKILCGIERVEHAVKVFRMMKGYGHAPGTKSYSLLIEKLTRHNLGDRANALFREAVARGVTVTPGVYKIDKKYVKAKKEKVKKRLTLPEKMRLKSKRLYKLRMSFVKKPKRRMVRV >ORGLA07G0223600.1 pep scaffold:AGI1.1:Oglab07_unplaced012:75209:77739:-1 gene:ORGLA07G0223600 transcript:ORGLA07G0223600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREISALYEPKRNNEIRIFESSDEMSTDLAEYISQVSEISVKERGYFAIALSGGPLVSFLGKLCEAPYNKTLDWSKWYIFWSDERAVAKNHAESNYRITKEGFLSKVPILNGHVYSINDNATVEDAATDYEFVIRQLVKVRTIGVSESNDCPRFDLILLSMGSDGHVASLFPNHPSLELKDDWVTYITDSPQPPPERITFTLPVINSASNIAIVATGDDKSEAVHLAISDNADGPEAPSSLPARMVQPTDGKLVWFLDKSAASSLDAENDDAFEQHREY >ORGLA07G0223500.1 pep scaffold:AGI1.1:Oglab07_unplaced012:72138:72579:-1 gene:ORGLA07G0223500 transcript:ORGLA07G0223500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKCNGSRASSLVTLLLIAALLFPAVCYAHVEAKTVCQETEYGCTQEKCHQMCLGDGRTVASQYCRHYDTQCCCTYELQANDNDKMDDGRLHA >ORGLA07G0223400.1 pep scaffold:AGI1.1:Oglab07_unplaced012:62574:65737:-1 gene:ORGLA07G0223400 transcript:ORGLA07G0223400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLRMGVSVALLVAFFSSLIPSSEAYDPLDPNGNITIKWDVLQWTPDGYVAVVSLYNYQQYRHIQSPGWKLGWVWAKKEIIWAMNGGQATEQGDCSKFKSNIPHCCKKDPEIVDLLPGTPYNMQIANCCKGGVLNSWAQDPANAIASFQVSVGQAGTTNKTVRVPRNFTLKSPGPGYTCGSAKVVRPTKFFSQDGRRTTQAHMTWNVTCTYSQIVAQRSPTCCISLSSFYNDTIVNCPTCSCGCQNNKLGSCVEGNSPYLASVVNTHNKDSLTPLVQCTSHMCPIRVHWHVKVNYKEYWRVKITVTNFNYRMNYSQWNLVTQHPSFDNLTTIFSFNYKSLNPYGVISFLFSDDTAMLWGIKDYNDLLMTAGPDGNVQSELLFKKDPKSFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNASTRVMSSILLPFITIWTALTFLMVYA >ORGLA07G0223300.1 pep scaffold:AGI1.1:Oglab07_unplaced012:59375:61963:1 gene:ORGLA07G0223300 transcript:ORGLA07G0223300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSSLLLLILAATLSVAVAYDPLDPNGNITIKWDVMSWTPDGYVAMVTINNYQTYRQIMAPGWTVGWTWARQEVIWSMVGAQATDQGDCSRFKANLPHCCRRTPAVVDLLPGVPYNQQIANCCRGGVLPAYGQSPSSAAAAFQVSVGQAGTTNRTVRLPRNFTLLGPGPGYTCGRARVVPSTVFLTADRRRKTQALMTWNVTCTYSQHLASKYPSCCVSFSSFYNDTIVPCAKCACGCDREGKRLAVTGKKHDANAHGRGNGVAAAAAMEAPLLQCTPHMCPVRVHWHVKLNYKEYWRAKITIVNFNYRMNYTGWTLVAQHPNLDNITEVFSFDYKPVVSYGSINDTAMFYGLKYFNDQLMEAGPHGNVQSEVLMRKDARTFTFRQGWAFPRKVYFNGDECQMPPPDSYPYLPNAAPPAAASLVGSAVAMAALVFFLMA >ORGLA07G0223200.1 pep scaffold:AGI1.1:Oglab07_unplaced012:53473:54144:1 gene:ORGLA07G0223200 transcript:ORGLA07G0223200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGSLFHFLKGTYNSPNGERLVGGAQAVRMNAPRVGGSFAVWGGLFSAFDCTMVFMRQKEDPWNSIIAGAATGGFLSMRQGPGAAGRSALMGGMLLALIEGAGLMLNRVLATPPPLPVDDPNLPAVMGGGGGIPGIPQVPVAPPEVASSSGGGSGWFGSLFGKKEEEKKASPSGGKSEMLESFDTPSTPIPTFEYK >ORGLA07G0223100.1 pep scaffold:AGI1.1:Oglab07_unplaced012:50380:51123:-1 gene:ORGLA07G0223100 transcript:ORGLA07G0223100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWLKPDVYPLIAAMTFVTGLCTFQLTRNVFMNPDVRVNKNNRKSAVLENAEEGEKYHQHAFRRFLATQRPEVFPALNRFFAGPATVARSDRHD >ORGLA07G0223000.1 pep scaffold:AGI1.1:Oglab07_unplaced012:48026:48698:-1 gene:ORGLA07G0223000 transcript:ORGLA07G0223000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWVKPDVYPLIAAMSLVGGMCVFQLTRNVFMNPDVRVNKSHRQSAVLENADEGEKYHHHAFRRFLGTQRPEVFPAINRFFAGPATVPKSDRQN >ORGLA07G0222900.1 pep scaffold:AGI1.1:Oglab07_unplaced012:40641:43568:-1 gene:ORGLA07G0222900 transcript:ORGLA07G0222900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:reversibly glycosylated polypeptide 3 [Source:Projected from Arabidopsis thaliana (AT3G08900) TAIR;Acc:AT3G08900] MASSAAAAAQAATPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPKKTIRVPEGFDYELYNRDDINRILGPRASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPYFFNTLYDPYRDGADFVRGYPFSLREGAPTAVSHGLWLNVPDYDAPTQLVKPLERNSRYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSASLPKEADTVQKCYLELAKQVRAKLGKVDGYFNKLADSMVTWIEAWDQLNPPKGAVATANGTAKSK >ORGLA07G0222800.1 pep scaffold:AGI1.1:Oglab07_unplaced012:23555:28407:-1 gene:ORGLA07G0222800 transcript:ORGLA07G0222800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDADVALIVFSTKGKLYEFSSHSSMEGILERYQRYSFDERAVLEPNTEGQENWGDEYGILKSKLDALQKSQRQLLGEQLDTLTTKELQQLEHQLEYSLKHIRSKKNQLLFESISELQKKEKSLKNQNNVLQKLMETEKEKNNAIINTNREEQNGATPSTSSPTPVTAPDPIPTTNNSQSQPRGSGESEAQPSPAQAGNSKLPPWMLRTSHT >ORGLA07G0222700.1 pep scaffold:AGI1.1:Oglab07_unplaced012:11107:11445:-1 gene:ORGLA07G0222700 transcript:ORGLA07G0222700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKDGGIIYGVHTYPSWSSNFQVVSNRSRGRASAEGSGIAMVAVGYIVGAIASVAVGAAVSLLWPAVAPVVMMKAPGGAGLLISRMAFEANPXLYYHLLHTAGRVAAVDAFAV >ORGLA07G0222600.1 pep scaffold:AGI1.1:Oglab07_unplaced012:7141:7482:-1 gene:ORGLA07G0222600 transcript:ORGLA07G0222600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMSEFVGGQLNSAKSAVAAVASTVAAAAKPGLAAGVGFVKEQGVGKSALAVGGAAVAAYFLWPTAAVGGATMNAPGAAGYVISRAAFLANPKLYFHLLRTVGAKAAAAAFL >ORGLA07G0222500.1 pep scaffold:AGI1.1:Oglab07_unplaced012:1621:2682:1 gene:ORGLA07G0222500 transcript:ORGLA07G0222500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAELPSEPERFCGCRGGWRRLRRAAAAARSPERRRRRCGAAAYERRNGDAGVAAATCRSSRARPSSPYPAACASRRRRCGLLHLRRGGAQPGRRRRPQAISYTVRSGRLDALALLLLLAADIPHGERRRAVRRRAPGRVPAGRGGDGVGAPGPRHAGGARQPVLRTGTCSPGRWWLFNSDHWTLISEAATRQMVEDNAVNLSALCLLACRLMLVHTCTACCYRLCLLAAVQPVLICRPLPIASATSRRMLDADASQLACGRVVVGRLSTPTASIGGGGASPARAAPPAFNAAAVAGAGEATAGCRHLRGDADASRGGETQAALTRSWARRENGGGGCVVAGREGVEHSGG >ORGLA07G0222400.1 pep scaffold:AGI1.1:Oglab07_unplaced011:10781:11005:-1 gene:ORGLA07G0222400 transcript:ORGLA07G0222400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGFVVGAAAAAVVGAAVSLLLWPVAAPVVMMKGPGAAGLLISRVAFEANPKLYYYLLRTAGAAGAAAAFAA >ORGLA07G0222300.1 pep scaffold:AGI1.1:Oglab07_unplaced011:6227:7258:1 gene:ORGLA07G0222300 transcript:ORGLA07G0222300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1QDN1] MAAAATGPVPFKDADDDGTLAAAEARSPLPKEEFGDLVAALPRKEQYLDGRLYEGFWLPEHYAPGIIAFRRRFTPRADDVVLASYPKCGTTWLKALAFAAMTRAAYPADEHPLLRLNPHDVIPFVEDVFTDGHEAKLDMLPSPRLINTHTPYQLLPESVVAGDGGGGCKVVYICRDPKDMVVSLYHFMRRLQPDLSLAGVVESVADGTVPFGPMWDHILGYWRASVSRPDRVLLLRYEDLLRDGAAGEHVRAMARFMGRPFSAAEEAAGAVASVVELCSFERMKALEVNRRGTAGSYKSMPRDAFFRKGVAGDWANHMSPETAARLDGIFREKFRGTGLTIVP >ORGLA07G0222200.1 pep scaffold:AGI1.1:Oglab07_unplaced010:7636:8956:-1 gene:ORGLA07G0222200 transcript:ORGLA07G0222200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKKKTVSRHTTESEEGRHSFEIVGYSLKKGIGVDEFVESATFAVGGYDWCIRFYPDGKGDGAKDYISVYLELLTKDCAVRAAYDLRLVNLATGLPKSVYSETTHRMFNSEDSSKFAPHYATFMHRSQLEMEASGYIKDDRLTIECFVTVVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKLQCESILGEHLDVQTVATTLALADQHNCNDLKDVCIEFIATQNKMDDVVATEGYADLKRTCPSVLVDVFEKASKLRRI >ORGLA07G0222100.1 pep scaffold:AGI1.1:Oglab07_unplaced010:3510:5070:1 gene:ORGLA07G0222100 transcript:ORGLA07G0222100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRTHKHKAKSASKKHYMVTAFRGRDKDIINAEFDNRKLKGFKQTFDDYLNYINSPDMPHEFENGKPFIYDWQLREGPWQLRRWHDWYIRASTMKGIDSFIVAVGKNIFWSGPSLLQVHFSDIHSLYRRKRLDANLIAIWCLINYVEAKAMKKPVAYLNPCRISKPNHTYMLDEKKLPEHIKAMTPEERKAYIAQRHHEKVLDVATYVPIALESTQDKECVYAPYAFDDHWIVFLLYPKYNEVIVLDSLDKDSNTYYEFLRIIDLAFKRYYQRGGQRKNSRERISVRNKWPCHKQPVGTVLCGYYCCEFLRVNGKYCANYDELPKFPKSERELNDTSIQNIQVDMCYFIHRECAHQLGRFFDNEGVLALAENESRSNWTRHII >ORGLA07G0222000.1 pep scaffold:AGI1.1:ADWL01015019.1:2883:3997:1 gene:ORGLA07G0222000 transcript:ORGLA07G0222000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:I1QB15] LLIXEKLACTRERRQAESARIREKYSDRIPVIVEKADKTDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFVFVKNTLPPTASLMSAIYEENKDEDGFLYMTYSGENTFGSA >ORGLA07G0221900.1 pep scaffold:AGI1.1:Oglab07_unplaced008:90622:91209:1 gene:ORGLA07G0221900 transcript:ORGLA07G0221900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTRRVCVLLLLVLLGLAATSNRARAARVVPAAGAAALRREEGEAPAAVHRGRRGVPRIGRGGGVGGGGVTVPVEHVARRRLQEHEHLNEEMLPSFSGGGGSRGGGVSSGGSSRGGGSSIGSGGGSGGGSGSGSRGAGGTESGRRFIPPIIPAVPGGGGGGGSGSGSGSSSGRRGVWNVGVAAASVLVVAWLV >ORGLA07G0221800.1 pep scaffold:AGI1.1:Oglab07_unplaced008:76182:87092:-1 gene:ORGLA07G0221800 transcript:ORGLA07G0221800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHQLFVLEIWEDITEDFPLIDLVKYQSKPSTIYTSTKTDEALLLALQRNDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISLDKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKCVTPMGKHLLRTWFLRPIIDIDVINNRLNTISFFLCCEEVMSALRGTLKSVRDIPHMLKKKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLVKDGLCEELDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVCQFIPQLTKAVNFAAELDCILSLAIVARQNNYVRPILTEDSILEIQNGRHALQEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTSEQSTFMIDLHQVGTMLRHATLRSLCLLDEFGKGTLTEDGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGLHCAQLAGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFLS >ORGLA07G0221700.1 pep scaffold:AGI1.1:Oglab07_unplaced008:72005:72858:-1 gene:ORGLA07G0221700 transcript:ORGLA07G0221700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQLPSAFDPFAEANAEDSGAGPGAKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKDNIKIHGF >ORGLA07G0221600.1 pep scaffold:AGI1.1:Oglab07_unplaced008:70214:70456:1 gene:ORGLA07G0221600 transcript:ORGLA07G0221600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAALSPSLKNGEVCTVSPSVGEWSPDLLNPHVVRAMKGCIEWPRGTQKQNTHNLKSKLLEAKLDGHKVQLRQALKQKI >ORGLA07G0221500.1 pep scaffold:AGI1.1:Oglab07_unplaced008:59352:59609:-1 gene:ORGLA07G0221500 transcript:ORGLA07G0221500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAAAPSDDGELGSPEREEMTGVEVRIRISKRQLQELLEMASMTAADDEKVIVGIINAGEVVDHHQQRHWQPTLQSIPEAGEP >ORGLA07G0221400.1 pep scaffold:AGI1.1:Oglab07_unplaced008:56420:58380:1 gene:ORGLA07G0221400 transcript:ORGLA07G0221400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLWHLGDEFRGQSKVVEDRQWSLMTSKLAEINKSKAERTNELDYARMNTIPDVKQWDKVSYHQDESKMDHLNLGLMNLDLKMNDIRMNDAAMKNPFRGMAYNMNQLYPKGGNGNVNSFKMNVGVNKYLHSPNGKDVNGKNSGANSNGSNSSGNNSSNSAVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAIIPGLPLFLYNYTTHQLHGVFEASSFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKPLEEDAFRPVLHHYDGPKFRLELSIAETLSLLDLCEKEGV >ORGLA07G0221300.1 pep scaffold:AGI1.1:Oglab07_unplaced008:47597:48209:-1 gene:ORGLA07G0221300 transcript:ORGLA07G0221300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEDHFHVYWVEGSATDARVLTSAVNKGFQVPPGKFYLVDGGYANTYSFLAPYRRDRYHLKEYGAGRRRPQNYKELFNHRHAVLRNHVERTLGVVKKRFPILKVATFHKIENQVKIPVAVAVFHNIIRSLNGDEQWLNNQPHNIHPSNYVDLPDGDEGNDQSTNQGNLLRDMIAHQMWNDYR >ORGLA07G0221200.1 pep scaffold:AGI1.1:Oglab07_unplaced008:46096:46838:-1 gene:ORGLA07G0221200 transcript:ORGLA07G0221200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XSFPKAKKFRTKSFPLFEALGEFYDGHTAEGTYNFTSTEPLQHPIITQIESDQDDLGNTEIIFPDYEDTLAYQVQDDADATEDDNANAERLKEMPQRRVVAVPRNKEEKEPKRQKKSVGVEGLMERYLDMRTKQTEDEAAQLAREKEAHLAREKESNDFSIKRCISVLNSMDVTKAEKVKAYTVFKNAENREIFVSACDEDPESALSWLRSEMA >ORGLA07G0221100.1 pep scaffold:AGI1.1:Oglab07_unplaced008:39855:42114:1 gene:ORGLA07G0221100 transcript:ORGLA07G0221100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSASRSCCCCSWVRGGCASCCSWIRGFCGGGGGATTSAQDTAASDAKKRKKRKWVVRGVFGKAAREAEEPLTLETMKKRKSAATSPELEKNKWGTKKNWKKKKGKTQPTGLASLVKEISLENSTRNRAAAGEILRIGNHNIPSRVFTFRQLADATGSFSPENLLGEGGFGRVYKGFIPDTKEVIAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVTLLGYSTECDQRILVYEYMPLGSLQDHLLDLTPNSSPLSWHTRMKIAVGAARGMEYLHEIANPPVIYRDLKASNILLDGGFNAKLSDFGLAKLGPVGDKSHVTTRVMGTYGYCAPEYAMTGKLTKMSDIYSFGVVLLEIITGRRAIDTTKPTREQILVHWAAPLFRDKKKFVKMADPLLDMKFPLKGLYQALAISSMCLQEEASSRPLISDVVTALTFLADPNYDPPDDVEPLPIKAPNLDRESSQKEAEGGDNDSDEGGEEQV >ORGLA07G0221000.1 pep scaffold:AGI1.1:Oglab07_unplaced008:37776:38333:1 gene:ORGLA07G0221000 transcript:ORGLA07G0221000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVFFAAALMAAMVAISGAQLSESEMRFRDRQCQREVQDSPLDACRQVIDRQLTGRERFQPMFRRPGALGLRMQCCQQLQDVSRECRCAAIRRMVRSYEESMPMPLEQGWSSSSSEYYGGEGSSSEQGYYGEGSSEGYYGEQQQQPGMTRVRLTRARQYAAQLPSMCRVEPQQCSIFAAGQY >ORGLA07G0220900.1 pep scaffold:AGI1.1:Oglab07_unplaced008:35756:36424:-1 gene:ORGLA07G0220900 transcript:ORGLA07G0220900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFLRAMPGESSDDILPKNVDWRKKGAVVEVKYQEDCGARRLRRRGRGVRRVHELFEFIVGNHGLTSHHGGELAVPRRERRVLGGEAEPERGQHRGLPERDTSSEPDLARAVAAQPVSVTVDAGNFMFQLYGSGVYTGPCTAVRRRQPRRHRGGLRRVPQHSRH >ORGLA07G0220800.1 pep scaffold:AGI1.1:Oglab07_unplaced008:30619:31930:-1 gene:ORGLA07G0220800 transcript:ORGLA07G0220800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PWZ5] MASSRVILALLLAAAAVMASSAQLDEKFYSNSCPSVEAVVRKEMVRALAAAPSLAGPLLRMHFHDCFVRGCDGSVLLDSAGNSTAEKDATPNQTLRGFGFVERVKAAVEKACPGTVSCADVLALMARDAVWLSKGPFWAVPLGRRDGRVSIANETDQLPPPTANFTELTQMFAAKNLDLKDLVVLSAGHTIGTSHCFSFTDRLYNFTGLDNAHDIDPTLELQYMARLRSKCTSLQDNTTLVEMDPGSFKTFDLGYFKNVAKRRGLFHSDGELLTNGFTRAYVQRHAGGGYKDEFFADFAASMVKMGGVEVLTGSQGEIRKKCNVVN >ORGLA07G0220700.1 pep scaffold:AGI1.1:Oglab07_unplaced008:26883:28216:-1 gene:ORGLA07G0220700 transcript:ORGLA07G0220700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QDL5] MSRELELLLFLLALRASGEVVAGSAEAAAAAAAWPGLQVGFYHAKCPVAEDVVLGEMRMILEEDPTLAPSLLRMHYHDCFVQGCDGSIMLRSRSGKGERDATPNRSMRGYDAINRIKARLETVCSLTVSCADIIAMAARDAVYLSKGPWYDVETGRRDGDVSVAEYAENDLAPPDSNIVDVKTFFSVKSLNAKDIAVLFGCHSIGTSHCGAFQKRLYNFTGRMDQDPSLDAGYAAKLKKLCPPGHGHDHDHDGHGGAGGAAKVPMDPGSGFTFDLSYYRHVLATGGLFQSDGSLRDDPVTRGYVEKLANASSSSEYFADFAAAMVKMGRTDVLTGDLGAVRPTCDSLVD >ORGLA07G0220600.1 pep scaffold:AGI1.1:Oglab07_unplaced008:22450:26176:1 gene:ORGLA07G0220600 transcript:ORGLA07G0220600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAPRRLLPDSSSPPIHRRRLPLPPLFRRRLSPLPASLVQALLCSGPIRGGRGLTPLGLLMDPAADGEGGGYEDASEFADAETGGGEAVRGEGERERRELPEELAKGVVCLECETSPEAEAAGAGGTCRVYVVGTAHVSQESCDQVKAVIDYLKPQAVFLELCASRVAILTPQNLQVPTMNEMIDMWKKKKMNTFGILYSWFLAKVASQLDVLPGAEFRVAFEEAMSYGGKVILGDRPVQITLRRTWGRMSLWHRAKFLYYIVFQSIFLPSPEELNKMLKDMEDVDMLTLVIQEMSKAFPTLMETLLHERDMYMSSKLLKVAKEHSSVVAVVGKGHVSGIKKNWEQPIEIESLLVLPVTKQGASKMKILASIGALGGVVIATGIYIWSRK >ORGLA07G0220500.1 pep scaffold:AGI1.1:Oglab07_unplaced008:18839:20296:-1 gene:ORGLA07G0220500 transcript:ORGLA07G0220500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PWZ8] MASTDRSKKLRVLLIPFFATSHIGPFTDLAVRLVTARPDAVEPTIAVTPANVSVVRSALERHGSAATSVVSIATYPFPEVAGLPRGVENLSTAGADGWRIDVAATNEALTRPAQEALISGQSPDALITDAHFFWNAGLAEELGVPCVSFSVIGLFSGLAMRFVTAAAANDDSDSAELTLAGFPGAELRFPKSELPDFLIRQGNLDGIDPNKIPQGQRMCHGLAVNAFLGMEQPYRERFLRDGLAKRVYLVGPLSLPQPPAEANAGEASCIGWLDSKPSWSVLYVCFGTFAPVSEEQLDELALGLEASGEPFLWAVRADGWSPPAGWEERVGERGVLVRGWVPQTAILSHPATAAFLTHCGSSSLLEAVAAGVPLLTWPLVFDQFIEERLVTDVLRIGERVWDGPRSVRHEEAMVVPAAAVARALARFLEPGGAGDAARLRAQELAAEAHAAVAEGGSSYRDLRRLVDDMVEARAAGGEVAVSHSTV >ORGLA07G0220400.1 pep scaffold:AGI1.1:Oglab07_unplaced008:14256:15710:-1 gene:ORGLA07G0220400 transcript:ORGLA07G0220400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PWZ9] MASSERSKKLRVLLMPFFATSHIGPCTDLAVRLAAARPDVVEPTLAVTPANVSVVRSALRRHGSAASTVVSIATYPFPEAAGLPPGVENLSTAGDERWRVDAAAFDEAMTRPAQEALIKDQSPDVLITDFHFSWNVDIAEELAMPCVQLNVIGLFSTLAVYLAAAVVNDSDSEELTVAGFPGPELRIPRSELPDFLTAHRNLDLVDNMRKLVQVNTRCHGFTVNSFLFLDKPYCEKFMCNGFAKRGYYVGPLCLPQPPAVASVGEPTCISWLDSKPSRSVVYICFGTFAPVSEEQLHELALGLEASGKPFLWAVRAADGWAPPAGWEERVGDRGLLVRDWVPQTAILAHSATAAFLTHCGWNSVLEGVTAGVPLLTWPLVFEQFITERLVMDVLRIGERVWDGARSVRYKEAALVPAAAVARAVARFLEPGGAGDAARIRAQELAAEAHAAVAEGGSSYGDLRRLIDDLVEARADSGESALQPL >ORGLA07G0220300.1 pep scaffold:AGI1.1:Oglab07_unplaced008:2184:3188:1 gene:ORGLA07G0220300 transcript:ORGLA07G0220300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFLNTPPSKLAPKPSFPPPSRQFAPPQRQRSLLHLSLLLREQQPPRPVRSSSSTQAGAAPSPPSSREEAVSQARSCLAAALRKPLGKQRKQQRQPRFRAEIPVVDDSPGSLARLASDVFSSGLGLSRKGGGGGAPARLLLVWPSSEEMGVALREPHDWGESTAHAQLDAVAPDALSSCDAAVFLAPGRSQVEKMKAAADALDTKPVVMFNPAWSFDDEEEGFAGGARGFVGSFSVVYSFTGLEVRGLLSKKKGVLLRFGGESWVLMVEDDAAAPASEQFKVVSRLKRRPTIGEVETMLYNVMAANSPVTKSARFLRGLVSNVTGGRKEKKQ >ORGLA07G0220200.1 pep scaffold:AGI1.1:Oglab07_unplaced008:1:270:1 gene:ORGLA07G0220200 transcript:ORGLA07G0220200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGSPEPAKGAGGAGVAEVAAAGGTQGAVEVLRRQRQADATVFEFGSAAESGAAVTLAGYCPVSDDLEPCRWELVPAAGEGAPQFRIVF >ORGLA07G0220100.1 pep scaffold:AGI1.1:ADWL01015005.1:5710:6861:-1 gene:ORGLA07G0220100 transcript:ORGLA07G0220100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHQMESVPRTVSTVVPNTAEATHVFDILGYSQMKGRGREEHVTSGTFVVGGLHWAILLFPDTHVILLDDEEDNVTAFLELQSQGGGKVRACCDVRLVDQTTGLASSAAPAQPDAKTFKVFNADESNRVSCLKMRRTEFEAPPYLVDDRITLECVVTVKKEPRVSRARPVPRIKVPPSNMMQQLGDLLESKEGADVVFDVAGETFPAHKLVLAMRSPVFKAELCGPMRESGTEPISIVDMQPVVFKALLQFIYTDWLPSIRDLEGDDNSEMIRHLLVAADRYAVDRLKLLCQSILCKNLRVGNVATTLALADQHHCGMLKDACIEFMSCPNMLDDVVASQGFVDLENTAPSLVAEAKEKMGRFKKMSRMTKSNAPEDEPNSN >ORGLA07G0220000.1 pep scaffold:AGI1.1:ADWL01015005.1:1809:2969:-1 gene:ORGLA07G0220000 transcript:ORGLA07G0220000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSAAAAACGPPEFTPGWKTVSTCAPDVVGEGVHVFDIFGYSDHKGMGAHEPIRSGAFSVAGLDWVACLYADGYGVAGIDDVSAYLRLLGDAPTPRVWVSCEVKLVDQRTGVASTPQPFLRYALVFGDKCKALHCMMIPRGQIEVEPYLVDDRLTMEFHVVVRRDPRVSRTARFPRILVPPPDIKRQFANLLQSKEGADVTFDVAGEPFSAHKLVLAMRSPVFKAELCGLLREPGTQPIAIVDMQPAVFRALLQFIYTDQFPATRGFERRDNCEMIRHLLVAADRYAVDRLKLLCQGVLCKNLNVHNVATTLALADQHQCDNLKDACIEFMSCSKKMKGVAASKGYEDLQRMAPSVLADAVAQMSKLNKMPRGSAPQDESKSC >ORGLA07G0219900.1 pep scaffold:AGI1.1:Oglab07_unplaced005:23542:25148:-1 gene:ORGLA07G0219900 transcript:ORGLA07G0219900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WVPRRGCQGTPRSTRRPRSSSTSLGTASTRAWPPASSSGRRPSPSAATTGQSASTPTALRACSPVFKAELYGGMKEREARSVTVDDTQPDVFRALLYFMYTDSLPDMDDVEDADYVEMIRLLLVAADRYAMDRMKLLCESVLDDLLDAETVGTTLALADQHSCNNLKDVCVKFMATSKGMDAVMATEGYDNLKRNCPYVLIDVLEKLNRGKAIHTTLALLVVTNIFSSSNMFSLFEHED >ORGLA07G0219800.1 pep scaffold:AGI1.1:Oglab07_unplaced005:6748:7104:1 gene:ORGLA07G0219800 transcript:ORGLA07G0219800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILDESDDDDDEEEDQDSAEWNSDDDNINIIGDLSKNKEEMSMWTFGSVDLLGFHPYKEVIYLIDLDEVVAYHLRSSKVQYLGCNRLNEYNRGMEKSFFYTPCFVDLIPEGAHQKSS >ORGLA07G0219700.1 pep scaffold:AGI1.1:Oglab07_unplaced005:4574:5344:1 gene:ORGLA07G0219700 transcript:ORGLA07G0219700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFFSRPRYSTGCGIDGCFKFLPIDAIGCNKVLDHCNGLILYHGEISEQYKLFVCNPATHRWVQLPPFTEYDSLCISAEYLVFDPAESLHYEVFLIPDLPEIPTKKKCHKGPLMGKDATMEWPPSVHTLWVFSSRTGSWEDKAFLHEGHATNMAGTSSEVLLDSPDMMSWGPRFIRAEYWNGALNVHFRARNPWQESFSLFLSPSALVNRMXIENSLVENXSFPVVPKITIVPV >ORGLA07G0219600.1 pep scaffold:AGI1.1:Oglab07_unplaced003:24416:24739:1 gene:ORGLA07G0219600 transcript:ORGLA07G0219600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSMDNFGLTIVDFNNVGHKDDPWVLADRVAQVFYVTDPSHLKKDIVISGKQRILGVDDVTDVEAYNQYKNMTLFTDFRNKILHVEESIDESPKPYMRNEGVGKSVRC >ORGLA07G0219500.1 pep scaffold:AGI1.1:ADWL01014992.1:2055:3322:-1 gene:ORGLA07G0219500 transcript:ORGLA07G0219500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIGKTTTVRTRYTVMSKELLDEHPELRTEGTPTLTPRLDICNAAVLELGATAARAALGEWGRPAADITHLVYISSSELRLPGGDLFLATRLGLHPNTVRTSLLFLGCSGGAAALRTAKDVAENNPGSRVLVVAAETTVLGFRPPSPDRPYDLVGAALFGDGASAAIIGAGPIAAEESPFLELQFSTQEFLPGTDKVIDGKITEEGINFKLGRDLPEKIESRIEGFCRTLMDRVGIKEFNDVFWAVHPGGPAILNRLEVCLELQPEKLKISRKALMNYGNVSSNTVFYVLEYLRDELKKGMIREEWGLILAFGPGITFEGMLVRGIN >ORGLA07G0219400.1 pep scaffold:AGI1.1:ADWL01014992.1:3:1283:1 gene:ORGLA07G0219400 transcript:ORGLA07G0219400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASLRRHRHPHPLPAAHLLRRFSALPDVDHPPPPASTPTPPPPRAPPILDLQLAVRGEADPARIHSLVATALSRPDDYPRLHGSRPLFSLAASRLARLRRPDLAASLLRALLDSAPASPGLLARAISLFPGPDDALRAFSDSAPAARSDVSLSALLSALFRAGRVDDVKSTLASAGTSFGVAPGRASHNVLLHALVKNSELAAARKLLGEMAKKLKHRPAPDIVSYNTVLAGYSAQGDEEGFEKLLKEISAKKLEPNVVTYNCRIQWFAKKGETFKGEELLDAMESKDVAPNYLTYNALVQGYCKEGNVGSAMRVFKRMKVMKRREGRSDLGVSAHSQTYVVLFRSLVEKERLDDALWICKSCFAMKAAPPFEAVKGLVEGLVKGGKSAEAKDVVAKMNLLVKGDAKVAWEKIAGELSLEGTPSSNP >ORGLA07G0219300.1 pep scaffold:AGI1.1:ADWL01014991.1:6986:8392:1 gene:ORGLA07G0219300 transcript:ORGLA07G0219300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPEDVLLEIFSRLPARSAARLRAMSRSWRAELSSPSFVDLHLRRANTTAPPKLFCCPCDDKLMLADQWCLYDLQLGGGPGRELVRGGEFGDVLPAPLTKPLRGLVLVMCYGRNGVYVCNPSTGGEALALPDTELPSKATFRPSLGPGPPYYRNVAYGLGYCSAAKEFKVVRMFSEGHYEETATRCEVFVLDSPADGGGMVSFNVADESFGSLPAPPPLAAAVYGVADWRIRERMTELDGCLCVCQYACGSDGHGPCRLWLLRRHGGGDETAARWEKLCCIDPIPWPSRSIVPLCMYGEKILMRTGRSVVFAVDAAACGGGAPEILFRPDEHEATAGEFEDTQLPALGLYEESLVPVGRTRGDRLLVGGDQGLVRRPQVAAGEDGVGAQRRVQGVARHGHHRPLHPLARRPRQHGGEKTADQVRHGPRRRCTRRH >ORGLA07G0219200.1 pep scaffold:AGI1.1:ADWL01014991.1:3126:4689:1 gene:ORGLA07G0219200 transcript:ORGLA07G0219200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSLSPRPYLSLLSYVVPPRRPRGCGYARRDSVGIDVSGRVGVQDRRLRAVVRPRAVKFRGGGREAEQARCKGGNDGPPMVEHIEEEVTMPIQEXSKXRLGMSASVWYLHTAXMLRPPRARATATACSSMCPGVHVNTFSRSACQGVRRRSLRPRPRSRPLVARSRSSSSSRLAASPFSTHSCPSPTWSSYVSTVPASTR >ORGLA07G0219100.1 pep scaffold:AGI1.1:ADWL01014991.1:419:2482:-1 gene:ORGLA07G0219100 transcript:ORGLA07G0219100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT3G22450) TAIR;Acc:AT3G22450] MSLRQLLLQARRFASRPPPPLSNMLVLCRGISDRGHKALPSAPPRHSTGQIQMPQTFHCSNANPLGNRFQIDVVDSDLWPASFDLSMDHAPKTGCPDDFQEHEDGEVHDSEDEIDDMRHRKKLFYKLDRGSKEFEENNVSLRHRRKREKGNVKNPKESKKVDPDESASVKLPKLKTKYTVREEDVVEAKRDRVPTFNQMTDPYHHPFCLDIHVTKGSVRACFVHRVSSRVVTVAHSISKDMKFDVGSRKGMKACAAVGALLAKRAIEDDIHNAIYTPRKGDRIEGKIEVVLRAIIDNGVEVKVKLKQRKPTKNALAMQHSQSH >ORGLA06G0302100.1 pep scaffold:AGI1.1:ADWL01013306.1:6722:9837:1 gene:ORGLA06G0302100 transcript:ORGLA06G0302100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVLSIAKSLVGSAVSKVASVAADKMIMLLGVQKEIWFIKDELQTIQAFLIAAEASKKSILLKVWVQQVRDLSYDIEDCLDEFTVHVGSQNLLRQLMKLKDRHRIAIQIRNLRTRIEEVSTRNIRYNLIENDLTCTTTDERNLFMEDIHNQSANNIEEADLVGFSGPKRELLYLIDVHANDGPTKVVCVVGMGGLGKTTIARKIYESKEDIAKNFSCCAWITVSQSFVRVELLKDLMVKLFGEEVLKKRLRELEGKVPQVDDLASYLRTELNERRYFVVLDNVWSTDSRKWINSIAFPRNNNKGSRVIVTTRDVGLTKECTSELLIYQLKPLEINYAKELLLRKANKAIGDMESDKKMSDIITKIIKKCGYLPLAILTIGGVLSTKEIREWETFYSQIYLQSLRATQTLKQ >ORGLA06G0302000.1 pep scaffold:AGI1.1:ADWL01013305.1:5811:7345:-1 gene:ORGLA06G0302000 transcript:ORGLA06G0302000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQDVKNVFSMKGGQGESSYLKNSKAQLRDLQMMLNALEETLDKITIPPRGPGKLLLTAADLGCSCGRSSLVVADAIVQHMTNKLCRGKHVDAAAADPEFCFYFSDLPSNDFNTLFSLLPPHAASSGDGSGRRYFAGAVPGSFHDRLFPERSIDVFTSTFSLHWLSQVPDEVTDTRSPAYNKGKVFVQGSSEETGAAFRRQFQSDMARFLRCRAAELKPGGAMFLVFVGRPSSASPTDLGRSFNLLGAMFEESWCDLVDEGLIDGGSMDSFNIPSYAATLEEFREAVDADGSFAVNRLEHVMGSRLAVDDDPHDRRAVGRRVANNQRSIFGPLVEAHVGRALTDELFARMERRAEELSNELVDEMGVHYIVCSLSLV >ORGLA06G0301900.1 pep scaffold:AGI1.1:ADWL01013304.1:863:2099:-1 gene:ORGLA06G0301900 transcript:ORGLA06G0301900.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLPHRVMRQFGKKQDWPVEDISTGVELHKYDRVRTKKVKDWGLEHNRYIDEWRTAGRNDRYIENIHQNHFFSEYLRWLHRTYRLFLRPTWTEADIEDDRDSDEGRNPYDVRTRVGYQMEHAPLRDRVSRELLRSVNEMGHALQAPRGGEDTENTLRNVLEKVRQRCRKLAARLGCRSVGLDDVYQPRRLPPPLPQSARPSTARHSIRIEEREEVGGSSSSRIPQGRGKGKAPAPPSNDDDDDDEEDEDYVAPDAEEIDMSQLPDAPQGTQPTQYNLRSTRAAKKRYTPGSQAIRRQRKK >ORGLA06G0301800.1 pep scaffold:AGI1.1:ADWL01013300.1:13158:13639:1 gene:ORGLA06G0301800 transcript:ORGLA06G0301800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TISCNHLDNQALRVYGTHNIHTQHYNFVGASSHYTPLHANGYSPLLANDGSSVPLAKPTSSALTGNNDHVTVDSDDDNGVVRTRLKLNWIQEEDVKLMSVWLNNSMDPINGNDKKAEKYWGDGEEMEKPKASQG >ORGLA06G0301700.1 pep scaffold:AGI1.1:ADWL01013300.1:6469:7231:1 gene:ORGLA06G0301700 transcript:ORGLA06G0301700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPEHGGRSSSSSSTDPELDQHNKGILEKMHKSLLLLAILAATVTYNAGLAPPGGVWADDADGHVAGDPVLQAHYPVRYSVFFYCNATAFVASLVITMLLLSSTFSFHGYRVRALQAAMALDLIGLLGAFAAGGCRSVRTSAFVLALVAVIAAYLVAHLLLHFSIRSSRCPSHRRELVELLNLHRCHSCCVGAAAAKDDATTVAQAGTEAPAAPKRASSV >ORGLA06G0301600.1 pep scaffold:AGI1.1:ADWL01013296.1:4909:6438:1 gene:ORGLA06G0301600 transcript:ORGLA06G0301600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAATKPSKSPLLILLVSGVAFLLLASAVECYGGGRHDVTRSAVARRSGVGSRRQYVRHRLTGGAVDVPHRYMLAEKGSNSTRANHTSPAASNSTPSATTTEAPAAGKHHRSHKHRVRNWIIGFVVGSLAGVVSGLAMSVLFRMALNCVRGRYRSKSDTVIFIPKLIKSKEHLAFLEKDQDGLASLAVIGRGGCGEVYKAQLPPEREGDAPRFIAIKKIKKRSGDGSGGQNNNLSDEESRQLDKWTRQIQSEIRTVGHIRHRNLLPLAAHVPRPDCHYLVYEFMKNGSLHNALKATTTDTTTNDYNDNNSGEHPPPSPALPWPARLRIAVGIAAGLEYLHVSQRPQIIHRDLKPANILLDDDMEARIADFGLAKAMPDAHTHMTTSNVAGTLGYIAPEYHQTLKFTAKCDVYSFGVILAVLGTGKEPTDKFFAQQVVDDVGIVRWLRRVMQEGDPAAQAGVIDAAIAGAGHDEQILLVLRIAVFCTADDPKDRPTAKDVRCMLSQIKN >ORGLA06G0301500.1 pep scaffold:AGI1.1:ADWL01013294.1:4862:5167:-1 gene:ORGLA06G0301500 transcript:ORGLA06G0301500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTTELAWDPHVGAFQSNTFLLASVVVAGENLLRKGESMVEWGEEAAKQQRTF >ORGLA06G0301400.1 pep scaffold:AGI1.1:ADWL01013292.1:2:2460:1 gene:ORGLA06G0301400 transcript:ORGLA06G0301400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WEIMSELGLSYLPHSVLAVLIAVPFDVFMISGVALWKSPCMLLKGWQRLCEDLAGREGPFLETVCVPFAGLSIILWPLAVIGAVVASFLSSFFFGIRAGLIAYQEASLRMGLAYMISAVALFDEYTNDMLYLREGSCFPRPKYRKTDRMNNETGQNNEVRNVTSPLGEKKLHHKTMKALQRSKTFMETIQRLRPIQIWDWLFRSCELNGRILLSEGLISAEDMEECIIKGKCKKLSIKLPAWCILQCLIRSAKHDSHGLLISDDVEVTNFNWPKDRVFDWMLGPLLVIKEQMKQLELTEDEELCLRKLIMTNNNDKPSDWDDCGFPSSDNIRRAQLQAIIRRLQGIVVNLSWVPSFRRRFINLVKALYLEAVEVGAIDGSRSVKRKIEADAAPAPGSKFDDEDGDGSSNGAAAVGIDAV >ORGLA06G0301300.1 pep scaffold:AGI1.1:ADWL01013287.1:8040:9177:1 gene:ORGLA06G0301300 transcript:ORGLA06G0301300.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQRTYHLRKLQLYITKIAFCYITKVIFVNTFDLACPESVQDDDEKRQESEKSKMKAKQLDNINPQGMKSQAGTNRNCKLHKSMTEEIMKKKEQTGI >ORGLA06G0301200.1 pep scaffold:AGI1.1:ADWL01013286.1:10578:12860:1 gene:ORGLA06G0301200 transcript:ORGLA06G0301200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIMETKPVLCKAIEGVFASLSSPALAKIVIADLGCSSGPNTLLVVSGVIGMISTSGYPEKTELQFFLNDLPGNDFNYVFRSLQHLKQLADPKEGLLEPPYYIAGLPGSFYTRLFPCQSVHLFHSSYALMWRSKVPEELSSGVHLNEGNIYIGKATPSHVIKLFQKKFKEDFSLFLALRSEELVSGGHMVLTFLGRKSSQMMAHGDVGTMWELLAEALQILVQKGRMKEEDLTTFNLPYYAPSVDEVTELIEESGLFDVEHTGVFESSWDPHDDSKSNGDAVVDCARSADSITNCSIRAVIEPLITDHFGESIVDELFQVYVPVVAKHLEKGRAMYPVIVVSLKGRL >ORGLA06G0301100.1 pep scaffold:AGI1.1:ADWL01013286.1:273:2251:1 gene:ORGLA06G0301100 transcript:ORGLA06G0301100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLIEEAVTGLCTGSCPHPKNMVIADLGCSSGPNALTLVSAAADAIHRYCTQHEQLPPEMCVLLNDLPGNDFNTVAKSLDTLKHSGEEALAQPAVVITGMVPGSFYERLFARGSLHLVCSANSLHWLSEAPEDLKKSGIPMHDSDEQLRSSRHQIVADSYARQFRKDFMRFLSLRAQEIVPGGRMVVSLFVRRSDKPDTECTQPWTPVVTALSDMALRGVISKEKLDSFYIPLYCPMDSKVNKIIEEEGSFEINKMLMHDPYGGTGGKALLDLKMVALRVRAVFEPIIVQHFALSDEIMDDFVRAVERHLISSGALEARLSGQHSFAFLCLSLTRAM >ORGLA06G0301000.1 pep scaffold:AGI1.1:ADWL01013285.1:15580:17028:-1 gene:ORGLA06G0301000 transcript:ORGLA06G0301000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPCLLRRRPLRSAAAAALVHPSGSWMRPRGAGSSPRPPGASPLFEPPRVVSERWMDGRGWWFRFRQPVRHGSTAVTLDTGGGFARFSVGGDAGTKQGAGRKGQPPAKAAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPELVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCRPGQVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNRGETLETISSEETNVDDLTSSSDTE >ORGLA06G0300900.1 pep scaffold:AGI1.1:ADWL01013285.1:6527:12755:1 gene:ORGLA06G0300900 transcript:ORGLA06G0300900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLPLLLACSLLFTIATPIRDITDVCASQISDFQHLNSSGLHLTLHHPQSPCSPAPLPSDLPFSAVVTHDDARIAHLASRLANNHPTSSSSSSLLHGHRKKKAAAGIAGSQASSSSVPLTPGASVAVGNYVTRLGLGTPAIPYVMVVDTGSSLTWLQCSPCSVSCHRQAGPVFDPRASGTYAAVQCSSSECGELQAATLNPSACSASNVCIYQASYGDSSYSVGYLSKDTVSFGSGSFPGFYYGCGQDNEGLFGRSAGLIGLAKNKLSLLYQLAPSLGYAFSYCLPTSSAAAGYLSIGSYNPGQYSYTPMVTSSLDASLYFVTLSGISVAGAPLAVSPSEYGSLPTIIDSGTVITRLPPNVYTALSRAVAAAMAGAAPRAPTYSILDTCFRGSAAGLRVPRVDMAFAGGATLALSPGNVLIDVDDSTTCLAFAPTGGTAIIGNTQQQTFSVVYDVAQSRIGFAAGGCS >ORGLA06G0300800.1 pep scaffold:AGI1.1:ADWL01013284.1:1453:2709:-1 gene:ORGLA06G0300800 transcript:ORGLA06G0300800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARYKTFYYFNSTAFVTSLVIMVLLMSERFYRTETKVAALVVTTFIDLASLVGAYIAGFTRFMSSCAYVIAITGVAFVSVIAMGEVMGIVCDFFRGRSPCMSSCYPLHGSRAEGNGLPIHKAEDEEQGGVDLLVIEWYMDFGTNDVLHRKYNLRSNTFIPSRTVEDGI >ORGLA06G0300700.1 pep scaffold:AGI1.1:ADWL01013283.1:1473:1862:-1 gene:ORGLA06G0300700 transcript:ORGLA06G0300700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWTGRWREIGGRACAWTAAEGLENGGQERGWI >ORGLA06G0300600.1 pep scaffold:AGI1.1:ADWL01013283.1:234:455:1 gene:ORGLA06G0300600 transcript:ORGLA06G0300600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEELCAYGMRSRIWKESKFGTFGYVKFLSCTSGFPKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA06G0300500.1 pep scaffold:AGI1.1:ADWL01013282.1:5158:5562:-1 gene:ORGLA06G0300500 transcript:ORGLA06G0300500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRGWAPQLAALRHRAVGWFVTHSGWNSVVEAVAAGVAMLTWPMVADQFVKARLLVDELRAAVPVSWGGVATPPSADEVARVLEATVLAADGGEVGARVDELAVEAAAATWEGGSSWVEVDELVRELGGHMQR >ORGLA06G0300400.1 pep scaffold:AGI1.1:ADWL01013282.1:2:925:-1 gene:ORGLA06G0300400 transcript:ORGLA06G0300400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPYVMATVTDAAAHVLVVPYPAQGHLIPFIDIVRLLASRGGLRLTVVVTPATAPLLAPHLAEHTGDGGGVFALTLPFPSHPAIPAGVENANGSPPELFAKLVVAFAGLRGPLGSWARDRADTHHRVVAVLSDFLCGWTQPLAAELGVTHVVFSPAGVYAAAVMHSLYRVMPRPDDENDDECPVTFPDIPGCPAYPWRQITRTYRTYKKSDEIAEGFKSNFLWNLESSSFVSNTFRRLEGQYLERPLADLGFRRVRAIGPLAPESDVSGNRGGEMAVAASELCAWLDQFADRTVVYVSFGSMALLQPPH >ORGLA06G0300300.1 pep scaffold:AGI1.1:ADWL01013280.1:12548:13847:1 gene:ORGLA06G0300300 transcript:ORGLA06G0300300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGSRLCWHYLGHQQCIRAEHKSIRRQQRRRSREEASVVGGGSSSRRRPQRRRQLSRRHADLTGEASGGSGGGARGIRRRLRRQSRGEEAAVEAATVATSLAEEAWAEAVFVGVRGFDEVCVSHV >ORGLA06G0300200.1 pep scaffold:AGI1.1:ADWL01013280.1:6938:7186:-1 gene:ORGLA06G0300200 transcript:ORGLA06G0300200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKQAIYICSLIFWFASKILGTFLAEMLFMRFKKRASIYFSYICICCSIFYFRKFSSCMALRSFVGQNLYVYCVFLNKVK >ORGLA06G0300100.1 pep scaffold:AGI1.1:ADWL01013280.1:3300:4715:1 gene:ORGLA06G0300100 transcript:ORGLA06G0300100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q788] MPSSGDAAGRRPHVVLIPSAGMGHLVPFGRLAVALSSGHGCDVSLVTVLPTVSTAESKHLDALFDAFPAVRRLDFELAPFDASEFPGADPFFLRFEAMRRSAPLLGPLLTGAGASALATDIALTSVVIPVAKEQGLPCHILFTASAAMLSLCAYFPTYLDANAGDGGGVGDVDIPGVYRIPKASIPQALHDPNHLFTRQFVANGRSLTSAAGILVNTFDALEPEAVAALQQGKVASGFPPVFAVGPLLPASNQAKDPQANYMEWLDAQPARSVVYVSFGSRKAISGEQLRELAAGLETSGHRFLWVVKSTVVDRDDAAELGELLGEGFLERVEKRGLVTKAWVDQEEVLKHESVALFVSHCGWNSVTEAAASGVPVLALPRFGDQRVNSGVVARARLGVWADTWSWEGEAGVIGAEEISEKVKAAMADEALRRKAASLAKAAAKAVAGGGSSHRCLVEFARLCQGGTCRTN >ORGLA06G0300000.1 pep scaffold:AGI1.1:ADWL01013279.1:690:4346:1 gene:ORGLA06G0300000 transcript:ORGLA06G0300000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRQPKLTIALTNTTDVLIPVNGQSEENNTNGDRAEYGMETGSDRQDSMNGLSSRFLLASSHAPSITVDFELLWRLRKYLVMLAILAVSVTYNAGLTPPGGFRTKNTTNGRDAGEPLLRVYFFPRYEVFFYCNATAFAASLVLIILLLSKSVTRQNLWLRSMQFTMILDLFSLMGAYAAGSCRAVKSSIYIWVLVVAVFIYVGIHILICTRIFPKWLKERVQMLLQKILAKWGVHEEKRSNDQKRNIEEARKFILTLATFSATITYQAGLSPPGGFWTEGSSRPATPILRSNYLLRYNFFMSCNATSFVASLVTIMLLLSPELSMHGIRSKAVIVCVVADLLGLIGAYAAGSCRDIAVSFYVMSVSIIVLTCFVVLAGILVYKPVADWLQKIKPDTLTCIGTIGRVFSLDFKRNRSIDREQENSQANHLQTVLESDAQVKENALQSTNIEEGESHAKNQPSGECQSANSEEAVPDSDHPLASYQQSMCSTDVVYNLQGQSIDDQAQPTAEESISNTQHPSEKSQHATNYKDGMHQSADNQQDANTKENSSSIDDSKTLKDGISDPEPQSADSHQVTDMKEQSAISNNLKTTDTEGSMPDPDNRSTDRQHVINKMEQSSSTDEPGDVVTPEEKVSPNVHVGHSEIEIAEDNIIAPHVENGYIDKNEGSPNEDGDRNQSAKHLKKCRTYLLLLAILAVSLTYQSGLNPPGGFWTRHEDYHSSGDHILEDTHHPRYIAFFYLNAIAFVASVVMIIMLLNRRMANKVIKRRALQIAMIVILLSLTGAYVMGSCRKTKNSVYISVMVLLVLAYVGIHVLIAIHVIPEGWKRQVAGKLNRLSCRHLWSPLHGSNQTGHDNKKDWERRRNLLLILSILAATVTYQAGMNPPGGLWSDDKDINRTLTGNPVLQENNLRRYNVFYYSNSVSFVSSVVITILLVNKGSCEHGMKFYALRVCLAAGLVGLLIAYAAGSCGKAKQSIYLIIVAVAVLISLMIQVFIMSSTYDKLGKPLCKCMESLLEWIFQTKEVRQDIGSEIQGSPDRGDKSERKRHKYLMLLAILAASITYQAGLNPPGGFWSDDSSDPPKHMAGDPVLHNIHPHRYKAFFCFNAFSFMSSIVVIMLLLSKSVREKNVPLGVLHLIMILDLLALMTAFAAGSCRKFMTSVYVYGLVIGVTIYLLLVTILASSIAKCLRSRKISKNSYEKHHEHPSIVNTLPLEQQV >ORGLA06G0299900.1 pep scaffold:AGI1.1:ADWL01013278.1:14541:17486:-1 gene:ORGLA06G0299900 transcript:ORGLA06G0299900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHSPERSKEKEEEKPLELLLRKYLLLLAIMAATVTYAAGFNPPGGVWQNTEAGHLAGDSIIRDTYYPRYLVFFYCNAAAFVLSIVVIILIFSLAIVQEKKKLWIPMLPLRVAMVLDLLGLVGAYAAGTSRAVLKPRNAWVLVIIFVYMVIQLVLTSLSSSVGDGKKEEAKEQQQTADGKGEKINQLSQSGDKKEEEKERRRKLLLLLATFVMSITYLAGLSAPGGYWDSSKEGHNAGDPVMREHHAIRLKAFFVFNAAAFVMSLLIIMLLLDKQLVIPLLQDQDQSLTSRVRTRFLKAYIIIALVGLVGAYATGSSRNSDTTIYVGCLVFAVLACILFLKVIISPHPQGSASDSNGRPSNGVKKNTSNGGVQTNTSNADILEKAQSLVVLLSTLVTTVTYQAGLVPPGGVWQENWKEHKAGEPILLSVQPERYKVFFYCNSIAFAASLVIIILVQYKPILKRRILELAMILDLFGLIGAYSAGSCRDVTTSIYVIALAGVVLVYVVIHVIFITLDEDMGKKDGDKDKDEGKRRKRLLLFAVLCTTLTYQAGLTPPGGFWLKDDEFGHHAEMKSANPQDEEAGGIEKSPPAQDEVVIEQETQTLKPSETMSANEIKEDDRTTKSVDIEDVGEAKKNTSNETNEKEKQERTATESNKKEDESRKHSKRKYFMLLGVLAASVTYQAGLNPPGGVWQGNSNGREAGNPVMHDNKRYRYLIFFYSNSASFVASIVVIILLLKEKLLREDWLFKVMNITIVLNLLGLLLAYMAGSRMRLESSGYFIAFVIAALGIAAIHKIWSLSRESK >ORGLA06G0299800.1 pep scaffold:AGI1.1:ADWL01013278.1:5432:8132:1 gene:ORGLA06G0299800 transcript:ORGLA06G0299800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSERERTDSPLEYDLRKYLLLLATLVATVTYGAGFNPPGGVWQDTEAGHLAGDSIIRDSQYRRYLMFFYCNATAFALSIVVIILIFILAILHEKGKLQIPMLPLRAAMVLDLLSLMGAYAAGTSRGVLTAGNISALVATFIYMVAQMVVTLFDKKQTQEISSGDEKKKRHRKVLMLLATFVASITYMAGLSTPGGYWDNNQGGHHPGDPVLWEHHSRRLRAFFVCNTIAFVASLLIIMLLLDKKQQIFLPLDKIKITITVRTYVLYAYITIALLGLVGAYVAGSCRKPDTTIYVLSLVGAVLLCIGALQAVLFFLPQLSNISCLPIIAKLSCSPGGPSDSSSRKNTSSKTDILEKAQSLVVLLATLVATVTYQAGLVPPGGVWQKNQDGHMAGEPILLSTQAKRYKVFFYCNSTAFAASLVVIVLVRYKPLLRRRILEITMILDLFGLMGAYAAGSCRDITTSVYIIALAGGVLVYVVIHVVFFTLEDNDKEKEVGNTNSVRNGSVVHTQNNSAEGNLAEKDLCIDKRRKRLLLFAVLGATLTYQAGLTPPGGFRVADDGFGHHAGDPVLFYNFPRRYKAFLYCNSVSFMSSLSLIILLVNPNLYRPAIQSYALSVCTAAGLFALLGAYAAGSTQHLKTSIYVFVLVAVVLFIMIILLVCFYQSESKEKRDDKPKEPREKDKDGAKYHAKRKYLMLLGVLAASVTYQAGLNPPGGVWQGNSDGHGVGHSVMHDNKRYRYLTFFYSNSTSFVASIVVIILLLPTELLQKNRWLRVMNITIVLDLLGLLLAYVTGSSMRWEPSGYVIAFVIGALGCAAIHKFLSFVRRSQQQGQGNDQPSQLQEGGSRA >ORGLA06G0299700.1 pep scaffold:AGI1.1:ADWL01013277.1:19419:21882:-1 gene:ORGLA06G0299700 transcript:ORGLA06G0299700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKDATDLEERSEASEHCQALSFHGGAMFLQEAQIASPAAANNALTSMANPFPIPPGLWNPPSHNMGLGETSFSSLLGMLSAGAPPPFVATPGFVDSTAGFPCYNGGNLGAMINHPFPGIHQPLGDFQNGVEPCREIEDIEIEGSKNVSQTGEKQQGDGETTHAVDSSSKELSMPGRNGGAGHDEGTRVSCSKKRKRSGQDGGVKHAEGGEQLATVGSAQKNEDDEKGEPKRSSVASGKSSGKQIKDNAGSPKEDYIHVRARRGQATNSHSLAERVRREKISERMKYLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLASVNPTLDFNIERILSKDIFQCRGTTASSAFGFFPDIVHPRLHPPKYTQVGMPSIVNPTDAFGRVIHAPLGTNSAFKEPKHQMPNNLNGEFQDVIEMPFTHDHHGSNDQP >ORGLA06G0299600.1 pep scaffold:AGI1.1:ADWL01013277.1:14848:18275:1 gene:ORGLA06G0299600 transcript:ORGLA06G0299600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1Q783] MELQRAQNSTVNVMHVMEYLAANVDLAKDLVMRCSAVAQELKNDDLLGMTEDLDSVIKNIGHELSRIPASTFGSSRFPDGRADANLQVAGHRPRYCDQNSRDGYSEADMSIIPANSRPRRRTLHNSDMPRLVDFLQGMYHESHDIGAHSFNSLPEVAEYVEPLYDSFFCPLTNKVMVDPVTTESGVTYDRKAIEEYFEKFADGSEPVVCPVTKMSMQSKALRSNVPLKSTIAEWIMRNEATRVRIARTALSMASTEAMLLEAIQELKLLAKIRRKNREQMHKIGITKFLPRLLEHKDGLIRCDSLDLLCLLAEDETGKEVIANTRAITRTIKLLSSNSSDERHAAISFLLELSKSELLLENIGSTAGSILMLTTMKFNDSDDPVAAEKAGEVLKNLENCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVSYLGELVQKQEMTINIAGSASEILIKMVHSGNTVIRKAALDVLVQISSDGPNSKTLVDAGAVPVMVEELFIRKIDDEPMGSKTEAAAVLANIVESGLDPDTIVVNKEGHVITSKYSVYNFTHMLKCSMPDDLNLSIIRVLLALTALPKPLMTVVSVMKEQDSSLTVIEFMGSKTEALGISATMLLIALSPQMGHTIAEKLCKAPGQPGRLVKSIGQPGRVTERHAVAATLLARLPYQNITLNLALLEQGAVPTLLAKIEEMQRGEMRVSRHAKTYMEGLVGALVRMTTTLYDPDVLLAAMDQNFTAVLTDLLVRSAGSDEVQRLAAVGLENLSHQSVNLSQPPSEEQRRPKKKNILRRLRDAHTGRVHDNNRKPPPAAQQGRLCLVHRGVCSPATTFCLVEAGAVEALVGVLESNENGRVVDAVLGALCTLMDDAVDVERGVAALAEHDAARHVLHALRQHRDVSAVGGGGGDTGGAVSRRCFWAVERFLAHGGERCVRDVTADRALPSALVSAFHKGDAATKQVAESVLRSLHRMPDYSATYVSVEL >ORGLA06G0299500.1 pep scaffold:AGI1.1:Oglab06_unplaced265:13624:13986:1 gene:ORGLA06G0299500 transcript:ORGLA06G0299500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPHRRLLYCSISSISLRSPFLMRARRLEATAAATRSSRLVPPPATASTGDDGRPSRRIHRRGGLGPSDLAASDLHGDGLTATAGCRCDKCPSSRLLSPGGLAGGSIGVEVGDRRIWEMRW >ORGLA06G0299400.1 pep scaffold:AGI1.1:Oglab06_unplaced264:380:3694:1 gene:ORGLA06G0299400 transcript:ORGLA06G0299400.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGHTVCRKCIHEEFVDKESCCCPTCNIDLGCAPLEKLRVDHSMQFVRSRIFPFKRRKVENPEIICPVASPVKRKERSLSSLTIPAPQVSIQKCLTKRRTKASCLRNFPLHSTSRGSKDTSKKLGGWRPLGCQLKLGKDKKSLKSSVKDTNRTKSKSGDTDDGAPASKAKAREPFTRYGRAAKRTGSKKLLMLKNKKKRFKAKHPSKKRRFRALWFYLLAAFDQRGVPTLPQLPAKYLRIKDVDLPASIIQKYLAQKLNLSSETELQVEVLCGGKVVNQGMTLHDLADCWLEKGPKSRMRSSVGSPATGFMVTLFYRRPDVDVSSSPAPPQPDTESCHS >ORGLA06G0299300.1 pep scaffold:AGI1.1:Oglab06_unplaced261:11854:13046:1 gene:ORGLA06G0299300 transcript:ORGLA06G0299300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRPSRPTELTPPKSKLSIEAPRGPALSVPHSPGGADMDLADIAQSLAPIKTTRKADSSPPLVKGQKHERGKGKVGELAPEPKRGKAATSMPVSKAGKIVRAPAQFELGMPLVEDNVLAVMGIACRELHKQYMELSNAKRKMRESSIVGHHDHQPFLSSPAYITIGFDDLFDLFRIRKLDTSLLKCYSLRHWILLVIVPKWSRVTYLNYNKSKDYDFTEITKAINMAWGPYVEKGGRHKEGKDELYHDTKFARAQQIGDQCGFHVCHNMSTLLREVKDFDLDVVAN >ORGLA06G0299200.1 pep scaffold:AGI1.1:Oglab06_unplaced261:4153:4596:1 gene:ORGLA06G0299200 transcript:ORGLA06G0299200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNLTRLKKDYFPLPFIDEMLERLANHSFFCFLDGYSGYHQIPIHPEDQSKITFTCPYGTYAYRRMSFGPCNAPASFQRCMMSIFSDMIEDIMEVFMDDFSVYEKTFGHCLQNLDKVLQRCQEKDLVLNWEKCHFMVHEGIVLGHRVSE >ORGLA06G0299100.1 pep scaffold:AGI1.1:ADWL01013268.1:5106:5949:1 gene:ORGLA06G0299100 transcript:ORGLA06G0299100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSAVLIGPIAAAIIFLGNSAVIIGLWPAHFIWTYYCVLKAERIGLVLKILAAMLLPLPLLLLPVVAISGSLLGGIGYGVFIPLMATFEAVGEGVADKLTHCFLDGTVSTTAGACTVVHDVTDFCF >ORGLA06G0299000.1 pep scaffold:AGI1.1:ADWL01013268.1:1035:4157:1 gene:ORGLA06G0299000 transcript:ORGLA06G0299000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSPARSAPHLRSLLRARGFSSSASPSAATAAEGDDGKIVASVLFERLPVVIPKIHPVVYAFQEFSFRWRQQYRRKYPDDVLGKADARGKGDYQIDYVPAPRITEADKTNDRKSLQRALDNRLYLLLYGKAYGAPDDKPVWHFPEKVYDNEDTLRLCAESALKSVIGGLNNTYFVGNAPMAHMVVDQKEDSSVSSFKRFFFKSQVVGATKYDIGKCQDHVWVTKDELLEYFPEHKAFFNKMIIHIR >ORGLA06G0298900.1 pep scaffold:AGI1.1:ADWL01013267.1:678:971:-1 gene:ORGLA06G0298900 transcript:ORGLA06G0298900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQKVVALSSYEAEYIAATTIACQGVWLALLLAELRGEEGSAVTLKVDNQSAILLSKNLCFTIAANILTLGIISFGSVSRKVGRRSNTSILRSSLQTF >ORGLA06G0298800.1 pep scaffold:AGI1.1:ADWL01013264.1:20830:25941:-1 gene:ORGLA06G0298800 transcript:ORGLA06G0298800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGVSNMQPHRGSESIAAADGGHPQSEIVESNSLPTPEPRDDVQMADETADIESQQDSKMVEIKDQDSGNSSSRLASFNSHEARIRIDFEFLWRLRKYLLLLAVLAVSVTYNAGLSPPGGFWADDSPVHHAGDPLLPSKFFQRYEAFFYCNATAFAASLVLIILLLSRGVANQHLWLRAMQVTMILDLFSLMAAYAAGSCRALKSSAYILVLVLSVFLYVGIHILVFIRVVPKGLKEGVQTFMHKTVQKLQRMLKRVLTICHPPKKQRSNQNEKEEIEEARKFILMLSTFAATITYQAGMSPPGGFWAENNHGYRPATFVLRRHNLRRFNIFTCSNATSFVASLVTIILLLSTELSRHGIRTQALFVCVIAELFGLIFAYAAGSCRDVATSLSVIFIIVVVLICALILVMFFQSRTVTIWIDNALRPRFDHFLEMLSWPRENRLSDGNREGPLSSSRQDTDHGNLGDQSTEDVKSAPINDLESIKDSIPNMANQLHDQKDNLAIATVHSSSADVPSTKGPLPEQVLSEPISALGDRTVSADVPDTEHNIAKRQRDREEQTQELSGHHDSSEADGEVRKSEDGIVSNNDGTRDKGRISGDSEKNPDDVRLKKSRTYLLLLAILAVSLTYQAGINPPGGFWTSNTPNHSPGDPILEDNYHKRYLAFFYFNAIAFLASLVMLIMLLNRKMSNKVIKRRALQTAMITDLLALLGAFVVGSCREKTKSIYISVVIFFVVVAYTFLHVLASKYAVPEQWKQLFKRRQDVLQEHHVDNDAKDAHEKDLERRRNLLFILAILTATVTYQAGLNPPGGIWPDGSGKPGNPVLQDSHPKRYDVFYYSNALSFVSSVAVIILLVNRESCEHGIKSYALRICLIAGLLGLPIAYSAGSFRKVKSIGYLIIITAAVLICLLIQVLVLSSTNDALEPPARSGRWLQKFFGLADSQKSLASPGQSKNESDKSDPLINEKKEKRHKYLMLLAILAASIAYQAGLNPPGGFWSEDSRDGYKAGNPLLKDIHSRRYMVFYVSNSISFMASIAVIMLLLSKSVRKNKVPLQALFLIMILDLLALMTAYAAGSCRKIRKVKENDCSCVDFLHFSRTLSSSAIPNARGSLRVAKRKGTWDGIDAHNSHQDIAKYCEWSCSQRMLP >ORGLA06G0298700.1 pep scaffold:AGI1.1:ADWL01013264.1:8692:10949:-1 gene:ORGLA06G0298700 transcript:ORGLA06G0298700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAMPRAPPAAPNIQSLKLCSQNDSSLETTSPSKRSALVPGRSAESSKPNSEVVQKEQKSTQHQNESIDLTGSNDPAEVKAEGNLVPKRLADEEKGVVEDGIANGSLKSSSALGKEHGIASASGSARLVGRSETGERGFSSSRCRPSTSSDVSDESACSSICSVTKPHKANDSRWEAIQMIRTRDGILGLSHFKLLKKLGCGDIGSVYLSELNGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPMLYTHFETDKFSCLVMEFCPGGDLHTLRQRQRGKYFPEQAVKFYVAEILLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIRSSNPDAEALRKNNQAYCVQPACVEPSCMIQPSCATPTTCFGPRFFSKSKKDRKPKPEVVNQVSPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLGCKRGATEIKQHPFFEGVNWALIRCASPPEVPRPVEIERPPKQPVSTSESAAAPSDAAQKSSDSYLEFDFF >ORGLA06G0298600.1 pep scaffold:AGI1.1:ADWL01013264.1:3297:7622:1 gene:ORGLA06G0298600 transcript:ORGLA06G0298600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESREEGGGGGVVEDGDEAGGRPGKDGGSGGAGRGRDGNNGISTWEIEEMEDEAGPASLAPPAAAADVYVAVGKGGSSMEALSWALRRLASPRSFVYLVHVFPVVISIPTGLGMMPKSQANPEQVETYMNQERSKRRVMLQKYLDHCRNFQVNVDVYLIESDHVADAILELIPVFHVQQLVLGVSKSNLRKFKRGNTIAGQVQKNAPLYCEVKIICDGKEVTTVPTADPTPPISPSPVNNKSNSISPTPLSPAPDHNNSAVADDDEKETNPNERNKITKYLKCFSF >ORGLA06G0298500.1 pep scaffold:AGI1.1:ADWL01013263.1:9366:10993:-1 gene:ORGLA06G0298500 transcript:ORGLA06G0298500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVALLLLCVFVSVVGVVRAFAAQGIDALPTMAYEPAGAAKKDSVGGACTVRGVSHREEEDEKIKERTYSQRQRLTGAVADLLDSSRESIHNMQLPCCFTSFVFSLVCKAASSSPNSQLQLPTVKLQTLSRTPYDSGD >ORGLA06G0298400.1 pep scaffold:AGI1.1:ADWL01013263.1:2600:5844:-1 gene:ORGLA06G0298400 transcript:ORGLA06G0298400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITAAAAAPLPSPLRSGRGRRGAARRAGRYPDCENHRFSQSLCCRRSSLPSYYSIVLAVNLNNFAVVPARSFEPEAACSTSSASSDPNRASVPLVHRHGPCAPSAASGGKPSLAERLRRDRARANYIVTKATGGRTAATALSDSAGGGTSIPTFLGDSVDSLEYVVTLGIGTPAVQQTVLIDTGSDLSWVQCKPCGAGECYAQKDPLFDPSSSSSYASVPCDSDACRKLAAGAYGHGCTGVSGGAAALCEYGIEYGNRATTTGVYSTETLTLKPGVLVADFGFGCGDHQHGPYEKFDGLLGLGGAPESLVSQTSSQFGGPFSYCLPPTSGGAGFLTLGAPPNSSSSTAASGFSFTPMRRLPSVPTFYIVTLTGISVGGAPLAIPPSAFSSGMVIDSGTVITGLPATAYAALRSAFRSAMSEYRLLPPSNGGVLDTCYDFTGHANVTVPTISLTFSGGATIDLAAPAGVLVDGCLAFAGAGTDNAIGIIGNVNQRTFEVLYDSGKGTVGFRAGAC >ORGLA06G0298300.1 pep scaffold:AGI1.1:ADWL01013262.1:15794:16116:-1 gene:ORGLA06G0298300 transcript:ORGLA06G0298300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKAKAEDAASSAKAGVHKAKATAGEKVEKATTGDPMKKREAEERKEDRKLEAESDERVEKEGHADEKSGKHTFTTATG >ORGLA06G0298200.1 pep scaffold:AGI1.1:ADWL01013262.1:5902:9179:1 gene:ORGLA06G0298200 transcript:ORGLA06G0298200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVPIHMLMLLVATAFSWWGTRRKNITSLISPEWRGISKMPMEDVESVGSCSPCNSMIHNESFVHTEDQTQHFQGSPELKTSRGKMTMALLLVSYVLANFAFFGVAVGLVVFLRQVLHQENAEAANRVSMWMGTVYIFSLFCAFLSDSYMGRYITCIMFQFIFIVGLMLLSLLSWFLLVEPPGCGDGGGLRQCAAPSRRGVAVFYLSIYMAAFGNGGYQPSVATFGADQFDHADPGERRRKQAFFCLFYLSLNVGSLFSNFVLVFFEDRGRWVAGFWVSTAAAALALALFLLGTPRYRRVRPAGNPLTRIAQVFVAAYRKRHIVPPPGDHLHEVDGEGSAIRGVGKLAHSDQLRFLDKAATATEEDYHDGNAKNPWRLCTVTQVEEAKCVVSMVPIWICSIVYSVEFTQMSSLFVEQGAAMDTDILGLFNAPAASMSVFDVAGVLATLAFSHYVLVPAAARLTKNPRGVGELKRMGAGLVIALLGMVAAAVVEVHRRRRSGAGGRAMSVLWQAPQYAVMGASEVFVYVGQLEFFNVQSPEGVKSLGSSLCMASISLGNYASMVMVSAISGVASRRRTGGGTAGWILAELDRGHLDRFFITLAVLSAVDLVVFIVFARLFKGIEPEVEGISSSPQDDHIYIV >ORGLA06G0298100.1 pep scaffold:AGI1.1:ADWL01013259.1:2542:3069:-1 gene:ORGLA06G0298100 transcript:ORGLA06G0298100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASSGGYYSYYNGHQPAPYYYGYAQPARVAGGGGVGGSQRPSAHALLLVATLLLVAVTTLYARCEEAVESLLDQLRVLLILSPLLLIVAVQVWAASAAAAADRRGAGGGLMYLLAQLMGMGDGGGSPYGRWHGGGGGASSSPWGVALVLVLVLFLVSYQSSFQSWWFPLLSRR >ORGLA06G0298000.1 pep scaffold:AGI1.1:ADWL01013258.1:7612:9910:1 gene:ORGLA06G0298000 transcript:ORGLA06G0298000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVTERLPEGSSEPLLPTKREGGGGGVGEFAGASFAGAVFNLSTTIVGAGIMALPATMKVLGLAPGLVAIVLAALLTDASIELLVRSSRAAGAPSYGAVMGDAFGWWGRRLLQVCVVVNNIGVMIVYMIIIGDVLSGTSSGGEHHYGVLEGWFGPQWWNGRFFVLLVTTLVVFTPLACLKRVDSLSYTSAISVALAVVFVIITAGIAIVKLIKGQIPMPKLFPDVPDLASVWELFTAVPVLVTAYVCHYNVHPIHNELKDPSQIKPIVHISLVLCSTVYITTSFFGYLLFGESTLSDVLANFDSNLGIPYSPMLNDAVRVSYAVHLMLVFPMIFHALRLNLDGLLFSSSSPLSSDNRRFSVMTAVLLLVIFLSANFIPSIWDAFQFTGATAAVCIAFIFPAAITLRDPHSIAKKWDKILSIFMIVLAIVSNVVAVYSDAYSMFHRKSSPSIA >ORGLA06G0297900.1 pep scaffold:AGI1.1:ADWL01013257.1:7550:7828:-1 gene:ORGLA06G0297900 transcript:ORGLA06G0297900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDMPIRLYYGDAPIQICDSGVDLTVYAFHNTSLNAPEHMGLSDLLGWLYNMFGVDLVLDKFVINVVWPVRGHHGWQWRCQLGVGGSLLVK >ORGLA06G0297800.1 pep scaffold:AGI1.1:ADWL01013256.1:6861:12788:1 gene:ORGLA06G0297800 transcript:ORGLA06G0297800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPVQYCLHAESATNLSTHLIGLVNEKSRYFIVAHGFVDRIGILDWSVFGEGEESIQSMAETVLSMARSLVGSAISKATSAAAHEASLLLGVQKDIWYIKDELKTMQAFLRAAEVMKKKDELLKVWAEQIRDLSYDIEDCLDEFKVHIESQNLFYQMVKLRKRHLIATQIRNLKSRVEEVSSRNSRYNLVKPISSSNEDDMDCYAEDIRNQSTSNVDETELVGFSDSKIRIELLKDMIRQFLGSNSLDQVLQELQGKMVVQIPHLSDYLRKKLKEKRYFVVLDDLWSLDAWNWINDIAFPKNNNKGSRIVVTTRDVGLAEKCTTTSLVYHLEHLQMNDAITLLLRKTNRTHEDMGTNKNMQKIVEQIVNKCGRLPLAILTIGAVLATKQVLEWEKFYKQLPSELESNPSLQALRRMVTLGYNHLPSHLKSCFLYLSIFPEDFEIKRSRLVDRWIAEGFVRAKVGMTTKDVGDSYFNELINRSMIQRSRVGIEGKIKSCRVHDIMRDITVSISREENFVFLPVHDGSNLAQENTRHIALHGSMSCKTGLDWSIIRSLAIFGDRPNNLAHTICSNKFRMLRVLDLEDVKFLITQKDFNNIALLRHLKYLSFGRIFSSCIYTLPRSIGKLHGLQTLNMSSTYIATLPTEISKLQCLRTLRCTRVSNNNNFSINHPVKCLTNTMCLPNIHTFS >ORGLA06G0297700.1 pep scaffold:AGI1.1:ADWL01013255.1:3095:5541:-1 gene:ORGLA06G0297700 transcript:ORGLA06G0297700.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDWSFLKRLLSLQLKQVLAEYSEGHVVSQEDGQLQNSFSGETYSELVIRLNDALLRFEEGPPFTLQRLCEILLDPKGTYTKLPKLALALEKNLLVTSTMTKCTDPYPAAHVSNLEATVMTENTSAVEVEPERLPEHPAAVPNGNVGGDADAEMADAEVEEPSNSHDVEMQEDKPDQISNVNPGATSDAAVTAETVDASEKSSDPQT >ORGLA06G0297600.1 pep scaffold:AGI1.1:ADWL01013254.1:8150:14516:-1 gene:ORGLA06G0297600 transcript:ORGLA06G0297600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMPPPPPRNPNPSSASMPPPPPPPKFSLAAAEVEPASKPESANPTSSMPPPPPPRPVEGASTSSSMPPPPLPRPTAPPQPEVEGAPGADVSAEAEVDEAGNSGRGSGDVEMAEAAAPPPSQQQQQPRPRAPYAIPEWSAAPGHPFFLEVLKDGTIVDKLDVSRKGAYMFGRIDLCDFVLEHPTISRFHAVLQFRNDGEVFLYDLGSTHGSFINKTQVKKKIYVEIHVGDVIRFGQSSRLYIFQGPSELMPPEKDMQKLRDARVQQDMLDREASLLRAKNQAALAEGISWGMSEDAIEDSAEDEADEITWQTYKGQLTDRQEKTRSKIIKRLEKITNMKKEIDAIRAKDISQGGLTQGQQTQIARNEQRTSQLMEELENLEETLNDSIRESLGARTGNSNRGSHKANLEEEDNILSDEDDFYDRTKKKSSSHKSSEQQVETADSLLDKKDTITSDIESKKKLVEEEKNKLAKSENADVGDDLDAYMSGLSSQLVHDKIAQIQKELSDLQTELGRVVYLLKIADPMGEAARKRDLKPRETKSPASNDSLRPESRKQNKVAQNKASTEEKLKESCAEKTQVDKPAEEEKGISTNQENGSKPAFSIPKPQWLGDKRTVEPEENCIKEESANEEETDNFVDYKDRKTILSGSASGKDLEEAAPGLILRKRKSDQSAANEVESSSVESEASAADAVALLLKHKRGLQTSEDMEDENEPQASKGKSKKSKQKRVLGPARPDFLDAGPDHETWVPPEGQTGDGRTSLNDRLGY >ORGLA06G0297500.1 pep scaffold:AGI1.1:ADWL01013253.1:1927:3127:1 gene:ORGLA06G0297500 transcript:ORGLA06G0297500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGQKVRPPRNSQPSNCPQTQHVSTSNSKKVRGKNKSKGLERLIKKAGHPLNLNISVERRPIGENHELLSREIGIVTRYHAPIKHIGWNNFSEADKEPLYELLKMKFNLDLSKPHVKGCLELLFSSSYKTFRHRCYTHYLKSGGGDSARNNPYEPLRDRPGDWTWLCDHFETEEFQKKSVIGKANRMKLAYVHKKGTKPFVALQHELSCDQISLYKECYCSDKGWASRDARQNYETMLQMQHENEQEGAIQLTEQQICEKVLGKAYGYI >ORGLA06G0297400.1 pep scaffold:AGI1.1:ADWL01013252.1:2597:5675:-1 gene:ORGLA06G0297400 transcript:ORGLA06G0297400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPPGNVAEEQPWEYTLRKYLLLLATLVATVAYGAGFSPPGGVWSDAKDGVHLAGDPVIRDHYNGRYLLYFYCNATAFVSSLVVIVLILLFAVLHEKRNVRVTVMPLRAVMVLDLVSLMGAYAAGTCRDRTTTTFTVVLVSLVVVYVALQVVLASLPAGEHDGDEHVVKEKSRKVLLLLATFATSLTYVAGLSTPGGFWSDTANGHRAGDAVMGDQHPARLTAFLLCNTTAFVASLLVIVLLLDRKLRDGTVRAWELYGCVLVSLAGLVGAYAAGSSRAAHTTAYVVALIGAILAYIAIHLAVVACAARALSNTGMSEKLAGMYSSVKERRYHLRQPARELAQANDDREKLLNEALEKARSLVLLLATLAATITYQAVLDPPGGYWQDDKDGHKPGDPILLTINARRYKTFFYFNSTAFVASLLAIILVQSKSLLKRHALEAAMILDLFGLMGAYAAGSCRDASTSINVMAIAGAVLVYVVIHIVFFTLDHNDGSTLGEDNALLEKRRKRLLLFAILCATITYQAGLTPPSGCWQDNDEKHGYKAGHPVLFSNHPRRYKAFFYCNTTSFMSSIALIILLINPNLYRPAIHSYALSVCMVAGMFGLMGAYAAGSSQHMRTSIYIFVLLFIFLVLLLVAFVVHRKSQGKQNKTTNEAEVPDTNDIKRKQYTKRKNLMLLGILAASVTYQAGLHPPGGMWQSNDSAGHAAGDPVLHDMQRLRYRAFFYSNSTSFMASIIVIILLLPESLKLNVNEWLLKAMNTTVVLDMIGLLVAYGTGSSRDWDTSGYVIAMAIFVLGYIAIHAMLSKLSQVANHRVASKDPESQVLGNGLHQVGGICVGLHPSINAVQ >ORGLA06G0297300.1 pep scaffold:AGI1.1:ADWL01013251.1:3166:3360:1 gene:ORGLA06G0297300 transcript:ORGLA06G0297300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGSARWWWGRCTSTTSGCRRRWRMRRHGSPRRSPARWGRRGRRGDPTTRTPPTPRSSGSPSSTC >ORGLA06G0297200.1 pep scaffold:AGI1.1:ADWL01013248.1:313:1911:1 gene:ORGLA06G0297200 transcript:ORGLA06G0297200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGDTSDGGVTGREKLNIIKEQYNAVLANLMLVKDESGKVQENYETLLIERDISIGKAQLAVSMSEGAVRKVEELTVELNRLKVELELAHSTCHDAEKHSKDTSLACDEDSLKWKSDLRQAEEELNQLAKKISSIEELKSTLDTSTGLLLKLKNELAGYVEAKPIDKEAQGNITQRSLHNEVILSTRELEECLMSVDKVRDEVCALNVAAASLKAELIKEKTALATMKQMEATSSIAAASLRVEIQLALRELEAVQAKEKESRNGMLGLQKIMEDTAKEADESKSIAREAQEKLRKAKEDMDHAKSCLDTMEFRIQAVLKEMEATKESMRLAIDALRPFDSELPVDIEEQGSQIVTVDLDEYQSLIAKSSKAEELVHERTASAIAQAKIAKESESRILSTLSETHKVLEQRKQALVAATERADRATEGKLAMEQELRKWREENEQRRKAGEASKSQLNPSSTPVIIVERSSDTKSTSKDDSYASVHPLLDMSARSTPNDSALLSNKKKRKKLSFFPRITMFFTRKKSRAAI >ORGLA06G0297100.1 pep scaffold:AGI1.1:ADWL01013247.1:210:591:1 gene:ORGLA06G0297100 transcript:ORGLA06G0297100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEAPAPSPSPTAAANSRPRRVLEELSWDDSFVRELPGDPRSDAIPREVLHACYTKVSPSAPVDNPKLVAWSQSVADILDLDHKE >ORGLA06G0297000.1 pep scaffold:AGI1.1:ADWL01013246.1:29895:31853:-1 gene:ORGLA06G0297000 transcript:ORGLA06G0297000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSLHLLTASRGISSTPHLASLGWFDKIKSTFTGKKPDEATDPSANFTLLQFADSMEKARKLGTFKNFVMGRCSEATVVNAFEKHSAVLRYLGTIDPTGEKLKNSDKIGATKHCNCTIADVEHILAKYTWAKEAQKKIVKLKEEGKPLPKNFNEVKNLMGSTPLDVGRSNLEKSGQISRNAMCPCGSKKRYKKCCGAS >ORGLA06G0296900.1 pep scaffold:AGI1.1:ADWL01013246.1:20622:28678:1 gene:ORGLA06G0296900 transcript:ORGLA06G0296900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKERRLAAKAAAGGRRVKLDLFLDPSPGGTPSKEGERGENHDQQTGVPTSPSSSDKKENPLALLGQYSDDEEEEEAADQPNDETEANPADAGDKITHERGDLTRNEGDAQSDLAGSANVQQELTEADDKKCTGNIAEENVVAIKPTLEDGTATATEAIPDSSGMQIVGDIGGNWKTIMHEQSNQCYYWNTVTGETSWEIPNVLASEIAADSVTSASAPTHVDYSMEAQAHALTHNAMEAYPSDISVLNGSVAYATLGMGQPTHDAYAYAGAVTSHESMDIDPLQLARYGEELLQRLKPLERLHGSIYNVELLKREIEIRISDCNALSSYGSSLLPLWLHAEVHLKQLEFSVSKLETSYSITEPRHPEKADTEHKTPNEAEVMMPPSNGEGLKSEVSTDVMMDGNVKNEEPFLTSSIQKSEENDTTTVPSKIESDNDEDMDVDMEVDDDNVEEHKHSNSTPIKEYPPSEQVQSPALLSLDGSAAPLEDSDIPPPPPEDEWIPPPPPENEPAPPPPPEEPAVSSVSTETIPQSYVDQANLVYTVPGMEYYAAAGTEGTHASYYMQTSEPHVVQAHQNGYYAPVSASGISIPVDATSIAPVPVSYYSYPSVTMAATGEAAEPSGYYTASVSATSSSVLDNTTSSSNLAPANSSLHSRESDNIISKEAKLASLSQPVGATSASASIQGSSAQASTSTTSQSKVVRSKKRAVSVATSLRSNKKVSSLVDKWKAAKEELRDEEDEEPESALDALERKRQKDIDEWRKQQIASGEAQENANFVPLGGDWRDRVKRRRAEAKKEANSETIPAPVSVTDLHKGQPDLAELCKGLPSGWQNILHLINLFTRDVITVEVQLHKIWLVSSMGKAPSSNLWSIFVAYLDESTKQVYYGNSLTSETTWDRPTK >ORGLA06G0296800.1 pep scaffold:AGI1.1:ADWL01013246.1:19474:19965:1 gene:ORGLA06G0296800 transcript:ORGLA06G0296800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQCQGYWSLALATLHDGTAAAVDALVEAFLEEKERGNGVVEEGGGHVQLVRTLVVRAKTRAGRSVYEVAVRMGGCKVDKYMYMVMVMGMERLGFEADFREWKAKILPLAREMLDEMREREEQHNNGLTMNLIII >ORGLA06G0296700.1 pep scaffold:AGI1.1:ADWL01013246.1:5575:9175:-1 gene:ORGLA06G0296700 transcript:ORGLA06G0296700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCASQPAMVRCLVENASLCQNCDWNGHSAGSSAAGHKRQTINCYSGCPSSSELSKIWTFVSDIPNVAPEPNCEQGISMMSISDSGVSNQDNAAGDSSLLDIASATLMSDLGTAGKPKSLIGSSSEAGVNLLPLATDQMAGSVDSTSAKVPYTADQDMFSKDSIYEDFCVDDVDLSFENYEELFGTSHIQTEQLFDDAGIDSYFESKEIPSGNSDEQPKLMQPVTSNAVSADSRMSIPGAKGDSSLCIPVRQARSSISLSFSGLTGESSAGDYQDCGVSPVLLMGEPPWHPPGPEGSFAGATRDDAITRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLCETRSY >ORGLA06G0296600.1 pep scaffold:AGI1.1:ADWL01013246.1:3728:4169:-1 gene:ORGLA06G0296600 transcript:ORGLA06G0296600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CERATTLLLPHGSGRHERREKGRGGDRQRDLGSSPPSTAARAPSPSTAIIRYRRRHSGSSPPFGLVAATRACRRHPLSLGLRPHSSQPLPAVAAAGSSRAGSTGREKSISNVGPTNGKAIWAPKLAIEGGVWPVIWLSPSSREAVGV >ORGLA06G0296500.1 pep scaffold:AGI1.1:ADWL01013245.1:32549:32884:1 gene:ORGLA06G0296500 transcript:ORGLA06G0296500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFFIFLFFFIFLVFLPLLPTPPWHSGVVCRTTTPCRVPSVHGGSCVGGSGFACDRRLAVSARCDVRGRCGAVKNEHGLANNSEKSQNGFHRRLQVGVADFSGKSKNG >ORGLA06G0296400.1 pep scaffold:AGI1.1:ADWL01013245.1:24007:26101:1 gene:ORGLA06G0296400 transcript:ORGLA06G0296400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIASSIFLCLLLLLPHLGDSYHTSRYTRGSTHFVVRRSDDLPRASAPPVSCSPIPSGASNGKKLPVLHRLNPCSPLNAGGKQSTTSSVDVSHLAGRRLRSLFAAVQSGDDAAPAPAPAASGGVAIPTTGTPEPGAPGFHDYTVVVGYGTPAQQLAMAFDTGLGISLARCAACRPGAPCDGLAFDPSRSSTFAPVPCGSPDCRSGCSSGSTPSCPLTSFPFLSGAVAQDVLTLTPSASVDDFTFGCVEGSRGELLGAAGLLDLSRDSRSVASRLAAGAGGTFSYCLPLSTTSSHGFLAIGEADVPHNRSARLTAVAPLVYDPAFPNHYVVDLAGVSLGGRDIPIPPHAATASAAMVLDTALPYTYMKPSLYAPFRDAFRRAMARYPRAPAMGDLDTCYNFTGVRHEVLIPLVHLTFRGISGGAGGEGQVLGLGADQMFYMSEPGNFFSVTCLAFAALPSDGDAEAPLAMVMGTLAQSSMEVVHDVPGGKIGFIPGSC >ORGLA06G0296300.1 pep scaffold:AGI1.1:ADWL01013245.1:15615:16904:1 gene:ORGLA06G0296300 transcript:ORGLA06G0296300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRRGGARSDENRGQPTADEVFDRDAVRLRSLFAVPRQLGGVEAGGGAPAPALAAAAGGGVTVTPMVAPISVAPGALEYRVLAGYGAPAQRFPVAFDTNFGVSVLRCKPCVGGAPCDVAFDPSRSSSFAAIPCGSPECAVECTGASCPFTIQFGNVTVANGTLVRDTLTLSPSATFAGFTFGCIEVGADADTFDGAVGLIDLSRSSHSLASRVISNGATTTTTAAFSYCLPSSSSTSSRGFLSIGASRPEYSGGDIKYAPMSSNPNHPNSYFVNLVGISVGGEDLPVPPAVFAAHGTLLEAATEFTFLAPAAYAALRDAFRNDMAPYPVAPPFRVLDTCYNLTGLSSVAVPTVALRFAGGTELELDVRQTMYFADPSSVFSSVACLAFAAAPLPAFPVSVIGTLAQRSTEVVYDVRGGRVGFIPGRC >ORGLA06G0296200.1 pep scaffold:AGI1.1:ADWL01013245.1:92:9219:1 gene:ORGLA06G0296200 transcript:ORGLA06G0296200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAEDEAIGPDVASAGLHVSERIGRDAAAQPDLEEALEASRYASHPYSSHPKEWPPLVEVAETRQLPPMLVERYNAAAGEGTALCGIFSEIHRAWATVDNSFFIWRFDKWDGQCQEHNADEQVICAVGLARAKPGVFVAAIQYLLVLATPVELILVGVCCSASGDGTDPYAELSLQPLPEYIISTDGVTMTCITCTDKGQIFLAGRDGHIYELQYTTGSGWRKRCRKVCLTTGLGSLLSRWVLPNAFKFSAVDPIVDMVIDEERNTIYARTEGMKMQLFDLGATGDGPLRKITEEKNLVDPRDAPYGSRRPNAQRAARSPKPSIVCIAPLSAMESKWLHAVAVLSDGKRLFLSTSGGSSSVGLNTGLQRPSCLKIVATRPSPPLGVGGGLTFGAVSAAGRAQPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFGTASRSSRALRETVSALPVEGRMLCASDVFPLPDAAFIMQSLYADVECFASFGKPSEKSSIKLWAKGDLPTQHILPRRRIVVFNTMGLMEVVFNRPVDILRKLFDGNTLRSQLEEFFSRFGAGEAAAMCLMLAAKLLYAEDSLISNAVSEKAAEAFEDPGLVGMPQIDGSTALSNTRTQAGGFSMGQVVQEAQPIFSGAYEGLCLCSSRLLYPIWELPIMVVQGLVGSNDRGDGVVVCRLSTGAMKVLESKIRSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKAGPIIGSGGHSSGKSPYSSRIRDADPTDQSASNKKQRLPYTSAELAAMEVRAIECLRRLLRRSGEALFLLQLICQHNVARLVQTLGNDLRKKLVQLTFHQLVCSEDGDQLAMRLISALMEYYIGPEGRGTVDEISTKLREGCPSYFNESDYKYYLAVECLERASMTNNHDEKDILARDAFNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQALDSNADVINGQIDARHHDTITAQREQCYKIVMNALRTLKGVGQSGTQGADKSSASVTALDPASRGKYIRQIIQLSVQWPDTVFHEHLYRTLIELGLENELLEYGGSDLVAFLQSAGRKHHEEVQGLSVVASKASQLTDLDAPISTSQTKYLELLARYYVLKGEHIAAARMLLILAERQCSSAEEAPTLDQRYQYLSSAAIQAKSAGITADSSRNPIDSSTIDLLEGKLAVLRFQMQIKQELEFMASQLENLSGSSESPNDPFPRDNILADAETARFAMDKAKELSLNLKSITQLYNDYAVPFNLWEVCLEMLNFANYSGDADSKIVREIWARLLDQALTRGGVAEACSVVRRVGSKLDPADGACLPLDIICLHLEKAALDRVSSGEELVGDEDVARALLGACKGLPEPVLAVYDQLLSNGAIVPSLNLKLRLLRSVLAILREWGMTVIAHKLGTTTAGASFFLDGTFSLNQTWSLNQGVRDKISSLANRYMAEVRRLSLPKNQTENVYRGFQELEEKLLSN >ORGLA06G0296100.1 pep scaffold:AGI1.1:ADWL01013244.1:6842:9813:1 gene:ORGLA06G0296100 transcript:ORGLA06G0296100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAADVGAAGAGRRARLAVYVLAVAFAAFTSYVAVSSSSPPPAGESASWFGGVYASTAPYRAQVSGFFSSIFPTGSSTPSPEQQPPPPRRGEGGGQVSSHGIDEHARVRSGAAHSVPVDPAASTKHSGSGGGGGAASNNGGGGSAPPPGNLAGSGTPPAKGSGGDGGGAPANNSTSGGAPGNSAVEQSSPAGDGGGSPSTASSSAGKSSSANTGEESVDKSNKQSGSGGEAPSNGDAVSDKKNSTAKADTEVAVKASSDNSTGTGSSAKGESNVGSNSSAGSGNGVASSVSSLLVRRREMLKIGGADTNKASGNVASTSNQTASTAMVGKKAGGSPSKNQTSVASTNSKNQNQTSAGVASGGSSGTTSKQEETTSQGSVGSSKDHPAQAINSKTSNYSEVLVKGNGSSTKQASQKQPDKKVDWIKEMASCDMFHGNWVRDESYPLYPEGSCPHIDEPFDCYLNGRPDRAYQKLRWQPSSCNIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVKDKRKVFEASGRHEFKTEGSYSFLFTDYNCSVEFFRSPFLVQEWEMKVSNGKKKETLRLDIVEQSSPKYKDADFLIFNTGHWWTHEKTSLGKDYYQEGNHVYSELNVVDAFHKALVTWSRWIDANVDPKKTTVLFRGYSASHFSGGQWNSGGSCDKETEPIRNEQYLSTYPPKMSILEDVIHKMKTPVVYLNITRMTDYRKDAHPSIYRKRNLTEDERRSPERYQDCSHWCLPGVPDSWNELLYAQLLIKQHQMLQQ >ORGLA06G0296000.1 pep scaffold:AGI1.1:ADWL01013243.1:21:2083:-1 gene:ORGLA06G0296000 transcript:ORGLA06G0296000.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVLLLRNGNSKEEPGAIVCRVAPSFLRFGSYQIHATRDKEDLEIVRHLADYTIRHHYPHLENIKKSEGLSFEAAIGDSPAIDLTSNKYAAWAVEVAERTAFLIARWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPSYTPNTTDLPGKRYCFANQPDVGLWNIAQFTSPLTAAELISKDEANYVMERYGTKFMDEYQSIMTRKLGLPKYNKQLIGKLLNNLAVDKVDYTNFFRLLSNVKADHNIPEKELLVPLKAALLDIGPERKEAWISWVQTYIEELVSSGVPDEERKAAMNSVNPKYVLRNYLCQTAIDAAEQGDYDEVRRLLKVMEHPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >ORGLA06G0295900.1 pep scaffold:AGI1.1:ADWL01013241.1:6925:7890:1 gene:ORGLA06G0295900 transcript:ORGLA06G0295900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLLLLGVVVASLLLVAQEVAAARELTEANEAKGKNMKPEVVHVPQDEKIAYHGDGYRHGGGYGGGYGSGYGGGNGGGYGGGYGGYGGGYGGGYGGGGGGGGYGGYGEYGGGGYEGYDGGYGGGGGGGGYGGGGYPSGGYYGGGGGGGWH >ORGLA06G0295800.1 pep scaffold:AGI1.1:ADWL01013239.1:24137:24575:-1 gene:ORGLA06G0295800 transcript:ORGLA06G0295800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDLGSSLVTLSMQYVLLGFSRVARTTVAAAASPTSGSLAPQATARGGLPPNWGAAAAHGRHERQRRVGGGDSGERVRSR >ORGLA06G0295700.1 pep scaffold:AGI1.1:ADWL01013239.1:17937:19358:1 gene:ORGLA06G0295700 transcript:ORGLA06G0295700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDITISISREENFVFLPRGTDYEAVQGNTRHIAFQGSKYCSKISFNWSIIRSLTMFAERPVELEHSVCSSQLRMLRVLDLRDAQFTITQNDVNNIVLLCHLKYLRIARYNNASYIYSLPKSIGRLDGRQTLDLDSTNISTLPTQITKLRSLRSLRCMKQYDFSSFTTCLTDTLCLPMIFTPSVSTSDRAEKIANLHLATKSFRSKSNGVKVPKGICRLRDLQILGVVDIRRTSSRVIKELGQLSKLRKLYVVTKGSTKLKCEILYTAIQKLYSLQSLHMDAVGCTGIGTLECLDSVSSPPPLLRTLRLNGSLEELPNWIERLTHLRKFYLLRTKLKEGKTMLILGALPNLMLLHFCHNAYLGEKLVFKTGAFPNLRTLVTFNLDQQRDIRFEDGSSPQLEKIEIGRCRLESGIIGIIHLPRLKEISVEYKGKVAMLAQLEGEVNAHPNRPVLRMAMDRSDHDLAGNAKGSPP >ORGLA06G0295600.1 pep scaffold:AGI1.1:ADWL01013238.1:13313:15975:1 gene:ORGLA06G0295600 transcript:ORGLA06G0295600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRWRWRSRARSSSGARRSSCSSPSPTPSADAVPRLSSFRPPATDAATPGSDSSYPTPTSIPPPPTSPPSTPTPVAVWRTVASVDNASPPSRLRSGTPTPLMPTAPLRLQIDAADAHHTHHQGYVFIKENKGKLEIRNSTVPISSRLYFECQQTYSCIMADITNKLLLPNYRRTIDDKLFQRKVPGGIMRGFFLMVLSSRRHFSYAGFEQQPKKFVSPKICLLNIEQELKYEKENAEIRLSDPLQCQ >ORGLA06G0295500.1 pep scaffold:AGI1.1:ADWL01013238.1:11846:12587:1 gene:ORGLA06G0295500 transcript:ORGLA06G0295500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVKYFSQQGQNQSKFLILPRWCAARWSPQQRLERRKSELIEEIDGRHNILSRFTNTARSSERAIPASMRPTKVERVLKQHRQPQSQETSTTTVLRWHHGRRQLKLQVDDQVNIFTREXGQLKQPSTICHSLRSCLDG >ORGLA06G0295400.1 pep scaffold:AGI1.1:ADWL01013237.1:4590:8678:1 gene:ORGLA06G0295400 transcript:ORGLA06G0295400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDTGTTPPATADQQPPKPPSWEYQLREYLLLLSSVVAIATYSAGLAPPGGVRQKDAGGGQYKAGDPTLQDIAAAGGGGAAHARYLAFYYCNATAFAASLVVNLLLLVLEEASTVGLAMLRTVMVLDVLALMAAYAAGSCRDLPSTVYVSTLVVALSAYLAIRIIYQTGRNPLSTTTTSPAGAGDDDVDNQLRKVLMLLATFATEITYTAGLGPPGGFQDDGGPTLRTAGGGQSARLAAFFYCNTAAFVASLSIVVPLLSSRLQRMHLELYPPILAALLGLMGAYTAGSSRDLRTIAYVVALVAAVLAYILLAMAIALKKKKKKHDVDLPGGIEDSETARPPRNEKDGQLEGEKGSKNNEPMKDNDFVLLLATLAASITYQAGLDPPGGVWSEDDKLYGRNAGDPILLSTHAKRYKAFFYCNSTAFAASLVVILMVQSKIVKGKALVIATMILDLFGLIGAYAAGSCRDVSTSIYVIALAGAVLVYVVIHVVFWPDNCYVSNQKDKEVEKRRERLLLLAILVATIAYQAGLTPPGGFWNKDDGESGHRAGVPVLLDNYPRRYHAFFYCNATAFMASVALIILLVNPKLYKLGIRCYTLYVCMMVGMFGLMGAYAAGSARKVRTSIYVFVLVGVVIAFLLVQLVYFNIQAVWKQLLVFLNVKKEPTSNSDSANTTNGSSSDSEQNIASNTEEESKKKEYLMTLAILAASVTYQAGLNPPGSVWQEGGNVGNPVMRDNNYPRYNAFFYCNSTSFMASIIVIILLLQQYQKKYGGFLLYAMNMVIVVDLLGLLGAYAAGSCRDWETSGYVIALAVVVLACIMIHFMLLYHNGRSKGRVGGVQEINTLPVNHS >ORGLA06G0295300.1 pep scaffold:AGI1.1:ADWL01013234.1:1616:5296:1 gene:ORGLA06G0295300 transcript:ORGLA06G0295300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLHLLPLLLLALLSPAGAASGEVHASAVVAEKGLDFAKGVLIGEAVRSLTPLRLPGVEKAVRVPFLGAIRVAATNITLFHLDVGDDSAIHPGDSALVVVASGVSANLSMAWSYYYDSWLFPIEISDRGTASILVQGMEVGITMQIKNCNGSLSLSVLQCGCNVKDLVISLDGGASWFYQGLINAFEDHIRAAVEKAIPENIIDGTSKLDSLLQSLPRSVNLDNISALNMTFVNDPQYGNSSIEFDINGLFSSATAKLSNSQKHPQLSLSCGGASKMLLLSLDEAVFNSALEVYFKAGSMHWVVDKIPDQSLLNTASWKFIIPRLYWSYPNDDMLLNISMASPPVMRIASEKIGATINADMIIDVLHDKETIPVACISVVVSASGVAEAAGNKLYGKVELENFSLALKWSKIGNFHMSLIQGVIRVFLNTVCMPYLNSRLGHGVILPVVHGFTLKDIYVLTSPEQLTLCSDVAFANASSLATLPILRSPRVL >ORGLA06G0295200.1 pep scaffold:AGI1.1:ADWL01013233.1:2491:2850:-1 gene:ORGLA06G0295200 transcript:ORGLA06G0295200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGFTANYKVWTYHGEFANPEDDDEELSFEMNEAENFIIEDMSRERMDVDVSTDSDDFDGGFDLEDMLRHVEPEVLAGRSRGLENWQALEKASKDLLXDETKGGDKDFTVLRSVLELLR >ORGLA06G0295100.1 pep scaffold:AGI1.1:ADWL01013231.1:485:1002:1 gene:ORGLA06G0295100 transcript:ORGLA06G0295100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42850) TAIR;Acc:AT5G42850] MTVEKVDATVADFDAHFDKLFAAGDDAEGKVKLLLFLADRDASSNQTWCPDCNVAEPVIYDRVEAAAKGKEKDVVLLRAYVGDKPTWRDPAHPWRADPRFRLTGVPTLIRWENGAAAARLGDDEAHLADKVDAVVNASN >ORGLA06G0295000.1 pep scaffold:AGI1.1:ADWL01013229.1:1823:2911:1 gene:ORGLA06G0295000 transcript:ORGLA06G0295000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHGHRRRVALPCAVRLRLCLLEAAIDATQRRDGAINRPLFSLYDRRAPADPRPDAAGVSSTDVTVDASRGLWARVFTPPAPEHEHSSSSSTTTPRPVIVYFHGGGFAMFSAASRPFDTHCRTLCAGVGAVVVSVDYRLAPEHRFPAAYDDGEAVLRYLATTGLRDEHGVPMDLSACFLAGDSAGGNIAHHVAQRWTTTTTTPATPPPPSDNPVHLAGVILLEPYFGGEERTKAERALEGVAPVVNIRRSDRWWRAFLPEGADRNHPAAHVTGDAGPEPELQEAFPPAMVVVGGLDPLQDWDRRYAGMLRQKGKAVRVVEFPEAIHAFYFFPEFAGDIRKLVGEIRAFVEESIMSKXSIA >ORGLA06G0294900.1 pep scaffold:AGI1.1:ADWL01013228.1:10020:23527:-1 gene:ORGLA06G0294900 transcript:ORGLA06G0294900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEQLKELGEKLEAAPPDPADDLAKLLEQAAECLHGVEQSPGPSVMETIQPCLKAVARDEFLKHHDEDVKVLLATCFCEITRITAPEAPYSDDVLRDMFHLIVDTFSGLNDVNGKSFGRRVAILETVARYRACVVMLDLECNDLIADMFRSFLEIISDNHEPNIVNSMQSVMALIIDESEDIEESLLNVLLSTLGRKKTGVSLPARKLARHVIEHSAGKLEPYIRKILTSSLDGDGTSTNNSIDHHEVIFDLYQCAPKVLKVVVPYITGELLADEVETRSKAVEILGELFSLPGIPILESFKSLFDEFLKRLTDRAVEIRVSVIEHLKKCLMSNHSRPEAQEIIKALCDRLLDYEENVRKQVVAAICDVACHSLGAVPVETIKQVAERVRDKSVSVKCYTMERLADIYKFYCQSGSDNSVNSDDFEWIPGKILRCLYDKDFRPESIESILCGSLFPPEYPTKERVKHWVTAVTHFDKVEMKALEQIFLQKQRLQQEMLKYMSLRQTSQEDTPDMKKKILGCFRSMSRLFNDHTKSEEYLNMLHQIKDANIWNIFTSLLDCSTTFNEAWSLRVDLLTKLGEKHALHDFVSTLSMRCSYLLVNKEYVKEILSEASDQKSTGNTKLMSSCMDLLTAVSSFFPSLLSGLEEDIIELLKEDNELLKEGIAHVLSKAGGNIREQLASSSSITLLLERLCLEGTRKQAKYSVHALAAITKDDGLMSLSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILDCNDDSGDVSAHKSEWSDSTQSCLLKIYGIKTLVKSCQPCKDAQAHPGIEKLMGILKNILTYGDISANMISSTIDKAHLRLAAAKAVLRLSRQWDHKVPVDVFYLTLRISQDDVPQVRKLFLSKVHQYIKERALDAKYACAFLLAMDDYHAPQYEEFKHNIIEVAQICQQVKMRQLSVQAETNVLTAYPEYMISYLVHALSHDPSCPNIEEHEDVEAFGPIYWRLHLLLSILLGEEGLQHSVPGMKKESFTTIVSIFKSIKYSQDVVDVNKTKTLHAICDLGILIGKKLCQEQINISEAQTVSLPSQLYAPVQKDQNENSVESDEQIWPGCENVLAHFEALMTAKSAEVESPKDKMLIDETDEFGNEVPLGKIVKILKSQGAKKAGRKQKTKSGSINMEKDDDVLGLVREINLDNQENLGESEKSKPKKKRMDAKESNDKPVDFSTPKRKRSVSKSRPHSTKGNKNSDELLLQSVDPDETINSFENKVEGAKKRDDSVDTELVTSPASVKTPVSKGKKGAKKPHAEILSSSPKKSDEAGSSKRTVDSGSLNGSIKRQKPKLVSGLAKCTTHDTGSADLIGKRIKVWWPLDKKFYEGVVESFDSSKRRHTVLYDDGDVEVLNLAKEKWEIVASDDPPVKARKKDHSGRNQGRAQDKSITSSKQTPPPEQEKSKKRPSPPKRKGKPKGLPKNKRRKIGGKSSVDAAGDANIDSDSSSSLAHSDSDNDKKSDGRNEKVVVAKKAKAEKVSGKGDEPKEEEPDDHNLNSKEESDNETLSVWKKRTAKAT >ORGLA06G0294800.1 pep scaffold:AGI1.1:ADWL01013228.1:6523:8492:1 gene:ORGLA06G0294800 transcript:ORGLA06G0294800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRLRLAAAGENPIPQSKSGGEGGTERKPEEARRREVTDLGGGSEVVHVPRFVAREAAWGWFDYLDKRIPWTRPTIRVFGRSAVQPRDTCYVADEGLTDLRYSGHQPHAHSWDEFPVLKDILKAVHEALPGSHFNSLLLNRYKTGSDYVSWHADDEPLYGPTPEIASVTLGCEREFLLRKKPTKSQASLGSGEVAPKRLKVSAPQQHSFLLKHGSLLVMRGYTQRDWQHSVPKRAKASSPRINLTFRRVL >ORGLA06G0294700.1 pep scaffold:AGI1.1:ADWL01013228.1:116:882:1 gene:ORGLA06G0294700 transcript:ORGLA06G0294700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALLFPATVIAAVCVVVLAGGASAAPPGRVFVVGGDGPRGWSQPTGTDETYNHWASRNRFHIGDFLDFKYAKNDSVLVVSRADYKLCSADKPVQRFDDGADVRFRLDRNGNFYFISGAPGHCKAGQRMTVRVMADHAAKGAAGGDSPAGAPSPDGDGDDEDDSGGSYRTPGYGYSSGSPPTPPHGNTSAAAAVSPSRGGGGGGGYHRVAGVAAAALLIFA >ORGLA06G0294600.1 pep scaffold:AGI1.1:ADWL01013227.1:1066:1731:1 gene:ORGLA06G0294600 transcript:ORGLA06G0294600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYCQRQSPSSGGRAVPVGDAAPGELAAPAAINLVPNGKRGMPVLITPSLPQQQGGASAAAPFHGIIVLKEENEDPVALRNKWFREMRGWLMVVATVAASASYQAGLNPPGGFWQDDKPGPGGHSAGNPVLRHTSPARYKTFYYFNATTFVTSLVITVLLMSERFYRSETKVVALMIATFLDLASLVGAYIAGSTRFTSSCIYVIVITGFAFACVIAMGE >ORGLA06G0294500.1 pep scaffold:AGI1.1:ADWL01013226.1:62570:64795:1 gene:ORGLA06G0294500 transcript:ORGLA06G0294500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIMETKPVLCKAIEGVFASLSSPAPAKIVIADLGCSSGPNTLLVVSGVIGMISTSGYPKMMELQFFLNDLPGNDFNYVFRSLQQLADRKEGLLEPPYYIAGLPGSFYTRLFPCQSVHLFHSSYALMWRSKVPEELSSGVHLNKGNIYIGKSTPSHVVKLFQKKFKEDFSLFLTLRQEELVSGGRMVLTFLGRKSSQMLAHGDVGTMWELLAQALQILVQKGHVNEEDLTTFNLPYYAPSVDEVTELIEESGLFDVEHTGVFESSWDPHDDSKSNGDAVADCARSADSIANCSIRAVIKPLITDHFGESIVDELFQVYVPLVAKHLEKGRAMYPVIVVSLKGRL >ORGLA06G0294400.1 pep scaffold:AGI1.1:ADWL01013226.1:45744:46208:1 gene:ORGLA06G0294400 transcript:ORGLA06G0294400.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFTETMRSEFELSMMGELTYFLGLQIKQMKQGTFMHQTKYTKDLLRRFKMADCKPISTPMGSTAVLDPDEDGEVVDQKEYRSMIGSLLYLTASRPDIQFAVCLCACFQASPCASHHQAVKRIMRYLQHTLEFGIWYSTSSSICLSGYSDADFGGC >ORGLA06G0294300.1 pep scaffold:AGI1.1:ADWL01013226.1:17164:20680:1 gene:ORGLA06G0294300 transcript:ORGLA06G0294300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQERRLYSLLGLLLLLAVVYLTWFPTTHDGVGGGWVKLPVPWLQPRMPFAARRGTHFVDADTGSPLYVNGWNSYWLLPARSTALAAEMLRRGRRMGLSVCRTWAFSDGGPGALQISPGRFSEAVFQVLDYVIYEARRNHIRLILCLVNNLDNLGGKAQYVQWAQAAGANVTNSTDSFYSHPTIKRYYKDYVKAILTRRNSYSGIRYSDEPAIFAWELMNEPRCVSNSSGPYLQAWIAEMAAYVKSLDTNHLVTVGTEGFYGPGIAERLGVNPGEWAASLCSDFIQNSAVEHIDFASVHAYPDSWLPRANLEEKVRYLSNWVDSHLNDSEQILKKPVLFTEVGYLQHSDANSNSTVDRDIILRIVYDKIYDSARKLQAGSGALIWQLMVEGTHMYGDNFSVVARDRPSTYSLITNQSCRLQRLYGEGDPGWQCSIPP >ORGLA06G0294200.1 pep scaffold:AGI1.1:ADWL01013226.1:3417:6111:1 gene:ORGLA06G0294200 transcript:ORGLA06G0294200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:I1Q729] MAKFSLDDADDDPPVATARDKRKRDDGPADAEDEPPRARGSAVAGREPAAPGAEAEAAGRCGPAEEMADGEVEGGLSVQIDPDVLDCSICFESLRPPLYQCQNGHVACFSCWSKLSNKCHICSRDAKFARNIALEKIVESIKSSCSYAKWGCCKFINYAQRDAHEEACLFAPSMCPISNCGYRGFTGRWSGHFLTSHSSDVMRFNYSQPFEVNIEVSVPFLVFLGEDDRLFLLLNNNLTPFGHAFSVVCLRSGNLNWMFSYQIEATSRKKPENRLQLKASVTNTRQWTGIYPSEAFLLVPFDFCHSSNIVLNISIERHAVV >ORGLA06G0294100.1 pep scaffold:AGI1.1:ADWL01013226.1:16:210:1 gene:ORGLA06G0294100 transcript:ORGLA06G0294100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CMQLPRIECRTSFDDTCITNIQRDLCHFIHHECCHVKGDFFDPEGALATSDKFRQLREWNTVMP >ORGLA06G0294000.1 pep scaffold:AGI1.1:ADWL01013225.1:2134:2583:-1 gene:ORGLA06G0294000 transcript:ORGLA06G0294000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQIASSVRLRASFIHWRRSGALFRWCWWGYSVSAASAVTASALLASPVPRFQNPNLQAPAAHYTSTPLTSCYTLPAACNGTTSPVLSAPPGGRTSLPCAAISSLRALSRRETWTPYLLAVATNSSIGNSAPAIYAFVALPAGLRCGA >ORGLA06G0293900.1 pep scaffold:AGI1.1:ADWL01013224.1:1528:1839:-1 gene:ORGLA06G0293900 transcript:ORGLA06G0293900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMAEAAASMSPELAAALAKVAVFAVVQALVYLILRKSSGVFSPDRTAAAGSRSLSFRPMRSMSVRRFLAALSDVPVGVTEDGGSPAPAPAPPHRGPADLAE >ORGLA06G0293800.1 pep scaffold:AGI1.1:ADWL01013223.1:2379:5724:-1 gene:ORGLA06G0293800 transcript:ORGLA06G0293800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAMVGGKLAGVGGEKLRCAAAPAAAARSRMKLWMVRATTTVLLWTCVVQLTAVGDTWGPRVLKGWPSCITSPDDDAASATLAAARPEPVVDKAVLPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVEHGMYHSMPPISWSDISYYHNQILPLIRKYKVLHLNRTDARLANNGLPMEIQKLRCRVNYASLRFTSQIEELGKRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCSNEEADDLTRMRYAYPWWKEKIINSELKRKDGLCPLTPEETALVLRALDIDRSMQIYIAAGEIYGGKRRMSTLTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYMGFKKTILLDRKLIVELVDQYNNSSLRWDEFSLMLKAAHANRMGSASKRTVILDRPKEEDYFYANPQECLQDSNLLHTS >ORGLA06G0293700.1 pep scaffold:AGI1.1:ADWL01013222.1:2890:3186:-1 gene:ORGLA06G0293700 transcript:ORGLA06G0293700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKERRLRAEGWMGHTEMIDRRRQRLHSGERERKLCVRKRMGSSDFDRGARFGGVDDGRLEEGTKRCEEMVGAIWDVGFERDNPDQLMRNEDVNISW >ORGLA06G0293600.1 pep scaffold:AGI1.1:ADWL01013222.1:15:1315:1 gene:ORGLA06G0293600 transcript:ORGLA06G0293600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVELNLQIVVIIKNQRVYHLSTSKKEIKHRHHKASTGLDVMLNLLLQSPCGPKVEDSKKNMLSCCFMQAGGKVFTGRMCATLPWWSTWRGRWIHLRDQPWLWLRGEDTFGGERTSIQAKLANPRAIISNGWYSINHNRDDGISXSARVVTYHQFPQCKISSRXELPAILRDKWLTGKNMDDSAAQTGKQSKVSKQN >ORGLA06G0293500.1 pep scaffold:AGI1.1:Oglab06_unplaced212:6235:7296:1 gene:ORGLA06G0293500 transcript:ORGLA06G0293500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II protein D1 [Source:UniProtKB/TrEMBL;Acc:I1PHW4] MTAILERRESTSLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAALEVPSLNG >ORGLA06G0293400.1 pep scaffold:AGI1.1:Oglab06_unplaced212:4082:5710:1 gene:ORGLA06G0293400 transcript:ORGLA06G0293400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maturase K [Source:UniProtKB/TrEMBL;Acc:I1Q721] XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEKLKFPRQYFVYPLLFQEYIYVFAHDYGLNGSELVEIIGSNNKKFSSLLVKRLMIRMYQQNFWINLVNHPNQDRLLDYNNFFYSEFYSQILSEGVAIVVEIPFSLREQSCPEEKEIPKFQNLRSIHSIFPFLEDKFLHLHYLAHIEIPYPIHLDILLQLLQYRIQDVPSLHLLRFFLNYYSNWNSFITSMKSIFILKKENKRLFRFLYNSYVSEYEFFLLFLRKQSSCLRLTSSGTFLERIIFSRKMEHFGLMYPAFFRKTIWFVMDPLMHYVRYQGKAILASKGTLLLKKKWKCYLVRLWQYSFSFWTQPQRIHLNQLENSCFDFLGYFSSVPINSLLVRNQMLENSFLIDTQMKKFDTKVPVTPLIGSLAKAQFCTGSGHPISKPIWTDLSDWDILDRFGRICRNLFHYHSGSSKKKTLYRLKYILRLSCARTLARKHKSTVRAFMQWLGSVFLEEFFTEEEQVFSLMFAKTTYFSFRGSHSERIWYLDILRINDLVNPLN >ORGLA06G0293300.1 pep scaffold:AGI1.1:Oglab06_unplaced212:2110:2325:1 gene:ORGLA06G0293300 transcript:ORGLA06G0293300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVYRIVAIDVRSRREGRDLRKVGFYDPIKNQTCLNVPAILYFLEKGAQPTRTVSDILRKAEFFKEKERTLS >ORGLA06G0293200.1 pep scaffold:AGI1.1:ADWL01013218.1:28539:31487:1 gene:ORGLA06G0293200 transcript:ORGLA06G0293200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFLRAAEVMKKKDELLKMVKLRERHRIAIRIHNLKSRVEEVSSRNTRYSLVKPISSSTEDDMDSYAEDIRNLSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALSRKIFESEEDIRKNFPCNAWITVSQSFHRIELLKDMIRQLLGPISLNLLLKELQGKVVVQVHHLSEYLLEELKEKRYFVVLDDLWFLHDWNWINDIAFPKNNKMGSRIVITTRSVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKKHEDMESNKNMQNMVERIVNKCGRLPLAILTIGAVLATKHLSEWEKFYEQLPSELEINPSLEALRRMVTLGYNHLPSHLKPCFLYLSIFPEDFKIKRNRLVGRWIAEGFVRPQVGTTTKDVGESYFNELISRSMIQRSRVGIAGKIQSCRVHDIIRDITVSISRQENFVLLPVGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGDRPKSLAHAVCPDQLRMLRVLDLEDVTFLITQKDFDRIALLCHLKYLSIGYSSCTYSLPRSIGKLQGLQTLNMLRTYIAALPSEISKLQCLHTLRCIREFHYDNFSLNHPMKCITNTICLPKVFTPLVSRDDRAKKIAELHMATKSCWSESYGVKVPKGIGRLRDLHVLEYVDIRQTSSRAIKELGQLSKLRKLGVITKGSTKEKCKILYAAIEKLCSLQSLHVGAVGLSGIGTLECLDYISSPSPLLRTLRLNGSLEEMPNWIEQLTHLKKFYLWRSKLKEGKTMLILGALPNLMLLSLYHNSYLGEKLVFKTGAFPNLRTLQIYDLDQLREIRFEDGSSPLLEEIEIGRCLLKSGIIGIIHLPRLKEISLGFVSKVARLGQLEGELNAHPNRPMLRTYKDRSYHDLGAEAEGSSVQVEAADPLPDAEGSVAVEVEAKDPLPEQEGESLQVITLTTNDRSVTPYMAA >ORGLA06G0293100.1 pep scaffold:AGI1.1:ADWL01013218.1:22014:23188:1 gene:ORGLA06G0293100 transcript:ORGLA06G0293100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARSLVGSAISKAASAAANETSLLLGVEKDICSYVPTSPYLPALLAHASSNHTVTDQLPTAADFCLICHRRPSPSYHRGNYRGS >ORGLA06G0293000.1 pep scaffold:AGI1.1:ADWL01013218.1:15860:19992:1 gene:ORGLA06G0293000 transcript:ORGLA06G0293000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETVVSMAMSVLGSAVGKAASAAADEATLLLGIQKEIWYIKDELKTIQAFLRAAEVTKKKDDLLKVWAEQVRDLSYNIEDCLDEFKVHVESQSLAKQLMKLGERHRIAVQIRNLKSRIEEVSNRNTRYSLIKPISSITTEDERDSYLEDARNRSGSNTDESELVGFAKTKDELLKLIDVNTNDGPAKVICVVGMGGLGKTTLARKAYENKEHMKNFSCCAWITVSQSFDRKEILKQMIRQLLGADSLDKLLKEFSEKLLVQVQHLADHLVEGLKEKRYFVVLDDLWTIDAWNWIHDIAFPKINNRGSRIIITTRDAGLAGRCTSESLIYHLEPLHIDDAIHLLLAKTNIRLEDMENDEDLGSIVTKLVKRCGYLPLAILTIGGILATKKIMEWGKFYRELPSELESNPSLEAMRRMVTLSYNHLPSHLKPCFLYLSIFPEDFEIQRGRLVDRWIAEGFVRATDGVNIEDVGNSHFNELINRSLIQPSKVSTDGVVKRCRIHDIMCDIIVSISREENFVLLTREKITVVAEESIRHLAFHGSKCSKICLEWNHLRSVTLFGDRPVGRTPALCSPQFRMLRVLDLEDAKFKFTQNDIRNIGLLRHMKYLNFARASTIYKLPRSIGKLQCLQILNMREANISALTTEVTKLQNLRSLRCSRRSGSGYFSIIDNPKECLMITMCLPMVFSTSINFSDRVKLIPEICMSCSTRWSDTKGVRVPRGIDNLKELQILEVMDINRTSRKAIEELGELIQLRKLSVTTKGATNKKYQIFCAAIEKLSSLQSLRVDAEGFSDTGTLEWLNSIACPPPFLKRLKLNGSLADTPNWFGNLKQLVKMCLSRCGLKDGKTMEILGALPNLMVLRLYRNAYADEKMTFRRGTFPNLRCLDIYLLKQLREIRFEEGTSPTMESIEIYGCRLESGIIGIKHLPRLKIISLEYDGKVAKLDVLQEEVNTHPNHTELQMAEDRSHHDLGGI >ORGLA06G0292900.1 pep scaffold:AGI1.1:ADWL01013218.1:12333:14595:1 gene:ORGLA06G0292900 transcript:ORGLA06G0292900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKGGNGQDTDSPCKETRTERVESYAPKTNSKKWFCCVTSSPTQS >ORGLA06G0292800.1 pep scaffold:AGI1.1:ADWL01013218.1:5690:8407:-1 gene:ORGLA06G0292800 transcript:ORGLA06G0292800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAVRPRSRPVRLATRRGQTRAIKPVRPISISFEEHPGGSVHVIYQRNTIKWNKNTYLQEKALESVSVLVASEQRRGGCRLESN >ORGLA06G0292700.1 pep scaffold:AGI1.1:ADWL01013218.1:4124:4536:1 gene:ORGLA06G0292700 transcript:ORGLA06G0292700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGRFVLDASVITVDALHISDLAFTVKRYKDNYASPAKMIHF >ORGLA06G0292600.1 pep scaffold:AGI1.1:ADWL01013218.1:168:497:1 gene:ORGLA06G0292600 transcript:ORGLA06G0292600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPGTARSRNGSRTLDAAATALGRTLGVGAAAAAPSFGRGSFGHVVGLPPPPRSRGRGRGSVAAAMTVPAFSIDGSIGGDFTSSIGPHASSQPWFDAAGGDPSSPGSW >ORGLA06G0292500.1 pep scaffold:AGI1.1:ADWL01013217.1:6630:9775:-1 gene:ORGLA06G0292500 transcript:ORGLA06G0292500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDITCGSLLQKLQLIWDEVGESDEDRDKVLYQLDQECLDVYKRKVDQATDSRDLLIQALDDSKIELARLLSALGEKAIARTPEKTSGTIKQQLAAIAPTLEKLNKQKNERVREFVNVQSQIDQICGEIAGTTEVGEKVATPQVNEDDLTLERLQEFRSQLQELEKEKSNRLEKVLDYVSMIHNLCTVLGMDFLSTVTEVHPSLDDSIGDNCKSISNDTLSKLDKTVATLNEDKKSRLSKLQELAGQLYDLWDLMDAPMQERSMFDHVTCNRSASVDKVTAPGALALDLIEQAEVEVQRLDQLKYSKMKEIAFKKQTELEDIYAGAHMVIDTAAAHEKILALIEAGNIEPSELIADMESQISKAKEEALSRKEILDKVERWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVETLVAKTRAWEESRGLSFMYDGVPLLAMLDEYVMLRQEREEDKKRMREQKRYIEQQLNTDHEGPFGSRVSPNRPVSAKKVPGAKSNGSANGTPPNRRLSVSGHQNGRSGGKDGKRDSAKTASPGNVAAAKEDASSHISGTDPVPSTP >ORGLA06G0292400.1 pep scaffold:AGI1.1:ADWL01013217.1:2575:4252:1 gene:ORGLA06G0292400 transcript:ORGLA06G0292400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:I1Q711] AGAYRCVSELWRRKQSGVMTFVQRVRCWDHRRQPAIGRPTLPDKARRLGDKVKQVPCPHRFLPMAAAAATRPLLRPTCSVARFNTRRLLSSTSSPPPPNRSSNTNSPVAFDWSDDDDNPSPPPMEAKSPNLPPPYDPFSKKPAVMEPSDPTNLQEVFHRMRTEGLTDYAIKMFDGLSKDGLTHEALELFAIIKDKGAMPDVVAHTAVLEAYANAGPAHWCDVVRTYDRMLASGVTPNAYTLAVLVKGLAASDRFTEAGKYIVEMLDHGMRPNAATYLAVFEAYVRMEKVEEGRVLLETMKSKGFTPSEEAVRSGTVKRGHVFRGIMNMLFDK >ORGLA06G0292300.1 pep scaffold:AGI1.1:ADWL01013216.1:1:5430:1 gene:ORGLA06G0292300 transcript:ORGLA06G0292300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q710] LARDIERAPDDIKARYEILQGEKPEGSSKKVSELNVKMEDVYGDKDLDAALDSFDNLFCRRCLVFDCKLHGCSQDLVFPTEKQAPLCSSDEGTPCGIHCYKLVSKPDAIMEIDSHLLVDVEEPTSDNAKDQIGSNKKKLGSSGQKTKSQQSESSSTARVSSESSESEVQLLSNKSPQHSPGLSKNKLGAKGGIKKSTNRRIAERILMSVKKGQQEMSPDSNSIVNGCLWPRDMKLRSDTRSGIKDSVASSQCNSPSTRSFRKKGTLQMENNSSFVDAQSDSMEDTNNEHSATDGCDSSRKEECVDESICRQEAHGRSWKVIEQGLLLKGLEIFGKNSCLIARNLLGGMKTCTDVFQYMNYIENSSASGALSGVDSLVKGYMKGNELRTRSRFVRRRGRVRRLKYTWKTAGYHFIRKRITERKDQPCRQYTPCGCQSACGKQCPCLTNGTCCEKYCGCPKMCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEYVLDAYRMGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERISAGEELFYDYRYEPDRAPAWARKPEGPGAKDDAQPSTGRAKKLAH >ORGLA06G0292200.1 pep scaffold:AGI1.1:Oglab06_unplaced206:18992:20555:-1 gene:ORGLA06G0292200 transcript:ORGLA06G0292200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVEEANMAAVESSKKLVAILSKSGDPFRLMAAVAETDEAVSRFGKVVTILSNRVGHARARLGKRRSSPPVDPGCLMDHPLAAAASSPAPSNGRLHFSSSAATASPSPATAAAASSAANVTPAVVDRSLFLETTLLDLNSRGAPAPAASMAAAAKNSSKLAPAPMVNSSSSANHIQFQQPMKSFQFEQTPISDKFHIEMPRGVGGGVGGGGGKEVISFSFDNSVCTSSAATSFFTSISSQLISMSDAATNSAAAAAAPTTKKPSSCARKATADDDAGGKCHCPKKKKPREKKVVTVPAISDKVADIPSDNYSWRKYGQKPIKGSPHPRGYYRCSSKKDCPARKHVERCRSDPAMLLVTYENEHNHAQPLDLSVVQQATANPQT >ORGLA06G0292100.1 pep scaffold:AGI1.1:Oglab06_unplaced206:14066:16429:1 gene:ORGLA06G0292100 transcript:ORGLA06G0292100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADVEVEAEVAAAGAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >ORGLA06G0292000.1 pep scaffold:AGI1.1:Oglab06_unplaced206:5923:6952:1 gene:ORGLA06G0292000 transcript:ORGLA06G0292000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSLAGGDMKPLATSDDLDTIILFSYRWPLPSAMTSTRATTCCLVLGGINDGKIPSERYGHCGSRRGATRADEARSGVPSLGYVPSRPGRCCLVRAVLGEEEAEVDPIGSGNPKGGGGMGFLVEEVVLSDSGGGLRFRQNMWTLAEVAALGAMAGWESGRQKRILTTVTALWAAET >ORGLA06G0291900.1 pep scaffold:AGI1.1:Oglab06_unplaced206:1413:2373:-1 gene:ORGLA06G0291900 transcript:ORGLA06G0291900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVAATEPEVAAEEAAAAAPETTATAGDSKPAKEAKAKKAAAPRKARSTATHPPYAEMISEAIATLKERTGSSQYAIGKFLEDKHKDHLPSNFRKQLLVQIKKLVAAGKLTKVKNSYKLPPTRAPAAAKPKAKPAAAAKPKPKPKAAAKPKAAAKPKAKAPAKSKAAAKPKAAAKPAAKPKAAAKPKSPAKPAAKPKAAPKAKAKPAAKPKAKAAPKPKAAAVTKTKATSAPARRPAKAAKTSAKDTPSKKAAPAAKKPAAAAKKAPAKKAAPAKKAAAPARKVPARKAKK >ORGLA06G0291800.1 pep scaffold:AGI1.1:ADWL01013207.1:7431:10274:1 gene:ORGLA06G0291800 transcript:ORGLA06G0291800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNKIDTHNRNGSSKEKKSNPSSSSEYQLKNHLLLLATLVATVTYATGLNLPGGFWQDTQEDHLAGDPILPGNHKEQYIMFYYCNATAFAASLVVCLLLLVLDKGNSGCAAALRVVMLFDLLGLMGAYAAGSCRDEFTTIYSSVIMSMVFAYIVPSLFTYAVSKLHNKDKNHGKQNKDSGEPKDTEKQTWYPDEHKREELHEVLMLLATFAVTITYVAGLNPPGGFWGSTQDGHRVSNPVLQDINSRRYKAFFVCNTTAFVASLLIIMLLLDKRVNTEQMSLQFGELYGSIVVVLFGLVGAYAAGSCREPDDTVYVICLIAAILAYIFLQVAVTQFLKKRIRNDGHTERSISSVKSLIHNEQDLDGSRNTKRNVAMEKARSLVMLLATLAASITYQAGLDPPGGLWPDDQDGHKGGDPILLTTHPARYKVFFYSNSVAFVTSLVAIIMVQSNHVLKNHTLEAAMLLDLFALITAYAAGSCRDVSTSIYVVALAGGVLVYVVIHIIFFTLDNMDNEHHDPDEEDKKREVLLLLAILVATLTYQAGLTPPGGFWSEDDNLGHHHRAGYPILLDNYPPQYEAFFYCNATSFMASIALIMLLVNPNLYRPGIKCYALYVCMVAGMFGLMGAYAAGSSRRLRTSIYVLTLVGAVFALVALQVAMFWNKRTSKTGGDKTNSSAQEGGSTDTEASQPAPLIKGMGSTSQATQITDITDAALRNTDKGEGSSEQGGSRINSVTSEPGAHGTGNGASLQEANSEEDKSGSTEKDMREYLMLLGVLAASVTYQAGLKPPGGLWQENSNGHLAGHYILHDINKRRYYAFFYSNSISFMASIVVIALLLPRMLNNLEIPVWPMHTAILLDMLGLLCAYAAGSTMEWETSRNIIALVIPVLVYMAACTALSFFHKEDQKQISASTCQASTNS >ORGLA06G0291700.1 pep scaffold:AGI1.1:ADWL01013207.1:728:3454:1 gene:ORGLA06G0291700 transcript:ORGLA06G0291700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGDDATEQQPYWEYTLRKYLLLLASLVATVAYGAGFSPPGGAWQVTADGHTAGDPIIRDLYYGRYLVFFYCNATAFASSLLVIVLILLFAVLHEKRNMWVTVMPLRVVMVLDLLSLMGAYAAGTCRDATTTRYTAVLVAAVFVYLVVQMVLASLGGGDDEDEHVVTKERSRKVLLLLATFATSLTYVAGLSTPGGFWADGVAGGGGHRAGEAVMGERHPARLTAFLLCNTTAFVASLLIIVLLLDRKLRDGTVRSWELYGCIVVALVGLVGAYAAGSSRAEHTTVYVLALVGAVLVYIAFHLTVVPCVKQALGNTKLARIYSSMSKRRYCPKQDQEVDQATIDREAEHNRAMEKARSLVLLLATLAATVTFQAALDPPGGYWQDDNGDHKAGNPILLTTNPRRYKAFFYCNSTAFVSSLLAIILVQSRSLLKRHALEAAMILDLFGLMGAYAAGSCRDASTSIYVMAIAGAVLVYVVIHVVFFTLDQRGHDEDVLLEKRRKRLLLFAILSATITYQAGLTPPSSFWQDDNDGHRAGEPVLFSNNPYRFKAFFYCNTTSFMSSIALIILLVNPNLYRPAIQSYALSVCMVAGMFGLMGAYAAGSSQHMRTSIFVFALVLIFVAILLVVFVVRQNHGNTTTRQNSQGDQNQRGDNSGIENHTKRKYLMLLGILAASVTYQAGLHPPGGVWQSDDDVAGHAAGNPVLRDNRRRRYRAFFYSNSTSFMASVVVIVLLLPESASRHVSEWLLKAMNTTIVLDMIGLLVAYGAGSSREWETSGYVIAMAVVVLGYIAVHGVLSTFGQGHKTGGNNPQIAPDQLDAESQEPGNGRRMYYPSQNVMQ >ORGLA06G0291600.1 pep scaffold:AGI1.1:ADWL01013206.1:40583:40843:-1 gene:ORGLA06G0291600 transcript:ORGLA06G0291600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAEFTIGGSQDFYDLSVIDGYNVAMSFSCSSAAGLTCRDNRCRGGRGGIGGSYDGRDAGKEAATPRLESSFLLCLSLLLSGQAG >ORGLA06G0291500.1 pep scaffold:AGI1.1:ADWL01013206.1:24126:39634:-1 gene:ORGLA06G0291500 transcript:ORGLA06G0291500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLIPHRYAGRAASLALPPPPGAPTAMLQFGVSQRCSYNFMPYSLSNNSSRQLSSIGSRLPLQLKSGAFFTTGLMGNPNFVSLRAAYRHGISLRANNIRNSRSFLTLRNTKVTFPIRNKCLFGNPNMRKEDGSVAHSMFHRSEKRKSTLAACGTITDEASTSTSKRSKSGTGTKKTTTRRKSPTSRKKEASEDMKEEKASTKKQRKSVKTSTAATKSRKIGVNQEESKSDISKSKKAANSSKEKKTSSRSKKSSKAKESAASNATAKAEICTMTSVSEQKPLVPLYPPTAKSVLVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKSIRTALKGAENLILASDPDREGEAIAWHIKEMLEQQDALGSKVTVARVIFHEITEDAVKKALISPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREAEIEQFDPQEYWTVDTDFKTQHSGPSNGLNLKSRIKHLNSKKLDQLSIRSQEEAHNIEKRIYSSQFEVTGIKRSKINKNPPMPYITSSLQQDAANKLHFSAGYTMKVAQKLYEGINLSSEEATGLITYIRTDGFHWYQSARGQCVFLCSRVRGGIEEALACLACEQLSAAQATIIAAHDNRIVANSIFISDGAAEDILSLVKQRYGEEYASEGIRKYFKKVKNAQEAHEAIRPTSIRRLPSSLVGALDDDSLKLYTLIWKRTMACQMEASRTDMIQVDIGNSEGDMIFHSSASRLDFKGYQAVYDDTEASPSSYNSEVDAVHQDNFEVLSKLEVKDLVSPVNVHLSQHFTKPPSRYSESALIKKLEELGIGRPSTYASIMKVLQDRKYVTIKSRVLHPEFRGRMVSAFLMHHFSEVADLSFTANMETELDNVSAGSTEWKGLLKDFWERFNKYCGDASRLDVRKVERMLEEKFGSILFSDLDNDSRICPSCSEGTLRFKVSRYGEGYFIGCDRHPKCKYIARTLSDDDDETEASDETQRTFTPRLLGALPDSDEKVFLKQGPYGHYVQVGEDRKGVSPKRAPLSEVKDIDSITLKDAIELLQYPKILGKHPDDDLPVLITHSKAGFSIRHRRTLASLPKSADPKKITLERALKLLTGKNVRKFGRPKGKTRKEAEPLEWH >ORGLA06G0291400.1 pep scaffold:AGI1.1:ADWL01013206.1:20207:20608:-1 gene:ORGLA06G0291400 transcript:ORGLA06G0291400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRWHVDSDLNPFGFFGTVAERRVRQGGDFSPLTAYALVPLGCLRHVHGDGFLGFRALGNAGQVRVLPAIFIWLAPNIDLPNAPPFIFVL >ORGLA06G0291300.1 pep scaffold:AGI1.1:ADWL01013206.1:14734:17148:-1 gene:ORGLA06G0291300 transcript:ORGLA06G0291300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKCAAELGIPAAIHRRGGAATLRDIVADVALRQAKVPHLRRLMRVLTVSGIFAMKQQQPASSGEAVYTLTPASRLLVAGAGGGHDMSPMLRFLVHPTALTPFFSLHAWFRVDDEEEEEEPVAGGSGGGGAAMSLFEMAHGFPRWEMTGRDAAYGAVLNEAMAADSRFVMEVVFREGGGDVFRGIGSLVDVGGGHGAAAAAVAAAFPHVKCSVLDLPQVVRKAPPDAGDVRFVAGDMFEYVPPADAVLLKIFMFQYVLHCFGDDDCVKILRRCKEAIPTRDAGGKVIIINMVIGSGSQRDIFKETQALFDLYMMYIDGVEREEKEWENIFSKAGFSAYKIMPILGFLSIIEVYP >ORGLA06G0291200.1 pep scaffold:AGI1.1:ADWL01013206.1:5175:7643:-1 gene:ORGLA06G0291200 transcript:ORGLA06G0291200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMKMISPIKADEDVGGGKDNDTNQSRVGIAEEAWFDEDDGVVDPVNSSVAVNDNDEYVLHCFGDDDCVKILRRCKDAIPTRDAGGKVIIINIVVGSGSQRDIFCNSPKI >ORGLA06G0291100.1 pep scaffold:AGI1.1:ADWL01013202.1:1:1334:-1 gene:ORGLA06G0291100 transcript:ORGLA06G0291100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCMTHSFQMQTFRTTLRFMRFWAKRRGVYSNVIGFLGGINWALLVARICQLYPNASPSMLISRFFKVYSKWKWPNPVMLCHIEEGSLGLLVWDPRRNFRDRGHHMPIITPAYPSMNSSYNVSISTRHVMVQEFTRASDICQAIDERKADWDALFEPYPFFESYRNYLKI >ORGLA06G0291000.1 pep scaffold:AGI1.1:ADWL01013201.1:9789:10343:1 gene:ORGLA06G0291000 transcript:ORGLA06G0291000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPFLCGGDDPVKNSEVRPPARHPREGPNDGDGGHKPSPPPQAGGAVNGNGGAAPAPATSPDTEVQAPTYGDKQISRPKEGAAGKPPTVVPAANHPQAPTGDEAKKGHGGGGAVGRRNGISSTVLTAPPPVGPMAAPATTVKDAPPAAAAAANDVHGDADEQHPGYGDHGEVDDRKPRRRSWL >ORGLA06G0290900.1 pep scaffold:AGI1.1:ADWL01013201.1:1889:7378:1 gene:ORGLA06G0290900 transcript:ORGLA06G0290900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHFCECYFDLSGPTLCPVLGSITLLFIPNSSIRLIRLIGLCISLITFLYPLVPRIQFDPSTAKSQFVESLRWLPYENIHLYMGIDGLSLFLVILTTFLIPICISVGWSGMRSFRKDYITAFLICEFLMIVVSYMLDPLLFYVLSESVPIPMFIIIGVWGSRQRKIKAAYQFFLYTLLGSVFMLLAILLILLQTGTTDLQILLTTEFSERRQILLWIAFFASFAVKVPMVPVHIWLPESHVEAPTAGSVIFAGILLKLGTYGFLRFSIPMFPEATLCFTPFIYTLSAIAIIYTSLTTLRQIDLKKIIAYSSVAHMNLVTIGMFSPNIQGIGGSILLMLSHGLVSSALFLCVGVLYDRHKTRLVRYYGGLVSTMPNFSTIFFFFTLANMSLPGTSSFIGEFLILVGAFQRNSLVATLAALGMILGAAYSLWLYNRVVSGNLKPDFLYKFSDLNGREVSIFLPFLVGGATVR >ORGLA06G0290800.1 pep scaffold:AGI1.1:ADWL01013200.1:4529:5473:-1 gene:ORGLA06G0290800 transcript:ORGLA06G0290800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLGRMTDDPVQLVADLMLQNTNQWDVEKVQRIFLPLDAAAILNMPRPRTEQDDFWAWAWDKTSIFTDLFDLKMPRLNPATWSWDVLDADIMSKREAAIVVSVMWTIWGSRNSYNHGDVKYQSLRSVELVDELIKSLEIPAQEDPSAVVQKWARPALGWMKLNTDGALNLQDGVAGAGIVARDNTGNFVTAECRRYDHISDPSTVEMLACRDAVML >ORGLA06G0290700.1 pep scaffold:AGI1.1:ADWL01013200.1:1904:2302:-1 gene:ORGLA06G0290700 transcript:ORGLA06G0290700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TFALIDGKRTRVRAIVYKTFHRLARTERDVIKLMVDAVDNIKPICEVVKVGVAGTIYDVPGIVARDRQQTLAISWILGAAFKRRISYRISLKKCSFAEILDAYRKRGISRKRRGNLHGLASTNRSFAHFRWW >ORGLA06G0290600.1 pep scaffold:AGI1.1:ADWL01013199.1:3058:3726:1 gene:ORGLA06G0290600 transcript:ORGLA06G0290600.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKGAAIHFDYNKLNVPPHNFVSVPSERAPQFDGTHYAAWKHKVKLHLISLHPSIWKIVCTCIDVPHDDMELTSEQEQLIHRNAQASNAILSALSPEEFNKVDGLEEAKEIWDTLQLAHEGSPVVREAKIKLLEGRLETFVMDDKETPQEMYDRMMILVNKIKGLGSEDMTNHFVVKRLLRAFGPRNPTLVSIIRERKDFKRLTPSDILGKIVSHEIQEEEARE >ORGLA06G0290500.1 pep scaffold:AGI1.1:ADWL01013197.1:9016:10452:1 gene:ORGLA06G0290500 transcript:ORGLA06G0290500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q1P6] MAPPTVLNSGEPDARRRARPHVVFVPSAGMGHLLPFFRFIGALSAHDVDISVVTVLPTVSANEADHFARLFHDFPSIRRVDFNLLPLDASEFPGADPFLLRWEALRRSMHLLAPAIAGVAPRATAVVTDVTLVSHVNPIAKDLRLQCHVLFISSATMMSLCSYFPIYLDNKDAQADVGDVDVPGVRHLKMSWLPQPLLDLDMLFTKQFIENGREVVKTDGVLINTFDALEPVALAALRDGTVVRGFPPVFAVGPYSSLASEKKAADADQSSALAWLDQQPARSVVYVAFGNRCTVSNDQLREIAAGLEASGFRFLWILKTTVVDRDEAAAGGVRDVLGDGFMERVKGRGMVTKEWVDQEAVLGHPAVGLFLSHSGWNSVTEAAAAGVPLLAWPRGGDHRVAATVVASSGVGVWMEQWSWDGEEWLVSGEEIGGKVKEMMADDAVRERAAKVGEEAAKAVAEGGTSHTSMLEFVAKLKAA >ORGLA06G0290400.1 pep scaffold:AGI1.1:ADWL01013196.1:3491:4108:1 gene:ORGLA06G0290400 transcript:ORGLA06G0290400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASPSASPPRPDETTLADFTVSAVRGATATTTARGKRGQRPAKPLLVTVRPVCLVNGDGDDVLENGRSWDAVRVLAWLDAKPAPSVVYVCFGRLTRFPHEQVAELGMGLVDSGVNFVWVVGDKNTLASLFPVERQRVTLLAGESALRLL >ORGLA06G0290300.1 pep scaffold:AGI1.1:ADWL01013196.1:1406:2054:-1 gene:ORGLA06G0290300 transcript:ORGLA06G0290300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRGGESGGGDSPHRWEEANSKTPRLQPGSAGGRSTAPSAEMSLRGWGESGGDDSPRLQGDSSSCKKTKSRTPSLQPGSASEHVAASSATAVETRLEFGKVDGEAHAPRSPALGKATTVVLCSSTKHALNRRAVAGGLDLDPKRXGVKIKKTRLGVRYVGWEWIFFVLHKGCLDVG >ORGLA06G0290200.1 pep scaffold:AGI1.1:ADWL01013191.1:3875:7696:1 gene:ORGLA06G0290200 transcript:ORGLA06G0290200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLVFAGKAVATPVISYMLNKAFTYLVNYWRTEDMESVKAELLKMLPHVQAVFDAVDWDNIKEQSAALDAWLWQLRDAVEEAEDSLDELDYHRLKEEVKARDEQEASGSVSKLKGKLIRKLTKHVPKNGMFKRLKESVEGLHKAIAGVKDFIGFVNKVGVVNHFMDYELKMKGRQFQTSSRSTAVEVFGLEKEKDIMIKWLTEPTGNDPADTNLRIFTIVGHGGFGKTTLAQLIYNEKKVQGCFDICIWVSVSSPFDALTITEGIIEAISKEAPPANTLEALHAILEDRLISKRFLLILDNVWNDNDMDGWEKLLAPLRIGGAGSTILLTTRLKSVGDMAGYALGLKVRHLKLDGLLEKDVLMLFNKHAFSGLNIDCCKNFHSLGEQFVKKISGCPLAAKVLGAHLRDNMKYMYWKKILQEDLQNLQLGMDGVIKVLSLSYHHLPSNLQMCFRYCSIFPQGYRFGKKELVEMWLGSGMILQTTDETKALEDIGGGCLDELARKSFFEFTSEKKEGVVLEEYYAMHDVLHDLAQAVSSGECLRVGVIRSMKIAKSVCHLSVRLVNSVHLKELCHLNNLRSLVIEFVGDDSSTNYSIAFDEILKSFKSLRLLSITAKCWFDMPDAVSKLVHLRYISLFSTKRSFLMSVHKLFTLYHLDTLKIMEYSEGKTLKLNGMSNLVSLRNLHVPYGTISSIPRIGKLTCLEYLDGFSVQKKVGYTVSELKNLAQLRHLCLREIQNVDLREVLDANLKEKKQMRTLSLHWSSHEVVAENTDDLVLDNFQPHSDLEEFYIIGFSGTKLPFWITKSYLVNIVLLKIINCCKIEHLPSLANLFSLKNLYLQDLSVLASMGCMHHECDKIPIGCSHSFQKCPSLVDFSGGVDDIESKEISFPPYLSTLTIRGCPQLMKLPTLPSMLKQLKIQKSGLMILPKMYKNYNYIEGSLAPPNESQLTNVLIEYCPNLKSLLHCFLGQDVTLTSLRELHVNQCEKLEHLPPNGFMGLVNLQILEVSDCSMLKKSGMEVKLLPSSLEQLSIKSCGELENILIDSLAGLESLTLLELANCNHLISLPSVETFETLTAFRVLGLYDCPELSSLDGLQCLTSLSKLTIRRCCSLTKISSLQPPSQCWSSQDDSTDNSLKLEALFIDDHSLLFVEPLQSVRFTRRLSLLGDPIMTSLPEQWILQNRTTLSSLWLWNVKSLQCLPSSMKDLCHLQSFTLFNAPLINSLPDMPASLKYLSIGCCQTTLAERCRKGGCDWSKIAHVTLVKINGNEPL >ORGLA06G0290100.1 pep scaffold:AGI1.1:ADWL01013189.1:19333:19638:-1 gene:ORGLA06G0290100 transcript:ORGLA06G0290100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWPMVADQFVNARLLVDELRTAVPVSWGGVAAPPTADEVARVLEATVLMAADGGEASDSEWSHVGARVEELAVEAAAATREGGSSWVEVDELARELRGL >ORGLA06G0290000.1 pep scaffold:AGI1.1:ADWL01013189.1:7266:7424:-1 gene:ORGLA06G0290000 transcript:ORGLA06G0290000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAMRGGEEEGLGLGEGAQVARSDRRGGEGAGQGGEGGGVNPERWIGAR >ORGLA06G0289900.1 pep scaffold:AGI1.1:ADWL01013189.1:2344:3807:1 gene:ORGLA06G0289900 transcript:ORGLA06G0289900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTDASAAAAAHVLVVPYPVQGHLIPILDLVRLLASRGLRLTVVVTPATAPLLAPLLAAGHPGGGGGVSELTLSFPSHHAVPTGLEVAKGPPGAAPRLFPMHVVAFAGLRGPLESWARARAGTPHRVVAVLSDFLCGWTQPLANELGVPHVVFSPSGVYGTAMLHSLFRAMPRPADENDDESPVRFVDIPVSPAYPWWQLTRAYRTHKKGDEIDEGFKSNFLWNLESSSFVSNTFQRLEGRYLERPLADLGFRRVRAIGPLAPEADASGNRGGETAVAASDLCAWLDQFADRSVVYVSFGSMSQLQPPHAAALAAALERTGAAFVWAVGSSHATLLLPEGFEERSTASGRGTVIIGWAPQLAALRHRAVGWFVTHCGWNSVVEAVAAGVSMLTWPMMADQFVNARLVVDELRAAVPVSWGGVAAPPTADDVARVLEATVLAADGGGVGARVEELAVEAAAATREGGSSWVEVDELVRELRGHMQS >ORGLA06G0289800.1 pep scaffold:AGI1.1:ADWL01013189.1:87:506:-1 gene:ORGLA06G0289800 transcript:ORGLA06G0289800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTDAGGDAAVHVLVVPYPAQGHPIPFIDIVRRLASHGGLRCTVVVTPATAPLLEPHLAEHTGRGGSGAFALTLPFPSHPAVPAGVENAKGSPPELFAKLVVAFAGLRGPLGSWARDRADTPDRVVAVLSDFLCRWM >ORGLA06G0289700.1 pep scaffold:AGI1.1:ADWL01013188.1:205:1797:-1 gene:ORGLA06G0289700 transcript:ORGLA06G0289700.1 gene_biotype:protein_coding transcript_biotype:protein_coding HAEKIANGSNGDIAIDSYHRYKEDVGIMKGLGLNAYRFSVSWPRILPNGKLSGGVNLEGIKYYNNLIDELISKGVEPFVTLFHWDSPQALEQQYGGFLSNLIVEDFRDYADICFREFGDRVKYWITFNEPWSFSIGGYSNGILAPGRCSSQGKSGCSKGDSGREPYIVAHNQLLAHAAAVQIYREKYQGGQKGKIGIAIVSNWMIPYEDSKEDKHATKRALDFMYG >ORGLA06G0289600.1 pep scaffold:AGI1.1:ADWL01013187.1:4379:5824:-1 gene:ORGLA06G0289600 transcript:ORGLA06G0289600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q6Y3] MAPPPAVLSSGELGARGHTRPHVVFVPSAGMGHLLQFFRFIGALSAHDVDISVVTVFPTVSAAEADHFAALFRDYPSVRRLDFDLLPFDASEFPGGDPFLLRWEALRRSLHLLGPVIAGVTPRVTATVTDVTLVSHVNPIAKDLGIQCHVLYVSSAAMMSLVSYFPIYLDNKDAGADVGDVDIPGVRRLKRSWLPQPLLDLNKLFTKQFIENGREMVKTDGVLINTFDALEPVALAALRDGKVVRGFPPVFAVGPHSSLASEATKSAAAEAEGSPMAWLRQQPARSVVYVAFGSRCAVSHEQIREIAAGLEASGSRFLWILKTTVVDRDDDAGIRDVLGDGFLERVRGRGVVTKAWVDQDAVLRDPAVGLFLSHSGWNSVIEAATAGVPLLAWPRGGDHRVAATVVASSGVGVWMEQWSWDGEEWLVSGEEIGGKVKEMMADAGVREKAAKVGEEVAKAVAVGGTSHTGILDFVAKLKATT >ORGLA06G0289500.1 pep scaffold:AGI1.1:Oglab06_unplaced183:180687:184213:-1 gene:ORGLA06G0289500 transcript:ORGLA06G0289500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAAARGSGVGSSVPEAPVFRPTEEEFGDPLAYVARIRPLAEPYGICRIVPPPSWSPPPALDVCTLSFPTKRQPIHRLLARLAPADPDTFLLDYRRFLHRHRGRKKPKPKLPALSDGRPLDLCRLFHAVKRFGGYDGACAGKRWADVLRLVDGKAPRHASSVSEHVIAQLYYEHLYQYEHFTNRLPSQSHDDQPPVSASSANISIRRQKKRLRKTSSTMGHCGGSTSAKTAASAPKQKRRKVDATATVVNEAMDQVCEQCNSGLHGEVMLLCDRCDKGWHLYCLSPPLESVPPGNWYCSECMNSDRNCFGFVHRRKTCQVETFRKFEERVRKRWFGHKNPSRVQVEKQFWEIVEGKVGELEVMYGSDLDTSIYGSGFPRLCDPVPSSVDPVMWHKYCSSPWNLNNFPNLPGSVLQTVRDNIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKCWYGVPGAEANAFEKVMRNALPDLFDAQPDLLFHLVTMLSPSILQANGVPVYSVIQEPGNFVITFPRSFHGGFNFGLNCAEAVNFAPADWLPHGGIGAELYRLYRKAPVLSHEELLYVVAK >ORGLA06G0289400.1 pep scaffold:AGI1.1:Oglab06_unplaced183:171650:173509:-1 gene:ORGLA06G0289400 transcript:ORGLA06G0289400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILHDADSYHGEDLSVMHRSLLIALKATSAAGLYDHQISCRIESMLSRYSWKKRIHILLCGGKKIPIQQVLMLDNEGSSLEICGEDFFKLEINKIKETSLQWLAKAEKTTLDSGKLALDLVYGLIIEGESLTVHVEKELKLLRDRSVLYCICRKPYDNRAMIACDQCDEWYHFDCIKLHGPPPKTFYCPACRPNNGGEYISLPCLAHEDDRSTTEAGPHTPPASCEAAGRVGAIQCNSSSQWEKTHVRVDLIKLLRCHSETDSSWRESKRVLHRTARRRSNFLGLL >ORGLA06G0289300.1 pep scaffold:AGI1.1:Oglab06_unplaced183:168342:170547:1 gene:ORGLA06G0289300 transcript:ORGLA06G0289300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARRSAGGGLHCCLAFLFKFLAFLQAFAAVSALLYAAWILSRWARHHHLHLQDLLPDLWFVCALMAAGLFYCLLLLAGCVAAEINSPCFLCFYTILAVVMMLLEAALAADLFFNKHWIQDLPYDRTGELDNLLSFIQTNLDLCKWAALAIVATQALSLLLATILRSMLSTPSADYDSDEDFVVIRRPLLVAQGAPAYLPTTADTRGFHPDLWSSRMRHKYGLNSSNYTYNTLDPNAPPPQ >ORGLA06G0289200.1 pep scaffold:AGI1.1:Oglab06_unplaced183:165800:166393:-1 gene:ORGLA06G0289200 transcript:ORGLA06G0289200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMAAAPFPGKCFLLLQQVPKPSAALAAAHPLLLGRRRLAALPETAAACVAAAGLVGVAASLLVGRAAEGARREEEEEEEECSECGGTGLCPRCKGEGFVFKQLPEEAASRARKAAKNMATRYTSGLPTKWTYCNRCSSTRSCTTCGGSGAIPKASSPTTTTL >ORGLA06G0289100.1 pep scaffold:AGI1.1:Oglab06_unplaced183:156588:161535:-1 gene:ORGLA06G0289100 transcript:ORGLA06G0289100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAVLLLSFLLLAAPAAAIDILRRSLAAQTKGDLASITAGNPLVANAMNDRLKNLTDAFAQQMGKEFHYCIKDTDDEWNIAFNFSTDPTFLSNCMQATDGDVPQRVCTAAEMKFYFESFLDSNGRKNYVRPNKNCNLTSWMDGCEAGWACSAGPDQNINLQDAVNFPSRTLDCRGCCAGFFCPHGLTCMIPCPLGAYCPESTLNKTTGICDPYNYQPPPGKPNHTCGGADRWADVVSTDDVFCPAGFYCPSTIKKLSCSSGFYCRKGSTSQTKCFHKGSCKPNSVNQDITIFGALLVGALSLVLLIIYNFSGQLLTNREKKQAKSREAAARHAKETAMARERWKSAKDVAKKHAVGLQSSLSRTFSRKKTLRTHEPSKGAVETDVEPSKGSGEKKSNLTDMMRSLEENPEKGEGFNVEIGEKKKTKGRHAHTQSQIFKYAYGQIEKEKAMEQQNKNLTFSGVISMATDEDIRTRPRIEIAFKDLTLTLKGSKKKLLRSVTGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCETTGMVLINGKMEPIRAYKKIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIEALGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSSSSLLLLRALRREALEGVNISMVVHQPSYTLYKMFDDLILLAKGGLTVYHGPVKKVEEYFSGLGIVVPDRVNPPDYYIDILEGIVKPNANVAVNAKDLPLRWMLHNGYEVPRDMLQSGSDAESSFRGGGDLTPGGDTGQSIAGEVWGNVKDIVGQKKDEYDYNKSSQNLSNRCTPGILRQYKYYLGRCGKQRLREARIQGVDYLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFMSKDTIDHFNTIIKPIVYLSMFYFFNNPRSSIWENYVVLVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKDTFFANLCYTKWALEGFVIANAQRYSGVWLITRCGSLLKSGYDINDRFLCILVLAANGVLFRCVAFFCMVIFQKH >ORGLA06G0289000.1 pep scaffold:AGI1.1:Oglab06_unplaced183:148784:156079:-1 gene:ORGLA06G0289000 transcript:ORGLA06G0289000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAAEDKFVLRSGVRTGLKREFAFAIASQAALSSTLGRTRSSSSASATPNSKRPRRPPCTPESDPPVLALRAHTPDPDPHTSPRRITRSMLLNPSAPLLHHHPKLQLQPRRFTRSLLSSRTSNSSSSSPSASTSTSKMELKMSKKISFTRIPRNLKDLLATGLLEGHPVKYIMRKGKRAVLRGVIKRVGILCSCSSCKGRTVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDILRACSDATLDMLQSAIQNAIGPAPKKRTFRCQTCKSSFATLRTGKFALLCDSCLESKGSQNSTRTSKIGRNPTSSARRSKNESPGSKYCNSSARGSKNAFPGVKTTSTGRITRKDKGLHKLAFMSGVLPEGTDVGYYVGGKRLLDGYIKEFGIYCHCCNTVVSPSQFEAHAGRAARRKPYHNIYMSNGVSLHELSVSLSKGRNMSNRQSDDLCSICSDGGELLLCDSCPRAFHRECVGFTTIPRGTWCCRYCENRQQRESSLAYNHNAIAAGRIDGIDPMEQIFTRSIRIATTPVTGFGGCALCSMSGFMDKQSVLSRSRPDYDDELAVLDQLHDFSKKKFSARTVLLCDQALPEGAWYCTADCVRISETLKDLLSRGAEPISSVDVEIIKRKYEQKALNKDGDLDVRWRVLKDKSSADSKLVLSKAVAIFHESFDPIIQIATGRDLIPAMVYGNTVVSAGLFRVMGSEIAELPLVATSRDSQGLTEQGYFQALFGCIERLLASLKVKHFVLPAADEAESIWTQRFGFVKITQDELREYLKGGRTTVFQGTSTLHKLVPKLDG >ORGLA06G0288900.1 pep scaffold:AGI1.1:Oglab06_unplaced183:138229:143103:1 gene:ORGLA06G0288900 transcript:ORGLA06G0288900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAVFALLMASALAGAAAGGDIVHHDDEAPKIPGCSNDFILVKVQSWVNGKEDDEYVGVGARFGPQIVSKEKHANRTRLMLADPIDCCTSPKEKVSGDILLVQRGKCKFTKKAKFAEAAGASGIIIINHVHELYKMVCEKNETDLDINIPAVLLPRDAGFALHTVLTSGNSVSVQQYSPDRPVVDTAEVFLWLMAVGTVLCASYWSAWSAREALCEQEKLLKDGREVLLNVENGGSSGMIYINVASAIMFVVVASCFLIMLYKMMSSWFVELLVVIFCIGGVEGLQTCLVALLSRWFRAASESFFKVPFFGAVSYLTLAVSPFCIVFAVLWAVHRHFTYAWIGQDILGIALIITVIQIVRVPNLKVGSVLLSCAFFYDIFWVFVSKRWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDWAAKKSLQTGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGALISLGWKRGELWNLWSKGEPERVCPHHMHMQPQPKTGPV >ORGLA06G0288800.1 pep scaffold:AGI1.1:Oglab06_unplaced183:134790:136932:1 gene:ORGLA06G0288800 transcript:ORGLA06G0288800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: mRNA splicing factor, Cwf18 (InterPro:IPR013169); Has 292 Blast hits to 292 proteins in 153 species: Archae - 0; Bacteria - 0; Metazoa - 118; Fungi - 83; Plants - 38; Viruses - 11; Other Eukaryotes - 42 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G05070) TAIR;Acc:AT3G05070] MEDAAARRERLRALRAAKDLLSTPDPAAAGQGQHHQNGNRETAPEQAEHPTLPAPLDAPEEASKENINPTDESEEVQNDGDMPAMKFRNYLPHDEQLRGGKVAPVSLPKFEDPISAETTEPKQVENPFGNIAPKNPNWDLKRDVQKRMDKLEKRTQKALAEIALEQQKEKEALGEGSDAAQD >ORGLA06G0288700.1 pep scaffold:AGI1.1:Oglab06_unplaced183:123720:132430:-1 gene:ORGLA06G0288700 transcript:ORGLA06G0288700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT1G50380) TAIR;Acc:AT1G50380] MHLGRIAIQLHASAPLLFSRRATATALVLPHSRRAAFAMAATPPVARKVPRELAQHGDVRVDNYYWLRDDSRSHPDVLAHLRAENLYTATIMSDVKNLEDEIYAEIRARIKEDDIGAPLRKGQYYYYNRTLTGKEYVQHCRRLVPTDAPVTVYDVMPTGPNAPHEHIILDENVKAEGHDYYSIGAFKVSPNGKLVAYAQDTKGDEIYTVYVIDAESGKFVGQPLEGITSDIEWAGDDHLVYITMDAILRPDKVWLHMLESNQSDDICLYHEKDDMFSLGLQTSESKQYLFVESGSKNTSFIFYLDISKQSKELVVLTPRVYGIDTTASHRGNHFYIKRRSEEFYNSELIACPLDNVAETTVLLPHRESVKIQSFQLFENHIAVYERQNGLPKVTAYRLPATGEPIGQLQGGREIDFVDPAYDVEPEQSQFGSSVVRFHYSSMRTPPSVFDYDMDSGVSVLKKIDTVLGGFDASNYVTERNWAAASDGTQIPMTILYRKDRVNLDGSDPMLLYGYGSYEICIDPSFGRSRFSLVDRGFIYVIAHIRGGGEMGRKWYEDGKLLNKRNTFTDFIACAEHLIENKYCSKEKLCINGRSAGGLLMGAVLNMRPDLFKAAVAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEEYYYYMKSYSPVDNVKAQGYPNILVTAGLNDPRVMYSEPAKYVAKLRELKTDDNLLLFKCELGAGHFSKSGRFEKLREDAFTYAFILKALGMAPKAAL >ORGLA06G0288600.1 pep scaffold:AGI1.1:Oglab06_unplaced183:120904:122316:1 gene:ORGLA06G0288600 transcript:ORGLA06G0288600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:I1Q6X3] MRDVGTPADRDHSSSGSASTSAQAKKPASTNAEEPSSPTRGGQQQDSRRLRTLSDPELDLLITLKDLAMVCTENASLAVLGHDYDLPTLRALGIVLLETLKERLKETSIDPSVFDRLALLSDSDAYFPSIASDSESEGVRSKTPMGANGKRKQTQAGWLSEEGRKKRTPASQR >ORGLA06G0288500.1 pep scaffold:AGI1.1:Oglab06_unplaced183:115270:117150:1 gene:ORGLA06G0288500 transcript:ORGLA06G0288500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19970) TAIR;Acc:AT3G19970] MMASLHRPLSAMAVAAFAAVSSLELPDRLSHHKLPDTTVDAEAVVSIPASRPDVSAAPSASAMSRLHFLPRNLQTSHPAKAPPASLPVIHTVYHYAKFAKYYSEEEAVTTAMPSSSSPDVLYRWHLPEPKVCGDSHGKSQTVVVLLGWLGSRQKHLKRYADWYTSRGYHAVTFTLPMSDIVSYNVGGKAEKNVEMLSEHLADWVSEEDGKKIVFHTFSNTGWLCYGVILENLQRQDPSAMDKIKGCVVDSAPVAVPDSQVWASGFSAAIMKKHSVAAKGVKPNDVRPDVLVVESNKDHPKPAVSEAILLSALEKLFDVVLNYPAINRKLSGVMELLSSKQPKCPQLYIYSSADRVIPAKSVESFVESQRRAGHEVRACDFVSSPHVDHYRSNPELYTSQLTEFMEDCVLARCQAKEEEKEEEEEEEVTN >ORGLA06G0288400.1 pep scaffold:AGI1.1:Oglab06_unplaced183:105467:108327:1 gene:ORGLA06G0288400 transcript:ORGLA06G0288400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKPAGDAEADERRRLRSLAFSNGLLQRGEPAAPRSALAPSTAVSRLQGRDIVRRGGQRKSRFLFSFPGLLAPAAAASGGRVGELADLGTKNPLLYLDFPQGRMKLLGTHVYPKNKYLTLQMTSSRACYLCCCFIWQIVFSEAWWIGTKEEENPQELKLDFPKEFQNDEAVADSDFKGGAGASCDEAVSINKPPKETTTGSLSPKIESDIDSSEDSDLKDEDNTQSTSQAPSVRQSARTAGKALKYTEISSGDDSSDNDDEIDVPEDMDEKMKSPAVKNESQSEDIKPADSSAQPISAKKEPLVQATLSSMFKKAEEKKGPAAKKQRASPEEKHPTGKKSAGRSQKRRKTQVEDDEIEVLSSSSQVVPSSQLILTAYDRITTWTMIAMRTGLSDVQLKLKGM >ORGLA06G0288300.1 pep scaffold:AGI1.1:Oglab06_unplaced183:95642:97946:1 gene:ORGLA06G0288300 transcript:ORGLA06G0288300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1Q6X0] MAGRQQLVVVGMVVVMVMVMMQWTGGAAARHHHHHHHHKKSYEEVFDRQEADRVQRLPGQPAELGFRQFAGYVTVNETHGRALFYWFFEAASDVATKPLVLWLNGGPGCSSLGYGALEELGPLLVNNNDTLTINPESWNKEANLLFVESPAGVGFSYTNTTTDLAHFGDNLTAHDAHAFLVNWLERFPQFKGHDLYIAGESYAGHYVPQLATKILHFNKKKKEHDDDDRIINLKGIMIGNAAIDSSSDDRGLVEYAWDHAVISDEIYAAIKGNCTFPDDGNETDKCNAAWNGFFTAMGDIDIYSLYTPSCTAALNGTTTITNGTRSRFADKVLRLRRGLPYNTYNPCVDYRVIDYLNRGDVQAALHANVSGGIPYSWAPCSDALTNWTDAPPSTLPDIAALVRAGLRVWVFSGDTDDRVPVTSTRYALRKLKLKTVRPWKQWFTSDQVGGYTVLYDGLTFVTIRGAGHMVPMITPVQARQLFAHFLAGDDMPANPILAPTPP >ORGLA06G0288200.1 pep scaffold:AGI1.1:Oglab06_unplaced183:92002:93882:1 gene:ORGLA06G0288200 transcript:ORGLA06G0288200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHPLSDDFDASPVLSPPPPPSSCCPPAHDDHYLEHQVSRMDTLPGLAIKYGVEISDIKRANSLMTDSQMFAHKILLIPLPGRPMPSSVRLNGSGQKMKRAWAPNNQQNRDVTDSLDSSKYNSSKQQMSLAMSTLQSYYGLTPQNGAMTDAGTEMSLYSKGSLERINSETLVTSSRLPDTHNTDRSRNSEDTSNGFSATNGASGAKINGTAKAKQDGSIRRRQKVEADQVSNTTDTQDDVFTDPIKMTKSLLPRPISSIRQNMDTSNTESSLKSNGSFLSGFRSVRKSPSTPNFADAENGISMWSSSAWTFNHESFTRPLLDGLPKPTAPRRTKAALD >ORGLA06G0288100.1 pep scaffold:AGI1.1:Oglab06_unplaced183:87437:88690:1 gene:ORGLA06G0288100 transcript:ORGLA06G0288100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isopentenyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT5G20040) TAIR;Acc:AT5G20040] MQYGCRRPAVWKRSWSPAAAAATKNKVIVISGPTGAGKTRLALDLAKRLSGEIISADSVQVYRGLDVGSAKPSSSDRAAVPHHLIDILHASDDYSAGDFFHDARAATDHLLARARVPIVAGGTGLYLRWYIYGKPSVPQSSMDVTSAVWSELSRFRDTGRWEEAVDLVANAGDPKARDLSVNNWSRLRRSLEIIRSSGSPPSAFSLPYNAYNLNHHRRLSLTNQADQPTELELDYDFLCIFLACPRVELYRSIDLRCEEMLADTGGLLSEASWLLDIGLSPGMNSATCAIGYRQAMEYLLQCRHNGGSSSPQEFLEFLTKFQTASRNFSKRQMTWFRNEKIYQWVDASQPFDAIAQFICDAYHDRAARLVPDSLEMKRESCRHESRDLKTYRSENRVFRGDDDCCHVLDWITRTQRK >ORGLA06G0288000.1 pep scaffold:AGI1.1:Oglab06_unplaced183:81289:84113:-1 gene:ORGLA06G0288000 transcript:ORGLA06G0288000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II stability/assembly factor, chloroplast (HCF136) [Source:Projected from Arabidopsis thaliana (AT5G23120) TAIR;Acc:AT5G23120] MATTASLHLHLHLLLSSSRRRCRLLVPRAHTDSISTGRRRFIADTATASAAAAVGPLVLPRTPLARADQPPSLSEWERVLLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKNGGNTWFPRSIPSAEDEDFNYRFNSVSFMGKEGWIIGKPAILLHTSDAGDSWERIPLSAQLPGNMVYIKATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGSGITEDFEEASVQSRGFGILDVGYRSKDEAWAAGGSGVLLKTTNGGKTWVRDKAADNIAANLYSVKFLGDNKGYVLGNDGVLLRYVG >ORGLA06G0287900.1 pep scaffold:AGI1.1:Oglab06_unplaced183:74269:78939:-1 gene:ORGLA06G0287900 transcript:ORGLA06G0287900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYALEVTDRRPQSVPHTRCSTLVDHNTNISFSKGHLIHKPENRNITFATISIGHNSDQKLVPFLPNILQDINKRSCFASGAAGTEIIQFPQSIILYWLDCPNEKNMHDQVDHSKPFSSGSPPPGSTLRQRQHRRKIKKSLTALPPSNVLVNNSSRSVTAPVRSDSDILHDDGKPPRKSPKKKGNNKKGKHYRRAVCKGLNLPSETHCEENIDAASPVEVLTDLLADKLSETSSSASSLVKEAHFGEENGGDSGCTGSSNRTVGEIFSCKDVHYLNDESNNYERSLCARVSNSNDATAYSLFKKLERDNSVNCSVNDEVRDSCHPIGAHLSITHAEDSNDSFGCSSCCSKNVADSSNHTERVKCSSEACSSKTSLPVIPGRSRTRLKEISSYSLTATNGVIGTNKNKHSGKYSSTSVWQKVEKLNVENTSRAGCMVDSAIQNKDVLENINKVAQHNLTRSMKMNQRRKACNQHSPDERIEMEHAKENDALNSCQAFSRYKYKKQTSVLYQQTSLSSDQGTSQSSGNYHAPKNGIVMVPKNHLQQKDGLPMMQLVYDKDSSVDTGVGSNGSADGDGSSQSGFEKAALASCNMGSYLVPQDTCEECTSTMQADPHSSSTENKAISTNSNSRNLCADPSPAEMEERCYVKLTMENTPQECPKLYSATGKQCKLYSAAAHVSQKWVAVGKKNILHFDGSETSAVDSSVLTNCIPISANIGVETNVSSVLASANNEVNKLAAEISDKPNSSGHLDLRCQPHTDTGTDFNKMREAVCDAYRAQQRVEDVQVIIGRPLADFEQFISSASPVLYCSTCPADRNFCSPEWVRDGLCFHQSTDITLSRIWQWYEEPCCYGLEVKAQDFRRSKGLWNSPHQFTTYFVPYLSAVQLFGQAKRASTGRVDKEAAGMDVTSKTSPCPSSLPILTKLLPQQLRETTSSSDLYTKGDQQFGNGELIFEFFESEQPFWRRQLFDKVKELISGVKPSSCQISGDPKNLELSLCDLHPASWYCVAWYPIYRIPDGKFQAAFLTYHSLGHWIVQGASEQADDSRVVLPVTGLESYNDKGEWWFEVGRCSGEEDAAESREEESVWREASEVVKERVRTLKEAATVMSRAKVVMSNRSNRHPDHDFFLSRQLYQEPRKNH >ORGLA06G0287800.1 pep scaffold:AGI1.1:Oglab06_unplaced183:73227:73808:1 gene:ORGLA06G0287800 transcript:ORGLA06G0287800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSKSKTNNGFFVPSPATAMAPCFILLLIFFFLHVDASAAAASSSSHPQLQVQQMQVKRARSLLQAPKIDCQGTCSGRCANNWKKEMCNKMCNVCCNRCNCVPPGSGQDTRHLCPCYDTMVNPHNGKLKCP >ORGLA06G0287700.1 pep scaffold:AGI1.1:Oglab06_unplaced183:61361:67547:1 gene:ORGLA06G0287700 transcript:ORGLA06G0287700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRPRLPGFGEDCEPRGGGRGGGGGGGRGRGSYYPQAQQYHPQGHGGRGGAGYYHGAAPQPRGAMVVQQWRPATAAAEHLGHQQPYNSSVRPQHYYGPSAIAPELRQAMDAPHEPPANVSSPEAASPEASSPRSLALEVTEQLQDLSVQYQLSESQEEIVQHVPVSTKSFKFPHRPGSGSIGTRCLVKANHFFAQLPDKDLHQYDVSITPELTSRIRSRAVLEELVRLHKMSYLGGRLPAYDGRKSLYTAGPLPFTSKEFCISLLEEDDGSGSERRQKTYNVVIKFAARADLHRLEQFLAGRQAEAPQEALQVLDIVLRELPTARYAPFGRSFFSPDLGRRRSLGEGLETWRGFYQSIRPTQMGLSLNIDMSATAFFEPLPVIDFVIQLLNTDIRSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDQGGTVKSVVQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQNQIRALLEETCQRPHDRERDIIQMVNHNSYHEDPYAKEFGIKISERLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGRVRSWICVNFARNVQENVASGFCRELARMCQASGMDFALEPVLPSMYARPDQVERALKARFHDAMNILGPQHKELDLLIGLLPDNNGSLYGDLKRICEIDLGLVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIDDLYNITHDPHRGPICGGMVRELLISFKRSTGQKPQRIIFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSDSGSMASGRGGGSSTSRSTRAAGGGAVRPLPALKDSVKNVMFYC >ORGLA06G0287600.1 pep scaffold:AGI1.1:Oglab06_unplaced183:46183:49189:-1 gene:ORGLA06G0287600 transcript:ORGLA06G0287600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITLLRSASLPGLSDALARDAQHVCSSFLPNNNNNKEKKRRWILCSLKYACLGVDPAPGEIARTSPVYSSLTVTPAGEAVISSEQKVYDVVLKQAALLKRHLRPQPHTIPIIPKDLDLPRNGLKQAYHRCGEICEEYAKTFYLGTMLMTEDRRRAIWAIYVWCRRTDELVDGPNASHITPSALDRWEKRLDDLFTGRPYDMLDAALSDTISKFPIDIQPFRDMIEGMRSDLRKTRYKNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDARRGRIYLPQDELAEAGLSDEDIFNGVVTNKWRSFMKRQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKAKKLLALPVAYGRSLLMPYSLRNSQK >ORGLA06G0287500.1 pep scaffold:AGI1.1:Oglab06_unplaced183:42223:44469:1 gene:ORGLA06G0287500 transcript:ORGLA06G0287500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSSSTSTTSPASSRPSSSRPPVAPARCSSISPRISSSRCPCRPGTCPCACRDTSPGYQSHRPPTCSTKSSVSSATPRNPSSTSAGGCSASGDELRCFVELTGIPLTTTLMGIGNFPSDGPLSLWMLGMHGTVYANYAVDNTDLLLAFGVRFDDRTNRQNRCIREEGKLTTAEEGTAGGNSYSS >ORGLA06G0287400.1 pep scaffold:AGI1.1:Oglab06_unplaced183:26148:40870:-1 gene:ORGLA06G0287400 transcript:ORGLA06G0287400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGARRPDFSSAASPSPSPAGAAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSNGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQSPKTEQQAKLDDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHDIALSHDIDLSSMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPEDAYPSRLNGIIGMDYISSLVLQCNAKKKKYLLGVLILWVPISRLVANAGEQICRRFLESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTIKPLVQPTKDIMKEPIRDFQWHEFFPRANNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNEHLIPSDSHKSKGLRAAFTGKPSKTSGDEQEKEKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLKKRMGSDPYFSYAIRECYGSFKNIINTLVFGQREKIVIQQIFTIVDEHIEGGSLIKDLNMRSLPALSKKFIELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDQLGGLLDSVHGGNRKHEGMTSLDQQDQLFTKAIRFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLDRVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDDLMEGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTVSQDKHPYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKKFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPTKSWESWWEKEQEPLRYSGKRGTILEILLALRFFVYQYGLVYHLNITKHTRSVLVYCFSWVVIFVILLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQAIKPAVQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >ORGLA06G0287300.1 pep scaffold:AGI1.1:Oglab06_unplaced183:18553:21531:-1 gene:ORGLA06G0287300 transcript:ORGLA06G0287300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPQLEEKDSSDLAINKGQSLDLVKSPLMMNDASATVTAMQPNEGMEEFPVKVRKPYTITKQREKWTEEEHDKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGSNNAIEIPPPRPKRKPLHPYPRKCANSGSDANPATAQLKLAPGSSSSGSDQENGSPISVLSAMQSDAFGSSVSNPSTRCTSPASSDDGNNIPTFTSGEDNNVPCEPTVIDPSQSHKEIDQDRKDVNNMSEEDSLEEEVQETSLKLFGRTVVIPDPRKRSSSDPKHESEEQISQPSNEEMLQASSSVGEIPAAYCAPNGWFMSYNSFPFQFGESAADARIPPLHVWWPYYGFAPISHPRGLSTVMQQTEGSDESDGGKSHSSESSSDSGENVQMTAPQSSRIVESLGAIYVRDSGSSFELKPSANSAFVRVKPSNSGDEEVIRGFVPYKRCKFQ >ORGLA06G0287200.1 pep scaffold:AGI1.1:Oglab06_unplaced183:5842:13316:1 gene:ORGLA06G0287200 transcript:ORGLA06G0287200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:Projected from Arabidopsis thaliana (AT1G21630) TAIR;Acc:AT1G21630] MEAAFDAYFRAADLDRDGRISGQEAVAFFKASALPQPVLAQIWTYADKNRTGFLGREDFYNALRLVTVAQSGRELTPDIVRSALYGPAAAKIPAPRINVSTPLPNATSVTSPLQPTQAPRPAQQSPAIQGSQGPLSTSLNPQVLQPGNVVRPPQASIANTPAQAIAPRAPAGGVPNHTVPATTGLSTDWFNGKKSASPLGVTSQTPTRGVSPQVNLATAGIPTQSSTPIAGYGSHTPASTTSVKANSADLNLLSSPPAANDSKALVPLGNGLSSASTFGVDPFAATPQAKQDSSSPPVVSNSLPSANALGPSAGPHHPPKPLQTGPMQGVASLPSQPAPKQNQFNSMPSAPAPMGSFPGGQIPSNTNQSQAPWPKITQADVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPRELLRKVWDLSDQDKDGMLSFREFCTAVYLMERHREQRPLPDVLPDGIWAEGISLPSTGQFAENPTGPASHPSAGFTSRAMPGQHHGMPPSSMKPPPRRPLSLDADDAVRTEKQKPKIPVLEEHLTGQLSKEEQSALDAKFKEASDADKKVQELEKEILDSREKTEFYRTKMQELILYKSRCDNRFNEVSERMSADKREVQSLAAKYDERCKKVGDVASKLSMDEATFREIQEKKLEIYNAIVKLQKGDGNDEKLQERANQIQSDLEELVKSLNEQCKRYGLRAKPTTLVELPFGWQPGIQETAAVWDEEWDKFGDDGFSTIKELTVEMEPPVVQKDQPTVEDSKVSTNGPSAPTSTEKEDSRGDKSAAASEQTVEPDATPSDSKTVAAKSPTVSPVKNTKDGHSDERDKKQSGTNDTSSRAVESVSNNGGADSPVHGEKRDDSHYCGPSFDNGDDNDSLWNFNRKDGENGDSDLFFGPQGLPPIRTGGSSTAGSVYGKEQKPFFDSVPGTPVEKPFFDSVPGTPLQKSVFDYSVPSTPMQKSVFDYSVPSTPLQKSLFDSVPSTPMQKSVFDSVPSTPMQNSLFDSFPSTPMQRSLFDSGPSRAESPTASSIYGKEQRGFFDSSVPSTPMYNSSFSPRYSEAGDDSSFDTFSQMDSFGMNDSNSFGQRDSFSRFDSFRSNADQGSNDTFGRFDSFRSNADQGGGNSFTRYDSMNSSSDHDRTDAFARFDSMKSTDYNSRGYSFDEDDPFGTGPFKSSDTSSPTKHGTDRWSAF >ORGLA06G0287100.1 pep scaffold:AGI1.1:Oglab06_unplaced183:492:1613:1 gene:ORGLA06G0287100 transcript:ORGLA06G0287100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASDLNTHLPPRKRLLAGLRMAAPPCADAPPPALLTADLAARLRHMMASSSSPDDIVQAARSAASTAAQAAAAARATAAEKAAVAAKARAAARAAMEFLDSISRPASSRNGLQLKLKSRKKHVQVKLLYKPNGRLEEGRGGPPTTGDASSKPRRRGRETDEEVARKLHRAMNSSPRISFTGPKRPRTIAAENGGVLDACNGSSPHPPTFEVSTITNGCSLGQSSELPVPFSEHEGLDDDNKDSSGHDTTKSRAIVGNGVGAGNLSAGRKVKIKRKELLLNQHNSKDTQEAKEIKPSIDSIRYDESKQNGAEKRLNQLVDAKDPCDGLAPMKISSVWRFKKFKTSHCSSDSKVLHNVCPSTSAAETSASVKAD >ORGLA06G0287000.1 pep scaffold:AGI1.1:Oglab06_unplaced182:96963:97187:1 gene:ORGLA06G0287000 transcript:ORGLA06G0287000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALIALASAVLLLVAAVAPPLAAADDGGAGVPGEGKLESAGSAIKSAAANAFGVGSDIGGVPVNPSPGGANA >ORGLA06G0286900.1 pep scaffold:AGI1.1:Oglab06_unplaced182:93436:93669:1 gene:ORGLA06G0286900 transcript:ORGLA06G0286900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAALFLAALLFVAMVVVPVARAAEESSAESPSSESSSSSSADAPAEGPDGPAAAPGPGEGIDGLSDDNDDDSSN >ORGLA06G0286800.1 pep scaffold:AGI1.1:Oglab06_unplaced182:78050:78871:1 gene:ORGLA06G0286800 transcript:ORGLA06G0286800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRLSAAAAAPHGVLLLLLLLLPLVAAAGPAAAKAPAAPPAPPNVTTAMAKGGCKAFADLIAASPDASSTYQSAAGGGITVFCPTDDAVRAFLPRYKNLTADGKAELLLFHAVPVYYSRGSLKSNNGVMNTLATDGAAKNYNFTVQNEGDAVTIKTAASGDAARVKSTVVDADPVAIFTVDAVIEPVELFKPAPSPTPAPSPAPAADAPKASKPAHHPAPVVADAPGPAATDSPPADQKKEAKKSAAAGAPPCVRWFAAALAAVAMASTLA >ORGLA06G0286700.1 pep scaffold:AGI1.1:Oglab06_unplaced182:63665:65479:-1 gene:ORGLA06G0286700 transcript:ORGLA06G0286700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGDGSFHRNEAISAVQDVDQYYGDDDDYDDLYNDVNVGDGFLQSSHPPPQPPAPPQQQQQPPPISQQPPPLQAPPPPPQQQHQQQHLQAPPSLPPPPPQRQPEKVHIPGVAAVPPAPVPDRPNPVHLPPQPQPPVAAAPPPPPHNQIQPGGGDGFHRQGGGNYGGGPIVVGNGGGGDGPGGTTLFVGELHWWTTDADLEAELSKYGQVKEVRFFDEKASGKSKGYCQVDFYDPGAAASCKEGMNGHLFNGRPCVVAFASPHTVRRMGEAQVKNQQSMAQQNSGVQKGGRGGGAAGGPGGAQVGGNYGGGRGGGGGGPGGGGGGGGGGNWGRGGGGMGGRGQAGNMRNRMGPVGGRGLMGNGGMVAPPPPMLHPGGMLGQGFDPTGYGAAMGRMGGGFGGFPGGPGAAPFPGLMQPFPPVVAPHVNPAFFGRGGGMGAGGVGMWPDPSMGGWGGEEQSSYGDDAASDQQYGEGGSHGKERPPEREWSGASDRRREREKDLPPPPDWPERRHRDERDAGRERERERDRDRERERDRDRERERERDRDRERERYRDDRDRHGDYHRHGKRESDRNEDWDRGRSSGRRSRSREVDHSKRRRMSPE >ORGLA06G0286600.1 pep scaffold:AGI1.1:Oglab06_unplaced182:58089:60304:1 gene:ORGLA06G0286600 transcript:ORGLA06G0286600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAVGHGPRTRIRGGGLAAAPTAPSAAARRLSAVSYTAAPNLTKKVPDPKVVKPARRTTPVKKRPQVDQAQKQREELAALQEQLSGLQKKLLEKDEALRSAEHLISRISAANAAVDELRGQLTEKESQIESTGSELHGAKIQLAEKQAALEKLEWEAKVSSTKVEELQVDVASMDVEISALMKLFRKITENDRAPYSRERADDSSLECEPVQLDDMVGDIDMEKMEQEMSAYATALAAAKDNPTDEFLKAVTEARLRLQAFVL >ORGLA06G0286500.1 pep scaffold:AGI1.1:Oglab06_unplaced182:55257:55946:1 gene:ORGLA06G0286500 transcript:ORGLA06G0286500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAGRRPAPQFAGVDLRRPKGYPAAAQLTPAAEEAAAGVGDPCPRCESRDTKFCYYNNYNTSQPRHFCKSCRRYWTKGGSLRNVPVGGGSRKSSTSSSSSSAAASSSSSPSSPAKSPKRSKNSKRRRVSPPPPQPAPTPPPPTTADAADVAAPTAPEATTKKAPEDLTAAAATQPAVALGLGVADGGGGGKEHLDTSPFEWPSGCDLGPYWPTGVFADTDPSLFLNLP >ORGLA06G0286400.1 pep scaffold:AGI1.1:Oglab06_unplaced182:45556:51144:-1 gene:ORGLA06G0286400 transcript:ORGLA06G0286400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRHHLPAVLRLFSTSCRRGHPTQPPQHPSPAPLPLPPPVAKKVPFTASAHGRSWSDPYHWMRDTSDPDLAALLEAENAYADAFVDSAGEGGGGLRARLAAEMRARLPPSAASPPQPWGPWLYYQYVPEGKEYPVLSRRLRSSGGLARAALDFISGSKKEQVLLDWNEIAEKFGYVHIGSCRISPDHRFLAYTLDISGDELFSLEVKDIQSTNTIFSSPHKGIVSLAWSRNSDNLFYTVCDETLRPNQVLCKDLQSDQAGFLVFMEKDINCCVDITSTKDFKYVTINSNTRTSSEVYVMESGHVRGGLWPVQKRSDKVQYFLEHHNGFFYILTNAPLEGTETANGGYYLARCRAEKSEMDKWQVVALPGSYYTFQDMDIFHEQLVLFLRKSGLPLICSINLPIDVDFQEQKELDDLDPWFFPVPSDLCSIVPGSNNDFMSSTYRLVLSSPVLPDLTVDYNMRMRTFAILHQEEVTGLSSNLCTVGLQSNITGIQQNLQLIEDSQSWSDLSKLFSCERVQVISHDGVSVPLVILYSREAHRRGESPGVLYGYGAYGEDLDKSWCSDRLSLLARGWVVAFADVRGGGDSSWHLAGTKANKINSIKDFAACGTHLIKEGFVHKNRLCAIGCSAGGLLVGAVINMLPDLFSAAVLKVPFLDICNTMMDSTLPLTILDYEEFGDPNISTEFDTIRSYSPYDNLSPDICYPPVLVTASFNDTRVGVWEAAKWVSKVRDITCQSCSWSVILKTNMQSGHFGEGGRFMHCDETAFEYAFLMKALGLDDNDSCKIV >ORGLA06G0286300.1 pep scaffold:AGI1.1:Oglab06_unplaced182:38204:41176:-1 gene:ORGLA06G0286300 transcript:ORGLA06G0286300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGVKAAAAAEKAREAARAKVWAARASTTVVLWLCCALLLATSRELGRWSGCLTQPLIVVERRFEAVAAAGSERAAASASAAAAARGERAESSASEAAVAALPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSEFKDIFDVDYFISSLRDEVRILKELPPRLKRRVELGYVRSMPPVSWSDISYYQNQILPLIRKYKIVHLNKTDARLANNGLPMEIQKLRCRVNFAALRFTPEIEELGRRVVRILRRNGPFLVLHLRYEMDMLAFSGCTHGCSNEEAEELTRMRYAYPWWKEKVIDSNAKRNDGLCPLTPEETAMVLKALDVDSSYQIYIAAGEIYGGQRRMAALTSAYPNVVRKETLLPSDLRFFQNHSSQMAALDYIVSLESDIFIPTYDGNMAKVVEGHRRYLGFKKTVLLDRKLIVELVDQYKNGTMSWNHFSSAVKASHSSRMGAPSRRQMIPDKPKEEDYFYANPHECLHQPEELSVL >ORGLA06G0286200.1 pep scaffold:AGI1.1:Oglab06_unplaced182:28172:34866:1 gene:ORGLA06G0286200 transcript:ORGLA06G0286200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGNKATTREHDFLSLYTTAAKDPSLQLHDAKPPPPSQGFFLRTHDFLQPLEKPTPAPAPPPTSQLQQQQQQAFPGGIGTFSISHVAGARPVAAAVVKAEPTPFVLWGQPAAAAAAHPVAALGHHHHHHQQWTLPFAGVGQVAATAARQQQERKGRVGGGGFMDSGSRSSGGAGFDDDDGVAARREVSSSLKAELTVRVDGKGGSCSGSGTDQRPSSPRSKHSATEQRRRSKINDRFQILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVQKFEASVPEWNQENAKILPWSNIYFRSFWKNSQSKGQNPGDDLPDPSQFIRNGSSSGYNFTGKPDDNHNMVTSAAASGAQELVETDHAASVSYRSAETPTNITNNVTSRAQAQWASPAGVDDCAMNSEMLNNQQLAIDEGTISLSSQYSQQLLGTLTHALESSGVDLSQASISVQINLGKRAVKRPGADGSSSSKELPSTSANNENMGHQLTMLGGGTEELPYPTKRHKSGNS >ORGLA06G0286100.1 pep scaffold:AGI1.1:Oglab06_unplaced182:10528:11313:1 gene:ORGLA06G0286100 transcript:ORGLA06G0286100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPETTVPPESTHMGSPPPSHSPSPPPPLQGDHSLPTDAPPEPSPQPHHATPISPPSPGQATKERPRVEEPQPPIDGTPGAAGPPAQPSFFPSLELGTSAAPAAPAATRQPGSPSSHPPVEPSVEFYPGSAASSPSSSSYETAQDDWPAPPPRAHSPTTSLLAGFILHRVFPCAXSLRRGGGLARRTQATAADTIGDKAEGYLRPTGPKNSLSSATSARQVERRLRDVRGQISTIMGCLPPNVHFRGVPELNTHNCDVL >ORGLA06G0286000.1 pep scaffold:AGI1.1:Oglab06_unplaced182:5145:7127:-1 gene:ORGLA06G0286000 transcript:ORGLA06G0286000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLDCPVQTQMAVAVLDRSFSSEYPASSRTEGRSCSWKRVFVQTENGYVLGIELERGENAHTVKKKLQVALKVPTEESSLTFGDLVLNNDLSSIRNDSPLLLRKNQMHRSSSTPCLSPTAHDVQEQDHSEPIEILGCLSPSSRMKQLAKDVVEAIRNGVDPVPVNSGMGGAYYFKNIYGERVAIVKPTDEEPFAPNNPKGFVGKTLGLPGLKRSVPVGETGLREVAAYLLDHDNFANVPPTMLVKITHSVFNVNDTVGCKSKVFHNKLQAVSKLASLQQFIAHDYDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLGPGPDNFGVQTELIPIDHGLCLPESLEDPYFEWIHWPQASIPFTEEELEYIANLDPVKDAEMLRLELPFIRGACLRVLVLSTIFLKEAAAFGLCLSEIGEMMSRQFTGKEEEPSELELLCMEARKWVKKRELFLPEAGVEDDNDGFTQFSIDSEDGSDASELPSFSKFGLMNASHRNPLSKLDECDEEDGEEEEDDDGDEEDDDEDMFKDDAGNLKNPFSKHIPSVSKLSASFKGLGFIGKARAYHKGVPKNKVTAKTNYSGKGSEHQSGSRSANELLPPSASFVKLSDMGSDEWSAFLDKFQELLPSAFRARKHAAADGPRPLQRLGTSFQF >ORGLA06G0285900.1 pep scaffold:AGI1.1:Oglab06_unplaced181:10603:14927:1 gene:ORGLA06G0285900 transcript:ORGLA06G0285900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRSLGASSVAALRPTPSRGRGPTLRSAVAVQGRGAAAVAARGVRWEAGRRKGKGRMVGVRCEAAVTEKPAGEEEAAGEQFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDSSVLSDGGELEIRIKPDPEAGTITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKADKQYVWEAMADSSSYVIKEETDPEKMLTRGTQITLFLRDDDKYEFADPGRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEEEEEEPKEGEEATEGEKKKKKKTITEKYWDWELANETKPIWMRNPKEVEKTEYNEFYKKAFNEFLDPLAYTHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEIAEKEDKEDYKKFWESFGKFVKLGCIEDTGNHKRLAPLLRFYSSKNETDLISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDKENESKQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLSAACKNEPESTEAKRAVELLYETALISSGYTPDSPAELGGKIYEMMTIALGGRWGRPEESEAATSESNVEVESSEGSATEVVEPSEVRPESDPWKD >ORGLA06G0285800.1 pep scaffold:AGI1.1:Oglab06_unplaced181:8065:9503:-1 gene:ORGLA06G0285800 transcript:ORGLA06G0285800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSATAAASSSPSRRPAALYMSMMASSIPPDEQTFLSMLKSERRSVGKQVHAHVEVSGLHSSVYLRNSLIKMYLDAGDVEAVEAMFRCTPTADTVSCNIMLSGYVKGGCGGKALRFFRGMVSRGIGVDQYSVHGCCSPRLLRAAEEGSSWPGKRKTNSGWQEENKLSLSSRRSIAKKKRASSSGEDPHRRRFCLRRRRVSHHQHAKPIAT >ORGLA06G0285700.1 pep scaffold:AGI1.1:Oglab06_unplaced181:6482:6772:1 gene:ORGLA06G0285700 transcript:ORGLA06G0285700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRNMLRPAASGLCDEGDGEDEGSEGGKHLGLRDEEGDNEVGVEEPGGDVGDERGVEGEAKLGVEEVELGERVDNDEEGGEGEADDKFGDEGGAT >ORGLA06G0285600.1 pep scaffold:AGI1.1:Oglab06_unplaced181:2574:3661:-1 gene:ORGLA06G0285600 transcript:ORGLA06G0285600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAGVLLLLLLLSLSALSASASEANEERLLRENATPITGRKWLRGRKAMAAAGRMGHGGVVVVEGKGGGEEKNKKNTGANTAHVHGNGSERAVDVAVVGKSGGKHGIKSPLNEGLKCVISVVPGAANQEADASAKAVNHEEPSKHDDTAAAVSRMMSMDYKTQDARHHRPINNDAPLDHELVEKP >ORGLA06G0285500.1 pep scaffold:AGI1.1:Oglab06_unplaced180:8830:9760:1 gene:ORGLA06G0285500 transcript:ORGLA06G0285500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHEADRTRAKVGYVDPTRICKTQHTVELREDCEQLVGKTPEEKEEYVKTLHKRKKLEAATYLAIAMLAHADKDVLMVPYAFTDHYKLFLVYPKDQLIISLDPAHYDKETFMEFLTILNLAHKYYRKRGGPVHIPSXKQLSVRTGWPCYKQPPGTNLCGYYVCEMLRVNGRSPKSHILHNDSTTVLS >ORGLA06G0285400.1 pep scaffold:AGI1.1:Oglab06_unplaced180:446:676:1 gene:ORGLA06G0285400 transcript:ORGLA06G0285400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGFTANYKIWTYHVEFADPEDDDEELSFEMNEAENFIIEDMSRERMYVDVSTDSDDFEGGFDLEDMLRMLNQRC >ORGLA06G0285300.1 pep scaffold:AGI1.1:Oglab06_unplaced178:2867:3296:1 gene:ORGLA06G0285300 transcript:ORGLA06G0285300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXTNASSKLSSVEMVPGVQMNMDDGEGPSAEVNETSMEEVNAREDGGVVAPVGIQPGGVADEEETVGAIVDEMEREDSDNERVEEGDSSDDETDINPAEWATEDFFGLVVSEEDSVR >ORGLA06G0285200.1 pep scaffold:AGI1.1:Oglab06_unplaced176:6072:6386:-1 gene:ORGLA06G0285200 transcript:ORGLA06G0285200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGTSSQGPPLDHAGTSSDRLLPSTLLFDITDFDFASGSTEDVIGPSQLGGAPPVQTQDQAQATPPPDTRATRAVPPDRFTYSQDHVRAQAQRTKRGRGAGQRQ >ORGLA06G0285100.1 pep scaffold:AGI1.1:Oglab06_unplaced176:4332:5213:-1 gene:ORGLA06G0285100 transcript:ORGLA06G0285100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPFKMQEPPRRCQYREWIDTRRVLAPPSRVVQLELPEQYRKNLLAVLFTSRSLAALYWGGKGPKCKKYGRKLFMGGQNCN >ORGLA06G0285000.1 pep scaffold:AGI1.1:ADWL01013154.1:2101:2800:-1 gene:ORGLA06G0285000 transcript:ORGLA06G0285000.1 gene_biotype:protein_coding transcript_biotype:protein_coding YQVCTGAKSEQQSKLAARKYARIIQKLGFAAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQ >ORGLA06G0284900.1 pep scaffold:AGI1.1:Oglab06_unplaced171:89:626:-1 gene:ORGLA06G0284900 transcript:ORGLA06G0284900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGWRQIAAGSERCQMGAGDERRPSGADPAQGALPRADPAAAPSPTTSTSKSAAGDGVAAGEGDVDVEELEMMKMMGIPVGFDSTKGKHVPDADVSGVRVVTKRQPRQYMNRRGGFNRSATAEDRKA >ORGLA06G0284800.1 pep scaffold:AGI1.1:Oglab06_unplaced169:136511:136837:-1 gene:ORGLA06G0284800 transcript:ORGLA06G0284800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAAATTDSIPLLPRTSDTSRSATSPSSSSTSALLLSHQLWYGGKLASWGCRRRLIWSLAAEHKQRASRSATSPASSSTTQCIRRHNINLKCGPPNRLPCFRVLA >ORGLA06G0284700.1 pep scaffold:AGI1.1:Oglab06_unplaced169:132933:135354:1 gene:ORGLA06G0284700 transcript:ORGLA06G0284700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNSMIPPSEASQLHDAGASPMFLDNTSAATTSFTNLVEPDDSSAGLGMKQIETDQGANEDSQTRKGSEKMTPKVGMKFNSEQEAYDFYNAYASEIGFSIRRSSYHYMGNTKIIKNRTFCCSREGTRGVDKRTEALGYGNSFNRPETRCKCQACMKISLIDGFYQVYHFVPEHSHILTTKSQAHQLRSQRKVNEAQVASVEVAKSVGISTKAAVDLLAKQSCGYENLGFTRVDMKNKLYSKRSLQTKQEEEEEFIKAWHQLLDKYELQQNKWLQQIFDKRHQWALVYGRNTFSADMSTTQRNESFNNELKGYISVKYDMLTFFEHFDRLLGDKRYEEVKCDFRATQSTPRPKAELRILRDVVEVYTPAVYKIFEEEVMLTLNCDIFYCGDVDEQKVYKIKSHEDIEKWLKIRCNPDLETSSSPQGVKEN >ORGLA06G0284600.1 pep scaffold:AGI1.1:Oglab06_unplaced169:82636:85984:1 gene:ORGLA06G0284600 transcript:ORGLA06G0284600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDLRWSQPFSHATVDVPKDIMKLIQDINVELRGEDESWAPNETNYVPALSYKRFEETDSHDWHSRSSQNPVVVEEEKFRDDTREAKEPYALGWKQKQFNNQDQKSFQSDSKTQASPTLALHKAEDPWSIRRGTTPEKYKVLKTVKGILNLSTPEKFDILKGQLIEAGITRTDILKDVIDLTLEKAVAEPTLCPMYAQLCSYLNENLTAFPPKNTHCEQITFKQALSDKCQQAFEIARNVRADIYKLTGRDQEMERRDKERLVKHQILGKIRLIRDLLKQKMVPDKIVHQIAQAVTDCENFHFEPLENVDLLNIIFDGVLDSVLAGTGANKIVNAIIGTEKCSIASNDVGIIDKDANRRHEEATLRQSSFSHIVKNEKQTNSETSVRISEVGCSISEIMELVVDAGADEGSDEHFIATLLFIKPEYREIFLTLNSPQGRLGWLKRMCKVKE >ORGLA06G0284500.1 pep scaffold:AGI1.1:Oglab06_unplaced169:77217:79531:1 gene:ORGLA06G0284500 transcript:ORGLA06G0284500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQILCECCNSDHRSSSTPMATTSSSAADPAAAAISPTPSQQHASSTVTTLDDRRPAGTSSSAGETEPKAAVEPQEYPRRPGWPDCSYYVEFGSCKFGMGCLYNHPAKHAGGCDKLEHPQRPGEHDCLHYLRFGRCKYGMNCRFNHPPDRVPQQQVYFPWKACHCHHSEGKSEAEQVKLNFIGLPLRPGTGLCSYYMNRGICKFGSNCKFHHPNPGSGHEKWDGSLQTNQISSGVNIYSVLDHGELNEQPVPSKDGFQVSFVQNIVGFNFYGVMPNTHH >ORGLA06G0284400.1 pep scaffold:AGI1.1:Oglab06_unplaced169:66847:67101:1 gene:ORGLA06G0284400 transcript:ORGLA06G0284400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWGGRETDLGGGQGERAGGELVQSHDQRPRFGRERLGPWMTSAVVGKKGKGRGKGGLDPCRNGKRRRERASETGAASLGLGS >ORGLA06G0284300.1 pep scaffold:AGI1.1:Oglab06_unplaced169:61380:61544:-1 gene:ORGLA06G0284300 transcript:ORGLA06G0284300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMDNLSGQKRICVIRDVYGHHRPGFPSESGMLCCWAAGLWESEKMRKALGAD >ORGLA06G0284200.1 pep scaffold:AGI1.1:Oglab06_unplaced168:255560:260681:-1 gene:ORGLA06G0284200 transcript:ORGLA06G0284200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSKTPAPEPSTTNMPSAPPAVKGVAKTLAQHHKAVIGFLLGFFLVLLLYTFLSGQLVSSEDAIVRAVTQQSTAAVHTDQDGRTTSPTSPTSTSSNTTQDNLEGKNTERSSQPAVNDEASDKMEEDLIRQDIDQAGTKNGTNHKPGAPRKPICDLSDPRYDICEISGDARTMGTNRTILYVPPVGERGLADDSHEWSIRDQSRKYLEYINKVTVRSLDAQAAPGCTSRHAVPAVVFAMNGLTSNPWHDFSDVLIPLFITTRVYEGEVQFLVSDLQPWFVDKYRLILTNLSRYDIVDFNQDSGVRCYPHITVGLRSHRDLGIDPARTPRNYTMLDFRLYIREVYSLPPAGVDIPFKESSMQRRPRAMLINRGRTRKFVNFQEIAAAVVAAGFEVVPVEPRRDLSIEEFSRVVDSCDVLVGAHGAGLTNFFFLRTNAVMLQVVPWGHMEHPSMVFYGGPAREMRLRDVEYSIAAEESTLYDKYGKDHPAIRDPESIHKQGWQFGMKYYWIEQDIKLNVTRFAPTLQQVLQMLRG >ORGLA06G0284100.1 pep scaffold:AGI1.1:Oglab06_unplaced168:240634:243047:-1 gene:ORGLA06G0284100 transcript:ORGLA06G0284100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVDEPRSFPLLLRSILSSPCRIFLLLISSSLVQPIDGNAAVHTGALERALRTAAATSSPLPSIXIKPERATTPPASPQPPLHFASRILKNGATSVEIVHRLLHLRSPSPLSIPPPPFDEWIEPLPSSRSSTPSPDHLLHRCRCPDFPAPRSRFDDCLIGEEVI >ORGLA06G0284000.1 pep scaffold:AGI1.1:Oglab06_unplaced168:211584:219505:-1 gene:ORGLA06G0284000 transcript:ORGLA06G0284000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIDYVDLCDDEEIIVEEPGPHGQVHAADMHVELVDLTTEGDGVEDQNIPGKDDAVLCTTLHSPNFVAAHGQGDTAHRIVTLCKQGFIAVVDDAEEAMQSGNRELSAANDGKGEAMQSADQGIVVAGDCTEEVMMSGNQDFASAVADAEETMQSGTQEFVAAGDHSRDAMQFGNAGQASTCSSMSEQGAITYSSMTEQIATASSSMTGQWSREAAAFLCSRPMSIASPFPRQFWKAGEYSVAAQPTINSDQNHLRIHPKFLHSNATSHKWAFGAIAELLDNAVDEVNNGATFVKIDKIKCSLIDEYSLVIQDDGGGMSPESLRHCMSFGFSKKSGNSSIGQYGNGFKTSTMRLGADVIVFSCTQDNRRLTRSIGLLSYTFLTKTGCNDILVPVVDYEFDESSHTLKKIMDRGEKHFSSNLSTLLKWSPFTTEDDLLNQFGDMGCHGTKLIVFNLWFNDEWEMELDFASDEEDIMISGAPAMPDGKKTVGRLNHMHVANRFRYSLRVYASILYLQLPKHFKVILCGRVVEPHHIVNDLIYCECIKYRPQVGINIEVDVITTIGYLRGAPKLDIHGFNVYHKNRLILPFWCAHPDKSHSKGIAGVLEANFIRPTHDKQDFEKTGLFHRLETRLKEMTLEYWKHHAHLVGYARVTKALPPAHYASTVARDDSLAAQASTVAYDDNSRARESVLFDMSSNGESSKRRNSCSVIHWRAQKRQHINDYANQPPDVNAVQMKDERIRHLICQKKVLKDECSKLEASEQQLLCKADRLRSELLEWHEMYKKLTDEVKFYDGLYALQRCNHSSFPRYQGSDAGCLTRP >ORGLA06G0283900.1 pep scaffold:AGI1.1:Oglab06_unplaced168:203517:204152:-1 gene:ORGLA06G0283900 transcript:ORGLA06G0283900.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKELKEKMLGSGMPWPGDEGDQRWEQAWMAIKKVWALKWNERAYFSTRKVKLDHDYLSMTVLVQEIVNADYAFVIYTTNPSSGDSSEIYAEVVKGLGETLVGQ >ORGLA06G0283800.1 pep scaffold:AGI1.1:Oglab06_unplaced168:199424:202071:-1 gene:ORGLA06G0283800 transcript:ORGLA06G0283800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSPRNAGASGRGFGRGFTGNGYSCWNGPVRSHALFVDENKCIGCRECVHHAGETFAMDDVLGSAHVEVQFGDQEQKIQVAVESCPVNCIHWVMSEELAVLEFLARPQQKEAHGVFGGGWERPRDVFAAANNFTKRLQREEQQDMARQQRYNNGDACEEGETERQAEARRRAGQELRWKRLRDVWNGLRDWTKPGVDGP >ORGLA06G0283700.1 pep scaffold:AGI1.1:Oglab06_unplaced168:189710:190859:-1 gene:ORGLA06G0283700 transcript:ORGLA06G0283700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDMEIESLGTQAHWLHEWHCSYQVRSMNHKIAFLDPTIVNFDKQCTSEAEIDRYLFDALVKLNGCDHILLPYLSHHHWILLVINIDDSSICIYDSLRGIDKYQTILSALNRAYKKYRRSGRSYGRCKIDATEFRIFEHKYILRQLEATDLCGFYVMRYMLYFVEDGYNHRNAEKLGLDTSEILPHVFKALTDEFCGFIRHHVVDPTGEYNINKVTQRVQSSVPPPRDQAAKKLAPRKRKTS >ORGLA06G0283600.1 pep scaffold:AGI1.1:Oglab06_unplaced168:179701:183433:-1 gene:ORGLA06G0283600 transcript:ORGLA06G0283600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFHFVPLLLLLTLLLLISSASSTAASPSMRSSSEDTASNATAAARLRPGKELLKYKRIRALLKKLNKPSLKTIQSPDGDLIDCVPSHLQPAFDHPKLKGQKLLDPPERPKNYNLTIAVSSSSSSRVGEVVVQAWHAAGEECPEGTVAIRRTTEKDLLRASSLRRYGRKPARRNIRRDSTSNGHEHAVGYVNNDNYYGAKASVNVWSPRIGDPSEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNHNCRGFVQTTNKIAIGAAITPESVYNGRQFDITLMLWKDPKHGHWWLELGPGMVVGYWPSYLFTHLAHHGNMVQFGGEVVNTRPSGSHTATQMGSGHFPGEGFDRAAYFRNLQVVDWDNSLIPAANLKLLADHPACYDIQGGSNSYWGSYFYYGGPGRNVKCP >ORGLA06G0283500.1 pep scaffold:AGI1.1:Oglab06_unplaced168:165907:167349:1 gene:ORGLA06G0283500 transcript:ORGLA06G0283500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFCFARCRFTRLVVAMQLVMGVLVICISMASLHRFYTTDALLPGGLDDPARCARFHGAVAGGYSGFDIRALADRVDEVLVQLAELQDKLEATALKIGKKTKKRKGKGKLQQQENMTMTEFQRFLEDEVIHPLYGAHIALRLIRIPRPDPDGGAPAVDPLVNFFAAEETRKYVTAKRNREGRPGAYGANWTYGSIGHACVVMRRELDEYMSYDVGALCPDDWDLGQRLMLGGCDPLPRRRCLARASKLFRRPLPINESLWALPDDGNVRWSRYHCRGYRCLSARNPRRGYDRCVGCFDMDREKQRWMQGSNGTTLADFRMEEVLAVKPGEIRVGLDVTVGTGSFAARMRERGVTVVTTAVNLGAPFAETVALRGLVALYAGLGQRLPLFDNSMDMVHTGGVLDGWVDLQMLDFVLFDWDRVLRPGGLLWVDKFACARKDLDDYMYMFLQFRYKKHRWVVSFKSRDEVYLSALLEKPLRS >ORGLA06G0283400.1 pep scaffold:AGI1.1:Oglab06_unplaced168:151376:160507:-1 gene:ORGLA06G0283400 transcript:ORGLA06G0283400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP12 interacting protein 37 [Source:Projected from Arabidopsis thaliana (AT3G54170) TAIR;Acc:AT3G54170] MADPPSPRLDEEDAFGRDFNSSPSPTAPPARSGEKRPFGDLDDDDEDVFASKKGKTKVEESAPGAATGMILSLRESLQNCKDNLASCQVEREAAKSEVQKWHSAFQNIPAVPAGTNPDPVSVVSYLNNLKSSEESLKEQLEKAKKREAAFIVTFAKREQEIAELKSAVRDLKTQLRPPSMQTRRLLLDPAIHEEFTRLKNLVEEKEKKIKELQDNVAAVNFTPSSKHGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIAVLKTRNNELRNQFNELYKHMDGLTNDVERSNEMVAILQDELETKDVELRRLKEMLAQKETTDEDKIPQENDVAGDDIDAAAESQPIKVET >ORGLA06G0283300.1 pep scaffold:AGI1.1:Oglab06_unplaced168:148886:149134:1 gene:ORGLA06G0283300 transcript:ORGLA06G0283300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WILWRRWLSAMSGSCRSRHTVHSDPRDSERRSKGMLIRLVVTRWAGRTLAGRGRREENGPVQLWAEARNGLKMAQRERRVFI >ORGLA06G0283200.1 pep scaffold:AGI1.1:Oglab06_unplaced168:146585:146972:1 gene:ORGLA06G0283200 transcript:ORGLA06G0283200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVCALLSGGKYAEKVVGLAGQLLSVPEGVSLTDAAGLPEVACTIWSTAWRIILIHCGSSGIGTFAIQIAKQLGIKVFVTVG >ORGLA06G0283100.1 pep scaffold:AGI1.1:Oglab06_unplaced168:109733:119854:-1 gene:ORGLA06G0283100 transcript:ORGLA06G0283100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) TAIR;Acc:AT5G40440] MAGLEELKKKLQPLLFDDPDKGGVSSRVPLPEDTCDSYVVSDGGTVNLLSRSLGEYNINEHGFHKRSTGPEESDSGEKAYRCASHDMHIFGPIGNGASSVVQRAVFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYIGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKIKKSIPEPVLAHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLAVLECATGKFPYNVNEGPANLMLQILDDPSPTPPKDSYSSEFCSFINDCLQKDADARPSCEQLLSHPFIKRYENTTVDLVAYVKSIVDPTERLKQIAEMLAVHYYLLFNGTDGIWHYMKTFYMEESTFSFSGNVYVGQSDIFDTLSNIRKKLKGDRPREKIVHVVEKLHCRAHGETGIAIRVSGSFIVGNQFLICGEGLQAEGMPSLEELSIDIPSKRVGQFREQFIMEPGSSMGCYYILRQDLYIIQA >ORGLA06G0283000.1 pep scaffold:AGI1.1:Oglab06_unplaced168:79939:81222:-1 gene:ORGLA06G0283000 transcript:ORGLA06G0283000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRPSGRDYLCEDSSASEYIASSGIHQSFEEPDQPVHRTETPALGYHAEPDEPIHRTEAPALSQRETPSLRHHEAPEEPLLQPLLATNIDTVFSGFPPSFTDMLTQFSCKTEKDAEEPYPVTATDHAPQEVSMLDTSHNGTHSLNLISHLFIWKSLSSFPDQSVFCAVAISTTSANEIDVNRETSDIMTRIKTYISDGAFHDMLFKLERVIDELGGDLSL >ORGLA06G0282900.1 pep scaffold:AGI1.1:Oglab06_unplaced168:75207:76499:1 gene:ORGLA06G0282900 transcript:ORGLA06G0282900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q6R6] MHGYGTRRSDSGGDRSMARLSTAAWPFLAAVAAALLCSVDAVIVEGLQVGFYNQTCPSAEEAVRDVVTSEIGLDRTIAAGIIRIFFHDCFVTGCDASILLDETPSGDVPEKESSANGFTLHGLRTLDVAKSTVESMCPRTVSCADILAFAARDAAVAAGIPFYDVAAGRMDGLRSNMDDLPGNMPTPSHQVPRMSELFVKRGLSQEDLVVLSGAHSIGGAHCFMFSNRIYGFSQGADIDPALEPAFAEKLRKVCPPRKDGDDPEQSPKVSFDGRTSEKLDNVYYSELLASRGLMTSDDALIKDPETKTTVDLFAGDNAVWQEKFAAAMQKLGAVDVLVGEGKGQIRKQCRLVNKPSKQSKPTSTRQSMPALRPKRKKPRLGLGNFIPGFHGFF >ORGLA06G0282800.1 pep scaffold:AGI1.1:Oglab06_unplaced168:69983:70636:-1 gene:ORGLA06G0282800 transcript:ORGLA06G0282800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMATDYFQEMFAADPTLNPESVSRLFQAKVTAEMNDLLCADFKDEEIAHALFQIGPLKAPSPDGFPARFYQRNWGIIKEDIISAVSKFFQTGCMPEGVNNTAIVLIPKIEQPMELKDFRPISLCNVLYKVVSKCLVNRLRPMLDELVSEEQSAFVRGRMITDNVLLAFECFHYIQKNRKANKAACAYKLDLSKAYDRVDWRFLEMAMNRLGFAHR >ORGLA06G0282700.1 pep scaffold:AGI1.1:Oglab06_unplaced168:67822:68493:-1 gene:ORGLA06G0282700 transcript:ORGLA06G0282700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRASALLSDMVQSDGSFDADRGKGGIVMILRDNSGSIVFAACKSLDSCKNALEAEIRACMEGLILALQWTMRPILIETDCVSLVNLLKEGNRDLSELAN >ORGLA06G0282600.1 pep scaffold:AGI1.1:Oglab06_unplaced168:59626:61615:-1 gene:ORGLA06G0282600 transcript:ORGLA06G0282600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFQHGMDADKHHIFGERGNMIQLNMCASDGRSVVGQRCQRLGCNNVVEGQTLLCKSHSIGQRCQMLGCPHIVPDGSVLCMSHGGGRPLGEPGSSTVACSKSEISIKYEGESGFRVTQNAGNDLGSAGIYNPDGDVVMCKYQGCSKRAQGNAMYCKIHRGGSKGCMVQGCTKGAHGGTPLCIAHGGGKRCAVTGCPNAACGSSQGLTDRCVRHGGGRRCRFDGCVKGAQGNTDFCIGHGGGRRCKFEGCGKSAQGRSDYCIKHGGGRRCKFQGCATSAKWGMDFCSLHRKSLMSGSNSSHEMLPAPPPKRRAKKTKTAVGPSGLSSEPKSAESVMIKHASNAGHQQQPIHSMKSSPSSGLTASTEGDVAARSHALFGL >ORGLA06G0282500.1 pep scaffold:AGI1.1:Oglab06_unplaced168:54107:57465:-1 gene:ORGLA06G0282500 transcript:ORGLA06G0282500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAGNQRERGVLLRAAAPRRWELYMSLLMIEKFAVRFPQPCDAESFLNCVKVYTSGVLQDGKENTMFCLFVLSLLNSIHDLSRMFDTVVAAKEMTADKVEGAGKEIDITIKRGIMPSIKAGDHLPAKMHGLVLMEEAAVTLANLEIKGQMSWTSSIAVEQTGRLFGSQTTLIPSCCRRSAMVMAEPSAVGSLGVSAICWHTDIVVATRIAAGTTQAVQRRGMPAQG >ORGLA06G0282400.1 pep scaffold:AGI1.1:Oglab06_unplaced168:36829:42865:1 gene:ORGLA06G0282400 transcript:ORGLA06G0282400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Uncharacterised conserved protein UCP033271 (InterPro:IPR008322), TIM-barrel signal transduction protein, predicted (InterPro:IPR009215); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396 /.../zoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G66420) TAIR;Acc:AT5G66420] MELLCIGTADTKLDELLFLAARLRSTLAATSSAQVQVSIVDVSTTKKVTSQDFKGTTFISRDAVLSCHLGVDQHELPSDRGEAITLMSEALQSFLKRRYESGTLLGAVGLGGSGGTALIAPALRSLPLGVPKLIVSTVASGQTAPYVGTSDLVLFPSVVDICGINSVSRVILSNAAAAVDGMVHGILMESNESDETATKPTIGITMFGVTTTCVNMVKERLSKEGYETLVFHATGVGGKAMEELVKGGFIQGVLDITTTEVADHIVGGVMACDDTRFDAIIDNKIPLVLSVGALDMVNFGARDTIPPDFTGRKIHVHNEQVSLMRTTVEENKKIAEFIADKINKSSSNVIVCLPQKGISAIDAPEMPFYDPEATSTLLDELCSRIEKTDNREVKMLPYHINDPEFANVLVDAFLSMDVKASNTISPENSMVQTNQDVNTKEYCSTQRTSDSSIIWRSPVDFPDARPETLQKTKSVLHKLKQQIVEGTPVIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKGVPVLAGVCATDPFRRMEYFLKQLEAIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISRGHSMGFLTTPYAFNPEEAAAMAKAGAHIIVAHMGLTTAGSIGAKTAVTLDDSVKRVQAIADAALGINPDIIVLCHGGPISGPQEAEFILKRTNRVHGFYGASSMERLPVEQAITNTMREYKRISIK >ORGLA06G0282300.1 pep scaffold:AGI1.1:Oglab06_unplaced168:32948:34183:1 gene:ORGLA06G0282300 transcript:ORGLA06G0282300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRDLIVWNSIIAGFVQSGDGVGAIELFMRMKEAGFLSNQGTLTSVLRACTGLVTLEVGRQVHAHVLKYDKDLILHNALLDMYCKCGSLQDADALFGRMPQRDVISWSTMISGLAQNGRSIEALKVFDMMKSEGPRPNHITMVGVLFACSHAGLVEDGWYYFSSMEKLFGIQPEREHCNCMVDLLGRAGKLDDAVKFIHEMNFQPDSVIWRTLLGACRMHKNADLAAYAAKEILRLEPDDQGARILLSNTYADLRQWADAEKSWKMMRDRGVKKDPGRSWIELGKQVHVFIAGDLSHPCSESIIQELSRLFSRVTNLGYTPQTEFVLQDLATEQKEDLLKYHSEKLAIAFGTMNAMEGKPIRIMKNLRICGDCHAFAKLVSKSEGKVIIIRDPVRFHHFQDGVCSCNDYW >ORGLA06G0282200.1 pep scaffold:AGI1.1:Oglab06_unplaced168:23198:25606:1 gene:ORGLA06G0282200 transcript:ORGLA06G0282200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKERSRVLVIGGTGYIGRYIVAASAREGHLTSVLVRDPAPADPAKAAVLQGFRDSGATLVKGDLYGHQSLVAAIKSADVVISAVGYAQLADQTRIISAIKEAGNVKRFFPSEYGNDVDRVHAVEPVKSVYATKARIRRVIEAEGIPYTYVSSNFFAGRFLPSLAQAWIKGLPTDKVIILGDGNVKGVFATEEDVGTYTIKAVDDPRTLNKILYLRPSSNILSHNELVSLWEKKVGKTFDRVYIPEDEVLKKIQESPAPLNVVLSINHSVWVKGDHTNFEIEPSFGVEATELYPDVKYTTVDEYLNRFL >ORGLA06G0282100.1 pep scaffold:AGI1.1:Oglab06_unplaced168:17119:19636:-1 gene:ORGLA06G0282100 transcript:ORGLA06G0282100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine sulfoxide reductase B 1 [Source:Projected from Arabidopsis thaliana (AT1G53670) TAIR;Acc:AT1G53670] MAMRQYAAATAASSSFRARPRARPSCLPAAALPLAPCCGVAWSRASYRRASVRAMGAASSSSSSSSSSPSPQGQAQAQAQGKPNYSTSLTDEEWRKRLTKDQYYITRQKGTERAFTGEYWNTKTPGIYHCVCCDTPLFESSTKFDSGTGWPSYYQPIGDNVKCKLDMSIIFMPRTEVLCAVCDAHLGHVFDDGPRPTGKRYCINSASLKLKKTQ >ORGLA06G0282000.1 pep scaffold:AGI1.1:Oglab06_unplaced168:5614:5853:1 gene:ORGLA06G0282000 transcript:ORGLA06G0282000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDCDKTPRMTQPKAVHRSWIVVTPSKTKGMDSPAIPVGLSPPLLSLVLRNEPCYTKCPTVAHSGLWLARLMFHNRNS >ORGLA06G0281900.1 pep scaffold:AGI1.1:Oglab06_unplaced167:913413:915223:-1 gene:ORGLA06G0281900 transcript:ORGLA06G0281900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPRGAPPPTVPWTRSTVDWRTCATSMWGPPIGAALSDDVIRGIRGIKWPVLGLQASELGVKKGEVAAVIPRRREATRDGDIDDAGARGGMGGAASAAGAHVRARHGARSR >ORGLA06G0281800.1 pep scaffold:AGI1.1:Oglab06_unplaced167:907606:907884:-1 gene:ORGLA06G0281800 transcript:ORGLA06G0281800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGALATLTTTVTTEGLVDIDGARWTATSGSGTTSSIHRATSISAPSHLVALPRLAPPAREGTVARGSAASRPRERRRRMNPAASVLGSALA >ORGLA06G0281700.1 pep scaffold:AGI1.1:Oglab06_unplaced167:887704:888433:1 gene:ORGLA06G0281700 transcript:ORGLA06G0281700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTSASSSWPRARAGHPTSALVRATALPPPATGGGGSSSRARLLQSFRDTGVTILQGDIGDHDLLVKAVRAADVAISVVGYHDVGEQMKIIAAIKEAGNIKRFIPSDFGNDADHAHIVEPAKATFDVEAQIRRTVEAEGIPYTFVSCNFFAGYYLPTLVQPGASGLPADKVVILGDGNTK >ORGLA06G0281600.1 pep scaffold:AGI1.1:Oglab06_unplaced167:865109:866882:-1 gene:ORGLA06G0281600 transcript:ORGLA06G0281600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWPHGSQAAGGARRRRGAGGDDTGAREQGGGSSLLSVRRRGSRGARRRRQRPLRGAASRHVVRRERRRRREQDSDAAVAGEQGVGGGTMGGRSPHSLGRPCASPLGRRRLSFLLAVPTSSTPRARWPMPSHAPCPASSPRTLARSPAALPTRSCSQRRLLLQPLRHRLLPHRHHQPGHAQTPASPRLAATDKPTPTPASPRLAAADKLTPCFPTRPPPPPPPSLSRRRPHPLPLAPARLQIRLQLEQIAVTSARPRARPAGRLQTCSCSIVGEANGRAAGAGDKEKAWPERRAYSWLLALAKISGMREFLVDLRSQEEPVVADPNGRHGTQIQNKNSHRKHKIICNQDQT >ORGLA06G0281500.1 pep scaffold:AGI1.1:Oglab06_unplaced167:849574:853437:1 gene:ORGLA06G0281500 transcript:ORGLA06G0281500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1Q6Q2] MENNNDGVIGISRRNSNPKVHSSMCSELTMMLDKVSSILPSIETAQPGCKAGIEELCNLYNIVDKGKLIIQNCIECSSLYLAITGEATAMRCERIRDALRRSLFLVQNMVPSSLANQMADVHDDLGDVKFIVDPEEDEAGKAILEMLRQSDATQEHELQTFLFAASKLNLTSPKAILIERRAIKKLLDKINGNDPKKEGILKFFQYLVRKYGKTMKPEGSAKNEGVDVANVTSSTNLIASGTDAPQKCFSPTNSWTGRCEEQNNLSRFSTPPEFCCPLSMKLMYDPVIIASGQTYERENIEKWFSEGYDICPRTQLKLENFTITPNTCMKAVICNWCKDNELEFTSLPEQFHSYSVSSLHNISAPLVAGTKRDYMSDHSSSSVALSGASYVSSPMRETEESRTNSTQFFSNAYYQLYLSFSSFNKEMFLNFFYELSELPMELQVKAERDFKSVLNREYQIWRSMISNGFLEAFLEFLKNDNGKCTMEAQRTGIQFFLAFLRNSRTRIPSISEDAVRLFASFLDSELKTEALEILHELLQQPSCRKSRLMASVVAPSVFLAWDSADSLCLELVLKIICELSFKNDVQSFLISSGIISKLSPILSQGKSPECCLKILLNLSEGKQAADLIIRTDQCLSSISDYLDTGSSVEREHASGILLALCSRSIDDCVLVMKEGVIPALVDLSVNGTEVAKASSIKLLQLLRDSRQSDQFGNSCSSEVAVNGAAENSPIGTICKQPISKSARYISRKLSIFSKPRSLTLV >ORGLA06G0281400.1 pep scaffold:AGI1.1:Oglab06_unplaced167:839767:840921:-1 gene:ORGLA06G0281400 transcript:ORGLA06G0281400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGEPRLHRQPXGHPVDCRQPATCRQPHQRRENGGRERKRKRNEGGMIWKQGMRHSRTEGVRIAGHVEKGGRGSLAPCRVSNIAVLSRFKGPRC >ORGLA06G0281300.1 pep scaffold:AGI1.1:Oglab06_unplaced167:837017:837509:-1 gene:ORGLA06G0281300 transcript:ORGLA06G0281300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLGEDVFLVLGGRPEGNGQGLGDVGDDHGVVASLDLAHLGEGCGHRVHLLLGLQGCAKGAAVVRDAPLTVGDG >ORGLA06G0281200.1 pep scaffold:AGI1.1:Oglab06_unplaced167:818076:818504:-1 gene:ORGLA06G0281200 transcript:ORGLA06G0281200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIAFVGGVLNGRGTAEPDRGGPAQVLASSGRHVMMRCVGRDGDDGLRRSENVAAEIAEEEKPSPLNALNRLRLSSSFAPPLLSSSRADGGRGEAARPSRRHAPVDAEEEPPPPLTTRAPLPRQSSPLALLIDRRGGRRKRVG >ORGLA06G0281100.1 pep scaffold:AGI1.1:Oglab06_unplaced167:812037:814497:-1 gene:ORGLA06G0281100 transcript:ORGLA06G0281100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGRWAVARQRMMRRGTIRSWKMTSAALIQQVPAAATPMAVAAVRGRGTSSPSDGWCSPRASLKLQLAPPTSISSIQQVPYIH >ORGLA06G0281000.1 pep scaffold:AGI1.1:Oglab06_unplaced167:802610:802831:-1 gene:ORGLA06G0281000 transcript:ORGLA06G0281000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVNGDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFVSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA06G0280900.1 pep scaffold:AGI1.1:Oglab06_unplaced167:784072:786010:-1 gene:ORGLA06G0280900 transcript:ORGLA06G0280900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRPRSLNDSTAGSFPFLLVLVVTSSFLSGRCLATTDSHHRRPPAGRHGPPRPVSPPSPPPPPATTFSVLQYGAVGDGDKDDTKASAECRHTHIHSRLILHTLIVMCKFMVGDVLVYAVFXAFVDAWSAACAVRSSTVVVPAGYRFVVGPVTFTGDSCQPNTVFQLDGTIVANTDSGGWCSGNAVQQWLEFRSCTGLTIQGSGTVDGQGSHWWSGGAPATDIDADRVGTNNRPTALRVYESTNVAVTGITIQNSARFHLTFDTCRAVEVRGVAIRSPGDSPNTDGIHLAGSVGVSIQNATVACGDDCVSIQDGCSRVLVRGVTCGPGHGISIGGLGKGGATAVVSDVTVQDVSLVGTSAGVRIKTWQGGSGSVRGVLFSGVRVSAVKTPIVIDQYYCDHATCANQTAAVAVSGVAYSGITGTYTQRPVYLACSDAAPCAGLRLEDIKLAPVKDGGYGRLYGPFCWKAYGDEVRPVVPPVDCLMAGEP >ORGLA06G0280800.1 pep scaffold:AGI1.1:Oglab06_unplaced167:779485:780352:-1 gene:ORGLA06G0280800 transcript:ORGLA06G0280800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVDEEERGGGGLGGVDAALAFGLDARLWAVESEHARVVNPEQRWRARSAGWLGKKGWETDFKGRGSGPDRVREGAGPGRKMTEERELGYGKGKVVQRNRDLSQFFWGISEMDFWRVFDGIVNGLWDYNFVETLYVELE >ORGLA06G0280700.1 pep scaffold:AGI1.1:Oglab06_unplaced167:774632:775859:-1 gene:ORGLA06G0280700 transcript:ORGLA06G0280700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIFISFKKILETLELLSIEPPSQPPIEPKPCPSGLXNVVLDNHQESTSFLSDVSLEKENFQTRDPSRHCFAAFSRSSPVLGTTPGDSASTLSTTAPSRASATAQSSPCAAKSPPFTFAVFPHFAFXRMDSAASEDRGREKKSSEVSFEEARQVT >ORGLA06G0280600.1 pep scaffold:AGI1.1:Oglab06_unplaced167:767035:767673:-1 gene:ORGLA06G0280600 transcript:ORGLA06G0280600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYGTSRYPPYSGAVERAGKRPPADEDGRGSFGPVLVVLAVISFLAVSACIAGRLCGRRPSKSSSSRGEQMRGGGTTAAHADAEKGFGVMQNPAAAAVMRPVPSSRATVHDVDDDVFEIKLCAPVKPPTAAGRQGGSGGDGGGGSAPQPRPPPAVPLGVPRQYAAAAAAAAAAGFRRAPPASGGAAVRLTHPQVLGRGNGGAPFAHGKQSR >ORGLA06G0280500.1 pep scaffold:AGI1.1:Oglab06_unplaced167:765551:766324:1 gene:ORGLA06G0280500 transcript:ORGLA06G0280500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMSIAGFVQSCSFQQLDSSKDVDKDSLVRKQALYILRISLDIFSSSENDSAQQCSRRRSAALPAQDKSNTAMTKREMNQSDENCSSGKDRWKVFLIHVGGSTGEALGRGAHGGARPGRRRQGAVAGGGARRGGVEALGAGWWRLAAECFSCSG >ORGLA06G0280400.1 pep scaffold:AGI1.1:Oglab06_unplaced167:759796:760323:-1 gene:ORGLA06G0280400 transcript:ORGLA06G0280400.1 gene_biotype:protein_coding transcript_biotype:protein_coding STVSDLGGKDGEIGNEDGASSKGTPSPKGATIPFDYNKLTIPSHNFVSVPSGRAPQFDGTHYAAWKHKMKLHLIFLHPSIWKVVCTGIDVPHENMELTSEQEQLIHRNAQASNAILSTLSPEEFNKVDGLEEAKEIWDTLQLAHEGSPAVREAMIELLEERLGRFVMDDKETPQD >ORGLA06G0280300.1 pep scaffold:AGI1.1:Oglab06_unplaced167:756471:759290:-1 gene:ORGLA06G0280300 transcript:ORGLA06G0280300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVWYSGDEEDPEVKPKPKSKDKVEGEGGVATVAFKSSSSSKECLFNNLSDDDDDSYHYSCFMAQGRKVMTQKPSHTSLDVESSDEESDNELDDVLKSFSKPAMQHLAKLMRALDSKEQLLERQEELLILEKKRNLALEESLAKECAKNEQLANELNFANGSLASLRDVNETLQEKFACLDKSHKDLEVQFDTLWNSTSQPNVVSNSSNPSTSNVCARCYNIDLNSYATNIDAMQALKKENERLGTLVKYGCMKTYHSKDALYKTITAHPNKDGHGIGFSGGSPVSKRVMAYSSSGSSWVVDSGCTNHMTGERSMFTSLDEENGTRENIVFKDDGKGKVDEGFLLGYESNAHAYCVFNKTSGIVEVTRDVTFDKSNGSQGEQVVVHVVGDVDPSQAIGTKAIGDIRPVETQDDQEDRDQPLSSTSNSPTLSQVSVDPEVPGPDGRNL >ORGLA06G0280200.1 pep scaffold:AGI1.1:Oglab06_unplaced167:743049:745483:1 gene:ORGLA06G0280200 transcript:ORGLA06G0280200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSFLDRMVSQLRSTCRYYTGHPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAAATFHPHVKFVRVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKVVDPNVTKYSVKVLPFNYDQSMYGFREYFKKHGFKYFETN >ORGLA06G0280100.1 pep scaffold:AGI1.1:Oglab06_unplaced167:723550:723903:1 gene:ORGLA06G0280100 transcript:ORGLA06G0280100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFVFQHLIATQSPATAAVMAEESSTDDAAAAYTLVGIEPRPAHVADAVTGDRGADEEQSPGIVEIMQSPGNLESWITGNPMDCTADYSRDRLLGGFAIDTNERENLVRSGLFSQGGV >ORGLA06G0280000.1 pep scaffold:AGI1.1:Oglab06_unplaced167:720871:721779:-1 gene:ORGLA06G0280000 transcript:ORGLA06G0280000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHRRRRREKSKKNKRNPKKKRQLRRLESSVSEIKFLLYYSRNMVLYVRDVLKNSSVSFQPNVPPSGEKRSFNPEFERLCPRDVLPLAHHKPNFSEEGADIEEMPLIMPKIYFFSITHSYRSGSLKESFVTVIAR >ORGLA06G0279900.1 pep scaffold:AGI1.1:Oglab06_unplaced167:713698:713964:-1 gene:ORGLA06G0279900 transcript:ORGLA06G0279900.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLVDFQVASTVSVDISLPSTYVKQTSTTTASRMGTDLDIMLINNVVPLYSGSYVSPREGGKYMPRRANVIFTEAQVNILIIARCVCEL >ORGLA06G0279800.1 pep scaffold:AGI1.1:Oglab06_unplaced167:712094:712903:1 gene:ORGLA06G0279800 transcript:ORGLA06G0279800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WMTLPTAPLKKLXFFRPQEEIDRLRQKFSARSIVAWQVGTQGSKVDPNVFADDVRSAFRIRRSDIQITKFHPEDFFITCASQSDKDAILRQPRLATKSGRVYLFRPWEEGLHGVSARFRFRARVCIEGLPMHARTNEAAAKIIGRKCSVHYVEEYSRRRNYNRTFDLWIWTDAPKFIPRSSSFSLTNADEKGLPTDIPLPDLEPHHNPPPEEPKEGWTYNVLIHIDTLEDLHCKLARAYDYQYGAEDDGVRFREFPLPCRREPDVGSQN >ORGLA06G0279700.1 pep scaffold:AGI1.1:Oglab06_unplaced167:710585:711819:1 gene:ORGLA06G0279700 transcript:ORGLA06G0279700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLQSSSANSSALPTIRSISSWLRRRLSLEIVIFSDLPVALSAAYTLRMSFTSMSKVISICGSPLNANTMSVVNTCVFFVCTTVLHGINLAITPAGRLEAEHQRCDIKDDHASSHSYKDPSKSSSLSDLPAEVLPEASRLYSEVLATPAPLLPSSKSQHEQLPLKIRPLADRLNFPAEQLGSSTMKQRFNLVEVTDPAVVSQGAATKDLRILKSGRRVQMSAQIPPVSHQLKGSFDVDDSQWKEVKANRWRKRPALSPEDTNQLQLQL >ORGLA06G0279600.1 pep scaffold:AGI1.1:Oglab06_unplaced167:703695:703934:-1 gene:ORGLA06G0279600 transcript:ORGLA06G0279600.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRRLGHVGFDHLTRLSGLDLVRGLPKLKKDLDLVCTPCHHAKMVASSHASIVSVMMDAPGQLLHMDTVGPARVQSVGGK >ORGLA06G0279500.1 pep scaffold:AGI1.1:Oglab06_unplaced167:701814:702017:-1 gene:ORGLA06G0279500 transcript:ORGLA06G0279500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREFEMSMMGELSYFFVLQIKQTPQGTYVHQTKYTKDLLRRFKMENCKPISTPIGSTVVLDPDENG >ORGLA06G0279400.1 pep scaffold:AGI1.1:Oglab06_unplaced167:701535:701813:-1 gene:ORGLA06G0279400 transcript:ORGLA06G0279400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVDQKEYRSMIGSLLYLTASRPDIQLAVCLCACFQASPRASYRQVVKRIMRYLNHILEFGIWYSTSSSICLSGYSDADFAGCRIDRENTSGT >ORGLA06G0279300.1 pep scaffold:AGI1.1:Oglab06_unplaced167:679108:687143:1 gene:ORGLA06G0279300 transcript:ORGLA06G0279300.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRRLSEDRLLQDARRRCVVGSRRSSGDGAATNAKHGGPGFPVHAGGGGRDGVAPAASQQRHPGRLLDPVPRRQVHRLRRARRQGRRPVQAGNSPCVSFPVGNSIAYVLNSDTSIRAGVGGAREVWYWFQNRKYSQRSRNSTKMLPAASGDHKSAFARSSVQKSVKNSLEGGQLEFEAKSVRDGAWYDVAAFLSHRLSQSGELEVWVRFSGFGARDDEWIDVRTCVRQRSHPCVSTECAAVLPGDQILCFQYQEGKHQALYFDAHVLDAQKRRHDARGCRCRFLVCYDHDDSEEIVPLRKMCRRPETDYRLEILHAAGAANAAKEAVVDSVIA >ORGLA06G0279200.1 pep scaffold:AGI1.1:Oglab06_unplaced167:673409:677700:-1 gene:ORGLA06G0279200 transcript:ORGLA06G0279200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDERRGWRPDRGNNPLVHGDGNLPAGFGGGKPVAGVEINLAERREVAALTGDGGGDGYCRLERRPELEREGDCGETARVREKLGKKRETEEEVQGLLFIGKGPGAGASTWAAAALGGVVLNQGNGGEVVPLGAGAGGAGRRGPAAALGGGAASSGAAALGGGAASAAALGGVELGRWPSLVRRTAGVDAALGAHEEAERSIDIEEDFSSFHLTVGPGAKQSTKFEKKYKWD >ORGLA06G0279100.1 pep scaffold:AGI1.1:Oglab06_unplaced167:667531:668256:-1 gene:ORGLA06G0279100 transcript:ORGLA06G0279100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LICFSGRMTPSLSEQSSEQLEASSHPISTIATPLPVLPLAPSASRTKSPRLVLDHLAKAYRVLETTAQEAPFELNHLYVEMGSAGDKLTQHRGDANEGWADDRREDVMAELENAEDSIISIYGE >ORGLA06G0279000.1 pep scaffold:AGI1.1:Oglab06_unplaced167:665595:666384:-1 gene:ORGLA06G0279000 transcript:ORGLA06G0279000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLSATFAPAATTTATPLPAPQQQLRHGRXXXXXXXXXXXXXXXXDDGAGGEVEPAAPAAPAKTATATDGGGDDFEERVLRIKSRVGPKKRGSAAARKRKGGAGASSKSKAAVTLPPVPLREARSSVGVPVEFGFSAYSERLNGALAAVGLAALLLVELGSGKALVKYHQPATLFLQVYTVAAAGAVFVKYEKERISVWPGPPATKPPATGE >ORGLA06G0278900.1 pep scaffold:AGI1.1:Oglab06_unplaced167:662862:663158:1 gene:ORGLA06G0278900 transcript:ORGLA06G0278900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRANAAVVVDPEAVERLRHSVARHGALLRSDGRAASTTLFGEARITIHKSAAKPKPSASGSPWYEPNCVFRFADLARHMRSPARREEDGDDLRH >ORGLA06G0278800.1 pep scaffold:AGI1.1:Oglab06_unplaced167:658153:661411:-1 gene:ORGLA06G0278800 transcript:ORGLA06G0278800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BolA-like family protein [Source:Projected from Arabidopsis thaliana (AT5G17560) TAIR;Acc:AT5G17560] MQQMRSAAAAPCSLAAMLLRRFAYTSYSSSCGPIRRHAAVALSSTTTTTTRFAAWSPPPPSCGARSRGFAAWASAPGPAGSTDSPAMQALETKIKEQLEADTVTVVDTSGDGRHVCIDVVSKVFEGKSAVNRQRMVYKAIWEELQSTVHAVDQMTTKTPSEAAANQ >ORGLA06G0278700.1 pep scaffold:AGI1.1:Oglab06_unplaced167:653031:653570:1 gene:ORGLA06G0278700 transcript:ORGLA06G0278700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVQDFYQTGNIPPELNKTNIVLIPKKNRSISPKDFRPISLCNVPYKILAKSIANRIKGKLPDFICDSQHAFIPGRRIANNIIIAQEIVHSFGLNSYPHHAFLIKIDLSKAFDRLEWDFIANALQRKGFHHHFINLVLSCINSSSFSVNINGQTYGAFNANRGIRQGCPLSLSFLLLP >ORGLA06G0278600.1 pep scaffold:AGI1.1:Oglab06_unplaced167:634535:636628:1 gene:ORGLA06G0278600 transcript:ORGLA06G0278600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRYDVAAFLSHRLFESGDPEVRVRFSGFGAEEDEWINVRKCVRQRSLPCESTECVAVLPGDLILCFQEGKEQALYFDARVLDAQRRRHDVRGCRCRFLVRYDHDHSEEIVPLRKVCRRPETDYRLQILHAARAAGMAKEAVVDLVSHNDKSSAEQKPPKQHKMMDVNTDEVTMVSNQDQEEPTGKPAATLPAAPVKTLNDSASASASDVQMGEAQAAPKVESSDEVEDKMKEG >ORGLA06G0278500.1 pep scaffold:AGI1.1:Oglab06_unplaced167:630262:630495:-1 gene:ORGLA06G0278500 transcript:ORGLA06G0278500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSGIDDFVFPPEQVFLFGSLNFITNDFGKISLLDSDPNQSGRGQVSAPFGIPNSAEIYSKIISTELASNHSNEI >ORGLA06G0278400.1 pep scaffold:AGI1.1:Oglab06_unplaced167:615661:616321:-1 gene:ORGLA06G0278400 transcript:ORGLA06G0278400.1 gene_biotype:protein_coding transcript_biotype:protein_coding CDYWQHWRWKRVARGSTVLMGNGSHASVHGVGTVDLKFTSGKIVQLKNVQHVPSIDRNLVSGSRLTRDGFKLVFESNKVVVSKHGYFIGKGYECGGLFRFSLSDFCNKSVNHICGNVDDEANVWHSRLCHINFGLMSRLSSMCLIPKFSVVKGSKCHSCVQSKQPRKPHKAAEERNLAPLELL >ORGLA06G0278300.1 pep scaffold:AGI1.1:Oglab06_unplaced167:597136:600445:1 gene:ORGLA06G0278300 transcript:ORGLA06G0278300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESDQAPSPSSPSSSGNGSNKAPPPEESDNSSSNGSSSSSPTPPSSQSSDSDSGGGSSSPSQGSSSPSPPPPSGSSSESHSSPPPAPPQSSSSSSSSSGGGSKSSPEAPSPPSESSGNGGGGGGGGRSSPPPNWSPPPQQQQQHQSGGSTPSPPPSPSSNQPPPSSGSSASSSEPSPPRSSPPLSPPQSSGGNNGQPPKPSGGQQQAPPQSPPSAANQSVVFIPVQVASNSPPGMLPPPQVIDATPSGAILSTNFPGGRNSTAGSSNTSLSQQQHTTVSSTAQASSSGHIAAAIAGAAVTGLLCAIVAIYLIVSSRRKKKMDGLVYHYDGNNYFVPSSQFGGSSRNHHPPPSAIMLNSGGASADGGGYYNSGTFSGGEGTGPAGSKSRFSYEELTGITSNFSRDNVIGEGGFGCVYKGWLSDGKCVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAEDHRLLVYDFVSNDTLEHHLHGRGMPVMDWPTRLRIAIGAAKGLAYLHEDCHPRIIHRDIKTANILLDYSWEAQVADFGLAKLANDTHTHVSTRIMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDQTQPLGEESLVEWARPVLADAVETGDLSELVDPRLEGAYNRNEMMTMVEAAAACVRHSAPKRPRMVQVMRVLDEGSMTDLSNGIKVGQSQVFTGGSDAADIQQLRRIAFASEEFTGEFEQRTTNSNSESRPMNRIPE >ORGLA06G0278200.1 pep scaffold:AGI1.1:Oglab06_unplaced167:579670:584944:-1 gene:ORGLA06G0278200 transcript:ORGLA06G0278200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:I1Q6L9] MAGGRSGSRELPETPTWAVAVVCAVLVLVSVAMEHGLHNLSHWFRRRQKKAMGDALDKIKAELMLLGFISLLLTVAQAPISKICIPKSAANILLPCKAGQDAIEEEAASGRRSLAGAGGGDYCSKFDGKVALMSAKSMHQLHIFIFVLAVFHVTYCIITMGLGRLKMKKWKKWESETNSLEYQFAIDPSRFRFTHQTSFVKRHLGSFSSTPGLRWIVAFFRQFFGSVTKVDYLTMRQGFINAHLSQNSKFDFHKYIKRSLEDDFKVVVGISLPLWFVGILVLFLDIHGLGTLIWISFVPLIIVLLVGTKLEMVIMEMAQEIQDRATVIQGAPVVEPNNKYFWFNRPDWVLFFIHLTLFHNAFQMAHFVWTMATPGLKKCFHENIWLSIVEVIVGISLQVLCSYITFPLYALVTQMGSNMKKTIFEEQTMKALMNWRKKAMEKKKVRDADAFLAQMSVDFATPASSRSASPVHLLQDHRARSDDPPSPITVASPPAPEEDMYPVPAAAASRQLLDDPPDRSWMASSSADIADSDFSFSAQR >ORGLA06G0278100.1 pep scaffold:AGI1.1:Oglab06_unplaced167:564292:574037:1 gene:ORGLA06G0278100 transcript:ORGLA06G0278100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14720) TAIR;Acc:AT5G14720] MEHARRFPTDPKEYKLCEEVGDGVSATVYKALCIPLNIEVAIKVLDLEKCSNDLDGIRREVQTMSLIDHPNLLRAYCSFTNGHQLWVIMPYMAAGSALHIMKTSFPDGFEEPVIATLLREVLKALVYLHSQGHIHRDVKAGNILIDTNGAVKLGDFGVSACMFDTGNRQRARNTFVGTPCWMAPEVMQQLHGYDYKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKDLVATCLVKDPRKRPSSEKLLKHSFFKHARTAEFLARSILDGLPPLGERFRTLKGKEADLLLSNKLGSESKEQLSQKEYIRGISGWNFNLEDLKNAAALIDNTNGTCHLDGVNSKFKDGLQEANEPENIYHGRANLVASARPEDEIQEVEDLDGALASSFPSRPLEALKSCFDVCGDDDPPTATDLREQPNMESTSPMQQFQQIENHKSANCNGESLERSASVPSNLVNSGSHKFLSGSLIPEHVLSPYRNVGNDPARNECHQKNTCNRNRSGPLFRQMKDPRAHLPVEPEEQSEGKVIQRRGRFQVTSDSIAQKVASSASSSRCSNLPIGVTRSTVHPSTILPTLQFMIQQNTMQKEVISRLISSIEEISDAADASTTGSSQPSGVHFREKELQSYIANLQQSVTELAEEVQRLKLKNTQLEEQINALPKKDERLRREDTRQQ >ORGLA06G0278000.1 pep scaffold:AGI1.1:Oglab06_unplaced167:539332:542523:-1 gene:ORGLA06G0278000 transcript:ORGLA06G0278000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formate dehydrogenase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1Q6L7] MAMWRAAAGHLLGRALGSRAAHTSAGSKKIVGVFYKGGEYADKNPNFVGCVEGALGIREWLESKGHHYIVTDDKEGLNSELEKHIEDMHVLITTPFHPAYVSAERIKKANNLELLLTAGIGSDHIDLPAAAAAGLTVAEVTGSNTVSVAEDELMRILILLRNFLPGYQQVVQGEWNVAGIAYRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLKIDPELEKEIGAKYEEDLDAMLPKCDVIVINTPLTEKTRGMFNKERIAKMKKGVIIVNNARGAIMDTQAVADACSSGQVAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEDFPVQNYIVKEGQLASQYQ >ORGLA06G0277900.1 pep scaffold:AGI1.1:Oglab06_unplaced167:534636:534833:1 gene:ORGLA06G0277900 transcript:ORGLA06G0277900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVARVQRGEGSSGVEWGNGVVARLARGAAKPTAVVAGRGSGSGGDSVRLEVVKEAAASGVRWE >ORGLA06G0277800.1 pep scaffold:AGI1.1:Oglab06_unplaced167:523034:528790:-1 gene:ORGLA06G0277800 transcript:ORGLA06G0277800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formate dehydrogenase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1Q6L5] MAMWRAPSAAGQLLGRALASTAAQTSAGSKKVVGVFYKGGEYADKNPNFVGCVDSALGIRGWLESKGHRYIVTDDKEGINCELEKHIEDAHVLITTPFHPAYITAERIKKGKNLELLLTAGVGSDHIDLPAAAAAGLTVAEITGSNTVSVAEDQLMRILLLLRNFLPGHHQIVNGEWNVAGIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLMYHDRVKIDPELQKEIGAKYEEDLDAMLPKCDVVVINMPLTEKTRGMFNKERIAKMKKGVIIVNNARGAIMDTQAVADACASGHVAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHCSGTTIDGQLRYAAGVKDMLDRYFKGEDFPAQNYIVKAGQLASQYQ >ORGLA06G0277700.1 pep scaffold:AGI1.1:Oglab06_unplaced167:521411:521779:-1 gene:ORGLA06G0277700 transcript:ORGLA06G0277700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKDELDWSGESTDMQGRYDVGVGPMSHKFMLDLNGEVEWETYIDIALGSQFKSLEVFAWKKERKESKNDVFVANRKKELIEKDVEVGDEVQVKEDVEVGEEVLDEEDVEVGEELSDGNLDVN >ORGLA06G0277600.1 pep scaffold:AGI1.1:Oglab06_unplaced167:517953:518745:-1 gene:ORGLA06G0277600 transcript:ORGLA06G0277600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQWLMPMYNAAVLTTFVDRWRPEVHTFHLSSGELMVTLEDNAMILVHPSWGQAVTSDTSCGTKTLKLGGNPNYSEGKFHPYKCWALELHFNIRELAELSKKWIR >ORGLA06G0277500.1 pep scaffold:AGI1.1:Oglab06_unplaced167:511500:512165:1 gene:ORGLA06G0277500 transcript:ORGLA06G0277500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGDKAVGPMSSPGSRSLVGSENLIRKKNSFFTENSIHTHDEKAGEREGGEARRRSRICAESELPRQRGERRASPTGREEGATAAPAFTTGYARCRPPRPSICARRNSPATPPPDPEEEEVRHSSRPAAQRRQVPAVPYYCATELPCPPLLRRRLRRCCTGEHQALPLLRPAAPALSPLGLLLHPAATLPDRPAVVSTRAAAPLGHPVMVEGEEESGVRA >ORGLA06G0277400.1 pep scaffold:AGI1.1:Oglab06_unplaced167:497761:498024:-1 gene:ORGLA06G0277400 transcript:ORGLA06G0277400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVSGHIHYTCRRRRGPMPLHVYSILARSSSDCRLQVGLLLVEARDGPPTRTVAVRTKHIPKLDGCRLYECTPHLFWQKGAWIAQI >ORGLA06G0277300.1 pep scaffold:AGI1.1:Oglab06_unplaced167:486845:487237:-1 gene:ORGLA06G0277300 transcript:ORGLA06G0277300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPRIKHYGCVVGMLDRAGRLDEAEELVAAMPAHPDVLIWGSLLVACRAHGDVEHAERVMLRRTTDADADTGDYVLMSNTYASNDRHGKAVKVRRQIRRNEIDKVPGCSLIEIDGVVHEFKAIPANSIR >ORGLA06G0277200.1 pep scaffold:AGI1.1:Oglab06_unplaced167:486301:486663:1 gene:ORGLA06G0277200 transcript:ORGLA06G0277200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SINAQAQPEEEQKGVHDGGGVAGAQVEAALNRKNVEALPEDETVEGGPEDAWVPDHETCVFVPADKSAVSGTENNDHCGAAAAAGGSPSVLDQAVFVREEDMEDVERPAVDMAAANHKPK >ORGLA06G0277100.1 pep scaffold:AGI1.1:Oglab06_unplaced167:480852:481337:1 gene:ORGLA06G0277100 transcript:ORGLA06G0277100.1 gene_biotype:protein_coding transcript_biotype:protein_coding DMDWEKTITFLLAHMGCLEKVNVPITKKRKLGPKTVDCVFLGYAHHSIAYRFLIVKSEVPDMHVGTIMESHDATFFESFFPMKDTHSSSSQTSEIIPNSIIPPEQTEHTHEHVTEEDDSEAPRRSKRQRTAKSFGDDFTVYLVDDTPKSISEAYASPDADY >ORGLA06G0277000.1 pep scaffold:AGI1.1:Oglab06_unplaced167:479739:480129:1 gene:ORGLA06G0277000 transcript:ORGLA06G0277000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CDHWQHWRWKRVARGSTVLMGNGSHAFVRGVGTVDLKFTSGKIVQLKNVQHVPSIDRNLVSGSRLTRDGFKLVFESNKVVVSKHGYFIGKGY >ORGLA06G0276900.1 pep scaffold:AGI1.1:Oglab06_unplaced167:460730:472620:1 gene:ORGLA06G0276900 transcript:ORGLA06G0276900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDGADGVGDDTELRRFSDVELLEKSRRIQGELSGGIERRLKDRGAKFRRLLDAIVREIDRRKAATDDDRCARVVQSGCAESSVKQQAVTISDFRSSFGIDEEAGVDVSRLETSACIGDPKTSTDNEGILCEEEDSCKCKPSTSQKASYVDRSTYMENIDAADDGKDNGYSRICKDAHTSRKRNGEFSPTFSMRLRSRKVVEEVVLLDGDTCISDSAEKTSSACDAMKIHYPSWDTPNSIELSYSDMKCLEPESLLSSPILNFYIMYLMGQMPSTSRLGGKYHIFNTYFFSKLEALTSKVDNDAYFLNLRRWWKGVDIFKKAYIIIPVHADAHWSLVIICMPAKEDQSGPTIFHLDSLKFHSSRFIFSTVERFLKEEWNYLNKTGSLEDCHLHESVWKNLPRKIKKKAVTVPQQDNEYDCGVFVLYYMRRFIEEAPERLNNKDSSNMFGEGWFQREEASALRKEMQALLLQLFEEAKDNNHMRDPTTPVSATAEHPVEVLSTEPAVPDHPRNAVGVATS >ORGLA06G0276800.1 pep scaffold:AGI1.1:Oglab06_unplaced167:441952:443760:-1 gene:ORGLA06G0276800 transcript:ORGLA06G0276800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGGRGGEERGGVGRAERGEHQGVHVRRAVRHHGGVRARQAPRGGRVRLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCIAEDHRLLVYDFVSNDTLHHHLHGRGRPVMDWPTRVKIAAGSARGLAYLHEDCHPRIIHRDIKSSNILLDEHFEAQVADFGLARLAENDVTHVSTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVVLLELITGRKPVDSSRPLGDESLVEWSRPLLNRAIENQEFDELVDPRLDGEYDDVEMFRVIEAAAACIRHSAARRPKMGQVVRVLDSLTDVDLSNGVQPGKSQMFNVANTADIRQFQRMAFGSQDFSSEYSQSRSSMSSRRDF >ORGLA06G0276700.1 pep scaffold:AGI1.1:Oglab06_unplaced167:418332:429036:-1 gene:ORGLA06G0276700 transcript:ORGLA06G0276700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTKVNIIVGSHVWAEDPENAWVDGEVVKIKGEEAEIQATNGKTITANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMIHEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAVRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEVEKYKLGNPKTFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFAKGKEVDSSVLKDDKSKFHLDTTAELLMCDSGALGDALCKRVMVTPEEVIKRSLDPYNATVSRDGLAKTIYSRLFDWLVDKINSSIGQDPNSKSLIGVLDIYGFESFKLNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFSQKLYQTFQKHKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPLPEETSKSSKFSSIGARFKQQLQALMETLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAQEALEGNCDEKVACKRILEKKGLVGFQIGKTKVFLRAGQMAELDARRTEVLGAAAKTIQGKIRTHIMRKKFVNWRKASISVQAIWRGRLACKLFDQMRRVAAAIKVQKNQRMHQARRSYKHLNASVLVVQTALRAMAARNTFRYKKQSKAAVKIQACYRCHTAHVYHKKLKRTAIVAQCRWRGKIARKELRKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRMRTDLEEAKAQELSKLQSSMEALQAKLDETSAKLVKEREVARAIEEAPPVVQQTEVLVQDTEKVDSLTAEVEELKTSLQSEKQRADDLEKKRSEEQQANEEKQKKMEETDIKMRQFQEYLRRLEEKLANVESENKVLRQQAVSMAPSKILSGRSKSILQRNAESVHVSSGDSKAAPESNNISSPKKEFDFDDKPQKSLNEKQQENQDLLIRCIAQHLGFAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGSMVSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLMKGSSRSNTNTAAQQALIAHWQGIVKSLGNFLNMLKLNNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMEQIDISDIEPPPLIRENSGFVFLLPPPE >ORGLA06G0276600.1 pep scaffold:AGI1.1:Oglab06_unplaced167:401988:407887:-1 gene:ORGLA06G0276600 transcript:ORGLA06G0276600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMGVNIIRSINDGGGPYVFKISGQLCHRIGSLIPRHGARPEYCQLYIFDTENEVQNRMGVASVCGSFQPNEDVIRSLMRMFDMNNPIVQVFRTARDRLANQSEDNYFVRLFAVPNQHGSVYSAPVASEVVGLVVNDLGTTDQGRDLIVQDHASHLQRIKESHCKFMAMQYPLLFPYGEDGFHKDLKYCQCQRSGAIKRGYVTMVEFFAYRLHDRVGDFNTPLRCKKFTQSYEVDGFCCVEDGRLSHYRTDSFQKKYRASPYNSLVQAVSTGMTQGSAVGQKIVLPASFTGSPRYYYQNYQDCVALCRRFGCPHLFITFTCNALWLEIVEALAPIPGQHSSDRPDIVDRVFHMKLRMFMDDIVKNQFFGPISGGNFHSSLLLRTMFYYIMYFPLIFPIQGECFYLCILLHVIKGAQSFSGVRTIGDIQYPTFQSACEALGLLGDDREWSHAITDAAQWTLPYQLRQLFVMMLLFCQVSNPTKLFDSHVQLMGEDFAYRVCQHTPRKTFLWNTLLNSIRNRGKIALAVASSGIASLLLPGGRTPHSRFRIPLDIQEDSMCAIKKNTQLAELIQQTSLIIWDEAPVNHRHCFEAFDRTLRDIMSSVNHDSMNMQFGGITVVFGGDFRQTLPVIPNARKQQILNASITRSRLWQNCVVLKLTENMRLSYPGLSLQDKSEL >ORGLA06G0276500.1 pep scaffold:AGI1.1:Oglab06_unplaced167:384970:390663:1 gene:ORGLA06G0276500 transcript:ORGLA06G0276500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:I1Q6K2] MAGGRRSRTSRRLKLKLSALYTFALCSKGSGEDHSSRIGTTGFSRVVYVNEPDRHEEEGFRYQPNEVSTTKYSLVTFIPKSLFEQFRRVANFYFLVSGILALTPLAPYTAVSALLPLCVVIAATMAKEGIEDWRRKHQDHELNNRTVKVHRGDGDFEEKKWKDIKVGDVIKVEKDNFFPADLVLLSSNYPDGICYVETMNLDGETNLKIKQALDVTLHLEEDNSFVNLRQTIKCEDPNANLYSFIGTMEWKDKQYNLSPQQLLLRDSKLRNTDYIYGAVIFAGHDTKVMQNATDPPSKRSKIEKRMDKIIYVLMSSLLVIALLGSVLFGIWTKEDLMNGEMKRWYLRPDDSTIFYDPKRAALASFFHLLTALMLYSYFIPISLYISIEMVKILQALFINQDIEMYHEESDKPTHARTSNLNEELGQVDTVLSDKTGTLTCNMMEFIKCSIAGIAYGQGVTEVEKAMALRKGSVLGDGIENIEHTDQKNDGSPHIKGFNFKDPRIMDGNWIHEPNSDMIRDFFRLLAICHTCIPEEDEETHKVSYEAESPDEAAFVIAARELGFEFYHRAQSSIVVRERDPITNIVKDRKYELLNVLEFSSSRKRMSVIVKEPEGRILLFSKGADSVMFKRLAPTGRKFEEETKRHINEYSDSGLRTLVLAYRFLDENEYMKFSEKFNTARTSVSADRDEKVEAAAESIERDLLLLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMTQIIVTLEAPDIIALEKNGDKESIARESKQRVMDQIEDGIKQIPPPSQSNTESFALIIDGKSLTYALEDDVKFKFLDLALKCASVICCRSSPKQKALVTRLVKHTNRVTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLLIHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVIFTSLPVIALGVFDQDVSQRLCLQYPGLYQEGVQNILFSWRRILGWMANGVINAILIFYFCTTAFGIQAFRQDGQVAGLDALGVLMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIAVWYLFLLAYGAVDPRFSKSAYMVFIEQVAPALSYWLVTLFAVMATLIPYFCYAAIQIRFFPMFHNKIQWKRHLGKAEDPEVARQLSSRHRTSSHQRMVGISARRDGKAMQVTKETELQVQG >ORGLA06G0276400.1 pep scaffold:AGI1.1:Oglab06_unplaced167:348870:358818:1 gene:ORGLA06G0276400 transcript:ORGLA06G0276400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G23080) TAIR;Acc:AT5G23080] MGFDDDDEDLVVYGTPIEREEDTSARKRRAVAEAGQLRALPAWKQEVRDEEGRRRFHGAFTGGFSAGYYNTVGTKEGWTPQTFTSSRKNRAEVKKQSIYSFLDEEDIKDMGGNALETSQQYDTFGFTATEHARKQASKEQKERPSAIPGPIPDELVVPATTSIGVKLLMKMGWRQGRSIRDAHADSLYESRREARKAFLALSGTKTDGQKIQVDSHKSDKDDGATESFEELHASGNTPVYVLHPKQDLHGLGFDPFKHAPEFKDRKRLQKSARDRNRSDVSMRGSLLISNSGQYAPGFGIGALEELGVEDEDIYASGFAYEQMEVDIEPSKTASDSNYKLEDRKRGVFLAFKIASSSEYKLERFDPPEIPSDFDGRHKFLTPRQDVNNLSDLAPPEVPAPEDTSLRLLIEGCAAMVARCGKHIEDFYKEKSKTNTQFNFLNEGDGCSYYARKLWEYQQKYIDQQKPDTVQSKSSDKLTAENRGKILGERPLDRSTKSSSSSFPAKEAIQLQSNLGDNFVKPISLDGLPEYEKPFRNDPAKQARFEQFLKDKYQGGLRPANLIPTSTMSDADRARERLDFEAAAETIEKGKEKKAMDPLSLLGLSGINEQRFVSSTESERSIPARDEKSIYPRREEFEWRPSPILCKRFDIVDPFMGKPFHVQRPRSKMDSLIFMSESTTRTNEVESSSIAPQHTSVAGATETEAKGAATDPEIESSSVQRPVDLYKAIFSDDSDDDMAEPLANQPVDPVKTSEDANMVLNRLVAEDFLESLGKELGLDVPPEKPTPPNVLFRSETPSTANAIGISRNGKAITCQEIKENESALDKEEIANASADVPSDNVEELGLKYEKQEHRAEKSRSRSSHRQTQSGSLDSDSTSDQHRSRERRSRHKIRSGTPGSDSSIEHHRSKKRKSHSKHRTRRSRSPYADSSDSQYTKRKHREKRHHRTRNPDTDSSDHEYEERHKSSSRRSSDKDRSRRRSRHHKR >ORGLA06G0276300.1 pep scaffold:AGI1.1:Oglab06_unplaced167:343714:345461:1 gene:ORGLA06G0276300 transcript:ORGLA06G0276300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTVPAAEGLAYKPTLETRVHGAQLRADCAKVQVDSVKPEYELFPLKYPPNDEVLSLGNARGTFIQWPKDLIEIRGGKVQASLLAPRKLDLGKGQEETKGKEVKKKYVAPQEFQLGMPLVGDDVLAAMGTACKDLHLYYMEKSNARKPSKATVILGEHDGKPFLGPTNYIVVGFKDLFDLYRLRAVDTSLLKCYSFAHWILLVITPKWSTCHYLNSRIDKNAYDWTPIQLAIDEAWAQYMQRGGLRKTRHDTLIHKKDFLVKXQIGDQCGFHVCHNMRLLYREKVKTLAEFEGTITKSLPTSFEEAMMNTYYATVMMXL >ORGLA06G0276200.1 pep scaffold:AGI1.1:Oglab06_unplaced167:327109:333460:-1 gene:ORGLA06G0276200 transcript:ORGLA06G0276200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYSAVALALRAAKPSSCAHSTYHHHHHHHGDGGDCHQQELLLPLNPRAAAAPRFMLDGYLLRHSAHFLLLSARLRPPPPPPRCCHRRRRVAARCCCGGGGGGSVAGHVSWRLDPPRVCRCSGHGAGRSSDLGEVYRRRLECRCGGGGGRLDLGAGCGRRRDAPRLVGRAVRQEVWEYEGGEWPHTSCSMECHTDWDDEEEDCGIAWWEAPPRFRLSRRRSEEDEGDRCRDCHRRKDAESDYYDEDEYSGRQRERRNMNERHGRFTDSNQRRRDQRDYHDDDDYLEFRRWKERRERRDSEFDDAVARRGIEDRRYSEDDRKYDRRRERKDFGYEGAVDVRRGASRYTDNNQRSDWRTEDRDYEVDVRREGKHRRNDDQRYVTRHQQRTDGTEEDVSLLESYRWHDEEYDYDDRDIAERRYYSGRTQKSARASALHEDESNRASSSRNIVDTRVARNKENSASRVRWHDNVDKRAEQTSEERNQRYSSSVVQSFDEKKHDHDDAQLISVRDSRIGTRDVRVITEDDANLASSSKNTMISKHHNTVDQKSTTRKDDSRNRSQKIMELSEVRGTNTEHDSRTQSYHQEDRRRYIENRASSLQSSVKTTSDTRTQVDQHDEVDQQVVALTDSRRRSEKLTDIKMDSTSNVSRTSLKQRNSDEVNQTDIDDRSNSVHNITHITRDKKRYVNQQVIHETDIDVQNVTHFDVSKVRASDISVSRNSQKASETRSDMANSQLEQIHASNSSMVRGPQSYLEAALHNRVYSTSATDIVNTTAEKHGQVEASTNNAAIASTSESHIQARIEDSAVNTIGSVQEQIDLTRICASDSTVVSSSHGLDTRSGQVSRTSATNLVDRTRETRDKSDQQITQASNIDRNDHVTSKFYESSQDSRQSLARLKDAGRLMEHNVGLNWQQEESRRVSNDMDIATLEMQSTEDGSSMVPVDVEKRPMITGSSEQEVRSETTAGSSIPSGSSARQPVNESLLESAARLEKSSTFHVGQFVGEVRKGVSDADTTLTRKNDKSIMEGIARSSSRSRMGGPSDEMWDVQSATSQETFKTADKEEGSSVDGGTTSTSLTPKNETALVRKVHKSLWAYVADIVRLGWIQRGESLDSNSNRSVKKSSSSNSQNTEGWISSQERDNEGIQKKTESSKPKDHLMKSHTGESLKKESLPTGSQGLLISESGNVPQINTSKGDFISRTSKEDAHMTGEKAKQSKVAASPKQNTVGGFSEDSTPTLVDVAKKHFPEHEASTSSMITTKGFADNDTGEGVIAGTSSMPISTEGVGRTAGSDEWRYDPSGAMTPYRHPHTQVMMPHEDTPAILESAELPTVGSTRFEEKIVVQETPEVIRTDGKDAELKRRKFQRNKQVMKETFDEWEEAYQRDAEQRKTDELFMREALHEAQRAADLWEVPVGAVLVQNGEIIARGCNLVEDLRDSTAHAEIVCIREASNKLKTWRLADTTLYVTLEPCAMCAGAILQARVDTVVWGAPNKLLGADGSWVRLFPGDGQTSSLDSANTNQGAGPVHPFHPKISIRRGILSAECSEIMQQFFHLRRRKKQKPESPPHAHPQGRNHPVKFFSKMHHMFGTIFCL >ORGLA06G0276100.1 pep scaffold:AGI1.1:Oglab06_unplaced167:321243:323495:-1 gene:ORGLA06G0276100 transcript:ORGLA06G0276100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIQLVLTAGIVDSLLDHAEVAQVDCIGRPDDCYCAATVDYGVAACICVLLATPLGAFVPSCPEVWQTLFDVSSFTVRLHRLFGVLFLNDYRDCVTVFVSSAFSRTLVHDTLPCVHDHSTAPHARPAARLPRHQLPDFGYNNHGYSTHGFIDHGSLAPFALATSTLAQRGIIRIEHSCRFLLQSKCPRCSRLDCGGMLEYMVVRDVLG >ORGLA06G0276000.1 pep scaffold:AGI1.1:Oglab06_unplaced167:300616:307490:-1 gene:ORGLA06G0276000 transcript:ORGLA06G0276000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSKSGHGYVLLGLNGYIADRHNRTTASSTTSTGLPIEVTFCAARPPALAHFSIHCPGLDHFGADRNPLLSPKVLSADVDVVLIRVPVDPLALLDLRLHDYFVYRMHPETPRLDLLPHPGEHGFSDSEIAILSCGNGKYVVAGLQATSCDTTYTLRCLYRDGEPPGSWSWTSQRGPVSVSVSQLQRDDVCPIPKSAIRQTHHLTAKVITLRGARGTIGWVDLWRGILLCDVLDATPKVRDIPLPFPARANWRAYLNRCPYYSRDITVSESRDTIKYVEMELTVPAIEEEIISGPDDPEEECSYSLVPGRWQATTWTMPIPANSWNDWKYGCTISSDHVKLPDDGTKQSELLRRLVMSRNERKEEVAVAGLCLSLGCLRMAHPTLSIADGDDVIYLLSKGIRGAKMAAVVAVDVRARTLIGVSEIDSEKNINFLCCCLPTGIFKHLNTSAAT >ORGLA06G0275900.1 pep scaffold:AGI1.1:Oglab06_unplaced167:297858:298334:1 gene:ORGLA06G0275900 transcript:ORGLA06G0275900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSCLRPLPILSAAAAAAAGRASPSPWRAGRSRRSGTAAEEEEEAAGVMARGCREGSRSTSPRGNTSCGIRRWWTPSSRRPASSPPTPSSRSARHGEPHQAPPPGRRQGRRRYPAWFSCSTAAXFQFFNRFLKYITSLTNTTILGPANFTLPFYSSI >ORGLA06G0275800.1 pep scaffold:AGI1.1:Oglab06_unplaced167:278630:279776:-1 gene:ORGLA06G0275800 transcript:ORGLA06G0275800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q6J5] MAITKCCVLLLVPLVAVLVAADQLRVDYYSETCPNVEAIVREEMERIIAAAPSLAGPLLRLHFHDCFVRGCDASVLLSSAGGNTAERDAKPNKSLRGFGSVERVKARLETACPGTVSCADVLALMARDAVVLARGPSWPVTLGRRDGRASSAGEAAASLPPADGDIPTLARVFASNGLDLKDLAVLSGAHTLGTAHCPSYAGRLYNFTGKGDADPSLDGEYAGKLRTRCRSLTDDGMPSEMDPGSYKTFDTSYYRHVAKRRGLFSSDASLLTDATTRGYVQRIATGKFDDEFFRDFGESMTKMGNVAVLTGADGEIRKKCYVIN >ORGLA06G0275700.1 pep scaffold:AGI1.1:Oglab06_unplaced167:260875:263764:-1 gene:ORGLA06G0275700 transcript:ORGLA06G0275700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGPGGGSNHTHLTERGEILEDAHDKKDELRAEDLELKLNEPFLNFMRLRLIPQWGQGFTSECQLKTAQDQLEWHSQIKPTRLPVLALHIGNKKFARTNECDIFVKFFYHKKSVIFQMSKDKLCRKVDIPFDDITSFCFVFGQQSDILTIEVKSSLIPLSAAKPLPGKFLDWKVDYSKDDEYYFPESKSLWVEAEKGSLEKTYAKLKYTNPHLTCLFTDAGEQGETSARHAT >ORGLA06G0275600.1 pep scaffold:AGI1.1:Oglab06_unplaced167:239006:241062:-1 gene:ORGLA06G0275600 transcript:ORGLA06G0275600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNISTSLSPAAITEASSSSATEIYIDLDTPQVREFRTRYKLPVTIIDESGSLDAVAFSFVAEDLLELDAAQASQNMKIDPADHPTALNNAIGKTKIFAIGINTDTSSKFPISYVLKKSFTIEPTMSVPMLTDGEPLKNKEVLQLPPPAPLTDNPSTTIHNTGASSKSTPPEISLADKTPTEKTSSTTKRAIDFTKDSIEETRSKKLQHTEGKADFPEDSIEGTKGEHMLSDLR >ORGLA06G0275500.1 pep scaffold:AGI1.1:Oglab06_unplaced167:212478:213439:1 gene:ORGLA06G0275500 transcript:ORGLA06G0275500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLPRRVRHLDPLPRKPSLALSRVKISSPPDTNPPKKSPSTILPAPKIKGVESRPPGGFVNLINAPSNHMHHVAEGSPSQPINLRMEMSLGLRNACHGQTMKT >ORGLA06G0275400.1 pep scaffold:AGI1.1:Oglab06_unplaced167:209306:209593:1 gene:ORGLA06G0275400 transcript:ORGLA06G0275400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVRTSNLHLLLLCSPSNFHDVQMCLENQEPKMEDIIKLETNYSLAELKDLKVVDHVSRPNTFILEMLIESFLNDIIFHISITPTNLLLATVYA >ORGLA06G0275300.1 pep scaffold:AGI1.1:Oglab06_unplaced167:196364:196672:1 gene:ORGLA06G0275300 transcript:ORGLA06G0275300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRSALAATTPACRLRDDDEDDNDGRDWICHRLRRRLDPPSGGLRHADPLPCGIERMDPPPIVLGCLDLPWVCSQAATALIDRCVGRSWWGLSGCGGGFD >ORGLA06G0275200.1 pep scaffold:AGI1.1:Oglab06_unplaced167:186032:186154:1 gene:ORGLA06G0275200 transcript:ORGLA06G0275200.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVGDPTTNVVAAARVEVDLTQETTEDANVPPAKKAKKCSSE >ORGLA06G0275100.1 pep scaffold:AGI1.1:Oglab06_unplaced167:175880:177883:1 gene:ORGLA06G0275100 transcript:ORGLA06G0275100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--inositol 3-phosphatidyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q6I8] MAQPSSEKTPSVYLYIPNIIGYFRIIINFIAFAGCYSNRVLFAILYFFSFFCDGLDGWFARKFNQASTFGAVLDMVTDRVSTACLLALLSQLYRPGLVFLMLLGLDITSHWFQMYSMFLSGKTSHKDVKDTGNWLLKLYYGHRPFMAFCCVASEVLYIILFLFADEKSTSLLNVCRCFLKGSPLTFFVFISTLVGWALKQVINIIQVSRNQH >ORGLA06G0275000.1 pep scaffold:AGI1.1:Oglab06_unplaced167:169702:169998:-1 gene:ORGLA06G0275000 transcript:ORGLA06G0275000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVGNSRGRNRGHGEKKGKGKGSIIFKGKSKGKPRGKGELKKVIGESFGVKQDNCDRCGGRGHWSRNCRVPKHLVELYQQSMNEKTSQHESHFTIEPEA >ORGLA06G0274900.1 pep scaffold:AGI1.1:Oglab06_unplaced167:150010:150300:-1 gene:ORGLA06G0274900 transcript:ORGLA06G0274900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPRRPHWSSSAAQGLLHLLRASPPHLQVATVAALGQWSSYIYMATDVAVQAVGPATSTSSNMIHRQRRRIFLDYASLFSDNYVLLRQFFLYAVLAP >ORGLA06G0274800.1 pep scaffold:AGI1.1:Oglab06_unplaced167:145399:146892:-1 gene:ORGLA06G0274800 transcript:ORGLA06G0274800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAEMAPSSLLALLVVRVRVATASAGAVTVGGWRGPPPTATASRPPRAPRLLAPPPPLAGHKIQIKSANMKEEMRQEAFDIDRVAFEKHTMEKDIVEYIKKEFDKNHGPTWHCIVGHNFCCRAMDIRPPGPGEQRDWL >ORGLA06G0274700.1 pep scaffold:AGI1.1:Oglab06_unplaced167:135899:137724:-1 gene:ORGLA06G0274700 transcript:ORGLA06G0274700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMQTNMLHLMGLDETMKMVTKNVFSSLPDAPVSLHAPLAFAAAARPPRDGVDRTGALPVGILCDILSRLPARDAARTSALSTRWRRLWRSVPLVLADAHLKHTGPSPPPVSEIDQVDGLGGVLRRAVDGTRDVASAVSRALAAHPGPFRSVHVTCTRMDAHHAELALWLQLLAAKGVQELVLVHQASKLDAGVRLPATLFRCSSLTCLYIGFLRFPDVATIQRAGAFPHLRELGLCSLVMGVRDLALLLDRCPVLENLEIVGHRELVRLRVASHSLRCVELCESVVEEITVEHAARLERLMFWEICGVGGVIDEDGCSINMCTKIKIGHAPNLRFLGFLVPGMHQLNIGNTIIKAGTKVSPKNMVRSVRVLGIQVKLFNHNEVKMLPIFLRCFPNVETLYIQCETTIHKPPGMLNPKFLQQTGPIDCLQKHIKKVIIREFRVHRSELDFVKFIAERGQVLEKIVIVLAQSYSSSADRLRSSMRTFMASVKLANEDCKVIVCESPFPSDGTAWCFQGAFNMSKDPFDVSQCSNSGASCRAA >ORGLA06G0274600.1 pep scaffold:AGI1.1:Oglab06_unplaced167:127691:127945:-1 gene:ORGLA06G0274600 transcript:ORGLA06G0274600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XQKTFSGKQRMMASIAWQRCVVYGLRRLDDVPDNSDEMACDVAGAGDALRAPSSDAPAGILLEQPADEFEEDGNVDESERTSVM >ORGLA06G0274500.1 pep scaffold:AGI1.1:Oglab06_unplaced167:123830:125660:-1 gene:ORGLA06G0274500 transcript:ORGLA06G0274500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMETNALQVLGLDDNMRMVTKNVYSSLPDPPVSLHAPLAFAAAAWPPRDGVDRISALPVDILRDILSRLPARDAARTSALSTRWRRLWRSAPLVLADAHLKHTGRAPGPDELDRTGGLLLRAMDGMRDVARMVSSALAAHPGPFRSVHITCTPMDAHRSELALWLQLLAARGVQELVFVNRASKFDTDVPFPATLFRCSSLTRLYIGFLRFPAVATVPRAASFPHLRELGLCSLIMGQRELAFLLDRCPVLENFEIVCHRELLRLRVASHSLRCVEVCMSIVEEITVEHAARLERLMFWETCGTGGVIDNVGGIIDMRTRVKIGHAPNLRVLGFLVPVMHELSIGNTDIRAGTKPTRRTMVPSVQMLGIQLKLFDNNQVRMLPSFLRCFPNVETLYIQSETTLSGNTTGKLNPKLLQETSPIECLQKHIKKVIMREFRMQRSELDFLKFIAERGQVLEKVVIVLTHTCSSSADRLRASLSTFMASTRLANEDCKLIVYESPFPIDATAWCFQGAFNMSKDPFDVSKCFKDGASCRAD >ORGLA06G0274400.1 pep scaffold:AGI1.1:Oglab06_unplaced167:117363:119019:1 gene:ORGLA06G0274400 transcript:ORGLA06G0274400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRATTLLVLMQIIMVFYIVILSCSFSDARTFPGEEGGLDPNHPVCVGGACPTPGLPYTNPRGPCIYRNRCNPPGRMGDP >ORGLA06G0274300.1 pep scaffold:AGI1.1:Oglab06_unplaced167:105644:108075:-1 gene:ORGLA06G0274300 transcript:ORGLA06G0274300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNKKVKKAMETIATNINDLPNEVLQYILSFLSTREVVQTCVLSQRWCNIWKFVPTVHVTNETIQHCQKLLDHVIMQRGDVSINTCHLEFIKYFRRENRKANKWIFHALSICKVKELRVYIQFQDFFLTITNQAIISGYLRKLELDSVKLEANSLDFTSCPLLEELQMGYCIIYARKIVSKSLKRLKMETMFFETEDDDGWPCRLHISVPNIVSLTLLGFDGWTPLFESMPYLAFAIVTFNDECYDTCQYSSFWDCGNEDCEGCYAIGDHLNGSVFLHHLSHTTHMELTNDCRMNMNDSISTIFDRDLKWCPLFRNLKTLLLNEWFLENGLRGVLRILQHSPALEKITLKLYMEPKKIVESEESYGTMEQPFVMNHLKKISVKCQKEVMWVKKIIMTLTQFGIPHQRICVKEIPRSSIISEMTYLQTEHNLRMKPYKCVLNDLKAKPER >ORGLA06G0274200.1 pep scaffold:AGI1.1:Oglab06_unplaced167:62663:67132:-1 gene:ORGLA06G0274200 transcript:ORGLA06G0274200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLVPEWKDAFVDYWQLKKDIKRLQAAEAEAAGVAATTPLSQCQAPVAAAHWVMRLPFLHPHGHHHKEHGAIQVHRKLASGGGGGGGAVAGEVYETELVDGGAGFADGEAARAFFARLDEQLNKVNRFYERKEAEFVERGESLRRQLQILAELRAAVVAEQQRDGRRRRYGNGGDSSPPDTEDPSVSCSILHGDQSLRGTSEQEQEGQEKLTKDMIARSPDEGDDDQLTIPQELGDSGRLGRPREEAANTRPRTTLPGGRAVTCQGRSVRINIPVTTPTRTVTAIRELLFDDMLSQSRRSGSANGTKCSDKLSINKRKVHQAEKMIRGALIELYKGLGYLKTYRSLNMMAFVKILKKFDKVTAKEAQSIYLKVVESSYFNVSDKVIRLMDDVDELFVRHFAEGDKRKAMKYLKPNQREESHTTTFFIGLFTGGFAALFIGYCIMAHIAGMYTQQSNKVYMATSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFTPTKELKYRDVFLICTTSMTIVIGVMFAHLTLIVKGYSSCAVQAIPGALLLVFLLILVCPFNILYRSCRYHFLTVIRNIILTPFYKVVMVDFFMADQLCSQVPLLRSLEYLACYYITSSYKTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENDNSAGWLSLVVIVSSLATIYQLYWDFVKDWGLLQFNSKNPWLRNDLILKQKYIYFLSMGLNLILRLAWLQTVIHPNIGSLDSRVTLFILAALEVIRRGHWNFYRLENEHLNNAGKFRAVKVVPLPFHEVEEN >ORGLA06G0274100.1 pep scaffold:AGI1.1:Oglab06_unplaced167:59025:59432:-1 gene:ORGLA06G0274100 transcript:ORGLA06G0274100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEFATPLSHDRERIDAYHNGEQLRYSTMQDLLGDQPVPGLVPCDLEAQLHLACDDGEPRSFTEAEKHVAWRAAMQSEMDAVQENHTWELADLPHGHRAVTLKWVFKLKRDEAGAIVKHKARLVARGFVQQEGIDYD >ORGLA06G0274000.1 pep scaffold:AGI1.1:Oglab06_unplaced167:58020:58553:-1 gene:ORGLA06G0274000 transcript:ORGLA06G0274000.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDSGITLRQTAYAKRVVELAGLTDCNPTLTPMEERLKLSRDSTTEEVDATQYRRLVGSLRYLTHTRPDLAFSVGYVSRFMQRPTTEHQQAVKRIIRYVVGTLDHDLYYPRCPGKAHFIGYSDSDHAGDIDTSKSMSGILFFLGECLVSWQSVKQQVVALSSCEAEYMAASAASTQAL >ORGLA06G0273900.1 pep scaffold:AGI1.1:Oglab06_unplaced167:53084:55099:1 gene:ORGLA06G0273900 transcript:ORGLA06G0273900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHLAALLLRGSGGHHHSHPRAVHGAAVKLGCLASTYLCNNLLLSYQARGHLADARGVFDEMPRRNVVSWSVLIAASSRLGVLGDALSLFAGMLRGGERDRPNSFTVAALVAGFARAKDAVAGEQVHASAVKLGVDEDESVAGTLVDMYAKCGRVGSSWRAFVLTPQRSVLSWTSMIACLVNHGDSGYRDTAIVLFKKMLVLKVWPTNATFSCILKVFDVPELLPSGKQVHGCLVKMGTEVDPALGTALLAMYGRCGGMDEITRLACRIRHDAFSRTSLLTAYARNGCNMEAVRVFRDMLMGHMPIDQSAITSLLQVCSSLGQLRVVKEIHCYALKNFFRLDTLLLNAIVTVYGKCGDIASAEIVFNTLENKDTISWTALLTCYVQNDLSQEALFFFREMVRKGLESSIFCITSVLRACSATSSLSCGWQIHSRVVKLGVDDDTSVENALVTMYAKCGVVQVALKIFNSMRNRGIISWNALITSFSQHGNEVAAIQLFDMMQEEMVCPDDYTFVGLLSSCSRMGLVAEGCEYFKQMKTKYNLEPKMEHYTCMVDLFARAGRFSDAMKFIDAMPCQPDQLVWEALLASCRVHSNLDLGRMAAKKILEIKPEDPSPYIILSSIHASIDMWDEKARNRTLLDFQQLRKDVGSSQLDSQGFSDDIFNALQVGVT >ORGLA06G0273800.1 pep scaffold:AGI1.1:Oglab06_unplaced167:37208:39807:-1 gene:ORGLA06G0273800 transcript:ORGLA06G0273800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLSCLGSMSKWEPVTFEESLCFVKKVKARDYVLYLSLLDVLSRNEQIPLEAYSELSLLFRDHDDLLEELAKFRPLPTPSTVYSHSSVWLLFFLMPLLVLSILLKCFLLQQPVAS >ORGLA06G0273700.1 pep scaffold:AGI1.1:Oglab06_unplaced167:33661:36198:1 gene:ORGLA06G0273700 transcript:ORGLA06G0273700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NW48] MQMCGWLLKVVRWENLNCVHMEAHGNRRSSPTYLVMLWMISVASLLITCRGSIQKQVLFPGFTAAQMDYIDNDGIFLLSNGSVFGFGFVTSNVSDNTFYILAVVHMATTTTVWSANPNSPVTHSDDFFFDKDGNAFLQSGGGSNVWAANISGKGTATSMQLLDSGNLVVLGKDASSPLWQSFSHPTDTLLSGQNFIEGMTLMSKSNTVQNMTYTLQIKSGNMMLYAGFETPQPYWSAQQDSRIIVNKNGDSIYSANLSSASWSFYDQSGSLLSQLVIAQENANATLSAVLGSDGLIAFYMLQGGNGKSKFSITVPADSCDMPAYCSPYTICSSGTGCQCPSALGSFANCNPGVTSACKSNEEFPLVQLDSGVGYVGTNFFPPAAKTNLTGCKSACTGNCSCVAVFFDQSSGNCFLFNQIGSLQHKGGNTTRFASFIKVSSRGKGGSDSGSGKHNTIIIVIILGTLAIIGVLIYIGFWIYKRKRHPPPSQDDAGSSEDDGFLQTISGAPVRFTYRELQDATSNFCNKLGQGGFGSVYLGTLPDGSRIAVKKLEGIGQGKKEFRSEVTIIGSIHHIHLVKLRGFCTEGPHRLLAYEYMANGSLDKWIFHSKEDDHLLDWDTRFNIALGTAKGLAYLHQDCDSKIVHCDIKPENVLLDDNFIAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWLTNYAISEKSDVYSYGMVLLEIIGGRKSYDPSEISEKAHFPSFAFKKLEEGDLQDIFDAKLKYNDKDGRVETAIKVALWCIQDDFYQRPSMSKVVQMLEGVCEVLQPPVSSQIGYRLYANAFKSSSEEGTSSGMSDYNSDALLSAVRLSGPR >ORGLA06G0273600.1 pep scaffold:AGI1.1:Oglab06_unplaced167:3335:4517:1 gene:ORGLA06G0273600 transcript:ORGLA06G0273600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TARTPSGRGEGVKVRHGEERNRQRELDEAASDLGEELRQQIGLARGGRGDDEPGSEWCPPTSSIAGSLGIGGPIDSRRPVSCAASPVQASPPLSSPAHRPRRCRGTHIHRRRVCHQLPLPHGAAGLFPPRCWGHGPPSSPSSARRPPRGRATSATPAGTRRQVGPHAEGEDDAGGDDDEEDIAKTTHATMAIVWLAAKADPTTKTLFFHSLGWSVGADDLLSTFSRFGFVLFYSRRSVLRALRYSHAEKLRPPLRFGGRGSRRSDHRPPRSAPLGSSTVAAASPAACPAPPVGPSTPSLRVLRRPLRPYSGRRLPLHRALPCRGIEIRGSFEDDM >ORGLA06G0273500.1 pep scaffold:AGI1.1:Oglab06_unplaced167:2097:2426:-1 gene:ORGLA06G0273500 transcript:ORGLA06G0273500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LADKRRYVSPAIDRLTDMAAKGSPEEEALLAGVGGDHQLVESDELAPAAAVVREEVKKQLWLAGPLVAGALLQNVIQMISVMFVGHLGELPLAGASMASSFASVTGLSLL >ORGLA06G0273400.1 pep scaffold:AGI1.1:Oglab06_unplaced163:116488:117204:1 gene:ORGLA06G0273400 transcript:ORGLA06G0273400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRKIEIKRIKNEEARQVCFSKRRPSVFKKASELYTVCGAEVAMLVKSPAGKFFSFGAPSVGFVLSRFHATTTSRKHSSMGVTIQHDNSATIKLHELNQQHIELQNQLQAQNEKMKALQEVAKKESGEKVMGWLNSKVEDICQEDLEEFKMVLESLKYLTRGIINQLFQNYAMFSNMMRVQHCVTALPNQQFLPSSEDVKPMIHHVPSSSYGWNTSIDSKPNSSDAHVVGARRYFPK >ORGLA06G0273300.1 pep scaffold:AGI1.1:Oglab06_unplaced163:98582:99472:-1 gene:ORGLA06G0273300 transcript:ORGLA06G0273300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRFEMSMMGELTFFLELQVKQAQDGTFISQTKYVNDILKKFGMEDAKPIKTPMPTNGHLDLDENGKCVDQKVYRSMIGSLLYLCASRPNIMLSVCMCARFQAEPKECHLIAVKRILRYSVHTPNLGLWYPKGCDFELLGYSDPDYAGCKVDRKSTTGTCQFLGRSLVSWSSKKQNSIALSTAEAEYVAAGSCCAQLLWMKQTLKDFGYNFTKIPLLCDNESAIKIANNPVQHSRTKHIDIRHHFLRDHETKGDICLTHVRTESQLADIFTKPLDEKRFCELRSELNILDSRNIR >ORGLA06G0273200.1 pep scaffold:AGI1.1:Oglab06_unplaced163:86499:87569:-1 gene:ORGLA06G0273200 transcript:ORGLA06G0273200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGLSPQRQHRPENRSFDDTFGPRYAHGYQGGGRGVARFRDGSPPYGRGGRSYGRGSGAPGKEFINIDGEYVHRNDPNLSPREGDWICQNPNCGNLNFARRTHCNNCNKYRYSREVCEPGHSPHRDYINPPRGPARNLGPSDRAPPREMARYGLPPRGRGSDPKGYPARSPPDHAGRYADPVQRERMGFRGDRQLRDRVKHDWSSAEDYNPRERPHDDMYLERSRRRSVSPRDNWGHNMRDRSRSPAGGRLKGSFTGGGRPDLYADPYAGRGRPNNLDDVRGRGRGRGRGRGYIPGGATYLGKGRGDRRAAPSSRNDGSY >ORGLA06G0273100.1 pep scaffold:AGI1.1:Oglab06_unplaced163:81790:83503:-1 gene:ORGLA06G0273100 transcript:ORGLA06G0273100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47650) TAIR;Acc:AT3G47650] MAATSSLTATAASPPLLLKPAPSPLAASFLRPVSRFSRFQSVKTKATENDQTEKSPPKGSSLVCQDCEGNGAIVCNQCKGDGVNSVDHFNGRFKAGALCWLCRGKREILCGSCNGAGFLGGFMSTSDSTAE >ORGLA06G0273000.1 pep scaffold:AGI1.1:Oglab06_unplaced163:67250:73623:-1 gene:ORGLA06G0273000 transcript:ORGLA06G0273000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKKQMQQQPQQPESEPEPAAPAPAAAAAEAKATPPQPQPQQKPAAPVQPQMPTPRPWPVAFIPPKPVAEIKSTPSTKRKKHCNCKNSQCLKLACSSILDLFTGQIDQLYGYCECFAAGLYCDGCHCKQCGNYVGNESARQEAINSTKQRNPKAFQPKIENGSNALNLRKDDAGAPASLPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCQDCKNFEGSEELRLITQGDNSSDRNNIQHAANVALNGAIGSSGYRYSPVRRKRPPEDHLYQKLNGEGSTMQTQFQEANHVDSSEITSSTGLEGCYSNYQSRSNVVYRSALANTISPTDATGLAKHLVIVCRKAADAFLMTAENKGEMEVEREIHTDSDGATNMDQQNGGDFGPCCNSLEDSRPASPGTQALMCDEQGSTFGTDYRISFPVALRDQDTSELNAQQEKAVLTGFRDYLRTVITRGKINEANRSSEAAMQLDTRKHDESATILPPLNAVEKEKLKVPDGPESPKASPSASNCGGQAS >ORGLA06G0272900.1 pep scaffold:AGI1.1:Oglab06_unplaced163:62707:66251:1 gene:ORGLA06G0272900 transcript:ORGLA06G0272900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTMCSLPQTVVASAATAQFTERCNDLPMPCSQILRAKVNSLSFSRKVPTKPTLHNLRCHATQTQSTQRKSATATIQRSDPKGKLKGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFTCVLLMDYLKEFEKNLLLQRHRIGDEATLGLAQ >ORGLA06G0272800.1 pep scaffold:AGI1.1:Oglab06_unplaced163:57395:59873:-1 gene:ORGLA06G0272800 transcript:ORGLA06G0272800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPMVIRSRVLARAVSSSLRRTLAAPPSPLLAASSRRASSLHRLPSVCGGLLSVMPLHSAVASARLRSAISPESQSWGIVPQGNSMPL >ORGLA06G0272700.1 pep scaffold:AGI1.1:Oglab06_unplaced163:47849:55481:1 gene:ORGLA06G0272700 transcript:ORGLA06G0272700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSVLVDRATSESLIGPDWSLNLEICDILNHDPSQAKDVVKSIKKRIGHKNSKIQLLALTLLETLIKNCGDFVHMHVAERDILHEMVKIAKKKPDYHVKEKILILIDTWQEAFGGSRARYPQYYVAYQELLRAGAVFPQRPDSSVPIYTPPQTQPLRNLPPALRNTERQQETPESSSTPEVPTLSLTEIQNARGVMDVLSEMLNAIDPGNREGLRQEVIVDLVDQCRSYKQRVVQLVNSTTDEELLSQGLSLNDDLQRVLAKHDAIAAGIAVRVEKPKSVQARGDKSPSIKPEGAKQPDQRSSEAASTVTPFEQLALPAPASSSSSKPPVEPAVGPSIDLLSGDDYFKPEPVNSQALVSVGNPPAASANNTLDLVDMFAQSNVGNNPNPAVTSSMLNSNPSLSEPQLYPSQQTVPPQQPSPYSNGLTSNTMAPYDQPSDINATGSWNSQFAHGMLPPQLPPQLPPQQPPNYGQGQDQNGDLPPPPWETQPAESDQFQPGQPRGLAMPSGQIGGIQSQPVQVQPGQVAPSQPMLTGQPTGMQFQQGFGDQLGAQQTQPLHAQYGGMYPTMQGNQSAGMYPQQMAGDFYQQQMYGGQMAGYGYGQQSGGYYAPNAAYGYGGANELSQGMNGLAVQDNSLYGTSASSSFQQPMRPSRPEDSLFGDLVSIAKTKPSKTAANKAGGL >ORGLA06G0272600.1 pep scaffold:AGI1.1:Oglab06_unplaced163:33717:34329:1 gene:ORGLA06G0272600 transcript:ORGLA06G0272600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGVEEGRGPRSDGSHRCRDLGADAKDLSGAVATAGEEGAPEDDQAALAAVAQFGRNSVGNSLQHDKSVLITEEVKLRQEQQTTRTQMQAMEERISAVEQKQQQMPVFLMRGMKNLGVLHMLNDRQNQHGENRELGDTLSMKCLRVPPRCNGPMMTPSPDIDSRPMITLSLPNFGVNGVIQPKFT >ORGLA06G0272500.1 pep scaffold:AGI1.1:Oglab06_unplaced163:29328:31355:1 gene:ORGLA06G0272500 transcript:ORGLA06G0272500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASEAGQHHESGGAEWRVTVAEAPEAEVEHENAKGARRGCCCAPAAAAAWVLWWLAAPWKWVARFGRTAWKVGADDPRRVVHGFKVALALTLCSAFYYVRPLYVFTGQTAMWAVLTVVVVFEYTVGGCMYKGLNRAMATVAGGALALGVHWVADKSGDDAEPFVLTASLFVLAAAASFSRFIPTLKARFDYGVTIFILTYSLVAVSGYRVDTLVTMAQQRLITIAIGAFICFAVCTLVLPVWAGQELHVLVARNMDKLAAAIEACVDDYFSSAEHAGGGGDAATALSEKARGYRAALNAKASEDSLANLARWEPGHGKFGFRHPYGQYQNVGAAMRCCAYCIDALAACVGAGGQAPAHVKRHLAGACVALSQHCAAVLREASGSVTSMTRSGRLALVVGDMNAAAQDLRNELRCLAEILDDDEEEEAASSEAEQHEHNTAPPPPPPLIEALPLIEALPLFTAASLLLEISTRAEGVVAAVDALATTAKFKKADHAEPPATTTLDAEAAMPVPISNAIAADEAHGNATAGEHDKKETAEQTTTTSASTVGQQQEARDQVGQLVKLLMRRRSTKKWARGEPKVGPCPRPPLDFPPVHAPSPRSRSTELAGHPPVVPSPRHRSMDLASHGLALPSSRHRSMDLASHGPVLPSPRNRSMDFTAHAPSPRNRSILGMA >ORGLA06G0272400.1 pep scaffold:AGI1.1:Oglab06_unplaced162:15331:16425:-1 gene:ORGLA06G0272400 transcript:ORGLA06G0272400.1 gene_biotype:protein_coding transcript_biotype:protein_coding NEIIEGDDLPMRHIIKLMEKGEQYTISNHKAWRAKQKAMEKRYGTFEEAYDTLPQMLNILKSRNPRTYVAVQDRESIRPPNYLVMQRAFFAFGACIHVFQCSRQVLCVDGTFLTGKYRGQILIAVGADANNQIIQVGFAFVESENYESWLWFLQHLKWGVVQKRTSICIIHDRNADLLKAIKELQEDGDGAYYWPDMHSRWCMRHMGANFFKQFNSRRLMNMFKRLCKANQSTKFDELWKQLDEGTRTHIRSKQTNNNSQDVHVPQALEPIDDLIPSNGKKRRSSKNIKCFTHWIECEPNDKSALLHDTNGARHGIMTTNLAEAYNAVLRKLRPLPLIAIVKRHYAQDNDVDEDEAGRGVATNV >ORGLA06G0272300.1 pep scaffold:AGI1.1:Oglab06_unplaced162:12432:12569:1 gene:ORGLA06G0272300 transcript:ORGLA06G0272300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPATPTDFSPLHSLAHHNESCYTKGKSHCPCWLVVGTVNVSQQ >ORGLA06G0272200.1 pep scaffold:AGI1.1:Oglab06_unplaced162:1393:2214:-1 gene:ORGLA06G0272200 transcript:ORGLA06G0272200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAISREACLLGAPRCHGIGMLVGAFGICKEQEQVREAILEERLMLTTFLLTYSEPHAGEGVLGVQEDIDEYRRKLAAILYNSPSNKFRNHAQAISEEI >ORGLA06G0272100.1 pep scaffold:AGI1.1:ADWL01013072.1:18378:18918:-1 gene:ORGLA06G0272100 transcript:ORGLA06G0272100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQLSTFCFEILRRVALWRAHSIYDFAFMDVDKILPFSSTLGWHSLNVNGEVQKRKGLRWIPRYPETRKGVASDEMLRGVENKHRSGDSQIGQPFELPAESMSRQETTWRTETS >ORGLA06G0272000.1 pep scaffold:AGI1.1:ADWL01013072.1:17467:17829:1 gene:ORGLA06G0272000 transcript:ORGLA06G0272000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANLLAVFAPPPPLSLSGHLGALAGDPGCFPLDDEAYPPSSHWPTLTPVIFGSYLVFRVCLDLVPLAQPAPKQCFTPRCPVNCCASTHFGENQLALGSSGISPLTTTHPLILQHQSVRTSA >ORGLA06G0271900.1 pep scaffold:AGI1.1:ADWL01013072.1:12683:12955:-1 gene:ORGLA06G0271900 transcript:ORGLA06G0271900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S15 [Source:UniProtKB/TrEMBL;Acc:I1R2H1] MKKKGGRKIFGFMVKEEKEENWGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRQRLLAYLAKKNRVRYKKLISQLDIRER >ORGLA06G0271800.1 pep scaffold:AGI1.1:ADWL01013072.1:11363:12544:-1 gene:ORGLA06G0271800 transcript:ORGLA06G0271800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit D [Source:UniProtKB/TrEMBL;Acc:I1Q6F5] MSLPLTRKDLMIVNMGPQHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNAPEFLENIQIPQRASYIRVIMLELSRIASHLLWLGPFMADLGAQTPFFYIFRERELIYDLFEAATGMRMMHNYFRIGGVAADLPYGWIDKCLDFCDYFLRGVIEYQQLITQNPIFLERVEGVGFISGEEAVNWGLSGPMLRASGIQWDLRKVDLYESYNQFDWKVQWQKEGDSLARYLVRIGEMRESIKIIQQAVEKIPGGPYENLEVRRFKKAKNSEWNDFEYRFLGKKPSPNFELSKQELYARVEAPKGELGIYLVGDDSLFPWRWKIRPPGFINLQILPQLVKKMKLADIMTILGSIDIIMGEVDR >ORGLA06G0271700.1 pep scaffold:AGI1.1:ADWL01013072.1:9285:11361:-1 gene:ORGLA06G0271700 transcript:ORGLA06G0271700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit H [Source:UniProtKB/TrEMBL;Acc:I1QWL7] MIIDRVQVEAINSFSNLELLKEVYGLIWILPILTLLLGITIEVLVIVWLEREISASIQQRIGPEYAGPLGLLQAIADGTKLLFKEDILPSRGDIPLFSIGPSIAVISILLSFLVIPLGYRFVLADLSIGVFLWIAISSIAPIGLLMAGYSSNNKYSFSGGLRAAAQSISYEIPLTFCVLAISLLSNSSSTVDIVEAQSKYGFFGWNLWRQPIGFLVFLISSLAECERLPFDLPEAEEELVAGYQTEYSGIKYGLFYLVSYLNLLVSSLFVTVLYLGGWNLSIPYISFFGFFQMNKMVGILEMTMSIFITLTKAYLFLFISITIRWTLPRMRMDQLLNLGWKFLLPISLGNLLLTTSSQLVSL >ORGLA06G0271600.1 pep scaffold:AGI1.1:ADWL01013072.1:8648:9190:-1 gene:ORGLA06G0271600 transcript:ORGLA06G0271600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit I [Source:UniProtKB/TrEMBL;Acc:I1QKY4] MFPMVTGFMSYGQQTIRAARYIGQSFIITLSHTNRLPITIHYPYEKSITSERFRGRIHFEFDKCIACEVCVRVCPIDLPLVDWRFEKDIKRKQLLNYSIDFGVCIFCGNCVEYCPTNCLSMTEEYELSTYDRHELNYNQIALSRLPISIMGDYTIQTIRNSTQSKIDEEKSWNSRTITDY >ORGLA06G0271500.1 pep scaffold:AGI1.1:ADWL01013072.1:7875:8405:-1 gene:ORGLA06G0271500 transcript:ORGLA06G0271500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 6 [Source:UniProtKB/TrEMBL;Acc:I1PHU7] MDLPGPIHEILVLFGGFVLLLGGLGVVLLTNPTFSAFSLGLVLVCISLFYILLNSYFVAVAQLLIYVGAINVLIIFAVMFVNGSEWSKDKNFWTIGDGFTSLVCITIPFSLMTTIPDTSWYGILWTTRSNQIVEQGLINNVQQIGIHLATDFYLPFELISIILLVSLIGAITMARQ >ORGLA06G0271400.1 pep scaffold:AGI1.1:ADWL01013072.1:7360:7665:-1 gene:ORGLA06G0271400 transcript:ORGLA06G0271400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit K [Source:UniProtKB/TrEMBL;Acc:I1QWL4] MMFEHVLFLSVYLFSIGIYGLITSRNMVRALICLELILNSINLNLVTFSDLFDSRQLKGDIFAIFVIALAAAEAAIGLSILSSIHRNRKSTRINQSNFLNN >ORGLA06G0271300.1 pep scaffold:AGI1.1:ADWL01013072.1:6634:6879:-1 gene:ORGLA06G0271300 transcript:ORGLA06G0271300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I iron-sulfur center [Source:UniProtKB/TrEMBL;Acc:I1Q6F0] MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLGPETTRSMALSY >ORGLA06G0271200.1 pep scaffold:AGI1.1:ADWL01013072.1:5012:6514:-1 gene:ORGLA06G0271200 transcript:ORGLA06G0271200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase chain 4 [Source:UniProtKB/TrEMBL;Acc:I1Q6E9] MSSFPWLTILVVLPIFAGSLIFFLPHRGNKIVRWYTMSICLLEFLLMTYAFCYHFQLEDPLIQLKEDSKWIDVFNFHWRLGIDGLSLGSILLTGFMTTLATLAAWPVTRNSRLFYFLMLAMYSGQIGLFSSRDLLLFFIMWELELIPVYLLLSMWGGKRRLYSATKFILYTAGGSIFFLIGVLGMGLYGSNEPRLDLERLINQSYPATLEILFYFGFLIAYAVKLPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLIRINMELLPHAHYLFSPWLVIIGAMQIIYAASTSLGQRNFKKRIAYSSVSHMGFIIIGIGSITNIGLNGAILQILSHGFIGATLFFLAGTACDRMRLVYLEELGGVSIPMPKIFTMFSSFSMASLALPGMSGFVAELVVFFGLITSPKFLLMPKMLITFVMAIGMILTPIYLLSMLRQMFYGYKLFHVPNENFEDSGPRELFLLICIFLPVIGIGIYPDFVLSLSVDRVEALLSNYYPK >ORGLA06G0271100.1 pep scaffold:AGI1.1:ADWL01013072.1:3850:4815:1 gene:ORGLA06G0271100 transcript:ORGLA06G0271100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CcsA [Source:UniProtKB/TrEMBL;Acc:I1Q6E8] MLFATLEHILTHISFSTISIVITIHLITLLVRELGGLRDSSEKGMIATFFCITGFLVSRWASSGHFPLSNLYESLIFLSWALYILHMIPKIQNSKNDLSTITTPSTILTQGFATSGLLTEMHQSTILVPALQSQWLMMHVSMMLLSYATLLCGSLLSAALLMIRFRKNLDFFSKKKKNVLLKTFFFNEIEYFYAKRSALKSTFFPLFPNYYKYQLIERLDSWSYRVISLGFTLLTIGILCGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHSRTNPNWKGTKSAFVASIGFLIIWICYFGINLLGIGLHSYGSFTLPI >ORGLA06G0271000.1 pep scaffold:AGI1.1:ADWL01013072.1:41:2245:-1 gene:ORGLA06G0271000 transcript:ORGLA06G0271000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 5 [Source:UniProtKB/TrEMBL;Acc:I1Q6E7] MEHTYQYAWVIPLLPLPVIMSMGFGLFLVPTATKNLRRIWAFPSVLLLSIAMVFSVHLSIQQINGSSIYQYLWSWTVNNDFSLEFGYLIDPLTSIMLILITTVGILVLIYSDDYMSHDEGYLRFFVYISFFNTSMLGLVTSSNLIQIYFFWELVGMCSYLLIGFWFTRPIAASACQKAFVTNRVGDFGLLLGILGFFWITGSLEFRDLFKIANNWIPNNEINSLLTILCAFLLFLGAVAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLIARLLPLFISLPLIMSFISLIGTLTLFLGATLALAQRDIKRSLAYSTMSQLGYMMLALGIGSYQAALFHLITHAYSKALLFLGSGSVIHSMEPLVGYSPDKSQNMVLMGGLRKYIPITRTCFLWGTLSLCGIPPLACFWSKDEILSNSWLYSPFFGIIASFTAGLTAFYMFRIYLLTFDGYLRVHFQNYSSTKEDSLYSISLWGKRISKGVNRDFVLSTAKSGVSFFSQNLSKIHGNTGNRIGSFSTSLGTKNTFVYPHEPGNTMLFPLLILLLCTLFIGSIGIHFDNEIGELTILSKWLTPSINFFQESSNSSINSYEFITNAISSVSLAIFGLFIAYMFYGSAYSFFQNLDLINSFVKGGPKKYFFHQLKKKIYSWSYNRGYIDIFYTRTFTLGIRGLTELTQFFDKGVIDGITNGVGLASFCIGEEIKYVGGGRISSYLFFFLCYVSVFLFFFLS >ORGLA06G0270900.1 pep scaffold:AGI1.1:Oglab06_unplaced159:42817:43494:1 gene:ORGLA06G0270900 transcript:ORGLA06G0270900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit B [Source:UniProtKB/TrEMBL;Acc:I1Q5K7] MSLIEFPLLDQTSSNSVISTTLKDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDALTKLRKKISREIVEDRTLSQKKNRCFTTSHKLYVRRSTNTGTYEQELLYQSPSTLDISSETFFKSKSPVSSYKLVN >ORGLA06G0270800.1 pep scaffold:AGI1.1:Oglab06_unplaced159:42403:42765:1 gene:ORGLA06G0270800 transcript:ORGLA06G0270800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit A [Source:UniProtKB/TrEMBL;Acc:I1QKU8] MFLLHEYDIFWAFLIIASLIPILAFWISALLAPVREGPEKLSSYESGIEPMGGAWLQFRIRYYMFALVFVVFDVETVFLYPWAMSFDVLGISVFIEAFIFVLILVVGLVYAWRKGALEWS >ORGLA06G0270700.1 pep scaffold:AGI1.1:Oglab06_unplaced159:39398:40659:1 gene:ORGLA06G0270700 transcript:ORGLA06G0270700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGVINEKNLEESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGNGQIGVLPNHAPINTAVDMGPLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQQALEIAEANVSRAEGTKELVEAKVALRRARIRVEAVNWIPPSN >ORGLA06G0270600.1 pep scaffold:AGI1.1:Oglab06_unplaced159:36523:37977:-1 gene:ORGLA06G0270600 transcript:ORGLA06G0270600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCREGRMSPQTETKASVGFKAGVKDYKLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVVGEDNQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPPTYSKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRACYECLRGGLDFTKDDENVNSQPFMRWRDRFVFCAEAIYKSQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHAGTVVGKLEGEREMTLGFVDLLRDDFIEKDRARGIFFTQDWVSMPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNEGRDLAREGNEIIRSACKWSPELAAACEIWKAIKFEFEPVDKLDS >ORGLA06G0270500.1 pep scaffold:AGI1.1:Oglab06_unplaced159:35692:36093:-1 gene:ORGLA06G0270500 transcript:ORGLA06G0270500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDRVWKLDPFLRLSFKKEDILKLLTGTIVSQQVTRNARKKRELIFKMVELDDEVFYNLDEEVVLVYDSDQEREVFHFRLDCYRIWTHRRDVQKAPDDKNHTFAELHGAMGFNAVDVLFRIFLEQTSDPTIQ >ORGLA06G0270400.1 pep scaffold:AGI1.1:Oglab06_unplaced159:35177:35497:-1 gene:ORGLA06G0270400 transcript:ORGLA06G0270400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AccD [Source:UniProtKB/TrEMBL;Acc:I1Q6E1] MALQSLRGSMRSVVGKRICPLIEYAIFPPLPRIIVYASRRARMQRGNYSLIKKPKKVSTLRQYQSTKSPMYQSLQRICGVREWLNKYCMWKEVDEKDFGFEIGAFD >ORGLA06G0270300.1 pep scaffold:AGI1.1:Oglab06_unplaced159:33809:34366:-1 gene:ORGLA06G0270300 transcript:ORGLA06G0270300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf4 [Source:UniProtKB/TrEMBL;Acc:I1Q1W9] MNWRSEHIWIELLKGSRKRGNFFWACILFLGSLGFLAVGASSYLGKNIISVLPSQQILFFPQGVVMSFYGIAGLFISAYLWCTILWNVGSGYDRFDRKEGVVCIFRWGFPGIKRRVFLRFLMRDIQSIRIQVKEGLFPRRILYMEIRGQGAIPLTRTDEKFFTPREIEQKAAELAYFLRIPMEVF >ORGLA06G0270200.1 pep scaffold:AGI1.1:Oglab06_unplaced159:32711:33403:-1 gene:ORGLA06G0270200 transcript:ORGLA06G0270200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CemA [Source:UniProtKB/TrEMBL;Acc:I1PHZ2] MKKKKALPSFLYLVFIVLLPWGVSFSFNKCLELWIKNWWNTRQSQTLLTAIQEKRVLERFMELEDLFILDEMIKEKPNTHVQNPPIGIRKEIIQLAKIDNEGHLHIILHFSTNIICLAILSGSFFLGKEELVILNSWVQEFFYNLNDSVKAFFILLVTDFFVGFHSTRGWELLIRWVYNDLGWVPNELIFTIFVCSFPVILDTCLKFWVFFCLNRLSPSLVVIYHSISEA >ORGLA06G0270100.1 pep scaffold:AGI1.1:Oglab06_unplaced159:31519:32481:-1 gene:ORGLA06G0270100 transcript:ORGLA06G0270100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome f [Source:UniProtKB/TrEMBL;Acc:I1PHZ3] MENRNTFSWVKEQMTRSISVSIMIYVITRTSISNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVLRIPYDMQLKQVLANGKKGGLNVGAVLILPEGFELAPPDRISPELKEKIGNLSFQSYRPNKKNILVIGPVPGKKYSEIVFPILSPDPAMKKDVHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATSTGVVRKILRKEKGGYEISIVDASDGRQVIDLIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFFASVILAQVFLVLKKKQFEKVQLYEMNF >ORGLA06G0270000.1 pep scaffold:AGI1.1:Oglab06_unplaced159:29749:30000:1 gene:ORGLA06G0270000 transcript:ORGLA06G0270000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b559 subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1QKV4] MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITDRFDSLEQLDEFSRSF >ORGLA06G0269900.1 pep scaffold:AGI1.1:Oglab06_unplaced159:26680:26880:-1 gene:ORGLA06G0269900 transcript:ORGLA06G0269900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L33 [Source:UniProtKB/TrEMBL;Acc:I1R2C9] MAKGKDVRIRVILQCVSCVRKGANEESAGISRYSTQKNRHNTPGQLELRKFCRYCRKHTIHAEIKK >ORGLA06G0269800.1 pep scaffold:AGI1.1:Oglab06_unplaced159:25934:26425:-1 gene:ORGLA06G0269800 transcript:ORGLA06G0269800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S18 [Source:UniProtKB/TrEMBL;Acc:I1Q6D5] MYTSKQPFHKSKQTFHKSKQTFRKSKQTFRKFKQPFRKPKQPFRRRPRIGPGDRIDYRNMSLINRFISEQGKILSRRINRLTLKQQRLITLAIKQARILSFLPFRNYENEKQFQAQSISIITGPRPRKNRHIPPLTQKFNSNRNLRNSNQTLRNNNRNLSSDC >ORGLA06G0269700.1 pep scaffold:AGI1.1:Oglab06_unplaced159:25352:25711:1 gene:ORGLA06G0269700 transcript:ORGLA06G0269700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L20 [Source:UniProtKB/TrEMBL;Acc:I1Q5I6] MTRVPRGYIARRRRAKMRSFASNFRGAHLRLNRMITQQVRRAFVSSHRDRVRQKRDFRRLWISRINAATRIHKVFDNYSKLIHNLYKKELILNRKILAQVAVLNPNNLYTISNKIKIIN >ORGLA06G0269600.1 pep scaffold:AGI1.1:Oglab06_unplaced159:23779:24429:1 gene:ORGLA06G0269600 transcript:ORGLA06G0269600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:I1Q6D3] MPIGVPKVPYRIPGDEEATWVDLYNVMYRERTLFLGQEIRCEVTNHITGLMVYLSIEDGISDIFLFINSPGGWLISGMAIFDTMQTVTPDIYTICLGIAASMASFILLGGEPTKRIAFPHARIMLHQPASAYYRARTPEFLLEVEELHKVREMITRVYALRTGKPFWVVSEDMERDVFMSADEAKAYGLVDIVGDEMLDEHCDTDPVWFPEMFKDW >ORGLA06G0269500.1 pep scaffold:AGI1.1:Oglab06_unplaced159:21879:23123:-1 gene:ORGLA06G0269500 transcript:ORGLA06G0269500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSDGLAENLSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLVDEEGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRSQLGEIFELDRATLKSDGVFRSSPRGWFTFGHATFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGTFQKVGDPTTRRQPV >ORGLA06G0269400.1 pep scaffold:AGI1.1:Oglab06_unplaced159:21102:21332:-1 gene:ORGLA06G0269400 transcript:ORGLA06G0269400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMATQTVEDSSRPGPRQTRVGNLLKPLNSEYGKVAPGWGTTPFMGVAMALFAVFLSIILEIYNSSVLLDGILMN >ORGLA06G0269300.1 pep scaffold:AGI1.1:Oglab06_unplaced159:19512:20210:-1 gene:ORGLA06G0269300 transcript:ORGLA06G0269300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6 [Source:UniProtKB/TrEMBL;Acc:I1PI01] MKFSYTVLGGGFGLVTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFSSVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFLMIRKQGISGPL >ORGLA06G0269200.1 pep scaffold:AGI1.1:Oglab06_unplaced159:18090:18509:-1 gene:ORGLA06G0269200 transcript:ORGLA06G0269200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPTGLLTVPFLENVNKFQNPFRRPVATTVFLIGTAVALWLGIGATLPIEKSLTLGLF >ORGLA06G0269100.1 pep scaffold:AGI1.1:Oglab06_unplaced159:15818:17870:1 gene:ORGLA06G0269100 transcript:ORGLA06G0269100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRVKIEVSRYDSSKGRIIYRLPHKDSKRTEDSKDTEDLKDTKDSKDPQGRVVFWSSAGTCGFKSSRKASPYAGQRTAVDAIRTVGLQRAEVMVKGAGSGRDAALRAIAKSEEVAGSTQTLQWKCVESRVDSKRLYYGRFILSPLRKGQADTVGIALRRALLGETEGTCITHAKFGSVPHEYSTIAGIEESVQEILLNLKEIVLRSNLYGVRTASICVKGPRYITAQDIILPPSVEIVDTAQPIANLTEPTDFRIELRIKRDRGYHTEVRKNTQDGSYPIDAVSMPVRNVNYSIFACGNGNAKYEILFLEIWTNGSLTPKEALYEASRNLIDLFLPFLHTEEEGTRFQENKNRFTSPLLSFQKRLTNLKKNKKRIPLNCIFIDQLELPSRTYNCLKRANIHTLLDLLSKTEEDLMRIDSFRMQDGKQIWDTLEKHLPMDLPKNKF >ORGLA06G0269000.1 pep scaffold:AGI1.1:Oglab06_unplaced159:15095:15505:1 gene:ORGLA06G0269000 transcript:ORGLA06G0269000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:I1Q5Q7] MGKDTIADLLTSIRNADMNKKGTVRVVSTNITENIVKILLREGFIESVRKHQESNRYFLVSTLRHQKRKTRKGIYRTRTFLKRISRPGLRIYANYQGIPKVLGGMGIAILSTSRGIMTDREARLNRIGGEVLCYIW >ORGLA06G0268900.1 pep scaffold:AGI1.1:Oglab06_unplaced159:14584:14955:1 gene:ORGLA06G0268900 transcript:ORGLA06G0268900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14 [Source:UniProtKB/TrEMBL;Acc:I1Q6C6] MIQPQTLLNVADNSGARKLMCIRVIGAASNQRYARIGDVIVAVIKDAVPQMPLERSEVIRAVIVRTCKEFKCEDGIIIRYDDNAAVIIDQKGNPKGTRVFGAIAEELRELNFTKIVSLAPEVL >ORGLA06G0268800.1 pep scaffold:AGI1.1:Oglab06_unplaced159:14064:14474:1 gene:ORGLA06G0268800 transcript:ORGLA06G0268800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYNPKRTRFRKQHRGRMKGKSYRGNCICFGRYALQALEPTWITARQIEAGRRAMTRYARRGGKIWVRIFPDKPVTIRPTETRMGSGKGSPEYWVAVVKPGRILYEMGGVSETVARAAISIAASKMPIRSQFLRLEI >ORGLA06G0268700.1 pep scaffold:AGI1.1:Oglab06_unplaced159:12147:12866:1 gene:ORGLA06G0268700 transcript:ORGLA06G0268700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3 [Source:UniProtKB/TrEMBL;Acc:I1QWE9] MGQKINPLGFRLGTTQNHHSFWFAQPKNYSEGLQEDKKIRNCIKNYIQKNRKKGSNRKIEADSSFEVITHNKKMDSGSSSEVITHIEIQKEIDTIHVIIHIGFPNLLKKKGAIEELEKDLQKEVNSVNQRLNIGIEKVKEPYRQPNILAEYIAFQLKNRVSFRKAMKKAIELTKKTDIKGVKVKIAGRLAGKEIARAECIKKGRLPLQTIRAKIDYCCYPIRTIYGVLGVKIWIFVDEE >ORGLA06G0268600.1 pep scaffold:AGI1.1:Oglab06_unplaced159:11643:12092:1 gene:ORGLA06G0268600 transcript:ORGLA06G0268600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22 [Source:UniProtKB/TrEMBL;Acc:D2SYG2] MTSFKLVKYTPRIKKKKSGLRKLARKVPTDRLLKFERVFKAQKRIHMSVFKVQRVLDEIRWRYYEETVMILNLMPYRASYPILKLVYSAAANATHYRDFDKANLFITKAEVSRSTIMNKFRPRARGRSSPIKKTMCHITIVLNIVKKSK >ORGLA06G0268500.1 pep scaffold:AGI1.1:Oglab06_unplaced159:11359:11574:1 gene:ORGLA06G0268500 transcript:ORGLA06G0268500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPAMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYESARKDTKSRR >ORGLA06G0268400.1 pep scaffold:AGI1.1:Oglab06_unplaced159:9247:9528:1 gene:ORGLA06G0268400 transcript:ORGLA06G0268400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23 [Source:UniProtKB/TrEMBL;Acc:I1QWQ5] MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRMGPILGHTMHYRRMIITLQPGYSIPLLDREKN >ORGLA06G0268300.1 pep scaffold:AGI1.1:Oglab06_unplaced159:7465:8214:-1 gene:ORGLA06G0268300 transcript:ORGLA06G0268300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDETLLVFTLVVSSVSVFLFGILLFMVLISATRDFRERTKSKLVKIMIWAGIVVITFAIAVRIYPIFIFLLKERIKPLVEALYDKLPWIWEVSLSRYWDRLIDFLDRYLWACAQRIQTGIRKQKGEFVVTFSCRVKKRLYARAIEVGIHLSLLSNLFWILKTTLAVGYRLLWVLYYIISFEGFLGSFRLYLVYFGFYCLLFSGKWLRTSEDRGERQAQISGILLRGMLIECAFSVLCLEEDSNLHAL >ORGLA06G0268200.1 pep scaffold:AGI1.1:Oglab06_unplaced159:4572:6816:1 gene:ORGLA06G0268200 transcript:ORGLA06G0268200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit N [Source:UniProtKB/TrEMBL;Acc:I1Q4K3] MIWHVQNENFILDSTRIFMKAFHLLLFQGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTSLVISITALLFRWREEPIISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKRDLRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGLGFKLSPAPFHQWTPDVYEGSPTPVVAFLSVTSKVAASASATRILDIPFYFSSNEWHLLLEILAILSMILGNLLAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVSIGLLTSVLSIYYYLKIVKLLMTGRNQEITPYVRNYRRSPLRSNNSIELSMTVCVIASTIPGISMNPILAIAQDTLF >ORGLA06G0268100.1 pep scaffold:AGI1.1:Oglab06_unplaced159:3802:4272:1 gene:ORGLA06G0268100 transcript:ORGLA06G0268100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:I1Q659] MSRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDAAKGGGGAIRKKEATHRMAEANRALAHFR >ORGLA06G0268000.1 pep scaffold:AGI1.1:Oglab06_unplaced159:2907:3185:1 gene:ORGLA06G0268000 transcript:ORGLA06G0268000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDRKGDLSVDFSTINPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYRIIRGALDAVAVKNRQQGRSSAL >ORGLA06G0267900.1 pep scaffold:AGI1.1:Oglab06_unplaced159:1456:1674:1 gene:ORGLA06G0267900 transcript:ORGLA06G0267900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILFSMFYSILVGEEPDSVFLKKEGKQNQVKMIWVAPSSCAKDLTISEGTGATFLFNFHSRVSICFHALF >ORGLA06G0267800.1 pep scaffold:AGI1.1:ADWL01013068.1:1028:1429:1 gene:ORGLA06G0267800 transcript:ORGLA06G0267800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSGRSGGGTTAPLFSRIHTSLISVWRAISRAQVEVRPQWENGAPNNASSQTKNYEITLSFWGDGGIVPFEPFFHAFPGGLEKAAINRTSLILPS >ORGLA06G0267700.1 pep scaffold:AGI1.1:ADWL01013067.1:31030:33008:-1 gene:ORGLA06G0267700 transcript:ORGLA06G0267700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf3 [Source:UniProtKB/TrEMBL;Acc:I1QKU5] MPRSRINGNFIDKTFSIVANILLRIIPTTSGEKRAFTYYRDGMLAQSEGNYAEALQNYYEATRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYRGEQAILQGDSEIAEAWFDQAAEYWKQAIALTPGNYIEAQNWLKITKRFEFE >ORGLA06G0267600.1 pep scaffold:AGI1.1:ADWL01013067.1:28176:30428:-1 gene:ORGLA06G0267600 transcript:ORGLA06G0267600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A1 [Source:UniProtKB/TrEMBL;Acc:I1Q6B3] MMIRSPEPEVKIVVDRDPVKTSFEEWARPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTGDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGALIFASLMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQIHVSLPINQFLDAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYAEFLSFRGGLDPITGGLWLSDIAHHHLAIAILFLIAGHMYRTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSTTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWVQNLHAGAPSVTAPGATTSTSLTWGGGELVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGTISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIIQGRAVGVTHYLLGGIATTWAFFLARIIAVG >ORGLA06G0267500.1 pep scaffold:AGI1.1:ADWL01013067.1:25946:28150:-1 gene:ORGLA06G0267500 transcript:ORGLA06G0267500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A2 [Source:UniProtKB/TrEMBL;Acc:I1R2E3] MELRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWIQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGAAGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSTLSLIGGWLHLQPKWKPSLSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLDVLPYPQGLGPLLTGQWNLYAQNPDSSNHLFGTTQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLIAGHMYRTNFGIGHSIKDLLEAHTPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPSYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTTYGFDILLSSTSGPAFNAGRTLWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >ORGLA06G0267400.1 pep scaffold:AGI1.1:ADWL01013067.1:25487:25798:-1 gene:ORGLA06G0267400 transcript:ORGLA06G0267400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S14 [Source:UniProtKB/TrEMBL;Acc:I1Q644] MAKKSLIQRERKRQKLEQKYHLIRRSSKKKIRSKVYPLSLSEKTKMREKLQSLPRNSAPTRLHRRCFLTGRPRANYRDFGLSGHILREMVYACLLPGATRSSW >ORGLA06G0267300.1 pep scaffold:AGI1.1:ADWL01013067.1:23384:24907:1 gene:ORGLA06G0267300 transcript:ORGLA06G0267300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1Q643] MATLRVDEIHKILRERIEQYNRKVGIENIGRVVQVGDGIARIIGLGEIMSGELVEFAEGTRGIALNLESKNVGIVLMGDGLMIQEGSFVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEIVASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQKGQDVICVYVAIGQRASSVAQVVTTFHEEGAMEYTIVVAEMADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLNSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKSKLELAQFAELQAFAQFASALDKTSQNQLARGRRLRELLKQSQANPLPVEEQIATIYIGTRGYLDSLEIGQVKKFLDELRKHLKDTKPQFQEIISSSKTFTEEAEILLKEAIQEQLERFSLQEQT >ORGLA06G0267200.1 pep scaffold:AGI1.1:ADWL01013067.1:21914:23293:1 gene:ORGLA06G0267200 transcript:ORGLA06G0267200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 B subunit [Source:UniProtKB/TrEMBL;Acc:I1QKU1] MKNVTHSFVFLAHWPSAGSFGLNTDILATNLINLTVVVGVLIYFGKGVLKDLLDNRKQRILSTIRNSEELRRGTIEQLEKARIRLQKVELEADEYRMNGYSEIEREKANLINATSISLEQLEKSKNETLYFEKQRAMNQVRQRVFQQAVQGALGTLNSCLNTELHFRTIRANISILGAMEWKS >ORGLA06G0267100.1 pep scaffold:AGI1.1:ADWL01013067.1:21213:21458:1 gene:ORGLA06G0267100 transcript:ORGLA06G0267100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 C subunit [Source:UniProtKB/TrEMBL;Acc:I1Q651] MNPLIAAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >ORGLA06G0267000.1 pep scaffold:AGI1.1:ADWL01013067.1:19675:20418:1 gene:ORGLA06G0267000 transcript:ORGLA06G0267000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 A subunit [Source:UniProtKB/TrEMBL;Acc:I1QWH6] MNIIPCSIKTLKGLYDISGVEVGQHFYWQIGGFQIHAQVLITSWVVITILLGSVIIAVRNPQTIPTDGQNFFEYVLEFIRDLSKTQIGEEYGPWVPFIGTMFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALALLTSAAYFYAGLSKKGLSYFEKYIKPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >ORGLA06G0266900.1 pep scaffold:AGI1.1:ADWL01013067.1:18714:19424:1 gene:ORGLA06G0266900 transcript:ORGLA06G0266900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S2 [Source:UniProtKB/TrEMBL;Acc:I1QKT9] MTRRYWNINLKEMIEAGVHFGHGIKKWNPKMAPYISAKRKGTHITNLARTTRFLSEACDLVFDAASQGKSFLIVGTKKRAADLVASAAIRARCHYVNKKWFSGMLTNWSITKTRLSQFRDLRAEEKMEKFHHLPKRDVAILKRKLSTLQRYLGGIKYMTRLPDIVIVLDQQKEYIALRECAILGIPTISLADTNCDPDLANISIPANDDTMTSIRLILNKLVFAICEGRSLYIRNH >ORGLA06G0266800.1 pep scaffold:AGI1.1:ADWL01013067.1:13901:18442:1 gene:ORGLA06G0266800 transcript:ORGLA06G0266800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta'' [Source:UniProtKB/TrEMBL;Acc:I1R2E9] MAERANLVFQNKEIDGTAMKRLISRLIDHFGMGYTSHILDQIKTLGFHQATTTSISLGIEDLLTIPSKGWLVQDAEQQSFLLEKHYYYGAVHAVEKLRQSVEIWYATSEYLKHEMNSNFRITDPSNPVYLMSFSGARGNASQVHQLVGMRGLMADPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTADAGYLTRRLVEVVQHIIVRRRDCGTIQAISVSPQNGMTEKLFVQTLIGRVLANDIYIGSRCIATRNQDIGIGLVNRFITTFRAQPFRAQPIYIRTPFTCRSTSWICQLCYGRSSTHGDLVELGEAVGVIAGQSIGEPGTQLTLRTFHTGGVFTGGTADLVRSPSNGKIQFNGDLVHPTRTRHGQPAFLCYIDLHITIQSQDILHSVTIPSKSLILVQNDQYVESEQVIAEIRAGTSALHFKEKVQKHIYSESDGEMHWSTDVYHAPEYQYGNLRRLPKTSHLWILSVSMCRASIASFSLHKDQDQMNTYSFSVDGRYIFGLSMADDEVRHRLLDTFGKKDREILDYSTPDRIMSNGHWNFVYPSILQNNLDLLAKKRRNRFAIPLQYHQEQEKEPISCFGISIEIPFMGVLRRNTIVAYFDDPRYKKDKKGSGIVKFRYRTLEDEYRTREKDSENEYGSPENEYRTREEECKTLEDEYRTREEEYETLEDEYGIPENEYETLEDEYGILEDEYRTREEESEDEYGSPENKYRPREDKYGTLEEDSEDEHGTLEEDSEEDSEDEYGNPEEDSVLKKGVLIEHRGTKEFSLKYQKEVDRFFFILQELHILPRSSSLKVLDNSIIGVDTQLTKNTRSRLGGLVRVKRKKSHTELKIFSGDIHFPEEADKILGGSLIPLEREKKDSKESKKRENWVYVQWKKILKSKEKYFVLVRPAVAYEMNEGRNLATLFPQDLLQEEGNLQLRLVNFISHENSKLTQRIYHTNSQFVRTCLVLNWEQEEKEEARASLVEIRANGLIRDFLRIGLIKSTISYTRKRYDSRSAGLILHNRLDRTNTNSFYSKAKIQSLSQHQEAIGTLLNRNKEYQSLMVLSASNCSRIGFFKNSKNPNGVKESNPRIPIPKFLGLFRNFSGLLGTIAPSISNFSSSYYLLTYNQILLKKHLLLDNLKQNFKVLQGLKHSLINENQRTSNFDSNIMLDPFQLNWHFLPHDSWEETSAKIHLGQFICENVCLFKSHIKKSGQIFIVNIDSFVIRAAKPYLATTGATVHGHYGEILYKGDRLVTFIYEKARSSDITQGLPKVEQIFEARSIDSLSPNLERRIEDWNERIPRILGGPWGFLIGAELTIAQSRISLVNKIQKVYRSQGVQIHNRHIEIIIRQVTSKVRVSEDGMSNVFSPGELIGLLRAERAGRALDESIYYRAILLGITRVSLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGIIPVGTGFQKFVHRYPQDKNLYFEIQKKKLFASEMRDILFLHTELVSSDSDVTNNFYETSESPFTPFI >ORGLA06G0266700.1 pep scaffold:AGI1.1:ADWL01013067.1:11653:13701:1 gene:ORGLA06G0266700 transcript:ORGLA06G0266700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit gamma [Source:UniProtKB/TrEMBL;Acc:I1QWH8] MIDQYKHQQLQIGLVSPQQIKAWANKTLPNGEVVGEVTRPSTFHYKTDKPEKDGLFCERIFGPIKSRICACGNSRASGAENEDERFCQKCGVEFVDSRIRRYQMGYIKLACPVTHVWYLKGLPSYIANLLDKPLKKLEGLVYGDFSFARPSAKKPTFLRLRGLFEDEISSCNHSISPFFSTPGFTTFRNREIATGAGAIREQLADLDLRIILENSSVEWKELEDEGYSGDEWEDRKRRIRKVFLIRRMQLAKHFIQTNVEPEWMVLCLLPVLPPELRPIVYRSGDKVVTSDINELYKRVIRRNNNLAYLLKRSELAPADLVMCQEKLVQEAVDTLLDSGSRGQPTRDGHNKVYKSLSDVIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHQCGLPLEIAIKLFQLFVIRDLITKRATSNVRIAKRKIWEKEPIVWEILQEVMRGHPVLLNRAPTLHRLGIQAFQPTLVEGRTICLHPLVCKGFNADFDGDQMAVHLPLSLEAQAEARLLMFSHMNLLSPAIGDPICVPTQDMLIGLYVLTIGNRRGICANRYNSCGNYPNQKVNYNNNNPKYTKDKESLFSSSYDALGAYRQKQICLDSPLWLRWKLDQRVIGLREVPIEVQYESLGTYREIYAHYLVVGNRKKEIRSIYIRTTLGHISFYREIEEAIQGFSQAYSYTI >ORGLA06G0266600.1 pep scaffold:AGI1.1:ADWL01013067.1:8388:11615:1 gene:ORGLA06G0266600 transcript:ORGLA06G0266600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:I1R2F1] MLRNGNEGMSTIPGFSQIQFEGFCRFINQGLAEELEKFPTIKDPDHEISFQLFAKGYQLLEPSIKERDAVYESLTYSSELYVSARLIFGFDVQKQTISIGNIPIMNSLGTFIINGIYRIVINQILLSPGIYYRSELDHKGISIYTGTIISDWGGRSELAIDKKERIWARVSRKQKISILVLSSAMGSNLKEILDNVSYPEIFLSFPNAKEKKRIESKEKAILEFYQQFACVGGDLVFSESLCEELQKKFFQQKCELGRIGRRNMNRRLNLDIPQNSTFLLPRDVLAATDHLIGMKFETGILDDDDMNHLKNKRIRSVADLLQDQFGLALGRLQHAVQKTIRRVFIRQSKPTPQTLVTPTSTSILLITTYETFFGTYPLSQVFDQTNPLTQTVHGRKVSCLGPGGLTGRTASFRSRDIHPSHYGRICPIDTSEGINVGLTGSLAIHARIDHWWGSVESPFYEISEKAKKKKERQVVYLSPNRDEYYMIAAGNSLSLNRGIQEEQVVPARYRQEFLTIAWEQIHVRSIFPFQYFSIGGSLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQTALDSRVSVIAEREGKIISTNSHKILLSSSGKTISIPLVTHRRSNKNTCMHQKPRVPRGKSIKKGQILAEGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTDTTSQGSAEKITKEIPHLEEHLLRNLDRNGVVKLGSWVETGDILVGKLTPQIASESSYIAEAGLLRAIFGLEVSTSKETSLKLPIGGRGRVIDVKWIQRDPLDIMVRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGTPVDMVFNPLGVPSRMNVGQIFESSLGLAGDLLKKHYRIAPFDERYEQEASRKLVFSELYEASKQTKNPWVFEPEYPGKSRIFDGRTGDPFEQPVLIGKSYILKLIHQVDEKIHGRSTGPYSLVTQQPVRGRAKQGGQRIGEMEVWALEGFGVAHILQEILTYKSDHLIARQEILNATIWGKRVPNHEDPPESFRVLVRELRSLALELNHFLVSQKNFQVNREEV >ORGLA06G0266500.1 pep scaffold:AGI1.1:ADWL01013067.1:3735:3947:-1 gene:ORGLA06G0266500 transcript:ORGLA06G0266500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSIYVLFPLIGWGINEFAPFIYPYGWVLIFSDILLVCFGERLKQFLTGIPWKNLRVQNFAIFLKRIC >ORGLA06G0266400.1 pep scaffold:AGI1.1:ADWL01013067.1:3241:3510:-1 gene:ORGLA06G0266400 transcript:ORGLA06G0266400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGYGKSNMPHPNRKRKGTDTQYDYWEELLVMVSGLYALFCVFLVLFIFFDSFKQESNKLELSGKEEKKKLNGENRLSRDIQNLLYIK >ORGLA06G0266300.1 pep scaffold:AGI1.1:ADWL01013067.1:1076:1288:1 gene:ORGLA06G0266300 transcript:ORGLA06G0266300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein Z [Source:UniProtKB/TrEMBL;Acc:I1Q6A0] MVTWRIRNMTIAFQLAVFALIVTSSVLVISVPLVFASPDGWSNNKNVVFSGTSLWIGLVFLVAILNSLIS >ORGLA06G0266200.1 pep scaffold:AGI1.1:Oglab06_unplaced156:7757:8881:1 gene:ORGLA06G0266200 transcript:ORGLA06G0266200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRKFRTDRAGKAVMAVEEVQALRKEFDAQQTSNHQPPVRKKVRKDLYCAFHGRCLHTTEQCRNIRQRGNAQDARSQQGATVEAPREAAQEQTPPVEQRQDAQRRVIQVITRADPPGQLSKRQKKMQIRAIHSITSTGEGAPQYVNQPISFGPEDAEGVMFPHQDPLVMSAEIASFEVQRILVDGGSSADVIFAEAYAKMGLPTQALIPAPTSLRGFGGEAVQVLGQALLLIAFGSRENRREEQVLFDVVDIPYNYNAILGRATLNKFEAIYHHNYLKLKMPGPTGVIVVKGLQPSAASKGDLAVINRAVYNVEAKLHSRPKHAPKPTPHGKIVKVHIDDADPAKLVSLGDGMGKQEAEGILAVLKKNIDIFA >ORGLA06G0266100.1 pep scaffold:AGI1.1:ADWL01013065.1:4421:5622:1 gene:ORGLA06G0266100 transcript:ORGLA06G0266100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPSRPTELTPPKSKLSIETPRGPALSVPHSPSGADMDLADIAQNLSPIKTTRKADSSPPLVTGQKRERGKGKVGERAPEPKRGKAATSMPVSKAGKVVRAPAQFELGMPLVEDNVLAVMGIACRELHKQYMELSNAKWKMRESSIVGHHNHQPFLSLPAYITIGFDDLFDLFRIRKLDTGLLKCYSLRHWILLVIVPKWSRVTYLNSNKSKDYDFTEITKALNMAWGPYVEKGGRHKEGKDELYHDTKFPCTQQIGDQCGFHVCHNMSTLLRKVKDFDPEVVANGE >ORGLA06G0266000.1 pep scaffold:AGI1.1:Oglab06_unplaced153:858:5645:1 gene:ORGLA06G0266000 transcript:ORGLA06G0266000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDIPIRLYYGDAPIQICDSGVDLTVYAFHDTSLNASENMGLNDVLGWLYNMFGVDPVHDKFVINAVWPVRGQHGWQWRVVEVASTGSWRKFVSKVREKGYSLAIVVQKTTCVDRSGESSHAVVEETPLEGGQAENVWRTEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSFGCMIEAFRNCIPLLCVDGTFMTGKYRGTILTAIGVDADSHVVPVAFAFVENENTSSWLWFLQHIKMCVVENRPNVCVLHDRHAGLLSAIQKLQEDVTQSVPWPDLHSRWSMRYFGANFYRQFRSKRLMDLFKKLCKQNQQRKFDAIWDQLDRLTTTHMEEVRKKPINTRPLPLVVILEGITRGTQKYLCKRYSMASLNLSKPSVKYSAAITQYMDEKSKKGGIHRVWPAGNRGLLFEIRLRDKSGVGIGTTDITLECTLWPEYHACKCNCNKPYLLHRPCSHVLAASAKGGVDANIFVSHYFRKEAWEATWRGELHGWRAVCDFTRPPPGQANWVPDSNLLVDTKGRRQSCRIKNLMDEAEVKDRSRRKKYNVLKMRGPDQYWRIDPRWVPRLRAAGLLTFARLVEPSRARSERIHIDTALLSALVDRWRPETHTFHLTVGEMVPTLQDVSYLLGLPIAGAAIGPTKVNAGWADDLLASFGGVLPVALEDLIDGHGPTKSWLNQFRQDVFPDDQEEWIVQRHLVAYLLWLFGWVMFTGTHADSVDKHFIHFAEQIAELPIAEIPQYSWGSAVLAATYAGLRDACVRNSKQSSLPGCPLLLMLWAHERFDIGRPQLDSYANYGLREMYKSGVDDIDDRPTMGSLWTHREPQWVSGTTRRVYTQFVADFDQLTPDRVRWTPYTPHDVNDRAPHGLADLCTRDMQLWRTTCHLVLDVHVEPHNVHRVLKQLGMY >ORGLA06G0265900.1 pep scaffold:AGI1.1:Oglab06_unplaced152:6376:8174:1 gene:ORGLA06G0265900 transcript:ORGLA06G0265900.1 gene_biotype:protein_coding transcript_biotype:protein_coding HIKLSCVLELIKLKASNGWSDKSFTELLELLKDMLLEGNNLPQTTYEAKQVLCPLGLEPTSLEKRGKLERQKRRARRRKKQRSMWKNMSIFWRLPYWKDLEVRHCIDLMHVEKNVCESLMGLLLNPGATKDGLNARRDLEEMGVRSELHPITTESGRVYLPPACYTLSKEEKIDLLTCLSGIKVPSGYSSRISRLVSLQDLKLVGMKSHDCHVLITQLLPVALRNILPPKVRYTIQQLCSFFHAIGQKIIDPEGLNELQAELVRTLCHLEMYFPPTFFDIIEHLPVHLVRQTKCCGPAFMT >ORGLA06G0265800.1 pep scaffold:AGI1.1:Oglab06_unplaced145:20577:23831:-1 gene:ORGLA06G0265800 transcript:ORGLA06G0265800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPPPPPPPLLPMATLTTQTLLTLFLLLLLAAAAAAADAGGGGEREALLRFKAGVASDPGGLLRGWTTAASPDHCAWPGVSCGGNGEVVALNVSSSPGRRLAGALSPAVAALRGLRVLALPSHALSGQLPAAIWSLRRLLVLDLSGNRLQGEIPPALACAGLQTLDLSYNQLNGSVPASLGALPGLRRLSLASNRLGGAIPDELGGAGCRSLQYLDLSGNLLVGGIPRSLGNCSKLEALLLSSNLLDDVIPPEIGRLRNLRALDVSRNSLSGSVPAELGGCVELSVLVLSNPYTPIGGSNSSDYGDVDDFNYFQGGIPDAVVALPKLRVLWAPRATLEGELPRNWSACQSLEMINLGENLFSGGIPNGLVECSHLKFLNLSSNKLTGAIDPSLTVPCMDVFDVSGNRFSGAMPVFEQKGCSSSQLPFDDLVSEYSSFFSYQALAGFRSSSFVLGTDLTSYHSFAQNNFTGPVKSLPLAADKLGMQGSYAFLADGNNIAGQLQPDLFSKCNSSRGFIVDVSNNLITGGIPVEIGSLCSSLVVLGVAGNQLSGLIPTSIGQLNYLISLDLSRNHLGGEIPTSVKNLPNLERLSLGHNFLNGTIPTEINQLHSLKVLDLSSNLLTGEIPGALADLRNLTALLLDNNKLTGKIPSAFAKSMSLTMFNLSFNNLSGPVPANSNTVRCDSVIGNPLLQSCHMYTLAVPSAAQQGRGLNSNDYNDTSSADSQNQGGSNSFNAIEIASITSATAIVSVLLALIVLFIYTRKCAPRMSGHSSRRREVITFQDIGVPITYETVVRATGSFNASNCIGSGGFGATYKAEISPGVLVAIKRLSVGRFQGVQQFHAEIKTLGRLRHPNLVTLVGYHLGESEMFLIYNYLPGGNLERFIQERSKRPVDWKMLHKIALDIAKALAYLHDTCVPRILHRDVKPSNILLDTEYNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLMELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRAREFFIDGLWDVGPHDDLVETLHLAVMCTVDSLSVRPTMKQVVQRLKQLQPPIREHR >ORGLA06G0265700.1 pep scaffold:AGI1.1:Oglab06_unplaced145:15454:16828:1 gene:ORGLA06G0265700 transcript:ORGLA06G0265700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAMMVLVWCAASGGGVAAARPLVGGGGAVGTPKAPLQTSRPFNIAHRGSNGELPEETAAAYMRAIDEGADFIEADVTATKDGHLVCFHDTTLDATTDVADHPEFASRRRTLEVQWTNVTGFFITDFTLAELKTLRSKQRYAFRDRSYNGGESSRIITFDEFIDIAAGAASRVVGIYPEIKNPVFVNRQVRWRDGKKFEDKFVAALKRRGYGGRYRSPAWAARPVFIQSFAPTSLVYAAGLTDSPMVLLVDDTTVRTEDTSQSYDEVTSDEHLDYMREYVVGVGPWKDTVVPPTTDNKLAAPTDLVARAHARGLQVHPYTYRNENQFLHFNFRQDPYAEYDYWINDVGVDGLFTDFPASLRRYQEWTTAGRKG >ORGLA06G0265600.1 pep scaffold:AGI1.1:Oglab06_unplaced145:8727:11252:1 gene:ORGLA06G0265600 transcript:ORGLA06G0265600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRDFLGGFGGEVGGAAVAGEKGGGESDEIELSLGLSLGGCFGADLAREFKKPRLVRSSSIASICSLPGGGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLKRLEAKRKRLERRNSMNSGRSAGGGGRDDGQDAMYPTGFQLRRSVVSQGSTSSCMPEQGVGVSAEAMDTSSSDNASCQNKPLPPTASSGGGGGGRPPANGSMKEQPPLRTLRSLTMRTTSTGDLRKSMMEDMPMVSSRVDGPNGRKIDGFLYKYRKGEEVRIVCVCHGNFLTPAEFVKHAGGGDVTNPLRHIVVNPSPSVFL >ORGLA06G0265500.1 pep scaffold:AGI1.1:Oglab06_unplaced145:1198:2176:-1 gene:ORGLA06G0265500 transcript:ORGLA06G0265500.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAAVQKDVCTGITGGPAAGTNTTVHDGLTSSSPCFSTGYNNQAWGVDSHPLGGFLNLLKKNTPSGAQVVINGSSSQPINVGDDTNVAIAEDLFASILLNAF >ORGLA06G0265400.1 pep scaffold:AGI1.1:Oglab06_unplaced144:2:1852:-1 gene:ORGLA06G0265400 transcript:ORGLA06G0265400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPPPPPQWAMGPPPPPQYFQAGPPPPPPQYFQGAHPPAAMWGQPPPPQAAPPPAPAGGAAGDEVRTLWIGDLQFWMEENYLYNCFSQAGELISAKIIRNKQTGQPEGYGFIEFGSHAIAEQVLQGYNGQMMPNGNQVFKLNWATSGAGEKRGDDGSDYTIFVGD >ORGLA06G0265300.1 pep scaffold:AGI1.1:Oglab06_unplaced143:34706:35152:-1 gene:ORGLA06G0265300 transcript:ORGLA06G0265300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHPKFMQIELRSGLVLLPPGYTPTRVARDHGKKKRGTAAGGGIERPPSRPAPVRVKSIAAELASGAELAVAWAATGSVLAVGAEGGGGAAVGGCVQKGGNGGGGYGNAGRGGTDAGWLRDCDIVAADAGSWRKQANASAIRGSICN >ORGLA06G0265200.1 pep scaffold:AGI1.1:Oglab06_unplaced143:16120:20847:-1 gene:ORGLA06G0265200 transcript:ORGLA06G0265200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARVLGGGGGGGGLGDEAPELERQMGCMAGIFQIFDRRQRLLTARRRRPPPKMLPPGPGHTLPRSSSNVAAQSSSTSKIVLEKTFSKSMTENSSLSIESSRASCSSSSCSSFSSLDGNKSIQQELPYINEQLFVQRPLKSSPSLKDPVMDTRSGQSNIGFRDIVKDSINRDTGGLTVKTSVKDARRNGQYKDSPRPLLLSKSMDGTYVIGIDRSTKVPANAVESSRRFPEQSRFSCDDRRLLRPVEAQENKKPSTRLKELPRLSLDSRKETLSSSSRQKTFSYRRTDDSLMDALRPQDSPGHRRASSVIAKLMGLEEAPNATGVLTVDSYEPERSPRPAEDTQKEHPVPSPRRFCQDPRESLPKDESPAMKTKPSPRILTESAPWRQQEKIATSSKASQCRDAEVRPRTASLYAYIERRGGGLEFLECNKDFRALRILEALHAKDAKRQNDGNGALTVAAQQAGDALNTSSRHFQPPIVVMKPARSTEKQAGVSLASVDPLAGFRNLRKLQARDVPCIGEHETSTNEKVHSRISRAQSKSDEPASRASSPRPTGSSSPRTVQRKAESERRSRPPVSPKSPSKKSSEAASPGGRTRTKPSQGKNHRDNEVSKSPRSRIGMVKEVDISIMDFQKPLASTPSHKGTPSVLASDQKINSLENAPSPVSVLDTSYYHTRLSYSFKDGETHSSEECWNPNSLPDTPQSKTSSEVSQIKPENFEALIQKLEQLQSMNDEVANKKDHQYIYEILLASGLLHKELSFVAMPGQAWPSSCLINPELFLILEQTKPDFASADQTVTKSSKANTEKLHRRIVFDLVNEITAQKMNIHCSASQSAKSLQLRKYNGWRLFKDLCTEVDRLQSESSAIKCSEEDGDERMLLVEDPLNGIEDWSFDSESPSTVLEIERLIYKDLIDEVIWDAATGKMQGGQWNLKRQLSFSSTS >ORGLA06G0265100.1 pep scaffold:AGI1.1:Oglab06_unplaced143:12343:14016:1 gene:ORGLA06G0265100 transcript:ORGLA06G0265100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQNPIQKRRLETIEADDDSDEGLGSPVAAGAEDGNETKSEKRKKEKKRKKAKALEALEEKENKEREEMKLLESSLFGSLYAPPQFGTEVEAVDPDKGVPSFFMDRSGGDGEDDLPVYDEGLSSEDEGDDMVGRERKPAWVDEEEVTEVDISKVARLRKLRKEGDERVISGKEYEARLRGQHAKLNRFAGWADLDRKAPLPGSSHNESDEEGRVDDILRNNDELVVKDNAKLLPDMLGFSRLVDANAQEPSSGPINSVQFHRNGQLMLVAGLDKHLRFFQIDGKRNPKIQSIFIEDCPVHKAAFLPDGSEVILSGRRKFFYSFDLVNAAVSKIGPLTGREEKSLEHFEISPDSKTIAFIGNEGYILLISSKTKQLIGTLKMNGNVRSLAFADGGNQLLSSGGDGHVYHWDLRTRKCIHKGTDEGSLAGISLCTSPDSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTEIGEMKFNHDAQVLAISSRKERNGMRLVHVPSLTVFQNWPGPRFSLHYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYQNA >ORGLA06G0265000.1 pep scaffold:AGI1.1:Oglab06_unplaced143:6906:10537:-1 gene:ORGLA06G0265000 transcript:ORGLA06G0265000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVGESVAPLSPQTIGNAFVQQYYNVLHSSPGQVCKFYHDSSTLGRPDSNGTMTSVTTLTAINDEFLSTDFSSCLIKLENVDAQLSLNGGVLILVTGSIGHNGTMRHRFSQSFFLAPQESGGYFVLNDMLRYDSLQETLLTETNDSPQERLLTEINDSLPNHVDDNTHSVTFTSEPETSGNVNETADLELPSAENVNDNVENLLANDSSPEENVLVEACTEVVSSCAENIPAAAAAPAPRASTQKDVTKQSYASVVKVTKEGTPTPPVAKPKPKPKPKPTAKVTDNVEKAVSSPVKPTNAADTTSPNDKNVLVEQGYSVYVKHLPYECTVKDVEEKFRKFGAIRPGGIQVRHRQPDGFCFGFVEFESRQSMLAAIEASPISIGSKASIVEEKRTTTRVVNGVTHIENNGNARGGQFQQDNRGGGYRGDNFRGREAGFVNNGNYRDGDNMRNGFRNQNEYSGRGRGPQGNGYHQNGNGYHQNGDGYHQNGNRYNQNGNRYHQNGDEYYQNGNGNGHRQNGSGYYHQNGNGYRQDRIFHNGNGNGRPARFNGPRQTPVQA >ORGLA06G0264900.1 pep scaffold:AGI1.1:Oglab06_unplaced140:29469:31517:1 gene:ORGLA06G0264900 transcript:ORGLA06G0264900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQRGCGVHATLRNLGDEKKTALLRRMPGAAERLVLFEADMYDAATFEPAIAGCEFVFLLATPLIHDPLSTKYKNTTEAAVDAMHIILQQCERSKTMRRVIHTASVTAASPLREDGEGYKDFINESCWTPLDLSNRYSNVMMDAYVSSKTLTEKLLLRYNESESRAFEVVTLTCALIGGDTDTTQLYHSLSIPLIVSPLTGQELYHGGLKSLQALLGSVPLAHIDDICEAHIFCMEQQPSIAGRFLCAVGYPNMQDYVDRFAVKYPEIAIKLKG >ORGLA06G0264800.1 pep scaffold:AGI1.1:Oglab06_unplaced140:16770:24730:1 gene:ORGLA06G0264800 transcript:ORGLA06G0264800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLASSSSSSPALAPPRPSCRGRVRVAAASAGVNGRAGGGGGGGGFPGFLPAAVERIRDEPAIRLAKRIERVPVQTGFSQSPILSSCVRPLKQQQDRDPVLLLHGFDSSCLEWRYTYPLLEEAGLEAWAVDILGWGFSDLEKRPPGDVASKREHLYQFWRSYIRRPMVLVGPSLGAAVAIDFTVNYPEAVSKLIFISASVYAEGTRDMTRMPRFVPYAGVFLLKSLPLRYFATLLAFYKIPGGPAGLFDWVQIGRLHCLLPWWEDALVDFMMNGGYNVLNQINQVKHKCLILWGEEDGIISNKQAYRLHQELPDAILRQVRECGHIPHVEKPSEVLKHVLDFLGTERRPEKAEQGSSLPSTVGTCSVFCKRGDNNPVGGGSEAVPRRWKNWHRNILSRTSLLISCYSLLGCTCILPHRLKRHKHMKIYTCIHXNILPSVFLSHSMLHTTIWSVCTKGPRSISQHPHHTRLLHLSLALQSNHCLLPLHHARTFRLVLLTYGTSRRKXIGVPEASKTGLSASGWAYTENTPNGILPSIPKCLTLLTFLKIFDRSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGICXLGQKMRAYLKEFPVARVSFRKPPIVFGQKQERARPPKLHCTEGQEEKGIEDRRMSTVCVTGGAGHIATWLINKLLCRGCVVHATLRNLGDEKKTNLLMRMPGAEERLVLFEADMYDASTFEPAIAGCDFVFLIATPIHHDPRSTKYTSTTEAAVDATRIILQQCERSKTVKRIIHTASVTAASPLREDGGGGGYKDFINDCCWTPLNFSHRYSNALLDAYLSSKTLSEKELLRYNESERPAFEVVTLACALVGGDSIQPYHTLSIPVIVSPLTGRELSHGVLKFMQASLGSVPLVHVDDVCEAHIFCMEQPSIAGRFLCAAGYPNMQDYVDRFAAKYPEIEMKLKEVVGEGVRVKVDTNKLVDLGFKYKYEVDETLDHSVEYTKRMGLL >ORGLA06G0264700.1 pep scaffold:AGI1.1:Oglab06_unplaced140:1284:7108:-1 gene:ORGLA06G0264700 transcript:ORGLA06G0264700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:I1QC03] MAAATTAAPAAAAARVGLLYDERMCAHATPDGEEHPENPERLRAIWRKLSADGVASRCMIMKAKEAEDKYIASVHSQNHIKLMRSISSKEYDSRRNKIARKLNSIYFNKGSSESAFLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAEHNEAMGFCLFNNVAIAADYLLNERTDLGIKKILIVDWDVHHGNGTQKMFYSDPRVLFFSVHRFDYGSFYPAEGDASYCFIGEGDGKGYNINVPWEHGKCGDADYIAAWDHVLLPVAEAFNPDIVLVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFAQGRIVMALEGGYNLRSIANSVSACAKVLLGDKFRFDTPDMQPFESSWRVIQAVRDELKTFWPVLSNRLPENISLRSRPSQIELYSSGSDSEVEDLPDAIASVNIIQITDGIISESFSKLNLDEDKIATKTTSSNVMVEGPTDSVEPQNDGSAAVSTEGISSLSSTWRSDLSKVYVWYASFGSNMWTPRFLCYIQGGKAEGMNIPCFGSHDTSPPRGSMWKTVPHRLFFGRSSTPCWGTGGVAFLNPEINHTENSYVCMYKITLEQFNDVLFQENRLVKENGESGKTESPDSPLIGLSEIEFVSRNKGVHLAPIKDSWYSNVLYLGEEDNLPILTMTCPSSDVERCRSGELPLCPPSKTYSATLIRGLMEGKHLDADAAASYINTAATRGL >ORGLA06G0264600.1 pep scaffold:AGI1.1:ADWL01013030.1:3030:4347:-1 gene:ORGLA06G0264600 transcript:ORGLA06G0264600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSSRVCVTGASGYIATCLIKKLLQRGCVVHATLRNLGDEKKTAPLKELPGAVERLVLFEADMYDADTFEPAIAGCEFVFLLATPLHHDPRSTKYKNTTEAAVDAMRIILQQCERSKTVRRVIHTASVTATSPLREDGGEGYKDFINESCWTPLDHSHSYNNTMVDVLSPFHNVSHSNISHIHVNVNESIHITSI >ORGLA06G0264500.1 pep scaffold:AGI1.1:Oglab06_unplaced136:4820:8292:-1 gene:ORGLA06G0264500 transcript:ORGLA06G0264500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYGPSQMDRRWMYYAHRSSTEYREGVTEFVTFADNDRKSRMSMHMLCPCRDCKNEQMIVDSDEVHAHMIMNGFMKKYTCXTKHGEQETPDVAAEKVLDQDVENTAAWTLNVYLQCCMTLKTXRTTTEILRSLVSWWKIVRCPCMMDASRSTASCHAYWNSXSLRLVMAGRTRVLQNFLSCXRICCQRGSNNLPQTTYEAKQVLYPLGLEYADLDVCPICGASRYKRAKSEGEGKPRQPGNDIDVFLEPIIDDFERLWNEGTRTWDAYAQEYFNLHAMLFCTINDYPALGNLSGQTVKGKWACSECMEETRSKWLKHSHKTVYMGHRRFLPRYHPYRNMRKNFNGHRDIAGPPAELTGTEVHNLVMGITNKFGKKRKVGKRKEKSTSKEKTEEHVEKQKTKERSMWKKKSIFWRLPYWKDLEVHHCIDLMHIEKNVCESLMGLLLNPGTTKDGLNARRDLEEMGVRSELHPITTESGRVYLPPAFHTLSKEEKIDLLTCLSGIKFPSGYSSRINRLVSLQDLKLVGMKSHDCHILITQLLPVALRNILPPKVRHTIQRLCSFFHAIGXKIIDPEGLDELQAELVRTLCHLEMYFPPTFFDIMEHLPVHLVRQTKCCGPAFMTQMYPCERYLGILKGYVWNRSHPEGSIIESYTTEEVIDFCVDYMSETSSIGLPRSHHEGRLDGVGTVGRKTIRLDRKVYDKAHFTVLQHMTDVVPYVDEYLAVLRQENLGRSESWVRNKHMSSFNEWLKNRIARLQNLSSETLQWLSQGPEWSATTWQGYDINGYTFHTVKQDSKCTVQNSGLCIEAASDGGRRDQYYGRVEKILELDYLKFKVPLFCCRWVDLRNVKVDNEGFTTVNLANNAYKDEPFVKGKGELLDWTILQTRMITTSTSTAXVKKYLXKRRKKKMKFNMHASTMRKDYFC >ORGLA06G0264400.1 pep scaffold:AGI1.1:Oglab06_unplaced136:2:615:-1 gene:ORGLA06G0264400 transcript:ORGLA06G0264400.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSSQYRKQKVSKEERDARLKAELKVEVIQELEASMNARVEERVNKVLADMNIPRGTTPAVHPTPRAQHDASPSQHRSSYASTEVPAPGLPVAPLAVVDHIEGAAQCVLLARVHPTFAPEVTEGMAFKPSVTDKVHGVDLLAGYAKVSIDPVKDTWSGYPLPVPPNDEIMTLGDARKTF >ORGLA06G0264300.1 pep scaffold:AGI1.1:Oglab06_unplaced129:25925:28824:1 gene:ORGLA06G0264300 transcript:ORGLA06G0264300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAAGQRASPLLAKNDGSSYGEESQSLLEEQEPQVKTKQSGWRAPSIILGLECLESMAFNGIATNLVVYIRSVLHGGIASSASTSSLWYGTSFFVPILGATIADTYWGNYKTVLISFIMYLLGTVFITVGAFLPSAPALCNTESCSSMNGTQHLVYFSGLYLTAIGCGGVRSALLPLGADQFNNDSSLDIQKRRNFFSLFYICVIFGVITSGTIVVWIQENVSWAIGYGVATACIALALIGFLVGTPIFRRHEPHGSPVRSVFQVIVASFRNLALELPADSSLLYEVRRKNTQKSEPKLAHTDDFRFLDKAAIMSDLSLDQDSCTSPWRICTVTQVEELKILIRLLPIWATGIFFCVGISQMHTTFIQQGTVMNTKIGSLSIPAASLYSFEVICVTFWVFLVNKVIIPVTRACFANGAEMTQLQRIGIGRFLMIFAMAIAAFLEMKRLESVQGGDQPLSIAWQLPQYFIIAGAECFTIITQLEFFHGQAPDSMKSMLTAFALLTTALGNYFSSAIITLIARVTGTWHSPGWIPDDLNKGHLDYYYWCLAAISAVNFVVYIYFASKYKLKKAVIQV >ORGLA06G0264200.1 pep scaffold:AGI1.1:Oglab06_unplaced129:19506:21138:1 gene:ORGLA06G0264200 transcript:ORGLA06G0264200.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSVRXLEVQIFGVAKWSIXSTRCLLASLHVFFLPRKLLSLRERFPWRSPPWPRRKRAPSSSSSSRRRPPPCSRRSSPWSSSPRSSCGSRRXXXXXXXPETAREILVSPAFGDRPVKDAARQLLFHRAMGFAPSGDAHWRGLRRASAAHLFGPRRVAGSAPEREAIGARIVGDIASLMSRRGEVPLRRVLHAASLDHVMATVFGKRHGDLSIQDGELLEEMVTEGYDLLGKFNWADHLPLLRWLDLQGIRRRCNRLVQKVEVFVGKIIQEHKAKRAAGGVAVADGILGDFVDVLLDLQGEEKMSDSDMIAVLWEMIFRGTDTVAILMEWVMARMVMHPEIQAKAQAEVDAAVRGRRGGVADGDVASLPYIQSIVKETLRMHPPGPLLSWARLAVHDARVGGHAVPAGTTAMVNMWAIAHDAAVWPEPEAFRPERFSEGEDVGVLGGDLRLAPFGAGRRVCPGRMLALATAHLWLAQLLHAFDWSPTAAGVDLSERLGMSLEMAAPLVCKAVARA >ORGLA06G0264100.1 pep scaffold:AGI1.1:Oglab06_unplaced129:5208:9346:1 gene:ORGLA06G0264100 transcript:ORGLA06G0264100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMSCISGQAPSASPPPVAKRRSSVSSRRGGGGGGAKAVAIDEEALAAAAALVLGQRSSFGGGGGGGGGAFERSASVRYAARRQQQQQGPPLPRSSSTRPRSLADPELHPQQLLAKDLNTKDLETNIIVLVHGGGFGAWCWYKTIALLEDSGFRVNAIDLTGSGIHSYDTNKISSLTQYAEPLTSYLKSLGDNEKVILVGHDFGGACISYAMEMFPSKVAKAVFLCAAMLKNGHSTLDMFQQQMDTNGTLQRAQEFVYSNGKEHPPTAINIEKSLLKDLLFNQSPSKDVSLASVSMRPIPFAPVLEKLVLTEEKYGSVRRFYVETTEDNAIPLHLQQGMCDMNPPEKVLRLKGSDHAPFFSKPQALHKTLVEIATMPPVKAS >ORGLA06G0264000.1 pep scaffold:AGI1.1:Oglab06_unplaced127:8592:10868:1 gene:ORGLA06G0264000 transcript:ORGLA06G0264000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVLVAVAAAIGNYLQGWDNATIAGAVLYIKREFALESQPAVEGLVVAMSLIGATIITTFSGPVSDLVGRRPMLIASSLLYFAGGLIMLWSPNVYVLLLARLVDGFGVGLAVTLVPVYISETSPPEIRGRLNTLPQFTGSGGMFMSYCMIFAMTLSPSPNWRIMLGVLFVPSLLYLFVTVFYLPESPRWLVSKGRMKEARVVLEMLRGREDVSGEMALLVEGLGTGGDTEIEDYVVGPSEGDAGENEQARDTVTLYGPEQGLSWVAQPVAGGRGSMLGSSLGLQASRHGSMYEQMKDPVVALLGSVHERLPESGGGATGSMRGSTLFPNLGSMLSVNDRPGGSSWDEENVQPGDDDLDEEEEEYLSDDGKDDDDGGGLQAPLLSRQSTDVETKNEPASGQVAMQRHSSIGGGGVETASTMGIGGGWQLAWKWTENVGPDGVKRGAVKRMYLHEESEAAPGGDAGGAGAGDAPSTAYVHAAALVSRSMLYTKDVLIGQSPTEPAFANPPEAVAAAASTGPAWRELLEPGVRHALFCGVTIQILQQFSGINGVLYYTPQILDQAGVSVLLASLGLSGDSTSILISGLTTLLMLPSIGVAMRLMDASGRRALLLWTLPVLVASLAVLVVANVVPMAATAHAALSTGSVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLTFWLGDIAVTYSLPVMLSSVGLAGVFSFYAAVCCVALVFVALKVPETKGLPLEVIIEFFNVGAKAGTLPDEEFH >ORGLA06G0263900.1 pep scaffold:AGI1.1:ADWL01013002.1:3:1294:1 gene:ORGLA06G0263900 transcript:ORGLA06G0263900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKAVKHLTPVALELGSKCPCIVDWLDSKRDRQIAVNRIIGAKWSTCAGQACIAIDHVIVEERFAPILIELLKSTLKRFMAKPGGMARILNAKHFERLSGYLEDNRVAASVVHGGYMDPKKLNIEPTLLLNPPADSDVMTEEVFGPILPIITVKKIEDCIAYLKSKPKPIAMYAFTNNERLKRRIVEETSSGSVTFNDAVVQYALESVPFGGVGHSGFGQYHGKYSFELFSHKKAVFKRSFLIEFMFRYPPWDESKIGTLRHVFSYNYFLLFFNLLGFRR >ORGLA06G0263800.1 pep scaffold:AGI1.1:ADWL01013000.1:5975:6247:1 gene:ORGLA06G0263800 transcript:ORGLA06G0263800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S15 [Source:UniProtKB/TrEMBL;Acc:I1R2H1] MKKKGGRKIFGFMVKEEKEENWGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRQRLLAYLAKKNRVRYKKLISQLDIRER >ORGLA06G0263700.1 pep scaffold:AGI1.1:ADWL01013000.1:5363:5554:1 gene:ORGLA06G0263700 transcript:ORGLA06G0263700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Orf63 [Source:UniProtKB/TrEMBL;Acc:I1QWR2] MSFNSRTRNWKVTEGDPSFCNENYIKNSGQFRNQAKRLNTYAKKFIIGPPLIRRFNLYESLLV >ORGLA06G0263600.1 pep scaffold:AGI1.1:ADWL01013000.1:1101:1463:-1 gene:ORGLA06G0263600 transcript:ORGLA06G0263600.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANLLAVFAPPPPLSLSGHLGALAGDPGCFPLDDEAYPPSSHWPTLTPVIFGSYLVFRVCLDLVPLAQPAPKQCFTPRCPVNCCASTHFGENQLALGSSGISPLTTTHPLILQHQSVRTSA >ORGLA06G0263500.1 pep scaffold:AGI1.1:ADWL01013000.1:12:552:1 gene:ORGLA06G0263500 transcript:ORGLA06G0263500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQLSTFCFEILRRVALWRAHSIYDFAFMDVDKILPFSSTLGWHSLNVNGEVQKRKGLRWIPRYPETRKGVASDEMLRGVENKHRSGDSQIGQPFELPAESMSRQETTWRTETS >ORGLA06G0263400.1 pep scaffold:AGI1.1:ADWL01012999.1:122:4642:-1 gene:ORGLA06G0263400 transcript:ORGLA06G0263400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAILAPCPCHRGPRAGVEELTGQKWGASHTGAAPHTTAPAWLARPFSVGRPPKPRGPHHLSSPHSHFRPSISPSXAAAVLPLLSPPHLPTSNPLHLNLFFAGFFVEIEEKVLHVCNVLIGVMIFLTIYVMDRIVRVYYGGRMVEPYVGAHVEFEDMSLKTILFPTHPTLDELRSRVKEVLGWTEDNVEICFYGRYDVGQGHKYILNVIEVFASKLVCTVENLDLNKSPSYHYIEKNFVTLXXXPSIPSTNXQXQVSIRGMSCVXEGQYXLXMQPSXLGNTVVPXXLHYQLMRRTNLYLXLLPWWRKRIHETGAGLSILSDGLWLGRIGRHDEAVKRVQLGERSSTKVDSKMRVQKSKANKHTSRCFDKQKKTYEVTERGGITRGGVRFGARAFKVEGEGNSCSCQRPLLYHMPCSHLIHLPHRVMRQFGKKQDWPVEDISTGVELHKYDRVRTKKVKDWGLEHNRYIDEWRTAGRNDRTYRLFLRPTWTEADIEDDRDSDEGRNPYDVRTRVGYQMEHAPLRDRVSRELLRSVNEMGHALQAPRGGEDTENTLRNVLEKVRQRCRKLAARLGCRSVGLDDVYQPRRLPPPLPQSARPSTARHSIRIEEREEVGGSSSSRIPQGRGKGKAPAPPSNDDDDDDEEDEDYVAPDAEEIDMSQLPDAPQGTQPTQYNLRSTRAAKK >ORGLA06G0263300.1 pep scaffold:AGI1.1:Oglab06_unplaced122:24984:25196:-1 gene:ORGLA06G0263300 transcript:ORGLA06G0263300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSIYVLFPLIGWGINEFAPFIYPYGWVLIFSDILLVCFGERLKQFLTGIPWKNLRVQNFAIFLKRIC >ORGLA06G0263200.1 pep scaffold:AGI1.1:Oglab06_unplaced122:24490:24759:-1 gene:ORGLA06G0263200 transcript:ORGLA06G0263200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGYGKSNMPHPNRKRKGTDTQYDYWEELLVMVSGLYALFCVFLVLFIFFDSFKQESNKLELSGKEEKKKLNGENRLSRDIQNLLYIK >ORGLA06G0263100.1 pep scaffold:AGI1.1:Oglab06_unplaced122:21585:23006:1 gene:ORGLA06G0263100 transcript:ORGLA06G0263100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP43 reaction center protein [Source:UniProtKB/TrEMBL;Acc:I1PHW8] MKILYSLRRFYHVETLFNGTFVLAGRDQETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVLDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVLKALYFGGIYDTWAPGGGDVRKITNLTLSPGVIFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGFICVFGGIWHILTKPFAWARRAFVWSGEAYLSYSLGALSVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFFFVGHLWHAGRARAAAAGFEKGIDRDLEPVLYMTPLN >ORGLA06G0263000.1 pep scaffold:AGI1.1:Oglab06_unplaced122:18708:18893:1 gene:ORGLA06G0263000 transcript:ORGLA06G0263000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein K [Source:UniProtKB/TrEMBL;Acc:I1QWI4] MPNILSLTCICFNSVIYPTSFFFAKLPEAYAIFNPIVDFMPVIPVLFFLLAFVWQAAVSFR >ORGLA06G0262900.1 pep scaffold:AGI1.1:Oglab06_unplaced122:13284:14912:-1 gene:ORGLA06G0262900 transcript:ORGLA06G0262900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maturase K [Source:UniProtKB/TrEMBL;Acc:I1Q666] MQHPVLTILYYVSPFDKPRNISLSLIQVEIQMEKFEGYSEKLKFPRQYFVYPLLFQEYIYVFAHDYGLNGSELVEIIGSNNKKFSSLLVKRLMIRMYQQNFWINLVNHPNQDRLLDYNNFFYSEFYSQILSEGVAIVVEIPFSLREQSCPEEKEIPKFQNLRSIHSIFPFLEDKFLHLHYLAHIEIPYPIHLDILLQLLQYRIQDVPSLHLLRFFLNYYSNWNSFITSMKSIFILKKENKRLFRFLYNSYVSEYEFFLLFLRKQSSCLRLTSSGTFLERIIFSRKMEHFGLMYPAFFRKTIWFVMDPLMHYVRYQGKAILASKGTLLLKKKWKCCLVRLWQYSFSFWTQPQRIHLNQLENSCFDFLGYFSSVPINSLLVRNQMLENSFLIDTQMKKFDTKVPVTPLIGSLAKAQFCTGSGHPISKPIWTDLSDWDILDRFGRICRNLFHYHSGSSKKKTLYRLKYILRLSCARTLARKHKSTVRAFMQWLGSVFLEEFFTEEEQVFSLMFAKTTYFSFRGSHSERIWYLDILRINDLVNPLN >ORGLA06G0262800.1 pep scaffold:AGI1.1:Oglab06_unplaced122:11698:12759:-1 gene:ORGLA06G0262800 transcript:ORGLA06G0262800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II protein D1 [Source:UniProtKB/TrEMBL;Acc:I1PHW4] MTAILERRESTSLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAALEVPSLNG >ORGLA06G0262700.1 pep scaffold:AGI1.1:Oglab06_unplaced122:11358:11573:1 gene:ORGLA06G0262700 transcript:ORGLA06G0262700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPAMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYESARKDTKSRR >ORGLA06G0262600.1 pep scaffold:AGI1.1:Oglab06_unplaced122:10511:10924:-1 gene:ORGLA06G0262600 transcript:ORGLA06G0262600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFPSPPPWGWSTGFITTPLTTGRLPSQHLDPALPKLFWFTPTLPTCPTVAKQFWDTKRTSPDGNLKVADLPSFAISFATAPAALANCPPLPRVISMLCMAVPKGISVEVDSSFLSKNPFPNCTSFFQSIRLSRCI >ORGLA06G0262500.1 pep scaffold:AGI1.1:Oglab06_unplaced122:9246:9527:1 gene:ORGLA06G0262500 transcript:ORGLA06G0262500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23 [Source:UniProtKB/TrEMBL;Acc:I1QWQ5] MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRMGPILGHTMHYRRMIITLQPGYSIPLLDREKN >ORGLA06G0262400.1 pep scaffold:AGI1.1:Oglab06_unplaced122:7464:8213:-1 gene:ORGLA06G0262400 transcript:ORGLA06G0262400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDETLLVFTLVVSSVSVFLFGILLFMVLISATRDFRERTKSKLVKIMIWAGIVVITFAIAVRIYPIFIFLLKERIKPLVEALYDKLPWIWEVSLSRYWDRLIDFLDRYLWACAQRIQTGIRKQKGEFVVTFSCRVKKRLYARAIEVGIHLSLLSNLFWILKTTLAVGYRLLWVLYYIISFEGFLGSFRLYLVYFGFYCLLFSGKWLRTSEDRGERQAQISGILLRGMLIECAFSVLCLEEDSNLHAL >ORGLA06G0262300.1 pep scaffold:AGI1.1:Oglab06_unplaced122:4571:6815:1 gene:ORGLA06G0262300 transcript:ORGLA06G0262300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit N [Source:UniProtKB/TrEMBL;Acc:I1Q4K3] MIWHVQNENFILDSTRIFMKAFHLLLFQGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTSLVISITALLFRWREEPIISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKRDLRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGLGFKLSPAPFHQWTPDVYEGSPTPVVAFLSVTSKVAASASATRILDIPFYFSSNEWHLLLEILAILSMILGNLLAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVSIGLLTSVLSIYYYLKIVKLLMTGRNQEITPYVRNYRRSPLRSNNSIELSMTVCVIASTIPGISMNPILAIAQDTLF >ORGLA06G0262200.1 pep scaffold:AGI1.1:Oglab06_unplaced122:3801:4271:1 gene:ORGLA06G0262200 transcript:ORGLA06G0262200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:I1Q659] MSRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDAAKGGGGAIRKKEATHRMAEANRALAHFR >ORGLA06G0262100.1 pep scaffold:AGI1.1:Oglab06_unplaced122:2906:3184:1 gene:ORGLA06G0262100 transcript:ORGLA06G0262100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDRKGDLSVDFSTINPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYRIIRGALDAVAVKNRQQGRSSAL >ORGLA06G0262000.1 pep scaffold:AGI1.1:Oglab06_unplaced122:1455:1673:1 gene:ORGLA06G0262000 transcript:ORGLA06G0262000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILFSMFYSILVGEEPDSVFLKKEGKQNQVKMIWVAPSSCAKDLTISEGTGATFLFNFHSRVSICFHALF >ORGLA06G0261900.1 pep scaffold:AGI1.1:ADWL01012995.1:1208:2224:-1 gene:ORGLA06G0261900 transcript:ORGLA06G0261900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENRTIIQYLPYVTRWDYLATMFTEAITVNAPEFLENIQIPQRASYIRVIMLELSRIASHLLWLGPFMADLGAQTPFFYIFRERELIYDLFEAATGMRMMHNYFRIGGVAADLPYGWIDKCLDFCDYFLRGVIEYQQLITQNPIFLERVEGVGFISGEEAVNWGLSGPMLRASGIQWDLRKVDLYESYNQFDWKVQWQKEGDSLARYLVRIGEMRESIKIIQQAVEKIPGGPYENLEVRRFKKAKNSEWNDFEYRFLGKKPSPNFELSKQELYARVEAPKGELGIYLVGDDSLFPWRWKIRPPGFINLQILPQLVKKMKLADIMTILGSIDIIMGEVDR >ORGLA06G0261800.1 pep scaffold:AGI1.1:ADWL01012995.1:643:1206:-1 gene:ORGLA06G0261800 transcript:ORGLA06G0261800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDRVQVEAINSFSNLELLKEVYGLIWILPILTLLLGITIEVLVIVWLEREISASIQQRIGPEYAGPLGLLQAIADGTKLLFKEDILPSRGDIPLFSIGPSIAVISILLSFLVIPLGYRFVLADLSIGVFLWIAISSIAPIGLLMAGYSSNNKYSFSGGLRAAAQSISYEIPLTFCVLAISLRAIR >ORGLA06G0261700.1 pep scaffold:AGI1.1:ADWL01012988.1:1249:2211:1 gene:ORGLA06G0261700 transcript:ORGLA06G0261700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome f [Source:UniProtKB/TrEMBL;Acc:I1PHZ3] MENRNTFSWVKEQMTRSISVSIMIYVITRTSISNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVLRIPYDMQLKQVLANGKKGGLNVGAVLILPEGFELAPPDRISPELKEKIGNLSFQSYRPNKKNILVIGPVPGKKYSEIVFPILSPDPAMKKDVHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATSTGVVRKILRKEKGGYEISIVDASDGRQVIDLIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFFASVILAQVFLVLKKKQFEKVQLYEMNF >ORGLA06G0261600.1 pep scaffold:AGI1.1:ADWL01012985.1:1061:1312:-1 gene:ORGLA06G0261600 transcript:ORGLA06G0261600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b559 subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1QKV4] MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITDRFDSLEQLDEFSRSF >ORGLA06G0261500.1 pep scaffold:AGI1.1:Oglab06_unplaced113:246:1125:1 gene:ORGLA06G0261500 transcript:ORGLA06G0261500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIFDVGQKKRSADDFPLCVHLVSWEKENVSSEALEAARIACNKYMAKHAGKDAFHLRVRAHPYHVLRINKMLSCAGADRLQTGMRGAFGKPTGTCARVRIGQVLLSVRCRDAHAAHAQEALRRAKFKFPGRQRVIFSAKSGFTRFKRDEYLKLKSEGRIVPDGVNAKVRTKPRCIARNDI >ORGLA06G0261400.1 pep scaffold:AGI1.1:ADWL01012982.1:2104:2349:1 gene:ORGLA06G0261400 transcript:ORGLA06G0261400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 C subunit [Source:UniProtKB/TrEMBL;Acc:I1Q651] MNPLIAAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >ORGLA06G0261300.1 pep scaffold:AGI1.1:ADWL01012982.1:566:1309:1 gene:ORGLA06G0261300 transcript:ORGLA06G0261300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 A subunit [Source:UniProtKB/TrEMBL;Acc:I1QWH6] MNIIPCSIKTLKGLYDISGVEVGQHFYWQIGGFQIHAQVLITSWVVITILLGSVIIAVRNPQTIPTDGQNFFEYVLEFIRDLSKTQIGEEYGPWVPFIGTMFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALALLTSAAYFYAGLSKKGLSYFEKYIKPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >ORGLA06G0261200.1 pep scaffold:AGI1.1:Oglab06_unplaced111:2321:4147:-1 gene:ORGLA06G0261200 transcript:ORGLA06G0261200.1 gene_biotype:protein_coding transcript_biotype:protein_coding YMQENEIVLELDRLKKEISESKRQAEAVEAAKVPLSNEYEKTKRLIEGLEHDLEKAQEEEIIARLGLELFQLIVHEMREGDTSDGGVTGREKLNIIKEQYNAVLANLMLVKDESGKVQENYETLLIERDISIGKAQLAVSMSEGAVRKVEELTVELNRLKVELELAHSTCHDAEKHSKDTSLACDEDSLKWKSDLRQAEEELNQLAKKISSIEELKSTLDTSTGLLLKLKNELAGYVEAKPIDKEAQGNITQRSLHNEVILSTRELEECLMSVDKVRDEVCALNVAAASLKAELIKEKTALATMKQMEATSSIAAASLRVEIQLALRELEAVQAKEKESRNGMLGLQKIMEDTAKEADESKSIAREAQEKLRKAKEDMDHAKSCLDTMEFRIQAVLKEMEATKESMRLAIDALRPFDSELPVDIEEQGSQIVTVDLDEYQSLIAKSSKAEELVHERTASAIAQAKIAKESESRILSTLSETHKVLEQRKQALVAATERADRATEGKLAMEQELRKWREENEQRRKAGEASKSQLNPSSTPVIIVERSSDTKSTSKDDSYASVHPLLDMSARSTPNDSALLSNKKKRKKLSFFPRITMFFTRKKSRAAI >ORGLA06G0261100.1 pep scaffold:AGI1.1:ADWL01012979.1:2895:5589:1 gene:ORGLA06G0261100 transcript:ORGLA06G0261100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:I1Q729] MAKFSLDDADDDPPVATARDKRKRDDGPADAEDEPPRARGSAVAGREPAAPGAEAEAAGRCGPAEEMADGEVEGGLSVQIDPDVLDCSICFESLRPPLYQCQNGHVACFSCWSKLSNKCHICSRDAKFARNIALEKIVESIKSSCSYAKWGCCKFINYAQRDAHEEACLFAPSMCPISNCGYRGFTGRWSGHFLTSHSSDVMRFNYSQPFEVNIEVSVPFLVFLGEDDRLFLLLNNNLTPFGHAFSVVCLRSGNLNWMFSYQIEATSRKKPENRLQLKASVTNTRQWTGIYPSEAFLLVPFDFCHSSNIVLNISIERHAVV >ORGLA06G0261000.1 pep scaffold:AGI1.1:Oglab06_unplaced108:10049:10435:1 gene:ORGLA06G0261000 transcript:ORGLA06G0261000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNFVKKGLTPFSTYANITAKXWDDFVTFKNSYEEIERSKKFSELAKKNRFPHRLGSAGYAPKVEQWTKEEEIRKAGLPVPMEEWTQRSRNWVRARTPKITDEGKVSFEDPELQGVADKIENLSSTQKK >ORGLA06G0260900.1 pep scaffold:AGI1.1:ADWL01012974.1:5455:7707:-1 gene:ORGLA06G0260900 transcript:ORGLA06G0260900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A1 [Source:UniProtKB/TrEMBL;Acc:I1Q6B3] MMIRSPEPEVKIVVDRDPVKTSFEEWARPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTGDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGALIFASLMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQIHVSLPINQFLDAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYAEFLSFRGGLDPITGGLWLSDIAHHHLAIAILFLIAGHMYRTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSTTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWVQNLHAGAPSVTAPGATTSTSLTWGGGELVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGTISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIIQGRAVGVTHYLLGGIATTWAFFLARIIAVG >ORGLA06G0260800.1 pep scaffold:AGI1.1:ADWL01012974.1:3225:5429:-1 gene:ORGLA06G0260800 transcript:ORGLA06G0260800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A2 [Source:UniProtKB/TrEMBL;Acc:I1R2E3] MELRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWIQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGAAGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSTLSLIGGWLHLQPKWKPSLSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLDVLPYPQGLGPLLTGQWNLYAQNPDSSNHLFGTTQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLIAGHMYRTNFGIGHSIKDLLEAHTPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPSYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTTYGFDILLSSTSGPAFNAGRTLWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >ORGLA06G0260700.1 pep scaffold:AGI1.1:ADWL01012974.1:2766:3077:-1 gene:ORGLA06G0260700 transcript:ORGLA06G0260700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S14 [Source:UniProtKB/TrEMBL;Acc:I1Q644] MAKKSLIQRERKRQKLEQKYHLIRRSSKKKIRSKVYPLSLSEKTKMREKLQSLPRNSAPTRLHRRCFLTGRPRANYRDFGLSGHILREMVYACLLPGATRSSW >ORGLA06G0260600.1 pep scaffold:AGI1.1:ADWL01012974.1:663:2186:1 gene:ORGLA06G0260600 transcript:ORGLA06G0260600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1Q643] MATLRVDEIHKILRERIEQYNRKVGIENIGRVVQVGDGIARIIGLGEIMSGELVEFAEGTRGIALNLESKNVGIVLMGDGLMIQEGSFVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEIVASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQKGQDVICVYVAIGQRASSVAQVVTTFHEEGAMEYTIVVAEMADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLNSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKSKLELAQFAELQAFAQFASALDKTSQNQLARGRRLRELLKQSQANPLPVEEQIATIYIGTRGYLDSLEIGQVKKFLDELRKHLKDTKPQFQEIISSSKTFTEEAEILLKEAIQEQLERFSLQEQT >ORGLA06G0260500.1 pep scaffold:AGI1.1:ADWL01012973.1:1029:1430:1 gene:ORGLA06G0260500 transcript:ORGLA06G0260500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSGRSGGGTTAPLFSRIHTSLISVWRAISRAQVEVRPQWENGAPNNASSQTKNYEITLSFWGDGGIVPFEPFFHAFPGGLEKAAINRTSLILPS >ORGLA06G0260400.1 pep scaffold:AGI1.1:ADWL01012972.1:1:2370:1 gene:ORGLA06G0260400 transcript:ORGLA06G0260400.1 gene_biotype:protein_coding transcript_biotype:protein_coding EISFQLFAKGYQLLEPSIKERDAVYESLTYSSELYVSARLIFGFDVQKQTISIGNIPIMNSLGTFIINGIYRIVINQILLSPGIYYRSELDHKGISIYTGTIISDWGGRSELAIDKKERIWARVSRKQKISILVLSSAMGSNLKEILDNVSYPEIFLSFPNAKEKKRIESKEKAILEFYQQFACVGGDLVFSESLCEELQKKFFQQKCELGRIGRRNMNRRLNLDIPQNSTFLLPRDVLAATDHLIGMKFETGILDDDDMNHLKNKRIRSVADLLQDQFGLALGRLQHAVQKTIRRVFIRQSKPTPQTLVTPTSTSILLITTYETFFGTYPLSQVFDQTNPLTQTVHGRKVSCLGPGGLTGRTASFRSRDIHPSHYGRICPIDTSEGINVGLTGSLAIHARIDHWWGSVESPFYEISEKAKKKKERQVVYLSPNRDEYYMIAAGNSLSLNRGIQEEQVVPARYRQEFLTIAWEQIHVRSIFPFQYFSIGGSLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQTALDSRVSVIAEREGKIISTNSHKILLSSSGKTISIPLVTHRRSNKNTCMHQKPRVPRGKSIKKGQILAEGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTDTTSQGSAEKITKEIPHLEEHLLRNLDRNGVVKLGSWVETGDILVGKLTPQIASESSYIAEAGLLRAIFGLEVSTSKETSLKLPIGGRGRVIDVKWIQRDPLDIMVRVYILQKREIKVGDKVAGRHGNKGIIS >ORGLA06G0260300.1 pep scaffold:AGI1.1:ADWL01012971.1:3383:4039:-1 gene:ORGLA06G0260300 transcript:ORGLA06G0260300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFSSVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFLMIRKQGISGPL >ORGLA06G0260200.1 pep scaffold:AGI1.1:ADWL01012971.1:1961:2380:-1 gene:ORGLA06G0260200 transcript:ORGLA06G0260200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPTGLLTVPFLENVNKFQNPFRRPVATTVFLIGTAVALWLGIGATLPIEKSLTLGLF >ORGLA06G0260100.1 pep scaffold:AGI1.1:ADWL01012971.1:233:1741:1 gene:ORGLA06G0260100 transcript:ORGLA06G0260100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAIPKIGSRRKVRIGLRRNARFSLRKSARRITKGVIHVQASFNNTIITVTDPQGRVVFWSSAGTCGFKSSRKASPYAGQRTAVDAIRTVGLQRAEVMVKGAGSGRDAALRAIAKSEEVAGSTQTLQWKCVESRVDSKRLYYGRFILSPLRKGQADTVGIALRRALLGETEGTCITHAKFGSVPHEYSTIAGIEESVQEILLNLKEIVLRSNLYGVRTASICVKGPRYITAQDIILPPSVEIVDTAQPIANLTEPTDFRIELRIKRDRGYHTEVRKNTQDGSYPIDAVSMPVRNVNYSIFACGNGNAKYEILFLEIWTNGSLTPKEALYEASRNLIDLFLPFLHTEEEGTRFQENKNRFTSPLLSFQKRLTNLKKNKKRIPLNCIFIDQLELPSRTYNCLKRANIHTLLDLLSKTEEDLMRIDSFRMQDGKQIWDTLEKHLPMDLPKNKF >ORGLA06G0260000.1 pep scaffold:AGI1.1:ADWL01012970.1:4013:4318:1 gene:ORGLA06G0260000 transcript:ORGLA06G0260000.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKKNMTEKKNRREKKTPREAKITFEGLVMEALPNGMFRVRLENDTIILGYISGKIRSSSIRILMGDRVKIEVSRYDSSKGRIIYRLPHKDSKRTEDSKDTED >ORGLA06G0259900.1 pep scaffold:AGI1.1:ADWL01012970.1:3478:3888:1 gene:ORGLA06G0259900 transcript:ORGLA06G0259900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:I1Q5Q7] MGKDTIADLLTSIRNADMNKKGTVRVVSTNITENIVKILLREGFIESVRKHQESNRYFLVSTLRHQKRKTRKGIYRTRTFLKRISRPGLRIYANYQGIPKVLGGMGIAILSTSRGIMTDREARLNRIGGEVLCYIW >ORGLA06G0259800.1 pep scaffold:AGI1.1:ADWL01012970.1:2967:3338:1 gene:ORGLA06G0259800 transcript:ORGLA06G0259800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14 [Source:UniProtKB/TrEMBL;Acc:I1Q6C6] MIQPQTLLNVADNSGARKLMCIRVIGAASNQRYARIGDVIVAVIKDAVPQMPLERSEVIRAVIVRTCKEFKCEDGIIIRYDDNAAVIIDQKGNPKGTRVFGAIAEELRELNFTKIVSLAPEVL >ORGLA06G0259700.1 pep scaffold:AGI1.1:ADWL01012970.1:2447:2857:1 gene:ORGLA06G0259700 transcript:ORGLA06G0259700.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYNPKRTRFRKQHRGRMKGKSYRGNCICFGRYALQALEPTWITARQIEAGRRAMTRYARRGGKIWVRIFPDKPVTIRPTETRMGSGKGSPEYWVAVVKPGRILYEMGGVSETVARAAISIAASKMPIRSQFLRLEI >ORGLA06G0259600.1 pep scaffold:AGI1.1:ADWL01012970.1:531:1250:1 gene:ORGLA06G0259600 transcript:ORGLA06G0259600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3 [Source:UniProtKB/TrEMBL;Acc:I1QWE9] MGQKINPLGFRLGTTQNHHSFWFAQPKNYSEGLQEDKKIRNCIKNYIQKNRKKGSNRKIEADSSFEVITHNKKMDSGSSSEVITHIEIQKEIDTIHVIIHIGFPNLLKKKGAIEELEKDLQKEVNSVNQRLNIGIEKVKEPYRQPNILAEYIAFQLKNRVSFRKAMKKAIELTKKTDIKGVKVKIAGRLAGKEIARAECIKKGRLPLQTIRAKIDYCCYPIRTIYGVLGVKIWIFVDEE >ORGLA06G0259500.1 pep scaffold:AGI1.1:ADWL01012970.1:27:476:1 gene:ORGLA06G0259500 transcript:ORGLA06G0259500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22 [Source:UniProtKB/TrEMBL;Acc:D2SYG2] MTSFKLVKYTPRIKKKKSGLRKLARKVPTDRLLKFERVFKAQKRIHMSVFKVQRVLDEIRWRYYEETVMILNLMPYRASYPILKLVYSAAANATHYRDFDKANLFITKAEVSRSTIMNKFRPRARGRSSPIKKTMCHITIVLNIVKKSK >ORGLA06G0259400.1 pep scaffold:AGI1.1:ADWL01012969.1:4460:4999:-1 gene:ORGLA06G0259400 transcript:ORGLA06G0259400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSNSSSTVDIVEAQSKYGFFGWNLWRQPIGFLVFLISSLAECERLPFDLPEAEEELVAGYQTEYSGIKYGLFYLVSYLNLLVSSLFVTVLYLGGWNLSIPYISFFGFFQMNKMVGILEMTMSIFITLTKAYLFLFISITIRWTLPRMRMDQLLNLGWKFLLPISLGNLLLTTSSQLVSL >ORGLA06G0259300.1 pep scaffold:AGI1.1:ADWL01012969.1:3823:4365:-1 gene:ORGLA06G0259300 transcript:ORGLA06G0259300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit I [Source:UniProtKB/TrEMBL;Acc:I1QKY4] MFPMVTGFMSYGQQTIRAARYIGQSFIITLSHTNRLPITIHYPYEKSITSERFRGRIHFEFDKCIACEVCVRVCPIDLPLVDWRFEKDIKRKQLLNYSIDFGVCIFCGNCVEYCPTNCLSMTEEYELSTYDRHELNYNQIALSRLPISIMGDYTIQTIRNSTQSKIDEEKSWNSRTITDY >ORGLA06G0259200.1 pep scaffold:AGI1.1:ADWL01012969.1:3049:3516:-1 gene:ORGLA06G0259200 transcript:ORGLA06G0259200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGLGVYYLPTQLFSAFSLGLVLVCISLFYILLNSYFVAVAQLLIYVGAINVLIIFAVMFVNGSEWSKDKNFWTIGDGFTSLVCITIPFSLMTTIPDTSWYGILWTTRSNQIVEQGLINNVQQIGIHLATDFYLPFELISIILLVSLIGAITMARQ >ORGLA06G0259100.1 pep scaffold:AGI1.1:ADWL01012969.1:2534:2839:-1 gene:ORGLA06G0259100 transcript:ORGLA06G0259100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit K [Source:UniProtKB/TrEMBL;Acc:I1QWL4] MMFEHVLFLSVYLFSIGIYGLITSRNMVRALICLELILNSINLNLVTFSDLFDSRQLKGDIFAIFVIALAAAEAAIGLSILSSIHRNRKSTRINQSNFLNN >ORGLA06G0259000.1 pep scaffold:AGI1.1:ADWL01012969.1:1808:2053:-1 gene:ORGLA06G0259000 transcript:ORGLA06G0259000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I iron-sulfur center [Source:UniProtKB/TrEMBL;Acc:I1Q6F0] MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLGPETTRSMALSY >ORGLA06G0258900.1 pep scaffold:AGI1.1:ADWL01012967.1:82:4894:-1 gene:ORGLA06G0258900 transcript:ORGLA06G0258900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQEKLVQEAVDTLLDSGSRGQPTRDGHNKVYKSLSDVIEDFDGDQMAVHLPLSLEAQAEARLLMFSHMNLLSPAIGDPICVPTQDMLIGLYVLTIGNRRGARGNASQVHQLVGMRGLMADPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTADAGYLTRRLVEVVQHIIVRRRDCGTIQAISSQDILHSVTIPSKSLILVQNDQYVESEQMGKCTGVPMFIMRPNINMVIFVDYQKQAIYGYCQXVCAELVXLLFRSTRIKIKXILIPFLLTEGKFLIIQRRIESCPMVIGILSILLFFKIIWICXRKSEEIGSPFHYSIIKNKRKNQYPVLGFRLKYPLWVFYVEILXLLILTTHDTKKIKRVQELLNLDIGPXRTNIGLERKTQRTNTGAQKTNIGPERKNVKPXKTNIGLERRSMKPXKMNMGSQRTNMKPXKMNMESXRTNIGLERRNPRTNMGVQRTNIGPERINMALXRKTQRTNMGLXRKTQRKTQRTNMGTRRKIPSXKRGFXLSIEEQKNLVXNTKKKXIGFFSFSKNCISCRDPHPXS >ORGLA06G0258800.1 pep scaffold:AGI1.1:Oglab06_unplaced097:8496:9441:1 gene:ORGLA06G0258800 transcript:ORGLA06G0258800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTACKDLHLYYMEKSNARKPSKATDILGEHDGKPFLGPTNYIVVDFKDLFNLYRLRAVDTSLLKCYSFAHWILLVITPKWSTCHYLNSRIDKNAYNWTPIQLAIDEAWAQYVQRGGLTKTGHDTLIHKKDFPVKQQIGDQCGFHVCHNICLLYREKVKTLAEFEVGGLNCDRLTRHNNQVSAYQL >ORGLA06G0258700.1 pep scaffold:AGI1.1:Oglab06_unplaced097:6467:6892:1 gene:ORGLA06G0258700 transcript:ORGLA06G0258700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLSKANQCRRQLVGSSRARTPRTGNKIPKEWFRITAVDAVGLPTSPRKILSRFRSICGVIGRQKFSILQDDIKLVPAAEKDIAWLMFKESFDYPTEHEDRVRRAAFKVMGNAWKNFKTKLVGEFVYNPANPDPTEKFPWITA >ORGLA06G0258600.1 pep scaffold:AGI1.1:Oglab06_unplaced091:13412:13726:1 gene:ORGLA06G0258600 transcript:ORGLA06G0258600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNRLLDTFLCLADAHGSFQETVATLKQDVAEALVTIRRRDDARLASAVRLQRKAGKELARLAAAARDGARPSRLGLGRNSTEVEVTGLLSESAAPSPSPEKI >ORGLA06G0258500.1 pep scaffold:AGI1.1:Oglab06_unplaced091:11832:12071:1 gene:ORGLA06G0258500 transcript:ORGLA06G0258500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARVNLAILCLSLIALLVLTTVPEAAGGRPGGYINYGAMSKNCIHGSPQYNHQGSSANHYTRGCEKQLHCRGKRRGF >ORGLA06G0258400.1 pep scaffold:AGI1.1:Oglab06_unplaced091:1213:4097:1 gene:ORGLA06G0258400 transcript:ORGLA06G0258400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCWKNFKTTLVTEYVLNPAXPEPFGKYPFITRPVWDEFHASKSMKESXKKKPGLPXSAGKEPSPAPAWHRRLRGETSRMGQGGXSCSRIXHPTSACXYPGPEGKELGKGKGEKEXXRNSFVPNSRRSSGVLENRKLNKXNKFHYVVSYSLSNTSXLYPRSNXMLRDRPPKRSTHKNGRMTSSRKCWETKNTVDEQGALAPMFHGSLGFPNMCGSTRSTSFPRRRKLHALRPNFGRSLKKSIEKSSLPSCXVWRLGWRHASRIGRPMPLPVPXYKXAPRRGVAAAHSTEPPAEXSEGPAAVDHITVKTTXXRIYCISTTIHCNIYXGHXXIYRRNPHRVPXSLGXHQDLQFLSXRVKHSSLPRRPKCMGRSCXSAMPKFKWTXXSPIVLATLSHTHLMTRFXPLGLHVGPLFNGLSTSLRSIXLQGQRQVLVRRRRVPIQLLYLSIPAVEQRDEDLQLQYDTDFGDDGMKVDSRPHLSPPAKSSKRAKSSPPKLDTRRKAAGTGRGKVKVPLAPKKLDLGKALVAPPKPPAKFTFGMPLVGDDALFKMGPACKELHGYYMEKSNARRKNRETYMLGQHDGQPFLGPTAFIAVDFKDLWDLYRVRAIDTSLLKCYSLLTWKHVHRKAPHIALLDPTVVNETTLKNDXANMVGYIKDCLFARQDKDFIMCAYNQQQHWTLLVITPKWSSVHYLNSNIKPEIYDWSAIESALNEAWDQYVARGGRHKDGHPKLGHRKDFPIHQQVGDQCRFHVCHNMRSFADKVTLLDLESKVLGSSSLYILAFLVTYKIVPIYYLLLQVLMHVGGLKRKNGCE >ORGLA06G0258300.1 pep scaffold:AGI1.1:ADWL01012948.1:1875:2833:-1 gene:ORGLA06G0258300 transcript:ORGLA06G0258300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGFYTNLMNEGNDSLDWDSLSSMPVEDDMSNQLDENGMSSEPVMQQFPTAERTTVARPNQKRSKNFSEQEDKILVSAWLHIAQAIAVFKELEGKPFQFLHCWSLLRSQSKWHDKMKQIASQKPCATNRQKPSTDGSAKATPTNDETTNHVGEDNEPTETEEPKRPMGKKRAKEHLRRGETCTDAFDHLWEKKKKLMQRRRREMRGTKSHMN >ORGLA06G0258200.1 pep scaffold:AGI1.1:ADWL01012945.1:537:2690:-1 gene:ORGLA06G0258200 transcript:ORGLA06G0258200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLCLVLALILFSASSISCCTDHERNCLLQFLAGLSQDGHGGLAASWRHGTDCCSWEGITCSSSSSSSTASKAVTITDVLLASKKLEGSISPALGRLPGLQRLNLSHNSLSGGLPAEIMSSDSIVILDISFNLLNGDLLDSPSSSSSSASGRRIQVINVSSNSFSGRFLSSSWKEMENLVVLNASNNSFTGPMPTFFCIRSSSFSMLDLSYNHFNGNVPPEIGNCSSLRMLKADHNNLSGTLPDELFNVTSLEHLSFPNNGLQGVLDGAGIIKLRNLVVLDLGFNMFSGNIPDSIGKLKRLEEIHLHHNSLAGELTPAIGSCTNLKALNLGSNNFSGELGKVNFSKLSSLKSLHVSYNSFNGTIPESVYTCSNLNALQLSFNKFHGQLSFRIANLKSLTYLSLAENSFTNISNTLQILKSSRDLTTLLIGGNFRDEEISDDKTIDGFENLKVLAMENCPLFGNIPIWISKLKNLEMLFLFNNHLSGSIPVCISTLNSLFYLDLSNNSLSGEIPAELTEMPMLRSERVTSHLDIKIFELPVYTGPSPKYFTVSDFPAVMILENNNLTGVIPTELGQLKALLSLILGYNNLHGEIPETILDLTNLEILDLSNNHLTGTIPADLNNLNFLSELNVSNNDLQGPVPTGGHLDTFPRSSFDGNPRLCGHILDQDCDDPVVVDAPQGSSRQGGYKVIFVIAFGALFGVGVLLDQLCLSRLVT >ORGLA06G0258100.1 pep scaffold:AGI1.1:ADWL01012943.1:2662:3711:-1 gene:ORGLA06G0258100 transcript:ORGLA06G0258100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNVTATVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWSAMLMGMFAGSVPWFTMMILHKKSTFLMKVDDTLAVFHTHAVAGILGGVLTGLLATPELCALDCPIPNMRGVFYGSGIGQLGKQLGGALFVTVWNLIVTSAILLCIGLFIPLRMSDDQLMIGDDAAHGEEAYALWGDGEKFDVTRPETTRTGGAGGAGREDTMEQRLTNMGARGVTIQL >ORGLA06G0258000.1 pep scaffold:AGI1.1:ADWL01012943.1:1032:1861:1 gene:ORGLA06G0258000 transcript:ORGLA06G0258000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGVSAKKRHAGVRFTLGCGCKDAKSVSVSAPAVGTPSTTATRRRSARMNPSGSTTTDTLTMTSALSSFLWERSVVEFDDDGGDYGPESFSGLLRELSELEQSVASWGRKSHHQNHDKKHSPPSSSPLPSQEDRKEKNGSNGDATDKPGDCRDGDDGVGVGLDGSVAVVKQSDDPAGRVPVVXASQAPTWSXHSSAGSPSSPHRRCYKIVVGPSATTARAPLLPRRHRRRRRLAPLLPRRRRRRRHRRDVAGRRTSSPVSPCRPAAPVYPEHQTS >ORGLA06G0257900.1 pep scaffold:AGI1.1:ADWL01012943.1:1:222:-1 gene:ORGLA06G0257900 transcript:ORGLA06G0257900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA06G0257800.1 pep scaffold:AGI1.1:ADWL01012939.1:1310:2125:1 gene:ORGLA06G0257800 transcript:ORGLA06G0257800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:I1Q615] QYMVFSCADSRVCPSVTMGLEPGEAFTVRNIANMVPAYCKIKHAGVGSAIEYAVCALKVELIVVIGHSRCGGIKALLS >ORGLA06G0257700.1 pep scaffold:AGI1.1:ADWL01012933.1:19536:19976:-1 gene:ORGLA06G0257700 transcript:ORGLA06G0257700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVVNGLHKEHHMEKPRMVFREEGEDDVTMATTDTTIAHIMDEQEDIKVKASKCWNPIRPPAALLISNGRQISIRAPFLAREYLMESSQSPLSNGSSLIAKFHPSQPQMKKQGAASPSFGLWACNFVWDPDPSGAMWGAPQLGGARP >ORGLA06G0257600.1 pep scaffold:AGI1.1:ADWL01012933.1:7756:8274:1 gene:ORGLA06G0257600 transcript:ORGLA06G0257600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLRQRQWQLRGARGEGGGSGWTMVTAQWLVDGTASCCGNGAHSRRRQRRGARGDGRGSGEVAIGSSGCNGGEAWRSRKASRGCGRRLHLAGAVVIGGVGGRLGVERRSCWQWRSARRERHGRRQGGRNGVRGTADGGKPDWHERLIRWWRRTARRDEARPAVKEATTM >ORGLA06G0257500.1 pep scaffold:AGI1.1:ADWL01012933.1:5094:7039:-1 gene:ORGLA06G0257500 transcript:ORGLA06G0257500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRHVDAVAAVLPVLLTILLPSAAAIGVNYGTKGDNLPPPATVAKFLANRTRIDRVKLFDTNPDIVKAFAGTGITVMVTAGNGDIPTLGTKDGAAAWVAANIAPYYPATDISLVAVGNEIINTADNALIGGLVPAMRTLRAALVAAGFRRIRVSTPHSLGILSVSSPPSASRFLDVLDRTFFAPMLEFLRKTKSPFVVNPYPYFGYNGDTIPYALARRPNPGVLDPGTGITYTSMLEAQLDSVFSAMKKLGFEDVDITVGETGWPTKAEPGQAGVSVAEAAEYNRYLIGEASSGSGTPLMPKRTFETYIFALFNENLKPGPIAERNFGLFKPDLTPMYDVGLMKDTGKSSASAPAPAKGGNASGAAVTKRDSESEAAAPADEASAPAPSSVGKKASTKATAPAPSDDGSASPEPSEGESADEKNPEEEEEEEGDDAAATTTPEGDGDSPETEAAGDDAKESEGKNNPHGHGDSSEAISVMFSVPSMLTIALSAILLHL >ORGLA06G0257400.1 pep scaffold:AGI1.1:ADWL01012933.1:547:3355:-1 gene:ORGLA06G0257400 transcript:ORGLA06G0257400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFSCAGARLQQGRVGVGKCRGGGGGGGGAAVVRRSGCCLYPGGRRGLGVRGIRAELPPRACADGGGGATTSGWTVAVPDAGEVADHVKEVGAVAPPSVLPKGERGEVADVDGSGGNGKLPSGGGGGDGDNGGGGGGGDGGDGGDEGDDEFGPILSFDQVVQEVEKRGVSLPSLPADMIEAAKSVGIQKLLLLRYLDMQASAWPLGPAIRSCSLLRNRMLVDPSFLFKIGTEIVIDTCCATFAEVQKRGEEFWSEFELYAADMLVGVVVNVALVGMLAPYARFGGGSASPGLLGRVRHAYDSLPSSVFEAERPGYSFSIQQRIGTYFFKGILYGTVGFFCGLVGQGIANLIMTAKRSVKKSDDDVPVPPLLKTSALWGKRIFVFSRFI >ORGLA06G0257300.1 pep scaffold:AGI1.1:ADWL01012932.1:3:1970:-1 gene:ORGLA06G0257300 transcript:ORGLA06G0257300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNGLATAALLLLLLIVATSAMACVEQEKSSLLRFIAELSHDGGIAMSWQNGTNCCVWEGITCNEDGAVIEVRLTSKGLEGQIAPSLGELTSLSRLNLSYNSLSGGLPAELMSSGSIVVLDVSFNRLNGDLQELNPSVSDRPLQVLNISSNRFTGEFPSITWEKMRNLVAINASNNSFTGHIPSSFCSNSPSFAVLDLGYNKFSGNIPPGIGKCSALRLLKANANNIRGPLPGDLFNATSLEYLSFANNGLQGTIDDALIVKLINLVFVDLRWNRFSGKIPNSIGQLKRLEELHICSNNLSGELPSSLGDCTNLVTINLRRNKLTGELAKVNYSNLPNLKTLDFASNHFTGTIPESIYSCSNLTWLRLSSNRLHGQLTKNIRNLNSITFLSLSYNNFTDIKNTLHILKSLRNLNVLLIGGNFMHEAMPQDETIDDFENIFGISIHDCALTGKIPSWLSKLGNLAVLDLSNNQLSGPIPTWINSLNFLKYVDISNNSLSGEIPQALMEIPMLKSDKIADNSDPRAFPFPVYAGACLCFQYRTVTAFPKMLNLGNNKFTDVIPMEIGELKALVSLDLSFNNLNREIPQSISNLKNLMVLDLSYNHLTGAIPPALVNLHFLSEFNVSYNDLKGSVPIGGQFSTFPSSSFAGNPELCSP >ORGLA06G0257200.1 pep scaffold:AGI1.1:Oglab06_unplaced076:21754:21978:1 gene:ORGLA06G0257200 transcript:ORGLA06G0257200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARVNLTVVVGLSLVALLVLTAVEDVGVSADNEIGYTTMNHDDIPGTPKLLHPGGPANTYTRGCEKEQDCRD >ORGLA06G0257100.1 pep scaffold:AGI1.1:Oglab06_unplaced076:19505:19926:1 gene:ORGLA06G0257100 transcript:ORGLA06G0257100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARVNLTVLGLSSLVALLVLIIAVEDVAVVAQVIDYSSMNRDHIPGTPQLNHPGDIANKYTRGCEKEQLWQNLP >ORGLA06G0257000.1 pep scaffold:AGI1.1:Oglab06_unplaced076:12890:14961:-1 gene:ORGLA06G0257000 transcript:ORGLA06G0257000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNDRFSDLLWMPHCQHKVSKIWDSPTNCINIRLHRVGIPYHSLCQTALENLGFFQIARMKEINIDKYSISALVERWRPETNTFHLPVGEMTITLQDVSCLWGLPIHGRPITGQADGSWVDMIERLLGIPMEEQHMKQKKRKKEDDMTMVSYSRYSISLSKLRDRFRVMPKNATEREINWYTRALVLDIIGSMVFTDTSGDGVPAMYLQFMVNLSEQTEYNWGAAALSMLYRQLSIASEKERAEISRPLLLLQLWSWSRLPLGRPVKAMKITKEREEEDEQEELDYCPVFGAKWCLSHEFRAPHNAGTISILIISYVPIMCLGGLENPIPYPRDIFEWTGYMPSGPPLARISLRVIKNAAWGIKCAITNGCKKLGKSILKTCLGNLRDLNLEPRLQNMLTDAGLPINIEDIPSDDDLSAPVHHPSPPKDSNSDIFDEWVYSGRGFERYLHAGGIAATQDLGQVTQIDE >ORGLA06G0256900.1 pep scaffold:AGI1.1:Oglab06_unplaced076:11408:12380:1 gene:ORGLA06G0256900 transcript:ORGLA06G0256900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIVLAYVDGSIQNGITGPEYTIPPKITFPASNRSTFEDVKNEIFRGLGYTEDDYIISIQARFDIGAPGPHYFQLIPIYEERGWKMIFEKTQTRASWHIIELYVDCKPAQVVLSQITESSRQTERNDTNVYLQHRTIHPAQVASQEDDYVGEETDLAEDRIEQDDDSEHDADGSTDHSTDDEHPEPQPVVHSINSFPFMHATGKNPIKAFSDIYVLKETIADESFFGHKKQFDSPLARGKTFDSKEHLKIAIGEFHIEKNAEVKYIPVANPKLWLNARTIVAHGGSMQHRQELIVSYFIGASFR >ORGLA06G0256800.1 pep scaffold:AGI1.1:Oglab06_unplaced076:4661:7274:1 gene:ORGLA06G0256800 transcript:ORGLA06G0256800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVMGSESGWTSPAFEELLPQLPRGEQLRLETHLRDRDRRWRRMRYNNAPPPPSSTKIRRQEKERDTWMIPHVQNALRHYNARHPGGEFDVVKPLMQARVVFKGQHWFHINFWARSRSSNKIKRFFAELHYKPLITISGFVSWEQLLPDPLPAPVASVETCTIIEEPLDQYKRSCAFCPAGFGILHPKGDRKFVCGNDKDRFYQKLIPCKQLQFGLPFM >ORGLA06G0256700.1 pep scaffold:AGI1.1:Oglab06_unplaced076:3228:3638:1 gene:ORGLA06G0256700 transcript:ORGLA06G0256700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLTLLFFFSVVATVGADDVRQVLHELFFPIEWTPSPSLDFSSDPPTPATPVDNSGPRLLPAPLPNTIAADVLSSRSRPDPQASCGGSGGMPKAAIVVASAAVAAVLALLAIVVAFLLTSQLARHPAAARPRAC >ORGLA06G0256600.1 pep scaffold:AGI1.1:ADWL01012928.1:291:962:1 gene:ORGLA06G0256600 transcript:ORGLA06G0256600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRRATSSLCSQHLTRTTRTYTVCVLEFSRAQRREIDMGQQPQDAVAANGNGGGKRPHAVVIPYPLQGHVIPAVHLALRLAARGFAVTFVNTESVHRQITSSGGRHGGGGGDDIFAGAGGGAIRYELVSDGFPLGFDRSLNHDQYMEGVLHVLPAHVDELLRRVVGDGDDAAATCLVADTFFVWPATLARKLGVPYVSFWTEPAIIFSLYYHMDLLTKNGHFNCK >ORGLA06G0256500.1 pep scaffold:AGI1.1:Oglab06_unplaced074:541:2703:1 gene:ORGLA06G0256500 transcript:ORGLA06G0256500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAARKAAARLLSPRASTHPFSAALLLRGRAARGGSLEARLATVPHERASVLRFWVRRRRFHDARGVFDERPTRTAPVWTLTISGCARRGRYADGMRAFAEMLAEGEATPNAFVLAAVVRCCAGMGDVESGKRVHGWMLRNGVHLDVVLCNAVLDMYAKCGQFERARRVFGAMAERDAVSWNIAIGACIQSGDILGSMQLFDESPLRDTTSWNTIISGLMRSGHAADALSHLRRMAQAGVVFNHYTYSTAFVLAGMLLLPDLGRQLHGRVLIAALEGDAFVRSSLMDMYCKCGLLEAAASVFDHWSPLTRDMNFAWSTMVAGYVQNGREEEALDLFRRMLREGVAADRFTLTSVAAACANVGMVEQGRQVHGCVEKLWYKLDAPLASAIVDMYAKCGNLEDARSIFDRACTKNIAVWTSMLCSYASHGQGRIAIELFERMTAKKMTPNEITLVGVLSACSHVGLVSEGELYFKQMQEEYGIVPSIEHYNCIVDLYGRSGLLDKAKNFIEENNINHEAIVWKTLLSACRLHQHNEYAKLASEKLVQLEQCDAGSYVMLSNIYATNNKWHDTFELRVSMQERKVRKQPGRSWIHLKNTVHTFVAGDASHPQSAEIYAYLEKLVERLKEIGYTSRTDLVVHDVEDEQRETALKFHSEKLAIAFGIISTPSGTPLRIFKNLRVCEDCHEAIKYISLATGREIVVRDLYRFHHFKDASCSCEDFW >ORGLA06G0256400.1 pep scaffold:AGI1.1:ADWL01012925.1:970:3129:-1 gene:ORGLA06G0256400 transcript:ORGLA06G0256400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHSWRSNKTRRFCINFFDPALVLLLLTFISPVDSCTKQERHSLLRFLAGLSQDGGLAVSWQNSPNCCTWEGIICGEDGAVTELLLASRGLQGCISSSLSELTSLSRLNLSYNLLSGGLPSELISTSSIVVLDVSFNRLDGELHELNSSSPDRPLQVLNISSNLFTGAFPSTTWEKMSNLFAINASNNSFTGYIPSTFCISSSSLAMLDLSYNQFSGNIPHGIGKCCSLRMLKAGHNNIIGTLPDDLFSATSLEYLSFANNGLQGTINGALIIKLSNLVFVDLGWNRFSGKIPNSIGQLKRLEELHMSSNNLSGELPSSLGECTYLVTINLSSNKFTGELANVNFSNLPNLKALDFSGNDFTGTIPESIYSCSNLTLLRLSANRLHGQLTKNIGNLKSIIFLSISYNNFTNITNTLHILKSLRNLSVLFMGSNFKNEAMPQDEKIDGFKNILGLGINDCALSGKVPNWFSKLRNLQVLVLYNNQLSGPIPTWINSLNFLKYVDISNNSLSGEIPAALMEMPMLKSDKIADYTDPRLFQFPVYVGCMCFQYCTITAFPKMLNLGNNKLTGAIPMEIGELKALVSLNLSFNNLNGEIPQLVTNLRNLMVLDLSYNHLTGAIPSALVSLHFLSEFNISYNDLEGPVPIGGQFSTFPSSSFAGNPKLCNPMLVHHCNLAEAAPTSPTSRKQYIDQVVFAIAFGVFFGVGVLYDQLIMPRYFG >ORGLA06G0256300.1 pep scaffold:AGI1.1:Oglab06_unplaced072:12228:12794:1 gene:ORGLA06G0256300 transcript:ORGLA06G0256300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQESRGSSGKAPVTVTVTAAGASSSGECSTPPFRLNVHAPEFVPRSPAASPMAAAAAGYYSPFLQLPGSSIGLGADWSIFADPDPTFFLPDFGHAKIGGGNGQPKGASPADIAQKIIKQVEYQFSDTNLVANDFLMKIMNKDPECY >ORGLA06G0256200.1 pep scaffold:AGI1.1:Oglab06_unplaced072:5500:7865:-1 gene:ORGLA06G0256200 transcript:ORGLA06G0256200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPAASWRRHAAAAAASSSTRKTLLLLLPVLLLLLFFVLSRAPDLTLSPAAASSRRLYAGVRPFDCYASQQASPVFASLVEGVPHPFFYSLADMGALPDHPHKNIARILKGKRFRKPDISETIQQLLGGKVGIGSRGVVVDVGANVGMASFAAAVMGFRVVAFEPVLENLQRICDGVYLNRVQDQVVVYHAAASDRVGNITMHKVIGRLDNSAISATGAKLAFKANEEIAVEVATIPLDEVILDAERVVLIKIDVQGWEYHVLRGASKLLSRRKGDAPYLIYEEDERLLQASNSSAREIRAFLSSVGYNHCTRHGTDAHCTKN >ORGLA06G0256100.1 pep scaffold:AGI1.1:Oglab06_unplaced072:1577:3577:1 gene:ORGLA06G0256100 transcript:ORGLA06G0256100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSYLAVGGWFIQVIFDKYLSYQLQSWAADCGISHEMNRLRVALLRTQSVLHGAEVTPSLSYGSLPWMRELRDVMYHAEDLLDKLEYNRLHHQMQESSSTESNSSPISAFMHSRFRNQGAQASGLEPHWDRSTRLKNQMVNLLERLEQVASGVSEALSLPRKPRHSRYSIMTSSVAHGEIFGRESEIQQLVSTLLSSQVDGDNPVSVASIVGVGGVGKTALAQHVYNNTRVAQYFDMRMWICVTDAFDESRITREMLESVSSSRFRHDSITNFNRLQVALRARLVSKRFLLVLDDVWSNDKITLAIEHENWQKLLSPLKAAANGSKILLTTRSSMVAEMLQSAHINNLECLSDKDCWSLIKMIVFDDTNHLINSQLANIGSEIAKTLNGLPLAAKVVARQLKCKHTTDKWKQVLQRNAVWDEIMPIFQHSYENLPVHLQQCLAYCSIFPKDWEFEAEQLILMWMAQGYVYPDGCRRMEDIGKQYVDELCSRSFFAIQKKQFVSYYVMPPVIHKLAKSVSAEECFRIGGDEQRRIPSSVRHLSIHLDSLSMLDETIPYMNLRTLIFFTSRMVAPINISIPQVVLDNLQSLRVLDLSPCKIDRLPDSIRQCVHLRYLNISSTAINMLPEYLGKLYHLQVLNLSGCRLEKLPSSINNLVSFTASDSS >ORGLA06G0256000.1 pep scaffold:AGI1.1:ADWL01012922.1:286:2077:1 gene:ORGLA06G0256000 transcript:ORGLA06G0256000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQGEPMSTTTSGSSRARTPRTGNKIPKERFRITAVDAVGLPTSPRKILSRFRSICGVIGRQKFSILQDDIKLVPAAEKDIAWLTFKESFDYPAELEDRLRRSWLPSNKPRKRPALKDARTTSSRKRWATRNTVDGHGALGLLFPGSMDFQKTRGSTRSGLPTRRRKMHALKPRFGRQXELSXHLNSTKSSSRXGPRLGKRSEKSNRTPRPPLLLRTKSXEVPPKNAAVVYQLSWRKIQHRSTAPSTTXRNQRAAPSQLGXCRPSRFQQPRDLRTSRPXRQECMERNSGPTVQKFKSIRXSPNTSCSLXSIHRTTRSSHXEMPVVPSSNGPRTSLRSGCSTTRILGRTERRPTARRFMNPHR >ORGLA06G0255900.1 pep scaffold:AGI1.1:Oglab06_unplaced068:10906:15042:1 gene:ORGLA06G0255900 transcript:ORGLA06G0255900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEPSSSADPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKIRMNKVVRKNLRVRLGDVVSVHQCQDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPTEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLELIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRSKENPEAMEEDEVDDIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFERTEAGAGAAADPFASAAAVADDDDLYS >ORGLA06G0255800.1 pep scaffold:AGI1.1:ADWL01012914.1:3622:5754:-1 gene:ORGLA06G0255800 transcript:ORGLA06G0255800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSGLAASSLCLLLLLLPMAVSTIACLKQEKNSLLRFLAGLAHDNGIAMSWRNGMDCCAWEGITCSEDGAVIEVSLASKGLEGRISPSLGELTSLSHLNLSYNSLTGGLPAELMSSGSIVVLDVSFNHLNGNLQELNSSVSNQPLQVLNISSNQFTGAFPSTTWEKMRNLVAINASNNSFTGHIPSSFCIGSPSFAVIDIGYNQFSGSIPPGIGNCSALRMLKAGNNNISGALPDDLFRATSLEYLSFANNGLQGTINGALIIKLSNLVFVDLGWNRFSGKIPNSIGQLKRLEELHMSSNNLSGELPSSLGECTNLVIINLGTNKFTGELANVNFSNLPNLKALDFSWNNFTGTIPESIYSCSNLTWLRLSANRLHGQLSKNIGNLKSITFLSISYNNFTNITNTLYILKSLRNLTVLFMGSNFKNEAMPQDEAIDGFKNIQGLAIERCALYGKIPNWLSKLRNLQVLTLYSNQLSGPIPTWINSLNFLKYVDVSNNSLTGEIPAALMEMPMLKSDKVADNSEQRAFTFSFYAGACLCLQYHTTTALPEMLNLGNNNFTGVIPMEIGELKELVSLNLSFNNLNGEIPESISNLKNLMVLDLSYNHLTGAIPPALVNLHFLSEFNVSYNDFKGPVPIGDQFSTFPSSSFAGNPKLCSPMLVHHCNSAEAAPTSAIFTKQYIDKVVFAIAFGVFFGVGVLYDQIIMYKYFG >ORGLA06G0255700.1 pep scaffold:AGI1.1:ADWL01012914.1:1583:2692:1 gene:ORGLA06G0255700 transcript:ORGLA06G0255700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSEPSKEAASAEDSSAVQKTGAWSNTLNILLQQASVYGVAAGYCLSASLLSIIKKWAVMKFPYPGALTASQYFTSVVGVLLCGQLKLIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWLSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLTSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEAFMLFPLEMLLTGELNQMKGDNAKVTNWLSTDVILPVALSCLFGLSISFFGFSCRQAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGGVLYQQSTTKPKAPKAEPKEENDEEQQKLLEMQQGHENSSTQKQTSS >ORGLA06G0255600.1 pep scaffold:AGI1.1:Oglab06_unplaced059:3995:6033:1 gene:ORGLA06G0255600 transcript:ORGLA06G0255600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVAATAAAAADGGSKPHAVVVTYPLQGHVNPAVHLALRLAARGFAVTFVSTESVHEQTARALGVAEPRKDTITYVPGVEAIEPGELMSYLQDTDTTTVVHRIIFRAFEEARGADYVVCNTVEELEPSTIAALRRERPFYAVGPILPAGFARSAVATSMWAESDCSRWLAAQPSRSVLYVSFGSYAHVTRRELHEIARGVLASGARFLWVMRPDIVSSDDPDPLPEGFAAAAAADGRGVVVPWCCQVEVLAHPAVAAFLTHCGWNSILESAWAGVPMLCFPLLTDQFTNRRLVVREWRAGVAVGDRGAVDAGEVRAKIEGVMRGEEGEVLREQVGKMRATLHAAVAPGGSSRRGFDELVDELKRRCGGGGRH >ORGLA06G0255500.1 pep scaffold:AGI1.1:ADWL01012904.1:7655:9838:-1 gene:ORGLA06G0255500 transcript:ORGLA06G0255500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIMKEAPHSLXRSGLAIPFSGLAAAALLLLLLSMANSTIACLEQEKSSLLQFLAGLSHDNGISMSWQNGIDCCAWEGITCSEDGAIIEVYLVSKGLEGQISPSLGELRSLLYLNLSYNLLSGGLPEELMSSGSIIVLDVSFNRLDGDLQELNSSVSDRPLQVLNISSNRFTGEFPSTTWEKMRSLVAINASNNSFTGQISSSFCTGLPSFAILDVSYNQFSGNIPPGIGKCTALKVLKAGHNNIRGALPDDLFHATLLECLSFPNNDLQGTIDGALMIKLSNLSFLDLAWNRFSGTIPDSIGKLKRLQEFHMNNNNISGELPSSLGDCTNVITINLENNKLAGELSKVNFSNLHNLQALGLSSNYFTGTIPDSIYSCSNLTWLRLSRNKLQGQLTEKLEILKSLTFVSLSYNNFTNITGSLHILKSLRNLTTLLIGSNFIHEAMPEDETIDGFENLHVLAINNCILTGKIPSWLSKLKMLELLLLHNNQLSEPIPTWINSLNFLKYIDLSNNSLIGEIPTALMEMPMLKSDKIEDHPDGPRVSPFTIYVGVSLCFQYRAASAFPKMLNLGNNKLSGLIPVEIGQLKALLSLNLSFNNLHGEIPQSISDIKNLMGLDLSSNHLTGAIPSALVNLHFLSEFNVSYNDLQGPVPIGGQFSTFPSSSFAGNPKLCSPMLVQHCNLAEAAPTSLISTKQYIDKVVFAIGFGVFFGVGVLYDQTIISRYFG >ORGLA06G0255400.1 pep scaffold:AGI1.1:ADWL01012904.1:1852:3855:1 gene:ORGLA06G0255400 transcript:ORGLA06G0255400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAANSCTEEDRSSLLRFLAGLSHDGGLAASWRPDIDCCHAWEGVICDGEGTVTEVSLPSRGLHGSISPSSLAGLTGLTRLNLSHNALSGGLPPELMYSASLVVLDVSFNSLDGVLPPLPMLMTGLKHPLQLQVLNISTNNLHGEIPESIGQLKKLEVIRLSNNNMSGNLPSSLGNCTRLTTIDLKMNSFSGDLGSVDFSSLHNLRALDLLHNDFSGVIPESIYSCNNLTALRLSSNQIHGEISSKIGDLKYLSFLSITENSFSDIAKTLHAFKSSRNLTTLFIGENFWGEVIPQDETIESLESIQHLSIYRCSLIGNIPLWLSKLKNLEVLDLSNNQLTGPMPSWINSFNNLFYLDVSNNSFTGQIPATLIEIPMLKSDDYKAHRTILFDLPVYVTTLSRQYRAVTSFPALLNLSANSLTSVIPPKIGELKALTHLDFSSNQLQGEIPPSICNLTNLQVLDLSRNYLTGPIPEALNKLNFLSKFNISDNDLEGPIPTGGQMNTFSSSSFAGNPKLCGSMLATCDSVGVAHTIPTISEDQQCSSKTISAIAFGVFFGIGVLYDQLVLSRYYG >ORGLA06G0255300.1 pep scaffold:AGI1.1:Oglab06_unplaced057:8483:8647:-1 gene:ORGLA06G0255300 transcript:ORGLA06G0255300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRTSFTLMFGMGCGVYVAQNYDVPNVKKLFNTYMFLAKHIEETYRKPKRDD >ORGLA06G0255200.1 pep scaffold:AGI1.1:Oglab06_unplaced057:1375:5238:-1 gene:ORGLA06G0255200 transcript:ORGLA06G0255200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDRTIKINKPEGDAEATTSQKSACCGS >ORGLA06G0255100.1 pep scaffold:AGI1.1:Oglab06_unplaced055:3594:5886:1 gene:ORGLA06G0255100 transcript:ORGLA06G0255100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSDAYYQSCRCLGDGKNNQTSCDQAIDDSIKGSGQLIFRRIQPPITSVAFNVSFIGSLAFILRPVPAGGEYSNNSDAYGSLVFSGNTYNKTTTIITHSDYCSTADSSTNVFVEMGALETVSSFAFGVNITISRKASNIQIVQGNNYTISVWIDYNRAAEAADRSISVFVAKAGETKPKEAIIVNKDDNISKGATLQGCIFSSMDLQHQISDMNVTFAYGQHVSHSPSRSLPTILASVLGPAGGAAIAAAVTWLYFNSSYRRWKKDFDQLAKSMQSLPGVPVKISFTDIRKATNNFHDTMKLGSGAFGAVYRCKLQSLKGQPTMEVAVKKFTRADTRSYQDFLAEVSIINRLRHKSIVPLIGWSYNKGEPLLIYEYMPNGSLDRHIFARTDQLHGGHHTTIRQWDTRYNIVRDIATGLHYVHHEYEPKVLHRDIKASNILLDSNFRARLGDFGLACTVAVDRSSVSCGVAGTFGYIAPDYAINLKATQQTDVYAFGVLVLEIVTGKKAMLMNDAQFGHITDWVWHLHQRGRLLEAVDGVLGTAGHGELDIEEARRLLLLGLACSNPNPSDRPTMVVAVQVIAKLAPAPDVPLEKPTVVCFPPLTLPVGSSSSECTDYYVTAKGSLQIKSSMV >ORGLA06G0255000.1 pep scaffold:AGI1.1:Oglab06_unplaced054:6383:8865:-1 gene:ORGLA06G0255000 transcript:ORGLA06G0255000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGFAVLAGGVLGYARRGSTASLAGGAGAGALLLLAGFVSLKAFEKRRNSYLALALETLLNLLLERSSMHVVVSLLTMESSKICALALTYVMGQRYLETSKIMPAGVVAGLSALMSAFYLFKIATGGNHIPPKKE >ORGLA06G0254900.1 pep scaffold:AGI1.1:Oglab06_unplaced054:680:5414:1 gene:ORGLA06G0254900 transcript:ORGLA06G0254900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCALVVFTPRQRDRRERRRGTGNAGEERGRGSRSGGEGAALPVGKGKGEEVLAMFTHCNARAVMLPEYYCXXVEKLIEKLTRNGRNAVAINEHIFSTVDGIIGTFALGETYAAEEFKDISETMDLLSSSFAEDFFPGSVAGRLVDRLTGLAARREAIFRKLDRFFERIVDQHAAADDDGPAAARRKADDKGSAGSDLVHELIDLWKMEGNTKQGFTKDHVKAMLLDTFVGGITTTSVTLHWAMSELIRNPRVMKKAQDEIRAVVGEKERVQHHDMPKLKYLKMVVKETFRLHPPATLLVPRETTRHFKAIGRDPNIWKDPEEFIPERFEEMDIDFNGAHFELVPFGSGRRICPGLGMGVANIEFILASMLFCFDWELPHGVRKEDIDMEEAGKLTFHKKIPLLLVPTPNKAPN >ORGLA06G0254800.1 pep scaffold:AGI1.1:ADWL01012895.1:293:6645:1 gene:ORGLA06G0254800 transcript:ORGLA06G0254800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYSAVALALRAAKPSSCAHSTYHHHHHHHGDGGDCHQQELLLPLNPRAAAAPRFMLDGYLLRHSAHFLLLSARLRPPPPPPRCCHRRRRVAARCCCGGGGGGSVAGHVSWRLDPPRVCRCSGHGAGRSSDLGEVYRRRLECRCGGGGGRLDLGAGCGRRRDAPRLVGRAVRQEVWEYEGGEWPHTSCSMECHTDWDDEEEDCGIAWWEAPPRFRLSRRRSEEDEGDRCRDCHRRKDAESDYYDEDEYSGRQRERRNMNERHGRFTDSNQRRRDQRDYHDDDDYLEFRRWKERRERRDSEFDDAVARRGIEDRRYSEDDRKYDRRRERKDFGYEGAVDVRRGASRYTDNNQRSDWRTEDRDYEVDVRREGKHRRNDDQRYVTRHQQRTDGTEEDVSLLESYRWHDEEYDYDDRDIAERRYYSGRTQKSARASALHEDESNRASSSRNIVDTRVARNKENSASRVRWHDNVDKRAEQTSEERNQRYSSSVVQSFDEKKHDHDDAQLISVRDSRIGTRDVRVITEDDANLASSSKNTMISKHHNTVDQKSTTRKDDSRNRSQKIMELSEVRGTNTEHDSRTQSYHQEDRRRYIENRASSLQSSVKTTSDTRTQVDQHDEVDQQVVALTDSRRRSEKLTDIKMDSTSNVSRTSLKQRNSDEVNQTDIDDRSNSVHNITHITRDKKRYVNQQVIHETDIDVQNVTHFDVSKVRASDISVSRNSQKASETRSDMANSQLEQIHASNSSMVRGPQSYLEAALHNRVYSTSATDIVNTTAEKHGQVEASTNNAAIASTSESHIQARIEDSAVNTIGSVQEQIDLTRICASDSTVVSSSHGLDTRSGQVSRTSATNLVDRTRETRDKSDQQITQASNIDRNDHVTSKFYESSQDSRQSLARLKDAGRLMEHNVGLNWQQEESRRVSNDMDIATLEMQSTEDGSSMVPVDVEKRPMITGSSEQEVRSETTAGSSIPSGSSARQPVNESLLESAARLEKSSTFHVGQFVGEVRKGVSDADTTLTRKNDKSIMEGIARSSSRSRMGGPSDEMWDVQSATSQETFKTADKEEGSSVDGGTTSTSLTPKNETALVRKVHKSLWAYVADIVRLGWIQRGESLDSNSNRSVKKSSSSNSQNTEGWISSQERDNEGIQKKTESSKPKDHLMKSHTGESLKKESLPTGSQGLLISESGNVPQINTSKGDFISRTSKEDAHMTGEKAKQSKVAASPKQNTVGGFSEDSTPTLVDVAKKHFPEHEASTSSMITTKGFADNDTGEGVIAGTSSMPISTEGVGRTAGSDEWRYDPSGAMTPYRHPHTQVMMPHEDTPAILESAELPTVGSTRFEEKIVVQETPEVIRTDGKDAELKRRKFQRNKQVMKETFDEWEEAYQRDAEQRKTDELFMREALHEAQRAADLWEVPVGAVLVQNGEIIARGCNLVEDLRDSTAHAEIVCIREASNKLKTWRLADTTLYVTLEPCAMCAGAILQARVDTVVWGAPNKLLGADGSWVRLFPGDGQTSSLDSANTNQGAGPVHPFHPKISIRRGILSAECSEIMQQFFHLRRRKKQKPESPPHAHPQGRNHPVKFFSKMHHMFGTIFCL >ORGLA06G0254700.1 pep scaffold:AGI1.1:ADWL01012894.1:130:2267:1 gene:ORGLA06G0254700 transcript:ORGLA06G0254700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDFFDQLKSRSKGYASMEYSLIGYRESNLVKLDIQINGDPVEALSTIVHRDKAYSVGRALTQKLKELIPRQMFRVPIQACIGAKVIASEALSAIRKDVLSKCYGGDISRKKKLLKKQAEGKKRMKAIGRVDVPQEAFMAVLKLEKEVL >ORGLA06G0254600.1 pep scaffold:AGI1.1:Oglab06_unplaced050:2:1419:1 gene:ORGLA06G0254600 transcript:ORGLA06G0254600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPAHSTFGELKGVLTQATGVEPERQRLFFRGKEKSDNEFLHTAGVKDGAKLLLLEKPAPANVEQRAEPVIMDESMMKACEAVGRVRAEVDRLSAKVCDLEKSVFAGRKVEDKDFVVLTELLMMELLKLDGIEAEGEARAQRKAEVQWPLYIST >ORGLA06G0254500.1 pep scaffold:AGI1.1:ADWL01012889.1:2:1821:-1 gene:ORGLA06G0254500 transcript:ORGLA06G0254500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDKDEKNVAVTEGSTNSEEKDQDEDLLRRTEMLNAKEAINSSNENSGNDSEAQIHVRDDSQKEFNEKMNKEGSSDPMEPTDSSQTEEDILAEEKSEEPVFDGTEVAEMEDLRRSSNQSAELDSDAHGSVLNERATAIKNFVKEKGAIAVSTFIRRLSGKKDENEFSVEDEKNEGSESISSGNIGSDAEPKSKEVQPKSEERTTWNPLNLIKIGRDFDTFMTGEAGHENVPDLTEQPTGKGRIIIYTKLGCEDCKMVRSFMRQKMLKYVEINIDIFPSRKMELENNTGSSTVPKVYFNDLLIGGLTELKKMEESGILDDRTDALFKDEPSSAAPLPPLPGEDDESGSGKIDELATIVRKMRESITLKDRFYKMRRFSSCFLGSEAVDFLSEDQYLERDEAVEFGRKLASKYFYRHVLDEDVFEDGNHLYRFLDNDPIIMSQCYNIPKGIIDVEPKPIVEVASRLRKLSQTMFEA >ORGLA06G0254400.1 pep scaffold:AGI1.1:Oglab06_unplaced044:3243:3557:-1 gene:ORGLA06G0254400 transcript:ORGLA06G0254400.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPIRPPAALLISNGRQISIRAPFLARKYLMESSWSPLSNRSSLITKFHPSQPQMKKQGAASPVMGLWACNFVWDPGPSGAHVGCAPTRWSRTLGPSWSFSHPYK >ORGLA06G0254300.1 pep scaffold:AGI1.1:Oglab06_unplaced043:6960:8274:1 gene:ORGLA06G0254300 transcript:ORGLA06G0254300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPVPTKCLTEYPNNSFTWATASSSHIGEDTAPTATWELGDNKDKGHAPCIVTKDSPEVTPTMCSTKCSGPTIEPDLTVAVVVTSATTAAASMELVAAGNAIGATDINNLDHPKVPMKCSMKCTESDNKPLMEHPKRNPWPPAWSGWKKSYMTWTTINHHGMRFYFVPPWPPPSLVSLTSLASKESNLVISDPESLSVWLSSVDLWGCCSTTTQL >ORGLA06G0254200.1 pep scaffold:AGI1.1:Oglab06_unplaced043:1:4963:-1 gene:ORGLA06G0254200 transcript:ORGLA06G0254200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation factor GUF1 homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1Q5X9] VPVSNIRNFSIIAHIDHGKSTLADKLLELTGTVQKREMKQQFLDNMDLERERGITIKLQAARMRYIMNDEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENDLEIIPVLNKIDLPGAEPDRVAQEIEEIIGMDCSNAIRCSAKEGIGITEILDAIVTKIPPPQNTAKSPLRALIFDSYYDPYRGVIVYFRVVDGSIKKGDKICFMASGKEYVADEIGVLSPNQMQVSELYAGEVGYLSASIRSVADARVGDTITHSSKRAECALPGYSQATPMVFCGLFPIDADQFEELREALEKLQLNDAALKFEPESSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLIITAPSVVYHVNLADGETVECSNPSLLPEPGKRRSIEEPYVKIDMLTPKEYIGPIMELGQERRGEFKEMNFITENRASVVYELPLAERGHDCLDV >ORGLA06G0254100.1 pep scaffold:AGI1.1:ADWL01012881.1:2778:4943:-1 gene:ORGLA06G0254100 transcript:ORGLA06G0254100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIPHSSCRTNTSKFWINFFGPVLVLLLFTFISPVGSCTERERRSLLRFLTGLSQDGGLAASWQNSTDCCTWEGIICGEDGAVTELLLALRGLQGCISSSLSELTSLSRLNLSYNLLSGELPSELIFSSIVVLDISFNRLDGELQELNSSSPDRPLQVLNISSNLFTGAFPSTTWEKMSNLVAINASNNSFSGHIPSSFCISSPSFAVLDLSYNQFGGSIPPGIGKCSSLRMLRVGQNNIIGTLPDDLFRATSLEYLSFPNNHLQGIIDDALMIKLSNLGFLDLGGNRFSGKIPDSIGQLKRLEELHMEENNISGELPPTLGDCTNLVTINLKKNKLKGELAKVNFSTLPNLKILDLSSNYFIGTIPESIYSCSNLTWLRLSTNKLHGELTKKIENLKSITFISLSYNNFKNITNTLHILKNLRNLTVLLLGGNFMHEAMPEDETIDGFKNIQGLGINDCALTGKIPNWLSKLRSLQLLALYNNQLSGPIPTWISILNFLKYVDISNNSLTGEIPTALMQMPMLKSDKIEDHPDLIVSPFTVYVGACLCFQYRATSAFPKMLNLGNNKLSGVIPMEIGQLKELLSLNLSFNNLNGEIPQAISNLKNLMVLDLSSNHLTGPIPSGLVNLHFLSEFNVSYNDLEGPVPIGGQFSTFLSSSFAGNPKLCSPMLEHHCNSAVAAPTTPIFAKQYIDKVVFAIAFGVFFGVGVLYDQITMPRYFG >ORGLA06G0254000.1 pep scaffold:AGI1.1:ADWL01012881.1:1396:1653:-1 gene:ORGLA06G0254000 transcript:ORGLA06G0254000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAAATELSPPLQVVVALPKVTAAIIPTSSSGGAPQLVDARSDSRTGDLDEAGRSGSLRGCYRAGGQGSSMTQCRHVGARPPSPCRW >ORGLA06G0253900.1 pep scaffold:AGI1.1:Oglab06_unplaced040:4893:9872:1 gene:ORGLA06G0253900 transcript:ORGLA06G0253900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDRLPFGLGFDRVQASGGYRCSEQYEWQRLALRQREAAREKPHCGATLNYPLLSHRRDRIEDSVAATEIPHQFRGHDLLHSHGKTLNDRSCSHSFREEETKDLVSSSHDDAETEKNFAIWDQPLDRTGLLESKRHRRSSSPRYCMKSYPFGNKIDGYHGEGRACPRDSSKWGNHSLSPDHAPTSCLRTEGEVPSLNRVSEYAKGADGHMRTTERLGDFFSSNQGSCTQNRSYQEVQRLPTEVNFPNAHFSAIDKARHRSYMEKFQTCKKHQGTFSKDLMFNISDHPLVGRTCHRFEVGRAHTSKAFDEFHAFHHEQLHQSPRDNFRDQLGSSRNFRNVHKGKMSRRQCTKHDLKKKNSNVAFHSTYGRNSDRKRHGNHLDGHRAKRNMPSENQSKESCYPNMKDWQSYSHGDVRQSGDNQEGNTKKIKKGGQNGEKGNYHRNNNIPTVVCSGSKSNENSEDMKSDEVSNGKLQDAPVTYVENGVKESDNASPSELLRDCLIIWRRLKKDNCAEAENVKKTNTNRTVQTSKVSVSERLRNGRPSSGFDDENSSTSGSASVSSESDDESNSPSEDSKQCRGVMSSSEAQKCSKGRTERESEQPFKSLSGDNRMKSPQNTIAEKGLMFYQDVPPETNPSEVMQQKEQDDLSCCWNGCFDTSTKPVADSHPESSVHQKFSQQGAIEGHSNARSRHELVVGCDIENTLEADGAKSGEQSTVPELLDKKAAVLCSMDDDSVKVVNVSACSNQDSDTTPCSVTKLDKGTANKFLEKPVNLSTGSNFRVIQWGAVDCNIVRIKQENSQHADSEQDTHHKESGEPSQALKVASNQQIPHQFDSDRDNPCTTRQADWDSCSSIPDLNCLPNMNTDDELEPVENVTFQVNGDGTNPQNNIKSLSASSCKPTLQKEQSKQPEPIELTGGICERKDGNRFQSPNSHSGPSQQSIVEESSMSIDVFKCNLCEFIKNIIKPLWEDGLLSREVHKIIVRKAVEKVTTVLGSKVPLTEIDACRFLLEESQNLEKLVQGYLDLYVGREVLKKKHDR >ORGLA06G0253800.1 pep scaffold:AGI1.1:ADWL01012877.1:2304:4257:-1 gene:ORGLA06G0253800 transcript:ORGLA06G0253800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLCVLPMSVISSWKKIKAMGVTNQLLANALRTSSKLVVSDDGKKVRRAQPFTERHKEELQSRMVIAENLPEDSTRNSLEKIFGIIGSVKNIRICHPQEPSSARSSKSDALISNKLHALIEYETSQQADRAVDKLNDERNWRKGLRVRPVLRRSPKSAIRLKRPDFDHLMISDDDHSPQSQASSDSPMADHLPDHHQEDQHGKKSWGRGRGSRPHAAAGGAPQAAAAAAGHLDSLMMMSPRHAPQGPRMPDGTRGFTMGRGRPSPAAVLRSSPARAVAAPAPAAVMI >ORGLA06G0253700.1 pep scaffold:AGI1.1:ADWL01012874.1:1916:2263:-1 gene:ORGLA06G0253700 transcript:ORGLA06G0253700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPVEVGAQGTIGSLVCREVEYFRRMEVAVVSHDHGKNMSSSSKQASRRRHRQRGEPQDQEQGPAAVEGGRGRRAYLFLPSICSSAEVAEATGAARVRYQHLGQDEGHSLPQ >ORGLA06G0253600.1 pep scaffold:AGI1.1:ADWL01012873.1:35:1001:-1 gene:ORGLA06G0253600 transcript:ORGLA06G0253600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGARCEGHKDLSADAQESTYTVVSSVVRNFSADFSWWSIMGNPMKQTIPNTCTILACAVCIEALHRLEWERLHGPGTFLCRAAAPRKLRRACIRDDILHPEEGVESKKMVLLLKKIKGMGGIRTTNAPPPVPFLLPLKSWRMYRQKGSLTRERAVHLLRTGGPYIGIIRVSLLYHFIDASVNDELVYRSVPPELRTAADVWLIDAFVAGRATDNDICDLISETNGNHVIVCYGYRHRGGELQILILDNHAQTGPSRWIGFEELEKVYVLRVDPLPLDLDQLNPLPVYPISGC >ORGLA06G0253500.1 pep scaffold:AGI1.1:ADWL01012872.1:192:2674:-1 gene:ORGLA06G0253500 transcript:ORGLA06G0253500.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGWLHECAXWDADGQRLLVSNFFGAGVSELRTKTKGKEEEEERVVLADPDVAGRVTLGLTVDAPRGRLLIVYADRIPRFGYSAVAVYELTSWRRLFLTRLDGPGNVHEYMVVGCTSVWVLWDKRDMMKSSSIFSGLKPKLXFAIXIHXSQKLLFLPFVTKHQLPSLATPVCIXRKFYXQNXTLPDSPSSRVRILKSTTNQXGEAASSHSSSNPEVAFFLAXHQLHARWLPLPLGLXEVRGLLCSSSHDTGVDAKNTYWPEKSVXLLCRSRILLLGSRACQLIXSCNQXEQKTKKKGRLNPXTMITTGRSGHLLYFAVKTCHPQSLDQCGAHVVRNTGIFFSVRHHRSXSCRLTSCLMHPFCGPATHLYDYEDAMCLRTEVCTXNNEXVISDRYLGEEAWXRIASPLTTRIEARFHDTPSISQCKSFXHIPHSYXCXXIXTYIYLSRFININMNVGNDRMTYIVKRRKXVILMMIFHSLEIRYDNSACXLTCYCTQPLKSGKSSNFHGTMPTVSTAKFYPYKANQAIIKFSLLSLTDISILLTLGSXRRLFLVRLDRPGDSTLADDVAVDDEGNAYVTDAKGNKIWKVSPEGEPLSVIKNATFSQRPGWINNLVGLNGIVYHPSGYLLVIHTSGGDLFKVDPKTGSVRVVEVKGSLKAGDGLALLSPARLWSPPAW >ORGLA06G0253400.1 pep scaffold:AGI1.1:ADWL01012871.1:15671:16210:1 gene:ORGLA06G0253400 transcript:ORGLA06G0253400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVAGTAAVRRSSPMPPPPPALQATAEGRGERGAAPERRDATDGERGGAATAARCPLAPLDSRRLRWPPRFCSSSLDRPRSERRGKGKGGGLAERPLPKMREADVARGEERPRPWRGGSGRPFPALPTPPLLRGRVDLAAPPPPSPQCRLAALARCFCAMGKGGSCAMGKRERIEKGK >ORGLA06G0253300.1 pep scaffold:AGI1.1:ADWL01012871.1:11460:14166:-1 gene:ORGLA06G0253300 transcript:ORGLA06G0253300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGERPKLVRGVRQESRRFRLLVIVVGFFLVSLTFVFVSKPDAILFSLNGKLPVEQAPTSILIQQKVNEPSGESRKTSTDALRGDPKVVDDEADAKPKGQLINFLFFSPSQFYLTDTNGVRSFLLLLLPSLPYLLLGLLIARVVRPRLISTGTGGGSEEEEGRVLSEPDPTSGMMEPTHNKDGNGHKSHQETLGGGGDGESKGNDEEGEHAEQKHKVTLPTVSNYTIHDAAEDTENAKQEGMNNVQQGSKPLCDFSNFRANVCEMRGDVRIHPTATSVLFMEPEGSQRDEVWKIKPYPRKGDEFCLSHITEVTVKSSKVAPECTKYHDVPAVIFSLTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMALWWTIKYQTVLQKLSKYPVIDFSKDDQVHCFKHAIVGLHAYMEFTIDSTKAPHNYSMADFNRFMRGAYSLGRDSVTVLGEYPKIKPRLLIIKRHRTRMFLNLDEIISMAEELGFEVVIDEANVSSDISRFARLVNSVDVMMGVHGAGLTNCVFLPQHATLIQIVPWGGLDWISRTDFGNPAELMGLRYKQYSIGVDESSLTDQYPRDHEIFKNPISFHQRGFDFIRQTFMDKQNVKLDCKRFRPILLEALDNLNP >ORGLA06G0253200.1 pep scaffold:AGI1.1:ADWL01012870.1:6101:6748:1 gene:ORGLA06G0253200 transcript:ORGLA06G0253200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPRGFPIHPQPYHLHPTAGGLGEGRMRGGGRRRPGAKLSTDPQSVAARERRHRISDRFRVLRSLVPGGSKMDTVSMLEQAIHYVKFLKAQVTLHQAALVQHEEGCQHADVAAAFAAADADLALELNHRHGGAGDDDAGMTTLEMAPMQEAVGYGDGPAHQMMQQALDPAGQLMMGGAHQLPPLPCCVFVQETDPSCYSVCNVHGEESGAQGSY >ORGLA06G0253100.1 pep scaffold:AGI1.1:ADWL01012869.1:2962:5680:-1 gene:ORGLA06G0253100 transcript:ORGLA06G0253100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRAGEASSSSPGTMSKAMQCFGFAGWEREERRGRSSAVAAVAATTRSLSARSNSSTSTDRDARRSGSECSLNVSSEISAESFGRYRQLSLPQRASNNLRIFTFQELKSATRGFSRSLVLGEGGFGCVYRGTIRSVLEPRRSVEVAIKQLGRKGLQGHKEWVTEVNVLGVVDHPNLVKLIGYCAEDDERGMQLLLVYEFMPNGSLADHLSSRSPRPASWAMRLRVALDTARGLKYLHEESEIKIIFRDLKPSNILIDENWNAKLSDFGLARLVSQDGSHVSTAVVGTIGYAAPEYIHTGRLSSKNDIWSYGVVLYELLTGRRPLDRNRPRGEQNLIEWVKPYSTDSKKLEIIMDPRLEGSYSLKSAAKLASVANKCLVRHARHRPKMSEVLEMVQKIVDSTDLGTPEHPLISKSRELTRDEKKRKGLDLKRRFADIKAGGDQRWFTWQRWRPKLVRTQ >ORGLA06G0253000.1 pep scaffold:AGI1.1:ADWL01012869.1:103:1584:1 gene:ORGLA06G0253000 transcript:ORGLA06G0253000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPHSPPLPPHLLRHLDGRALSTPLLDPLIRAASASASAPHHAFSLFLLLLRSALRPSHLTFPFLARAAARLASPRLARAVHAQPLRRGLLPQDLHVSNSLVHMYAACALPGLARRLFDEIPRPNHVSWNALLDGYAKCRDLPAARRVFARMPQRDVVSWSAMIDGCVKCGEHREALAVFEMMEATAARHDGVRANDVTMVSVLGACAHLGDLVRGRKMHRYLEEHGFPLNIRLATSLVDMYAKCGAIVEALEVFHAVPVESTDVLIWNAVIGGLAVHGMSRESLQMFQKMEHAGVVPDEITYLCLLSACVHGGLVDEAWRFFRSLEAQRLRPHVEHYACLVDVLGRAGRLEEAYGVVKSMPMKPSVSVLGALLNACHIHGWVELGEAVGRQLVHLQPDHDGRYIGLSNIYAVARRWQEAKKARKVMEERGVKKVPGFSEIDVGRGLCRFIAQDKTHPGSAEIYALLKLIAMDMKMKDDATVPDYTCMYC >ORGLA06G0252900.1 pep scaffold:AGI1.1:ADWL01012868.1:7590:8045:-1 gene:ORGLA06G0252900 transcript:ORGLA06G0252900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLALFILLAAGVAAAAATHPGDAVAMRSLANTTGAAKTLQWGASSPDPXGGTWVGVTCNAEGRVTAINASRGGLTGHLVGADLSTLASLSDLDLSFNALRDDLPVLPQPLGGLRALDLRSNSFFAITDGFFAAFPALETSTSTTTRCRP >ORGLA06G0252800.1 pep scaffold:AGI1.1:ADWL01012868.1:6316:6582:1 gene:ORGLA06G0252800 transcript:ORGLA06G0252800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRIEMSSNSCSIIRSRWQQPWHMSPLGFDWRGSSSRVEIPPGTVGSAVLDLQYANMIITTERQLLETEAEERWSGTTRRRALHAML >ORGLA06G0252700.1 pep scaffold:AGI1.1:ADWL01012866.1:1370:3148:1 gene:ORGLA06G0252700 transcript:ORGLA06G0252700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRTLAALLFSLAAIHGIVVVAVDAAAAAVPRGGSARRIPAVFAFGDSTLDAGNNNRLVTAVRADHPPYGQDFPGGAPTGRFCDGKIMSDFLVEALGIKGLLPAYHSGSEVLSDADAATGVSFASGGSGLDDRTATNAGVATMASQIADFSELVGRMGAGKAGDVVNKSLFLVSAGTNDMIMNYYLLPSKYTLDQYHALLIGKLRSYIQSLYNLGARRLLVAGLPPVGCLPVQMTLAALRQPPRPQGCIAEQNAEAEKYNAKLRKMLTKFQSTSPGAKAVYADIYTPLTDMVDHPQKYGFAETGKGCCGTGLLEMGPLCTDLMPTCTTPAQFMFWDSVHPTQATYKAVADHFLRTNMLQFDD >ORGLA06G0252600.1 pep scaffold:AGI1.1:ADWL01012865.1:338:7022:-1 gene:ORGLA06G0252600 transcript:ORGLA06G0252600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:I1Q5W3] HKAGAKVLLVTSWTPVLQSVYPVLKSTETFADYMSSLLQVKVVPVNGVPGLTSFNPERWMQNDIILFENLFNFKGENANCNDFSQKLASGAAIFVNDSFSLSHKMLASTVGITRFCHASLAGFHFEEELMQLRKITDTTRRPFIAIIGGSNFLGKAPALNLLASLCDGLFFVGKLSLQIMNGFGIPVPSCFVEKNSTKEVLQLIQTAHNRNIPIYYPTDTWCLNNKNNNHEKLEILDSAELFPGWTPADIGPSTLEKISSLIPLYKKVLWIGPTGFDLTEEFSGGAAQLGRILDKASHDSCDVILVGSAACKAVKGISGSSSKYTTFKNASVVLEFLKGKILPGVAALDKSYPYQIPWNAIFSDSSQPLVVDIGSGNGLFLFQMARNWEGSNFLGLEMNKKLVVRCLRDVASVDKRNLYFVSTNATSTFRSIVSSYPGQLALVTIQCPNPDFNIEQNRWRMVRRMLVEAIADLLQPNGKVYLQSDVESVLLGMKEQFMTHGKGQLVVDDDGGGDHQMDNPFGAASDWERHVLARGAPMYRTMLRKV >ORGLA06G0252500.1 pep scaffold:AGI1.1:Oglab06_unplaced027:8453:8833:1 gene:ORGLA06G0252500 transcript:ORGLA06G0252500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGPLMMVTFFFDLTWRSNSKHCTSNFPATITRLAGMSTVTLSTPGTATAGEDSDVEAPLPLPPAPPATAAFSAAASSSFSFTEETDGGGHRRPAAAHVVSDQ >ORGLA06G0252400.1 pep scaffold:AGI1.1:Oglab06_unplaced027:4577:5964:-1 gene:ORGLA06G0252400 transcript:ORGLA06G0252400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKDKASGKDAGEKKDAAGGGEKAAAAAPGPIVLKVELHCAGCASKVKKAIKRAPGVETVVTDTAGNKVVVTGAADAAELKERIEARTKKAVQIVSAGAGPPPKKDKEEKKDKDKKGGGDDKKADKEKGGGGGDKKAEKEKGGGDKPKEEKKAKEPKEETVTLKIRLHCEGCIDRIKRRIYKIKGVKDVAVDAAKDLVKVTGTMDAAALPGYLKDKLSRQVEVVAPGKKDGAGGGDKKDGAGGDKKDKKEGGGGGGDKKDAGGEKTDKDKSAAASASVAPVPLADAGMFQMPPQYGFNPYHVHPGAAYYGGAPPPNPAAFYHHPNAAAAAAYQPYPYNVHAPQMFSDENPNACSVM >ORGLA06G0252300.1 pep scaffold:AGI1.1:ADWL01012862.1:1447:6258:-1 gene:ORGLA06G0252300 transcript:ORGLA06G0252300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1PCV2] MEERAGARRRPPMAVPPLLLFLLLLSSFSSCAAAASGAPVGEDYVRPPAAARRCGLHHRKALLSLFPWSKKKDSSSASDPQQVHISLAGEKHMRVTFVTDDNSVPSVVDYGTEAGTYTSTSQGESTSYSYLMYSSGKIHHVVIGPLNDNTVYYYRCGGHGPEFQFKTPPSQFPLSLAVVGDLGQTSWTTSTLNHIKQCAHDMLLLPGDLSYADYMQHLWDSFGTLVEPLASTRPWMVTEGNHEKERIPFFKSGFQSYNARWKMPYEESESTSNLYYSFEVAGVHAIMLGSYTDYDESSDQYAWLKADLAKVDRKRTPWLIVLLHAPWYNSNWAHQGEGDSMMAAMEPLLYAAHVDMVIAGHVHAYERAERVYKGGLDPCGAVHITIGDGGNREGLAHRYRNPKPAWSVFREASFGHGELKIVNATHAHWTWHRNDDEEPVRTDDVWITSLAGSGCIQDGSHEYRKILMCP >ORGLA06G0252200.1 pep scaffold:AGI1.1:ADWL01012859.1:450:2702:1 gene:ORGLA06G0252200 transcript:ORGLA06G0252200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIQLVLTAGIVDSLLDHAEVAQVDCIGRPDDCYCAATVDYGVAACICVLLATPLGAFVPSCPEVWQTLFDVSSFTVRLHRLFGVLFLNDYRDCVTVFVSSAFSRTLVHDTLPCVHDHSTAPHARPAARLPRHQLPDFGYNNHGYSTHGFIDHGSLAPFALATSTLAQRGIIRIEHSCRFLLQSKCPRCSRLDCGGMLEYMVVRDVLG >ORGLA06G0252100.1 pep scaffold:AGI1.1:ADWL01012858.1:3549:5840:-1 gene:ORGLA06G0252100 transcript:ORGLA06G0252100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDWSRRLKELATGGEEESGSKDRANVRRNVEVATGMRGSVWRYLGAEGVLSPFLMLAVVWDGYSQWNASEAQRHATNGGRPTWREVARPEATGPWKSKATSGHETEIKAICTCETMEHQGVASLFVQIAPAMQLYKFQGGSGCSQNVVACDVPSNMQT >ORGLA06G0252000.1 pep scaffold:AGI1.1:ADWL01012858.1:680:2182:1 gene:ORGLA06G0252000 transcript:ORGLA06G0252000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQGEAHRREPDQRRPMCGVCTKPLRLCLCGRLRRPPLDTAVGVTVLQHLMEVGHPLNSTRVARLGLRNLAVALVGDVNHRASFHLRTLDAAAAAGGGNHDRPDGPGEIQVLEGDGFGGGTGGPAGPVQCEGETLDSAICSNGISGESGGAVSCARRDYVTKGINASSDLGVKTANIRGSSDIGGEKLDLVDIPDRIGFDLDGEICSVKSDLGGGEELGFQSMKRNGYCSDSERLGSSANQTGNSFVDGIHGENHHSIGEVNGNLPRHLVENASEFQMATAQNCNGIPRENVGTGAAIGQGWTVKNMDKCSITYTEKELKIEIERGVKPKIRWLSRGPLGQSAVSNGFTVTKIQMKKSKQTGEVSVFEEFSITIPPKSALLFPCQRAISIDASDCQLQHLIVLDGTWAKAQRMYHENPWLQLLPHVKLESDGVSLYSEVRHEPRAGCLSTIESIVVAMRKLGEDAKGLDDLLDVFESMIADQRRCKDENWKQKLESKT >ORGLA06G0251900.1 pep scaffold:AGI1.1:ADWL01012854.1:3857:4438:-1 gene:ORGLA06G0251900 transcript:ORGLA06G0251900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VALADGDGEAAAREERVPREDDDALAPGEGDRGAANVGGLYELYATLLPCGEGGGGWSGPSSSYAVAGRAVLVDAVLRVVGELAELPHPLQDEVVHGAVVSLGLHAVEGVHGGQLGDLATDAVELPVFLGQQLANVAYEVLSRVLHASISLAWLAGS >ORGLA06G0251800.1 pep scaffold:AGI1.1:ADWL01012851.1:398:1775:-1 gene:ORGLA06G0251800 transcript:ORGLA06G0251800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLGGDGDEVEKAPTLSPAAVACARGWMRRRATATDCGNGERRARRGCPPLESGDDGRPPPGSSGGGRPSPDLGRIHRRRWEESSVRQATLQSSPLPPPVSSCPLPSSWLSSTSSPRGRRRPPMDVDSDLADKGKPTQMDLEDQLTIMGEAATPARQEDVVQVAGHASSTA >ORGLA06G0251700.1 pep scaffold:AGI1.1:ADWL01012850.1:6750:10070:-1 gene:ORGLA06G0251700 transcript:ORGLA06G0251700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVPEQLSHRSSCNLRAETHLHPVAGLPPPPPPLAHLRLTRPPAPPDPPAMGCSSSLPANNAGGVGTISNENSGTDPKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTTVKFEIWDTAGQERYAALAPLYYRGAGAAIVVYDITSSESFNKAQYWVKELQKHGSPDMIMALVGNKADLHDNRSVSSQDAQEYAERNTMFFIETSAKTADNINQLFEEIAKRLPRPTPS >ORGLA06G0251600.1 pep scaffold:AGI1.1:ADWL01012850.1:3564:5639:-1 gene:ORGLA06G0251600 transcript:ORGLA06G0251600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDPSMHLKPHSIVSFLVLLLLFHVAAAGGDGDQFRYDGFAGAALDLDGMAVVEPDGKLMLTNVTSQMKGHAFHPAPLRFHHPPPANGTAAAARSFSTAFVFAIAADYVTVSGNGLAFFVAPSKNMSTASPSQFLGLFNSENNGNASNRVFAVELDTILNPEFRDINSNHVGVDVNGLVSVAAEPAGYYDDATGGAFKNLTLFSGAAMKVWVDYDGRAAVVNVTLAPVEVAKPRRPLISVAVDLSPVVNGTAYVGLSSSTGPFHTRHYVLGWSFAMDGPAPPLDYAKLPKMPVVSVKRRSKALDVVIPVAAPLLALAVVAGVSFLVWRRLRYAELREDWEVEFGPHRFAYKDLFVATAGFDGKRLLGVGGFGRVYRGVLPASGTEVAVKIVSHDAKQGMRQFVAEVVSIGRLRHRNVVPLLGYCRRRGELLLVYDYMPNGSLDRWLHDHGAPPLGWAQRLHAVRGVAAGLLYLHEDWEQVVVHRDVKASNVLLDGEMNARLGDFGLARLYDRGADPQTTRVVGTMGYLAPELAHTRRVTPATDVFAFGSFVLEVACGRRPIERGGAMTAAADEDGQLVLADWVLDRWHKGDIAAAADARLCGDYDAKEAALVLKLGLLCSHPVAAARPTMRQVVHFLDGDAPLPEPEPTYRSFTTLAMMQNADGFDSCAVSYPSTATSIDGASSVLSGGR >ORGLA06G0251500.1 pep scaffold:AGI1.1:ADWL01012850.1:370:2478:-1 gene:ORGLA06G0251500 transcript:ORGLA06G0251500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPRDKWRGESERAFFAMVSLPCLVVLLLAAAVGGGGGGGVEFVYDGFGGAALALDGMATVTPGGLLLLTNDTDMNKGHAFHPDPVRFVGGGGGGGGGVVASFSTTFVFAIVSEFLDLSTSGFAFLVAPSRDLSAAMPQQYLGMFNASGNGDARNRIFAVEFDTVRNPEFADINNNHVGVDVNSLNSSAAATAGYYDDATAAFQNLSLISRQPMQVWVDYDAAAAEVTVAMAPARRPRPKKPLLSTAVNLSTVVADAAYVGFSSASSIVLCKHYVLSWSFRLGGGGAAPALDYAKLPKLPRIGPKPRSKALTVALPIVTTAIVLTAVAVGFLLLRQRLRYAELREDWEVEFGPHRFSFKDLYDATGGFKDKRLLGAGGFGRVYKGVLPRSRTEVAVKRVSHESRQGMREFIAEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLHGCDEKPILDWAQRIYIIKGVASGLLYMHEDWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPEMVRSGKATTRSDVFAFGAFLLEVTCGRRPIEEEEEVAGAGADDDDRFVLVDWVLGHWREGAITDAVDAKLGGEYDAAEAELVLRLGLTCLHPSPAARPSMRQVMQYLDGSAPLPELPPTYVTFNMLAAMDTHQNVFGAWSVRRSSAMSVATVSDIGLSGGR >ORGLA06G0251400.1 pep scaffold:AGI1.1:Oglab06_unplaced010:22058:22393:1 gene:ORGLA06G0251400 transcript:ORGLA06G0251400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVVGNSHGRKRGHGEKGGKGKGSIIFKGKSKGKPRGKGELKKVTGESSREKQDNCYRCGGRGHWSRNCHVPKHLVELYQQSMNEKKSQHESHFTIEPEAQIKKHDDMLINV >ORGLA06G0251300.1 pep scaffold:AGI1.1:Oglab06_unplaced009:9235:9762:1 gene:ORGLA06G0251300 transcript:ORGLA06G0251300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDNAPQCGKCSRMMENYDYTNSNCRDCTCDKCHFLLAGTVGYSCPYASCKYKIHKVCPVPASVQGSASQDSGPPCGLCGRLTSIYDYTNSTCSKCYCDIDNCRLLLAGYIVYGCLPCRYAVHKVCPNGAGQQQQQQPPPLRNEVINGAIRGTVSGVIGCIFRGLLAASGASSN >ORGLA06G0251200.1 pep scaffold:AGI1.1:Oglab06_unplaced001:58761:59018:-1 gene:ORGLA06G0251200 transcript:ORGLA06G0251200.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKKKTFSGKQRMMASIAWQRCVVYGLRRLDDVPDNSDEMACDVAGAGDALQAPSSDAPASILLEQPADEFEEDGNIDESERTSVM >ORGLA06G0251100.1 pep scaffold:AGI1.1:Oglab06_unplaced001:52478:54695:1 gene:ORGLA06G0251100 transcript:ORGLA06G0251100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASYPNIVAPFPCHRGTWAVVEVLTGQNTGAAPHTTAPAMLARPFSVGCPPXTPWAPPPFSSPLPFSPKHQPELSSSSSPPLSPPHLPTSNPLHLNSFFAGFFVEIEEKVLHVCNVLIGVTIFLTLYVMDRIVRVYYGGRVVDPYVGAHVEFEDMSLKTILFSTHPTLDELRSRVKEVLGWTEDNVEIHFYGRYDVGQGHKYILNVIGGASKTELVREDLEGEGDKKRPLLGNDLGEAGPSKRHCGSDDVDAAREMKRELVQEGLDLSEHLSESVHWSLYGDNPEYPTEVAGQYMNPDDYFDVELSGGHDSVSVEVNREEVDEEASVEQYDVEFAEDSDDDRPFPPLTNNDKLALEEYRAFEKVFGRKPDIPEFRDLTHAHGAILDGGINLDQLLEPFQVDGLRKCLEFPSMVALKLWLQEYAIVHHRPYRVVNSAANRRYTVKCENPRCKWKVHATKRSSGTWRISRVGKEHSCATAEGSGSHRQLTSKFIANRLCNAIKLQPKLSASTLALYIF >ORGLA06G0251000.1 pep scaffold:AGI1.1:Oglab06_unplaced001:38491:38853:1 gene:ORGLA06G0251000 transcript:ORGLA06G0251000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISPVRGDENVGVGKNSDTNQSEGEDVRADEVEVLVMMSVTIIHQTVEKISKLE >ORGLA06G0250900.1 pep scaffold:AGI1.1:Oglab06_unplaced001:17029:20022:1 gene:ORGLA06G0250900 transcript:ORGLA06G0250900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGERPKLVRGLRQESRRFRLLVIVVGFFLVSLTFVFVSKPDAILFGLNGKLPVEQAPTSILIQQKVNEPSGESRKTSTDALRGDPEVVDDEADVKLKGTGGAGEKEGRVLSEPDPTSEMMEPTHNKEGNDHKSQQETLGGGGDGESKRNDEEREHAEQKHKVTLPTVSNYTIHDAAEDTENAKQEGMNNVQQGSKPLCDFSNFTANVCEMRGDVRIHPTATSVLFMEPEGSQRDEVWKIKPYPRKGDEFCLSHITEVTVKSSKVAPECTKYHDVPAVIFSLTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMAFWWTIKYQTVLQKLSKYPVIDFSKDDQVHCFKHAIVGLHAYMEFTIDSTKAPHNYSMADFNRFMRGAYSLGRDSVTVLGEYPKIKPRLFIIKRHRTRMFLNLDEIISMAEELGFEVVIDEANVSSDISWFARLVNSVDVMMGVHGAGLTNCVFLPQNATLIQIVPWGGLDWISRTDFGNPAELMGLRYKQYSIDVDESSLTDQYPRDHEIFNNPISFHQRGFDFIRQTFMDKQNVKLDCKRFRPILLEALDNLNP >ORGLA05G0248600.1 pep scaffold:AGI1.1:Oglab05_unplaced057:6438:7493:1 gene:ORGLA05G0248600 transcript:ORGLA05G0248600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIHADPVLEADQFDRLPDSLVLVILNNVEDVRSLGRCSAVSKRFYGLVPLVHDVYVKIDRVVTVDGEAEDALNLSSPKPRNILSHFLKMMLFTIIKPFHSMRGPNGAGRPLFPQLAQHSPAQVLRNFTHIRNLRVELPSGDVGTEEGVLLKWRAEYGSTLQNCVILGGTQVDRKPVGAEHELYSEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKDHPTLTSLVLTDADGQGTLSMGAEQLKEFRENQLSASACSNRTQVPACNMKLKYAPYLELPGGIALQGATLVAIKPSPEGSNGGHTSRKETDAFVSGAFDGPFKFAVKALMKRRTYLLEMNGF >ORGLA05G0248500.1 pep scaffold:AGI1.1:ADWL01011204.1:3570:3932:1 gene:ORGLA05G0248500 transcript:ORGLA05G0248500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEKEAMVWVRILEEGVFRFDASEAARAAAGPSLSFAVPRRREEPRAGGDRPAIVPVCEVVGDVQRVVVEVWPYGKLIRAGLICGEAEAHARFSCSCRGSERQDFSDARGSSIEPVTY >ORGLA05G0248400.1 pep scaffold:AGI1.1:ADWL01011201.1:2891:4148:1 gene:ORGLA05G0248400 transcript:ORGLA05G0248400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAIAALPPELVSEILLRLRPDEPEHLFRASLVCKAWLRAICDPVFLRRYRAFHGSPPLLGVLHRLRVIDGDPAPRIARTTAAPLSPDPAFLRALDCRHGRVLLHASNHGLIVWDPVTGEQHRLPEAGIPWLIYTAAVFCAVGGCDHLDCHGGPFRVVFVATDDDDELVKGSVYSSETGVWSTPATLDDGYQSWEERWQAARSRGEYYRTPYVHPKRCALVGDEIYFTLRNGNTIIEYNWGKNRLSMFDPPTSDMYYIALTVMENGLLGFAGIEGSSLYVWSRKVNPQGAAEWVICRVIELEKTIPVTDLSDGACVVGSAEGLGVIFVSSGAGLFTIELKSKRVKKVEEPGVYFSVLPYMSFYTPENDIWHWKSEE >ORGLA05G0248300.1 pep scaffold:AGI1.1:ADWL01011201.1:1379:1627:1 gene:ORGLA05G0248300 transcript:ORGLA05G0248300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIGASDDNGRPIRWEASAVGGREASEAGVGNGKAVAACNRRRMTHVTTGRPMRLVAAEAVMVNDNRRSSRRRHETMRGS >ORGLA05G0248200.1 pep scaffold:AGI1.1:ADWL01011200.1:402:1619:1 gene:ORGLA05G0248200 transcript:ORGLA05G0248200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDCVFHLVTQLKCGGFVIGLHMCHCIFDAFGLLQFIKTIAGFAGGEPIPSTMPVWGRESFFAARTPPSFTHVYPAYKPILDGSSAGDGDGDNDVMLATPPETMVMKYFSFGPKEISALRSLIPAHLTRSTTAFELLTAVMWRCRTSALGYEPDRRVRLMFTLNLRGRWWKREEAAVPPGYYGNAHLSPMVMATVGELARQPLADTVELMCRAKADTTRERVESMVDLLATWRERPASAFAMDRTYEVSDTKWVGGGGGALRCGVAEMVGGGTPFAGDLASKLISYHMKCKNENGEDSIVVSMLLPEPAMERFTKEMSFWLKSY >ORGLA05G0248100.1 pep scaffold:AGI1.1:ADWL01011198.1:1050:1955:1 gene:ORGLA05G0248100 transcript:ORGLA05G0248100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLALPAAIFCVVVVAASLGGAAATGKTGRITVYWGQTSSEGGLREACGTGLYSTVIISFLTDFGGGNYKLNLAGHAWSAVGPDVKYCQSKSVLVLLSIGGGVGRYSLASQADAKAVADHLWNFYLGGTSTKSRPFGDAVLDGVDFDIELGSNAHYGDLARYLKAYSGRKPGGRKVWLTAAPQCPFPDRMLGEALRTGLFDRVHVQFYNNPVCNYRASNVAAFTSAWNKWAASLPGSSVYLGLPAASGAANNGYVAPATLKQKVLPIVQKSKNYGGIMLWSRYWDNQTGYSKSVKSAV >ORGLA05G0248000.1 pep scaffold:AGI1.1:Oglab05_unplaced046:33825:36667:-1 gene:ORGLA05G0248000 transcript:ORGLA05G0248000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVAEVAGIAAACVALLAAVAALWCAARRMARRRGRNSDETGSSDPSTLVEWGKGGRSSSAPEHQGARQFSLDELAQATKSFSEANLVGLGSFGLVYKGLLLDGSVVAIKKRIGAPRQEFAEEVRKLSEISHRNIVTLIGYCQEGGLQMLVYEYLPNGSVSRHLYDTGKSSMTRLEFKQRLSIAIGAAKGLNHLHTLVPPLIHKDFKTSNVLVDENFIAKVADAGLVRLLRGYEDVGPSHGFSSSVYQDPEVQSVLQFSESSDVYSFGVFLLELITGREAACLISPDSRESLAQWIEGHFSSNELIDPRLGANFTSEGMKEFVGLTFQCLTPSSRRRPKMRLVATELDRILETEMSLTTIMGDGTAIITLGSTLFKV >ORGLA05G0247900.1 pep scaffold:AGI1.1:Oglab05_unplaced046:29240:29565:-1 gene:ORGLA05G0247900 transcript:ORGLA05G0247900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRLLPRRLPQLFRQMEQDVETVINVLQPGPIGIVEHKFTDAEIRNAQAVVRRAVENWQKSSTLERNLGSGSFAK >ORGLA05G0247800.1 pep scaffold:AGI1.1:Oglab05_unplaced046:20977:24258:1 gene:ORGLA05G0247800 transcript:ORGLA05G0247800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mov34/MPN/PAD-1 family protein [Source:Projected from Arabidopsis thaliana (AT5G23540) TAIR;Acc:AT5G23540] MERLQRIFGASGMGQPPSDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >ORGLA05G0247700.1 pep scaffold:AGI1.1:Oglab05_unplaced046:10459:15861:-1 gene:ORGLA05G0247700 transcript:ORGLA05G0247700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRRGGRREAEEGVAVAVAGRSRGVAAAAARHEVAGELELASAGGLGGGGGDELVDPDQLTYIHNVFKIDLYSHVLVNLLVTICKDEKLQNILGHFQKEFEGGVSAENLGSQYGGYGSFLPTYQRSPPALSQSGSPAVLPNHGSASRSPYIPLESVQKNHFVKQAIDGRRKNNYCQRTSSENDSNHSQQLLNSGPEQKTAKIRIKVNNKCLERNNAAIYSGLGLDISPSSSIDDSPQRSIEAPESKLFPDESADTIFQIMTCHSVPGGLLLSPLAENVLELRQKSTAVTKKHEAPVYDNDKEELQRNCCHTSSAAPDNNYQLVKKIKLDEQRDHLPEFENSKYRHKNATIMKKGAKPELKDISDDIDSIRAPRCAKTEKHAVGESADFIADTSGRLKEAKNGQFKGKGSTQSSLSIIDVKAANSANDDKHPKGKAKLKVTLVRNAKMESSLDDGFSHKTKSDKCNDQPVTTSSQLQIDPAKKTSLKRDRGKVVCAKDEPSQYKSKELRSLVDAEFMGTTTENVAGNSSELLKGKKVSALQASLFGKKLKIKTHKKPNYDTTRKPNGENEGYVLDHRNGSTYLHTEDKSLKTEKESATSGLTDKDFSGGGNDGDHKISPIVVDKSASMPSRCKNETTEASMAVPASEPVDQWVCCDKCETWRLLPYGMNSDTLPKKWRCSMQSWLPGMNNCKLSEGETTNAIRALYVVPIPENNISLDSRCDTATLVRSNDAAIMSDNLGMPEISKSSKKLHAPRNPDGLDCFPKLKEKQKRIESSDKGEKSPFPQDELWQKIGCIENGRPVVLIMIT >ORGLA05G0247600.1 pep scaffold:AGI1.1:Oglab05_unplaced045:5871:6350:1 gene:ORGLA05G0247600 transcript:ORGLA05G0247600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQMVINLEDQLEKKSKSFSDPSLRYLFLLNNSYFVREDFLEPGNCVYILTLKFMQYQEKYMLASWEPVMCCLQDKMPLWFPKHSLQLARFKSEFQKTCRRQKLWKVPNPRLRQKLRKAIVDKVIIGYKRYLEDHPELEKCSSDLHDMEDMVNVLFEG >ORGLA05G0247500.1 pep scaffold:AGI1.1:ADWL01011190.1:790:1416:1 gene:ORGLA05G0247500 transcript:ORGLA05G0247500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQQIDTQYTRQDKPPDIDIRDKPRQTQYGICGGQQEGSSAISDLAEFGFKEDYPAVDYVIVFQAVKSTTVYLMQATKHMHVYPLNHAKLSLDERDEPD >ORGLA05G0247400.1 pep scaffold:AGI1.1:ADWL01011189.1:15002:16693:1 gene:ORGLA05G0247400 transcript:ORGLA05G0247400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPIIPSSSGSDGEEARTKLGRLNAAVERSWVGRRFKLAPRGSTFTTELRAGTTTFLTMAYILAVNASILSDSGATCTADDCDAPSPACRFPPVDPGYAACVARARRDLIVATAASSVIGSFIMGTFANLPIALAPGMGTNAYFAYTVVGFHGSGTLPYRTALAAVFLEGLIFLFISLVGLRSKLAKFIPKPVRISSSAGIGLFLAFIGLQSSEGVGLVGFSSSTLVTLGACPASQRASVAPVVTFPNGTVALMPGGTVSGGILCLSGRMTSPTFWLAVVGFLIIAFCLIKNVKGAMIYGILFVTFISWPRNTAVTVFPDTPAGDESFGYFKKVFDVHRIQSTAGALDFRGARHGYFWEALFTFLYVDILDTTGGLYSMARFAGFVDDATGDFEGQYFAFMSDATAIVFGSLLGTSPVTAFIESSTGIREGGRTGLTALTAAAYFAAALFVTPLLASIPSWAVGPPLVLVGVMMMRAVAEVDWADMRQAVPAFLTLALMPLTYSIAYGLIGGIASYMLLNSWDWACHAVAVLRSRRRRGARAETRSAAAGDNGEQRKNLEMA >ORGLA05G0247300.1 pep scaffold:AGI1.1:Oglab05_unplaced040:5508:7724:-1 gene:ORGLA05G0247300 transcript:ORGLA05G0247300.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQIGSDRTTHIQILATVGGALLGFQALLGHRRRRSSNKLFLVLLWAAYTVSSNVVSYTVGLVQSVAERDRYSVQQWWAVGLLLLLGSADTMSAFTRGDAEQSKGMMAQHAVQTVLVLWWTITLSVCWLYSIVKMGQRIKAMRMASSSHGLVRAAKVVADYMHDTVDAWGCERCGHGGGDGARDLDSVDMGPYKYLVHGEEGRSTPPSEQTDYRTRVPEDGTVVTIDKIWRSDGELLVSSGDGVGDERRARARALKDTCLSFALFKLLKRRFCGLELELSFAHDFFYTKYPALLPTSAVLHVARFVSLLAFLKLLYDFTYTASYTAKFFKDISAVGIFSSFNDFLFISMILGVEVMQQLSTGYSDWAVVHFVCDYVRRVDKNNKKRHGGGFGFRQAVIKRLAARRARTSRHWQNKLGQYSLLYHSSAGNCLSWLTGRLLEPKVVRLPREVKVAVLRSFKESGGRLAVGRSLDSRLRWACDRLLPPSTQLQSDTHWKTRAHTHTVLVWHIATTMCDHLDDAAAADQNGADRLVATRLSGYCAYLLAFVPEMLPDHSYTATLVLDAAVQEAREHLVDATAMPDKCKKLRDLGESNGGVRDGILMDGARLGSQLMAAASYDSRRRWKLLAEVWAELVLFLAPSDNADAHAESLARGGEFMTHIWALLTHAGILDRDPEAAAPPAGATAV >ORGLA05G0247200.1 pep scaffold:AGI1.1:ADWL01011182.1:1786:4631:1 gene:ORGLA05G0247200 transcript:ORGLA05G0247200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDIEDCIDLFVHHLGSLTGKAGVIKKMAWIIKGLQLSHRISGHIQELKARVMDESDRYRRYDTMNISSMSSEAHLHRDASGSRTRSVDPRLSALYTEAERLVGIDGPKDKIIKWLMDTQGGISQRLRTMAIVGCGGLGKTTLANQVYLEVKNQFDCSAFVTVSQNPDVKHVLAKILSDVSGAIGGALADEHHLINKLREYLQDKRYFLVIDDIWDAQTWRIIECALVKNSQGSRIVTTTRINEIAKSCCCSYGDQVYEMKALCATDSKRLFFRRIFNSDERCPPQLREAANNILRKCGGLPLAIISISSLLATKPKSLDQWDKVKSRINYTQENSPDIETMAWVLSLSYFDLPHHLKTCLMYLSIFPEDYVIKKERLIGRWIAEGFIHAKQGESLYEIGENYFNELINRSLLQPVDIEDDGQVHACRVHDTILDFVVSRSNEENFVTMVGASDLTSTPTGKIRRLSFHKNSEGSVTMPTYLLRSHVPSLTTFLHAGQVPPLLGFYGLRVLDLENCSGLKNHDLKSIGRLIQLRYLNIKGTDISDLPCQIRELQYLETLDIRSTHVKELPSAIVQLQRLAHLIVDCHVKLPDGIGNMQALEELTGFSVFMYPSTFLQEIGKISSLRVLRVVWNYVDFQGNAETYKENLAISLTKLGTCYLESLSLDIHGHDEEDDFSLHLWTLAPCRLRKLYIGRWHPISRIPNWTESLANLQYLHIYVKRINQEDLRMLGSIPSLLTLYLFSDEAPKEKLTISSQGFQSLTFFKIHCYHMGLVFEAGSMAKLEYLHILISAFQVKSWDGSFDFGIQHLYCLTKVYAYINCYGLTAEEAEAAVNAIMISVDTIPNCPKLQIDRRYAPL >ORGLA05G0247100.1 pep scaffold:AGI1.1:ADWL01011180.1:515:4811:-1 gene:ORGLA05G0247100 transcript:ORGLA05G0247100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELHDCVVQLRSNPQRCRDTVYVGCGAGFGGDRPMAALKLLERVKELNYLVLECLAERTLVDRYQIMMSGGKGYDPRVKEWLSVLLPLALDRGVCIITNMGAVDPLGAQEEVLELASNLGLEITVAVAYETSSGTFGNSVFSNESTGVRQGGSTYLGVASIVHCLENGKPQVVITSRVADAALFLAPMVYELGWNWNDFEELSQGTLASHLLECGCQLTGGYFMHPGDAYRDFSFEQLLDLSLPYAEVSYKGEVFVGKAEGSGGLLSYSTCAEQLLYEVGDPANYITPDLVVDFRDVKFQQISKDKVQCKGAKPSNPCWPEKLLQLLPTESGWKGWGEISYGGQECLKRAHAAEYLVRSWMDETYPGIEGKIISYIIGYDSLKVIGDNKDSSAKQVMDVRFRMDGLFELEEHAIKFVEEFIALYTNGPAGGGGISTGQKKEITLQKILVDREKIFWQVNMKKSSIPSPQNQATNADKGQMCDQQQHKCPRRCAMGTLPLNTNMDTLLSAVPSPSGTKIPLYHVAHSRAGDKGNDLNFSIIPHFPDDIGRLRAVITRDWVKNAVSPLLDSSSFPADRANQVWYDPLENVSIEIYDVPGISSLNVVVRNILDGGVNSSRRIDRHGKTLSDLILCQNVVLPP >ORGLA05G0247000.1 pep scaffold:AGI1.1:Oglab05_unplaced036:18977:22865:1 gene:ORGLA05G0247000 transcript:ORGLA05G0247000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGTARDLTEEERKAHKEVRWDDPDVCAAFMARFCPHDLFVNTKSNLGPCMKIHDLKLKESFESSPRRETHMRRFEAELAQQCEKLVIDLDRKIRRGRERLAQDVAVPPPVIGKTSEQLSIIEEKVKKLLEQIEELGEAGKVDEAEALMRKVELLNAEKTALTNQADNKVAMLPQEKKMELCEICGSFLVADDVLERTQSHVTGKQHIGYGLVRDFLAEHKAAKEKARDEERIAREKKAEERRKQREKEYDVGGRDGGSRREKSGDRDYDRDRYYDRNRGRERSHDHRDRGSEYRSSSYRNGRDSERDRHRYRSDDMRKDRSRVRSRSRSPSRHGPDQ >ORGLA05G0246900.1 pep scaffold:AGI1.1:Oglab05_unplaced036:13064:16775:1 gene:ORGLA05G0246900 transcript:ORGLA05G0246900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XASNLLTGXLGGTVRPNFLGILLMVFMTRRKSNMCNPXGSCFLQTTSFPRSLMTIMSYYAFXKXEDLTXXRQKKCFXICXNGVKNVLLMPLQRYFKLKSTMLVKRCYPHGFHGVDRFGRPLYIERIGLVDLNKLMQVSSTDRYVKYHISEQEKTLSLRYPACSLVAKKHIGSTTAIFDVKGLGMNNFSKSGRDLFIEIQKIDSNYYPETLNQLYIINAGAGFRALWKVLKACMEARTLAKIQVLGTNYLSTILEAVDPSNLPDFLGGTCTCSATGGCLLQDKGPWTDQEISQASKGVFGKGQKSFDEISTTVACENFPGHQEPSVGKLHPISGWKRTLGMLLKDNQVGDTNENIQQNKVNEQISEKIQELENCSAQTQETLHALLQKQNELANHIEQLRKLLREAANADNKANVLILK >ORGLA05G0246800.1 pep scaffold:AGI1.1:Oglab05_unplaced036:2928:8116:-1 gene:ORGLA05G0246800 transcript:ORGLA05G0246800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWGCLSSLCRPRRGGRAAAAPLPQPDPPRLESKEVEEHGASDKVVMENILSNNDFSEGLHLWHPNGCHGFVAVEGSGYHHGIRPHSGSNYAVLTRRTHNWQGLEQDITEKVTVGTEYIVAAHVRVHGELNEPVGIQATLKLEGDGSSTNYQSVARISASKDCWEKLEGSFELKTLPRRLVFYIEGPPPGVDLLIDSVTISYKKTERAASKLVSGTENIISNYDFSEGLHLWNPICCHAYVASQWSGFLDGIRGSSGENYAVVSKRTESWQGLEQDITDKVSAGTAYAVSAYVRVDGNIHTKVEVKATLRLHNTDDSTHYSPVGSLLASKEKWEKMEGSFCLTNMPKRVVFYLEGPPAGVDLIIDSVNITCSGYQQLKEVKVPSGVDTIVKNPHFDEGLNNWSGRGCNICRHELTAYGNVKPLNGSYFASATGRVHNWNGIQQDITGRVQRKVLYEISSAVRIFGSANDTEVRVTLWVQEYGRERYVSLAKNPASDKQWTHLKGKFLLHAPFSKAVIFVEGPPAGIDILVDGLVLSPARKLHAAPRPRIENVSYGANVIHNSAFSHGLSGWSPMGSCRLSIHTESPHMLSAILKDPSAKQHIRGSYILATNRTDVWMGPSQLITDKLRLHTTYRVSAWVRAGSGGHGRYHVNVCLAVDHQWVNGGQVEADGDQWYELKGAFKLEKKPSKVTAYVQGPPPGVDLRVMGFQIYAVDRKARFEYLKEKTDKVRKRDVILKFQGSDAANLFGSSIKIQQTENSFPFGSCIGRSNIENEDLADFFVKNFNWAVFENELKWYWTEAEQGRLNYKDSDELLEFCRKHNIQVRGHCLFWEVEDSVQPWIRSLHGHHLMAAIQNRLQSLLSRYKGQFKHHDVNNEMLHGSFYQDRLGNDIRAHMFREAHKLDPSAVLFVNDYNVEDRCDSKSTPEKLIEQIVDLQERGAPVGGIGLQGHITHPVGDIICDSLDKLSILGLPIWITELDVTAENEHIRADDLEVYLREAFAHPSVEGIILWGFWELFMFREHAHLVDVDGTINEAGKRYIALKQEWLTSITGNVDHHGELKFRGYHGSYTVEVATPSGKVTRSFVVDKDNAVQVVTLNI >ORGLA05G0246700.1 pep scaffold:AGI1.1:Oglab05_unplaced035:1974:3422:1 gene:ORGLA05G0246700 transcript:ORGLA05G0246700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNFNPVNDSDWLIRAAMLLSFTLQVILVFVAPTRKRSCHPLPHLAVWSSYLVAGWVAVVGLGLLLYSLSISGSNNGSSSIFAFWTPFLLLHLGGPDTITAYSLDDNELWLRHLAGMLFVVFVALVVFFSSVTSNPMVTATVLVFVAGVIKYGERIYSLYSGSVRGFRDKMLGEPNPVPNYAKLMTEFESKKNAGLMVEIIVVDGEHKEVLEQAEVMKNGRKSVETMAYELFAMFRVLFVNLVLSYKERRISQAYFLDRGDVMTAAAAFEVVEVELGFLYDMAYTKAAVSSTRRGCLLRFVATACLVVAVVLFVLMDKAGVRHVDRGVTYVLLLGGVAIDVAGYLMLLSSDWTLAFLDGKPKLAWLARVARAVRLPTRRWSEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA05G0246600.1 pep scaffold:AGI1.1:ADWL01011172.1:1317:2141:1 gene:ORGLA05G0246600 transcript:ORGLA05G0246600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKIHGKRSDRPRGRNHTPWAPLQGLLNSVRNIRKYVNDRSVGSKMMKSTERDSLSSSASETAPKLKDNNGEDTKYKLLEIKTEITERIDPKTRGKRSARHRVKEPALWTSQDELQKFETGKNRNGNEQAVYSRKRKKTASKGEAKTGTGNDVTEKTGVRVIDTSAEVKNSTSENTNQKDGVPTLNTPMDKKLSGADAFKQEDALIADDAGAGLKDSNGAAASALDQHATGATNPMENKADNGKLYTEQLQLWIKTVLVKIFLFQVFQVQKQP >ORGLA05G0246500.1 pep scaffold:AGI1.1:Oglab05_unplaced013:56869:57108:1 gene:ORGLA05G0246500 transcript:ORGLA05G0246500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTLEEQLRESQEHVSQLEEQLRAATVSTTGASTSKAVGRDRYFFLTPPYPPAFHALLGEASMPATESAPYLVDPTVT >ORGLA05G0246400.1 pep scaffold:AGI1.1:Oglab05_unplaced013:48279:51303:-1 gene:ORGLA05G0246400 transcript:ORGLA05G0246400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQGEPMSTTTSGSSRARTPRTGNKILKEQFRIPVVDAVGLPTSPRKILSRFRSIYGVIGRQKFSILQDDIKHMPAAEKDIAWLTFKESFDYPTEHEDRLRASSEAYRLLQTKNQHLHRLGTDGYAGKEEEWQREDEEAEESNTPLVFGDIPHPRARNWARARYQKKDDGTIFMPNPEDQRVYEAIEPTGCTLTVRLTFTILAAGGLAYKPTPETRVHGAQLKGDCAKVQVDSVKPEYELFPLKYLPNNEVLSLGNAHGTFIQWPKNLNEIRLQYDTDFGEDRTEADNKAIHEPPPMKKSRKAHSSPXRITLDKPEAKGRGRGVKVQSSLLAPRKLDLGKGQEETKGKEVKKKYIAPXEFQLGMPLVGDDVLAAMGTACKDLHAYYMEKSNARKPNKATDILGEHDGKPFLGPTNYIVVDFKDLFDLYRLRAVDTSLLKCYSLLSXQWCQKNAPEVAFLDPQVVTVTNLQNDRQVMINYIYDTLWSRRDKEYIMCAYNQYAHWILLVITPKWSTCHYLNSRIDKNAYDWTPIQLAIDEAWAQYVQRGGLRKTGHDTLIHKKDFPVKQQIGDQCGFHVCHNMRLLYREKVKILAEFEGTITKSLPTSFEEVREEIASFILREIICHDRK >ORGLA05G0246300.1 pep scaffold:AGI1.1:Oglab05_unplaced013:18441:19886:-1 gene:ORGLA05G0246300 transcript:ORGLA05G0246300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFLELEPFTTPLFEIPADADFEYSFMLAAGDFPLMDGDFLADQPPASAEVVAAGGDANGKRSFHADDGEAMGAVPVSRLSKRRRKAPSSSSSLSYRGGDGGEGNELVAGRGGGRRVWVRKRSTEWWDRMSDPTACPEADFRRAFRMPRAVFDKLCDDLAAAVAKEDTTLRSAIPVPQRVAVCLWRLATGDPLREVSRRFGLGISTSHNIILQVCAALTAVLLPRVVRWPDSHAAVASRFQTVSGIPGIVGAVHTEHIRVVAPREKAGEYYDRRLTDRNNKATYSIAMQAVVDADGAFTDVCIGHPGSLSDAAVLEKSALYALCEAGLLLGDGRDWRQPPQWLVGGASYPLTSWMLVPYTQPNLTWAQDRLNARVAEARAAAVGAFRRLRARWQCLRRAEVKLPELANMLAACCVLHNLCERSGHGLDADLLDLLDDELVDDGVVAGGGNTVRSPAAEQVRDRIAHGLLHQYVGNASLSG >ORGLA05G0246200.1 pep scaffold:AGI1.1:Oglab05_unplaced013:6604:12651:-1 gene:ORGLA05G0246200 transcript:ORGLA05G0246200.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQPVIGEGIPVTGNSIEARQRWIVDGCTMRIVRLPSIERGSLNLSHLRIMTEKVGXACTCCVHVGTVRMNRXSLIAMKCMLIXXXMDSXRNIPAGPSMESKRRLMSQQKKCWGRFGTXHAAREGMFVPSPLGGETIDLDTQCLSTMLHDIEDAEDNERDFEKFSKLVEDCQMPLYDGCKSKHSKLSCVLELMKLKASNGWSDKSFTELLELLKDLLLEGNNLPQTTYEAKQVLCPLGLEYADLDVCPVCGASRYKRAKSEGEERPRQPGNDIDVFLEPIIDDFERLWNEGTRTWDAYAQEYFNLQAMLFCTINDYPALGNLSGQTVKGKWACSECMEETRSKWLKHSHKTVYMGHRRFLPRYHPYRNMRKNFNGHRDTAGPPAELTGTEVHNLVMGITNEFGKKRKVGKTKEKSMSKEKTEEHVEKQKTKXRSMWKKKSIFXRLPYWKDLEVRHCIDLMHIENNVCESLMGLLLNPGTTKDSLNARXDLEEMGVRSELHPITTESSRVYLPPAYYTLSKEETIDLLTCLSGIKVPSGYSSRISRLVSLQDLKLVGMKSHDCHVLITHLLPVAIRNVLPPKVRHTIQLLCSFFHAIGQKILDPEGLDELQTELVRTLCHLEMYFPPTFFDIMEHLLVHLVRQTKCCGPAFMTQMYPCERHLGILKAYVRNRSHPEGSIIESYTTEEVIDFCVDYMSETSSIGLPRSHHEGRLDGVGTVGRKTIRLDRKVYDKAHFMVLQHITEVVPYVDEHLAVLRQENPGRSESWVKNKHMSSFNEWPKNRIARLQNLLVKHFSGCHRVLNGVPPPSKDMTXMDTPFTRXSKTANAQCRTVGYASRLLVMVVGVINTMVELSNYWSXITXCSKSRCFVVNGLIFTMXKLTMKVSPLLTWLTTRTRMNRSFSPNKLFKCSTXLTRVTRNYMLFVKGKGELLDWTILQTRMITTSTSTAXVKKYLXKRRKKKMTFNMHASTMRKDYFC >ORGLA05G0246100.1 pep scaffold:AGI1.1:Oglab05_unplaced003:10904:11769:-1 gene:ORGLA05G0246100 transcript:ORGLA05G0246100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYAGFLSIDCGLEADSGAYTDIDRGIFYIPDGPYVDAGENHEVAADLKEGHIRPDLTVRSFPSGMRNCYTLPTDAGSKYLVRVVAVYGNYDGKNNSVGLQFNLHIGTNYWDTVQPANGRQVYEALFVAWGSWAPVCLVNTGQGTPFASSVELRPLGSELYPAVMANQYIRLYGRRNLGPTTASVTRLDFSYA >ORGLA04G0282100.1 pep scaffold:AGI1.1:ADWL01009744.1:5523:6012:-1 gene:ORGLA04G0282100 transcript:ORGLA04G0282100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLFIISLCNNQLLYLMEKELIWIFGHIPGFSASLPSVIAKLHSAKDKYLSTPTSATSSSKMEDTQWNFSFTLVWNTVVWLVLVNFFIKIVTSTAQEYLKKQQDIEMELITDSSPQSQSKTN >ORGLA04G0282000.1 pep scaffold:AGI1.1:ADWL01009744.1:1:2786:-1 gene:ORGLA04G0282000 transcript:ORGLA04G0282000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAPAPAESNVEFIRARSDKREYRRVVLPNALECLLISDSETDKAAACMEVGVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEQDYTKYITEHGGSCNAYTSSETTNFYFDVNVANFEEALDRFA >ORGLA04G0281900.1 pep scaffold:AGI1.1:ADWL01009743.1:1199:3034:-1 gene:ORGLA04G0281900 transcript:ORGLA04G0281900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNDGCDGGGKIKIGVCVMEKKVWCSPMEQILERLRAFGEFEIIIFGDKIILEDPIESWPLCDCLIAFYSAG >ORGLA04G0281800.1 pep scaffold:AGI1.1:ADWL01009742.1:1:4233:-1 gene:ORGLA04G0281800 transcript:ORGLA04G0281800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKVAAAAAATPPRGSSKAGGGVISEIREKHKLELENLTLTKHPFRTLRFFMLAMLQYLKRLATYILSKGALFVVLIVLVLAPGILLAVTDGLHKKHVQEFLNYARFVLWWVSLGVASSIGLGSGLHTFVLYLGPHIALFTIKAVQCGRIDLKTAPYDTIQLKQGPSWLDKKCSDFGPPVYQASAHSVRIPVFELLPQVQLEAVLWGIGTALGELPPYFISRAARLSGSEPEAVKELDAAASDEHGPIASTLNRTKRWLLSHSQHLNFITILILASVPNPLFDLAGIMCGQFGIPFWEFFFATLIGKAIIKTHIQVCCLSNLLLMLIFICFVTLG >ORGLA04G0281700.1 pep scaffold:AGI1.1:Oglab04_unplaced115:3:7168:1 gene:ORGLA04G0281700 transcript:ORGLA04G0281700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:I1PRJ8] VRSLSIGKKSSRVFITGGSDRKVNLWAIGKQTPLLSLSGHTGSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTSVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIRTIRFTPDGRWVVTGGEDNIVKVWDLTAGKLLHDFKFHSGHIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPEATGVRSTVFHPDGKTLFCGLDQSLKVFSWEPVRCHDVVDMGWSNLADLSIYEGKLLGCSYHECRVGVWVADISLIGPYALGVLPKANFFAELVHSLDDNPSKTIDTTAKSIPALATTHPKNLYKVKETGTAESGIRGSNLTPASMDKTKRDKSGATPRRPDSSFKSSIQSSTPMRRMKAADSPYTNRKTVERNFAQRDASLASRTGTANNSSTVKKGHLAESVSVKDIYTTPQTVSVPVVMPRDILEDKTAGSISGGIRGRAAVADDFHAPVHSRKLSVNSFVGDSVNSTKSMLTDPDVCSEGFSGLKFSFGLTPYYKKEEYDNVDKVDSMDKGDNTQMTEKLDRTVSLEHQLQSNDTSESPCSTTETTKVKYVRGVAVPLGKTKSLVERWEKREATNVECSPPTGSCGDRAVRSDGPSSFSAEPSQAYEKDLSTIDEAMIPINLMQNHDEFINAVKSRLTKLEMMRHVFDQNGIKGAIAAVAKLPDNAVQADVVSTLKGKLDLFNLDIFLSFLPVLAGLLTSKAERHAIVSLELLLDLIKIFGPVIRSTLSAHSAVGVDIQAEQRLQRCSRCFNHLQKIQQVLHPLIMRGGQSAQLAQELNLSLQDLVVI >ORGLA04G0281600.1 pep scaffold:AGI1.1:Oglab04_unplaced112:10259:10465:-1 gene:ORGLA04G0281600 transcript:ORGLA04G0281600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLKSKIVAVAVAAVVVVASSLVGTASAADAPAPAPTSGATATAAAAPAFAAVSVAAAALGGYLFC >ORGLA04G0281500.1 pep scaffold:AGI1.1:Oglab04_unplaced112:3147:5274:1 gene:ORGLA04G0281500 transcript:ORGLA04G0281500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGGSQKGGGGGGGEARRINVVYFLSRGGRTDHPHLFRVNHHRAGVRLRDVKRWLSELRGQDMADNFSWSYKRKYKAGYIWQDLMMDDDLITPISDNEYVLKGCDVRRATESPKEKSSSLAEEPKKLDSQEVKVACDQKQVEEVTTTTAPDSDERSPKTLPPVDEDSPGEPASRSTAPLKKDLPRGLREERKKQQREVVKVVSKAVVVPAPAPEQKVKGAASGRISSHTPPAVGSARRMHLAQLLHNLLTCGAADADDTALRPVLRHGADDDGGDWPPTPVCPGIDGCGLRVGKKVKVRRGRKDKAKPKRDGGDSHKPASLPRCSQCGKEFKPQELHSHMQSCRVFREKMRSSTSSRVSVDRGRTSAAARPEHRRTRSKGAAAAAPGDTSDRPSAVLLLRDS >ORGLA04G0281400.1 pep scaffold:AGI1.1:ADWL01009733.1:5597:6005:1 gene:ORGLA04G0281400 transcript:ORGLA04G0281400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRRYGYSYPPPQGYYNGPPVMAPPQYAAPPPRRPEPSFLEGCLAALCCCCLIDECCCDPSVIFVT >ORGLA04G0281300.1 pep scaffold:AGI1.1:ADWL01009733.1:503:4094:-1 gene:ORGLA04G0281300 transcript:ORGLA04G0281300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAEEEEEEAVWAWSWGAGTDGQLGNGGFQDHHLPQPLLLPPRCRGLVSFVAGGGAHAIALTSDGEVFTWGRGTHGQLGHGDVENIPHPKLVKFFENYMITCVSAGWNHSGFATDSGQLFMCGDGSFGQLGAGDNHPRNLPFEVAYFTTKHVVRLALGMRHSLVLLKDNSVYGFGSTRRGQIGRCTRNQKFYDVPRIIDGFPDCKIGNIYANGDHSAALDESGQLYIWGRALIGEHDDDQPRPVFPSLGISQVALGWHHALVLSGELYTIGAYRHRKLDPHVLPSAEPVQRLNPATTSHSHDESSSSSTLVKVPCVDGEQVVHIAAGTEHSASVTDKGTVFTWGWGEHGQLGLGDTCDQVTPQRVNIGDKRPCSSASVNVYCGSGFTIAVNSGLESD >ORGLA04G0281200.1 pep scaffold:AGI1.1:ADWL01009732.1:1327:2163:1 gene:ORGLA04G0281200 transcript:ORGLA04G0281200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSKKRRKQLASAAVFSRRCKPPAEARDPPPPPPLPEEEKVKEVLSETPSAKVRAEAKPVANVAVLEEPEAEKQAPKPSADADVTVSDLGSCMSLATDDRSEAASESSVATSSVTGPERSPGKPARRKRPVSVSGELAHAISRRDRAAAAAYGVRSRSARASASPPPRREQRDRSVRRSPSPAAKRTPEQRRAASPAPSLQRKPPVPVRPSPRRVQEAPPSPLEPPPPPPPQPEEDAMTADGEPSIADAASAGGDGEGKESLDNPLVSLECFIFL >ORGLA04G0281100.1 pep scaffold:AGI1.1:Oglab04_unplaced109:585:919:-1 gene:ORGLA04G0281100 transcript:ORGLA04G0281100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLRSLLSPLRRLWCHMNAVQRKKRGIYILYDDVKSCPCEDVHVLWSILVESHGLPPPTPMTTPAPAPALRPTR >ORGLA04G0281000.1 pep scaffold:AGI1.1:Oglab04_unplaced106:9403:16104:-1 gene:ORGLA04G0281000 transcript:ORGLA04G0281000.1 gene_biotype:protein_coding transcript_biotype:protein_coding HAKTVDEEIVPPYEDYTNGLYYDSGCDMFAEQSGLSEVKCEGTGPMLGNSEQEGNHFTSVPMFDHSAVIPDIPYTELNIGDVPGSMQNGNGSCLTVQGEYLQGEYQEYPQPDYGSFDMANEIVLHDLPQNNQSYELEQLPQNICESSSMQVGSPDQYCDDTSLSDYYMDDVSSIESMSSEQNRSEDICFRSESSTDSSPVPSSRNSTTEDADKYFGDAPKHLQNSMFPVSTQHQHSFMNSSDPMHPTFHKKYDIPRNGSSSILGNSSRNCFSLDSNRDSDLCILEGSRSLASGHVLPPQGLQHNFQQSVCANPNLPRFGGRYRPHEERMTLRLALQDISQPKSEANPPDGVLAVPLLRHQKIALSWMVQKERNGSSCSGGILADDQGLGKTVSTISLILTERSPVPSSAVKQEPCEAVNLDDDDEDDDVEPHLKKPALAHLADTCKPEATSSTIKTENPIANVKARPAAGTLVVCPTSVLRQWADELRNKVTSKANLTFLVYHGSNRTKDPNDLTKYDVVLTTYSIVSMEVPKQSSPDSDDEEKGKPDRYGAPVGSSGSKKRKTSSSKKNKSGSTPESKLPEKPLAKVAWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVEDLYSYFRFLRYDPYAEYKKFCFMIKTPISRNPITGYKKLQVVLKTVMLRRTKATMLDGKPIISLPPKTVSLKTVDFTSEERAFYNTLEAESREQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPHLVRGHESTSSWISSLEMAKKLPVERQQSLLVCLQSCSAICALCNDAPEDAVVTICGHVFCNQCILEQLTGDDSVCPVSNCRVRLNSTSLFSRGTLECALSRSTCEFLSDDSCEDMVQGKQPRFDSSYASSKVRAALDILLSLPKLDLTHMSDDKNKIVHPDKINGNSTPSEYADTKITEKAIVFSQWTRMLDLVEVHLKSSHLSYRRLDGTMSVAARDRAVKDFNTNPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKREMVASAFGEDKSGAHQTRLTVEDLNYLFMV >ORGLA04G0280900.1 pep scaffold:AGI1.1:Oglab04_unplaced106:5773:7863:1 gene:ORGLA04G0280900 transcript:ORGLA04G0280900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAAAAAAPFLLLVALLLLLPSPAAAFSFTYNFTSADTAPSGIAFQGDAFFNKFIRLTRDERIGPITSSAGRAFFSRPVPLCDPVSRRRASFSTAFSFSIAAPDPSAASGDGLAFFLSPFPSVLPNSSAGGLLGLFNSSSCGGAAAAHPRPLVAVEFDTYKNEWDPSDDHVGVDLGGIVSAATVDWPTSMKDGRRAHARVAYDGQAKNLTVALSYGDAAAAAALTDPVLWYAVDLMEYLPDAVAVGFSAATGEAAELHQVLYWEFTSSIDTKEETVILWVVLGLCGLLLVLVAAGVLWFVSQWRKAGELADGDIDDEMGYDELADEEFFVESGPRRFRYSDLAAATKNFSDERKLGQGGFGAVYRGFLKELGLAVAIKRVSKGSTQGRKEYAAEVRIISQLRHRHLVRLVGWCHEHRGDFLLVYELMPNGSVDRHLYGGGGGSKKAGGAAPPLSWPTRYNVALGLASALLYLHEECPQCVVHRDIKPSNVMLDATFSAKLGDFGLAKLVEHGSQPHTTVLAGTLGYLAPECVITGRASRESDVYSFGVVALEIACGRRPAELDEEDPSKARLVPWVWELYGKRAILEAADQRLNGKFDLEQMERLMVVGLWCAHPDHAHRPSIRQALNVLKFEAPLPSLPPKMPVPSYFPPPDLVAPVSVEGTSSTDGPGVSECGSSGSNAGGGSGINDRLLDP >ORGLA04G0280800.1 pep scaffold:AGI1.1:Oglab04_unplaced106:3601:3831:-1 gene:ORGLA04G0280800 transcript:ORGLA04G0280800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPGLPPLPPGCEVKRRRAPPVARVGSPPPVPRREQPHQAQPHHYCVCSPTAHRGSFRCRWHRRGYYEWGASRRR >ORGLA04G0280700.1 pep scaffold:AGI1.1:Oglab04_unplaced106:151:3281:1 gene:ORGLA04G0280700 transcript:ORGLA04G0280700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFAPYPVSFKRVKETAVKDYQNFKFSQPYYQASNYLSLILEDQNWPWVEKLEALSKLEPDSLAKFIPHLLSKTFLECYIQGNIEPNDATSIVQEIEDTIFNTPKSVFKSMSPSQYLIRRVITLENELKCYHQIEGLNQKNENSSVVQHIQVHLDDALSNIKLQLFALIARQPAANQLRTIEQLGYIADLYVRSDRGVRALEIVIQSTVKDPSYLDARVDEFFKMFENKIHELSDKDFKRYVKSLIDSKLEKSKNLWEESDFYWAEIEAGTLQFDRGRSEVSLLRELKKEEFIEFFDQYIRIGAPQRKTLSVQVFGGKHLAEFKKAIAEADAPKTYRITDIFGFKRSRPLYRSLKGGPGRMTMD >ORGLA04G0280600.1 pep scaffold:AGI1.1:Oglab04_unplaced101:2057:4706:1 gene:ORGLA04G0280600 transcript:ORGLA04G0280600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGDFPQHECGDSTNNHGGDAFVNGGFRNWNIKSRFSKHAGAVNSAHCEAEEKYNLFMQPKTSIRESFASNSGEFKVQYLARLTWSLKCIRYLLRQGLAFRGHDESKDSNNKGNFREXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGSHYKTVMHVMLLYPSIKKVLFKVGKECNGAEAIGAQTMLQVFQSFEFVFLLHMMNEIFGYTSDFCNALQRREQHIVNAMDLLEFTKAELDVLREDCGWKEFLGKVTSFCVKHKVKVVDMDGKYKPIQRSRKFFKDAINYHRFHADMFLGVIDRQLQELNNRFDEVNTELLRCMAAFSPAKSFSAFIVDNLVKLAKFYPSDFDVQEMNQLPFQLNSYISDVVLPIATTAGGERVFSSMNYIKNNLRSKMGQEYLNDCLVTFIERDFFLQVKDKDIINHFQNIKK >ORGLA04G0280500.1 pep scaffold:AGI1.1:Oglab04_unplaced101:547:1179:1 gene:ORGLA04G0280500 transcript:ORGLA04G0280500.1 gene_biotype:protein_coding transcript_biotype:protein_coding YCLPILSHGNNKIVSGIGFPGSCGPHRHVHHAPRXRSALSRPLEEWGRTPVSAAAAGSKPVNCDEGDHGRCWVEENGSSAHAIMQQVGAVRSSSKMTSLCWAPGPQAHWPNSQKQSPNTILALSPQFPFPNLLSPIQPSRRLADHPLRHR >ORGLA04G0280400.1 pep scaffold:AGI1.1:Oglab04_unplaced098:22939:25024:-1 gene:ORGLA04G0280400 transcript:ORGLA04G0280400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIPLPFFFSDGVVHARIGHRPIQPSVGLLSAGATAKVSFRRQSNAHRHAAHVVSSESITPVKEVSSFEPSVWGDFFINYDPKPLQQIKFMHANKQRSEDWMMEKADKLKQDVRILFETHNDTAKKMHLVDAVQRLGIDHLFQDEINNAISDIKESEFTSSSLHEVALHFRLLREHGIWVSPGTNKYCKR >ORGLA04G0280300.1 pep scaffold:AGI1.1:Oglab04_unplaced098:18597:18860:1 gene:ORGLA04G0280300 transcript:ORGLA04G0280300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRWISALGRWLPGSLSFLXTQRRKRRPKPAAKAVASCGWRSEHDGNPLVHGEDGFRRGFSARGMAAGVELDLAEPMEVAAQEGGR >ORGLA04G0280200.1 pep scaffold:AGI1.1:Oglab04_unplaced098:9438:9713:-1 gene:ORGLA04G0280200 transcript:ORGLA04G0280200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFPPAADEEEEEEAVAVLLSGEFFTCWSSLSESTDDETTRRTTTESMFYIPTNGSNDVGRRKRRRVRSWSRGSFLERGSFGMVFEGITK >ORGLA04G0280100.1 pep scaffold:AGI1.1:Oglab04_unplaced098:4132:5027:-1 gene:ORGLA04G0280100 transcript:ORGLA04G0280100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSCEGSVYWMAPEVVNPKRTYGTAADIWSLGCTVLEMLTRQLPYPNLEWAQTLFKIGRGESPAIPKYLSKEARDFISQCLRPNPDDRPSASKLLDHPFMNRSVRSIMSIMAS >ORGLA04G0280000.1 pep scaffold:AGI1.1:Oglab04_unplaced095:185307:188898:1 gene:ORGLA04G0280000 transcript:ORGLA04G0280000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESYGLPDLGYLCGCDSGYWGNPYIPNGCQRDNGYIPAQQKANCSRSCGNISVPFPFGLEEGCFARKLFQLNCTSATSSSLQFDDEHQMVTLVIDANVCLAFKEIQMCKMAVKIIHGQLRGILHITGIIIGLSVGFGILLVSLSATFICRRWKRDIQKQLRRKHFQKNQGLLLEQLILSDQNATDKTKIFSLEELEKATNNFDSTRILGRGGHGMVYKETEVPLLVYDFIPNGSLFGVLHSGSSSDFSLSWDDCLRIAVEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLGTTTQKRTYFYNSIRIKAELVQLLPLGAEGKANQRDSCSLRS >ORGLA04G0279900.1 pep scaffold:AGI1.1:Oglab04_unplaced095:179863:180327:1 gene:ORGLA04G0279900 transcript:ORGLA04G0279900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFGVVLVELLLRREPIFTTVTGSGQNLSNYFLWELKARPIKEIVAAQVCEEATEEEIKSVSSLAEMCLMLRSEDRPTMKQVEMTLQFLRTKRLTSSHAAAENDEEMQSLLHTRSEVSCESLANNLGVSANPESGNSHKCYSLEQEFISSIGLPR >ORGLA04G0279800.1 pep scaffold:AGI1.1:Oglab04_unplaced095:179145:179681:1 gene:ORGLA04G0279800 transcript:ORGLA04G0279800.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRRWKNDIQKQLRRKHFRKNQSLLLEQLISSDENASDKTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKDIKEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHSDSSSHFSLSWDDCLRIAVEAAGALLPPFRSFSIGLSP >ORGLA04G0279700.1 pep scaffold:AGI1.1:Oglab04_unplaced095:176584:177456:1 gene:ORGLA04G0279700 transcript:ORGLA04G0279700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLGLLSFYLGIEVKQGDDGISLNQGRYAQRIVESAGLKDCNPCATPMEERLKLSRDSTAPPVDATEYRRLVGSLRYLVHTRPDLAFAVGFVSRFMERPTEEHMVAVKRILRYVAGTMEYGLHYKREKEEQRLIGYSDSDLAGDIDTRRSTSGMLFFLDSSLVSWQSIKQRVVALSSCEAEYVAATNAATQGIWLARLLGELLGKQPKAIELKVDSKSALALAKNPVFHERNKHIDLQYHFIRDCLEEGSINASFITTMDQLADILTKALGRVKFQELRAKIGMVQINQN >ORGLA04G0279600.1 pep scaffold:AGI1.1:Oglab04_unplaced095:175999:176583:1 gene:ORGLA04G0279600 transcript:ORGLA04G0279600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAMGLGSLLISHMAIAQLDSWVYKLKKNEAEKVVKHKARLVARGFVQQPGIDFDEVFAPVARMESIRLLLAVAAQKVWHVHHMDVKSAFLNGDLAEEVYVKQPPGFVIAGEEDKVLRLRKALYGLRQAPRAWNVKLDHTLKELGFDQSKHEHAMYRRNNGGSALLVGVYVDDLVITGPSTRAIEQFKEEMKAKF >ORGLA04G0279500.1 pep scaffold:AGI1.1:Oglab04_unplaced095:142459:142826:1 gene:ORGLA04G0279500 transcript:ORGLA04G0279500.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRMPARKYMQVPAYLGMLDVGGSLVPGALYSRVWRMELSSCEVHEARGSRFGLYRKIHLNTVQIKREKYIMTDYLFKWGGSVRCVQEEGTTGFVYGMPSRTDT >ORGLA04G0279400.1 pep scaffold:AGI1.1:Oglab04_unplaced095:110809:111168:-1 gene:ORGLA04G0279400 transcript:ORGLA04G0279400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGFTANYKVWTYHGEFANPEDDDEELSFEMNEAENFIIEDMSRERMDVDVSTDSDDFDGGFDLEDMLHHVEPEVLAGRSRGLENWQALEKASNDLLYNETKGCDKDFTVLRSVLELLR >ORGLA04G0279300.1 pep scaffold:AGI1.1:Oglab04_unplaced095:105597:106354:-1 gene:ORGLA04G0279300 transcript:ORGLA04G0279300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRITYLWNRPVTYSVQMVVRRRSKHKAGRNRREEEVEMDTATSAPERTKTSTSAGGSGKKRRGERSKNKLPKETYNVIALDXDGKPVEPPIVRSKFSNACGTLVRTRCPINVKLWETVDDNIKTLLWNELQNKSS >ORGLA04G0279200.1 pep scaffold:AGI1.1:Oglab04_unplaced095:82128:82565:-1 gene:ORGLA04G0279200 transcript:ORGLA04G0279200.1 gene_biotype:protein_coding transcript_biotype:protein_coding KATKKDHFPLPFIDEMLERLANHSFFCFLDGYSGYHQIPIHPEDQSKTTFTCPYGTYAYHRMSFGLCNAPASFQRCMMSIFSDMIEDIMEVFMDDFSVYGKTFGHCLQNLDKVLQRCQEKDLVLNWEKCHFMVREGIVLSHRVSER >ORGLA04G0279100.1 pep scaffold:AGI1.1:Oglab04_unplaced095:57071:57490:1 gene:ORGLA04G0279100 transcript:ORGLA04G0279100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDSNRSPTGLGGPSDGSYIFVRGVTGGAWEYGEENARGGEGRASAIDYFLYIDARYFVRVPSTSQRLLPLHSASSGNDL >ORGLA04G0279000.1 pep scaffold:AGI1.1:Oglab04_unplaced095:45114:48868:1 gene:ORGLA04G0279000 transcript:ORGLA04G0279000.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFSRSISVKADVSVYNMSWDAPGKSLTLEYAGLNITGCDFDIYKVLDQSGNVPAKLCNVTCPNRGITEDIARQDCNGTGCCSIDVPISAQTFQLMFVRHGKWEIKPDAQSNQSSLWSTINVTTVYGIISWRIQDQPTCASTLDNRTNYACISEHSKCMDGYFTPFLGYNCLCDGGYQGNPYILDGCSRDRGYNPFQQKEVCDRKCGSIDVPYPFGLEEGCAARKSFQLNCTNMLSSSLQLNDEYHVTYINVSNGLMGIEDTTDYKQYMYGMRVMQEPQLYIGSGKSASVQWAVANLTCLEAQQNISGYACVSINSTCLGVNSTDGYIGYRCSCTLGFQGNPYIQDGCQDINECLVPNKCKGVCYNTPGSYRCTACPDKTQYDMTTMRCTRTRRQSLMLGVVIGLSCGFSILLLSLGIMLLIHRWKKDIQKQLRRKYFRKNQGLLLEQLISSDENASDNTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKHIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHSGSNNGFSLSWDDCLRIAVEAAGALYYLHLAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTRVSGSKQNLSNYFLWELKVKPIMEIVAAQVREEATDEEIESVASLAQMCLRLRSEDRPTMKQVEMNLQYLRTKRLNSCPDAPDKAEEMQPLLCTRSEASCASLAINLGDSYNPESQSSHKCYSLEQEFSSSVGLPR >ORGLA04G0278900.1 pep scaffold:AGI1.1:Oglab04_unplaced095:21893:34636:1 gene:ORGLA04G0278900 transcript:ORGLA04G0278900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTSLEGKHFLDSSLVLPPHGHHHAPSDDDAHRSSALVDLMAFIADRRNATTARCAMRNGPELQVTLCVDAPLPRVSYFCVWCPGERPTELATEPCIVAAEADLVVFAAVRGNARHILNLDKTDVFIYQAAGGGGGASSIRRLGDLEPHFSAVYNIGLLRHSVAHPGGGDGEHGHYYIVTLHPGYTSSWEYVLYVFDSKTGSWSDRTVSLGPEHRHSQFNCSPSKVIVLGNGGLMAFVDLWRGIIVVDVLDRGVPPRFILLPRALRSRRILRMDAGIVRDQQQQQQQPDVINGGATSNVASRLRKVSMWSRMATWEEDDDWRRDHIFSVPDIIVDEDYALHLELLRPELQVDDATGRPTLRGLHITRPAISLNDDDKVYFMAKVDPWDKRGWVIAVDMRSKRLEDVGIFRAERVIGVDLSYTLCRISKYFSTSTGKSTTGHLKRQGQFCTEYPNKRQAGRTDDGTSMDVEDIDDNMDED >ORGLA04G0278800.1 pep scaffold:AGI1.1:Oglab04_unplaced095:14342:20392:1 gene:ORGLA04G0278800 transcript:ORGLA04G0278800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEENSSLFLIFILTMIALPLVPYTIMRLCRAANVKAKTIHCRCSGCLRSGKYRKSIYKRISNFSTCSNLTILLLWIVMIFLVYYIKHVSREVQVFEPYSILGLEPGASESDIKKSYRRLSIQYHPDKNPDPEAHKYFVEFISKAYQALTDPVSRENYEKYGHPDGRQGMQMGIALPKFLLNMDGASGGIMLLGIVGLCILLPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIRTEQAKFWKQHPSLVKMELLIQAHLTGESFALTPALLKDYRHMLELAPRLLDELVKIALLPRSPHGFGWLRPAIGVIELSQNIIQAVPLSARKASGGNSEGIAPFLQLPLFTETVVKKIARKKIRSFQEFCDMPVEERATLLTQVAGLSDEGAQDVELVLEMIPSIEVDIKCETEGEEGIQEGDVVTMYAWVSLHRRNGLTAALPHAPSFPFHKEENFWLLLADAASNEVWLSQKVSFMDETTAITAASKAIQETQEALGASAKEIGIAVREAVDRVKKGSRLVMGKFQAPVEGTHNLTSFCLCDAWIGCDTKTSLKLKVLKRSRAGTRGHVAEEGPVAEDGIEEEEEEEEEEYDDYESEYSDDEEDEKSKGKGKVANGVAHHKANSDIDSGSDD >ORGLA04G0278700.1 pep scaffold:AGI1.1:Oglab04_unplaced095:710:9056:-1 gene:ORGLA04G0278700 transcript:ORGLA04G0278700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAAAEAEEQLLRRSLRLFAAGERSFRMDRLSPDADALRAAVADVLPRFLGSYTDDILAEYIVILVCNGKHQYQARDDLEAFLGDDSAKFVSWLWGYLSKKALTSADNSSIQHGLTNEIRNRSTKKNLQFAKSSVSIKVSWAGSIAASYQNLPEIISNTNNGYRLVNSDILSPQEHHTLQKHDSTEGQNVARRHISSTVTVTPERLVDDQCYWEGQHQKKDQRSSSGRNFSTLKSGVAVRTAHALPQDELRHEVCIGRNASARRFPLAVRSDDVLDPESMKKRGNVWDRLGKPAIKDRICATEDDDMHVQNGLHKKAKLMVTEHELRCRMNSSTEGDLFDIANSGRYPRSYPDVNTVQAHEHTEKSNRSRLIGRINFGDIERNQLQVRDVIRQKSSPNLPARSVPLQSQNEFISEVKSSVTAVSEPACHVSKSTKGQVSGSSKLGQLATRRNLETEVLQSQQVSSPTQSKTGSSVHEDGGNCCNKPMKNEMLDVKLKLKQVELDVLKLRSKQAQINNVKQGFLSSGPHANLDEDADSRTVLVTNVHFAATKEALSGHFMKCGTVLKINILTDAISGHPKGAAFVTFTDKESVEKAVSLSGSSFFSRVLTVMHKAEAPPGFLASVQPIGRPLQSWNSPPILKGVSPRQIPGYHLQWKREQSVLEKSPASCPTN >ORGLA04G0278600.1 pep scaffold:AGI1.1:Oglab04_unplaced094:35312:35812:1 gene:ORGLA04G0278600 transcript:ORGLA04G0278600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEEEDGGGGGRRQLTAAAAISASSSGDQLLEKLKRELPIANKRSLVRFFQKRKERYMKL >ORGLA04G0278500.1 pep scaffold:AGI1.1:Oglab04_unplaced094:30263:32990:-1 gene:ORGLA04G0278500 transcript:ORGLA04G0278500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 27 [Source:Projected from Arabidopsis thaliana (AT5G50870) TAIR;Acc:AT5G50870] MVDVSRVQKELTECNRDREVSGVSIALHDGSTISHLTGTIAGPQGTPYEGGTFVIDIRLPGGYPFEPPKMQFITKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSAPAPDDPQDAVVAQQYLRDYSTFSATARYWTEAFAKSSSTGMEEKVQKLVEMGFPEDMVRSVLKSVNGDENMALEKLCSG >ORGLA04G0278400.1 pep scaffold:AGI1.1:Oglab04_unplaced094:19728:25178:-1 gene:ORGLA04G0278400 transcript:ORGLA04G0278400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50860) TAIR;Acc:AT5G50860] MGCILGKLAAAPGSSLFFPATAAASGAGGGGGAGEVQLAAPQPEKIAAVQKDESGWPLWLSSAAGDALAGWAPRSADAFHKLEKRKDSNVQIGSGTYSNVYKAKEVESGRVVALKKVRVDGVGEAESARFMAREIALLRRLGDHPNIVRLRGLVTSRLATAPSLYLVFDYMDHDLTGLAAAALAADQRFSLPQHAYGNRNLEQIRPPLIERKMVKCYMKQLLTGIEHCHNKGVLHRDIKSSNLLVSNDGILKIADFGLATSFDPDNKEQPMTSQVITLWYRPPELLLGATHYGVGVDLWSVGCILAELLLGEPIFPGRTEVEQLHKIFKLCGSPSDDYWEKMKFPHASFRTYERCIAEKFKDVAPSALSLLETLLSIDPDMRGTATDALNSEFFRTEPYACEPSSLPRYPPCKEIDVKLKYEKHKRKLRANGSVERQTTTRKPMSQNPGRRVFTPDVNNKPQAKPNIPRLVTSTSTTKLERFPPPHLDASIGFSLDSSADVSTEQFFTSSVVELKKMPSLIFGHMKSYLNSPKKNTHKAKPGLSMPPSAVLIGAFKPYSLGHPMEVRRKNRDQFRTKGRNSVGAVK >ORGLA04G0278300.1 pep scaffold:AGI1.1:Oglab04_unplaced094:18490:19175:1 gene:ORGLA04G0278300 transcript:ORGLA04G0278300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASATDSAERRRPGRSPGHDGEQQQHQDGRRRGCKVAPEPKEEDGAAAAAFLASMPGSPSFRYYCQKSATVDAIVADADGDGDECVRITETPQPIKNNGHGSSEVEINPWLRSSSRIPNRIHPEFNMQLSKSAPEASRWVRFRGLALAAWCSLFSRHSRRSAASAPSHPPPPPPAKSHQRFDAAAPAERSVLL >ORGLA04G0278200.1 pep scaffold:AGI1.1:Oglab04_unplaced094:9747:10487:1 gene:ORGLA04G0278200 transcript:ORGLA04G0278200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAASGGGGGYRMLPQAGLPIGFRFRPTDEELLLHYLRRKVMSRPLPADVIPVADLAHLHPWDLPGEGDGERYFFHLPATSCWRRGGGGSRAGGGGGAWRASGKEKLVVAPRCGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA04G0278100.1 pep scaffold:AGI1.1:Oglab04_unplaced094:3969:6491:-1 gene:ORGLA04G0278100 transcript:ORGLA04G0278100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PRG2] MNGASSGGGGGGGAGAGGDGPLVYQRWKGNNVFVLQGRFIFGPDARSLYVTMFLIIAPASIFCLFVAKELMNNFSYGLGLPVMISAVVFTAYDLSLLLLTSGRDPGIIPRNAHPPEPEGLDGNAEVGSNQTPPMRLPRVKDVVVNGITVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRNSEQITIWKAMAKTPASIALLVYTFIAVWFVGGLSVFHLYLMSTNQTTYENFRYRYDQRANPYNRGVVENIKDIFFSAIPASKNNFRARVPVPQEQGLRPRPTNGFMSPNMGRAVGDIEMGRKPVAWDEPRMAADIGDLGAGLGNLLEDKDGRFRSASPDLSRDALAIGGLDDQGSSVMNPGRTSWGVEAGR >ORGLA04G0278000.1 pep scaffold:AGI1.1:Oglab04_unplaced094:3:2098:1 gene:ORGLA04G0278000 transcript:ORGLA04G0278000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:delta(3,5),delta(2,4)-dienoyl-CoA isomerase 1 [Source:Projected from Arabidopsis thaliana (AT5G43280) TAIR;Acc:AT5G43280] TSAPASSSAPPGAPPRPPPATPRPRPPRGSAAPSLEMQAALTAIERCRKPVVAAVHGACVGGGVEVVAACDIRCCSKDATFVLREVDMAIVADLGALQRLPRIVGYGNAADLALTGRKITAMEAKEMGLVTRVFNSKQELDAGVAKIAKEISEKSAWAVMGTKAVLLRSRDITVEQGLEHVATWNAAMLRSNDLEEAIQAFLEKRKPVFSKL >ORGLA04G0277900.1 pep scaffold:AGI1.1:Oglab04_unplaced093:44931:47192:-1 gene:ORGLA04G0277900 transcript:ORGLA04G0277900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAEAAAGREAIAAKMRGEDYAGARTLLLETLQTNPRLDDAFEMLSVLEVLCAAAETRARRPGLGRGRGVDWYRILQVLPRDDAAKIDAQYRSIVRQVEPVRDDLPGAEAALRLVNDAYAVLSDPAKKVRYDSTVANVELWCEDILQTKGICTADRSTHDYPNAELGRLSSLEADCNAVAGISNNVPSYAQQTDRSCLDVGDCSNVASSSKTKRTDSCFLDDDGFQLPDENHVCEKDVHCVSSPHVDLDDRFTDPLDNREDELCSITQYDVHNFENDREIVNFAAGQIWAAYDWEKFPRRYARINKIVADKEHLYVSWFKPSPQSHDENRWFSASLPFVCGIFIADECKISVTCPTMLCHQISSDNWNHHLKVYPQEGEVWAIYSDWDIGWCNDPGMRKKSTFYVVEILNSYLKGSGCTVAQLVKVDGYRSVFQRHVRSGREQLLQVHIHNLLMFSHRIPSFRFTCDVGTVFELEHSAVPENLQYENTSASVAPLYPLQGLHDDSNGFHEAAATHLSNPSTSKMDLGNPQQGMMNYNNKLSPEHFVEGQIWAVYNAPDRMPRSYVRVIRVLSHTAVSVLKLEPHPMLNEEIHWVEDGLPVACGVFRAGSETACKEISEFSHPVECDWSAKRSFYRIFPKKGEIWAMYKNWKIAFSNADIDKCEPRMVEILSDYSDEIGVNVCRLTRVKGCLTFFQRVIVEDFHLTKLISRSEMLSFSHRVPAYVVIEIKDRDIPKGSWHLEPNALPLRNID >ORGLA04G0277800.1 pep scaffold:AGI1.1:Oglab04_unplaced093:38565:41490:-1 gene:ORGLA04G0277800 transcript:ORGLA04G0277800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGEEDDRASRCKRITRESDATKNGAATKLQALRLVEDLSLPSVQVVVMSANMGCSHCRQRVTKVVSKMNAGLLDYMVDFGKKEVTVRGTMVHTKKKRKQHKKKHEENKKGIAANWEKKSSSQSNDSARTLAWFLRCYSS >ORGLA04G0277700.1 pep scaffold:AGI1.1:Oglab04_unplaced093:34731:36184:1 gene:ORGLA04G0277700 transcript:ORGLA04G0277700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXRSRLPRWTRHETLVLLQARRAMEHRGRRSPQPVRLKWAAVSAYCRRHGVERGPMQCRKRWGNLSWDLKKIVAWEKNLAAVVSGAGDNAVAAGEGEGEAPPPPRLESFWDMRGEQRRARQLPSSFDREVYDALVGGHGAAPPSDFGEDLADGDGVDADELPPPPLMVMPISATVSAKRGGAASDKNSTSQHDGGGGGGLKDSEATYGAGVGGEEGTTTATATATTTSIGRQVIEALERGNRMLGDQLEAQRAAWDAEREQRVALLAAVDKLAGAVCRIADKL >ORGLA04G0277600.1 pep scaffold:AGI1.1:Oglab04_unplaced093:24197:33581:1 gene:ORGLA04G0277600 transcript:ORGLA04G0277600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SU(VAR)3-9 homolog 4 [Source:Projected from Arabidopsis thaliana (AT5G13960) TAIR;Acc:AT5G13960] MEVMDSVAVMEVSPVPKPPLEAALALRRSVRCSNRTRRPTYVEQEEPKESAGRRRGGKRKREEEKKEPVAQHAMKPVRMGDAASERKPSSEGKPMPAIAAEPVSCAGFARPAAEDDVLGNGKSAKLRVKETLRAFTSHYLHLVQEEQKRAQAVLQEGQKRPSKRPDLKAITKMQESNAVLYPEKIIGELPGVDVGDQFYSRAEMVVLGIHSHWLNGIDYMGMKYQGKEEYANLTFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQQLQRGNLALKNSKDNGNPIRVIRGHISKNSYTGKVYTYDGLYKVVDDWVQNGVQGHVVFKYKLKRLEGQPSLTTSEVRFTRAEAPTTISELPGLVCDDISGGQENLPIPATNLVDDPPVPPTGFVYSKSLKIPKGIKIPSYCNGCDCEGDCANNKNCSCAQRNGSDLPYVSHKNIGRLVEPKAIVFECGANCSCNNNCVNRTSQKGLQYRLEVFKTASKGWGVRTWDTILPGAPICEYTGVLRRTEEVDGLLQNNYIFDIDCLQTMKGLDGREKRAGSDMHLPSLHAENDSDPPAPEYCIDAGSIGNFARFINHSCEPNLFVQCVLSSHNDVKLAKVTLFAADTILPLQELSYDYGYVLDSVVGPDGNIVKLPCFCGAPYCRKRLY >ORGLA04G0277500.1 pep scaffold:AGI1.1:Oglab04_unplaced093:14490:17863:1 gene:ORGLA04G0277500 transcript:ORGLA04G0277500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRGGDAGEGEWLKVAELRATVEAQDPHAKEVDNLTLRRFLRARDHNVEKASAMLLKALRWRREAVPGGSVPEEKVQSDLDDDKVYMGGADRTGRPILLAFPAKHFSAKRDMPKFKSYCVYLLDSICARIPRGQEKFVCIVDLKGWGYSNCDIRAYIAAIEIMQNYYPERLGKALMIHVPYMFMKAWKMIYPFIDNVTRDKFVFVDDKSLQEVLHQEIDDSQIPDTLGGKLAPVSLKNNARINLD >ORGLA04G0277400.1 pep scaffold:AGI1.1:Oglab04_unplaced093:11725:12144:-1 gene:ORGLA04G0277400 transcript:ORGLA04G0277400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIXYELNSDRDPNRSPTGFGGPSDGSYIFVRGVTGGAWEYGEENARGGEGQAGAIDYFLYIDARYFARVPSTSQRLLSLRSASSGNDL >ORGLA04G0277300.1 pep scaffold:AGI1.1:Oglab04_unplaced093:3491:5963:-1 gene:ORGLA04G0277300 transcript:ORGLA04G0277300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVLAIAILAAAACFFLGAQAQEVRQGHQTERISGSAGDVLEDDPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIELIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGENVFLKPGERALNWTAGPVGDLKPW >ORGLA04G0277200.1 pep scaffold:AGI1.1:Oglab04_unplaced092:22235:24785:-1 gene:ORGLA04G0277200 transcript:ORGLA04G0277200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLSSAAVALALLLAATALEDVARGQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISSHWPYWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVAEDDVPKLDTILTSIPMDVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLGRKLPHPKSVYLDPGQKVLNWTQGPVGDLKPW >ORGLA04G0277100.1 pep scaffold:AGI1.1:Oglab04_unplaced092:11842:15165:-1 gene:ORGLA04G0277100 transcript:ORGLA04G0277100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRPCAGVASAVAAAVAVLLLAVSCFAAAATTTQKHGRMSGKGGDVLEDDPTGKLKVFVYEMPRKYNLNLLAKDSRCLQHMFAAEIFMHQFLLSSPVRTLDPEEADWFYTPAYTTCDLTPQGFPLPFRAPRIMRSAVRYVAATWPYWNRTDGADHFFLAPHDFGACFHYQEERAIERGILPVLRRATLVQTFGQRHHPCLQPGSITVPPYADPRKMEAHRISPATPRSIFVYFRGLFYDMGNDPEGGYYARGARASVWENFKDNPLFDISTEHPATYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWGEISVFVAEEDVPRLDTILASVPLDEVIRKQRLLASPAMKQAVLFHQPARAGDAFHQILNGLARKLPHPKGVFLEPGEKGIDWDQGLENDLKPW >ORGLA04G0277000.1 pep scaffold:AGI1.1:Oglab04_unplaced092:4051:6480:-1 gene:ORGLA04G0277000 transcript:ORGLA04G0277000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT5G13420) TAIR;Acc:AT5G13420] VRPLRVPRLRPSPRRFSVSIAAGRARSPIIAMASAKEGNGAPTKRTTLHDLYDLQGQSPWYDNLCRPVTDLLPLIGSGVRGVTSNPSIFQKAISTSNAYDDQFKQLILAGKDAESAYWELVIKDIQDACKLFEPIYDQTDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVDRPNVYIKIPATAECVPSIKEVIANGISVNVTLIFSIARYEAVIDAYIDGLEASGLSDLSRVTSVASFFVSRVDTLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWDALVKKGAKKQRLLWASTSVKNPAYPDTLYVDPLIGPDTVSTMPDQALLAFIDHGTVSRTIDANVSDAEGVYSALEKLGIDWDEVGKQLELEGVDSFKKAFDSLLVSLEEKGNSLKKTVSL >ORGLA04G0276900.1 pep scaffold:AGI1.1:Oglab04_unplaced092:1860:3281:1 gene:ORGLA04G0276900 transcript:ORGLA04G0276900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFCCCLCTDDFEEYAHPNNPIYRQGVCLRNFFHNFFGGYTATFQRLESRPSNPAQGAAPLASTNPSTNITDNSLSETYHLVSRPPPYDTDPRYARVQREGLVSRREKSINLTQEESLALRRNGSSSGIEHLAAQKKWSSTEPEGEYKVHRSESTKSLSAKSYNSSFAVVTSEDEDVCPTCLEEYTPDNPKIIAKCSHHYHLSCIYEWMERSDTCPICGKEMEFCETP >ORGLA04G0276800.1 pep scaffold:AGI1.1:ADWL01009679.1:675:1615:-1 gene:ORGLA04G0276800 transcript:ORGLA04G0276800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIASKNPTKTHLLLLLLLVFFLSLACSQPLHSEPMSTTTTTATQPTPPPPPPQSKIPHAEAGGAARLRRIVLGVLFGSLTGFLLSLAFLYAIRVAILHAKYAPAIVRGPVSFTPQISPKNLHSALPSAQPLAHGPNGKYYKLVLDNDVTVAVKRLEASRPEASPSSMPNVSKSDMRRVQRQLELLARVRHQNVMGLKAYVREADRLSLAYDFVPGGSLEDVMKRVRSLQVNLNWDARNRIAIGVAKGLRYLHFECTPRILHCSLKPSNVMLDEGFEPILADCGVSRLIASGSADPELASSLYSAPECYQSS >ORGLA04G0276700.1 pep scaffold:AGI1.1:Oglab04_unplaced090:2050:2373:-1 gene:ORGLA04G0276700 transcript:ORGLA04G0276700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIFYFDTTSFHPLQPRERRARRRCSGACTGRRRRVLVVVPTEPNHPKPNSCATRIASTSSSKALKNPSQCRNDEGDRRLLPQVRPRTTVVDSLIPGKLRRNSSLV >ORGLA04G0276600.1 pep scaffold:AGI1.1:Oglab04_unplaced087:23771:24130:-1 gene:ORGLA04G0276600 transcript:ORGLA04G0276600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGFTANYKVWTYHGEFANPXDDDEELSFEMNEAENFIIEDMSRERMDVDVSTDSDDFDGGFDLEDMLRHIEPEVLAGRSRGLENWQALEKASKDLIYDEAKGCDKDFTVLRSVLELLR >ORGLA04G0276500.1 pep scaffold:AGI1.1:Oglab04_unplaced087:15983:19318:-1 gene:ORGLA04G0276500 transcript:ORGLA04G0276500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATSAPERTETSTSAGGSGKKRRGERSKNKLPKETYNVIALDQDGKPIEPPIVRSKFSNACGTLVRTRCPINVKLWETVDDNIKTLLWNELQKYFLFPPGSEVMGRDYALKKMGDRWRQWKSDLNRDYVQKNLPPFTDYGHISXADXDTFVADHTTAEALALRKKMSELAKKNRYPHRLGSSGYVGQVDQWREVEQRFAAAGKPLLVDPMVERSKNWVWARSIGQVSDERDILFETPDIEEVTTNLQQIVEKERSGQFVPRRERDQLTAALGTAEHSGRVRGLSSKTSWKVGFPQDAPSYKKRDKYKEQLSDKIYAQVKEHFYSLAAENPTAFPRLFPDGQQPTQSAQQTANVPSSVGSVQTTPFPVDSITGPTPCSLVIPIGRAGKTKEVAMGLAIPGRQFHNTAIPEDYARVQVAKVHSDHVSLELDIPAPEGIELLGDAVNQFILWHRRDIILTAAIPAAGSSTPSSSQAMTAAAPTPPSPPEPPSPRHPSSPPPLRSPPRQPTPPPSPSQQPPLPAPQPVQASPTSPAKQHAPPAPPSVQTSPPTPQSALVEQVHIPDGTTSEPKSNPLEPRRIIPKLISTYDPKEIDKDKEKFMFSAFRNSEKRKELAHVLSDSQKSVLAAQDEVQSWLSADVPETYEYGKPFLPTYLMNKLPWEMRVMHEWYMKASRKGLGFISVAVPEGTFMSGPNGIFFISFRDLYALYKLDKMDVNLVAAFCLMQFHEADRTGAKVGYVDPTRICKTQHTVELREDCEQLVGKTSEEKEEYVKTLHKRKKLEVATYLAIAMLAHANKDVLMVPYQFTDHYILFLVYPKGQLIISLDPSHYDMETFMEFLTILNLAHKYYRKRGGPVHIPSQKKLSVRTGWPCYKQPPRTNLCGYYVCEMLKVNGRYKTTSNRIPEIPYIAQRFNDTTILNVAADLCRFIRRDVCNARGLFYDNQSELAMDDKFKPLREWEKEHMQ >ORGLA04G0276400.1 pep scaffold:AGI1.1:Oglab04_unplaced084:16293:26309:1 gene:ORGLA04G0276400 transcript:ORGLA04G0276400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARERSFRSTRXKXLLTILRPVLLRREKRNKLQIAQLNRATKVLQIDRLRKIRVTTLKXCMEFKVMALRDHKEEVLIKMAVRHRNFSITSKTGLTMLCTMLXSINLGYXSIPCQTXXSQXPMVQXLNIRLQAQFICQEVPFQIIGLXSPIFIHLFKQFLPFHHQLSRRRRCQLPHLLHRRRHQASXLILGCXXESSLSIVGRLRIGXRKIRLLPCFYHLNLLLIQSSSSQFNKHPRSNRLYNQFSNRLCGQFNKHHQGSRLCNRFSKRHQDSRLSSRFTSKAXXIHRLVNMLXIRLFQSIWSITCSRTIRXYLRLSLSTWCEIFNQTTRITRGATXIININLLPRKTNISKGGXFSLNLLLSTINSSPCSKNRKEQLNNDRGLMXLPTXXGNSSGLNQKILEIYTDNRILNGLRESLSLTSSRFQIFQSSQGKIVHQLMSILADFXLSVVKHRLWMPXGLGCSGCLWLDRLLLGFLLYRMGRLTVGPIWRSSFIAISIVGYMRXSCPIXQQSSKDMTSPCMNIFRGLERXGISATTXVXLMLSXPIWLFRVXLLRSGRNSHLRTLKAYRILHKRXLCMSRGLLKLGGILGRLIMSVLICVDRMMRMMILRXLQPDGXEAKRLYNANVXRILEKRXGMTLTLPKPTKFLICYYGRSRFSFLLVIQFHRLKNWARKGIVSGTILGLILPMIARSLGSRSKRLSKEAKSNLMIPRGRXRLMAILFLSIWCIXLAEQPTGAVQGVFKXIQLRSSISTKGNMINNRRSIMRKMLMASILIGVVSSLDFVGMRWQGDKIEIVPADSQLKMENPSYYFEGVVEGSNIXTKDTVDDLDDKQGQGFMSADDLEEIDIGPGYRPRPIFISKNLSSEFRTKLIELLKEFRNLADQSSEIGYLLNQGLDCTSSLREDAKPICLNLSRLRLNDCMMLVLFVSAGMPNRFLAXFLLSRRTARXGCALILEIXIKLPQRTNIQCQWPISWLMLLQDIRYXVLWMGMQDIIRSSWLGKIFIRRLLGVLVQSAYLSGXXXLLDXKVLELRIKGPXTIFIMIXSAGWLKSILMMWLLSLKKXETTXPIXERFLRGPGNMAXRXIQQSVLLVYRLASSWDFLFMRGDXNNSEKYQCDQEDXTSGGQDRIAGDDRQNXFCSKVYFXFVWKVKTLSTVQXMYCHMQGQCCQVYVIGSYFERKDWKWIFSLTEYDLCYESPKAIKGXAIADFIVDHRDDSIGSVEVVPWTLFFDGSVCTHGYGIGLVIISPRGACFEFAYTIKPYATNNQTEYEAVLKGLXLLKEVGADTIEIMGDSLLVISQLAGEYECKNDTLIVYNEKCQELMKEFWLVTLKHVSREQNIEANDLAQGASGYKPMIKDVQIEVAAITADDWRYVVYRYLQDPSQSASRKLRYKALKYTLLDDELYYRTIDGVLLQCLSADQVNVAIGEVHEGICGTHQLVHKMKWLLRCARYFWPTMLEDYFRYYKGCQDCQKFGAIQRAPASAMNPIIKPWPFRGWGIDMIGMINPPSSKGHKFILVATDYFTKWVEAIPLKLILGMLYSLFKSIXSIDMVSLKPLRSTKGQFSCLMSLFSLPIAWESNCXILHRIMHKLMGRPRHLTRAXSSXLREKFLIILSNGHILV >ORGLA04G0276300.1 pep scaffold:AGI1.1:Oglab04_unplaced082:6913:7281:-1 gene:ORGLA04G0276300 transcript:ORGLA04G0276300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFLSPYRNEVSKFIGVAKAHTEKNNMRKIICPCADCKNEIAWDFDDAFKVKEHLVTRGFMDKYEIWTRHGEKQVDGPENVVPT >ORGLA04G0276200.1 pep scaffold:AGI1.1:Oglab04_unplaced082:1335:1801:-1 gene:ORGLA04G0276200 transcript:ORGLA04G0276200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTKGTEEKGLGEQEQSLAVVVAPEPTVPPEGSSDSDVANEDEEYSSPSDPCPSPSPKRRKKGDGEEGDKDYIPPKEGTTTYQLAQPAQRKANGQQRRRKGRATEA >ORGLA04G0276100.1 pep scaffold:AGI1.1:Oglab04_unplaced075:30038:30262:1 gene:ORGLA04G0276100 transcript:ORGLA04G0276100.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLQEYIIYRFGIPQTITTDQGSIFVSNEFVQFADSMGIKLLNSSPYYAQSNGQAEASNKSLIKLIKRKISDYPR >ORGLA04G0276000.1 pep scaffold:AGI1.1:Oglab04_unplaced075:1368:4736:-1 gene:ORGLA04G0276000 transcript:ORGLA04G0276000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIKRRREEDDDMMLFVFPAMYMLCGTTNSEKIPRHISRLSGKERLQEILERHVMDCKVAFRMEPHVFKTIANYLREEKLLKDSRGLRIEEKLGIFMFMLAHNASFQDLQYEFKHSGSTLHRHIKSIFKIIPALTYRFLKLPHADQTHWKIRTNPRFFPYFKNCIRAIDGTHIPITIDGEKAAPYRNRKGTLSQNVMVACDFDLNFTFISCGWEGSATDARVLRSAMNSGFQVPNGKFFLVDGGYANTPQFITPYRGVRYHLKEFGRGHRRPRDYKELFNHRHAILRNHVERALGVLKKRFPILKVGTFHQIKNQVRIPAAAAVFHNMIRLLNGDEGWLDNQPDNIEPTNFVDLPEGDSEYRDDVPSLSNQMISGNNIRDMIAKKMWEDYVLMMFGRLSPKASLLQQQQRHLQKKASPKSCSIQKKKVSPKAQQSRASWNPGLEKALVDLLHEHNNPHYRCQNGWTSEAWNKVVKEFRDRHPYVTMNKQQIQDKEKELKRDYRLLKEARKQSGASWDNQRCMIVADDAVWANILTVNEYLERSDSFPDVNWVADDDETTEVQDEDNAVEHENQGSHITITSRANGEKNVKKTKSTERERVEKTPKRNKRNDVVDMMGSYLEMRKRQSEEEEAKKREEEAKKREEASKVDDCSIRNCITVVESMEELSNEEKVKSFGVFKDAQNREIFMSAGPMTRLIWSRTMLV >ORGLA04G0275900.1 pep scaffold:AGI1.1:Oglab04_unplaced073:46799:48751:-1 gene:ORGLA04G0275900 transcript:ORGLA04G0275900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRYDKQQERCYEEDDGSFDPHWGCEFFRFCWNEGDKIELVPADSQLKMENPSYYFEGVVEGSNVYTKDTVDDLDDKQGQGFMSADDLEEIDIGPADRPRPTFISKNLSSEFRTKLIELLKEFRDCFAWEYHEMPGLSRSIVEYRLPLKPGVRPHQQHLRRCKANMLEPVKDEIKRLYDAGFIRPCRYAEWVSSIVPVIKKKGKVRVCIDFRDLNKATRRTNIRCQWLLLNLKK >ORGLA04G0275800.1 pep scaffold:AGI1.1:Oglab04_unplaced072:7988:8503:1 gene:ORGLA04G0275800 transcript:ORGLA04G0275800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VARGSTVLMGNGSHASVHGVGTVDLKFTSGKIVQLKNVQHVPSIDRNFVSGSRLTRDGFKLVFESKKVVVSKHGYFIGKGYECGGLFRFSLSDFCNKSVNHICGSVDDEANVWHSRLCHINFGLMSRLSSMCLIPKFSIVKGSXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA04G0275700.1 pep scaffold:AGI1.1:Oglab04_unplaced070:24102:24455:-1 gene:ORGLA04G0275700 transcript:ORGLA04G0275700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAPATGSATMTVDPTPSSSAPASSAPPAAAETVVLRLKRRAKKVTWKEGTVDNESLGRKSSKKCCIFHKEVPFDEDCSDDDPDVGGGRRSPPGDAAEGTSGGGCCSSSSDGHGH >ORGLA04G0275600.1 pep scaffold:AGI1.1:Oglab04_unplaced070:20729:22201:1 gene:ORGLA04G0275600 transcript:ORGLA04G0275600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFKNRYMVMEVFIDTPVNGQPDPLILTQLNITKAIRDSIQLNFGECGLAACLGSLQVKYVNPITKLCVIRVSREDHQRVWAAITMVRNIGKIPVSFNLRDMSGSIRACKKAALECEEAKFEYYKQAAGDRITPKFVETMESCFAKIKGLES >ORGLA04G0275500.1 pep scaffold:AGI1.1:Oglab04_unplaced070:3479:4662:-1 gene:ORGLA04G0275500 transcript:ORGLA04G0275500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSASPSIAPSAEYAEHLSNLTAIPSLSHKRHYFLGPIGDLDPTEFINGETNRIPFRLANPNLGHWKNTFKSWPSLEKTSPEKSWTTWYKRVSASKQTHWNEIGIGQALALTTANSAKDEPLMAAATYFWSNTINAFLFNQGPMTPTLIDITMITGLDVTSSANPMSLNTKNQYDFRTTSIGVMKAVNRPSVIEAEFPRLEPIRDDDGEEHTHRRCMSYGEYASILADAGAKLSVELLKDWFCSFYKGFEKDARVWFPYED >ORGLA04G0275400.1 pep scaffold:AGI1.1:Oglab04_unplaced058:15834:16502:1 gene:ORGLA04G0275400 transcript:ORGLA04G0275400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFVDNSVNTNLNQGFPASTMLVWTQVGEIIFPIYTTMPISAGPSMTGNENAVATNQDDSMSKDPPAEAENGTSTTSELEKDSKAAKPRHSDKNHEPTRMTSEATRSWCPIHKTKEHTLQAYWIFLNVRAEIRACKEHGIQRISPTHDVYCPIHKTKNHDLSSCKVFLSAMKTPPPKVQQSHIPIKDKDKERGATPTSDRFVGVIDIDPHEPSVLHLLED >ORGLA04G0275300.1 pep scaffold:AGI1.1:Oglab04_unplaced058:3670:3864:-1 gene:ORGLA04G0275300 transcript:ORGLA04G0275300.1 gene_biotype:protein_coding transcript_biotype:protein_coding CMQLPRIESRTSFDDIGITNVQRDLCHFIHHPCCHVQGEFFDPEGALATSDEFKHLREWSNAMP >ORGLA04G0275200.1 pep scaffold:AGI1.1:Oglab04_unplaced052:11537:11836:1 gene:ORGLA04G0275200 transcript:ORGLA04G0275200.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAEGVMFPHQDPLVISAEIAGFEVRRILVDGGSSADVIFAEAYAKMWLPTQALTPAPAPLRGFGGEAVQVLGQALLLIAFGSGENRREEQVLFDVVDIPY >ORGLA04G0275100.1 pep scaffold:AGI1.1:Oglab04_unplaced050:22812:23501:1 gene:ORGLA04G0275100 transcript:ORGLA04G0275100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AWGSEIDSLKAQLQVHGDQFQQLSDQYNDHRGMMDTLEEQLRESQEHISQLEEQLRAATVSTTGASTSTTVGRDRYFFLTPPYPPAFHALLEEVGMLATESAPYLVDTTVTQPPAPEIVHTPLIPTPSPQLGSSMETPIQVDSETEGTDTEREIEPDITDPSEDETPVPRITIIGGPRTLSTARKSTRPPGKKPKPDPEATTSEPWGLRFARASDHPLPAPGSCGWLDD >ORGLA04G0275000.1 pep scaffold:AGI1.1:Oglab04_unplaced050:7553:7774:-1 gene:ORGLA04G0275000 transcript:ORGLA04G0275000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLSAYGMRSRIWKESKFGTIGYVKFLSCTRGFSKVFRISSMSLVRGFRLPTSCINRGGA >ORGLA04G0274900.1 pep scaffold:AGI1.1:ADWL01009569.1:7277:9704:-1 gene:ORGLA04G0274900 transcript:ORGLA04G0274900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QHCNTKYAIEKALVTSMAALYYLSLFYLDNLDFGTDTPEQENIARIGVYNDSMIAEFIERDVILKNRNPFPAYGKMKLRNKHDEKYNLGHHTGAIEAIHVDRTYDARSDIEPPSFNLGITQDIEEANMVACTPGHDISNVAEDSDKEQELNFLARTPDQPISKSVDASDKTGEGYQQTKLSSFSPYSMLKETSGARIFMREYACTKLPPKSKRRIIGGPSDILFDRPKRSIKPSHSVKSPFLSKQHSFVRHDQKALDDLYTYAISIIDAEALKSSNVSHLPEMFLSFTTNCKDITFNRKIXVHISQPVPMSLSLHDIQQAIRVDTQMQEETFNVAVQVLAADEIQRFGGTDFVGWRHFLNQDFAIFIPLLQPLHYSLYAFDMEKKKLCILDPLRDASKRSEDTAERHLKTKFHISICSEGVHEACISKLGRGYTKLGFXVPFGHPSYKQXARMCLSRALLHAKLGWNSPCEPPKIRSTRSSKGISVQLIVIQRQRSYSAGLRRSLPEVI >ORGLA04G0274800.1 pep scaffold:AGI1.1:ADWL01009569.1:2200:6332:1 gene:ORGLA04G0274800 transcript:ORGLA04G0274800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRRRGLLRRLPRREXAEARRRLMSSSRSRWRPXARRRRXVVASTIIWRRQKMEPLQLMVASSRILPXIGVATESVLDRNNIHGDSYGGRRLAGERWRGSXWTTHGRSSVGKVRGXGGGRXXSAAYFTLXPSHSVAENWADLQXGLXWLCVLQSVRXVHWFWYKEIQEQIQRWRHEVHARVLHSLVVEIIPSLVRRPGXAARLWLGXIVLLIVKSGEFLHSLVSTTMKXNGTCSVLNTSGLIISLMKGQRGTXRKWXIMGXHLQLCMDYFQITVIKWRWRSKRFGLKLFIEFANGMFXRMLRRTWGTFTVKEAVSNRSSTGCXMNPKQRQSLRRMWDMKKKWAPAYFREFFFARMSTTQRSESMNHVLKKYVKPSSSLHGFAKRYENFYNDRIEAEDAEEHDTYNEKVSTLTSSPIEKHASRVYTRGAFSRFKEQFKLSFSFMYGFTEIPKKYILKRWTKDARDSIPKHLEESYLKDKEAASSRTYRNTLLHKSALDIIRFGGTSSETYEKTVEVLTKLIGELQVMCTSQVVNNKEIHCGDRTIGKKPTGVQLDDSVDSSDSEHGMSDEFCVADEDGIGQDVSASEDSVDVDMTDVNEEDILPPEVRRSRGRPRSTRLMSKGETSSKAKKKKASESTSKDESKNHAKGKKESTKQIRYCKQCGGHGHYKSTCGRKSSYERKK >ORGLA04G0274700.1 pep scaffold:AGI1.1:Oglab04_unplaced046:3619:4834:-1 gene:ORGLA04G0274700 transcript:ORGLA04G0274700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISLLCYMYRERAHEMEREIERQRQLDLERVKSMELQFNLDMEREKQTQRELQRELDQVELGRSSRREFSANTNSRSRERYRERDNGRGQQEGRSRGGGGVEAGGSATRSFSGNLPTILQQPRERTTSDERTSTGGNYYEENAEGSGDASSVGDPESAAALEAGTRHTPSRGSKSSSSTSTSTSRQVVVERRERREGKWERKHS >ORGLA04G0274600.1 pep scaffold:AGI1.1:ADWL01009564.1:850:2104:-1 gene:ORGLA04G0274600 transcript:ORGLA04G0274600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPEEARKEINSWVAAATEKLIDTILPPGSVSTDTGLVVASAIYFNCQWRTPFCKEITEKRAFHRLDGGDVEADFMRSGEDQYIAVHDGFKVLKMPYAARVSARTTAAETPTRYSMYVFLPDERDGLWSLEDRMAAGGEGFLREHTPERRVEVGEFRIPRFKLSFDDGVVGALQRLGVRDVFKPFVADLADVLEAENSGDDPPLFVSDVKHKAVIEVNEEGTEAAAATAVCLTFASAAPSSRRPARVDFVADHPFAFLVVEESSGVVLFAGHVVDPTDE >ORGLA04G0274500.1 pep scaffold:AGI1.1:Oglab04_unplaced043:4558:7162:-1 gene:ORGLA04G0274500 transcript:ORGLA04G0274500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSSTSRRRRDEYYAARPPPPPPPPPHHYYTYPPPPPPPPHHHYHPPPPPPPPHHHHQHPYRPPPPPHHATSSSSYYYHHPPPPHAYHGPWHPAPRPPHPEQPALTGPPPEFVEHQQARKVKNDVNLHKDTIRLVPDVTDPDRRLVAFTFDAVTDGSITIYYFGKEGKNCTFSSVYPELQTPTKIPFQKGLAQKFVQTSGSGVDLGFFSLDELSNPSGEVFPLVVYAEACPPPEESHQPNSTRAQITLAVIEKHHNDLRVKVVKQMLWSDGEKYELQEIYGIVNSTEADVPNTDDSDMGKECVICLTEPRDTAVFPCRHLCMCSECAKTLRFQTDKCPICRQPVEKLMEIKVRSPEP >ORGLA04G0274400.1 pep scaffold:AGI1.1:Oglab04_unplaced042:2678:3279:-1 gene:ORGLA04G0274400 transcript:ORGLA04G0274400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGGFAYIAGAAVSGASVNVSMAILSGALLSIGLGFTTQFVPLYMAEMAVARYREAFSNSIQFSLCLGALAATIVNFTMKKTPNSLVQQGKDRDKVKALLQKIRGVDTIDDELDEIVAANAAAAQGENGLWLIL >ORGLA04G0274300.1 pep scaffold:AGI1.1:ADWL01009559.1:6656:7207:-1 gene:ORGLA04G0274300 transcript:ORGLA04G0274300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGCVVLLLVLLICSSVLALTSGVGLDFELTHVDAKGGRYTTTERARRAVDRSRRRLAASMSSTGHGDVRAPVQRAQGEYFTEYLIGDPPQRAEAIVDTGSNLVWTQCTDCLAAVADPCFEQHLPLYNYSASRSTKPVPCTDALCQANPYGHFCDRDGSCVFLPPGRVRLGDHRWTPRHGGLR >ORGLA04G0274200.1 pep scaffold:AGI1.1:Oglab04_unplaced038:74328:77176:-1 gene:ORGLA04G0274200 transcript:ORGLA04G0274200.1 gene_biotype:protein_coding transcript_biotype:protein_coding QYGSYMKRRYMDAMALIQKYGKPDVFLTMTSNPKWDEITRGLEPSQTPQDRPDLVVRVFRAKLEDLKKQLFEKHILGKVIAHVYVVEFQKRGLSHAHFLLIMSGRYKLTSDRIVSAEFPDKKKYAELYNMVVKHMMCGPCGRLNGRCQCMRDGKCRNNYPREFNPTTFAQGGVFFVDGPGGTGKTFLYRALLATVRGKGDIAVATATSGVAASIMPRGRTAHSRFKIPLNIEEGSYCSFTKQSGTTKLLQMASLIIWDEASMTKRQAVEALDMSMRDIMGCPHSPFGGKTIVFGGDFSQVHPVIRKGTRSQITDATLRRSSLWDCMVQLKLVRNMRAQSDAWFVDYLLRFGNGTEEVNKEGLIGLPLDICVSCKGNETDLERLIDTVFLNLNDNLTDPNYITCRAVLSTQNEFVDRINMKMIERFRGDVMTYHNFDRAEDDPHNNYPPEFLNSLTPNGLPPHVLKLR >ORGLA04G0274100.1 pep scaffold:AGI1.1:Oglab04_unplaced038:73820:74230:-1 gene:ORGLA04G0274100 transcript:ORGLA04G0274100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGDCVVGQHAGKRVFLPRIPLCPSDDEMFLFRFKRKHFPVRLSFALTINKAQGKTIPNAGVYLPEPIFSHGQLYIALSRATSRTNIKILSMPVEDKKQKKKSKCTGVKDNEKKGKEFSKQAATYTKNIVYRDVLTH >ORGLA04G0274000.1 pep scaffold:AGI1.1:Oglab04_unplaced038:42247:43251:1 gene:ORGLA04G0274000 transcript:ORGLA04G0274000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQPPSPSPSSAKGKPLKLGLTDIIEENVMPIDPEKFTPEQKEQFEAMMQQARDQFLNSFTQTRKGTFVQKYKVKVVADDPGTSSSKDGEGKQAPDGSAHPSIKSATDGNRGDDSQGVHRIQGDGAQGPQGGDFNQNNEAAHDFFNNFQDRVNYAVHNALINQSGVLTNTLANMMKSVADGSIAEHQAAGPVYLQGSTFPNYRPLITDIHPPTQAVPPIASSAQSTAPASAPVPAAPPSALGQLINPQLLVREQPQHAGPNVTQLAQDQVVSMFLHPQNVVNSVQQQPIQQTPPRQHIVQPSQQTTSIQQAVQPVQQTPSRGSLYSRFSKRR >ORGLA04G0273900.1 pep scaffold:AGI1.1:Oglab04_unplaced037:8809:9719:1 gene:ORGLA04G0273900 transcript:ORGLA04G0273900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVASASASCFAPRSDHGGGWSYGGTRGVPSPRRGAARRLRRVLARSGGGGGGGGGGEGRGILDPLATPLQILGLDASASYTAAQLKAAFRARVKEFHPDVCKDTENADLIMRRVLEAYEVLAFFLVFILRRFSFSLS >ORGLA04G0273800.1 pep scaffold:AGI1.1:Oglab04_unplaced037:3522:8518:-1 gene:ORGLA04G0273800 transcript:ORGLA04G0273800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSLSLLLAPSRALSLSSPPLRLAPTRPPLRLHHDGGHLLVGTTRRQAPSPRRRRLHAARASALSAAAASPVGPAGEGGEVGGRARKAAGYRNRFLDLARLGAVAESAAEALFRSEIRRRLAVTAVLILLSRVGYFVPLPGFDRRLIPDSYLSFAPLPADDLGDFSSELKLSFFQLGISHQISASIVMQVLCHVLPSLEKLRKEGLDGHEKIKGYIWWLSLGFALVAAFTVSCYSLQYSIYAASYRVKHVMITSLFLVLGAMTMTWICDTISESGFGHGSSLIICVGILTGYTDTLHKMLTQFSGNWYSCWPYILGIAGTFILVTMGAVLVTEGCRKIKLQYYGFKLASGARSESSPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFGTQFWESLKETLNPKTSVGGGPWVYYLTYAFLVFVFNIFDIANLPKEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLSLLATSSLLLDRYLRQINEGFSIGFTSVLIIVSASQ >ORGLA04G0273700.1 pep scaffold:AGI1.1:Oglab04_unplaced032:11259:11465:1 gene:ORGLA04G0273700 transcript:ORGLA04G0273700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVQEQDKQLVVGLAPTAADQLARMCNADEPLWVRQRGAEVMALEEHARMFRCEILLASMNYQGTSM >ORGLA04G0273600.1 pep scaffold:AGI1.1:Oglab04_unplaced031:2533:3426:1 gene:ORGLA04G0273600 transcript:ORGLA04G0273600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRCLDGKWLFFWGDSNHVDTIRNLLTFVLGVTNTSTVTRRFDAAFTNPSGGPGTVRITSIFNGHWNMSMNYLGLHSLRNKGFQHLVRSYFLAEDRAPDIVILQFWAGVMAEVRSRGHAVPRMFYRTTIATGGYARDLAFNPSKMEAFNGVLVEKLRRHGVLTGGLIDNFDMTFPWHYDNRCNDGVHYGRAPAKLLWRDGKVGHQYFVDLMLGHVLLNAICNG >ORGLA04G0273500.1 pep scaffold:AGI1.1:Oglab04_unplaced030:2949:3254:-1 gene:ORGLA04G0273500 transcript:ORGLA04G0273500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFSLAHVPMGASMVAPPAYTLYHHAASYNNGGGMIGAGDNAAVRAWQQQQQQLCGSKGTGVFIPRSSPGSVHPKKKGKSKGNVHKPVRGQAAPAKKQSSQK >ORGLA04G0273400.1 pep scaffold:AGI1.1:Oglab04_unplaced028:17499:17888:1 gene:ORGLA04G0273400 transcript:ORGLA04G0273400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRKFRTDRAGKAVMAVEEVQALRKEFDAQQASNHQQPARKKVRKDLYCAFHGRSSHTTEQCRNIRQRVNTQDPRPQQGATVKAPREAVQDQTPPAGQRQDAQRRIIQVITRADPPSQLSKRQKKMQI >ORGLA04G0273300.1 pep scaffold:AGI1.1:Oglab04_unplaced028:16356:17456:1 gene:ORGLA04G0273300 transcript:ORGLA04G0273300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAEGALALQAQLQAFLQQLNQPHCISSTTPSAHPAGNTSQGAPNRLSPIQPGSGPSPWSQGPQFDFVNTAQVPTVRQQVPTSGFGTNQAPIQAAMMWSQPIFDSSMAAQQVLPVGAGQPNATAQLHAQAAISPFATPYPQQGAVNRAGGEKGLPLSGGIKTRPIPPQFKFPPVPRYSGETDPKEFLSIYESAIEAAHGDENTKAKPQTLEHLLRIIDGFARGEEDSKRRQAIQAEYDKASVAAAQAQAQVQVAEPPSLSARQS >ORGLA04G0273200.1 pep scaffold:AGI1.1:Oglab04_unplaced028:12822:13338:1 gene:ORGLA04G0273200 transcript:ORGLA04G0273200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKDTCDLILWLQEIMIATVDNPSNAVEWALAEMVNNPNVMKKAVDELDVVVGKERLVEESDIQNLTYLKACIREAFRIHPYHPFNPPHVAISDTIIAGYLIPKDSHVMLSRIGLGRNPRVWVNPLEFRPERHLNNATSVAALPCHLALQLQ >ORGLA04G0273100.1 pep scaffold:AGI1.1:Oglab04_unplaced028:2834:3766:1 gene:ORGLA04G0273100 transcript:ORGLA04G0273100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPSSVNMREQNNIIIISIAMTILLLVVFFCRMLGNMAGKNKRKKQPKLPPGPATMPVLGNIHQILMNKPVFRWIHRLLDEMDTEILCLRLGSVHVIAIASPEMAREALRRNDAVLTSRPVSFAWQAFSFGYKNTIGSTGDQWKKMRRMLTSEILSSAMERRMLGQRVEEADHLVNYIYRNCNNGTVDIRHVTRHFCGNIIRKLVFGRRHFDSGAGNIGPGRDEEAHIDALFTALDYLGAFSISDYFPSLVLSGLMSTFRRLHDPIIMERMEEWRAPRRNGDKRREVADFLDVLDLLGRCTGKTVVVTR >ORGLA04G0273000.1 pep scaffold:AGI1.1:Oglab04_unplaced027:805:1011:1 gene:ORGLA04G0273000 transcript:ORGLA04G0273000.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPQPYIHPPMVSSSGNRSSCASTGQVGSQSIDAMQSQDETTYPVDEITQRTPCELHIPFKNLSIKVCS >ORGLA04G0272900.1 pep scaffold:AGI1.1:Oglab04_unplaced027:1:804:1 gene:ORGLA04G0272900 transcript:ORGLA04G0272900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFVPESEKEMLWTTMLETFTLPTGTENIVKQWTLKKMAEQFQSFKGDLYKKYILKGLTPNFDIFPKLRDHWDEFVAYKIGQQGQAMMVKNKENGTFRPDREKDELSLALQTPEHPGRTRGKGVIPWKIGFKEDIHTYRSRMRSKRDTEAKITDLEYRVSSYELSVQEEVARKVDERIVAHRS >ORGLA04G0272800.1 pep scaffold:AGI1.1:Oglab04_unplaced025:2514:3264:1 gene:ORGLA04G0272800 transcript:ORGLA04G0272800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVAEQQASQEACSQRREDDLLTKALGNKEHRGRTRGVGSSVPWIYTTAXHIPXVLNXHSLYXCRSAHWILLVITPKWSTCHYLNSRIDKNAYDWTPIQLAIDEAWAQYVQRGGLRKTGHDTLIHKKDFPVKQQIGDQCGFHVCHNMCLLYREKVKTLAEFEGTITKSLPTNFEEAMMNTYYATVMM >ORGLA04G0272700.1 pep scaffold:AGI1.1:Oglab04_unplaced021:8475:17910:1 gene:ORGLA04G0272700 transcript:ORGLA04G0272700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSAAVLVVAVLIGGFISAAAAGENNNATAMKAGLVLQEELEILDPSSFCSSDDCQDCLVEGVVSCFKAAGPYSLPSASSPTCWITPASSSSQLATIYIYVLYLYMLMYLLLVECTNKMATGAVPGDNVAANLEDFKAALYGPAEECSANVDRCISVTKSHILKALKEVEDAAPPEKKLETQEATFQQAKIVVSTLDKAKATGIENKVASVSFACRMASDAVLVAAPADKFIVMQKNFKVATYPVA >ORGLA04G0272600.1 pep scaffold:AGI1.1:Oglab04_unplaced019:33452:35645:1 gene:ORGLA04G0272600 transcript:ORGLA04G0272600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVHIHNQNPLHIGRRNSLSRAQQFINKWELQCMLLASFSLQIFLLFSSGFRKRHSSCVLSVLLWLAYLSADPVAVYVLGRLSLRASGSSDPRNQQQLVLFWAPFLLLHLGGQETMTAFSMEDNMLWKRHLLSLTTQMVTAIYVVSKQLQGNSRLVAPMVLVFVFGTAKYAERIWVLRRAGSVAPGTSSSTANLVSRASSNAVWDTQGYYSQLCYVIERKLERNFEFILAVANEGFRLSLSFFMDMTPSISLLPQDISEIKNSVEVFKSSEDIVHMAYKLAEINLSLIYDYLYTKFGTRHFHIVPVCNIFHLIIKIALISVALALFMRARAGQKAHDVVDVIISYILLVGAIVLEICSVFMSFISSCWAYKTIITLPLTCPLCQKFPGVIAALLSLVRHLHPDSRGEWSGKLAQNNMIEGCIREKQAGAGLLRRARRYIGIDDNKAIKRIGVSPEVKKLVLDKLLEIASTSRVLEWDLGVGRFRGQWAQWVVDAKEDHLCSAAQQVLQVSNIQGLEFVSSVLLWHIITDICLLVDEDEDGGAELRGPTRNLSEYTMYLIADCGVMAGSEGHFVLRKGCHEVLSWLREKGESGGDRRKVIEDIRNEDSSFFADNYYPVLDRARRVSSDLLVLEEPGDRWELIAAVWMEMLCHISYNCGAGFHAKQLTTGGEFVTHVKMLLFMLGVPFLRDVKEPLFYRAGNLYS >ORGLA04G0272500.1 pep scaffold:AGI1.1:Oglab04_unplaced019:30035:30641:1 gene:ORGLA04G0272500 transcript:ORGLA04G0272500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGPNPSKACLWHGKDNVAVCEQRRRHHGASKDGVVCSGKKGWHCGACAARGIGSGATVTGYGVPDMGPSGGVVWGGGAARGVAMTRPGRPGVRMEGSSVERGEASMGIARGRRVRCQQATGASEETSGRGGDDRSWLPVGRHCGGDMTWQRFDWVMELGVATIDMGKGAANENLAWDLHRQ >ORGLA04G0272400.1 pep scaffold:AGI1.1:Oglab04_unplaced019:26077:26334:-1 gene:ORGLA04G0272400 transcript:ORGLA04G0272400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKMIVWQASKDRAEERSQSGPPLWRLKLPWPNQQIKAALCRSDSDSEEKECRSCGVVAARKLNNQHNLFARETIELRAVYAEK >ORGLA04G0272300.1 pep scaffold:AGI1.1:Oglab04_unplaced019:15457:16861:1 gene:ORGLA04G0272300 transcript:ORGLA04G0272300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGXQQATPPPPAKRSKRMKSSPPKLDIRRKAAGTGRGKIKVLLAPKKLDLGKAPVAPPKPPAEFTLGMPLVGDDALFKMGPGCKELHGYYMEKSNARRKNRETSMLGQHDGQPFLGPTAFIAVDFKDLWDLYRVRAIDSNLLKCYSLLTWKHVHCKAPHVALLDPAVVNETTLKNDQANMVGYIKDCLFARQDKDFIMCAYNQQRHWILLVITPKWSRAHYLNSNIKLEIYDWSAIESALNEAWDQYVARGGRHKDGHPKLGHKKEFPIRQQVGDQCGFHVCHNMRSFAEKVTLLDPKSNLVLQVLMHVGGFGEKKGCE >ORGLA04G0272200.1 pep scaffold:AGI1.1:Oglab04_unplaced012:1313:2809:-1 gene:ORGLA04G0272200 transcript:ORGLA04G0272200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:I1QAF0] MAAAAVAADQKVVTMTSLREGCACAAPPAAAAPPMPKMAAAQRVVAELREACATPAARLAEVAAAMAGEMEAGLAVEGGSSEMKMIVSYVDTLPTGGVEGSFYALDLGGTNFRVLRVRLAGGGVAERVAREVPIPPGLMSGGGATSECLFGFIASALAEFVGEEEEEGGLDGGERELGFTFSFPVHQTSIASGTLIRWTKAFAVDDAIGEDVVAALQAAMSERGLDMRVSALINDTVGTLAAGSYYDEDVVAAVILGTGTNAAYVEDATAIAKLHPSQLPASNTMVINTEWGSFASPCLPLTEFDEALDQESLNPGEQTYEKLISGMYLGEIVRRVLLKISSRCPSLLGGAGELATPFVLRTPDVSAMHHDETPDLSIVGEKLERTLGIRGTSPEARRMVVEVCDIVATRAARLAAAGIVGILKKIGRVDGGEGRRRRSVVAVDGGLFEHYGKFRRCMESAVRELLGEAAAERVVVKLASDGSGLGAALVAAAHSQRA >ORGLA04G0272100.1 pep scaffold:AGI1.1:Oglab04_unplaced009:6867:7178:1 gene:ORGLA04G0272100 transcript:ORGLA04G0272100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVNRYQSQETVLVSQEDRISQQQRSLYYRVGVQSCWAADRSRAHNCITTGKRKPRLGPNITGATWELGRNPKTHRSQLAPGRTPRRRDLLHLLQQLGGGELFI >ORGLA04G0272000.1 pep scaffold:AGI1.1:Oglab04_unplaced008:19687:24718:-1 gene:ORGLA04G0272000 transcript:ORGLA04G0272000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSKEELVYQQVNYGNADGIRALRAQGAGLEWIDKEGKTPLMVASMRPDLINVVQVLIELGANVNAYRPGSYCGTALHHAAKKGLEQTVHLLLSHGANPFITNDDCHTALDLAREKGHVNVVRAIEGRISLFCGWMRENYGPGFLEAFAPQFLTRKIWAVILPREARNQTRPLKLELTIYPELQASKPQAVIKLWKCQLEEPKFNQANPSVTIFDKGTRTRYKLLPVCEGDRQQLQWFYSACCGIPQVASMVPAQPANAPLPNPSSASSLPSVISTPSKEDAELAMAINASIQSAIAEGVPDVQPITTTTATNDWGNPPSNSLNGWGPPDTSAPSKTSGQVPVVTSSSSTYNGWDVPGTSSGQSSSKHNKSQNSTFVVPQEALPSLPVPTAPPLAVGTFYDGPIQYPSIDSTPVDVTMPSADGGTAVSSAKPAENEGDAKPAESDANASNSGNTPPGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRAKINQIIRLYAV >ORGLA04G0271900.1 pep scaffold:AGI1.1:Oglab04_unplaced008:13620:15066:1 gene:ORGLA04G0271900 transcript:ORGLA04G0271900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKMKKGILRPFRYISNMMDGKEAAQDMQIGFPTDVKHVAHIGWDGPSVPNNNNTAGAPSWMKDYHSAPLDSASFRSDRGGSAAANPWASQEIVVDGGSLGDTSFSETRSEAGGSMDITAGDSPPSPDSRRSRRHRSRGSAATSSMDCTAADGGAAPEKKDKAKKSSRGKNRKKDKSDKSAAAGAGAGDDASGATCQDLPAVPKKSNRRKNKGGSEGTGAAAASKADGAGAGGEDAAAPEPPATEEAQDHD >ORGLA04G0271800.1 pep scaffold:AGI1.1:Oglab04_unplaced008:3679:5212:1 gene:ORGLA04G0271800 transcript:ORGLA04G0271800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFTPSLQGIKNVKSESGVILTKPFLEVCKHILPVLDKFGSAMSIVKNDIGGNITRLETKYASDPSKYEQLHSMVKVEISSKTAKSSSSCTNGLLWLTRTMDFLVALFHNLVQHPDWQMSQACSDAYSKTLKKWHGWLASSSFSVAIKLAPDRKKFMEIISGSGDINADIEKFCATFSPLLAENHRFLASVGMDDLKAS >ORGLA04G0271700.1 pep scaffold:AGI1.1:Oglab04_unplaced007:6560:6844:1 gene:ORGLA04G0271700 transcript:ORGLA04G0271700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVNRYQSQETVLVSQEDRISQQQRSLYYRGGVQSCWAADRSRAHNCITKGKRKPRLRPNITGATWELGRNPKTHRSRLAPGRTPRRRDLLHLLQ >ORGLA04G0271600.1 pep scaffold:AGI1.1:Oglab04_unplaced001:1204:1482:-1 gene:ORGLA04G0271600 transcript:ORGLA04G0271600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKPNRASAKGPDPGRVDDDSTAFLGVSLVDDVELAKLVSSGALVEGQAFAPGKAVVPKPVDNRTVVFAVFFEAGLRFLCNMLLPEILRLF >ORGLA03G0417700.1 pep scaffold:AGI1.1:ADWL01007364.1:3489:6556:-1 gene:ORGLA03G0417700 transcript:ORGLA03G0417700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKWLPELQHYAPGVPIVLIGTKLDLREDKHYLLDHPSLVPVTTAQGEELRKHIGATCYIECSSKTQQNVKAVFDAAIKVVIKPPTKQRDRKKKKTRRGCSFFCKGVMSRRRLVCFK >ORGLA03G0417600.1 pep scaffold:AGI1.1:ADWL01007364.1:1206:2570:1 gene:ORGLA03G0417600 transcript:ORGLA03G0417600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMGKWERCIGGRSKPMSRQRTQEALRRAPGDSEVDRKEKPWAARWKASLIATCLVALPALVFLAVGGGMPSAVTVLVRGTTPTSAGQGGGAGAARAMAECDVSRGRWVREPRGPSYTNVTCSTVADYVNCQKFGKDPGYLYWRWRPDGCELPRFSPATFLAAVRGKRLAFIGDSLARNHMESLLCLLSQAETPTDMHAGAFVDAFRRWRFPEHDFMLMAVWTEFLVHAVPVVAGRRTGPFDVHLDRINADWTRRLPELDYAVISNGNWFFRANYLWEGGRRVGCVDCGEPGLAHFPMAYAVGRVVGAALDAIAGCADCKRELVALVRTYTPDHFEHGSWFSGGYCNRTRPLEEEEVSSVAIAWELRAAQIEEVRKAREKATTTMRTRRRFGVVDVTPAMMARADGHPGEHHRRWRGRNANDCLHLCLPGPIDMWNDVLLRRLAELSPPSDAR >ORGLA03G0417500.1 pep scaffold:AGI1.1:Oglab03_unplaced115:33521:39106:-1 gene:ORGLA03G0417500 transcript:ORGLA03G0417500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSPRGGGCAGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELIENSLDAGASSVSVAVKDGGLKLIQVSDDGHGIRFEDLAILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENEPKPCAAVKGTQVMVENLFYNMVARKKTLQNSNDDYPKIVDFISRFAVHHINVTFSCRKHGANRADVHSASTSSRLDAIRSVYGASVVRDLIEIKVSDEDAADSIFKMDGYISNANYVAKKITMILFINDRLVDCTALKRAIEFVYSATLPQASKPFIYMSIHLPSEHVDVNIHPTKKEVSLLNQERIIETIRNAIEEKLMNSNTTRIFQTQALNLSGIAQANPQKDKVSEASMGSGTKSQKIPVSQMVRTDPRNPSGRLHTYWHGQSSNLEKKFDLVSVRNVVRSRRNQKDAGDLSSRHELLVEIDSSFHPGLLDIVKNCTYVGLADEAFALIQHNTRLYLVNVVNISKELMYQQALCRFGNFNAIQLSEPAPLQELLVMALKDDELMSDEKDDEKLEIAEVNTEILKENAEMINEYFSIHIDQDGKLTRLPVVLDQYTPDMDRLPEFVLALGNDVTWDDEKECFRTVAAAVGNFYALHPPILPNPSGNGIHLYKKNRDSTADEHAENDLISDENDVDQELLAEAEVAWAQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVASLEKLYKIFERC >ORGLA03G0417400.1 pep scaffold:AGI1.1:Oglab03_unplaced115:27891:32502:-1 gene:ORGLA03G0417400 transcript:ORGLA03G0417400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRGRSASRSSSGSSSRSPSRSASSGSGSSRSRSRSRSFSSSSSPSRSRSPPAAKASSPGARRGRSPSSPAKRGSPSKKGRSPSPPPPKKASPPRKASPAPESVVLHIDHLSRNVNEAHLKEIFGNFGEVVNVELSMDRMVNLPRGYGYIEFKKRTDAEKALLYMDGGQIDGNVVKLRFTLAPRQRASSPMKAPPPPPKRDVPHNEKGAPSAEKDVQQRREPSPRRNPASPPRKRTPPNRRVESPRRQPDPSPRRRPDSPPIRRRADASPVRRGDTPPRRRPGSPVRRRSPSPPPRRRRSPMRPSPRRLRGSPSPRRRSPGPIRRRSPPPPPRRPRSPPGRRLPPPRRHSRSPPPRRPPHSRSRSISPRSRRGPPLRRGRSDSSYSRSPSPPRKGPRRVSRSRTPPRHRRGRSISSDSRSSSSPSPRRR >ORGLA03G0417300.1 pep scaffold:AGI1.1:Oglab03_unplaced115:26265:26683:1 gene:ORGLA03G0417300 transcript:ORGLA03G0417300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHHKNDDKAAAAAGGDHRKEEKHHKHMEQLAKLGTVAAGAYAMHEKHKAKKEPENARSHRVKEEIAATIAAGSVGLAVHEHHKKEAKKHGHHH >ORGLA03G0417200.1 pep scaffold:AGI1.1:Oglab03_unplaced115:24741:25196:-1 gene:ORGLA03G0417200 transcript:ORGLA03G0417200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHHKNEEKMAAAGAAPKDAGDYRKEEKHHKHMEQIAKLGAAAAGAYAMHEKKQAKKDPEHARSHKMKEGIAAAVAVGSAGFALHEHHEKKEAKKHRRHAHHHHH >ORGLA03G0417100.1 pep scaffold:AGI1.1:Oglab03_unplaced115:15179:17718:-1 gene:ORGLA03G0417100 transcript:ORGLA03G0417100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPFPSLDAFYLHLLRACTSLRHAAAVHAHIARAHPAASLFLRNTLLAAYCRLGGPLPARRLLDEMPRRNAVSFNLLIDAYSREGLAPLSLETLARARRAGVGVDRFSYAAALAACSRAGHLRAGRAVHALAVLDGLSSGVFVSNSLVSMYSKCGEMGEARRVFDVAEERDDVSWNSLVSGYVRAGAREEMVRVFAMMRRGGMGLNSFALGSVIKCCSGRGDGTMDIAEAVHGCVIKAGLDSDVFLVSAMIDMYAKKGALVEAAALFRSVQEPNVVMFNTMIAGFCRTETVIGKEVASEALTLYSEVQSRGMQPTEFTFSSVLRACNLAGYLEFGKQIHGQVIKYTFQEDDFIGSALIDLYFNSGCMEDGFRCFRSSPKHDIVTWTAMVSGCVQNELHEKALSLFHESLGAGLKPDLFTISSVMNACASLAVARAGEQIQCFATKSGFDRFTVMGNSCVHMYARSGDVDAATRRFQEMESHDVVSWSAVISCHAQHGCARDALHFFDEMVDAKVVPNEITFLGVLTACSHGGLVDEGLRYYETMNKDYGLSPTIKHCTCVVDLLGRAGRLADAEAFISNSIFHADPVIWRSLLASCRIHRDLERGQLVANRIMELEPTLSASYVILYNMYLDAGELSLASKTRDLMKQRGVKKEPGLSWIELKCGVHSFVAGDKSHPESSAIYTKLEEMLSRIEKLATTDTEISKREQNLMNCHSEKLAVALGMIHLPQSAPIRVMKNLRVCRDCHSTMKLISKSENREIILRDPIRFHHFRDGSCSCADYW >ORGLA03G0417000.1 pep scaffold:AGI1.1:Oglab03_unplaced115:3772:11211:1 gene:ORGLA03G0417000 transcript:ORGLA03G0417000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 2 [Source:UniProtKB/TrEMBL;Acc:I1PIH4] MGHSPSRHNACGGGGGDGESPPSPLPSRFERFRRRLRLRHRDRAGRPGGDAHASESGTGRAIAVDEFAGIARIRIVKEKKVVVETNGPHIARISVFETNRFSKNTLVGYCEVDLFELLTKDPVETEQSFARRVLAIVDYNEDGELSLSEFSDLMKAFGNKLAVAKIEELFRQADKNGDGIVDMDELAALLANQQEKEPLISNCPVCGEILGKHDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLHSGSTASHILVFDRRTKRLVEEVIDGKIVLSMRALYQSKVGLTLIDTGVKDLLKNLSEKQGKKMSSPESAKDIPKFLELFKDQINLDEVKDPLESFKTFNEFFVRQLKPGARPIACYEQDTIATCAADSRLMTFSSVDESTRLWIKGRKFSIEGLLGKDVHSDALCNGSLVIFRLAPQDYHRFHVPVSGTLEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSIEFLKEEGDYVHKGDEFGYFAFGGSTVICVFEKDAIEFDADLLANSARSLETLVSVGMRLGVSTRNRDLQPQELEKCSLE >ORGLA03G0416900.1 pep scaffold:AGI1.1:Oglab03_unplaced115:1918:2405:-1 gene:ORGLA03G0416900 transcript:ORGLA03G0416900.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLSVGHPPFFKGLDYIYEDRGEVLDIRIFETGEYALIAWVGIPTPPAWLPTYMLIKSDKLDYERI >ORGLA03G0416800.1 pep scaffold:AGI1.1:ADWL01007357.1:20482:23953:-1 gene:ORGLA03G0416800 transcript:ORGLA03G0416800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in cullin neddylation protein [Source:UniProtKB/TrEMBL;Acc:I1Q0Z4] MHKLGRGSRDKVQQFMTITGASEKVALQALKASDWHLEGAFDFFYSQPQISLTNSRHLEDLYNRYKEPDVDMIMVEGVSQFCTDLQVDPQDIVMLVISWHMKAATMCEFTRQEFIGGLQSIGVDSIEKLREKLPSLRAEIKDDHKFREIYNFAFAWAREKGQKSLGLETALGMWQLLFAERHWPLIDHWCQFLQVRHNKAISRDTWSQLLEFVKTIDPQLSNYDEEGAWPYLIDEFVEYLTENGFVQLRK >ORGLA03G0416700.1 pep scaffold:AGI1.1:ADWL01007357.1:15076:16218:-1 gene:ORGLA03G0416700 transcript:ORGLA03G0416700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWLLRRPRCRSCRLRRVGRRRGKISPAVLFIIVILAVVFFISGLLHLLVRLLMKKQHRRGGGGGAAAGVSRSAAGDDAGGGGDAALQRQLQQLFHLHDSGLDQAFIDALPVFAYREIVVGGGGDGDKEPFDCAVCLCEFDAEDRLRLLPLCGHAFHLHCIDTWLLSNSTCPLCRGVLFVPGLTENNPMFDFDEGLEEGRLSEDCDNGFGYPGHKATEGMQTPGTEKRVFPVRLGKFKNVGTQGAVEGGGIGNANGAVLRREEGESSSSSLDARRCFSMGTYQYVLGTSELRVSLQPDRIRNGGGGVTRARPTGLSSVNAEIMEGKKICARNKGESFSVSKIWQWSNLKGKLPTGSDECSEAGSLPWMKRGGIGDTSNM >ORGLA03G0416600.1 pep scaffold:AGI1.1:ADWL01007357.1:7423:8025:1 gene:ORGLA03G0416600 transcript:ORGLA03G0416600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAAAAGMDEADAAFFSRRGNRCCCFWGPWASSSYSRAGGPAAAAEEEWWHRVGGGGGERRRWWRRGVDALMKVREWSELVAGPRWKTFIRRFRRSPRHHHHGGGGGGGGGGRKLNYDPLSYALNFDEGHGGACSPEGDYAGYRDFSTRFVAPPPPAAASAKSSMDFGGRDAPPLFHHPPPQQPHPHPHPPSPSAARG >ORGLA03G0416500.1 pep scaffold:AGI1.1:ADWL01007353.1:8868:9101:-1 gene:ORGLA03G0416500 transcript:ORGLA03G0416500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYDHKSDCRVHQTSKKYMGSDGTFLREGIYEFNGKILSISPYSSSCLAFLTYLHCSPIFSYIRTLCMSPHQSCCI >ORGLA03G0416400.1 pep scaffold:AGI1.1:ADWL01007346.1:2638:3330:1 gene:ORGLA03G0416400 transcript:ORGLA03G0416400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDMDDLVARFMDITMRDSRDAAANHISSCRGSLDDALALYFAAADDETPIRPPIPTRTERLYGDDDDDHGHLTATPPPPPPPPVPVVRPPMPVPARTESFFQDAGYLRAVLGNSNVVEEEDGDAASDYGEAAEGEEACSVRVRFPDGRVVQKEFGAARPVEALFRYCHRHSVSAAGGGRRAFRLVRFAGAASEEIRRGDATFQQLGLHCWTLHLLFGLGPRAHSDGH >ORGLA03G0416300.1 pep scaffold:AGI1.1:ADWL01007344.1:11593:11748:1 gene:ORGLA03G0416300 transcript:ORGLA03G0416300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKQGEKDQHQPLLRQHALRFGENSYLMAAPFATMQEHNNSRSTYVESG >ORGLA03G0416200.1 pep scaffold:AGI1.1:ADWL01007344.1:11258:11569:1 gene:ORGLA03G0416200 transcript:ORGLA03G0416200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAILVSVAFAQILAILSNSFAVGDAAAGYEYDGAADHPVLRMAVSTLTVAVPATFYVGVMELYARVTPVAPPLRRLLAVLAPGMAWITLFLGMPPLVVLLLG >ORGLA03G0416100.1 pep scaffold:AGI1.1:ADWL01007344.1:6175:6519:1 gene:ORGLA03G0416100 transcript:ORGLA03G0416100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVPPIVISAFSILLGALQSLESFLAASSATSPSLDRGAQLAAGALFFTILISVELTCLLLFAYVGKLGAAGGAGHGGFFAVATATLAAAAVTALLAGAILPFFSARAGGIE >ORGLA03G0416000.1 pep scaffold:AGI1.1:ADWL01007344.1:3081:4340:1 gene:ORGLA03G0416000 transcript:ORGLA03G0416000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CNGNGDDGDDPATATARRAATAPPTRSGHRSPCAACCGKVGDDGGGKVGDDVMGGAGYGATGGDGAPDQTCPPPPVRRSLREVASITASASITAAIQNYNAPGLSSTMASYLGEGTALQRMQMHQTKNAYESTEQHIYA >ORGLA03G0415900.1 pep scaffold:AGI1.1:ADWL01007343.1:2257:7536:-1 gene:ORGLA03G0415900 transcript:ORGLA03G0415900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGRARQDALAEDVFLRFAREGATVQVFNAMMGVYARSGRFDDARQLLDAMRDQDIEPDLVSFNTLINARAKSGCLAAGVALELLHEVRQAGLRPDAITYNTLISACSQGSNLDDAVAVFEEMIASECRPDLWTYNAMVSVHGRCGKAQEAELMFKELVEKGFQPDAVTYNSLLYAFAKEGDVERVERVCEELVKAGFRKDGITYNTMIHMYGKMGRLDLALGLYDEMRAIGCTPDAVTYTVLVDSLGKMDRISEAGKVLEEMADAGLKPTLVTFSALICAYAKSGRQDDAERTFDRMVESGVKPDRLAYLVMLDVFARSDETRKLMVLYRAMIKDGYKPDDGLYQVLLAALAKGNEHDEIEGVIQDMEAVFEMNPLVISSILIKAECISQGASLLKRACLQGYEPDGKSLLSILDAYEKMGKHEKGLSLLEWIRQHVPNSHNLISECSIMLLCKNGKIVDAIQEYSRKQMLKRGSFGQDCDLYEYLITYLEEAELFPEACQVFCDMQFLGIVPSQKIYQSIIYTYCRLGFPETAYQLMDDAARSDISLNILSCRVAMIEAYGKLKLWQQAENFVKGLKQESGVDRRIWNALIHAYAESGLYEHARAIFDIMIKKGPLPTVESVNGMMRALIVDGRLDELYVVVQELQDLDIKISKSTVLLMLEAFAKAGDVFEVMKIYNGMKAAGYLPNMHLYRIMISLLCHNKRFRDVELMVAEMEGAGFKPDLVVLNTLLLMYTGTGNFDRTIEVYHSILEAGLEPDEDTYNTLIVMYSRNFRPEEGFTLLYEMGKRGLTPKLESYKILLAASGKAKLWEQADLLFEEMRTKGYRLNRSIYHMMMKIYRNARNHSKAEHLLSAMKEDGIEPTIATMHILMTSYGTSGHPDEAEKVLNSLKSSNLEISTLPYSTVLDAYLRNRDYSLGITKLLEMKRDGVEPDHQVWTSFIRAASLCEQTDDAILLLKSLQDCGFDLPIRLLTERTSSLFTEVDSFLEKLGTLEDSASLNFVNALEDLLWAFERRATASWIFQLAVKRSIYHHNIFRVEEKDWGADLRKLSAGAALVALTLWLDQMQDASLQGAPESPKSIVLVTGEGEYNMVSLRKTIRAYLLEMGSPFLPCRSRSGRFVVKAYSLKMWLKDSPFCLDLELKDAPALPKTNSMKLTEGYFMRAGLVPVFKDIHERLGEVWPKKFSRLALLSEESRDEVIKADIKGRKEKLEKMKKQGLAIAKRSKRGPRRGKFVKQQSTLEVLK >ORGLA03G0415800.1 pep scaffold:AGI1.1:Oglab03_unplaced096:430:10379:-1 gene:ORGLA03G0415800 transcript:ORGLA03G0415800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCWEQLQGLCAAGHLRRRCRGRSLVLLQQLQLLGVLVVVVAGVAPGISEAQVRSRFKAIFMFGDSIVDPGNNNGQLTEARADFPPYGQDFPGGVATGRFSNGKVPGDLIASKLGIKELLPAYKDQDLELNDLLTGVAFASGGSGYDPLTSISTAISSSGQLNLFSDYKQKLTSLIGEEAMTRILSEAVFFTVMGANDLLNNYFTLPVRRHQYDIPGYVDFVVSNAVNFTLTMNEMGAKMIGFVGVPPLGCCPSQRTGPSRECEPLRNQASELFNTRMKQEIDRLNVEHNIDGLRVVYFDIYYNLLDLIHNPGYYGFKDTSDGCCGNTVLNAAIFIKYHSACPNVYDYIFWDSFHPTEKAYDIVVDKLIQENKQYLM >ORGLA03G0415700.1 pep scaffold:AGI1.1:Oglab03_unplaced094:9277:11498:1 gene:ORGLA03G0415700 transcript:ORGLA03G0415700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSKKNPEPSSSSSSGDLGGKTVQELLDMDKDAVSELVSDHFHQLYSLQNHLDVDDDDDDDDHWSEHNEQEDRSQLQERLAFYRIIGYQLSMGGKIDELDIAKLKDKYSPEMLYNKGYFQYYEDSLEWYFDPERCMLTSLDDYQRLVLCDNGLYMDWDQYHSNYSTYESDLAYVKFCEELANETKWFEDYLVLIAVKDKVTIGQWDKVKNIVYLQALKIALRIRVVSLMQIMAGFQEYIWSMRFDCCNYKDFDGVYFEVWKRVAKQKMEFTDALSELYREDMFPLRNVDIKDELDNIRVRAGFHSMKENYDIYVACIDETVPENEARQLIKEAVIKMNRKPETYLDYARNKLQIAQDIGLITKSTQD >ORGLA03G0415600.1 pep scaffold:AGI1.1:Oglab03_unplaced094:5568:8478:1 gene:ORGLA03G0415600 transcript:ORGLA03G0415600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLANLLVIGGTVLGRAAVQAYRQAIVNANKTGAAQEAINGIRRASKAMTEQEARQILGISEKSTWEEIVQKYDTMFERNAKNGSFYLQSKVHRAKECLEAVYQKPDIPS >ORGLA03G0415500.1 pep scaffold:AGI1.1:ADWL01007332.1:3565:7280:-1 gene:ORGLA03G0415500 transcript:ORGLA03G0415500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELLPDDVLELILRRVALSPRSLAACRGVCKAWRAIIDTRCPPPHPDLLPLSLAGIFFANFYCPIEDLPGFFARRGRHHRSRIFPKLNYLDDAPISKLEAHDHCNGLLLLDEYVVNPATRRWVRLPPTPEWSPAGSDLEAMVTDSSREEYLVFDPTVSPHYEVFSIPELVFCREDDKDNTESVVKQHEWPPSPFVVQVYSSAKGRWEKRSFIRQGEAAGTIADVHYSSWMASHHLYGIYWRGALHIQMKNNDVIRITLLDDKYQVIKSPSDINLNNHPYIYLGRSKKGVCCASIDHKQHQRLQVWLLHEVLHGGDQMEWMLIHDVSLEQIMADFRWNPEAVKPWIKHNTYRGDNRIMKKYQKMNLLVGTLRMIASLFIRKI >ORGLA03G0415400.1 pep scaffold:AGI1.1:ADWL01007331.1:1045:1462:-1 gene:ORGLA03G0415400 transcript:ORGLA03G0415400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIFGKGEGLYNIFGAHELLIFGAVLASNLDQNEGLMTEARSMGHGTKGPIPKGISGKKESSPLHWKILPV >ORGLA03G0415300.1 pep scaffold:AGI1.1:ADWL01007327.1:6474:9698:-1 gene:ORGLA03G0415300 transcript:ORGLA03G0415300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSREEGGINGLVVSHSAVDMFSMTIIENSRHRDGSIYRNKAFEGHFCITNRDETRLEPMMFSEPTDCRPSWETCSMHSACAMMQIFSLKLAKIPIDNDCIQLYGYIAVRDDLDKLLNYVVNYSRDNPIIMRQGDLIEMTGPKRGISMCCSVLLEFDMRIKKGEQEKDDLQLIDGAIDYIGLITATFPFTKRINGDCGAVDITLARVYWAVEATIQVIISDVQSGFNLYLSSLCFVSDVWKEIQLFNGPIGESCGLRRYVVAVSLDTWMHLKLKVGQKGSNHYVERYCSFKAINHGCSSQQILGELASISMKVTWSTLLD >ORGLA03G0415200.1 pep scaffold:AGI1.1:Oglab03_unplaced085:5132:9267:-1 gene:ORGLA03G0415200 transcript:ORGLA03G0415200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKQTSRKSKRSRDRSPTPSPSSHEDSDHDWSGGEEEETGPQVANVPRGSSLPPESAERPSSMARREPSPLFRILRTLFGLCSVEAKKNRRLRNLAKKTARDVKYLKARYHEDHHIDIPPSPPGFEAELDEPEEEEIEDPFVGVPPDYDFFGYGHGYGYPPPPPPEDPPQAPLA >ORGLA03G0415100.1 pep scaffold:AGI1.1:Oglab03_unplaced082:42977:43280:1 gene:ORGLA03G0415100 transcript:ORGLA03G0415100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNHIMALKVLFKSQLKQSQVKYQLRGEVEIQSHLRHPNILRLYGYFYDQTRVYLILEYALKGELYKEL >ORGLA03G0415000.1 pep scaffold:AGI1.1:Oglab03_unplaced082:4723:15747:-1 gene:ORGLA03G0415000 transcript:ORGLA03G0415000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:I1PIF4] MPSSPTPATAPISCSPSPPLHLHLTARRQTLAPPMWRRLPARRLASALLSSSAPLPHPLHRSLLLLLPAASQRLAPSQTLPRFASSSAAVAAESVSSEEVDELHHAIGEIARGDPSVSAPAPAAGQEGHRRRSGRGKHSAEAMAVHGVGYHKYAMLRRRQIQIETEAWEQAAEEYRELLADMCQQKLAPNLPYVKSLFLGWFEPLRDQIIAEQELVGERGARASHARFFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRIHKFLEKTKKKSNKEMDNEEEGGDSDIAKEQERLRKKVTDLMKKQKIRQVRNIVKKQDNSKPWGQDAHAKVGSRLIELMIETAYIQPPASQSADGPPDIRPAFTHEMRTVAREQQKSSRRYGVIKCDPLVRQGLDRTAKHMVIPYMPMLIPPISWTGYDKGAHLFLPSYVMRTHGARQQRDAVRRAPREQMQSVFEALNTLGSTKWRVNKRVLSIVDRIWSSGGRLADLVDRTDVALPEKPDTEDEDKLKKWRWTLRAAKKENSERHSQRCDVELKLAVARKMKDEDGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYDGRIAFTENHLEDIFDSADRPLEGKRWWLGAEDPFQCLAVCINLTEALRSPSPETMISHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYTGIATRVVEIMKNDALKDPSTDPDAARARLLLDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERDMICDDSELFSASCYAAKVTLTALGEMFQAARSIMNWLGDCAKVIACENEPVRWTTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRQGLNFAGVHDSYWTHACDVDTMNKILREKFVELYDTPILENLLESFEKSFPELKFPPLPERGDFDLKDVLGSPYFFN >ORGLA03G0414900.1 pep scaffold:AGI1.1:Oglab03_unplaced081:19324:19886:1 gene:ORGLA03G0414900 transcript:ORGLA03G0414900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGIGHWVIDSESFSFDFLMESLRVEFKWGSNQSPSVWYFNKNLGEDVRLIGDTDLPDIFEMYATEASFHLLVAVLEESMDVASVCCVHEPIAIIPPENPSHNDGSGQAATNVGGSAQPTTVEADVREPNLFDN >ORGLA03G0414800.1 pep scaffold:AGI1.1:Oglab03_unplaced081:5209:10270:-1 gene:ORGLA03G0414800 transcript:ORGLA03G0414800.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFEVSINPQSKSRATNREVLNELIKLHGKTSLGGKLLAYDGRKSLYTAGSLPFESEEFVVKLIDPEKKDKERAEREYKITVRIAGRTDLYDLQQFLLGRQRDMPQETIQVLYIVLRESPSWNYVTVSRSFFSTQFGHRGDIGEGLECWKGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVEEFLNMCDTSRPLSDRDRVKIKKALRGVRIETNHQEDQIRRYKITGITPIPMNQLIFHVDDNGTRMTVVQYFWDRYNYRLKYASWPCLQSGSDSRPVYFPMEVCKIVEGQRYSKKLNDKQVTNILRATCQRPQQREQSIHEMVLHNKYTEDRFAQEFGIKVCHDLVSVPAHVLSPPIXSIMILKGRKLVHPVLDSGTXLTRKXSMEELWITGHIXVFHECVHRRYKGSVAVXFRCVMPLECLSIQDQLWMSGHQILTIXRMFXGMFTGEHQNCXSERETEACSFXLXFCLTLVVLMGKLXGSVRLTLALYLNVVCQGMPAGRTSNIWKMLHSKSMSMSRSEGATXFLSKPLSTMAYHLCQKSQQSSLALMSHTLHLERTLHHLCCGCGINGLAXNHQIPRSGLCSTTXTGDNRRSLXCXXRSSEGCKWWDDQGFTYRIPQEDWQKAXEDNLLXRWCKXRSVQPRASSXNGCHQKGLCIFGEGYLPPVTYVVVQKRHHTRFFPEVHGRRDMTDKSGNILPGTFMDRQIFHPTEFDFHLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSV >ORGLA03G0414700.1 pep scaffold:AGI1.1:Oglab03_unplaced078:3418:3930:1 gene:ORGLA03G0414700 transcript:ORGLA03G0414700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITAINFDDTFDFETMYTADDAGSLQAHNVANDEMQVFATNDQMKVFEANDEIXVFATNDQMQVFEANDEMQVFEEMSDHADTMHTSVATSATTATANTISSEDSGTDDSEAQSAPSQDFLCSTQVPYLALTFNSEEEARAHYNRYAKCVGFSIKINTSRKSAKDGERDK >ORGLA03G0414600.1 pep scaffold:AGI1.1:ADWL01007295.1:14791:15000:-1 gene:ORGLA03G0414600 transcript:ORGLA03G0414600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGFEWVVMATTAAGSGGSEVGWSYANVREEMGCGRCTEEDGTRWTGASTTVTTREEAGNETGNGEGG >ORGLA03G0414500.1 pep scaffold:AGI1.1:ADWL01007288.1:12497:15370:-1 gene:ORGLA03G0414500 transcript:ORGLA03G0414500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAIIIVSTTTSSSSFFFFLLLMITTGGGCKPRERDALLAFKEGIVKDPAGLLSSWQRGGHYDDDDDQLLEEDCCQWRGVRCSNLTGHVVKLNLRNDYADVGTGLVGEIGHSLISLEHLRYLDLSMNNLAGPTGHVPEFLGSFRSLRYLNLSGIVFSGMVPPQLGNLSNLKFLDFSGMLPSSMAPFLYISDASWLAHLSNLQYLNLNGVNLSTVLDWPHVLNMIPSLKFLSLSSCSLQSANQYPTQINLRQLEILDLSNNYELSDQAESSWIWSLTSLKYLNLSSTSLYGEIPQALGNMLSLQVLDFSYNGEEDSTGMSVSKKGNMCIMKANLKNLCNLEVLDLDYRLAYGEISEIFESLPQCSPNKLKELHLANNNLTGNLPKLVGRLTSLVTLDLFNNNITGQVPSEIGMLTNLTNLYLHYNCLDGVITEEHFANLTSLKSIYLCYNYLEIVVDPEWLPPFRLEKAYFASTSMGPSFPSWLQSQVDILELAMSDAGINDTFPDWFSTTFSKATFLEMSQNQIAGGLPTNMENMSLEKLYLDCNHIADRIPRMPRNLMLLDISYNLISGDVPQSICELQKLNGLDLSNNLLEGEFPQGSLMSRVSFFRASNNCFSGNFPSFLQGWTELSFLDLSWNKFSGTLPTWIGNFNKLEFLQLKHNMFSGSIPDSITNLGKLSHLDLASNGLSGPLPQHLSNLTGMMINHDTTKYEERLSGCDYKSFVNMKGQELQYNQEKVTVVTIDLSSNFLTGVIPEGIVSLDGIINLNLSWNNLNGKIPYMIGAIKSLESLDLSKNNLYGEIPQSLSDLTYLSYLNLSYNNLTGRVPSGTQLCSLYDQNHHLYDGNDGLCGPPLQKSCYKYDASKQGYQIRSKQGFHIGSFSIGVTVGFMAGLWVVFYILLFKKSWRIAYFCFLDNMYDEVYVKVIVVWAKLTGRTDERLRMSQVAWSSIDSDEYYE >ORGLA03G0414400.1 pep scaffold:AGI1.1:ADWL01007288.1:7776:9771:1 gene:ORGLA03G0414400 transcript:ORGLA03G0414400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPYPFGTIDGCFREPPFRVYCEDDHAVYLQDHKKLKVLQFELAQGEVLIQKRIATSCGVNLTGKAVGIPWVVHDGGLADDYPYLTISTKNQFAVVGCGITAIIVGQGENQPDYTVGCRSFCDDVDSNIVEDNSTQCNGNTGCCQASIPGNLKAFQPSFLKISGVNYSGVPCVYAFVVEQNWFKFKTSYAKSMELYSKYRNKGTGVPLVLDLVVGNETCDEAKRNALSYACKATNSSCIDRPSRSGYLCNCSQGYEGNPYLHGGCQDINECDYPWLYPCKGLSIGIGVGSATGFICIVLIAMFLTRRIKHRRKIKLRQKFFILNRGQLLKQLVSQRADIAERMIITLDELEKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKISNIVVQKEIDDFINEVAILSQINHKNVVKLIGCCLETEVPLLVYEFISNGTLYHHLHGEGPRSLSWSNRLRIAAEIANALSYLHSSVTIPIIHRDIKSSNILLDDNLTSKVSDFGASRYIPIEKTALTTAVQGTVGYLDPMYFYTGRLNDKSDVYSFGVMLVELLT >ORGLA03G0414300.1 pep scaffold:AGI1.1:ADWL01007288.1:1:4809:1 gene:ORGLA03G0414300 transcript:ORGLA03G0414300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWD domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G60300) TAIR;Acc:AT3G60300] KKGKSSPKAMAAAAEAEEEVRQEVEAVASVYGDDCRVVRGFPPHLVVHVRPRTADDSSQQPFSLLQFVELFLGIKASSQYPKAPPHVYAVESKGLDENRQVYLISSIQNKARELSCYPMLVTLCEEAVEMLSNMNHPAGDCPLCLYPLVKENDGSALPFMKLMSCYHCFHSDCIMRWWEWLQNDDTDSKKSSTAATTEVIHASEGLDLSSSAKHHNVSQHKGLCPVCRKVFDEKDIEHVRDLLGANTSQLASLSIDLDEDEKEVLHSESEKIRQEKFASLFNLQQERNGLIEPKKDLSIQPGMYIPRPPSAPTASEGDTVDDSCEDTTTSTSGTEPLNQTNSTGGTTKHNSSSGHRRRHRSHASRRQPHGQGQPARQQWQRKGGDTSHQ >ORGLA03G0414200.1 pep scaffold:AGI1.1:Oglab03_unplaced064:34190:39914:1 gene:ORGLA03G0414200 transcript:ORGLA03G0414200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:I1PY38] MKYVVVMGGVISGLGKGVTASSIGVVLKACGLRVTSIKIDPYFNADAGTISPHEHGEVFVLDDGGEADLDLGNYERFLDIKLTRDHSITAGKIHHYVYEKERRGDYLGQTVQVIPHITNEIQDWIERVAIVPVDGEVDPADVCIIELGGTIGDIESTQFIEAFSQFSYRVGAGNLCVVHVGLVPVLNVVGEQKTKPTQNSIRKLREYGLTPNIIACRCTKELERSVKEKLSLFCHVPVANIFTLCDVSNIWRVPLLLRDQKAHEAILKVLNLESVAGEPNLEEWTARADLYDTLQETVRIAMVGKYTGVSDTYLSVMKALLHACIACGRKLVVDWVPSTDLEDSTATVAPDAYNTAWSLLRGADGILVPGGFGERGVEGKILAVKYARENDVPFLGICLGMQLTAVEFARHVLKLPDANSTEFDAKTENPCVTIMPECSNEGKGGTMRRGSKRIFFKVAGSKSAKLYGSVSHIDERFRHRYQVNPNMVQLFENSGLQVVGTDKTGERVQIVEIPNHRFFVGVQFHPEFKSRPSKPSALFVGLIAASCGQLDDALQDAACNHEPQQNRRAEKRLAATDLGDGTCRSKRQVKACSNSNDTDEAQDVKTC >ORGLA03G0414100.1 pep scaffold:AGI1.1:Oglab03_unplaced064:30925:32136:-1 gene:ORGLA03G0414100 transcript:ORGLA03G0414100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHTPPWEELPADVLRIVFSRVACRADRQVMAGVCGAWRRAVKVKVATGQPPRPRQLPCLLRPNGGDSSVCCLLCSGGHGISVVHAAHLPQQPVDARHARFFGSHDGSWAFLASAQTSGHVLQKFGTDTIIPLPDFMDVQGGESSSIVHLAATLSHQPGHASCLVAAIVKTYPIDVMSLRAVAFWRMDHGTMASELHRTEIEAMEPEDIIFHKGVLLVLTQQENLLAWIPEYTDEGRGVEMHGPEHRACGKPRIYNELAVQSRYLVESQNCLLLIVRYREGHPTSSTQELKVFQLVELEIPDENGIMMTRYNWVELFSLFGEMIFLGRGCSRSYNVSNYPGFTEGVYFLDDGSFYHADLLSHDAADQKYTCSDNGRWVNLQVDRCFPPEQSCSCSPPIWVLP >ORGLA03G0414000.1 pep scaffold:AGI1.1:Oglab03_unplaced064:26291:28720:-1 gene:ORGLA03G0414000 transcript:ORGLA03G0414000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESSPFDEVPAYVLYEIARHIPCKVDRVRALVVNCSWRTSLQALPPPPLPPQLPWLLRPSAGGPTFSCLLSGADELSVHRVRVPADLRGARYFGSYEGGWLFLASGQTFGHMLFNIRTEQRLFLPDTVTQPWRSDDFPMIMLAATVSSPPSAKDDLCFGAAIVSDVGQKLAQLCNVFWELGDCRAVSFVPPQDPFNLFFEMEDVIXYQGAFNFLSIWRNVLECRLTLHQVVLQVHQKWRLFLPQEELSLCRNAAARYLVESRGQLLMVIRERPAYNQSREFFIFEMTKTEEAVDEAEYIWRRMPELDGRMLFVGHGCSRSYEVGDFPGFQEGIYFFDDQDSYSVSSIAEDNEYTCFDNGKWSAGPPPMETYCFWPDQVNSNYSSPVWLLPGEYLFDVSRCFHLLLFHGHGLRPDGHVRGLAGGGQGPRTGARLTPVIPVTVKAKAVVAGPGKLFTQNGSLNAAKIHQASASGPGSCFNRKRSE >ORGLA03G0413900.1 pep scaffold:AGI1.1:Oglab03_unplaced064:18050:20248:1 gene:ORGLA03G0413900 transcript:ORGLA03G0413900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRRGEGVGSIWEKGDEERRHSIWERGKAWGPCVGPCWRAPNAEPTRPSSQIPTAQPDPMVDRLRALVVNRSWRTSLQALPPPPLPPQLPWLLRPSAGGPTFSCLLSGADELSVHRVRVPADLRHARYFGSYDGGWLFLASRQTSGHMLFNIRTEQCLFLPDTVPRPWSSDDFPMIMLAATVSSPPSRGTDDPCIGAAIVHCTPFITDSRQITFWRMGSHMAIPSIPPDHQFDVVSNQFVVEEMEDVIYHKGAFHFVTKLKNVFVCRLALHQADLVVDHREWLMFAPQDDLGYPRPVATARYLVESREQLLMVLKCTCNLPGWPPLVFSVFEMTHVQAPAGAPQYVWTPVPTLVGRMLFVGHGCSRSYELANFPGFQEGIYFLDDLQFYSVSRIVQYQEYLCFDNGKYTLGPPHVVSRCFWPDQVNSNYSSPVWLLPGGEDDANNAQAQVDVHMM >ORGLA03G0413800.1 pep scaffold:AGI1.1:Oglab03_unplaced064:5609:6322:1 gene:ORGLA03G0413800 transcript:ORGLA03G0413800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATDQWLLDLDLPPASGWTTELVSQLINVWSAVHNMHFTENEEDKIVWKLTSHGEYTATSVYKAQLLGTTATNFNILIWKPWAPRKCKTFAWLIIQNRVWTSDRLATRGWQNNSFCPLCRHTQETALHLLVECRYTRKIWAALSDWIGCGQLNPGQWQPAQSVSEWWEATANLKEVPKKALRTLTLLVNWEIWNERNRRIFQHKELSTESLLAKIKEEAKTWSRAGARHLGSWLAFYF >ORGLA03G0413700.1 pep scaffold:AGI1.1:Oglab03_unplaced063:50889:52085:1 gene:ORGLA03G0413700 transcript:ORGLA03G0413700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFXRSNRRSGPVLITMVATCNDGVLPVDLLHDILLRLPARPLCRLRAVCRPWRVQSPPGNVKTWHKYVAVVDGVAYFVLRDEFLLRETGGGDWITAFDVEAEQWRPELVGGPPETFHNRLRVSLAALRGSLVVAQDDHRAGTLDLWFLLAGDGGKVGPQHWSKLYTVTMPYHGRPFRLDGERAEPVVVLDDGRIVFWVWERRVSSRGGVMRVYDPNTGGQTDVAAEANCVHVGVYTGSLLRPR >ORGLA03G0413600.1 pep scaffold:AGI1.1:Oglab03_unplaced063:50418:50642:1 gene:ORGLA03G0413600 transcript:ORGLA03G0413600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWRLDLELGRAERYSMEIGDCRTGEVEMVPPLAGAGLPCARAAVLVQQFVAAAAATEGEGMRTREVEKNTPM >ORGLA03G0413500.1 pep scaffold:AGI1.1:Oglab03_unplaced063:48224:48873:-1 gene:ORGLA03G0413500 transcript:ORGLA03G0413500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISNEWTRCAYYKEPKAKKFTNDVLNQKFWKDCAIVCQLSEPIVRVLRLVDSDERPAMGYLFAAFHASKDEIVKRFQRKKELVKPFLDYIDARWDRHFDKNLHAAGFWFNPNNQYNEELREKYNFTTSGVLDVIEKFAGKDLNLRSALTREMRIFRKGEGDFGRSTARNDRQHMLADEWWQTYGCSTPNLQKL >ORGLA03G0413400.1 pep scaffold:AGI1.1:Oglab03_unplaced063:44325:44961:1 gene:ORGLA03G0413400 transcript:ORGLA03G0413400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTQYAFLLLSAVMASLFAGSAAGVYHIIGAGKGWRMAPNKTYYADWARTRNISVGDKLMFLYRSGVYNIVEVPTKELFDACSMRNITNRWQNGPTIIELTQPGPRYYFCGVGKHCEEGEKVAINVSVSAPTLPDSDADADDDDADDSDSSSATPATAADLLIYLAGLAACLLPALLLI >ORGLA03G0413300.1 pep scaffold:AGI1.1:Oglab03_unplaced063:36037:42737:1 gene:ORGLA03G0413300 transcript:ORGLA03G0413300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTHGFRRVGVVEMEAATAAEVAGAGSGTDGDLQIKGSKENGQTAEQPAASEALEMPSTPLPLPRDIDWSEHFSFFNSVGGFGGSMDGARGLTSVGLSNSESRPDSVTQIQSCLNNADERVEELTLKNCISSDAQHEVSAGGSTSSGEKPTVMRGLWGNFTRMAWRASDVANREKLAANRGDVANLRVGDMPIRENLAVSFGNNMISRNDASNKEMGMSHGDHANNKFNLPFGNQQPFLSPWPNQNEQRVERENALIVSSFSARILDQMRSKNVTPSSGVQSFPFKSVLKGKGVVYQGAREEIQVQGNARTRAPMDKIRKIPNIPQDSMARMDGTIFGSGGNVLEPQCEGTSLRELIKPARQTMSKFEKMHFFKQILDLVDKSHAQGFSLQHLRPSYFTISASNQVKYIGSYGTQDLSAPSKLDIATDDIFNRKRYLDPKVESQDSNGDNASITKYQKVGEQGSIAVRRPVHTFWANHRGGNQSEGVDPGALWQGNSSCTVRERFKAAEPFYGGSMPYAQRPSSSGNQQSVFELRMLEESWYRSPEEISQLKGILPSNIYSLGVLLFELFCCCETWEVHCAAMSDLRHRILPPNFLSESPKEAGFCLWLLHPDPCSRPKARDILGCDLINEGRDLSLLDNKTPVAVNEEDTESGLLLGFLSQLKEEKEMHAAKLSADLASLETDIAEVEKRHSMRMGFSLEDMDVLAGSNDLSGASACALGGASLSGLPPSLCRSSIYEERVMRNLEQLENAYYSMRSTIDTSEANIIKRVDNDALRVRQNFHELHSDANAIDEQADPLGCFFDGLCKYARYSRFEVRGILKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDALLNDRVDIHYPLIEMPSKSKLSCVCWNSYIKNYLASTDYDGTVQLWDASSGQGFTQFTEHRKRAWSVSFSEVDPTKLASGSDDCCVKVWSINQKNCTDTIRNVANVCCVQFSPYSSRMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLISASTDNTLKIWDLNRTNSSGLSTDACSMTLSGHTNEKNFVGLSVHDGYITCGSENNEVFSYYKTFPMPITSHKFGSIDPITGQETNDDNQQFVSSVCWRGRSNMVVAANSTGSIKVLELV >ORGLA03G0413200.1 pep scaffold:AGI1.1:Oglab03_unplaced063:28814:30678:-1 gene:ORGLA03G0413200 transcript:ORGLA03G0413200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLLLLPFPSPPATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVAQAAVKRRKEIPFDNVIQRDKKLKLVLKLRNILVSNPDRVMSLRDLGRFRRDLGLTRKRRLIALLKRFPGVFEVVEEGVYSLRFRLTPAAERLYLDELHLKNESEGLAVTKLRKLLMMSQDKRILIEKIAHLKNDLGLPPEFRDTICLRYPQYFRVVQMDRGPGLELTHWDPELAVSAAEVAEEENRAREEQERNLIIDRPLKFNRVKLPQGLKLSRGEARRVAQFKEMPYISPYSDFSHLRSGSAEKEKHACGVVHEILSLTLEKRTLVDHLTHFREEFRFSQSLRGMLIRHPDMFYVSLKGDRDSVFLREAYKNSQLVEKSKLVLLKEKMRALVAVPRFPRRGVPATSEEADRTNGAAQMLSEGSDVEDDEDEGLSDMEDLISEISGGKSDTDYHWGDGWVGENDDSPPDFEDDDGSSLKEVKVTMKKTANSANGKAHVPVFLMADQGNDGKMIYFRLFGRVCNLCC >ORGLA03G0413100.1 pep scaffold:AGI1.1:Oglab03_unplaced063:21610:22662:-1 gene:ORGLA03G0413100 transcript:ORGLA03G0413100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELCGGEGEGQIMLATELAQLRAMARELEAKMDPDRVAARELCRALASSVDRSIRLAASCFPPPEHPPPAAGNAGRDAAFKKRKGMAKVRRQVRVTSVQDTASLDDGLSWRKYGQKDILGAKYPRAYFRCTHRHTQGCNATKQVQRADGDPLLFDVVYLGDHTCGQAAVAAVAQRAPPEHAGQEQQRQSSLLAAGTEGIHQQVVAEPMEAAAPFLFTSTAAGGVDDGYFSFISPANSDCQFSSDFSAGSVGVDMDHEARFEDLFSNTLEFFQSEIHNL >ORGLA03G0413000.1 pep scaffold:AGI1.1:Oglab03_unplaced063:18485:20894:1 gene:ORGLA03G0413000 transcript:ORGLA03G0413000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGGHRRRRVIEPRDCRSRTLASTTQDKHRSLLLDKVRSSHEEALERLRPAAVAADLDAGLCFGLLDPVSNAIVNADTQTQEIETPAISRRISGLPDQLLPLPPRMGGRVLPAPRRRRRARRGAPRRAGPWLEKIRFHLGHHCRRRQVGPQMRHAGREASPSRPARPRVADPVSPPRRGRVRARNRDVRGLVALVGDEAPTPAVDMERAWELAGSRLRGRGIAARHYWHAMPLQMALLHAIQGFYLRALARLPSGELRSRYHRSLVKGGHCYGPMDPVSNIILNVVWYDAAHPPAEELELAMIPPKSMFRVATRSFLGLVSFLCTRYPDLPLHDAIWCLLAADGDLNAAAREAERRXHRPSCTIQEAYAAAAAAARHPKPDEQVEFLSSSRAMTTPLLLQNGGQLSSEDVRRLAAALLPPAPSAAVSPMQRKPTKPPRRRQLKLKAQIIRDQNKIRRKVKAALDRYALQNNEPGGYELHVICGMNNCVSGPVYCTDDDIVSYTPLIYFRCHVNFLARRRHSDPSAIAGEGALLLFFAECGNYRLNHDGICCPVTISPPCSEQVRCLYCEHEGLGLCIRRXKISMGVRWILRRWYVEKIPAETNLIQKLMRNRFTPTMVLLIASKXIFCPGXKRNSFIAIAMKAPTRKKVTLMMISILC >ORGLA03G0412900.1 pep scaffold:AGI1.1:Oglab03_unplaced063:15999:17770:1 gene:ORGLA03G0412900 transcript:ORGLA03G0412900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTCRAPPFPWPRPRPLAAGRARGGYGLGRARGVKRRAAAAAARGVVVRCGLLPVDPWAPTMDSQSVASQLFAVSLFPYLGFLYFMTRSKTAPGLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGSAESLLTLTNLFIVLGLRGALRKLEDTKESGSEDSQDIKEKGSI >ORGLA03G0412800.1 pep scaffold:AGI1.1:Oglab03_unplaced063:9266:12879:1 gene:ORGLA03G0412800 transcript:ORGLA03G0412800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFKLNLLLKLQRQRRRRRRQIQARNGSVLIHPRDKIKAPACQNNIHSLSGQAKCSDPTLPEDIWCHIHSLMSMRDAARVACVSRAFARSWRCLPNLDFSEESLGINRSTCKKDEKLGDLTSKIDWILKNHSGIGIKKLIVQVGSVYSRDSCHLAHLDSWLQCAVKPGIEELIVNLSSMNAKYNFPCELLSSGTGDSLRYIYLASCNFHPTVRIGCLKSLTRLQLCMVNITENELRCLLSISLGLERLELRHCSTLKCLKVPCLQRLSYLDVMTCTGLQVIESKAPNLSSIRFEGDFYVQLSLGEPLQIKQLYRLCNDAAFYARTELPSSMPNLERLIIHSDTEMVNTPMVPSKFYHLKYLSIALGGQTYDYLSLVSFFDASPFLETFILNALRERTERVTGFRDPSGLRMMPEHRHDKLKCVKIINFSSVKTLVELTCHIVESATALECLTLDTTSGSPRCSVNKLGKCFLMRRETLMEAHRALKAVQTYIKLKIPSKVELNVLEPCSRCHALDL >ORGLA03G0412700.1 pep scaffold:AGI1.1:Oglab03_unplaced063:4877:5310:-1 gene:ORGLA03G0412700 transcript:ORGLA03G0412700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVRRRAWDCGSPLYDSFELASVYGLLDSNLMALPFAERSAELDAAADRAPARRTAAKEQRRRKKAAAAAARRTGKAVLRSIFSADLAVFVSFRQIL >ORGLA03G0412600.1 pep scaffold:AGI1.1:Oglab03_unplaced063:2550:3368:1 gene:ORGLA03G0412600 transcript:ORGLA03G0412600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLRTLPPASFPGEVRSAVSSLLLSPGGSALDTVFSHLPPPVTIPPLGSSVYYRQSELLRHFAASQAAQAQSATAAASSSSAAAAGLDDGAPRKLYRGVRQRQWGKWVAEIRLPQNRVRVWLGTYDSPETAAHAYDRAAFKLRGEYARLNFPGVMDGRDCPDNLRQLRDAVDAKIQAIRVRMARKRARARRQREESKKSQRAEDAKAATPARPVASERAASETTTTTTTSSSYGSPDGVLSMSAASVDGDCPLERMPSFDPELIWEMLNF >ORGLA03G0412500.1 pep scaffold:AGI1.1:ADWL01007277.1:3644:3865:1 gene:ORGLA03G0412500 transcript:ORGLA03G0412500.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTAVIHSGNTEDASYSYWYHATIEAKKDTHDGQKCVTLRLLILGIPQPLAHQPPCDNNLLSQRHPINTHVVIL >ORGLA03G0412400.1 pep scaffold:AGI1.1:Oglab03_unplaced058:565709:570527:-1 gene:ORGLA03G0412400 transcript:ORGLA03G0412400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Membrane protein,Tapt1/CMV receptor (InterPro:IPR008010); Has 447 Blast hits to 428 proteins in 176 species: Archae - 0; Bacteria - 0; Metazoa - 190; Fungi - 133; Plants - 49; Viruses - 0; Other Eukaryotes - 75 (source: N /.../ink). [Source:Projected from Arabidopsis thaliana (AT1G67960) TAIR;Acc:AT1G67960] MPLRSATRQLSFELLGEGGLAAADDADDDLSPRSLPDTTSDGQRRRRRRSKRKRGLRSPPIEEEKEGTPRRGGVVGVSDLVSVSVVERESSDAERSAASCVTYVGVGVELRQRSVSGSGRVVSREDATSSCGSSARESAAAAAAVPEAAPAAWRPEANGGGKKLEKEDSLDWERYMKENGNVLGEVERLDNSPFRYFLGELYGGNSLRGTISAGNDKKRQRVYNTMFHVPWRCERLIVAGFFVCLDSFLSLLTIMPARIAITVWRVLKTRQFLRPNAADLSDYGCFVVLALGVASLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAEGLSTCSTDNATFELMRFILDEAIAVLAFVVHSFVLLAQAITLSTCIIAHNNALLALLVSNNFAEIKSNVFKRVSKENLHNLVYYDIIERFHITSFLLFVLAQNILEAEGPWFDSFLINASLVFMCEVLIDAIKHSFLAKFNEIKPVAYSEFLEDLCKQILNDKTDDRQKDLTFIPLAPACVVIRVLTPVYATLLPAGPFIWRVFWILLWSVLTYFMLAVFKILVGLVLRCLATWYVNLRLKNKQHVD >ORGLA03G0412300.1 pep scaffold:AGI1.1:Oglab03_unplaced058:554673:563964:1 gene:ORGLA03G0412300 transcript:ORGLA03G0412300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT4G36630) TAIR;Acc:AT4G36630] MVHSAYDAVELVSGVPGDIVAVAAYAGRLLVAGKDGSLRIYAPPGHAGGGIPRDGPYALERQQPSLWRRGTPLAMEVSAGRELLLSLAECVNLHRLPGLETVAVIGKTKGANLFAWDDRRGLLAVGRWKRLTIFRLDSGREFVEVKEFGVPDTVKSMAWCGDNICLGIRKEYMIINSMTGALTEVFSSGRNAPPLVVALPTGELLLGKDNIGVFVDQNGKLIQDGRIIWSDTPASVAIHRPYAVARLPRHVEIRSLRAPNALVQTVVLRDVQKLVETENCILAVLAHSVYGLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDNGSYEEAMEQFSDSHVDITYVLSLYPSLVLPQTHIIGEHDRLQDLPELARESSDVTDDMEPYSLQLHETDDKSPLEIKKMSHNALIALVKYLHKKRNGIIERATAEVTEEVVSGAVHHSSILSESYRSKKPNKKRAQTHTSSIAREMATVLDTSLLQALILTGQSSGAIELLKGLNYCDLKICKEFLEERSDYMVLLELYKSNEMHREALQLLNQLVEESKAEMGKNDFNKKFNPQMIIEYLRPLCRSDPMLVLESSLYVLERNPSDTIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSVSGINPNLQNELVQLYLSEVLDWHKILKEEGNWTEKTYSPTRKKLITTLENNSGYNTDILLKRLPQDALFEERAILYGKINQHLRALSLYVHKLQMPERAVAYCDRVYEEGAQQPSKSNIYFNLLQIYLNPRKAEKEFEQKIVPVASQYPGIQKATKVRGARMGKKVVEIEGADDVRFSPSGTDSGRSDGDGDGDDVSDGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQDLVLFLEPLLRNSSEHRRNYMVIKNLIFRANLQVKEDLYKRRQAVLKIDGDSMCSLCHKRIANSAFAIYPNGQTLVHFVCFRESQQIKAVRGVNSVKRR >ORGLA03G0412200.1 pep scaffold:AGI1.1:Oglab03_unplaced058:549758:552115:-1 gene:ORGLA03G0412200 transcript:ORGLA03G0412200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSSHRXADRGRARALRPERSSDGGGVGDGDGDEGHHHGGARDGAEGGGGGGGGRGEEKHKADRLLPAPPPEPPQGHLRXAGHQPLDDAWNLYHRLTGSSRRTAIAGHGAFPTGDTLDCLLRPRYFIPRRPLFQNCSLYRVLCQVRRASPSWKELAIGLGLAAAVVGATLLVRYYREEAKRRLVADLYTTAYLKGEFDRFDRNDDGFITSEELGELLSCLGLNHTEAELQAMIEEAAPDGNGAIDFHEFLTIAHNWVIRDYHDAEEESIEAFQLFDRELID >ORGLA03G0412100.1 pep scaffold:AGI1.1:Oglab03_unplaced058:537748:540998:1 gene:ORGLA03G0412100 transcript:ORGLA03G0412100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMRALERLASWPTPPPPPPPPPPPAKAAAAEXXXXXXXXSAATTSSSSSSSASAAPAAAGAPRVYPLRDFPGREAAGLGGAFRDNVRWLLRQWGGASPASPAAGGPGSASAAVAVAWRVLLSDDRTGVVVPIFAVEEPVASSPKPLCDYCRWAGWSHHWVSKRKYHFIIPAPADWDRQLAADLILGRTDHLLHGLIHSNGFGHLVMLRGRDGGSTALSGRDIMDIWDRLCSALRARAVSVVDFSQKRSLDLRLLLSVAHGDTWFTRWGYCLARGCFCVSTSTYAASVEALAALPVDYLRSRHVRRVVTIYRRLSNKPLVTVREFLRCLLDWKHLEPPLQLPPVKTCTRLQFMLPKPSLMKRHRQQPCQRFEDVIDLLQCRWSKKRLLDAAEVVVDRLLEHGSGAEMTRQAVRDAARGAIGDTGLLDFVIKSLGDTIVGNYIVRRVPDAETRVLHFSLEEYEEPTPALLDVEVKCTPLPPVVRWPSTVEVERDLRAVYRAMVEARSEAAQAVLDCKHWVKWWGLGDESDDQLRFFVEWQPQPWEAAELIRPMPLGEIVVVPLHASMGELLVESEHALRDTYYFFEEFQAEGLHGIAGEKWDPVMLGGAENGDTISVYGNGADMETELRCHGGLDLWEVRCVCGAQDDDGERMIACDACDVWHHTRCVGIADSEPVPPLFLCVLCGGALMAAGPINS >ORGLA03G0412000.1 pep scaffold:AGI1.1:Oglab03_unplaced058:521643:522008:1 gene:ORGLA03G0412000 transcript:ORGLA03G0412000.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDHLSLSPPSSSFSFLATPSFSLPRQAARAGAAAGGDGGERRRRGSGGGRHWIGDGGLGNRILAAASAFLYAMLTAHVLLVDPSNEMDELFSEPFPGTAWLLPRDFPLVLMRKTHTGLEGLL >ORGLA03G0411900.1 pep scaffold:AGI1.1:Oglab03_unplaced058:510268:513701:1 gene:ORGLA03G0411900 transcript:ORGLA03G0411900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGADKALGWSTGDPCSSPRAWAGVTCDSAGRVTAVQVGNRSLTGRLAPEVRNLTALARLELFDNSISGELPSLAGLSSLQYLLVHNNGFTRIPPDFFKGLTALAAVSLDNNPFDPWPLPADLADCTSLTNFSANTANVTGALPDFFGTALPSLQRLSLAFNKMSGPVPASLATAPLQALWLNNQIGENQFNGSISFISNMTSLQELWLHSNDFTGPLPDFSGLASLSDLELRDNQLTGPVPDSLLKLGSLTKVTLTNNLLQGPTPKFADKVKADVVPTTERFCLSTPGQPCDPRVSLLLEVAAGFQYPAKLADNWKGNDPCDGYIGVGCDAGNITVLNFARMGFSGSISPAIGKITTLQKLILADNNITGTVPKEVAALPALTEVDLSNNNLYGKLPTFAAKNVLVKANGNPNIGKDAPAPSGSGGSGGSNAPDGGNGGDGSNGSPSSSSAGIIAGSVVGAIAGVGLLAALGFYCYKRKQKPFGRVQSPHAMVVHPRHSGSDPDMVKITVAGGNVNGGAAASETYSQASSGPRDIHVVETGNMVISIQVLRNVTNNFSDENVLGRGGFGTVYKGELHDGTKIAVKRMEAGVMGNKGLNEFKSEIAVLTKVRHRNLVSLLGYCLDGNERILVYEYMPQGTLSQHLFEWKEHNLRPLEWKKRLSIALDVARGVEYLHSLAQQTFIHRDLKPSNILLGDDMKAKVADFGLVRLAPADGKCVSVETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELITGRKALDETQPEDSMHLVTWFRRMQLSKDTFQKAIDPTIDLTEETLASVSTVAELAGHCCAREPHQRPDMGHAVNVLSTLSDVWKPSDPDSDDSYGIDLDMTLPQALKKWQAFEDSSHFDGATSSFLASLDNTQTSIPTRPPGFAESFTSADGR >ORGLA03G0411800.1 pep scaffold:AGI1.1:Oglab03_unplaced058:499462:501905:-1 gene:ORGLA03G0411800 transcript:ORGLA03G0411800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane protein family [Source:Projected from Arabidopsis thaliana (AT1G14730) TAIR;Acc:AT1G14730] MAIGGGIIGGGGHHSAVAARVAAAAHVLFLTTAVLMLVWLLHFRGGINIQSDDPEQIFNVHPFVMCWGFILLIGEAILAYTTIPMDHRTQKMVHMLVHLVALILAIFGVYAAFKFHDAAVAPDLVSLHSWLGILAVALFGLQWLFGFFAFWLPGTHERTRAAAAPAHVAAGLAIFMLAVCAAETGLVQKSAAAASAGEAKLINVTGIFILLYAVAVAVAVALRKAFFY >ORGLA03G0411700.1 pep scaffold:AGI1.1:Oglab03_unplaced058:498000:498647:-1 gene:ORGLA03G0411700 transcript:ORGLA03G0411700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CQLDKRKKEWDPPSSLSTDDWTTGEGATGASFLPPGILPCWPASAHGEGKRHRPARGAETAAGRRPLRSTRVAEVRGQRKDGVDDSKEGADELVREVSAVGLELGVEVGNSISKVLWMATLHPTSLPNWNRMRISVNIITQNRAKSLRRLLASLRNAYYIGDEVVSISFNMDSRGDAESRQLFRR >ORGLA03G0411600.1 pep scaffold:AGI1.1:Oglab03_unplaced058:485515:491322:1 gene:ORGLA03G0411600 transcript:ORGLA03G0411600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:splicing factor-related [Source:Projected from Arabidopsis thaliana (AT5G06160) TAIR;Acc:AT5G06160] MASTVLEATRAKHEDMERLERLAVRELQREPANARDRLYQSHRVRHMLDLVISTSGKLVEIYEDKDNARKDEISNHLSSTVQAEIFPKFYDRLKEIRDYHRRNPSARFVSATDDFEELLKEEPAIEFTGEEAFGRYLDLHELYNEFINSKFGTPMEYSAYVGTFSHVEKMAQNLKTSRQYREYLEHILEYLTSFLYRTEPLQDIEKISAKLESEFEEQWTNGEVPGWESKDPEKESAQESVIDLDYYTTVEELVELGPEKLKEALAARGLKSGGTVQQRAERLFLLKHTPLEQLDRKHFAKGSHSSVSNATSNGNNFKDNLKKEIALMEVKMRRLCELLDEIIVRTKENAEKKLTLTYEEMEAEREEEEVQADSESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIQEAKELWEKIQQRQGLNKWRPDLEEEYEDQEGNIYNKKTYTDLQRQGLI >ORGLA03G0411500.1 pep scaffold:AGI1.1:Oglab03_unplaced058:472976:478442:-1 gene:ORGLA03G0411500 transcript:ORGLA03G0411500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGGGGGGGEAAAAVAVEGDEAGKGRRWWRVKVKLSTVAVVAWVLASAALWAGLHWRFRRAALHKAEEALVCMCEERARMLQDQFAVSVNHVHALAILVATFHYDKHPPALDQDTFAVYAARTSFERPLLSGVAYAQRVVHADRESFERQQGWIIKTMKHEPSPAQDEYAPVIYSQETISYIEGLDVMSGEEDRENILRARATGKAVLTRPFRLMSNHLGVVLTFPVYLVDLPNDTAVEDRVAATAGYLGGAFDVESLVENLLRQLAGNQELVVNVYDVTNHSNPLVMYGSEVPLGIPSPSHTYTLDFGDPLRKHQMVCRYRNKLHVSWSAITTPSGVFVICMLVGYIIYAAWSRYDNVKEDCRKMEALKKRAEAADIAKSQFLATVSHEIRTPMNGVLGMLDMLLDTELKSTQRDYAQTAQVCGKALISLINEVLDRAKIEAGKLDLESVPFDLRSILDDVISLFSSKSREKGIELAVYVSERVPEILLGDPGRFRQIITNLVGNSIKITIFTLSQFTERGHIFVQVHLADHSNLATEAKIEPVVNGMNGHKDEAIAIPTSGSHNTLSGFEAADSRNNWENFKLLLSYEKNEMPYESDSDKVTLVVSVEDTGIGIPLHAQGRVFTPFMQADSSTSRNYGGTGIGLSISKCLVEIMGGQINFVSRPLVGSTFTFTAVLRRCDKNAISDSKTVALHPLPSSFKGLSALLVDKRPVRATVTKYHLQRLGITSEVVGTIDPTFGVLSGRNGSSLTSIGKKQPCMLLIESDSWGPQMDVSLHARLQEMKQSDRIHVLPKVFLLSAAESDKVKKIHAVDSVIPKPLKASALAACLFQALGITQPSHEKRDDSGSLHGRDGSGSLHGLLLGKNILVVDDNKVNLRVAAGTLKKYGAKVECVESGKDALSLLQVPHKFDLCLMDIQMPEMDGFEATRQIRAMEGKANEQADDSESGSEIAAKTAKWHLPILAMTADVIQATHEECTKCGMDGYVSKPFEEKQLFQAVQKFLGPCVSS >ORGLA03G0411400.1 pep scaffold:AGI1.1:Oglab03_unplaced058:462609:464832:-1 gene:ORGLA03G0411400 transcript:ORGLA03G0411400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIPRLPNPTNQPPPRQQKDPQFPRKTLEFDPASQRVGCSPMEAAAAAAAALLSPPAAAAPSRRPGTPGATSLPFARRRGVAAVKGLGRQQLTCRRRGVVVRAASWSPSGPESLPPPPSSSIAPLQMESPVGQFLSQILATHPHLLPAAAEQQLEQLQTDRDAAKDNGGDKPAPSDGDIVLYRRIAEVKEKERKRALEEILYALVVQKFVEAGVSLVPALSHSISSSGRVDQWAESVEGKLEKMHSQEAYEMIENHLALILGQRQADATVAAISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKTLPWGSEEEDMLNQVMTTDSRPSPQTSTSHPEMASWTSPNFSAGGPSQSVKPCRLRSYVMSFDSETLQSYATIRSKEAFGIIEKHTEALFGKPEIVITPEGTVDSSKDEHVRISFAGLRRLILEAVTFGSFLWDVESFVDTRYHFVAN >ORGLA03G0411300.1 pep scaffold:AGI1.1:Oglab03_unplaced058:458451:461873:1 gene:ORGLA03G0411300 transcript:ORGLA03G0411300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARLLPKIQSPASPAVAEARRRRPSSLRLGVTSGPARTLKQKLVAKSAVSVVEGENAFDGVKQDTRPIIVIDNYDSFTYNLCQYMGEVGANFEVYRNDDITVEEIKKISPRGILISPGPGTPQDSGISLQTVQDLGPSTPLFGVCMGLQCIGEAFGGKVVRSPYGVVHGKGSLVHYEEKLDGTLFSGLPNPFQAGRYHSLVIEKDSFPHDALEITAWTDDGLIMAARHRKYKHIQGVQFHPESIITTEGRLMVKNFIKIIEGYEALNCLP >ORGLA03G0411200.1 pep scaffold:AGI1.1:Oglab03_unplaced058:452513:454059:-1 gene:ORGLA03G0411200 transcript:ORGLA03G0411200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYDQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >ORGLA03G0411100.1 pep scaffold:AGI1.1:Oglab03_unplaced058:450226:451038:1 gene:ORGLA03G0411100 transcript:ORGLA03G0411100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELSWKKVLDKYDLHDNSWLQSLYRIRQKWVPVYFKGVFTADLSASQRPESLRNIFEKYFNRRTALPVFISLFEHLMAGWSEREAVEDLATSFTRPVLRTPSNMMKQVSEIYTTTVFNILEEEFIGSLGYYISSLDNDGLIAVYSVTKEDTEATCRVRYDTSGNIAKCSCCKFESCGILCRHILRVFLALDVRTIPDVYILKRWTKEAKNGFVLDECLRYSELHRDALRYAREGSTSGEVFTFAQQTLQVAFAEVVQMKQETFSQCTIR >ORGLA03G0411000.1 pep scaffold:AGI1.1:Oglab03_unplaced058:439872:440114:1 gene:ORGLA03G0411000 transcript:ORGLA03G0411000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARAAGSLDGLAVAHGVVPACPWLARGARCVRPNPHAPPARAAWDGRSQPAPSDTQRAVGGGRSSPRPLGRENGLMLRN >ORGLA03G0410900.1 pep scaffold:AGI1.1:Oglab03_unplaced058:423278:426069:1 gene:ORGLA03G0410900 transcript:ORGLA03G0410900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase assembly protein CtaG / Cox11 family [Source:Projected from Arabidopsis thaliana (AT1G02410) TAIR;Acc:AT1G02410] MPPPPPPSLARLHQRLSLSLLRGRSPPAAADAFLRRGLASSASSSSSAAAAAAAAAGREKSSRRTLAYLLGVAAAMVGASYAAVPLYRRFCQATGYGGTVQRRESVEEKISRHARDGTTTSREIIVQFNADVADGMPWKFIPTQREVKVKPGESALAFYTAENRSSAPITGVSTYNVAPMKAAIYFNKIQCFCFEEQTLLPGEQIDMPVFFYIDPEFETDPKMEGVNNIVLSYTFFKVNDS >ORGLA03G0410800.1 pep scaffold:AGI1.1:Oglab03_unplaced058:415987:416358:-1 gene:ORGLA03G0410800 transcript:ORGLA03G0410800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRLLAIFLAVNLVALHAGVARGQQSPPSSTRGNPCPTSALADLKVCADVLVLLKLKINVPASQQCCPLLGSLVNLDAAACLCAAIRLSVLGIPVNLPLDVPLVLNYCGRNASAAGANCS >ORGLA03G0410700.1 pep scaffold:AGI1.1:Oglab03_unplaced058:406673:411873:1 gene:ORGLA03G0410700 transcript:ORGLA03G0410700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPDGIGGGGGGAEAAGGCGALLAELRQLWGEIGKSREERERTVRELEMECMRVYRRKVDEATAERASLHQSLAAGEAEIAALTAALGADSSPQLKVNKWTMSLNDRVSAATNLLEELRAMKAERSKQFTAIRSEIDKISTEISGRSYGYDNSPRASEFDEHDLTIRRLNEYRARLSSLQKEKSDRLHRVLEHVTEVHSLCDVLGEDFIAIVNEVHPGLHETADPGKPTSISDSTLASLSQVVAMLTSEKTKRAAMLREAVLPLVELWDLMDLPEEERRSFRKATAVLRPAREEALSSGVLSIATIKKTEEEVERLTRLKAGRMKELVLKRRLELESICRSMHVEPDTSTVPEKSIALIDSGLVNPSELMASIDEQIAKAKEEQQSRKEIMDKINKWLLACEEEKWLEEYNLDENRFNTGRIARLNLKRAEKARLIINKIPAMIDHLMSRTLVWETERNKPFLFDGARLVAVLEEHKQARLRQEEERRRLREQKKLRTLFSEKEAMPHLKRPGSSFGRATEPCNMSRKRVDAAPPSVRSSSGSSGSSGGGAADPFRPRSSAAAGSGAGHCGEFFRSGGARRLSAAAPFNYVAVSKGGGGGGGLSSSMMS >ORGLA03G0410600.1 pep scaffold:AGI1.1:Oglab03_unplaced058:392043:399345:-1 gene:ORGLA03G0410600 transcript:ORGLA03G0410600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDPADDPLLADCKYKLNHFRIKELKDVLHQLGLPKQGRKQELVDKIIAVLSDQQEQDSRLNGLPNKKMVGKETVAKIVDDTFAKMNGSTNAVPASRNQTDSGHIVKPKRKSDDSAQLDVKVRCPCGYSMANDSMIKCEGPQCNTQQHVGCVIISEKPADSVPPELPPHFYCDMCRITRADPFWVTVNHPVLPVSITPCKVASDGSYAVQYFEKTFPLSRANWEMLQKDEYDLQVWCILFNDSVPFRMQWPLHSDIQINGIPIRVVNRQPTQQLGVNGRDDGPVLTAYVREGSNKIVLSRSDSRTFCLGVRIAKRRSVEQVLSLVPKEQDGENFDNALARVRRCVGGGTEADNADSDSDIEVVADSVSVNLRCPMTGSRIKIAGRFKPCVHMGCFDLEAFVELNQRSRKWQCPICLKNYSLDNIIIDPYFNRITALVQSCGDDVSEIDVKPDGSWRVKGGAELKGLAQWHLPDGTLCMRTDTRSKPNIRIVKQEIKEEPLSEETGGRLKLGIRRNNNGQWEINKRLDSNNGQNGYIEDENCVVSASNTDDENSKNGIYNPEPGQFDQLTSNIYDLDSSPMDAHFPPAPTEQDVIVLSDSDDDNVMVLSPGDVNFSSAHDNGNAFPPNPPEASGICGEQPRGAGPDVTSFLDGFDDLELPFWESSSSQDAAGTQVTDNQCEMQNFIVNHQFLHEPILGVNLGGTAASNTLECEHDGALQACQSSDQDGDQNQTCHDGHSGDLTNLSIISTQDSLTNGKNASQKRTNCEDGTAGLDGSVVRSANGLRGEMPPLGQEQDRTVRQKLILTIESDSD >ORGLA03G0410500.1 pep scaffold:AGI1.1:Oglab03_unplaced058:390715:391107:-1 gene:ORGLA03G0410500 transcript:ORGLA03G0410500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKERLDPIEGACINHRRGAQWWRQPPAILPPALDPPTDGGEMYGPGRRKADKGREAHAPQTLSKLAFADAAAIIRAHLDHRIRDWGTKIVSGLGPSRGGVSEPASSTATASNFRSGELSGAIIRGSVSA >ORGLA03G0410400.1 pep scaffold:AGI1.1:Oglab03_unplaced058:380989:388484:1 gene:ORGLA03G0410400 transcript:ORGLA03G0410400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGKKLINDPNDVVTEFIEGLVETYPGLQYLDGFPQIISRVCVCVCVQIKVVLRADVVQGAYDKVAVISGGGSGHEPTHAGFVGAGMLTAAISGDVFTSPPVDSILAAIRAVTGPMGCLLIVKPLIVERLQNYTGDRLNFGLAAEQAKSEGYKMEMVIVGDDCALPPPRGIAGRRGLAGTILVHKVAGAAADAGLSLAEVAAEAKHASEVVGTMGVALSVCTLPGQVTSDRLGPKQMELGLGIHGEPGVAVVELQPIDVVVEHVLKQILSQETQYLPITRGSNVVLLINGLGATPIMELMIAARKAVPELQLEYGIAVDRVYTGTLMTSLDMAGFSITIMRSDENILQRLDAPTKAPAWPVGSEGNRPPAKIPVPVPPSPSGKDDENLLITMIYLQILTEPQELSKQGCILEAAIEAGAKEIINIKDNLNEWDSKVGDGDCGTTMYRGATTILEDMKKRYPMNDAAGTINEIGSTVRRVMGGTSGILYDILCKAAYASLKQNTSIGANEWADALEASVAAVSKYGGASAGYRTMLDALIPARTVLKQSLKAGDDPVTAFIASSEAASAGAESTKQMQAKAGRSSYIAPDLVASVPDPGAVAAAAWYRAAAHAVKSKLHASDS >ORGLA03G0410300.1 pep scaffold:AGI1.1:Oglab03_unplaced058:373436:376314:1 gene:ORGLA03G0410300 transcript:ORGLA03G0410300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXREIAARRAREAGREYELIPTHRGETLFTQCWWPHGSSSAIKPRALVVVMHGLNEHSGRYDHLARRLNDIGVKVYGMDWTGHGGSDGLHGYVQSLDHAVSDLKMYLKKILAENPGLPCFCFGHSTGGGIILKAMLDPEVDSCVEGIFLTSPAVRVQPAHPIIKVMAPVFALIAPRYQFTASHRNGPPVSRDPEALKAKYSDQLVFTGSIRVRTGYEILRLTSYLQQHLHRITVPMLVMHGADDMVTDPQGSQKLYEEASSSDKSLNLYNGLLHDLLIEPEKEKIMDNIVDWLSPRI >ORGLA03G0410200.1 pep scaffold:AGI1.1:Oglab03_unplaced058:362371:368479:1 gene:ORGLA03G0410200 transcript:ORGLA03G0410200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENIDLVLEFLQKNRFAKAEAALRGELNGRGDASNGPTVQRRVAEPKEDDEQDGSEAGSNAGPRGAASVRSADSSREFIVKEIDVGGLPNGSDGRKGLGIGLAQENNTGDLYPWNFSIANSTMEQLAELLVSEEVPRHRRGAVVAEKRDRGVGTEQSGPVLEQKVSFGRGKGKVDVAGTGRNEINEPGHSRDKNLVPEKEELLNGCTVKTVLPFPAENPSSSYHTAHHDGNERKDAKKSIDADCVGKATKGQLDEGNRQYYSGKSQENADQVADRCFDLQLIGDNQREELPKLPPVRLKSEDKLVNMNWEEKVNHNGSGAKHPSADHAFMIGSYLDVPIGQEITPSGGRRTISNNWFSVSQGIAEDTSDLVSGFATIGDDSLEYPNEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKATGHTSGPDRHDRPTKDDDDDQSFVEEDSYISGEQYFHGKNIAQIGTSEGPMGHGIPDNDLIAQYDGQLLDPEELNLMHSEPVWQGFVSQNSELGMLGNGKFLNDSERPHPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESNLSVSGKRNSQQETEKKRINAKGAKQEQLNFIDNQKGVLPPGASYADGGFSFPPPLHSGKNVESDVKPLWSKKVDMYTINDPDDLQNGMVSDDMLATWRKKNSESSLRSSRDEMASDVVRSRNSSASSALNNTYDEVDDTMNSRHHKLDDAQEEDTGTTLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFQKFNRESGGEVYFTMPRLQSIAIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCAYVQSRSYRAPEVILGLPYDKKIDMWSLGCILAELCTGNVLFQNDSPATLLARVMGIIGSIEQAMLAQGRETYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMADQGFIEFVAYLLEVNPKKRPSASEALKHPWLSFPYEPISS >ORGLA03G0410100.1 pep scaffold:AGI1.1:Oglab03_unplaced058:353616:357608:1 gene:ORGLA03G0410100 transcript:ORGLA03G0410100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome [Source:UniProtKB/TrEMBL;Acc:I1PIA5] MSSSRPTQCSSSSSRTRQSSRARILAQTTLDAELNAEYEEYGDSFDYSKLVEAQRTTGPEQQARSEKVIAYLHHIQRAKLIQPFGCLLALDEKTFNVIALSENAPEMLTTVSHAVPSVDDPPKLRIGTNVRSLFTDPGATALQKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEVLCNTVVKELFDLTGYDRVMAYKFHEDDHGEVFAEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSIKIIEDESLHLDISLCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNENEDDDEVGADQPAQQQKRKKLWGLLVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELERQVREKSILRMQTMLSDMLLRESSPLSIVSGTPNIMDLVKCDGAALLYGGKVWRLQNAPTESQIRDIAFWLSDVHRDSTGLSTDSLHDAGYPGAAALGDMICGMAVAKINSKDILFWFRSHTAAEIRWGGAKHDPSDKDDSRRMHPRLSFKAFLEVVKMKSLPWNDYEMDAIHSLQLILRGTLNDDIKPTRAASLDNQVGDLKLDGLAELQAVTSEMVRLMETATVPILAVDSNGLVNGWNQKVAELTGLRVDEAIGRHILTVVEESSVPVVQRMLYLALQGKEEKEVKFEVKTHGSKRDDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRVEGDYKAIIHNPSPLIPPIFGADEFGWCSEWNAAMTKLTGWHRDEVINKMLLGEVFDSTNASCLVKNKDAFVSLCILINSALAGDETEKAPFSFFDRNGKYIECLLSVNRKVNADGVITGVFCFIQVPSHELQHALHVQQASQQNALTKLKAYSYMRHAINNPLSGMLYSRKALKNTGLNEEQMKEVNVADSCHRQLNKILSDLDQDSVMNKSSCLDLEMVEFVLQDVFVAAVSQVLITCQGKGIRVSCNLPERYMKQTVYGDGVRLQQILSDFLFVSVKFSPVGGSVEISCSLTKNSIGENLHLIDLELRIKHQGKGVPADLLSQMYEDDNKEQSDEGMSLAVSRNLLRLMNGDVRHMREAGMSTFILSVELASAPAK >ORGLA03G0410000.1 pep scaffold:AGI1.1:Oglab03_unplaced058:343904:346116:1 gene:ORGLA03G0410000 transcript:ORGLA03G0410000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCCSGAEEELHGAPAANPAAPPPRAPGPPRGPNAPRAGGAPAKVLPIDVPAVALAELNRLTGNFGDRSLVGEGSYGRVYRATLSTGEAAAVKMFDNNGGSGQSEADFCAQLSVVSRLKCDHFTQLLGYCLELNNRIVLYEFATKGSLYDILHGKKGVKGAEPGPVLTWSQRARIAYGAARGLEYLHERAQPPIVHRDIRSSNVLVFDGHDAKIGDFNLTNQSPDSAARLHSTKVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNDEYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLISARPGDH >ORGLA03G0409900.1 pep scaffold:AGI1.1:Oglab03_unplaced058:338399:341738:1 gene:ORGLA03G0409900 transcript:ORGLA03G0409900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTVVRAEREEESTLEQGLLAIPEESNQLTYTGDGSVDFSGNPVVKERTGRWRACPFILGNECCERLAYYGISTNLVTYLTKKLHDGNASAASNVTAWQGTCYLTPLIGAILADAYWGRYWTIATFSTIYFIGMAVLTLSASVPTFMPPPCEGSFCPPANPLQYTVFFLGLYLIALGTGGIKPCVSSFGADQFDDTDPVERIQKGSFFNWFYFSINIGALISSSFLVWVQDNIGWGIGFGIPTIFMGLAIISFFSGTSLYRFQKPGGSPITRVCQVVVASFRKWNVHVPEDSSRLYELPDGASAIEGSRQLEHTDELRCLDKAATITDLDVKADSFTNPWRICTVTQVEELKILVRMFPVWATTIVFSAVYAQMSTMFVEQGMMLDTSVGPFKIPPASLSTFDVVSVIIWVPLYDSILVPIARRFTGNPRGFTELQRMGIGLVISIFSMAAAAVLEIKRLDIARAEHLVDQNVPVPLNICWQIPQYFLVGASEVFTFVGSLEFFYDQSPDAMRSLCSALQLVTTALGNYLSAFILTLVAYFTTRGGNPGWIPDNLNQGHLDYFFWLLAGLSFLNFVIYVICANKYKSKKAA >ORGLA03G0409800.1 pep scaffold:AGI1.1:Oglab03_unplaced058:335751:336282:1 gene:ORGLA03G0409800 transcript:ORGLA03G0409800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGGELGSAYKAAMLNGVTVGVKRMRDMNRVEFEEHIQMLGDLRHPNVLSPVGYHYRREEKLIVSEFMPRGSLLYVLHGDQRPDRVVLDWPARMRIAVGVVRGMAYLHEKLGIPAMRLASMDGADFDAIHSCRRGRLTLLAV >ORGLA03G0409700.1 pep scaffold:AGI1.1:Oglab03_unplaced058:319003:327150:-1 gene:ORGLA03G0409700 transcript:ORGLA03G0409700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:I1PIA1] MVLSHGVSGSDESVHSTFASRYVRTSLPRFRMPEQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGDSETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYYVMDPAKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLTKKNAETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRSKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYKNIMENCQENAMVLKQGLEKTGRFNIVSKDNGVPLVAFSLKDSARHNEFEISDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVLDVEKVLHELDALPARVVANGGDAAAASASEREMEKQREVISLWKRAVLAKKKTNGVC >ORGLA03G0409600.1 pep scaffold:AGI1.1:Oglab03_unplaced058:316072:316281:-1 gene:ORGLA03G0409600 transcript:ORGLA03G0409600.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTSTENYLVVLIHNPRWQLSFARVGDDKWTWLPPHTHYADCIYKDGILYAVNKVGEIHAFDLSGPVVTMK >ORGLA03G0409500.1 pep scaffold:AGI1.1:Oglab03_unplaced058:301959:303571:-1 gene:ORGLA03G0409500 transcript:ORGLA03G0409500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAASTAGRPVLGDAAVRVAARWLCYLRRPKSKSTAGFPALHRTAAXRLLLILRAGLFRRRYMKVYLVPLTSSNVPTLQVFKQTTNFTFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRVYHSEPSIFSLDELREYLLDKAFVFSDTSTENYLVVLIHNPHSQLSFARVGDDKWTWLPPHTHYADCIYKDDILYAVNKVGEIHAFDLSGPVVTMKTIIEMVPGYACDKMYIVQAPWGDLLQVWRSYEYIEGDYEADLHDADPAISVENTGEIKIFVVDTVEKKRVEIENLDGHVLFLGHNQSLCLSTEQYPHLKENYTYFTDDNDLSLFGHKNNRRDIGLFDLEHNSREELVSPQLWSNFPAPVWITPSFTKLNFA >ORGLA03G0409400.1 pep scaffold:AGI1.1:Oglab03_unplaced058:276099:277526:-1 gene:ORGLA03G0409400 transcript:ORGLA03G0409400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPSEDEKLKELVARYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEELLLASHRAHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERMRLSNRRGGAAAAGAAKGDESPARISNGEKTATRPPATNGSGMAMASLLDKYRRECGAAGLFAIGRHHNSKEDYCSSTNEDTSKSVEFYDFLQVNASSSDTKCGSSIEEQEDNRDDDQAEGQVQLIDFMEVGTTSRQ >ORGLA03G0409300.1 pep scaffold:AGI1.1:Oglab03_unplaced058:269001:269477:1 gene:ORGLA03G0409300 transcript:ORGLA03G0409300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPYQPRLFDRRSLLACLLLLLGAARLHCCCALRPACPFLCSACATLVRVRGRSPERLSPWALAASHAAPLPGADDAAAALRPGGREPGHAPTPLRLPSVCCALPAPQQPPSADGDC >ORGLA03G0409200.1 pep scaffold:AGI1.1:Oglab03_unplaced058:242696:264408:-1 gene:ORGLA03G0409200 transcript:ORGLA03G0409200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFITHTYVTAVHDSLENLLQTNQESPSDTTLLVDLIFQTLLIYDDRASRKAVDDMVIQALGESTFMKPFAASLVQCMEKNMKVTSPLACFKLLRWSCYLLNLSQFAMLSKGGFSRLANAQAVLCQVLMDGSFRQRRTCKQLFTRLFSESMGTYKMYIDEIRDSRIPVRDSPAFLNIMLDFAITSPSLYAEYKPLFLDLYVKTILGSKDRPSQASAEAFKALFLDMGHEDFKNIVVPSCIKMLKRNPEIVLQSIGYLLNTVRLDLSKYCMEFLPVVLHQARHSVEERRIIALSTVGTLSGKSSDPDTLLSMFNAIKAILGGSEGKLSIPYQRIGMINALEQLSRSPPKQISRLAPSLSSFLLTCYKDDGIEEVKLAVLSALGSWASVSTETVQADVVSFIAAGLKEKDTLRKGHLKLIRAICKKSDSLTKVTSLLDQLIQLSKTGFTKATQRLDGIYALFSVSRLAAIDTKADAAVLKEKLWTLIAQNEPSLVSVQLFSKLTDEDCLTIMDLLQSLFVEHHSRVQEFFSVQSLLQLLLYLVCHPCWEVRKMSFDATKRILSSSIGLAEDLLFLFTNWLSLVGERMSILKQSDTDSTADSQLPFIPSTEVLVKCLLLIAPYAVGHSPISYSQLLLCSHHPCISSSDCSAGVWKRLQRRLKQQKIFFIELISPNISVICKHFIGLPDLTLHDGFSENDIKILYTPEGQLSTEQGIYVAEAVASKNTKLAKGRFRAYDDQDTDSARSGAPTKSDRRESSSIGKRETGKSTKKTAPADKAKTAKEEARDLLLKEEASVREKIGHVQKNLSLMLDALGELAIANPIFTHGQLPSLVNYVEPLLSSPIVSDAAFRAMLNLARCTAPPLCNWAPEIAAAIRVIAVDDFEMVMDLMPVIVEEDSNKKSSPGLFEQIVTGLTVACKAGPLPADSFTFVFPVLYHVLSTVPAYHPSVGPMLNELCLGLKSNDLAQALVGVYAKEVHVRLACLTAIKCIPSHSVQRDLQVSTSLWIAAHDPEKVVAELAEELWDRFGFDVFTDYSGIFDALSHKNYNVRAAAAEALAAALDENLDKMQDTLSTLFSLYIRDLGAGVEFGDIHWLGRQGVALALHSLADVLGSKDLPVVMTFLISRALADPNVDVRGRMINAGILIIDKHGKENVPLLFPIFESYLNKKASDEEKYDLVREGVVIFTGALAKHLSKDDPKVHSVVEKLLDVLNTPSEAVQRAVSDCLSPLMVSKQEEAQALVSRLLDRMMKCEKYGERRGAAFGLAGVVKGFGISSLKKYGIAAILRQGLEDRASAKSREGALLGFECLCEKLGKLFEPYVIQMLPLLLVSFSDQVLAVRESAECAARAMMSQLTGHGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQAAGQTALQQVGSVIKNPEISALVPILLSALTDPNNHTKHSLDILLQTTFINSIDAPSLALLVPIVHRGLRERGVDTKKKAAQIVGNMSSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRAVAARALGSLIIGMGEEIFPDLVPWLLDTLKSDSSNVERSGAAQGLSEVLAALGKDYFDQILPDIIRNCSHQKASVRDGHLTLFRYLPRSLGGVFQNYLQIVLPAILDGLADENESVRDAALSAGHVFVEHYATSSLPLLLPAIEDGIFSDNWRIRQSSVELLGDLLFKVAGTSGKAILEGGSDDEGASTEAHGRAIIDVLGREKRNEVLAAIYMVRSDVSLTVRQAALHVWKTIVANTPRTLKEIMPVLMDTLISSLASSSSERRQVAGRSLGELVRKLGERVLPSIIPILSQGLKDPDASRRQGVCIGLSEVMGSAGKHQLLSFMDLLIPTIRTALCDSTQEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRALEDDETSATALDGLKQILSVRTAAVLPHILPKLVQPPLSSFNAHALGALAEVAGPGLNSHIGTVLPALILAMDDEDADVQNSARKAAETVVLVIDEEGIETLIPELLKGVNDSQASMRRGSAYLIGFLFKNSKLYLADEAPDIMSTLITLLSDTDKATVSAALEAFSRVVSSVPKEQLPTHIKLVRDAVSTARDKERRRRKGVPILVPGLCLPKALQPFLPIFQQGLISGSAETKEQAAEGLGELIDVTSEKTLKEVVVPITGPLIRILGDRFPWQVKSAILSTLTIIISKGGLALKPFLPQLQTTFVKCLQDNNRSVRTRAASALGKLSALSTRVDPLVSDLLSMLQSGDDAVKESVLSALKGVVRHAGKSVSPVVRSRGCDLLKDLLQADADDVRSSAAKAIGTLCQYMEENETSDLVQTLLNMGTLPDWCTRHGALLTFCSISMHCSSKLCRSMSFPSIVDLLKDSLKDDKFPVREASTKTLGRLLCYQLQSEASTLQLIQLLALALRDDSSEVRRRSLSCLKAAAKINNPALATHLSILGPAIAEALKDTNTPVRVAAERCALHVFQLTKGADNVTIAQKHLNMTGLEVRKIAKLPEESDGSESSDDDKRT >ORGLA03G0409100.1 pep scaffold:AGI1.1:Oglab03_unplaced058:240251:242073:1 gene:ORGLA03G0409100 transcript:ORGLA03G0409100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRLGIGGRAAEETEPARFAFADALPAWPQGGGFATGRICVGELELAAVTAFEKICALSATKGGGGGVTFYRPAGVPEGFSVLGHYCQPNTRPLHGHLLVAKAVAGKPESESLPPLRPPHDYELVCAFRADGVGEDRKSCRGYGRTGAYFWLPVPTDGYRALGLLVTAEPDKPPLREVACARADLTDECEPHGSLLQLQLVGQSACWSSSTVPAAFALRGIRPTHRGMWGRGIGAGTFCCGAVGLSPREQGMACLKNVDLDLSAMPTLEQAHAVIRHYGPTLYFHPKEVYLPSSVSWFFKNGAALCKKGEDAAVELDGEGSHLPCGECNDGEYWIGLPDGKRGESIIYGDIDSAELYAHVKPAMGGTCTDVAMWVFCPFNGPARFKLGPITIPLGKTGQHIGDWEHFTLRVSNFTGELMAVYFSQHSGGRWVDASALEYTAGNKPAVYSSRNGHASYPFPGVYLQGSAALGIGIRNDAARSELAVDSSAKYKIVAAEYLGEGAVEEPRWLNFMRVWGPTVVYKSRQRMERMTSAMHRRLRSPAERMLNKLPNELSREEGPTGPKEKNNWEGDERW >ORGLA03G0409000.1 pep scaffold:AGI1.1:Oglab03_unplaced058:236232:236861:1 gene:ORGLA03G0409000 transcript:ORGLA03G0409000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium load-activated calcium channel [Source:UniProtKB/TrEMBL;Acc:I1PI94] MASALSSLRYGDSLSVVAISGATAVLCEAISWLLIYRTATYNSLRATIERHSRKLDAMKAGASNSSSSSSAGAGASGSSQPAGSSSSRAKKMDRVETSLKDAARELSLSKLKSGAVVAAVLFVVFGLLNSLFEGRAVAKLPFAPVPLVQRMSHRGVPGNDPTDCSMVFLYFLCSISIRTNLQKLLGFAPPRAAAAAGGGLFPMPDPKVN >ORGLA03G0408900.1 pep scaffold:AGI1.1:Oglab03_unplaced058:232689:232967:-1 gene:ORGLA03G0408900 transcript:ORGLA03G0408900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHLRETLAKAAWHVEKTHHIRMEYEERVLRRFVLHGYVDVTDNEDDQVGDDDDDDGDDKDDPPASLIRPLSSAPVDTVADPRISLRPGQS >ORGLA03G0408800.1 pep scaffold:AGI1.1:Oglab03_unplaced058:228968:231691:1 gene:ORGLA03G0408800 transcript:ORGLA03G0408800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFGTESSSTGGSSSTSTGDSPHCWSPGTNVQPGAGVGLNSICFASSGDGPARLGVAPFENGQYVRLLNRGRGGYLFADESGVRVRTDCRRGLINTVWCVQILGGDTPHILLRGAYGRYVAGTPLGADEGHIGILVTQRVLETMDTNVMWRTVPGPRGGGVVLINASSFNGGLRALRTNGKYQRWNTGVSLQCIDRFNARFSSMMEWEVQVIPTRVQRPPFQVGGAAWLCGLQRRGSGEIQVGVRVADDDGNFNIPGPQNLSVPGRSLIELGSVLEDRLGSNFRFRNMSIFIRAGSLGQPFPLLTDLPSELDYFEVVVFMVGTPGYRRLRFPDIDAA >ORGLA03G0408700.1 pep scaffold:AGI1.1:Oglab03_unplaced058:214400:214780:-1 gene:ORGLA03G0408700 transcript:ORGLA03G0408700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARPAPSWGLLDSVVRLHKVGERTEPDWAVIECSETRAYVTLYNWHDRPDGTSPFRLSPFDGLELLVRVADDPPYPTALSIRLHGDPDKDVRRGTIGGVLLADGGFVVLSSCLPDTRGSSSYIVF >ORGLA03G0408600.1 pep scaffold:AGI1.1:Oglab03_unplaced058:210875:211392:-1 gene:ORGLA03G0408600 transcript:ORGLA03G0408600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:I1PI90] MSSSQGGGGRGKAKTTKAVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSRLLGTVTIAAGGVLPNIQQVLLPKKGGGKGDIGSASQEF >ORGLA03G0408500.1 pep scaffold:AGI1.1:Oglab03_unplaced058:208938:209951:1 gene:ORGLA03G0408500 transcript:ORGLA03G0408500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDASPQPIQSAKSAVESLAAVLGAALPGTLASADDPANALLHDAGVARAVVGRLRREGSGAGNDGLCRWLYDAFQSNLPEIQLAVLRFVPALAGVYMSRAVSRKPLAGFEAVLLALYAHAAAQRGSGEAETVSLPNLANPSVYHDAKVPPKTKAAELDVAVLSPALEPHGTMRATRRARIVGAVLELYHGKLAIMPLSSKMEFCEFCVAWTGNRSKLDDKPRVAAASEPAAAEEKLRRVPLPWELFQPVLRIVAHCLLGPTNSDELKTQATRAAECMYWRAAETMDARSVLAARSLVRLSQMTEEPIPEPSFSGAVETNMAELEAMRANILSNKN >ORGLA03G0408400.1 pep scaffold:AGI1.1:Oglab03_unplaced058:200821:203453:-1 gene:ORGLA03G0408400 transcript:ORGLA03G0408400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTDFILMDSSEVSGASGTSWTDEETLLLLEALEIFGGKWTEIAEHVATKTKAQCMLHFLQMQIEDRFHGDEDINQNIQENTEQASAEKGAAEIPDKMEVEEKAEGKDTAGEKTPEKAEGNSVEAQTEDGNAIENKDANNSGGTDSVKSLNTDEPKKSSDADPPKSSSDAEPVVKENSVDVDTSRENASNFAIDTLKSAFEAVGYFPEHEGSFADAGNPVMALASFLAGLVEDDTATNSCRSSLKAISEVSPALQLATRHCFILEDPPSDVKDMSGNASTTSTDGDKRKDKDKTQDSIDSEVEGINKKGETVLSVEGKKSYPISPKGQDTDKKDECDEDPSVDPKHNNGKESDDPVSLDKSVSNNKKGNTMETSNPEMIEDKASSEVNPADDSSLEGKVEMKKTKDAVANATTAQEQKQSQILENGKMEEPKSTEDVAADEENSSRVTANLTDSITRLKRAAATAISAAAVKAKLLADHEEEQIRQLAALMIDKLYRKVEAKVSFLTEVEHLVQRTREYTEKTRKKLLMERNAIIAARMGSLPSRPNQPGAAGNRLPAGYGGPIVRPPPNAMPRPSS >ORGLA03G0408300.1 pep scaffold:AGI1.1:Oglab03_unplaced058:199554:199763:1 gene:ORGLA03G0408300 transcript:ORGLA03G0408300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSVKGRSGGGGSRRKVVAVVDPPEAAVDLDLNLNPSSTLAICLCFIECSLGSTKLDVMLSNFCQN >ORGLA03G0408200.1 pep scaffold:AGI1.1:Oglab03_unplaced058:191006:196249:-1 gene:ORGLA03G0408200 transcript:ORGLA03G0408200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGMVVAVANGTKVEAAPAAGAGAAANADSPTSVLEDEKISECKNGDASDTVEAIKQEDDHLKVLAEERADDFVDASSSLTVELAANNGDLSPHPVPVKEEDQLLEPVKEEKADDFVDATSSLPIDLEAKNGDASLITDAMKEEEDKLHEARVKADEEEEARKRAEAARLAFDPNARFNKLDELLTQTQLYSEFLLEKMETIADVEGVDTPDEGEPVEEKKKGRGRKRKATSAPKYNNKKAKKAVAAMLTRSREDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGVIYHGDKAARAEIRRKFMPKTTGPNFPLIVTSYEMAMSDAKQLAHYKWKYVIVDEGHRLKNSKCILLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFCAKGGEEEQEESEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTNHQKEIQNHLVEQTFDEYLHEKSEIVLRRPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGMYPPVEKLLEQCGKFQLLNRLLNLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLSSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQDEEDRMIQTDITDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >ORGLA03G0408100.1 pep scaffold:AGI1.1:Oglab03_unplaced058:186690:188931:-1 gene:ORGLA03G0408100 transcript:ORGLA03G0408100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRQLLLLLLLWGFLQLIRLPYSASQSFVGINYGDVADNLPPPASTARLLQSTTITKVRLYGTDPAVISAFAGTGISLLLGAANGDIPNFASSPAAAAAWVAAHLPSTSSPAISAVSVGNEVLFADTSLASQLVPALQNIYAALPPNSSVKVSTVHAMDVLASSDPPSSGAFKPELAAALDPLLAFLSKTGSPFLINPYPYFAYLSDPRPETLAFCLFQPNAGRPDAGSGLTYTNMFDAQVDAVRAALDAKGYKDVEIVVAETGWPHSGGADEAGATAENARAFVSGLVSHLRSMAGTPRAPGKPVDTYLFAVYDEDLKPGKPSEKSFGLFQTTTLAETYPTGLMRNGTAAGLAPAMAPAAAAPTLPVKPSPAPARLPGQQPQVTPLQPGSAAAAGPSALCAPGTATTTARGAAAAACSSPSAAESPRTMSVISIIAGVLLMYLLI >ORGLA03G0408000.1 pep scaffold:AGI1.1:Oglab03_unplaced058:181837:186272:1 gene:ORGLA03G0408000 transcript:ORGLA03G0408000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl cyclase-associated protein [Source:UniProtKB/TrEMBL;Acc:I1PI84] AAAASDPAIVAYDEFVAGAVGRLTAAAEKIGGKVLDATKVLAEAFAVSKGRLVQAKQLQKPASMADAQDFFKPLNDVIAKAIAMTEGRRPDYFNHIKSVADSLTALAWVGFLGKDCGMSFPTAHVEESWQMAEFYNNKVLVEYRNKDADHVEWAKALKELYMPGLRDFVKKHYPLGPSWGPVGGAPVSQPKATAPAPKAPGAKAPPPPALPSAPLFTTEKSPKSAQPKEGMSAVFQEISSGKAVTTGLRKVTDDMKTKNRSDRSGVVSSSTAAPAAAPEKTSRAGSFSFKSGPPKLELQMGRKWVVENQVGKKNLAIDDCDARQSVYVYGCKDSVLQVNGKVNNITVDKCTKVGIVFKHVVAAFEVVNCNGVEVQCQGTAPTISIDNTSGCQLYLSNDSLGASITSAKSSEINVMVPSGATDGDWVEHALPQQYIHSFKDGQFITSPVSHSGA >ORGLA03G0407900.1 pep scaffold:AGI1.1:Oglab03_unplaced058:170627:171483:-1 gene:ORGLA03G0407900 transcript:ORGLA03G0407900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPFARPNRASPSAWEADFLERTNLTMSTVEYALPTAIMTSPSFVAEGAGGSQICAMYSFQAFSEMINERNAITKITSEMTPTEFVAFSLETSRRDLIHQLSDVSHQEFRLKVLRSLQSVASALIRLHMLDKEMLEPHLSRPTLLGCKDDSPLNQDPYWPHFQVLSDEELSVEVRRGSLPMF >ORGLA03G0407800.1 pep scaffold:AGI1.1:Oglab03_unplaced058:162945:166510:1 gene:ORGLA03G0407800 transcript:ORGLA03G0407800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSIAKLFYLARTSQHYTDSTYKSVPMTKPEALQLHHLPDDVLHRILSRLTFRESSRMGLLSRKWQKLWRSCCPKLVFTRATMFKPGNKTIRRTRTKFARRVNSLLRQLCAPPTLNKFVVKFGLRRKHTCHVNRWVGFCSKSRARHITFDFTPGVKGIFRGLADEKYIFHLHVFSVPDRSPAHIKSLHLSYVWLNTATTGFTGFANLKKLTLHKVSFLNDFQHLMLSECTALEWLSISCSSFTELTLCKPLRRMRYLSLHYCYMEKVDLEAPNLTSVDLTNRPIPLALSESLKVMEANIKLLHKSVLYGDNLDYICTELPAALPHVQKLSITSTLCIYDELQSFAKTSVRFINLRHLSLYLPLYGDGRSVGGILRLAYLLELAPVLEELELHRKHYAMELTSALICCVQFRFSDFVIRQAIRVDMLPYRHDKLKRVVMSGACHWQGLIELAHHIRRCASRIDCMIMDPMVRIKGLPTVDWLEERGRRIAKELLKRQEFQGVLTVL >ORGLA03G0407700.1 pep scaffold:AGI1.1:Oglab03_unplaced058:140499:142241:-1 gene:ORGLA03G0407700 transcript:ORGLA03G0407700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCADSGNLMAIAQQVIQQQQQQQQQQQRHHHHHHLPPPPPPQSMAPHHHQQKHHHHHQQMPAMPQAPPSSHGQIPGQLAYGGGAAWPAGEHFFADAFGASAGDAVFSDLAAAADFDSDGWMESLIGDAPFQDSDLERLIFTTPPPPVPSPPPTHAAATATATATAATAAPRPEAAPALLPQPAAATPVACSSPSPSSADASCSAPILQSLLSCSRAAATDPGLAAAELASVRAAATDAGDPSERLAFYFADALSRRLACGTGAPPSAEPDARFASDELTLCYKTLNDACPYSKFAHLTANQAILEATGAATKIHIVDFGIVQGIQWAALLQALATRPEGKPTRIRITGVPSPLLGPQPAASLAATNTRLRDFAKLLGVDFEFVPLLRPVHELNKSDFLVEPDEAVAVNFMLQLYHLLGDSDELVRRVLRLAKSLSPAVVTLGEYEVSLNRAGFVDRFANALSYYRSLFESLDVAMTRDSPERARVERWMFGERIQRAVGPEEGADRTERMAGSSEWQTLMEWCGFEPVPLSNYARSQADLLLWNYDSKYKYSLVELPPAFLSLAWEKRPLLTVSAWR >ORGLA03G0407600.1 pep scaffold:AGI1.1:Oglab03_unplaced058:135175:137138:-1 gene:ORGLA03G0407600 transcript:ORGLA03G0407600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVDLSPRRGWRACSSGWMLRVEQVAAHIEELMREGGDAVARGPVERLVRRATEPNRHLRLHRCLPVPSHAAAPPSLFPVAVATADNLRVNPVSYAVELVSAAKGKVNMEYMRLVVDLMVKRDRLKSLHGKWNTYFHSFASTDQCSQGVILFTDGQVPTYRRFSPLPTYRHLSSHSPAPAHRVGKPRRAEVEELLGRHGDELPGGTGRCLSVNPLTTPPPLEIRHWGDGRRRRDPRAVVAGNGCGHRRADGREARSWRAAVAVVDGLMGGRSATAAVARSWWAPDDSHAWRHGSLFL >ORGLA03G0407500.1 pep scaffold:AGI1.1:Oglab03_unplaced058:125680:126691:-1 gene:ORGLA03G0407500 transcript:ORGLA03G0407500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRLASSGSTGLGFALGRLGGRSGGGGGGGGLAPASASSSSVVSARARRTVSATPNAAAPTPGEQGVAMEQGKQQHQPPPPQPQPQQEKRGSRDDDMHKTTGDVMTHSFGEGYSTRSDEEGFGGVYGQNDPVFNPGTEVHPSHPEYDTSQGSEVKEKEKARHHKDDKHAT >ORGLA03G0407400.1 pep scaffold:AGI1.1:Oglab03_unplaced058:117658:120965:-1 gene:ORGLA03G0407400 transcript:ORGLA03G0407400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKRQRSASSSRLSTLSSSPAPHAAASASSPPLSFPNADLVLRLHLDPCPDDDADLDAGEDHRPSLDLHVSSASLLRSRYFAALLSDRWSPAPTSAAGGHGHLSLAVAAPRSASHPFHAHVEVVRLLHTLDFAGAIHSPADALDILPVALQLLFDACVEACTRFLEAVPWSPDEEARVLEIAPLLPADEAADLLARITPPQAASASAAGGEAARSPSEAMLHGLIHSAIHGHPVPAATKAFVAMLLKDYPSRDCVQKVLDEAFLSRLETVKELMGKYASPDFRVAVDSDERDAIQRLNLQSAVLNVRHLLWLIERMVEMRVAGNAVKLWSEQAALTADLQKLLNDVDMWKNITPGLPVLVTRCTLRFANSVVNGETIVPRQVRTKLVRSWLPVLNVCRNMVQPMQCGYKSPNCQELEETFLQIISTLPVPDAQELLQQCLGFSTRNVDDCPHLIAAFKTWFRRAGRAPQGAEN >ORGLA03G0407300.1 pep scaffold:AGI1.1:Oglab03_unplaced058:115794:116477:1 gene:ORGLA03G0407300 transcript:ORGLA03G0407300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLVHTEDDEEEQQQQQQLEEEKRYGGGSGRGSRFRARRQRRRAGGGSHQQLLLMDCVGSGKEGGASSEETVPLPEYERLSQSARLPDDADPLMKSDAPAPPAEKLPQPQTPTPTGTPKLQAAERKAQKPAKEPPSPPRSQQPKPAAWRLIEYVRSRNKSGGGGGGGGVAAGCSSSDGDSKSSDGEKEAEVDGEDGGGSKDKKDKKKKRSSWLPDPDRRWPVQGFY >ORGLA03G0407200.1 pep scaffold:AGI1.1:Oglab03_unplaced058:106586:107043:-1 gene:ORGLA03G0407200 transcript:ORGLA03G0407200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFATLFALAVVMALVPAMPVLQPDEATSATSTAAATWKASTRGPPVYMVKLAPTTGKRSTDEI >ORGLA03G0407100.1 pep scaffold:AGI1.1:Oglab03_unplaced058:105532:105885:1 gene:ORGLA03G0407100 transcript:ORGLA03G0407100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAERWVPQADILVHRTFLNETFLVDVLRVGVRVREAASNAATEAVARAVVRLMNDDDNDAAAARRVRVAELNVAARGAVAESR >ORGLA03G0407000.1 pep scaffold:AGI1.1:Oglab03_unplaced058:88734:90292:-1 gene:ORGLA03G0407000 transcript:ORGLA03G0407000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFRKKHASRFDSDDIEQQEAKIQELRAALGPLSSSGKKYCTEACLRRYLEARNWNVDKSRKMLEESLKWRTAYRPEDIRWPEISVESETGKMYRASFVDREGRTVVIMRPAKQNTSSHEGQVRFLVYTLENAILSLPEDQEKMVWLIDFTGWTLANATPIKTARECANILQNHYPERLAIGILFNPPKVFEAFWKVVKHFLDPKSIQKVNFVYLKNEESMKILHKYIDPEVLPVEFGGKNNVVYSHEEYSKLMVKDDIKMASFWASDTKTDHVNKVINEHSVPEVTQQSSLVAAKAS >ORGLA03G0406900.1 pep scaffold:AGI1.1:Oglab03_unplaced058:83909:87282:1 gene:ORGLA03G0406900 transcript:ORGLA03G0406900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFAVDGLRNLTRLEAFSAPGFGLPGSLPAWLGAGLAPTFQLLDISGCAVTGEIPASAIAGLSNLTTLNLAGNLLSGQLPGSALAGLARLKTLNLSGNAFSGELPKAVWSLPELSVLDVSRTNLTGALPDTGLALPSNVQVVDLSGNLFYGGVPGSFGQLFGRTKLANISGNYFDGKLGVSNGDGGNFSFELNCFVDVTGQRSQAECQQFYAARGLPYNVSGPAPAPQPAMPASPGRKKGHKNLKYILIGAICGGVLLVAVIAAILYCLVCSGSRRNGSRNDQRESGVRNTQLGASGTGGGAVTAGMQPSASPANLAKVGDSFGYDQLVEATTDFGDDRLIKHGHSGDLYLGALHDGTSVVVKRITSSMAKKDAYMAELDLFAKGLHERLVPIMGHCLDKEEEKFLVYRFVRNGDLSSALHRKSGEEEEGLQSLDWIKRLKIATGVAEALCYLHHECNPPMVHRDVQASSILLDDKFDVRLGSLSEVCPQEGEGHQNVITKLLRFSSTADQGSSGSPSASCSYDVYCFGKVLLELVTGRLGISASNDAATNEWLDHTLRYINIYEKELMSKIIDPSLIIDEDHLEEVWAMAIVAKSCLNPRSSKRPPMKYILKALENPLKVVREDNGGSSSARLRATSSRGSWNAAFFGSWRHSSSDIGPSRDDNLLKRSETIKSSGGSNGDHSSSRRRQSKEIFPEPSGSRDTED >ORGLA03G0406800.1 pep scaffold:AGI1.1:Oglab03_unplaced058:64205:64594:1 gene:ORGLA03G0406800 transcript:ORGLA03G0406800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKTQSQGSASSVPKNPAMSSCRKKKSDDATFLEDLKDHIDEFIHASMDEHKHCFKNTIQKMFGMSKVVAERSAEAKVAEVESALPLQTSVSQ >ORGLA03G0406700.1 pep scaffold:AGI1.1:Oglab03_unplaced058:59603:60103:1 gene:ORGLA03G0406700 transcript:ORGLA03G0406700.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFDMKDLGIADVILNIKLIRGENRITLLQSHYVEKILNRFGYIDSKPSPTPYDPSLLLRKNKRIARNQLEYSQIIGSLMYLASATRPDISFAVSKLNRFTSNPGDDHWRALERVMRYLKGTVELGLHYTGYPEVLEGYSDSNWISDVNEIKATSGYIFTLGGGAVS >ORGLA03G0406600.1 pep scaffold:AGI1.1:Oglab03_unplaced058:59375:59602:1 gene:ORGLA03G0406600 transcript:ORGLA03G0406600.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLLKSLYGLKQAPKQWHEKFDKTLTSAGFAVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLEVINEVKSFLS >ORGLA03G0406500.1 pep scaffold:AGI1.1:Oglab03_unplaced058:58394:59374:1 gene:ORGLA03G0406500 transcript:ORGLA03G0406500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTAGLPKAWWGEALLTSNHVLNRVPNRNKDKTPYEIWIGRKPSLSYLRTWGCLAKVNVPITKKHKLGPKTVDCVFLGYAHHSIAYRFLIVKSEVSDMHVGTIMESRDATFFESFFPMKDTHSGSNQPSEIIPSSITPPEQTEHTHEHVSEKDVSEAPRRSKRQRTAKSFGDDFTVYLVDDTPKSISEAYASPDADYWKEAVRSEMDSIIANGTWEVTERPYGCKPVGCKWVFKKKLRPDGTIEKYKARLVAKGYTQNEGEDFFDTYSPVARLTTVRVLLSLATSHGLLVHQMDVKTAFLNGELDEEIYMDQPDGFVVEGQEGKV >ORGLA03G0406400.1 pep scaffold:AGI1.1:Oglab03_unplaced058:52179:52559:1 gene:ORGLA03G0406400 transcript:ORGLA03G0406400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGGVKAAAEAEAEGEIVAAPAEKVACFKKTAGEEADATFMERVKDYYNQLKESSAEKHWICIKDKFRAAGEYVSQKSSSVIPPRSTLSSSLLCKLLLGSNLXCIL >ORGLA03G0406300.1 pep scaffold:AGI1.1:Oglab03_unplaced058:50939:51456:1 gene:ORGLA03G0406300 transcript:ORGLA03G0406300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARMLKSIVESRPPKSGRAARQEQRGLLHAPDSXXXXXRLHHRAVRPGGRPPPRAPGHSGRAGWPGTSPVTATRLPADSRRRPDEGEVKRRRTRT >ORGLA03G0406200.1 pep scaffold:AGI1.1:Oglab03_unplaced058:47598:49276:-1 gene:ORGLA03G0406200 transcript:ORGLA03G0406200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMASSMAKNSSPFKVVLGSSSPARREILADMGYEFTVMCADIDERAIRREKPEELVKALAEAKAEAIKLKLHGEDSAQERDQPTILITSDQVMVSKGMIRERPKGQEEAREFIKGISISNLCLGIVPVNLLYLAKKFWLCVSLLLVGYSGDKAFAVNYVLVTNLSNGASKGGWDIPEIYFHHIPEDFIKSVVKEGHMTCVAGGLKLTHPSVLPFIKQLVSNSFETTTIASITTLRTANLYWTVSLQRLNNNNIESIALILRFLPMLHRSAQWIAFEDSRGSSLRGLFKKFLEPNDLHLECHLQLARRALLNGLYDALVL >ORGLA03G0406100.1 pep scaffold:AGI1.1:Oglab03_unplaced058:46255:47280:1 gene:ORGLA03G0406100 transcript:ORGLA03G0406100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTLPMRFLPSVTYCPLPVRQQPDADGGGYLLAIFAMDMDADAEADGYLPQVLCLLPSSAPFDRRRWGTRRPIFPSEKPKSFTAHQTFSFQGSAYWVDLGQDILFCSCHDLMSGTNNINNDDDDDDDLQFGYIQLPDGCYVGFDSLYLTHLPSQYRDIRCISHSIRFVSIEGYNTDPPYDMLLSMWDLTPSSRQWHKVGSIHVGSLWEQEGFRRSGLPTNTSPTQPMLSSEEDGVVYLMAGDFYEEDEKHRSLHVFSVDMTTCEFASAWRLPPWRHSGPPSLIGSDIFKHLKMDNLCQLVPPNTRAKVLPRPPKRDRGEGNVITVRPRKVQRVHHQGENV >ORGLA03G0406000.1 pep scaffold:AGI1.1:Oglab03_unplaced058:39130:39441:-1 gene:ORGLA03G0406000 transcript:ORGLA03G0406000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVFRFLKLVGVGFKARTEREGRELFLKLGYSHEVQFTAPPAVRVFCFKPNLICCTGIDKNRVHNFAGAVRNCKPPEVYKGKGILYIDEVIKLKPGKKQKK >ORGLA03G0405900.1 pep scaffold:AGI1.1:Oglab03_unplaced058:27371:36908:-1 gene:ORGLA03G0405900 transcript:ORGLA03G0405900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDEFGGLYTDILVHAPPAPSNPAPPAAAAAETLAPVPPAPNPNPTPTPASTKAAAAADEEEDDDWLLGGSDPVVGVDPTGDWADEEEDGGAAQPPPKREAAAAAAKPAPVVAEEADPLMGGVAGDAGAAIPGLSSSAAASAAAAGSEEWDSDSEDDIQIVLNETDGRRRLGEDEGDDEDGEDLVIVADGPIPGMEEQDWGEDAAAAGAEGERKEGGEPGKAAAAPGGRIGYSGGGQGFHPQHHSMFKYVRPGATLGAPLGGAPTATGQFRPPGPPGPFAGRGRGDWRPGAGRGMNKGFGYGMPPWGGSGRGFGGLDFTLPPHKTIFDIDVDTTFEEKPWKYPGADISDFFNFGLDDEKWKDYCKQLDQLRLESTMQSRIRVYESGRSEQDYDPDLPPELAAATGHHDISADSRNKTDNGHTDFNTQGRVPTSMRPPVHRQNLCSRWGLAHPYPLSTPFSPSTPSSTCKRKKRRRSCVTIAVLAPLPALTRKPSGTACFCGAQCATADGRGWNSGEVMTGRPIQVETGYGERLPSIDTRLPRMRESDSVIEIVCQGQSDDPLVDDSTVDQTEKDSQRGDKRTHGAEEGRPYTSEMNSSSALGKEEHKKRLPVSSEGDNATDVNGRSSPSYRTRGSPRGVRSSKGSSAREVESSNEILPRQTTSLKRNNDSQREKNPDEGSESKDGPEGSPAAADEAADKLSADHFDGNDGSLALVDSAEVDGDDVISDPHTVSETTTTDGDNLSHSGKKQKLISRAEQPTGHNSSDQDELRTRNSDNSRGRSGSSKDNQKRLESGEEVLQDRRSRRINDARRHHDGEDRNSRRKDEYLRDIKPDVERSHLASRSRDDTHHPYANRDRDMRGRSYDRVRDTEIWQRREESVHNRRAKEEDVRLEHNAEVGARNRNKMRPPVDRNDRIEDPHARKRLDDGDWRGSRPRERGDVVLNRRENIDDSHMKRKKDEENMRRMKPENEDIVHGQHGYRGRDDPNRRKRERDDGIDQKRRDDNARMREKADDRYHTKHKEDNWRQREREDRHRPKHDNTVTLQRDEGRGSGRGGRILDDKLVTSGRKQDESRSAGLSKEAQERSRQNDPLRRDQGAEENNMQNRGRSDVHPRDENPNNSERNTRQEKPNNTHDGNRLSSNSGARQASRDRYRESTRKGRSSDINEHDLPKSSKRRREDHESHRGGKVDVKGVSEQENSRDHTVSSKKGQNPQRESFVKQAEEDPMSDDENHEDSRRGRSKLERWTSHKEIDYSSIDNENAPTFSSIKSDVQAPTADELGKSEAAAAGNSELKSGGDNGQTSEKNAEERDRHLDTVERLKRRSERFKLPMPGEKDAPQSKKVDTEVQPPQIESASADLEVKPERPARKRRWTGTGS >ORGLA03G0405800.1 pep scaffold:AGI1.1:Oglab03_unplaced058:24914:25264:-1 gene:ORGLA03G0405800 transcript:ORGLA03G0405800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTASMLFLSYHQLHRPASAAEAAPASRRKEAEEEGSNGGAAGGRVRVSLRSALSLLARRREAAPTPTPQAAAAKEVTRRGGGGGDGVAGEGEPEEAASLERRFEEAVRLSCWSS >ORGLA03G0405700.1 pep scaffold:AGI1.1:Oglab03_unplaced058:20001:24127:-1 gene:ORGLA03G0405700 transcript:ORGLA03G0405700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:debranching enzyme 1 [Source:Projected from Arabidopsis thaliana (AT4G31770) TAIR;Acc:AT4G31770] MKMHGELDKVYDTLRELEKAEGVKIDLLLCCGDFQAVRNENDLQCLNVKPRFREMKSFWKYYSGQAVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRVGGLSGIYKQQHYHLGHYERPPYNENTIRSVYHVRHYDVLKLMHVKEPLDIFMSHDWPLGITEYGNWQKLIREKRFFEEEVNKRTLGSEPAARLLNKLKPPYWFSAHLHCKFPAVIQHGEGGPTTKFLALDKCLPRRGFLQVIDIPSGPGPHEIQYDEEWLAITRKFNNVFPLTRMPFTMLDEQVDTQDDLQWVRNKLNARGAKPIDFVQTAASYDPSCQASNPSITVHCRNPQTESFLQLLNLLYLLDSSNSYGVSRNESSSQTGQALDSDDIELPDDEDDPADDDD >ORGLA03G0405600.1 pep scaffold:AGI1.1:Oglab03_unplaced058:15075:18490:-1 gene:ORGLA03G0405600 transcript:ORGLA03G0405600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49660) TAIR;Acc:AT3G49660] MAAEDNPGYALRATLAGHRRAVSAVKFSPDGRLLASASADKLLRVWSTSDLASPVAELAGHGEGVSDLAFSPDGRLIASASDDRTVRIWDLGDGGGGGGGGEPRLMKTLSGHTNYAFCLAFSPHGNMLASGSFDETVRVWEVRSGRCLRVLPAHSEPVTSVDFNRDGAMIVSGSYDGLCRIWDSATGHCIKTLIDDESPPVSFAKFSPNGKFVLAATLDSKLRLWNFSAGKFLKTYTGHVNTKYCIPAAFSITNGKYIVSGSEDKCVYIWDLQSRKILQKLEGHTDTVIAVSCHPNENMIASGGLDGDKTVKVWVQKEEDQMEV >ORGLA03G0405500.1 pep scaffold:AGI1.1:Oglab03_unplaced057:12372:13922:-1 gene:ORGLA03G0405500 transcript:ORGLA03G0405500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLVPRPRLLASTTLRAFHAAAHQRHQDPIIPGIYSYASLLRRSAATSDPRLAVSLHAALLKRGFLLASSHIFLCNHLLIACFKSRLHRHGLRLLDEMPRRNAVSWTTAIAGLTQGGQPREALALFKRLRRAGLPPNEFTLVSALNASSFVGGAGVGRARQLFALAVRLGFDSNVFLTNAFLAAMVRHGQLADAVRLFDNANAWDIVSWNTLLTAFAHRSSLRLCTLWRRMAIEGVSADGFSFSTVLSGLSGSANVAATGLQVHAQLVKSGFVDDVCVGNSLLEMYMKNKQLESGIRAFTEMRHRDVVSWTELAAGLLHCGEPAESLRVVSDMILDGIRPNNYTIVAAANACANLANLNQGRKIHGYVIKLGGDSNVGVNNALIDMYAKCRSVTGAHKVFQSMQRQSVVSWTAMIMAFAQNGQAREAVKVFDDMLLEGMAPNHAAFYSVLYACSQGGFVDEGWIYFDAMADKFGVEPGEDHFACMVDLLTKAGRFEEAEELISRMPFRPGVVA >ORGLA03G0405400.1 pep scaffold:AGI1.1:Oglab03_unplaced057:10049:11461:1 gene:ORGLA03G0405400 transcript:ORGLA03G0405400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSTTASGLAPPPFSSARGARLLPGALLRLPPPPASVGSFRVVGPAAAPPGGRRIASARVRCGAAVRFIGQSEFEAEVLQSDLPVLVDFVADWCGPCRLIAPVVDWAAEEYEGRLKIVKIDHDANPQLIEEYKVYGLPSLILFKDGKEVPGSRREGAITKAKFKEYLEPLLSTSTVA >ORGLA03G0405300.1 pep scaffold:AGI1.1:Oglab03_unplaced057:2294:9625:-1 gene:ORGLA03G0405300 transcript:ORGLA03G0405300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTVAAAAAVVPGVACGSSTRRVGVGNGNASAHAGGGCLAGGRRGAAAWVARARVAEAPPVAAEGSRQEAPAAPMVEIPVTCYQILGVTEKAEKDEIVKAAMELKNAEIEDGYMAEVSTCRQALLVDVRDKLLFEQEYAGSIKEKLPPRSSLHIPWSWLPAALCVLQEVGEEKLVLEIGQAALRRPDSRPYVHDVLLAMALAECSIAKASFEKSKVSLGFEALARAQYLLRRKPSLEKLPLLEQIEESLEELAPACTLELLSLPQTPENAERRQGAIAALCELLRQGLDVESSCRVHDWPCFLGQAMNKLLATEIVDLLSWDTLATTRKNKKSLESQSQRAVVDFNCFHVAMLAHFALGFSTRQADLISKAKTICDCLVASENTDLKFEESFCLYLLGEESGTTVFEKLQQLQSNGNSNSRNYGLPKKKDGNDKVTVCQSLELWLKDVALSRFADTRDCSPSLANFFGAPKRILSTSKQKLGATRMVRLSSQPSSSVSPCNRALGEQTPRLNSTSHLGEAVKQLAPNNLGVHSSMDRPANGSTTTSVPLKRNLVSHPARTLESWGLTGDIVGKLAYSALIGFALFGTLKLLRFQFGHMKPASASRGSAATQSLNEESTLEGSFITSSVRKHFEKLPKMLWLNNRLYSRSEESDLSSVANAVAATVCKQSMALQEAETLVKQWQDIKSEALGPDYQIDMLPEILDGSMLSKWQELALSAKDQSCYWRFVLLNLSVVRAEILLDESGTGEVAEIGAVLEEAAELVDESQPKKPSYYSMYEVQYILRRQSDGSWKICEASVQDLTXLLSKETTYSSADSSQGAVNFIRFDMAGSVNFTRFDTAGLIRHXINLRYLAMACDARAAHGGHRIPYMTKXLLIAXYATFVQTLTKPDITGFYLFPDMVXICGTFFSRALFXLCERPSASKLWRHCSKNNVNXSAPVSVWLCSTVKFMLRYSSLLNSYIKISARSMLKKLVKLRDXINRANCPXPXNILVATGELNGKSTHAGFRNSDCLQVQFLFXNPXSCRYFSKDLVAVANKXRYKDKCIVKKMEIFLVQPHQSYSXXRYNEETLCQDLDSTSMAMAQGLRIYYKGXCGPASAQWNGGSTYGNXVSVAXXARRPYXXMGELCNCIKNKGIGCXFIRSGXGLIFHRFDTXQLHTDNQRTTIXFASTASWPQLWFILAVSRAYDRVSAAACXFQRISEPQDSXLSRYEHYRXRCSAYAIQMQSSGVFGDFIFEMVTSIRMLHPLDRLKHLVVDICPNLQEIELNCSPTTLKYSGTMVPLIFASTSRLTNISIVFINYQSALSYIITGFPSTLPRLETLTLHCGERERTIVPEGPFKFTYLRNLRLELALCGHGNIRKTDALDYAYILKIAPFMETLELSGGTSKQPKFFFF >ORGLA03G0405200.1 pep scaffold:AGI1.1:ADWL01007231.1:1541:2211:1 gene:ORGLA03G0405200 transcript:ORGLA03G0405200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLGANPANSCALTPLGFLERAATVFGDCPSVVYHDTVFTWSQTHRRCLRLASALVSSLGISRGDVVSVLLPNVPAMYEMHFAVPMSGAVLNSINTRLDARTVSVLLRHSGSKLIFVDPALLPVLRDALRLLPAGHTAPRVVLVEDPHEKEFPPAPAAALTYERLVEKGDPEFAWVRPASEWDPMILNYTSGTT >ORGLA03G0405100.1 pep scaffold:AGI1.1:ADWL01007230.1:13179:13562:-1 gene:ORGLA03G0405100 transcript:ORGLA03G0405100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLNCRHQPYREEDGELRIVGPPHQHAHLKRVRISGFFGHKDQVELALHILRSSMALEQMVITPKLEIGNDLAFSDPCADEYEKKHYVNGHRVATEFVCKPDHRNVVTVERVVPEPADGEVERKRRR >ORGLA03G0405000.1 pep scaffold:AGI1.1:ADWL01007230.1:10405:12039:-1 gene:ORGLA03G0405000 transcript:ORGLA03G0405000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLIIHDGRRMAGRRRRRHLRAIVRRGARGHAHARPPPSRPQPAAAAAAAFSSSPHTLHDYNRLLDAFARDGDGDAALRVLRRMRHSSPACAPTAASYTSAMSALAKAGRPADAAALFDDMLANGVAPDRCAFSFLLHVYSSHLHLPSAAHSVLVWMSRLGLPPTPIDYADLVFSFCRAGRLPDALQLLDEMRALNYPLTLHSYTPILQVYCANADMQSADALISSMRSTGCHPDVVFYNIYVNGLCKVGDFDAVQRTIDESGRNGWVPDAVTYSTYIAGLCWFGYVEEALRQLEIMVTMGLQPTVVGLNILLDYVAQDLDMWAGKEVLERCQELGFVVDVVTYNTVMDHFCKKRKWLRVLKLFTDLLKKPITPNVQTCNIFISCLCRAGKFQFAKFVFSSKGFMADTVTCNILIHAFYEAGKEDELGFLFADVNAGKIAPDTITYNTLVDCLFRSGRRAEAVNLIRHIDDGYPVEPVARLAYWLVRSGNVREALRLFDDMLEKGLLLDSRIFANVIKAFCRKGPGECTEMLQLCSVLDRMLGIG >ORGLA03G0404900.1 pep scaffold:AGI1.1:ADWL01007230.1:4162:7931:-1 gene:ORGLA03G0404900 transcript:ORGLA03G0404900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATALDSLPAPLRSLRLKTKQQELLLRVSALALIYVLAFVVRLFSVLRYESMIHEFDPYFNYRTTLFLSDHGFSEFWNWFDFESWYPLGRVVGGTLFPGLMVTAALLHRLLRALSLAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGLVAAALIAVCPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWSLASAFGYFYMVSAWGGYVFIINLLPLYVLVLLVTGRYSQRLYVAYNSTYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKYLLNDAKLFKSFLRITLTCVITVGTLALGIGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAASATIKNLTTLIRTKSKSPQTVSGKSSGSKAAAKGAVDQSLPFQQNVAIALLLGAFYLLSRYAVHCTWVTSEAYSSPSIVLAARGHNGGRVIFDDYREAYYWLRQNTPSDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWWGVSCRQGGSTKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAFTTSNWIVRIYKVKPPKNRS >ORGLA03G0404800.1 pep scaffold:AGI1.1:ADWL01007230.1:1577:3388:1 gene:ORGLA03G0404800 transcript:ORGLA03G0404800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLMNTGAVSMPFPTTRTAARRRTSRSRCQASSSGGSSNEGGGESYRGRSGRGGSTTWVTEYDLYGLLGVERSSPQSEIKAAYRSLQKRCHPDVAGAKGHDMAIVLNEVYSLLSDPAARLAYDQEQAKQSEFVGYTGKPLYSAWFGGEAEQRAVFVDEVRCVGCLKCALYANKTFAVESVYGRARVVAQWADAEDKILDAIQTCPVDCISMVERSDLAALEFLMSKQPRGRVRVSEGNTVGARAPDIFNEVSKFQKRFQEMKQKSATRESEESEAARQSRSSAVQTIRSISNWWYWQPFRAPATTVLASLHLPAPPPSPSMPADPVTDRLQEAAARRKAGGATAARTVASYARRDDYWTPQLNLPSLASPPERPHRRQSASPPRSQTRRATPTGDGGVTLGSIDLTAPLLMAIISAGFVGYNREEVAGVGGGGIQEHVGGAAALGLVNSFELKIVLASVTWFIIGAAIAGFIQFLARSEVNFRK >ORGLA03G0404700.1 pep scaffold:AGI1.1:Oglab03_unplaced054:100104:101159:1 gene:ORGLA03G0404700 transcript:ORGLA03G0404700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGFHGAAAASPTTVARAGRVMRAAVAAFFHGYHCYTSVAGLLVLPFSAAVLVSGAMASPSSGALAAVSARIRCMFDAAGFPPSSFFALLNAKLSQTVFTFAAALPFTLTFLLLAKACVAAMLRPDDDGEGVERRGRGVARATRLPPCGSVAGAYPAMVATHLFGAFVMLSANAAVFSLMFLAFNGADLLGLTTTSHAAATLALSAAGAIAYSVAVGIATVVCNLAVVVSAMERRAGHAAVLRACVVIRGRVPTALALALPTNLGMAAAEALFQLRVVSQAQRHRLAGAGDGKRLAAGVAGEAFSIAYIHALCVVLEIIVSCMFYRSCRRSEADELRELEPEEKGDLQA >ORGLA03G0404600.1 pep scaffold:AGI1.1:Oglab03_unplaced054:91571:93995:1 gene:ORGLA03G0404600 transcript:ORGLA03G0404600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKTAFVGNLPANVTEEYLRKLFEHCGEVVRVAVSRKGQYPVGFVHFASRTELDHAIKEMDGETVRGPDRGATFRIQVSVARPVVENDKKRIREEVKTRRSNVSRDKPDHSYGRRGHDSYDRQAKAPRLYNEVSDTDPYEAAVVSLPSAVKELLLRILRLRIGTRYDIDIHCIRSLNELPEKAAVAVLNQFLISGADKHNKGDYFASLIAKYQAETFSSALRLQGSTYLPRNPEIQNKRFPHQDYEYTASGSSRYSSLGDYPSSSYVDDPASSQSRNRRYDEYRPDLVRYPDSRSRQEEIVRIERYPEPRFAHEPRQDTGRHLDLGYVQERNSNIERSAQVAFSSREGGYLSASRYNTNIVPEFSSRSSAEYSTACQQVRFDPFTGEPYKFDPYTGEPIRPESNPLRSGSLY >ORGLA03G0404500.1 pep scaffold:AGI1.1:Oglab03_unplaced054:86203:88732:1 gene:ORGLA03G0404500 transcript:ORGLA03G0404500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARDSIREILPVFSIQSALGTADSAPAIRPVAAASDLVRISSEKSRLDLPVPFFFFFFFLLLVGGFQEKRGAASHGDYDEQDRRVKGAEVFVGGLPRSVTERALREVFSPCGEIVDLRIMKDQNGISKGYGFVRFAERECAYIAKRQINGFEARISNFLFDLQGKRLAVDLSLDQDTLFFGNLCKVLFDQH >ORGLA03G0404400.1 pep scaffold:AGI1.1:Oglab03_unplaced054:82668:84904:1 gene:ORGLA03G0404400 transcript:ORGLA03G0404400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTPVMMRRARARRPFAVLAAAAAPPPSAGRNRENVEGEAMSFSQCVRRFGKPAAKKGSARTPRRATPVAAAAYDAPRAPLWDRSEERERIRARLSSPDDGGEEEEGSSGKKRTRTRTRTRTRRSVALREAMAGLPEHGDGRVRYLVDTFERLLSLSSDPGEQSRRRRKKKTPVARKTGSSWPPPPTPTPTTTRADEIDVSYPSIASSSEVSFPINGVVLRRSIARDEPPRRQKRCSGICSSERSWSRKKIGVTIQRPFNLRTERRGKMKEESLVQRMKNKLLEEERLRNPVAQGLPWTTDVPENPVKPLGKEPTEPIDVVLHSEIRAVGRARFDHQVAERNSFLEKLNMERERQQKLDEELEIKQLRKEQVPRAHPMPDFSKPFVPKRSVKPQTVPREPKFHPRLTRSSSKT >ORGLA03G0404300.1 pep scaffold:AGI1.1:Oglab03_unplaced054:75118:79209:-1 gene:ORGLA03G0404300 transcript:ORGLA03G0404300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:I1PI47] MYKMMYPSWNDISVYISEYWSVIIATVIFASVTGVTIYYTVNQLNKNISLSLMKAIRARARKYKKLKDKVPASSHIWRKELGSRSKGLKCCVCLKSVSSPQYMGGVIHQCDICGATAHPSCSGNAHKDCKCVSMVGFEHVIHQWAVQWIDTSDRSEEDSFCCYCDESCNGAFLAGSPIWYCMWCQRLVHVDCHNNLSIETGDICDLGPLKRLILSPLCVKELHWTGAAGLISSITHGANELASNVRERIRSRGKKYRKGTISVDSDSSGTIDPPSDIEGDSQETNNAAKRREDHANGELPEVHESSESENDKQLLTENTTSIPNGQHEDSHVHNNQKYEIVDVPSDSRPLLVFINKRSGAQCGDSLRQRLQILLNPIQVFELGKQQGPEVGLTLFRKVPHFRVLVCGGDGTVAWVLDAIEKQKFEAPPPVAILPAGTGNDLARVLSWGGGLGIVEKQGGLFSVLKDVEHAAVTVLDRWKITIKDNQGKLMSQRKYMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAKEGAKNMMDNTFDYFPWDVKLEIDGSKINIPQDSEGILVANIQSYMGGVDLWKNEDDVSDNFHPQSMHDKMLEVVSFTGMLHLGRLQVGLSRAQRLAQGHHIKIEIKTKMPIQVDGEPWSQDPCTIVVSHHCQAFMLKRVSEEPIGHAASIMADVLENAENNGIITASQKRTLLHEIASRLL >ORGLA03G0404200.1 pep scaffold:AGI1.1:Oglab03_unplaced054:70257:73302:-1 gene:ORGLA03G0404200 transcript:ORGLA03G0404200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1R7G6] MRFLIMAAIRWVVLAYIVVIGCATIARGNEQPLSRIAIERATVAAVDSASVKAQPTVLGLKFQYANFNNADYNRSGKGLLRLQLINQREDFSFALFSGGLSAPKLIAISNKVSFQNPKAPVYPRLAQGKSWNEMTVTWTSGYSIKEAIPFVEWGHKGGNQMLSPAGTLTFSRNSMCGSPARTVGWRDPGYIHTSFLKELWPDSLYTYRLGHRLLDGTHIWSKSYSFRASPYPGQDSVQRVVIFGDMGKAEIDGSDEYGNYEQASLYTTNQLIKELDSIDMVIHIGDLSYANGYLSQWDQLTQQIEPIASTVPYMIGSGNHERDWPGSGSFYGHNDSGGECGVPTQTMFYVPAENRAKLWYSTDYGMFRFCIADTEQDWRPGTEQYKFIEQCLSSVDRSKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGRDGLEELWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNLYTGQFNATTHVIVGGGGAMLSPFRATVPYWSFFRDYDFGFSKLTALNHSTLLFEYKKSRDGKVYDHFTISRDYRDIMACSIDNCPRTTLAV >ORGLA03G0404100.1 pep scaffold:AGI1.1:Oglab03_unplaced054:63326:66536:-1 gene:ORGLA03G0404100 transcript:ORGLA03G0404100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1PI45] MIRLWVVVTWLVVCAAAAAHPGEQPLSRIAVERMVLAVNESAHVKASPLVLGLKGETNEWVEVEFFNPNPSNADWVGVFSPADFSSAICEAFGVPQYYPMLCTAPIKYQYANFNNNGYSKSGKGKLKLQLINQREDFSFALFSGGLKNPKLVAVSNKITFANPKAPVYPRLAQGKSWNEMTVTWTSGYDFKEAVPFVEWGAKGGQRVLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKELWPDSLYTYRLGHRLPNGTNIWSKSYSFKASPYPGQDSVQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLKNIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGMGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKLWYATDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTFYEEEGTFEEPMGRESLQELWQKYKVDLAFYGHVHNYERTCPVYQSKCIVSGSDHYSGPFTATTHVVVGGAGAGTSDSEFTTSNIKWSYYRDFDYGFVKLTALNHSSLLFEYKKSSDGNVYDHFTISRDYRDILACSIDNCPRTTLAT >ORGLA03G0404000.1 pep scaffold:AGI1.1:Oglab03_unplaced054:58018:61242:-1 gene:ORGLA03G0404000 transcript:ORGLA03G0404000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1R941] MIRLWVVATWLIVCVAAHPGEQPLSRITVERTVLAVDESAHVKASPWVLGLKGQNSEWVEVEFFHPSPSNDDWIGVFSPANFSAAICEPENKRQRPPVLCTAPIKYQFANFNNDGYNKSGKGYLKLQLINQREDFSFALFSGGLLKPKLIAISNKVAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAVPFVEWGAKGGRRFLSPAGTLTFDRNSMCGAPAQTVGWRHPGYIHTSYLKDLWPDSLYTYRLGHRLPNGTLIWSKSYSFKASPYPGQDSLQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLENIDMVVHIGDICYANGYLSQWDQFTAQIELIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIAHTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCSYYEEQGTFGEPMGRDTIEELLQKYRVDLAFYGHVHSYERTCPVYQSQCVVDASDHYNGPFKATTHVVVGGGGASLSEFTTSKIKWSHYTDFDFGFVKLTAFNHSSMLFEYKKSRDGNVYDHFTISRDYRDILACSVDNCPRTTLAT >ORGLA03G0403900.1 pep scaffold:AGI1.1:Oglab03_unplaced054:47736:50739:-1 gene:ORGLA03G0403900 transcript:ORGLA03G0403900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKRHKNVEAGKGSFHRMILGQLVGEFGFDEENVPCNTPRSSVRSRSGASTSRIVASTSGSGTGGVLVSPGEYVRDPGSILSLQPWIFKRSGSQKNEEKMMLAGGSRVVGEGKNLMDSFRDGSAVEVSPRSPGLGSGPGRGRGALRSRRSRRHLIRPLVPMENSYIPQLYSEDFEIDECMFGPVPSPASARPFIVTDGRRVISKSRYQPVPVPFRIGFEKEGYRNSSDMAVSVIGIAPLPELKKSKRERQEFHNAGMSLSALQSSKPSKSTGLLDRLHIFSTGVSIGIISSTLSKKNELDALKGTVKRMENLVQDLHDELEMREGLTVKELPNEMSVKNDDDESKAHVTDSEPMSKIEEELEAELARLELNITSNHLNEQTFDFSEVDQDLIGDIVQGELKIDMAHRDLADYSSESAHGRDSRESSPDYTHDANYPVSPRDLSLRLHKVIQQRLEERIKELETALAQSEKQTQVQVMATEQILCERTCSDSDSGSPNQESPVYIQETNSLAEPFCLNLAGDALEAYDEAYEEFMRIADSPCTTSTNGKPQVHEDYSVDRSLIWGLEDGSARKLKKVPTWERILKSGEPNRTQDSDGDDEDEFEEDDDQDSKMLIQQIVERTKQGSPVLIHAQRILFSVDD >ORGLA03G0403800.1 pep scaffold:AGI1.1:Oglab03_unplaced054:35128:37269:1 gene:ORGLA03G0403800 transcript:ORGLA03G0403800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVEKVKALWDSQVNDEEAWAFNYKLMKAGGLFVASIFVMRNFGDLMAI >ORGLA03G0403700.1 pep scaffold:AGI1.1:Oglab03_unplaced054:31794:32464:-1 gene:ORGLA03G0403700 transcript:ORGLA03G0403700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAARAVAMPSLSPATVAARPSSRRLHKVAAMATQKPTSGTRRGTTVYFPVGEPGPRQTTSGKAAAPPVKLLTNVEKLRLLTKAEKAGLLSAAERAGLSLSAVERLGLLSKAEELEVLSAATDPGTPGALLGVALLLLAAGPAVVYLVPEEYPWEVAVQAVVALACVVGGSTAFAASSFVSKLQSSSS >ORGLA03G0403600.1 pep scaffold:AGI1.1:Oglab03_unplaced054:26041:30601:-1 gene:ORGLA03G0403600 transcript:ORGLA03G0403600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALVLSGGGRVVVAPSAAAAAAVAAVGIQPSDEGKGVTVDELKAALRRRGPDSLGCERLRVRADGTTLGSDGCDCGVGNGGDVGDTELCFIGATLQLRGAEPILQPMVGQSGNVLVYNGEIYGGVHVADDQNDTQSLLSSLESCCSCECHALFRDEACLCCGSVGKSVPQILSTIKGPWALIYWQKDSKTMWFGRDAFGRRSLLVHWPSPDDPRFILSSVSPPSFASNNSAPTVKVMESGEDNDFPESTNMSYWEELPCGIYSIQLKSLEKSGMCMKEACVSEVRRHDWINSSLDELIQWKRKSIVPTVDDLTSHQNSVGDYCLSQSFRNSTEADKNAAYKVLIALRESVMLRTNLNRLFQDDLNKLKDDELAPIAILFSGGLDSMILAALLDQCLDSKWTIDLLNVSFDGQLAPDRISALAGLKELQRISPIRRWRLVEIDTVLTNLKGESEHVMSLIYPSNTYMDLNIGIALWLAAGGDGWVDGSICNIQDGCRYKYKSTSRVLLVGSGADEQCAGYGRHRTKYRLGGWVLLDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLEIPLWDIAKLDEPVGKGDKKILREVANLLGLKEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHQRAR >ORGLA03G0403500.1 pep scaffold:AGI1.1:Oglab03_unplaced054:23983:25077:-1 gene:ORGLA03G0403500 transcript:ORGLA03G0403500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGGSGSGSRDEYGRAVARAAVAQALEAAGFDCAHRSAVDALVDVSLRYVVHLGRTAAFNANLAGRVLANEYDIIQALEEIGTDFDGFVGAATSDRCLVGSGVVRELIDYVESKPEVPFVRPLPSFPVPRVEPQPAQSFAMAGKESGMKHVPEWLPVFPDPHTYIRTEVWSEEEAKARVDKVEQVRQRRKAEKSLLSLQRRLALAGADGFRPAVTENTVEKGKEIQVAGSKRNPFLEPALPPGEKEVSDVAMQPQRRKISVLDAFAPAIQAANMMDIDTGPGWDNNQSQKSIVPKERAPVHLKIGIDKKPLSAALNSKPLDLREDPSFLKEEVKDERKRRAGMILRASMENPQELPQL >ORGLA03G0403400.1 pep scaffold:AGI1.1:Oglab03_unplaced054:13312:15369:-1 gene:ORGLA03G0403400 transcript:ORGLA03G0403400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLAVVLLLLLLAALAASQEFTYSGFRNGGGGGGAGNSPNLTLNGVTELRPDGILRLTNETSRLIGHAFYPSPLRLLAGGAAVSFSTEFAFAVVPEYPKLGGHGLAFVVAPDPRLPGALPSQYLGLLSAADVGNATNHVLAVEFDTVQDFEFGDINDNHVGVDLNSLVSNASASAAPVNLKSGDTIVAWVDYDGGAKLLNVSIAAASASKPASPLISFHVDLSPIFLDQMFVGFSASTGLLASSHYLMGWSFKLGGGAAPPLDVPSLPSLPRPAAGGKNRTSAILAAAFSAFVALVALAGAAAYAAHRYKNRDVVEPWELDYGPHRYSYAELRRATRGFRDRELLGAGGFGKVYRGVLPGKPPRTVVAVKRVSHESRQGLREFVAEIASIGRLRHRNLVQLQGWCRRRGDLLLVYDYMPNGSLDKHLFGDGLAAARLTWGARVKVLRDVAAALLYLHEGWERVVLHRDVKASNVLLDGDMSGRLGDFGLAKLHEHGANPSTTRVVGTLGYLAPELTRTGKATAAADVFAFGALALEVVAGRRPIEPRAPPEELVLAEWAWERYAAGEVGAVVDARLRGEFDAGEAEAAVKVALWCSHPAPAVRPTMREVARYLDAGGAAEVPEPPPPPPPPPVSSGEVGYYDFVHSYPTSSYERAAAAADGVTQTSVATFPYSPLSMRSSHVSV >ORGLA03G0403300.1 pep scaffold:AGI1.1:Oglab03_unplaced054:8399:8638:-1 gene:ORGLA03G0403300 transcript:ORGLA03G0403300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYYIKKFLSWVHPDRSLIIAIKWMCFRCSCSLMMPLKLPLNLPLKLPFKLPLKLPFKLPLKLPFNLPLKLLPSTQHV >ORGLA03G0403200.1 pep scaffold:AGI1.1:Oglab03_unplaced054:1451:1908:1 gene:ORGLA03G0403200 transcript:ORGLA03G0403200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQGGSRPLDQFFDRMETMSELGFVGSDVDDGEHGGGGAAPSMWDNLAGGGGGGGGSAVAATTPANLLQQQYVLSNLLC >ORGLA03G0403100.1 pep scaffold:AGI1.1:Oglab03_unplaced052:3:3598:1 gene:ORGLA03G0403100 transcript:ORGLA03G0403100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLLSHLTYGDKIDENENGKKRVTDHWRKYISPLMWRCQWLELRMKDLQSQVSRYDRQLAVLKHEKELQTKMIELDCSSSRSVPFSSHCCRKTMKRRRRKRNEEKMNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFKQIXYFTHAXXRWCYFGCSWIFTPFCXNLNSLFYCSYLLAASCIRGIRNKIFTNRQVKSNIFFAYNYDSALDIISRSVKLFFXLEILPGLCLAVXFYKLKRLFTNYRKKTKKDQSITLFVTNQKQSVLVDPSFCTNAEEPQLXIKPSKNXTREAHCEKFSHSFASKYAKQQXRKFTRNLSDXTECEPKPPSGKILCQDSMQFPNAASNVDXKGRRKXDVACLSDIIQAXFTSEVIVFLCTMSPIQHISCVKSVSEXKPSKNLNLHYTFCFPDPDKRPRHMHIXVCELIKPLSCVFVRVPDERPFITNTVLXNFCSYYCMXSLIXQEIFILPINVLLLGVERFCFCYTFQMNXQTLCXLFSRXKHHSXQXNXLATWNXERXSYCRADSFEHSICSRXSAXPEIXSQEGNGQKEXGSNPXSQHTHERCTELKLLTRKGXSAXKITKGHVGLXYGXCRHARECSFKLWRSXXYGYFXKHHELIVGXSSTSDGRISPEF >ORGLA03G0403000.1 pep scaffold:AGI1.1:ADWL01007223.1:10100:10849:-1 gene:ORGLA03G0403000 transcript:ORGLA03G0403000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGFWSYNDKGEQWFQLRNPEIKPMSLDVGPKTDRAEVLKQRLKTLRHGASVMSSMVIPKANGEKSINRHPDYEFFLSRSN >ORGLA03G0402900.1 pep scaffold:AGI1.1:ADWL01007223.1:3196:6611:-1 gene:ORGLA03G0402900 transcript:ORGLA03G0402900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:I1PI33] MAATMNKTPATTFLLIPAAASLVLLLAAAASVEASAFDYAGAFDKCLLFFEAQRSGKLPADRLVRWRGDSALTDGFSQGVDLVGGYYDSGDHVKFGLPMAYAVTMLSWGVVEFEKEMVDGNKLHRVLDAIRWGTNYFVKAHTQHNALWVQVGDGDSDHLCWERAEDMSTPRTAFKIDINNPGSEVAGETAAALAAAAKAFKPYDRMYSDLLLLHSKQLFTFADTFRGKYDDSLQSAKKFYPSASGYQDELLWAAAWLYEATGDEQYLRYVSQNAEAFGGTGWAVTEFSWDNKYAGLQVLLSKVLFEQGGSAAGYADTLKQYQAKAEFFLCACLQKNNGHNVKMTPGGLMYVSDWSNMQYVSSSAFLLTVYADYLAESRGTLRCPDGEVKPAEILRFARSQVDYVLGKNPKGMSYMVGYGSYYPTHVHHRGASIPSIYAMNATVGCMEGFDKYYNSKNADPNVLHGALVGGPDANDAYDDDRCNYQHAEPTLAGNAPMSGVFARLAASPADNTPEYTPAPNAPSPSNGGSPLEFVHTVTNTWKANGVDYYRHVVTAKNTCGHAITYLKLQIKELSGEIYGVSRTNAKDMYEFPSWMTRLDAGAQLTIVYIQGGPAAKIAVVEYKTA >ORGLA03G0402800.1 pep scaffold:AGI1.1:ADWL01007221.1:535:1584:-1 gene:ORGLA03G0402800 transcript:ORGLA03G0402800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYLYHACNLLTQVDLQVVHIVDLSCSAAHPWQWLKLLDDFHGRPGGAPELYLTVLHDDNDFLADMQSLLSKKAESLGVSFHFISVIGRLETLDFSNLRSTFQIKFGVAVAISCALQMHRLLLVDDNLSSTSIAQLQKMANFTQPKQMASSVCSPASTLNYLQTPSPRTPKLLARLLSAIRALKPNIMLIMEQDADHNTLLFCDRFNEVLNYYAALFDCFHVVAAANPGRTDERLRVERMILREEIKNILVCEGVHRHERHERLDQWAMHMEESGFHNVQLSFSAIREGKENLLSFGLKNCQNKEDRGCLLLCRGYTNLYSISAWRQNRGSSSGSREHMLVQRQIIWPFQ >ORGLA03G0402700.1 pep scaffold:AGI1.1:ADWL01007216.1:2:2023:-1 gene:ORGLA03G0402700 transcript:ORGLA03G0402700.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSGEWVALDDRCPHRLAPLSEGRIDETGCLQCSYHGWSFDGSGACTRIPQAAPEGPEAKAVRSPKACAIKFPTLVSQGLLFVWPDENGWEKATATKPPMLPKEFEDPAFSTVTIQRDLYYGYDTLMENVSDPSHIEFAHHKVTGRRDRARPLPFKMESSGAWGYSGSNSGNPRISATFVAPCYALNKIEIDTKLPIFGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFSMPGKAWWQLVPRWYEHWTSNLVYDGDMIVLQGQEKIFLSASKESSADINQQYTKITFTPTQADRFVLAFRAWLRKFGNSQPDWFGNPSQEV >ORGLA03G0402600.1 pep scaffold:AGI1.1:ADWL01007215.1:90:1379:-1 gene:ORGLA03G0402600 transcript:ORGLA03G0402600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEHICGVEHGQSGKQSKISSRPSNSCTQVASKDSTKDFIHPIKVRTWTPHEVTLNDYMIGANMNHLQDPKQNRRGKPHKYSRLSEVANGGFIEEKSACTAKMLPGITHSTETGVGQIASSSASDVTVREISEEICTPIGPVQKGGLQILLREENVVGTGSLDVLNHVSSVESEEQKKVDNAVMSRSHGMEGHHLQSQDSGSQFPGCTTDYWKTSRPTESGLEVGYHGVSAFEGRCNMNQQRSVSSKLQLGEMIKAANDACKVQGASDVHLISGHPLADFETFIYSASPVIAKTSCMRNGNCLQDPQAGSSPYQYQISDVSLRNVWEWYEEPGSYGLEVEIHRSLNSTRSACGVSEFCAYFLPSLSAIQLFEQCKNNLDHKFDSDDDFLLSQPNGVYLPKPSLSVQDHGEPLFEYFESEHPSSRPPLFEK >ORGLA03G0402500.1 pep scaffold:AGI1.1:ADWL01007214.1:1:2794:-1 gene:ORGLA03G0402500 transcript:ORGLA03G0402500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRGSTSSGGGDDRGREGHDDFAGGGQYNRYHRILEAVPSPLVRRENVVHHQYPTGLIHHPSSTMPVAPCSYVPRYTMVPTSAMLPLQHHHRQLQISQENFQDRVPSNNVAAPHLPSNFQDLRLMCNGPPFMSYGQTASNRNVLYQNLTPYSFNAWASNNMPRNPVYTSYHPTAIEDPHATPFHINNHDTDQGFFTVSTSFRVDQSFVHAPSPFPPVSSSSRSFSSAQISNGPTHAKKAKKSDIKDQPIVLRRSDTESEKNDELDQTPASEPSSMSHNSANLTIRFNCREYRVILRKELTNSDVGNIGRIVMPKRDAEAHLPALHQREGVMLKMDDFKLETTWNFKYRMTPLTFSHM >ORGLA03G0402400.1 pep scaffold:AGI1.1:Oglab03_unplaced041:17197:21966:-1 gene:ORGLA03G0402400 transcript:ORGLA03G0402400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroorotate dehydrogenase (quinone), mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1PI28] ASASEAAAGPKKVPPPPRKGRLLTGAMIGLAIAGGAYVSTADEAKFCGWLFKSTQLVNPLFALLDAEFAHRLAVTAASHGFVPREKRPDPSVLGLEIWGRKFTNPIGLAAGFDKNAEAVEGLLGMGFGFVEVGSVTPLPQEGNPKPRIFRLREHGAVINRCGFNSEGIVVVAKRLGAQHGKRKMEETSSSTSPTTSDVKQGGKAGPGILGVNLGKNKISEDATADYVQGVHTLSQYADYLVINVSSPNTPGLRKLQGRKQLKDLVKKVQAARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALALRLDGLIISNTTISRPSPADTHPLAQEAGGLSGKPLFDLSTNVLREMYILTRGKIPLIGCGGVSSGEDAYKKIRSGATLVQLYTAFAYGGPALIPRIKAELAECLERDGFKSVQEAVGADFKXASXDFKIVWFPQAADFFSTAMLTHFIGMLIXRGTPTETSFAGASLIDLKXCVVISRAHTSYLVFVCFXASCTTNFGYMCIFWACGGLEGRLMLRNGXGLKMIVLPFLFVXRIIFRDSSSGYNFVPYATCSIHHTLFLRKPVXTIIDISYNYSGCWGEKKSEYHDXPXPCIPDTSGSLFWNVPVSKSNKLQXAFSYISQVDAXTEYXTPTIYGVGEMSRLIRMQLLSWFIWALLVMHEHEXLFVKSSPXCVXLILLYFTKCVLEPHSNGLKTFPXPQFVCRLXLMLVVNLKLVRSSVNMGYYSSLFTILXWXSFKLLTQCNYSVPXSIQFNITXLRSILPGIIIVNXVXHLLCVLSFLXRNFPLPDXNLDHSAFCLINRHLLRCSSPPVTLAKGIRCSTRTSDTRVLNKESNIIINXRKKLIFRRRGKQQAAQDSSRQHRPKPIXWESHRGRLQQKPTC >ORGLA03G0402300.1 pep scaffold:AGI1.1:Oglab03_unplaced041:7497:7847:-1 gene:ORGLA03G0402300 transcript:ORGLA03G0402300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASGCSSGRGGGCAIACCQAQGGVQRRGGRVRRRRRRHAGARSLPGDLPGLRRRRVLRDHGVRRGRVHRRVVHLRREAEPCAAAAGTLGSRAGVLAAAPGRRQRQGRRRRRRR >ORGLA03G0402200.1 pep scaffold:AGI1.1:Oglab03_unplaced041:3880:4816:-1 gene:ORGLA03G0402200 transcript:ORGLA03G0402200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATTNPTTPIPQDATQILIVIATSDTLIIPGSNTCAMDKSLLKTKVDVTLDGTTNGTLFIETIIRQYEKGNAQEKSGWVMRLRV >ORGLA03G0402100.1 pep scaffold:AGI1.1:Oglab03_unplaced039:7604:10833:-1 gene:ORGLA03G0402100 transcript:ORGLA03G0402100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGHGEKGSAKSAEQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRERRRSSIHDITSVNNGDTSAAQGPITGQPNGPSANPGKSSKQSPQPANAPPGVDAYGTTIGQPVGGPLVSAVGTPVTLPVPAAPHIAYGMHAPVPGAVVPGAPVNMPPMPYPMPPPTSHG >ORGLA03G0402000.1 pep scaffold:AGI1.1:Oglab03_unplaced039:527:5079:-1 gene:ORGLA03G0402000 transcript:ORGLA03G0402000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARGSSSSSGDGGGGEGKGGAGHGDFVGGGQHNWYHGILGAVPPPNVGRQNIVHHQYPAASLIQQHHQSPTMPLPMAQLPYVPQYTVLPTPAVLPSHHHHHGQSQISQENFQDWVPSNNVAAPHVPSAFQDWRQMCNGSAFMPFGQTAANSNGFYQNLTFNSWTSNNMPRNPVYTSFHPAAIEDHHAPLFHSNNHDIDPEFLGNRHLHGVDIAGAPPAKATSPATAGGLLTNTAPATMSQIHARCRRRQIYRCCGLLPRAPPPSDPCAFARAAITAAGSARGLLSHLYGGLVFWLVGKRKLGEKRERKRKVVAGMDPPIVFRRSDMESEKNDDNPDQIPVSEPPSMNQNGENLIIRFNCREYRVILRKELTNSDVGNIGRIVMPKRDAEAHLPALHQREGVTLKMDDFKFETTWNFKYRFWPNNKSRMYVLESTGGFVKHHGLQTGDIFIIYKSSESGKFYVALCLF >ORGLA03G0401900.1 pep scaffold:AGI1.1:Oglab03_unplaced035:109902:111659:-1 gene:ORGLA03G0401900 transcript:ORGLA03G0401900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERDIPVLVFSAGLADIIEEVFRQKLHRSFKNIKVVSNRMVFNEEGRLVSFKGKTIHVLNKNEHALDMAAPVHDNLGDPNGYTDDYSLVKKRTNVLLLGDHIGDLGMSDGLNYENRIAVGFLNNNIEKSLKDYSEAFDIVYLNDAPMVGVVELVSELCP >ORGLA03G0401800.1 pep scaffold:AGI1.1:Oglab03_unplaced035:104675:107977:1 gene:ORGLA03G0401800 transcript:ORGLA03G0401800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMMRWPRPPAARKFRVRLVVRRAEGLQQPCAAAAEHAASAEAESPPTRVAAEVRWKGPKASPLSSLRRTAVRRNRTREAEAEAAAVVACATAADDAAAAAGAGDAAGVVVAAWEEEFESTVTLAAASHREHAAFQPWELAFSVFTAANRGPKIKPSILGTASLNLADYASAAEENIEIILPLSVPNGSAESAPSLHLTLGMVELRAFQETSDASQRSAMAVPLSPSSGDFAPVGKDEVSVIRAGLRKVKILTDLVSTRRSKKTSQDDESSEEKCYVNSDGAEYPCDIESLDDDLDDRAQQDEVGDSTVRKSFSYGSLQSVNYVGGLVYAHAKIDGEHEDWIYYSHRKSDAGYHVEGKPSSTVEETMLPTVKRSILPWRKRKLSLRSLKAKGEPLLKKAYGEEGGDDIDYDRRLLTSSDGSVSEGSRGEDGSINGMVSEFGDDNFVVGNWELKEIVSRDGHLKLSSQVFFASIDQRSERAAGESACTALVAVIADWFQSNQDIMPIQSQFDSLIREGSLEWRNLCENLMYRERFPDKHFDLETVLQAKIRPLTVSSSKSFIGFFQPEGADDMHRFDFLDGAMSFDSIWDEISKAAEYSSSDNPNLYIVSWNDHFFLLKVERDAYYIIDTLGERLYEGCNQAYILKFDNDTMIHKLPEKAPSSPNSSGPLKDSSRSSSVEQDSEDGTEENILVSKGKESCKEYIKSFLAAIPIRELQVDIKKGLMASTPLHHRLQIEFHYTASSPKEITSAPQILTIEAPFEFSWPEPPPAMEIALAPAVAVV >ORGLA03G0401700.1 pep scaffold:AGI1.1:Oglab03_unplaced035:63644:63844:1 gene:ORGLA03G0401700 transcript:ORGLA03G0401700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYELLVDEGGAVDSGEKKAPVVWPVAAITAAAVPSEEAGELEKEVWARFYGAGFWRSPSQLSDHMR >ORGLA03G0401600.1 pep scaffold:AGI1.1:Oglab03_unplaced035:50948:56329:-1 gene:ORGLA03G0401600 transcript:ORGLA03G0401600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMAAPALAAAHLLDSPMRPQVSRYYSKKRGSSHSRNGKDDANHDESKNQSPGSPLSRQSLSSSATHTYHTGGFYEIDHEKLPPKSPIHLKSIRVVKVSGYTSLDVTVSFPSLLALRSFFSSSPRSCTGPELDERFVMSSNHAARILRRQVAEEELAGDVMHQDSFWLVKPCLYDFSASSPHDVLTPSPLPAAAQAKAPAASSCLLDTLKCDGAGWGVRRRVRYIGHHHDASKEASAASLDGYNTEVSVQEEQQQRLRLRLRLRQRREQEDNKSTSNGKRKREEAESSMDKSRAARKKKAKTYKSPKKAEKRRVVEAKDGDPRRGKDRWSAERYAAAERSLLDIMRSHGARFGAPVMRQALREEARKHIGDTGLLDHLLKHMAGRVPEGSADRFRRRHNADGAMEYWLEPAELAEVRRLAGVSDPYWVPPPGWKPGDDVSAVAGDLLVKKKVEELAEEVDGVKRHIEQLSSNLVQLEKETKSEAERSYSSRKEKYQKLMKANEKLEKQVLSMKDMYEHLVQKKGKLKKEVLSLKDKYKLVLEKNDKLEEQMASLSSSFLSLKEQLLLPRNGDNLNMERERVEVTLGKQEGLVPGEPLYVDGGDRISQQADATVVQVGEKRTARKSSFRICKPQGTFMWPHMASGTSMAISGGGSSSCPVASGPEQLPRSSSCPSIGPGGLPPSSRAPAEVVVASPLDEHVAFRGGFNTPPSASSTNAAAAAKLPPLPSPTSPLQTRALFAAGFTVPALHNFSGLTLRHVDSSSPSSAPCGAREKMVTLFDGDCRGISVVGTELALATPSYC >ORGLA03G0401500.1 pep scaffold:AGI1.1:Oglab03_unplaced035:40722:43811:-1 gene:ORGLA03G0401500 transcript:ORGLA03G0401500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPADPRRVRNTCILAHVDHGKTTLADHLVASCGDGLVHPRLAGRLRFMDYLDEEQRRAITMKSAAVVLHHGGHRVNLIDSPGHIDFCSEVSSAARLSDSALILVDAVEGVHIQTHAALRQAFLERLRPCLVLNKLDRLISELHLTPAEAYTRLHRIISDVNSIHSALRSHSYFSLLSSLEDQPSSASSSSPDELPEDVDEDEEDAFQPQKGNVVFACALDGWGFRIHQFAEFYAAKLPNINANALLKGLWGPRYFHKKKKMIVGKKGMEGGDAQPMFVEFVLKPLWQAYQGVLSENGELVKKVITNFSLQVPQRELQNKDPKVVLQAVMSRWLPLADAVMTMVVECTPDPVAAQGVRVARLMPKREVAPEDAAGSPDIVVDAERVRSCVEACDARADAPVVVYVSKMFAVPYKTLPFRGVDGELLNHQGANESEECFMAFARVFCGVLRAGQKVFVLSPLYDPMKGEAMQKHVQEVELQYLYEMLGQGLRPVSSVCAGNVVAIQGLGHHILKSATLSSTKNCWPLSSMMFQVSPMLKVAIEPSNPADLGALVKGLKLLNRADPFVEYTVSQRGEHVLAAAGEIHLERCKKDLEERFAKVKLVVSDPLVSFKETIEGEGLALIESLKAPREFVERTTPNGRCTVRVQVLRLPNALIKVLEESEQLLGQIIEGKTAKRNGVLDPHLSQDDGDSAATLRQRLINAIDSELEAFSEQVDKEKLERYRNTWLGYLQRIWSLGPWQVGPNLLLLPDVKSSDSVITSQDGRQGILVRGRSHVSERLGFVCGSDAEANNDLDDSEPSADTPESLHLESVALRNCIVSGFQLATNAGPLCDEPMWGLVFVVEPYIFCDHSDAANHSEQYNIFSGQVITAVKEACREAVVQNKPRLVEAMYFCELTTPTEQLGATYAVLSRKRARVLKEEMQEGTSLFTVHAYLPVAESVGFSNELRSVTAGAASALLVLSHWEAIPEDPFFIPKTQEEIEEFGDGSSIGPNLAKKLMNSVRRRKGLHVEEKVVEHGTKQRTLAKKV >ORGLA03G0401400.1 pep scaffold:AGI1.1:Oglab03_unplaced035:27106:30336:-1 gene:ORGLA03G0401400 transcript:ORGLA03G0401400.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGSWGRRRGDGEGEGEGAVVLARVEAEEEAAALREAVAAAQETAAALRSEVEEERLAAASAASEAMAMMLRLQREKAEVQMELRQFRRFADEKMALDAAEIDHLRAALARRARHLARLRSTLREYRHTCLRLGIPLAEGDQADELALDDGFVLEGEDGDGAGYYPELRCYDGEYYYEDGQKEGEEEDDPVVVDLERRIYLLEHDHKNHGVELCLEEEEDAPLYADEPLPDSSEQELNSVYVDEALPEGTVQERNQCSDDDDELPESPAARNGSEEEGSDSDGGRSGSGSDRVYTIDKVHQGATAPAARVLENYQDGEVEPDIKKLYMRLEALEADRESMRQALVAMHSEKAQLVLLREIAQQLAKDATPANTGGFGVVPTVHHFPGKQDGFRDQRFRENRKMAIAKRLSMVALCKWIVALFCSQKRNPSQSRYTFGLSGNNVGLLVLLDKYPRIQKTLTRRK >ORGLA03G0401300.1 pep scaffold:AGI1.1:Oglab03_unplaced035:23414:25989:1 gene:ORGLA03G0401300 transcript:ORGLA03G0401300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX (SYG1/Pho81/XPR1) domain-containing protein / zinc finger (C3HC4-type RING finger) protein-related [Source:Projected from Arabidopsis thaliana (AT2G38920) TAIR;Acc:AT2G38920] MKFGAIYEEYLREQQDKYLAKCSHVEYKRLKKVLKKCRVGRSLQEDCPNGDQQEGNNESPDICKCNSCTLCDQMFFTELTKEASEIAGCFSSRVQRLLNLHVPSGFLRYIWRVRQCFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTDHIELLQSPWLIELGAFHLNCNSSDIDETVGFLKNEFFKNFSCDLTEARPLMTMAISETMKYEYSLTCPICLDTLFNPYALSCGHLFCKGCACGAASVYIFQGVKYAPPEAKCPVCRSDGVFAHAVHMTELDLLIKTRSKDYWRQRLREERNEMVKQSKEYWDSQAMLSMGI >ORGLA03G0401200.1 pep scaffold:AGI1.1:Oglab03_unplaced035:15424:17203:-1 gene:ORGLA03G0401200 transcript:ORGLA03G0401200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit C, chloroplastic/mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1PI16] MLSAAASAIPRLRCAAPPRNQSARNQWLLLRRRSLSSSPPYVTPGIPAAAAAAGSGALEPPDLPRLANAARISLSPEEAEEFAPKIRQVVDWFGQLQAVDLESVEPSLRAGTAAGNSLREDRPETFTNRDAIIESVPSYDDPYIKVPRVLNKE >ORGLA03G0401100.1 pep scaffold:AGI1.1:Oglab03_unplaced035:7531:13953:1 gene:ORGLA03G0401100 transcript:ORGLA03G0401100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) TAIR;Acc:AT3G58460] MRPNVITEAGISTRLNQWWGSIPFITSGVILICGAIYLVCLLTGYDSFAEICFLPSVVASRFQVYRFYTSVVFHGSLLHVLFNMLALVPLGTELERIMGSVRLLFLMFLLATTNAIFHLIIAFLAAYNPLYPLHFLVDECSIGFSGVIFSMIVIETSLSGVQTRSVFGLFNVPANWYAWILLVLFQFLASNVSLLGHLCGILSGFAYTYGLFNYLLPGPSFYSSIEGLSVLSVCVRRPGFILCTGGTTYGQLPTFSNTSTAPSALINANFLRNISSWIPSRQTTTTQGNTQEQDPRFPGRARTLSSAGTEPTAREASANLHASLLDSTTPSDPLTSSQHPAANTVRADATVAADQVDTFDEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQQG >ORGLA03G0401000.1 pep scaffold:AGI1.1:Oglab03_unplaced032:51737:52057:1 gene:ORGLA03G0401000 transcript:ORGLA03G0401000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVFRASTRRGHASNAPKGCRLGLSLSSGRLEKLHRERASQGGCRVGPDQFMWILLEVDECT >ORGLA03G0400900.1 pep scaffold:AGI1.1:Oglab03_unplaced032:37549:42539:1 gene:ORGLA03G0400900 transcript:ORGLA03G0400900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFXGSSKDGEVHQAPDGSTQPGDKGAAEGSQGNQGDGSQGNQSDGSQGNQGNGAQGVQGGGVNQDGNAAQLQFNNFQDQVDYVVHHALINQSGILTNTLANMVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEKQFHSYFYSGVHEMKLSDLTSIKQKHDEPVHEYIQRFREMRNKCYSLSLTDAQLADLAFQGMIPPIREKFSSEDFDSLSHLTRKVALHEXRFVEARKNSRKVNHVCPYMYGSDDDDDDSEIATAKWVRSKKVIPCQWVKKSGKEERYDFDITKANKIFDLLLREKQIQLPAGHTIPSAEELDKKRRGMTGSRRGTMRKRMTALILIGAVSSLDFVGRKQDDKIEIVPADSQLKMENPSYYFEGVVEDSDVYNKDIVDDLDDKQGQGFMSADDLEEIDIGPGDXPRPTFISKNLSAEFKTKLIELLKEFRDCFAWEYYEMPGPSRSIVEHRLPIKPRVRPYQQPPRRCKADMIEPVKAEIKRLYDAGFIRPCHYAEWVSSIVPVIKKNGKVRVCIDFRDLNKATPKDEYPMPVVDQLVDAASGHKSLSFTDGNAGYNQIFMAEEDIHKTAFRCPGAIGLFEWVVMSFGLKSAGATYQRAMNYIYHDLIAWLVEVYIDDVVVKSKEIEDHIADLRKVFXRTRKYGLKMNPTKCAFGVSVGQFLGFLVHERGIEITQRSVNAIKKIQPLGNKTKLQEMIGKINFVRRFISNLSGRLRHYLLSNECTVICKADVVKYMLSAPILKGRVGKWIFSLTEFDLRYESLKAIKGQAVADFIVEHRDDSIGSVEIVPWTLFFDGSVCTHGCGIGLVIISPRGASFEFAYTIAPYATNNQAEYEAVLKGLQLLKEVEADVFEIMGDSLLVISQLAGEYECKNDTLLVYNEKCQ >ORGLA03G0400800.1 pep scaffold:AGI1.1:Oglab03_unplaced032:30733:31041:-1 gene:ORGLA03G0400800 transcript:ORGLA03G0400800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSRVRLATEIVASNTGRRSLRWPNSTTMLEIKKDRAVEALRGREVWFNSYLRSCCTAMAGVCRELRVPRGVPEESAAGYILWLNGACAQLDGIGKCIDEALK >ORGLA03G0400700.1 pep scaffold:AGI1.1:ADWL01007181.1:71:916:-1 gene:ORGLA03G0400700 transcript:ORGLA03G0400700.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQSTKGEAAKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISNDPSGCTFVLGFDNLRSQSVAPPQWTMRNIDDRNRLLFSILTMCKEILSYLPKVVGIDFVELA >ORGLA03G0400600.1 pep scaffold:AGI1.1:Oglab03_unplaced030:5772:6671:1 gene:ORGLA03G0400600 transcript:ORGLA03G0400600.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALQRIHLRNEAKTATLEKLVPHLGTLEATRSQLHEARELAKKNEHDLRDRIAELQESNFELSGSSKVQVAKISQLEKQIQTLENDKAELARQRDSALKEVEDRKIKSQAQFDVLVDKINKLEGARDKVANAATPLIQAMFFNNAGSSTLDAAEIFDKLRVAPDTYFKNIKEAGSMGAGLALAMTKSLYPRVDIDAVDGFADGTSEEAALDLINDAQKAADKIAADVVERFQDVDLRLTGPDNSDDEKTDTD >ORGLA03G0400500.1 pep scaffold:AGI1.1:Oglab03_unplaced030:589:1064:1 gene:ORGLA03G0400500 transcript:ORGLA03G0400500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSSFDSILFRPLQRRERDLVYGALERAPSAAAADIVAVPTAVDRSKPSRHANAFSLKSSSKPLNNPRQRRSKCGDRPPSSSPPVAIAIVVSASSARRPVRAVAVHPQKDAVAGRMREPLLSPRPRKTST >ORGLA03G0400400.1 pep scaffold:AGI1.1:ADWL01007175.1:365:1094:1 gene:ORGLA03G0400400 transcript:ORGLA03G0400400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIKNVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHGQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >ORGLA03G0400300.1 pep scaffold:AGI1.1:ADWL01007172.1:6205:14076:1 gene:ORGLA03G0400300 transcript:ORGLA03G0400300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAGGRRAQQTQVTEERQKKMKHKAAQEIADGSSTSDTVHGAADGVCFPCGTSTATAHLLQPKHSQPQHEGYNSSYQKVLANADMDRDSNSSTMQTQVPTNTREGPTFTQLVLGDEDFDLPPYFPEAEENNQFYQQTMNENLNMNQLGNNGIETAELEPQEHIMTFASSFGVGSQLLGSQAIEVVDVVGGLTSQWGTQLHGGHLARVISYIRAFHDPNQQILSNLVMHQSIETMWNHYAQTAEMSYSMRYPENAVTRGPQNVSGFPQTNGQINTLNNMNSEFHFLLEQAKNPENNSMRLINIRGHLSAFSIDPLGSRFIQHKLERATPAELAMVYEEIVPHAHMLAIDVFANYVVQKVFPNGN >ORGLA03G0400200.1 pep scaffold:AGI1.1:ADWL01007171.1:2629:6517:1 gene:ORGLA03G0400200 transcript:ORGLA03G0400200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XWGKARRGQRRRWGTSAATLLLLLLTPAGEAVATLRRRLESHLATLLELLXARLSVSGYVWXDTTILTEXNDLYILVVDDVRSAEAWQTIRQYLPDEEGSRVVVTTRFEAVAGECILDRQKDMLHHVDRLSDEDAKRLFQESVSESMTSSDKMLGQAHIDVSREILDLCKGLPVAIVTIAGLVACKPQAFEKQCAKICVSLPPVSVDCHTPEGMTRILNYCYNSLLPADLKTCSLYLSVFPKDSRISRKRLTRRWIAEGFISEEHGQSMKERAETNFNLLIRRNILKPVDHSSDGKVKTCQVHDMILEYIMSKSSEENFITVVGGHWLMRTPSNKVRRLSIHSSDVKHAKETMDRMNLSHVRSVTVFGSLNQLPFMSLKLGIVQVLDLEGCKGFKKQHVKDIFKMLLLKYLNLRGTDINSIPSKIGKLRYLETLDIRDTNVQKLPDAIVQLERLTSILGGNTMAQVTLKLPAEATKKPLRTLHILSGIEITGEPTSVNDFHGYTALRKLGIHKLQIQEGTPGFKALLSSIQYIGGSSLKNLLINDESSGFIDALDSLTSPPRYFHSIQLYGMFIKVPRWIAHLTELKNLTLSVTVLRTDTLELLQKLPRMFCLIFSSWTSSKDLDLVDILEKNKSDSEGQILVKHGGFDCLKLLRLDAPLLPLLVFSERAMGNLERLDMKFNTLEGVFGMDNLASLREVHMTAGEKAGEITKSIVRELEAEAAFVVTGACLSLPNKNGFPIWGWCHGGESVILPLYLEFLRFL >ORGLA03G0400100.1 pep scaffold:AGI1.1:Oglab03_unplaced023:28534:29528:1 gene:ORGLA03G0400100 transcript:ORGLA03G0400100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVGASEATMKALLGKLGNLLAQEYALIRGVRGDIQYISDEMASIHAFLTNLSSSGNDDGHDAQTKDWMKQVRDIAYDMEDCVDDFAHRLRHDPRGDGCLVEVYRALYEIYTCRPRRDIAAKLAELKNRAQQVGERRLRYGVVLNPMPPQREARNGATQVGNQTTGENQVAGRRLITVKEPIGVARAIEKLEAWMKSRSHNNRGVLAIHGFGGGGKSTIAAALYRKHGQKFDCRAWVTMPQKFDDRAVLRSILSQVMLPATASGGGDRRRRGGSRHAKIETMSQEQLIKGLKNHLQNKSTTRSHFL >ORGLA03G0400000.1 pep scaffold:AGI1.1:Oglab03_unplaced023:19657:20061:1 gene:ORGLA03G0400000 transcript:ORGLA03G0400000.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLGLQIKQMKQCTFVYQTKYTKDLLRRFKMADCKPISTPMGSTAVLDPDEDGEVVDQKEYRSMIGSLLYLTASRPDIQFAICLCARFQASPRASHRQAVKRIMRYLQHTLEFGIWYSTSSSICLSGYSNADFGGC >ORGLA03G0399900.1 pep scaffold:AGI1.1:Oglab03_unplaced023:694:6357:1 gene:ORGLA03G0399900 transcript:ORGLA03G0399900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTKGTEEKGLGEEEPSLPIVVAPEPTVSPADSFDSDVVDEDDEYSSPSDPCPSPKRRKKGEGEKGDKDYIPPKEGDMHQRWSSGQKRRKXGRRGFRYQWGNGHRDQGTGGPWEMRRMXYMDAKKKNEPIGFLDPTRICQTQHTVRLAPGSDXLKGKTPQEIAEYKKGLHKEKLITVAQYIGRAFLHFQNKRVVMAAYNFNICLIIHPKDGTMVVLDPLDYTHQSYKEFLRILQXVNGRYKVNVEDGNLKLPYQDWIFSSFLG >ORGLA03G0399800.1 pep scaffold:AGI1.1:ADWL01007166.1:9468:10406:1 gene:ORGLA03G0399800 transcript:ORGLA03G0399800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQELAHKRSPYGDVYHRCIALEFSVMYMARSAIHQTARHVHMSTIDKYPHGLKYLLEVAKSIYVINDSFVDCLTNLSNLDELEECKLHFCHRMKHVFETTFHMWMDLPNSWDSQHKSAWASRLKSAWASQLKSLIHFYIPAYTNSDIEAIGFTSLNHLHLEYCPRLESIMPRNCALPRLTTLNILFCYNISTIFFKDHEKGAINVEFPSLQRMRLQELPLLKHLYDGDDIVLSAPAWKEIHVRGCWSLQHLPRLSQEDLNQAVQVWWQLKRVVCNVNMYACTFDRRAKITYICLHAPFPKLVSLHIQLLVV >ORGLA03G0399700.1 pep scaffold:AGI1.1:Oglab03_unplaced014:106382:107080:1 gene:ORGLA03G0399700 transcript:ORGLA03G0399700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6 [Source:UniProtKB/TrEMBL;Acc:I1PI01] MKFSYTVLGGGFGLVTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFSSVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFLMIRKQGISGPL >ORGLA03G0399600.1 pep scaffold:AGI1.1:Oglab03_unplaced014:104757:104987:1 gene:ORGLA03G0399600 transcript:ORGLA03G0399600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMATQTVEDSSRPGPRQTRVGNLLKPLNSEYGKVAPGWGTTPFMGVAMALFAVFLSIILEIYNSSVLLDGILMN >ORGLA03G0399500.1 pep scaffold:AGI1.1:Oglab03_unplaced014:102684:104210:1 gene:ORGLA03G0399500 transcript:ORGLA03G0399500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP47 reaction center protein [Source:UniProtKB/TrEMBL;Acc:I1QWF7] MGLPWYRVHTVVLNDPGRLLSVHIMHTALVSGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSISGGTVTNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQAVNPAWGAEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSDGLAENLSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLVDEEGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRSQLGEIFELDRATLKSDGVFRSSPRGWFTFGHATFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGTFQKVGDPTTRRQPV >ORGLA03G0399400.1 pep scaffold:AGI1.1:Oglab03_unplaced014:101523:102170:-1 gene:ORGLA03G0399400 transcript:ORGLA03G0399400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:I1PHZ8] AHWCSKSTLPDSRRXRSDLGXLIQCYVSRKDTFFRSRDSLRGHESYYRSHGISQYRRWNXRYFLFINSPGGWLISGMAIFDTMQTVTPDIYTICLGIAASMASFILLGGEPTKRIAFPHARIMLHQPASAYYRARTPEFLLEVEELHKVREMITRVYALRTGKPFWVVSEDMERDVFMSADEAKAYGLVDIVGDEMLDEHCDTDPVWFPEMFKDW >ORGLA03G0399300.1 pep scaffold:AGI1.1:Oglab03_unplaced014:100241:100600:-1 gene:ORGLA03G0399300 transcript:ORGLA03G0399300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L20 [Source:UniProtKB/TrEMBL;Acc:I1Q5I6] MTRVPRGYIARRRRAKMRSFASNFRGAHLRLNRMITQQVRRAFVSSHRDRVRQKRDFRRLWISRINAATRIHKVFDNYSKLIHNLYKKELILNRKILAQVAVLNPNNLYTISNKIKIIN >ORGLA03G0399200.1 pep scaffold:AGI1.1:Oglab03_unplaced014:99527:100018:1 gene:ORGLA03G0399200 transcript:ORGLA03G0399200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S18 [Source:UniProtKB/TrEMBL;Acc:I1Q6D5] MYTSKQPFHKSKQTFHKSKQTFRKSKQTFRKFKQPFRKPKQPFRRRPRIGPGDRIDYRNMSLINRFISEQGKILSRRINRLTLKQQRLITLAIKQARILSFLPFRNYENEKQFQAQSISIITGPRPRKNRHIPPLTQKFNSNRNLRNSNQTLRNNNRNLSSDC >ORGLA03G0399100.1 pep scaffold:AGI1.1:Oglab03_unplaced014:99072:99272:1 gene:ORGLA03G0399100 transcript:ORGLA03G0399100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L33 [Source:UniProtKB/TrEMBL;Acc:I1R2C9] MAKGKDVRIRVILQCVSCVRKGANEESAGISRYSTQKNRHNTPGQLELRKFCRYCRKHTIHAEIKK >ORGLA03G0399000.1 pep scaffold:AGI1.1:Oglab03_unplaced014:95953:96204:-1 gene:ORGLA03G0399000 transcript:ORGLA03G0399000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b559 subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1QKV4] MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITDRFDSLEQLDEFSRSF >ORGLA03G0398900.1 pep scaffold:AGI1.1:Oglab03_unplaced014:93472:94434:1 gene:ORGLA03G0398900 transcript:ORGLA03G0398900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome f [Source:UniProtKB/TrEMBL;Acc:I1PHZ3] MENRNTFSWVKEQMTRSISVSIMIYVITRTSISNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVLRIPYDMQLKQVLANGKKGGLNVGAVLILPEGFELAPPDRISPELKEKIGNLSFQSYRPNKKNILVIGPVPGKKYSEIVFPILSPDPAMKKDVHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATSTGVVRKILRKEKGGYEISIVDASDGRQVIDLIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFFASVILAQVFLVLKKKQFEKVQLYEMNF >ORGLA03G0398800.1 pep scaffold:AGI1.1:Oglab03_unplaced014:92550:93242:1 gene:ORGLA03G0398800 transcript:ORGLA03G0398800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CemA [Source:UniProtKB/TrEMBL;Acc:I1PHZ2] MKKKKALPSFLYLVFIVLLPWGVSFSFNKCLELWIKNWWNTRQSQTLLTAIQEKRVLERFMELEDLFILDEMIKEKPNTHVQNPPIGIRKEIIQLAKIDNEGHLHIILHFSTNIICLAILSGSFFLGKEELVILNSWVQEFFYNLNDSVKAFFILLVTDFFVGFHSTRGWELLIRWVYNDLGWVPNELIFTIFVCSFPVILDTCLKFWVFFCLNRLSPSLVVIYHSISEA >ORGLA03G0398700.1 pep scaffold:AGI1.1:Oglab03_unplaced014:91574:92131:1 gene:ORGLA03G0398700 transcript:ORGLA03G0398700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf4 [Source:UniProtKB/TrEMBL;Acc:I1Q1W9] MNWRSEHIWIELLKGSRKRGNFFWACILFLGSLGFLAVGASSYLGKNIISVLPSQQILFFPQGVVMSFYGIAGLFISAYLWCTILWNVGSGYDRFDRKEGVVCIFRWGFPGIKRRVFLRFLMRDIQSIRIQVKEGLFPRRILYMEIRGQGAIPLTRTDEKFFTPREIEQKAAELAYFLRIPMEVF >ORGLA03G0398600.1 pep scaffold:AGI1.1:Oglab03_unplaced014:90441:90761:1 gene:ORGLA03G0398600 transcript:ORGLA03G0398600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AccD [Source:UniProtKB/TrEMBL;Acc:I1Q6E1] MALQSLRGSMRSVVGKRICPLIEYAIFPPLPRIIVYASRRARMQRGNYSLIKKPKKVSTLRQYQSTKSPMYQSLQRICGVREWLNKYCMWKEVDEKDFGFEIGAFD >ORGLA03G0398500.1 pep scaffold:AGI1.1:Oglab03_unplaced014:89845:90246:1 gene:ORGLA03G0398500 transcript:ORGLA03G0398500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDRVWKLDPFLRLSFKKEDILKLLTGTIVSQQVTRNARKKRELIFKMVELDDEVFYNLDEEVVLVYDSDQEREVFHFRLDCYRIWTHRRDVQKAPDDKNHTFAELHGAMGFNAVDVLFRIFLEQTSDPTIQ >ORGLA03G0398400.1 pep scaffold:AGI1.1:Oglab03_unplaced014:87963:89417:1 gene:ORGLA03G0398400 transcript:ORGLA03G0398400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCREGRMSPQTETKASVGFKAGVKDYKLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVVGEDNQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPPTYSKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRACYECLRGGLDFTKDDENVNSQPFMRWRDRFVFCAEAIYKSQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHAGTVVGKLEGEREMTLGFVDLLRDDFIEKDRARGIFFTQDWVSMPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNEGRDLAREGNEIIRSACKWSPELAAACEIWKAIKFEFEPVDKLDS >ORGLA03G0398300.1 pep scaffold:AGI1.1:Oglab03_unplaced014:85282:86543:-1 gene:ORGLA03G0398300 transcript:ORGLA03G0398300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGVINEKNLEESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGNGQIGVLPNHAPINTAVDMGPLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQQALEIAEANVSRAEGTKELVEAKVALRRARIRVEAVNWIPPSN >ORGLA03G0398200.1 pep scaffold:AGI1.1:Oglab03_unplaced014:83176:83538:-1 gene:ORGLA03G0398200 transcript:ORGLA03G0398200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit A [Source:UniProtKB/TrEMBL;Acc:I1QKU8] MFLLHEYDIFWAFLIIASLIPILAFWISALLAPVREGPEKLSSYESGIEPMGGAWLQFRIRYYMFALVFVVFDVETVFLYPWAMSFDVLGISVFIEAFIFVLILVVGLVYAWRKGALEWS >ORGLA03G0398100.1 pep scaffold:AGI1.1:Oglab03_unplaced014:82449:83126:-1 gene:ORGLA03G0398100 transcript:ORGLA03G0398100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit B [Source:UniProtKB/TrEMBL;Acc:I1Q5K7] MSLIEFPLLDQTSSNSVISTTLKDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDALTKLRKKISREIVEDRTLSQKKNRCFTTSHKLYVRRSTNTGTYEQELLYQSPSTLDISSETFFKSKSPVSSYKLVN >ORGLA03G0398000.1 pep scaffold:AGI1.1:Oglab03_unplaced014:81872:82351:-1 gene:ORGLA03G0398000 transcript:ORGLA03G0398000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit C [Source:UniProtKB/TrEMBL;Acc:I1Q5K8] MQQGWLSNWLVKHEVVHRSLGFDHRGIETLQIKAEDWDSIAVILYVYGYNYLRSQCAYDVAPGGSLASVYHLTRIQYGIDNPEEVCIKVFAQKDNPRIPSVFWIWRSSDFQERESFDMVGISYDNHPRLKRILMPESWIGWPLRKDYITPNFYEIQDAH >ORGLA03G0397900.1 pep scaffold:AGI1.1:Oglab03_unplaced014:78695:79300:-1 gene:ORGLA03G0397900 transcript:ORGLA03G0397900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:I1Q1X7] MSRYRGPRFKKIRRLGALPGLTRKTPKSGSNLKKKFHSGKKEQYRIRLQEKQKLRFHYGLTERQLLRYVHIAGKAKSSTGQVLLQLLEMRLDNILFRLGMASTIPEARQLVNHRHILVNGRIVDIPSFRCKPRDIITTKDNQRSKRLVQNSIASSDPGKLPKHLTIDTLQYKGLVKKILDRKWVGLKINELLVVEYYSRQT >ORGLA03G0397800.1 pep scaffold:AGI1.1:Oglab03_unplaced014:75746:77724:-1 gene:ORGLA03G0397800 transcript:ORGLA03G0397800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf3 [Source:UniProtKB/TrEMBL;Acc:I1QKU5] MPRSRINGNFIDKTFSIVANILLRIIPTTSGEKRAFTYYRDGMLAQSEGNYAEALQNYYEATRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYRGEQAILQGDSEIAEAWFDQAAEYWKQAIALTPGNYIEAQNWLKITKRFEFE >ORGLA03G0397700.1 pep scaffold:AGI1.1:Oglab03_unplaced014:72893:75145:-1 gene:ORGLA03G0397700 transcript:ORGLA03G0397700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A1 [Source:UniProtKB/TrEMBL;Acc:I1Q6B3] MMIRSPEPEVKIVVDRDPVKTSFEEWARPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTGDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGALIFASLMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQIHVSLPINQFLDAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYAEFLSFRGGLDPITGGLWLSDIAHHHLAIAILFLIAGHMYRTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSTTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWVQNLHAGAPSVTAPGATTSTSLTWGGGELVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGTISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIIQGRAVGVTHYLLGGIATTWAFFLARIIAVG >ORGLA03G0397600.1 pep scaffold:AGI1.1:Oglab03_unplaced014:70663:72867:-1 gene:ORGLA03G0397600 transcript:ORGLA03G0397600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A2 [Source:UniProtKB/TrEMBL;Acc:I1R2E3] MELRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWIQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGAAGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSTLSLIGGWLHLQPKWKPSLSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLDVLPYPQGLGPLLTGQWNLYAQNPDSSNHLFGTTQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLIAGHMYRTNFGIGHSIKDLLEAHTPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPSYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTTYGFDILLSSTSGPAFNAGRTLWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >ORGLA03G0397500.1 pep scaffold:AGI1.1:Oglab03_unplaced014:70204:70515:-1 gene:ORGLA03G0397500 transcript:ORGLA03G0397500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S14 [Source:UniProtKB/TrEMBL;Acc:I1Q644] MAKKSLIQRERKRQKLEQKYHLIRRSSKKKIRSKVYPLSLSEKTKMREKLQSLPRNSAPTRLHRRCFLTGRPRANYRDFGLSGHILREMVYACLLPGATRSSW >ORGLA03G0397400.1 pep scaffold:AGI1.1:Oglab03_unplaced014:68101:69624:1 gene:ORGLA03G0397400 transcript:ORGLA03G0397400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1Q643] MATLRVDEIHKILRERIEQYNRKVGIENIGRVVQVGDGIARIIGLGEIMSGELVEFAEGTRGIALNLESKNVGIVLMGDGLMIQEGSFVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEIVASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQKGQDVICVYVAIGQRASSVAQVVTTFHEEGAMEYTIVVAEMADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLNSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKSKLELAQFAELQAFAQFASALDKTSQNQLARGRRLRELLKQSQANPLPVEEQIATIYIGTRGYLDSLEIGQVKKFLDELRKHLKDTKPQFQEIISSSKTFTEEAEILLKEAIQEQLERFSLQEQT >ORGLA03G0397300.1 pep scaffold:AGI1.1:Oglab03_unplaced014:66631:68010:1 gene:ORGLA03G0397300 transcript:ORGLA03G0397300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 B subunit [Source:UniProtKB/TrEMBL;Acc:I1QKU1] MKNVTHSFVFLAHWPSAGSFGLNTDILATNLINLTVVVGVLIYFGKGVLKDLLDNRKQRILSTIRNSEELRRGTIEQLEKARIRLQKVELEADEYRMNGYSEIEREKANLINATSISLEQLEKSKNETLYFEKQRAMNQVRQRVFQQAVQGALGTLNSCLNTELHFRTIRANISILGAMEWKS >ORGLA03G0397200.1 pep scaffold:AGI1.1:Oglab03_unplaced014:65930:66175:1 gene:ORGLA03G0397200 transcript:ORGLA03G0397200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 C subunit [Source:UniProtKB/TrEMBL;Acc:I1Q651] MNPLIAAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >ORGLA03G0397100.1 pep scaffold:AGI1.1:Oglab03_unplaced014:64393:65136:1 gene:ORGLA03G0397100 transcript:ORGLA03G0397100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 A subunit [Source:UniProtKB/TrEMBL;Acc:I1QWH6] MNIIPCSIKTLKGLYDISGVEVGQHFYWQIGGFQIHAQVLITSWVVITILLGSVIIAVRNPQTIPTDGQNFFEYVLEFIRDLSKTQIGEEYGPWVPFIGTMFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALALLTSAAYFYAGLSKKGLSYFEKYIKPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >ORGLA03G0397000.1 pep scaffold:AGI1.1:Oglab03_unplaced014:63433:64143:1 gene:ORGLA03G0397000 transcript:ORGLA03G0397000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S2 [Source:UniProtKB/TrEMBL;Acc:I1QKT9] MTRRYWNINLKEMIEAGVHFGHGIKKWNPKMAPYISAKRKGTHITNLARTTRFLSEACDLVFDAASQGKSFLIVGTKKRAADLVASAAIRARCHYVNKKWFSGMLTNWSITKTRLSQFRDLRAEEKMEKFHHLPKRDVAILKRKLSTLQRYLGGIKYMTRLPDIVIVLDQQKEYIALRECAILGIPTISLADTNCDPDLANISIPANDDTMTSIRLILNKLVFAICEGRSLYIRNH >ORGLA03G0396900.1 pep scaffold:AGI1.1:Oglab03_unplaced014:56373:58421:1 gene:ORGLA03G0396900 transcript:ORGLA03G0396900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit gamma [Source:UniProtKB/TrEMBL;Acc:I1QWH8] MIDQYKHQQLQIGLVSPQQIKAWANKTLPNGEVVGEVTRPSTFHYKTDKPEKDGLFCERIFGPIKSRICACGNSRASGAENEDERFCQKCGVEFVDSRIRRYQMGYIKLACPVTHVWYLKGLPSYIANLLDKPLKKLEGLVYGDFSFARPSAKKPTFLRLRGLFEDEISSCNHSISPFFSTPGFTTFRNREIATGAGAIREQLADLDLRIILENSSVEWKELEDEGYSGDEWEDRKRRIRKVFLIRRMQLAKHFIQTNVEPEWMVLCLLPVLPPELRPIVYRSGDKVVTSDINELYKRVIRRNNNLAYLLKRSELAPADLVMCQEKLVQEAVDTLLDSGSRGQPTRDGHNKVYKSLSDVIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHQCGLPLEIAIKLFQLFVIRDLITKRATSNVRIAKRKIWEKEPIVWEILQEVMRGHPVLLNRAPTLHRLGIQAFQPTLVEGRTICLHPLVCKGFNADFDGDQMAVHLPLSLEAQAEARLLMFSHMNLLSPAIGDPICVPTQDMLIGLYVLTIGNRRGICANRYNSCGNYPNQKVNYNNNNPKYTKDKESLFSSSYDALGAYRQKQICLDSPLWLRWKLDQRVIGLREVPIEVQYESLGTYREIYAHYLVVGNRKKEIRSIYIRTTLGHISFYREIEEAIQGFSQAYSYTI >ORGLA03G0396800.1 pep scaffold:AGI1.1:Oglab03_unplaced014:53316:56335:1 gene:ORGLA03G0396800 transcript:ORGLA03G0396800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYESLTYSSELYVSARLIFGFDVQKQTISIGNIPIMNSLGTFIINGIYRIVINQILLSPGIYYRSELDHKGISIYTGTIISDWGGRSELAIDKKERIWARVSRKQKISILVLSSAMGSNLKEILDNVSYPEIFLSFPNAKEKKRIESKEKAILEFYQQFACVGGDLVFSESLCEELQKKFFQQKCELGRIGRRNMNRRLNLDIPQNSTFLLPRDVLAATDHLIGMKFETGILDDDDMNHLKNKRIRSVADLLQDQFGLALGRLQHAVQKTIRRVFIRQSKPTPQTLVTPTSTSILLITTYETFFGTYPLSQVFDQTNPESKEKKERQVVYLSPNRDEYYMIAAGNSLSLNRGIQEEQVVPARYRQEFLTIAWEQIHVRSIFPFQYFSIGGSLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQTALDSRVSVIAEREGKIISTNSHKILLSSSGKTISIPLVTHRRSNKNTCMHQKPRVPRGKSIKKGQILAEGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTDTTSQGSAEKITKEIPHLEEHLLRNLDRNGVVKLGSWVETGDILVGKLTPQIASESSYIAEAGLLRAIFGLEVSTSKETSLKLPIGGRGRVIDVKWIQRDPLDIMVRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGTPVDMVFNPLGVPSRMNVGQIFESSLGLAGDLLKKHYRIAPFDERYEQEASRKLVFSELYEASKQTKNPWVFEPEYPGKSRIFDGRTGDPFEQPVLIGKSYILKLIHQVDEKIHGRSTGPYSLVTQQPVRGRAKQGGQRIGEMEVWALEGFGVAHILQEILTYKSDHLIARQEILNATIWGKRVPNHEDPPESFRVLVRELRSLALELNHFLVSQKNFQVNREEV >ORGLA03G0396700.1 pep scaffold:AGI1.1:Oglab03_unplaced014:47986:48198:-1 gene:ORGLA03G0396700 transcript:ORGLA03G0396700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSIYVLFPLIGWGINEFAPFIYPYGWVLIFSDILLVCFGERLKQFLTGIPWKNLRVQNFAIFLKRIC >ORGLA03G0396600.1 pep scaffold:AGI1.1:Oglab03_unplaced014:47492:47761:-1 gene:ORGLA03G0396600 transcript:ORGLA03G0396600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGYGKSNMPHPNRKRKGTDTQYDYWEELLVMVSGLYALFCVFLVLFIFFDSFKQESNKLELSGKEEKKKLNGENRLSRDIQNLLYIK >ORGLA03G0396500.1 pep scaffold:AGI1.1:Oglab03_unplaced014:45327:45539:1 gene:ORGLA03G0396500 transcript:ORGLA03G0396500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein Z [Source:UniProtKB/TrEMBL;Acc:I1Q6A0] MVTWRIRNMTIAFQLAVFALIVTSSVLVISVPLVFASPDGWSNNKNVVFSGTSLWIGLVFLVAILNSLIS >ORGLA03G0396400.1 pep scaffold:AGI1.1:Oglab03_unplaced014:43323:44744:1 gene:ORGLA03G0396400 transcript:ORGLA03G0396400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP43 reaction center protein [Source:UniProtKB/TrEMBL;Acc:I1PHW8] MKILYSLRRFYHVETLFNGTFVLAGRDQETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVLDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVLKALYFGGIYDTWAPGGGDVRKITNLTLSPGVIFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGFICVFGGIWHILTKPFAWARRAFVWSGEAYLSYSLGALSVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFFFVGHLWHAGRARAAAAGFEKGIDRDLEPVLYMTPLN >ORGLA03G0396300.1 pep scaffold:AGI1.1:Oglab03_unplaced014:40447:40632:1 gene:ORGLA03G0396300 transcript:ORGLA03G0396300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein K [Source:UniProtKB/TrEMBL;Acc:I1QWI4] MPNILSLTCICFNSVIYPTSFFFAKLPEAYAIFNPIVDFMPVIPVLFFLLAFVWQAAVSFR >ORGLA03G0396200.1 pep scaffold:AGI1.1:Oglab03_unplaced014:37900:38115:-1 gene:ORGLA03G0396200 transcript:ORGLA03G0396200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVYRIVAIDVRSRREGRDLRKVGFYDPIKNQTCLNVPAILYFLEKGAQPTRTVSDILRKAEFFKEKERTLS >ORGLA03G0396100.1 pep scaffold:AGI1.1:Oglab03_unplaced014:35080:36708:-1 gene:ORGLA03G0396100 transcript:ORGLA03G0396100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maturase K [Source:UniProtKB/TrEMBL;Acc:I1R2F7] MQHPVLTILYYVSPFDKPRNISLSLIQVEIQMEKFEGYSEKLKFPRQYFVYPLLFQEYIYVFAHDYGLNGSELVEIIGSNNKKFSSLLVKRLMIRMYQQNFWINLVNHPNQDRLLDYNNFFYSEFYSQILSEGVAIVVEIPFSLREQSCPEEKEIPKFQNLRSIHSIFPFLEDKFLHLHYLAHIEIPYPIHLDILLQLLQYRIQDVPSLHLLRFFLNYYSNWNSFITSMKSIFILKKENKRLFRFLYNSYVSEYEFFLLFLRKQSSCLRLTSSGTFLERIIFSRKMEHFGLMYPAFFRKTIWFVMDPLMHYVRYQGKAILASKGTLLLKKKWKCYLVRLWQYSFSFWTQPQRIHLNQLENSCFDFLGYFSSVPINSLLVRNQMLENSFLIDTQMKKFDTKVPVTPLIGSLAKAQFCTGSGHPISKPIWTDLSDWDILDRFGRICRNLFHYHSGSSKKKTLYRLKYILRLSCARTLARKHKSTVRAFMQWLGSVFLEEFFTEEEQVFSLMFAKTTYFSFRGSHSERIWYLDILRINDLVNPLN >ORGLA03G0396000.1 pep scaffold:AGI1.1:Oglab03_unplaced014:33494:34555:-1 gene:ORGLA03G0396000 transcript:ORGLA03G0396000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II protein D1 [Source:UniProtKB/TrEMBL;Acc:I1PHW4] MTAILERRESTSLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAALEVPSLNG >ORGLA03G0395900.1 pep scaffold:AGI1.1:Oglab03_unplaced014:33153:33368:1 gene:ORGLA03G0395900 transcript:ORGLA03G0395900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPAMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYESARKDTKSRR >ORGLA03G0395800.1 pep scaffold:AGI1.1:Oglab03_unplaced014:32305:32718:-1 gene:ORGLA03G0395800 transcript:ORGLA03G0395800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFPSPPPWGWSTGFITTPLTTGRLPSQHLDPALPKLFWFTPTLPTCPTVAKQFWDTKRTSPDGNLKVADLPSFAISFATAPAALANCPPLPRVISMLCMAVPKGISVEVDSSFLSKNPFPNCTSFFQSIRLSRCI >ORGLA03G0395700.1 pep scaffold:AGI1.1:Oglab03_unplaced014:31040:31321:1 gene:ORGLA03G0395700 transcript:ORGLA03G0395700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23 [Source:UniProtKB/TrEMBL;Acc:I1QWQ5] MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRMGPILGHTMHYRRMIITLQPGYSIPLLDREKN >ORGLA03G0395600.1 pep scaffold:AGI1.1:Oglab03_unplaced014:29258:30007:-1 gene:ORGLA03G0395600 transcript:ORGLA03G0395600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDETLLVFTLVVSSVSVFLFGILLFMVLISATRDFRERTKSKLVKIMIWAGIVVITFAIAVRIYPIFIFLLKERIKPLVEALYDKLPWIWEVSLSRYWDRLIDFLDRYLWACAQRIQTGIRKQKGEFVVTFSCRVKKRLYARAIEVGIHLSLLSNLFWILKTTLAVGYRLLWVLYYIISFEGFLGSFRLYLVYFGFYCLLFSGKWLRTSEDRGERQAQISGILLRGMLIECAFSVLCLEEDSNLHAL >ORGLA03G0395500.1 pep scaffold:AGI1.1:Oglab03_unplaced014:26364:28609:1 gene:ORGLA03G0395500 transcript:ORGLA03G0395500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTRIFMKAFHLLLFQGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTSLVISITALLFRWREEPIISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKRDLRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGLGFKLSPAPFHQWTPDVYEGSKVAASASATRILDIPFYFSSNEWHLLLEILAILSMILGNLLAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYYLKIVKLLMTGRNQEITPYVRNYRRSPLRSNNSIELSMTVCVIASTIPGISMNPILAIAQDTLF >ORGLA03G0395400.1 pep scaffold:AGI1.1:Oglab03_unplaced014:25594:26064:1 gene:ORGLA03G0395400 transcript:ORGLA03G0395400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:I1Q659] MSRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDAAKGGGGAIRKKEATHRMAEANRALAHFR >ORGLA03G0395300.1 pep scaffold:AGI1.1:Oglab03_unplaced014:24699:24977:1 gene:ORGLA03G0395300 transcript:ORGLA03G0395300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDRKGDLSVDFSTINPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYRIIRGALDAVAVKNRQQGRSSAL >ORGLA03G0395200.1 pep scaffold:AGI1.1:Oglab03_unplaced014:23249:23467:1 gene:ORGLA03G0395200 transcript:ORGLA03G0395200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILFSMFYSILVGEEPDSVFLKKEGKQNQVKMIWVAPSSCAKDLTISEGTGATFLFNFHSRVSICFHALF >ORGLA03G0395100.1 pep scaffold:AGI1.1:Oglab03_unplaced014:20364:20765:-1 gene:ORGLA03G0395100 transcript:ORGLA03G0395100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSGRSGGGTTAPLFSRIHTSLISVWRAISRAQVEVRPQWENGAPNNASSQTKNYEITLSFWGDGGIVPFEPFFHAFPGGLEKAAINRTSLILPS >ORGLA03G0395000.1 pep scaffold:AGI1.1:Oglab03_unplaced014:18604:19144:-1 gene:ORGLA03G0395000 transcript:ORGLA03G0395000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQLSTFCFEILRRVALWRAHSIYDFAFMDVDKILPFSSTLGWHSLNVNGEVQKRKGLRWIPRYPETRKGVASDEMLRGVENKHRSGDSQIGQPFELPAESMSRQETTWRTETS >ORGLA03G0394900.1 pep scaffold:AGI1.1:Oglab03_unplaced014:17693:18055:1 gene:ORGLA03G0394900 transcript:ORGLA03G0394900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANLLAVFAPPPPLSLSGHLGALAGDPGCFPLDDEAYPPSSHWPTLTPVIFGSYLVFRVCLDLVPLAQPAPKQCFTPRCPVNCCASTHFGENQLALGSSGISPLTTTHPLILQHQSVRTSA >ORGLA03G0394800.1 pep scaffold:AGI1.1:Oglab03_unplaced014:12911:13183:-1 gene:ORGLA03G0394800 transcript:ORGLA03G0394800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S15 [Source:UniProtKB/TrEMBL;Acc:I1R2H1] MKKKGGRKIFGFMVKEEKEENWGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRQRLLAYLAKKNRVRYKKLISQLDIRER >ORGLA03G0394700.1 pep scaffold:AGI1.1:Oglab03_unplaced014:7794:8759:-1 gene:ORGLA03G0394700 transcript:ORGLA03G0394700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CcsA [Source:UniProtKB/TrEMBL;Acc:I1Q6E8] MLFATLEHILTHISFSTISIVITIHLITLLVRELGGLRDSSEKGMIATFFCITGFLVSRWASSGHFPLSNLYESLIFLSWALYILHMIPKIQNSKNDLSTITTPSTILTQGFATSGLLTEMHQSTILVPALQSQWLMMHVSMMLLSYATLLCGSLLSAALLMIRFRKNLDFFSKKKKNVLLKTFFFNEIEYFYAKRSALKSTFFPLFPNYYKYQLIERLDSWSYRVISLGFTLLTIGILCGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHSRTNPNWKGTKSAFVASIGFLIIWICYFGINLLGIGLHSYGSFTLPI >ORGLA03G0394600.1 pep scaffold:AGI1.1:Oglab03_unplaced014:6095:7597:1 gene:ORGLA03G0394600 transcript:ORGLA03G0394600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase chain 4 [Source:UniProtKB/TrEMBL;Acc:I1Q6E9] MSSFPWLTILVVLPIFAGSLIFFLPHRGNKIVRWYTMSICLLEFLLMTYAFCYHFQLEDPLIQLKEDSKWIDVFNFHWRLGIDGLSLGSILLTGFMTTLATLAAWPVTRNSRLFYFLMLAMYSGQIGLFSSRDLLLFFIMWELELIPVYLLLSMWGGKRRLYSATKFILYTAGGSIFFLIGVLGMGLYGSNEPRLDLERLINQSYPATLEILFYFGFLIAYAVKLPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLIRINMELLPHAHYLFSPWLVIIGAMQIIYAASTSLGQRNFKKRIAYSSVSHMGFIIIGIGSITNIGLNGAILQILSHGFIGATLFFLAGTACDRMRLVYLEELGGVSIPMPKIFTMFSSFSMASLALPGMSGFVAELVVFFGLITSPKFLLMPKMLITFVMAIGMILTPIYLLSMLRQMFYGYKLFHVPNENFEDSGPRELFLLICIFLPVIGIGIYPDFVLSLSVDRVEALLSNYYPK >ORGLA03G0394500.1 pep scaffold:AGI1.1:Oglab03_unplaced014:5730:5975:1 gene:ORGLA03G0394500 transcript:ORGLA03G0394500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I iron-sulfur center [Source:UniProtKB/TrEMBL;Acc:I1Q6F0] MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLGPETTRSMALSY >ORGLA03G0394400.1 pep scaffold:AGI1.1:Oglab03_unplaced014:4944:5249:1 gene:ORGLA03G0394400 transcript:ORGLA03G0394400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit K [Source:UniProtKB/TrEMBL;Acc:I1QWL4] MMFEHVLFLSVYLFSIGIYGLITSRNMVRALICLELILNSINLNLVTFSDLFDSRQLKGDIFAIFVIALAAAEAAIGLSILSSIHRNRKSTRINQSNFLNN >ORGLA03G0394300.1 pep scaffold:AGI1.1:Oglab03_unplaced014:4204:4734:1 gene:ORGLA03G0394300 transcript:ORGLA03G0394300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 6 [Source:UniProtKB/TrEMBL;Acc:I1PHU7] MDLPGPIHEILVLFGGFVLLLGGLGVVLLTNPTFSAFSLGLVLVCISLFYILLNSYFVAVAQLLIYVGAINVLIIFAVMFVNGSEWSKDKNFWTIGDGFTSLVCITIPFSLMTTIPDTSWYGILWTTRSNQIVEQGLINNVQQIGIHLATDFYLPFELISIILLVSLIGAITMARQ >ORGLA03G0394200.1 pep scaffold:AGI1.1:Oglab03_unplaced014:3419:3961:1 gene:ORGLA03G0394200 transcript:ORGLA03G0394200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit I [Source:UniProtKB/TrEMBL;Acc:I1QKY4] MFPMVTGFMSYGQQTIRAARYIGQSFIITLSHTNRLPITIHYPYEKSITSERFRGRIHFEFDKCIACEVCVRVCPIDLPLVDWRFEKDIKRKQLLNYSIDFGVCIFCGNCVEYCPTNCLSMTEEYELSTYDRHELNYNQIALSRLPISIMGDYTIQTIRNSTQSKIDEEKSWNSRTITDY >ORGLA03G0394100.1 pep scaffold:AGI1.1:Oglab03_unplaced014:1022:3324:1 gene:ORGLA03G0394100 transcript:ORGLA03G0394100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit H [Source:UniProtKB/TrEMBL;Acc:I1QWL7] MIIDRVQVEAINSFSNLELLKEVYGLIWILPILTLLLGITIEVLVIVWLEREISASIQQRIGPEYAGPLGLLQAIADGTKLLFKEDILPSRGDIPLFSIGPSIAVISILLSFLVIPLGYRFVLADLSIGVFLWIAISSIAPIGLLMAGYSSNNKYSFSGGLRAAAQSISYEIPLTFCVLAISLLSNSSSTVDIVEAQSKYGFFGWNLWRQPIGFLVFLISSLAECERLPFDLPEAEEELVAGYQTEYSGIKYGLFYLVSYLNLLVSSLFVTVLYLGGWNLSIPYISFFGFFQMNKMVGILEMTMSIFITLTKAYLFLFISITIRWTLPRMRMDQLLNLGWKFLLPISLGNLLLTTSSQLVSL >ORGLA03G0394000.1 pep scaffold:AGI1.1:Oglab03_unplaced014:1:1020:1 gene:ORGLA03G0394000 transcript:ORGLA03G0394000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TENRTIIQYLPYVTRWDYLATMFTEAITVNAPEFLENIQIPQRASYIRVIMLELSRIASHLLWLGPFMADLGAQTPFFYIFRERELIYDLFEAATGMRMMHNYFRIGGVAADLPYGWIDKCLDFCDYFLRGVIEYQQLITQNPIFLERVEGVGFISGEEAVNWGLSGPMLRASGIQWDLRKVDLYESYNQFDWKVQWQKEGDSLARYLVRIGEMRESIKIIQQAVEKIPGGPYENLEVRRFKKAKNSEWNDFEYRFLGKKPSPNFELSKQELYARVEAPKGELGIYLVGDDSLFPWRWKIRPPGFINLQILPQLVKKMKLADIMTILGSIDIIMGEVDR >ORGLA03G0393900.1 pep scaffold:AGI1.1:Oglab03_unplaced011:27076:32312:1 gene:ORGLA03G0393900 transcript:ORGLA03G0393900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGHAEALLLRRRRGPARLWVAVLALLAGTLWLLSSSAGLGLGLARSSYGLQDVDVNKLWRTADSNGWRASSAPRTYWPPPPIESESNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWHDESGFLGIYDVLHFIKTLKYDVRIAMVIPEITTNGKTKKLKAHQIRPPRDAPVTWYTTVALEKMKKYGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKTSSEIVNKLRTEGHFMSIHLRFEMDMLAFAGCIDIFTPQEQKILIKYRKEHFAEKELIYRERRLIGKCPLTPEEVGLILRSMGFDNKTRIYLASGDLFGGKRFMKPFKAMFPRLENHSTVGPGKLEENTRGLAGSAVDYMVCLLSDIFIPTYDGPSNFANNLMGHRLYYGFRTTITPNRKALAPIFMDREEGRAARFEERVRQVMFNTHFGGPHKRVHPESFYTNSWPECFCQPNPRNRVDKCPPDNIYEVLESQFQSMEGEEDIEEVKSTNQTDSTSQIEELVV >ORGLA03G0393800.1 pep scaffold:AGI1.1:ADWL01007145.1:16294:18932:-1 gene:ORGLA03G0393800 transcript:ORGLA03G0393800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMRETGQGENERLKEVEEKILAKCNGSPLAIMLAGRLLVNRNRSANEWEMLLEYASEPTDHGDIDSILHLCYAVLSFPLKQCFLYHSLIPKDHVISCDKVVQMWIAEGFVGADATSELPEVLGMKYYKELVARHLLEPVDEYDGQGHYKMDNLVRIFAKNVVESESLVVEEGENNPEPLVEEGHRIPEQILPKIRRSWAAKEKIEWKAPQELHSLRTLIIIGNVIVQASTGRTLSSLSRLRTLHVNKNEHVHILLDSLHHMKHLRYLDLSYTDALALPNDIGEMKFLQYICLQGCKKLVKLPKSIVELHKLRYLNISETKIKSIPEEGFGGLKNMVSLHGFPSLMMESDIAKDWCSLGELRHMSQLMHLTLEDLENCASGSMASLAKIDDKKNLASLRLSCTSRLSVNGEVDKETVEEVFDQLCPPPKLQELNIKGFFGARLPRWLIFTNLAELRVLKLDNLVCCNQLPSTLWQLPCLEYLYIKHTLNVKHIGHEFLLQSSIPGPRETDVAATTPTTISTPATSATAATAAATTTTTIGGGSTHNRGPYHRLSGAGSVGSGEEGEIVAESATEDAATTTGSSNAIGFPKLKKLVMYGMMKWKEWEWEHQVEAMPKLENMHISWCLLNQLPPGLASQARSLRILVVDNVKNLISIDGFCSVVQLHVSSNFKLERISDLPKMESLTVSRCPKLNILQRLPALQSMELNDQEMERLPDCLRDLPAKLRHLRITCNLDLLTLISRGKGTPEWEKIKHIQQVNACTDAEDDKTDKRFVFYKRDSDSTETNIEPSPSTSQVGVGAQ >ORGLA03G0393700.1 pep scaffold:AGI1.1:ADWL01007145.1:9097:13689:1 gene:ORGLA03G0393700 transcript:ORGLA03G0393700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKPARAVPEWLNSPLWSAPPPSSSSPSPPVPPDPYGADLSPPPPPPPKPPPPPTVPPPSYEQAVGSSRRARSEAGWEEEEDGEVGGGAALRAHLLADFKLALSKKVVNMGELRRLACLGVPDGGAAVRPLVWKLLLGYLPTERAFWPHELEKKRSQYSAYKDEFLLNPSEKIRRFEESKLLRKKELNSDKIGLLPRAKVTNEEHPLSFGKSSLWNQYFQESEILEQIDRDVKRTHPEMPFFSAKANQESLRRILIIFSKLNPTIRYVQGMNEVLAPLFYVFKNDPDTSNSASAEADTFFCFVELLSGFKDNYCKHLDNSQVGIRSTLSKLSQLLKRHDEELWRHMEITTKVYPQYYAFRWITLLLTMEFSFNVCIHIWDAILGDPEGPPDTLLRICCAMLILVRRRLLAGDFTANIQLLQHYPPTNIDHLLHIANRLRGSVAS >ORGLA03G0393600.1 pep scaffold:AGI1.1:ADWL01007145.1:2101:6346:1 gene:ORGLA03G0393600 transcript:ORGLA03G0393600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPALRRLLLFSLLLLASVAAAAEGEEEEEFTEELLLRPLPDRKALAHFHFRSSAPPSAAAGRHHHVFPKAIAQLVQQFHISELELSFTQGRWNYEQWGGYDPMSTNYAKPPGVELWAAFDLPLDEIDATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKLNEDNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLTSSQSFGIIIDQTLTVVLQPDNFRGQQLHSTDGQLQPSWSMKDLFNRKLSGKCRVSKSSRVFLEIEKDIVDKSGTEVSWTNELFVLSTAPDRVLKELNNMDAQSSSLYEYDVSNYNNDKPLDVGITWKLPLIWSCTPAPYHANRFLMGSGNERGSIALSFRSTNLHKQLFGNSNDCSIKAVVFQVVPWYVKVYYHSLQIFIDGNSKAISELVDKIHVTPSEDKHLPGTLEMLLRLPCSMESATLSLDFDKGFLHIDEYPPDANQGFDIPSALVTFPEFNSSRSYPETDTLSVSRLLQTFKEDGVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEERELKKAATKRGLIPLLIAKLRGKKVDPPPQGSSPTSLLSTKLLLKVVFVVVVAVSFHYLSNS >ORGLA03G0393500.1 pep scaffold:AGI1.1:Oglab03_unplaced003:53825:58756:1 gene:ORGLA03G0393500 transcript:ORGLA03G0393500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITNWLGFSSSSFSGAGADPVLPHPPLQEWGSAYEGGGTVAAAGGEETAAPKLEDFLGMQVQQETAAAAAGHGRGGSSSVVGLSMIKNWLRSQPPPAVVGGEDAMMALAVSTSASPPVDATAPACISPDGMGSKAADGGGAAEAAAAAQRMKAAMDTFGQRTSIYRGVTKHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGTTTTTNFPVSNYEKELDEMKHMNRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIIESSNLPIGTGTTRRLKDSSDHTDNVMDINVNTEPNNVVSSHFTNGVGNYGSQHYGYNGWSPISMQPIPSQYANGQPRAWLKQEQDSSVVTAAQNLHNLHHFSSLGYTHNFFQQSDVPDVTGFVDAPSRSSDSYSFRYNGTNGFHGLPGGISYAMPVATAVDQGQGIHGYGEDGVAGIDTTHNLYGSRNVYYLSEGSLVADVEKEGDYGQSVGGNSWVLPTPESEMVEKADNVTVCHGMPLFSVWNDA >ORGLA03G0393400.1 pep scaffold:AGI1.1:Oglab03_unplaced003:50768:51478:-1 gene:ORGLA03G0393400 transcript:ORGLA03G0393400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLSMWHARVESNVDPTRQPLPFPSSPSTASFRSRPLEAGQRCGAVNSGVRGERGKGGCISVKACAIDTRRSRFVDALVGSATARPASVSSASAPXPPLTLCPLAHALAGPSPFGSAPVGPATVSLGLAVPALAGSTLVALCSVAAALLLAVAVESQRRQRPRLGRGIEEGVEVGGVEVDDGVGVDLPHAFLGFERTRGAATRKAATRMMQCAVAAMMDRALVHLVGGRRGREVKG >ORGLA03G0393300.1 pep scaffold:AGI1.1:Oglab03_unplaced003:47102:47362:-1 gene:ORGLA03G0393300 transcript:ORGLA03G0393300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKQETKSMKTYFVVPTHNPTTNATPQQDEHESNLPEEFRVTSPPPLSPPPYPLSPSMEDDGMIYAEDLGYMSTPCPYPLRTSTI >ORGLA03G0393200.1 pep scaffold:AGI1.1:Oglab03_unplaced003:36076:46090:1 gene:ORGLA03G0393200 transcript:ORGLA03G0393200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGKMPARVKRIRFANSQNECEVVLPQTLASGGESSSRAVGEATXSKPKRRRRATSAGEGPSGDEPSETKGPNLTRCSAALVVQACRALSTVHHEKLEEIGLDAVAYKSLESLEQPDLIQWLMDRTDPDTMCISIDDDRKIPITSRTVRLVLGTLLGGNDIVLPSHKVVRTVHKSITDELGIHKKARLSTKQLIEVIKSQKDDSRAIXYFIMVLMSKLLVPTTDFYVPKGDVWVASDLDRVTAIDWSKAVFRAVNDSIRYWRQNPASSIASFVVFLVVLYLDNILPPRDIGLDLTFTPRIQIFTKDIVDKLVAADQEASGDGTPPFGNLPLQALESTCYANKPAGRAKGPMVEDIMAPAYNFPNMSTILGPHLAGLPSDQRLVLLESLAEYDRQAKESALEIERQFRLVVDKQHMLCQSVIDVLQANRAAQPPPVVPQAAQCQEASRRQSDVQFTSAEINPNDSEDQQQQQHHGCNGSPSVFDQHTIHPNAPTHVSPSMEIVPYIPPVRLEVADQPHLGSAHSPDLTQRSPIPTDSAPLTSEEVSAQYSAPDTAEEPLAIEVGGVIGNVPGVSTAIQTEDAPRAVDQESHGTELLAETNEDTDDANSTPWSQPKRFIQKPARFVSPVVVGPSIMPSDVSLSVQLRDFLLTNGGCMDMSVFITTLIMYLCVKLLDIDSSVAYGNDELKSFSNGNLTEWLFIDAFSSILFKDDMRNMPDTFGKRIFFPTSVSIIVPVLHHNHWSLYAINIAHGRVDIMDSNNYNLIGTLESDHHCALSKRIVKRLSNALHEVAPKSFCRFGGFRKNMMKCPKVQICSNDCVFYIMRFMEAYDGNRESIETFSIPGPSVPPHMVPTEGMAFPTYDDAYNFYQRYACHAGFDIKKSRMHKAFREVCCTREGKHVSKVNNGDRQWRRPSKKMGCKAYVKLRHNYDGGALSSVVYDVVELQHNHPLTPSPSAVKHMRAHKNRDDTFMQFMDTMQESHVPQSCIMGVLFDLHGGQENIPFTSHDVENRWHILNRHSDPLNTIFARDAQIEPDMMLCINQTYTPYEFETSWDQFIKRYDLEGCPTMKALYDIRDKWVPAFSRKEYCERMTSTQRSESMNKLVKHKFVDHQTTLHRFARRILEVITDRKEKEAAETRAWSGKPVLAVRWPFVIQMSRLYTRAAFRLFEDALXDSTDFRITQDDNFRNGWLVSHTKLSEKHNWCXKQFKLIADVDAGVFTCECKQWEHTGMFCTHMLWAFVHVQLEKIPAAYILKSKFQQGHEGR >ORGLA03G0393100.1 pep scaffold:AGI1.1:Oglab03_unplaced003:30623:31585:1 gene:ORGLA03G0393100 transcript:ORGLA03G0393100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WISVSGISFFLTPHPEPDYPFDDLKENTPCKLHVPIGRSGRTLEATTAIAIPGRTFNDEFIPDEYAKVQPQVFHEGFESYDIDIPTPDGVSVLGDAVTDKAEEPKMPKEITVLEVPQEISVPEVPMEISVPDVPMEITLAEPDVQLVASVGTYIEVPGLEWDGTELEVFEDPSPAKDPEVQEPPVSDKASDKSEVPRVVSSHNSKSKDDQKEKFMVTVFRGGKEHAKLREDDPKKAASLARKKKYLPTDDCPEKYEHGKAILPDWALEEGPWEM >ORGLA03G0393000.1 pep scaffold:AGI1.1:Oglab03_unplaced003:18398:21822:1 gene:ORGLA03G0393000 transcript:ORGLA03G0393000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSITGSNTTTYSFNMVVNNGAAAGQVIFHTHFHIIPRRSGDKLWPTESLRRRSIEPNETSGLVSCIKEQLYSSPEGCKAEPPSSLPKER >ORGLA03G0392900.1 pep scaffold:AGI1.1:Oglab03_unplaced003:8759:12532:1 gene:ORGLA03G0392900 transcript:ORGLA03G0392900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKKPIRFTPRQLAGFTRGYSARLSAGGFGTVYGGALPNGLGVAVKVLCGGMGRRSEEQFMAEVGTIGRTHHINLVRLFGFCFDAAVRALVYEFMGDGALDAYLFDRTRAIGDSDGLRSPPSSSLWAEEPCLRIQHQHXMRSLMTQHIWLLQCLMLGLSNNMFTNMCLHYXFGISIPXSMRHDHQLIHVLIIXTYLFHIXYLIRDPLEIVTHNIKSLMKESHIHXPIMSLSISRNKVGXIYKHNMXYNYLYDCLXGISLTQLWRVHFLKLRAILSDYDXDGQVKLGTYLARLQKLARRSQVKLGTSTDTEMGMIQAKFPRQWAKKKKKQTKSCIQKLMQNSRFLRVANFDGLEIGKKLPSEEFIKQLSDLQFLSALTLRGTSYTVSQPIFDHGFHSLTELMVSAENLDRIEIHELALPKLKDLDVVGHGNDFHVEIHGHLVRGIKGKDEKPFKILHVKSRENNKNEDSMS >ORGLA03G0392800.1 pep scaffold:AGI1.1:Oglab03_unplaced003:6549:7200:1 gene:ORGLA03G0392800 transcript:ORGLA03G0392800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSLFFSSSSSLSLISLFLSPFSSPSSGSDRRGSNGGGGGGSGNHPTPQTSNEAAAFASDRRTGLFPASSKAIHGLREVTAAIAGEDETSKVGEGFGAEVTKSTMPKCGVGNGKGILEFSWADKVEISGAIALDDHVPGLKILHIKTMFFKFNENIIIVSKATNAIRF >ORGLA03G0392700.1 pep scaffold:AGI1.1:Oglab03_unplaced002:29431:31308:-1 gene:ORGLA03G0392700 transcript:ORGLA03G0392700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDIVDPFVTTASLRVFYNSKEMTNGSELKPSQVLNQPRIYIEGRDMRTFYTLVMVDPDAPSPSNPTKREYLHWMVTDIPETTDARFGNEIVPYESPRPTAGIHRFVFILFRQSVRQTTYAPGWRQNFNTRDFAELYNLGSPVAALFFNCQRENGCGGRRCVR >ORGLA03G0392600.1 pep scaffold:AGI1.1:Oglab03_unplaced002:18355:25495:1 gene:ORGLA03G0392600 transcript:ORGLA03G0392600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERALDSLTYKGSIPDAILQSRRDNKLFVVYISGEDETSSSLDQSTLVNESVAEVIGNCCVFLHLKQGNIDASQFSAIYPQKAIPSISVIGQNGVMLWCHEGYISSKDLKENIEKAWATLHLQGTAAAFLTASLASRMTEPVNTTPTTLPTQGGSSAAENPSTSSNQSTGTSGASGFANPTDSVAQPPRSTSRDETPSISEKESSNLDSSPGNRTVQERPDSDSAQVKGSLPDHPRSSNIEGCANPVQSGNTASLKQKNKVDDGFTKVSSESVPTRVASRGKSSKVAVDQDKATTTSTPIEPAPVRSNDIQLVVRIPDGPSLQIKLTKDDNLRKVKNFVDENRANGAGSYDLAMLYPRKVFTEQDMEATLHELGIETRQALTIVPHHKTIRAAKRQSSSSPHEGDNNMDADSSGGSGYFGYLRTALSFVNPLSYLRANAAPSNPDQLANQGSPQYRPSTGPWNRPGEDTASESRIPDSASQQAARDTSSGSTLRRRPRQFGGNVHSLRSDDQGPSDDRNVYWNGNSTEFGGEDKK >ORGLA03G0392500.1 pep scaffold:AGI1.1:Oglab03_unplaced002:14294:16380:-1 gene:ORGLA03G0392500 transcript:ORGLA03G0392500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPELAGRGGAVRCRVGQSADDGRRRGATAAATAEKRSSAAAAGVKRSSDGSWAEKRGGGAKGWQRVVGSLEEISTAVKRFRASGCSRASHIANGLKLPCVIDNLELKNLEPSILVLSNGPSSGSTQSGDNYSEFSRNKNLRACLISQPGR >ORGLA03G0392400.1 pep scaffold:AGI1.1:Oglab03_unplaced002:9383:11905:-1 gene:ORGLA03G0392400 transcript:ORGLA03G0392400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMILAPQKAFIHQISFFFQRSGVSFAEATKFDPSKSSMVIPVKKVFNNMKSNLRFSNASSKKYVRDRLSFDVPNRTFAFQRLDVPRDSLEFSKFLDPKLPPIGCLIGKKFXIVVGVLGKAILQYYISRVVSLFGRLDYWQEGDVIPGRVILQAYFDDVDMVPRRIVIKEINQHGGQGESWAFGVFVLNNEFVDAQPPYEDLPPVGPLGVQTPNNNQPNAPFDYNDHVDEANHDNLGNWEQHDNQQATGNSGVSSNSDLNGVLQLVPVV >ORGLA03G0392300.1 pep scaffold:AGI1.1:Oglab03_unplaced002:4592:4846:-1 gene:ORGLA03G0392300 transcript:ORGLA03G0392300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKLKELAGIAKLSSEGILHDSNFHLDFSMDDNGSYTDSSPSDCSISLLQKMGVEMCGLHLEDVAESKLQGEKLKELPSPTEDAE >ORGLA03G0392200.1 pep scaffold:AGI1.1:ADWL01007136.1:23510:26169:-1 gene:ORGLA03G0392200 transcript:ORGLA03G0392200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCSSRGSETDRAPVHIYRQQNQEEHEPLSSAYDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVSLPVPENPDLEKSDLKSKTDDQQEESLEVDEFKSCEKCVAEDKPDEEDVCPICLEEYDAENPRSLTKCEHHFHLCCILEWMERSDTCPVCDQITLIDDMYE >ORGLA03G0392100.1 pep scaffold:AGI1.1:ADWL01007136.1:12901:14069:1 gene:ORGLA03G0392100 transcript:ORGLA03G0392100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPELSLGPTLLGFGVGAGAGKSSSSSESDGSSDDGSRKRRKHFAWEEAVSHASLDLHLNDPLPMDWEQCLDLHSGRMYYLNRKTMRKSWVRPMEEHGGSNTLDLELNISTIPSTFHVGAGKASSGGAAAIAEDDARIAGGGGGVGSLGPMVAVPCVNCHLLVMLCKSSPACPNCKFVQPSVPAPAMPRTPPRRRLEATVKPLETLSLLH >ORGLA03G0392000.1 pep scaffold:AGI1.1:ADWL01007136.1:6613:6816:-1 gene:ORGLA03G0392000 transcript:ORGLA03G0392000.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPPIHLHYLFAPFGSSSSPPASLWACLVGDLSTTPEEFARLRGIQQTHGFYLTRQPDELLVWFAIAA >ORGLA03G0391900.1 pep scaffold:AGI1.1:ADWL01007136.1:932:1799:-1 gene:ORGLA03G0391900 transcript:ORGLA03G0391900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCARGGLQLGSSIAIVQLSPFDLVKASKITPLQKSKGYMHVCIPKWLASFARDLELSGAYGRAHCRERRRCRCSDKQRSGRQAVAAATLEGEIGKKRKS >ORGLA02G0361000.1 pep scaffold:AGI1.1:ADWL01006011.1:427:2080:-1 gene:ORGLA02G0361000 transcript:ORGLA02G0361000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQLDSGLLVGFLFLATCLAVAVRSYLRSGGAAIPSPPALPVIGNLHQLGRGRHHRALRELARRHGPLFQLRLGSVRALVVSSAPMAEAVLRHQDHVFCGRPQQRTARGTLYGCRDVAFSPYGERWRRLRRVAVVRLLSARRVDSFRALREEEVASFVNRIRAASGGGVVNLTELIVGLTHAVVSRAAFGKKLGGVDPAKVRETIGELADLLETIAVSDMFPRLRWVDWATGLDARTKRTAAKLDEVLEMALRDHEQSRGDDDDGGGGDGEPHDLMDDLLSMANDGGGDHGHKLDRIDVKGLILDMFIAGTDTIYKSIEWTMAELIKNPAEMAKVQAEVRHVAAAAHGDEDEDTVAVIREEQLGKMTLLRAAMKEAMRLHPPVPLLIPREAIEDTVLHGHRVAAGTRVMINAWAIGRDEAAWEGAAEFRPGRFAGGGDAAGVEYYGGGDFRFVPFGAGRRGCPGVAFGTRLAELAVANMACWFEWELPDGQDVESFEVVESSGLSPGLINPLVLAAKPLK >ORGLA02G0360900.1 pep scaffold:AGI1.1:Oglab02_unplaced371:34903:38286:1 gene:ORGLA02G0360900 transcript:ORGLA02G0360900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMATSAAAAAGEGTSGGGAVPEAGGPEIAFFDVETSVPQRAGQGYALLEFGAILVCPRRLVVVGSYATLVRPGDLGVVSAASVRCNGITRDAVAAAPAFRDVADAVYSVLHGRVWAGHNIVRFDSARIREAFAEIGRPPPEPKGMIDTLPLLTQKFGRRAGDMKMASLANYFGLGRQSHRSLDDVRMNLEVLKYCATVLFLEASLPGVLTVENLVERAITRSQANGAASPEVPKPVARSSPDSSKRQRTISRVDNAIQAGGNQQLIDPATNKEPIELISNIEEMTLGSGIQIDASSSGFSGFLEPDDVSTESIQISVPSSYRLTRKTSIKHKGSPIKLCCAGLRIQFGVSTKFLDSAGRPKLNILVDIPENLSKILEFCDGIAQKSSQDSGSTSEWRPLIKKYGYVNRPTVRLHIPTIVSGEAATYGTDIYQKEASGNIQKLVFSKVDVAELDSWFVRGNTVDAFFSLELYDYEQNAGIRLVAKKLVVQSK >ORGLA02G0360800.1 pep scaffold:AGI1.1:Oglab02_unplaced371:25902:31638:1 gene:ORGLA02G0360800 transcript:ORGLA02G0360800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKTIAYMSMEEGEGSSRAMVEEEPEIVFFDVETSMPWGPRERRTLLEFGSIFLCPRQLVEVAEPFVTLVRPSDLGVVTEVLERKGITRGALEDAPPFYDVADNIHNALHGRIWAGHNIISFDSEIIREAFAEIGRSPPEPKGMIDTLPLLTQTFGRRAGNMKMANLADYFNLGPQIHRSLYDVRMNLDVLKCCSTVLFLNLNLGEKXDREDNFPELLSGGFLNPNDISLEFIQVSISFSSCLGKRSLTSGLRTNSLPYQFEWSLCIEHNDNPLQLRCIGLRVRYEVCLYQDSEGRPNKLSIVVDIPENLRQVLEFCDEIAEITFRKFGSNSEWRQVIKEYGNRPSVRLNIPIVGSGDDATYATEIYLKEASGNIRKKDFSKADVAELEFMFFRGDMVDAFFSVELYDYKNNAGIRLVAKKLVVHCR >ORGLA02G0360700.1 pep scaffold:AGI1.1:Oglab02_unplaced371:21808:22193:1 gene:ORGLA02G0360700 transcript:ORGLA02G0360700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNNASPVARDPVRRRATCLRPLSLLPNGSLLIAYTCNGTTLSFLVEARRHERRRAWAGGLQVRASNLVDRIAVRGCQHPDFVALKGNIYRRMWQLELSTSRA >ORGLA02G0360600.1 pep scaffold:AGI1.1:Oglab02_unplaced371:19877:20759:-1 gene:ORGLA02G0360600 transcript:ORGLA02G0360600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRRGLEHLERRYAFYAAYHSNPANVLVHAVCVWPILLTAMLPLRYAPPLPLLRFYCPLCRQYLPVQLGFPVAVALGAYYSLMDRRAGAAAAALCVAGWAAGTLLADAAGLWTFRDAWRPLLTAQAVLWSAQFFSHAFFEKRRPALVDGPVQAVVTAPLFVFIEVLHRLFGYEPTPGFYKRVQARVAAMHNGPPAPAPAPEKKEEEEKENVSKATQEESAEKDS >ORGLA02G0360500.1 pep scaffold:AGI1.1:Oglab02_unplaced371:17497:19148:-1 gene:ORGLA02G0360500 transcript:ORGLA02G0360500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAAAASSGWLRRAAGTVPRIPCGLVTALVPTPPPPAAAVVSEAPALALPSHAAAAMELMAVPKKKVSKYKKGLRNGPKALKPVPVIVRCKCCGRVKLPHFYCCSGERGNPGSESS >ORGLA02G0360400.1 pep scaffold:AGI1.1:Oglab02_unplaced371:12765:14895:-1 gene:ORGLA02G0360400 transcript:ORGLA02G0360400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1P6J8] MAQQQPRRVLRVAPPGRGGARAEAERGEEGEAVFATVQAAVDAVPVGNRVRTVIRLAPGTYREPVYVAKAKNLVTLSGEAGSPEAIVITWDNTATRIKHSQSSRVIGTGTFGCGTVIVEGEDFIAENITFENSAPQGSGQAVALRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRDCYIEGNCDFIFGNSIALLEHCHIHCKSAGYITAHSRKSSSETTGYVFLRCIITGNGEAGYMFLGRPWGPFGRVVFAHTFMDRCIKPAGWHNWDRSENERTACFFEYRCSGPGFRPSNRVAWCRQLLDVEVENFLSHSFIDPDLDRPWLIQMMAIKVPVSA >ORGLA02G0360300.1 pep scaffold:AGI1.1:Oglab02_unplaced371:8036:9370:1 gene:ORGLA02G0360300 transcript:ORGLA02G0360300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRNGDVTVTYEHQLFGRRRNVAGGGGGFATYLDLVREEGDAGKMPPRRPLPAPPPHGAASRRRTYADGELDVFAAERYFKGAMDGADGYNKVDLASPVMAAAAARPAVAVSRPAPWTTRASVASAGSSGSTANSQAVLLREQRRRDKCCAHVGGILRSCSGKRSVHVGGAAVAATEPAGDPGDELPPATASRIEWYRDLRLDKAGDGVSHGGVVAAGLPPNLNSIGAARVAAIGREEATAATSEYSSSSFRSNFTLLAPVKVTIPASGGDDDDDDVGSESSSDLFEIKSLMIDDCRGYEPSEASVQWSVVTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPVRAQWSPVSDTRWSMLLC >ORGLA02G0360200.1 pep scaffold:AGI1.1:Oglab02_unplaced371:2:4330:1 gene:ORGLA02G0360200 transcript:ORGLA02G0360200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALSARLVSLGAVVAAMDVVAKRGGEQPGLARSLVMLLANLTQVDSGVAALLQVGDEKMQGLYVAKLVRSFCRSSSESEEEDIFEHVASILVNISKVEAGRRILMEPKRGLLKQIIRQSDSTNQLRKKGVVSTIRNCCFEADTQIQNLLSLAEYIWPALLLPVAGKKIYSEEDRSKMPPELANALSHEREAVENSEIRQQALEAIYMIVLQDEGRRAFWSVNGPRILQVGYEDEEDPKVMEAYELIGSLLNRDVLFLARFVPK >ORGLA02G0360100.1 pep scaffold:AGI1.1:Oglab02_unplaced369:2992:3156:-1 gene:ORGLA02G0360100 transcript:ORGLA02G0360100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQHNMPQLQETIIIVYPSLRYELEVTKEWGYTQFCYFCNGSLRYKDRRREHVI >ORGLA02G0360000.1 pep scaffold:AGI1.1:ADWL01005998.1:1726:2877:-1 gene:ORGLA02G0360000 transcript:ORGLA02G0360000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKPAALEQISLVRSPSVEDNFGAGLPVVDLAADGAAGEVVRACERFGFFKVVSHGVGEGVVGRLEAEAVRFFASPQAAKDAHGPASPFGYGSKRIGRNGDMGWLEYLLLAIDGASLSRSSPAPSSSLRDAANEYVGAMRGMARTVLEMVAEGLGVAPRGALADMVVGDGAASDQILRLNHYPPCPPLLQNLMPNCSPTGFGEHTDPQLISILHSNSTSGLQVALHHDADAGDHQWVTVPPDPASFLVIVGDSLQVMTNGRMRSVRHRVVANKLKSRVSMIYFGGPPLEQRIAPLRQLLVAGVGDGEEEEQSRYEEFTWGEYKKAAYLSRLGDNRLAPFHRQPPPVANPLA >ORGLA02G0359900.1 pep scaffold:AGI1.1:ADWL01005997.1:4449:5753:-1 gene:ORGLA02G0359900 transcript:ORGLA02G0359900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1P6J3] MRHGLVPHIKREIAILRRVRHPNIVRLFEVMATKSKIYFVMELVRGGELFGRVAKGRLKEDTARRYFQQLVSAVGFCHARGVFHRDLKPENLLVDEHGDLKVSDFGLSAVADQFHPDGLLHTFCGTPSYVAPEVLARRGYDGAKADIWSCGIILFVLMAGYLPFHDQNLMAMYRKIYRGEFRCPRWFSKDLSSLLNRILDTNPETRITVKEVMESRWFQKGFRPVRFYVEDDQVHSLADGDNDMPELEPSEPPPPPPFPPPPQQDDDGEESGWESDSSVASCPATLSSEERRQRPLGSLTRPASLNAFDIISFSKGFDLSGLFEERGSEVRFISAEPMQTIITKLEEIAKVKSFFVRRKDWRVSIEGTREGLKGPLTIGAEIFELTPSLVVVEVKKKAGDKEEYDDFCNRELKPGMQHLVHHMGSVPNIPSDTE >ORGLA02G0359800.1 pep scaffold:AGI1.1:ADWL01005996.1:600:2240:-1 gene:ORGLA02G0359800 transcript:ORGLA02G0359800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKNGTAYGEYTYAELEREQYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTNGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIILSFEDRELPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRFTYFWIKEQIEKEKTQGVDIAGYGSSKVVSTQAPVQLGSLRAADGKE >ORGLA02G0359700.1 pep scaffold:AGI1.1:ADWL01005995.1:473:2196:1 gene:ORGLA02G0359700 transcript:ORGLA02G0359700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDHRSYLADHPAASAITTAQGEELRKQIGAAAYIECSSKTQQNIKAVFDTAIKVVLQPPRRRGETTMARKKTRRSTGCSLKNLMCGSACVV >ORGLA02G0359600.1 pep scaffold:AGI1.1:ADWL01005993.1:8185:11008:-1 gene:ORGLA02G0359600 transcript:ORGLA02G0359600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:I1P6J0] MEGGGGGGQELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGIRAGVGGYSYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMAIQPAFLLYVASVIVVVFVLVFHFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLVYPETWFFVLIVATCVLTQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASVIMFKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRSIYAPLSPSLTARLNGDLLKHVEDDRNPDEEKALRRQEMY >ORGLA02G0359500.1 pep scaffold:AGI1.1:ADWL01005993.1:5734:5907:-1 gene:ORGLA02G0359500 transcript:ORGLA02G0359500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKRASIFIRLVSAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKMK >ORGLA02G0359400.1 pep scaffold:AGI1.1:ADWL01005993.1:3505:4917:1 gene:ORGLA02G0359400 transcript:ORGLA02G0359400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGFNLRLVPSFPPEVEAPMAAAAAMAAVAMSGSMEASPAAAVVPCRRDMKRRLQEEIDAVRGLLGKAEALVAVASEDVNGGTAASASAVAKRSPRRVRSPPRRGRSDREELDRARDRRHGGRSDREVFDRGRDRRHGGRSDRDREVFDRARKIPRRRPHEAESEPRKIEAAAGAPPQCQAKDGEIAPAMDASPSLCEREEGEIADDHGAAMDIEMDIPRGGAISPLVVNKAQSSPLAKNDDDDELVDISGEASPVAIENFPEATKSSISPSSDEPSLGNYSGDDDDDDDDGDDGESSKKPDTTCLPTEAAATATTPLVAAAASPPATQTSQLIAIAKEKQRLRREVERRAAREALEAMARAARPIRDDIAATDMMQLGLFETQYIVSTEKSQDSLRRGSGGLLQQLGFFLKPEYS >ORGLA02G0359300.1 pep scaffold:AGI1.1:ADWL01005993.1:434:2882:1 gene:ORGLA02G0359300 transcript:ORGLA02G0359300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASRHGAHPRRRRAGGNGVADELALPLAGGLALLLAFVTAAAVLSGGDRREEGDRRRPDLPGGAAGPRVAIFSAPLPPPDGSPARQELAVRSWLALPGNVSVVLLAAHPSAHALAGRLGGRVTVDAAIDISFTGTPFFHSIVARAQAADSDICVLVDAEIILLPETITLLKHFSRSDLDWFVFSASRNISAFPYHLVDNGTQWADEHGKQVSFKKENQSDKWAGHGSDRGLIVAWNNPSTRMVAGVMPSFLNGRGVHNWWLIHEVLSSETRLVFDASNHVLGLYPENFSEKRGTSTSRNVSNPDGSWEYDVNRHLAAVYGSYCYELPRRNSPMVYKVVKQFEDYMFSKNEGPNLSNSVINKEQNVHPEGGSLCEKEISYSSAVNLPHSLEMLLELVADKNRSVVLAVAGASYRDMLMSWVCRLRRLRVTNFVVCALDQETYEFSVLQGMPVSRDTLSPNNVSFDDCHFGTQCFQQVTKVKSRIVLKILRLGYNVLLSDVDVYWFHNPVSFLHSLGPGTFAAQSDEFNQTGPINMPRRLNSGFYYARSDDATITAMEMIVKHATNSGLSEQPSFYDILCGKDGANRIGDDRCLEPSTNLTVVFLSRDMFPNGAYGGLWEKKHGVSSACRELGCVIIHNNWVNGRRKKLHRQMASGLWDYDPGSRLCLQNWSNASRFSVQTDDPVSYDS >ORGLA02G0359200.1 pep scaffold:AGI1.1:ADWL01005992.1:2268:5136:1 gene:ORGLA02G0359200 transcript:ORGLA02G0359200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQPAGEALAANISAMSRPEMYDLMSQMKVMIDHDQERVRRMLVDNPDVTRALFRAQVVLGMVKAPKTAQSSDKAQPAAVQATPSSSVKPTVQDHASFPQPQLPSSQQNIQPSGPFSSGPSNPASSLDLPAMSANPQQSAQAKGYPIHQMPPTSTTQTSQHQSATLPPHVSSQYSNVPSHMPIVHSQPQQPLQNPGMFNQQLQPPLPQLPRPPNMQPFVHQMHPQVPSSFGLSHTNAPQHMLQQSMFHPGGNPQTSFLTGQPPLPNQPPPLPNQPPPQLYQGSSHAASHYNSQSMQMDRSTPWGRGNAEASSAGTHFPGHLPGLPGQMTQGIGGIHSARPEAPLTPEMEKMLVQQVLSMSPDQINMLPPEQRQQVLQLRDMLRQ >ORGLA02G0359100.1 pep scaffold:AGI1.1:Oglab02_unplaced360:13827:14099:1 gene:ORGLA02G0359100 transcript:ORGLA02G0359100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTRAEALSLFRSLLRTARQFSDYNIREYTRRRAADAFRENRALGDAAAAAAVFADGKKQLEVAKRQAVVYSLYAPKAKSIMEMKLQ >ORGLA02G0359000.1 pep scaffold:AGI1.1:Oglab02_unplaced360:415:6211:1 gene:ORGLA02G0359000 transcript:ORGLA02G0359000.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLDPDLSARVDGAKVEHLSADRIGAEYLTALRRGWTERRNVSADRLGVVFRDLSAIGSGAEMDRLVRLHYGGSVVEQSNSGSNLEGMSVKQLIFGGKPSFEELVCRTKDVLGWSNQSIAIQGRYDVGAGPISHKYMLDLNGELEWNTYVDIVLGSQFKSLEVVASKLDRTDCRDDSFDLNRTLFYDEPNYEAFDDEGAMKSQSVKSLTVEEVIESQGIGVDVNMQKKEAVDANEGRSQESERVEINVELQKEEAADANKKSRLESEGVEVNVELQKEEAIEVNEARSSGSSDSWKSCXXXXXSGRWINGGRWRRAYYXCSISILGAVLFSRQNIYSEGVXGDFVDEWIECXFAARTFRGVXXHTXGGIXGXVCRRLRXRSSSSQDVSGRKGGVXEISWTQSRGCSVRGCXQFXASSSXWRSNIQWHHRCNGXGAKEGEISRIAHALALEPLDRRKNKKITDWHAHHLHLIERWDNTAANVLPHGVEHNKTYFDEYLAWLLRNYRLFLRPAWTLADIATDPEDVEEMNXYDTHTRAGATVEYGPVRDRVARELMRSVNDAGVALGTPAGSENEVGILRSALQRLKQRSRMLAARLGCRSTDVVDPQPRLTRTQAGETSQQKAEEEGEEEHDEGEEGHEEGEEGDGQSDEEEEEQPEEIRSSQLAGAPQPSQPSQGRPQRKRAPVDRYTPSTSGRRGRH >ORGLA02G0358900.1 pep scaffold:AGI1.1:ADWL01005984.1:313:2346:-1 gene:ORGLA02G0358900 transcript:ORGLA02G0358900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline iminopeptidase [Source:UniProtKB/TrEMBL;Acc:I1P6I3] MIRALRATSSPRTPTPTLPTSRRPRPPPPPPAPSAAGGRRGLGCHLRCRATLAAATNAPMGQLQQQHQEQQLRKDLYPQTEPYDFGFLKVSGVHTIYYEQSGNPQGHPVVFLHGGPGAGTSPGNRRFFDPEFFRIVLFDQRGAGRSTPHACLEENTTWDLVADIEKLREHLGIPEWQVFGGSWGSTLALAYSESHPDKVTGIVLRGIFLLRKKELDWFYEGGAAAIFPDAWEPFRDFIPEDERNCFIAAYSKRLTSSDADVQAEAAKRWTMWEMMTAHLIQNHENIKRGEDDKFSLAISSN >ORGLA02G0358800.1 pep scaffold:AGI1.1:Oglab02_unplaced357:4319:6790:1 gene:ORGLA02G0358800 transcript:ORGLA02G0358800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYLPVVKLSKEFDMHRVMLMQLQLKSKENLSERTPEKTPINSLKNLLIEIDISSSKNNLNHSYESGQTFTQCSGEASDTARVTSGGEARRQLAAPVMADANRRIDLAAPLVSVRRHGGGAAGEAATRTDGTRPGHPKSVRTRRATMVHATARDEEPARDAMAVVAVAAPVRERDQEARFSDALSVADSCLTVNCSSATGLSDAVARPPRGVGVGGGVMMDRFLPAAHAVAVLSPQCSSRKASVAAAAARNGHGADALLPPPEPTPTIRTLCIVPREKTDDADADVDDDGGGGEWDAHSTRGVSSRRCGLLLPTRCMKSTLLLLNPAPAMRRRGGGRRRDRGAPLLSKIGRSQSLGNPLVRSAHDTGIMRSWEEVYINSLRRSGRGGRKGLGALLSPELDTTMPSVRELYLEQGDGVVHPKATHLGFLLVLDRSHDQCHDSHDDPKLLPPPRFPRPAPPKVFDGGKKQRRDAAAAGGGGYGWPLLLEDKAAASRDMVPPLPPLPSMKSPSESWLSRALPSVSSNPPATSFLGIHVQHKKQSPPPRCSSRAPAKLVADGHARPRQMRIHDLQKS >ORGLA02G0358700.1 pep scaffold:AGI1.1:ADWL01005978.1:3267:5901:1 gene:ORGLA02G0358700 transcript:ORGLA02G0358700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLRSTRKASHXWTWPRLDTQTNHSYXRSRSNRFHPHRLGTRVYTGKEAEWDKEDEAAAESNTPQVLADIPVQRARNWARARVDLVKPDWVGYTIPHPPNDEILTLGVARGTFIQWPKHNIGINVTPRPAPSSRPPSTRPHPTVVSLPPTVEQRDEDLQLQYDTNFGDDGMEVDSRPHLPRPAKRSKRAKSSPPKLDTRRKATGMGRGKVKVPLAPKKLDLGKAPVAPPKPPAEFTLGMPLVGDDALFKMGPACKELHGYYMEKSNARRKNRETSMLGQHYGQPFLGPTAFIAVDFKGLWNLYRVRAIDTNHLKYYSLLTWKHVHRKAPHVALLDPVIVNETMLKNDXANMVGYIKDCLFASQDKDFIMCAHNQQQHWILLVITPKWSLVHYLNSNIKPKIYDWSAIESVLNEAWDQYVARGGKHKDGHPKLGHKKDFPIREQVGDQCGFHVCHNMRSFADKVTLLDPESEVIGSSSRNGRTFVVHMRQTFHFCKYSL >ORGLA02G0358600.1 pep scaffold:AGI1.1:ADWL01005976.1:1998:2605:-1 gene:ORGLA02G0358600 transcript:ORGLA02G0358600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKRGAMDAGGGPDAGLLHPGARRRQLARRADQHRADALQQELPAPVDELPPAGDQAGELHRAGGEAHRPPPGSPRQPFSTIIVVHNIAMLSEYFQWIINEALHYKFLQ >ORGLA02G0358500.1 pep scaffold:AGI1.1:ADWL01005975.1:3107:3938:1 gene:ORGLA02G0358500 transcript:ORGLA02G0358500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDHGEANQAGSGNQGPPYGYSASNNVGISGGLDELAMIQGGGANLSSSDNTTLSQKESNPSNEYGHACVQVTSDV >ORGLA02G0358400.1 pep scaffold:AGI1.1:ADWL01005975.1:1689:1949:1 gene:ORGLA02G0358400 transcript:ORGLA02G0358400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDSPSNELLCFLCAAAGVAAGVSAYRRKRRRREEEERRRVEAAVEEMEGWEFEAMRANYLALMDDALAALSAAAAGAEPTAKT >ORGLA02G0358300.1 pep scaffold:AGI1.1:Oglab02_unplaced344:248:3304:-1 gene:ORGLA02G0358300 transcript:ORGLA02G0358300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKRLNVVKISAKYKSGDGNISEHNPLHESQALEDDEIELEQQDDDSLNMSGESAKEDKRGRTTLTHIWNLPEGNRIVVKCNKLGQPIGAEGGLLGKFLGTVARNGSYCPFDLKDWRLVKKNGGAETILQLVETKFLYPQSCKQWILKSTGRDWRRFKASLKTAYFQPKKKRSALYKLCPEDIDYDQWVALVKFWKSKKGKALSEKNKSSRAMMKTTHTAGSKSYARWAEDMRQDDPQKKQPHRAMVYLATHKKRAEDRNEHLAELESLMDEQPELAQDDQGRVAWKGDALHQVLGEEKLGQVHGMGLLPVPNHVYGQTSHRLRNINITTVEGTPHEVAIHIIDDVEKLKEHAQKQDQLIQQLLKEKTDRKNKQEKVSLKKKQAKKGQSTKRISXQLSATSSTFXKKESNATQLIEKTSXVSKDIHSRTYMRTMTCHSLLKSIIMMQTRKNPIIKKHHHHHGPLIPFDKKRWLKKGRKCLXRARTMHMIKXFKAVCVQRRRALHQWRLVPQYCS >ORGLA02G0358200.1 pep scaffold:AGI1.1:ADWL01005956.1:9520:10241:1 gene:ORGLA02G0358200 transcript:ORGLA02G0358200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDAGLLSHSKTRPMVGHRGNRANVTLRRSGPPRLDLAMRLANGRKDKGEPELDGAHVAMATTVGKGRGAVVTLAKCGGEVAVVNAKHNGLEDLLNFSAGPNLRVQEHVSWFDPTTNKKDNSKN >ORGLA02G0358100.1 pep scaffold:AGI1.1:ADWL01005955.1:3665:4547:-1 gene:ORGLA02G0358100 transcript:ORGLA02G0358100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVITVNMQCCRCKEKIDKILNCLRCKHCIEKIEYEGEKVIVRGSFCAEELRTCIWRKAGCKIIVSIVIVEVWPPPPPAVTVNVKADVTATAVAAAEAAAKATAEAFIMVEREHCNKQPPACKMVPYPYPVPYPVPCKPKPCCGGDGCGGGCVRPPPPPPRCKCGGHGCDGGCVRPPPRCKCGVDGCGGGCSGGHGGECGGGGCKKPCCSPPPPPCPWQPVCPPPPCGGGGYVVYEQPDGCSVM >ORGLA02G0358000.1 pep scaffold:AGI1.1:ADWL01005954.1:6170:6758:1 gene:ORGLA02G0358000 transcript:ORGLA02G0358000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASNLWLGDMNDGGVSTMRATRDRDDRQSDCTSPSFRRRKNYGKNQEALEERLEDGQCHHGHSSQDGGLIHIKFKSTSDSRSGPH >ORGLA02G0357900.1 pep scaffold:AGI1.1:ADWL01005947.1:2607:2807:1 gene:ORGLA02G0357900 transcript:ORGLA02G0357900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTLAAGASWHLAANKCTPTATVELAVKPNRNPKCKGCCLRNHTLIPTQCTIDRHRRRPPGPRR >ORGLA02G0357800.1 pep scaffold:AGI1.1:ADWL01005946.1:1522:4077:-1 gene:ORGLA02G0357800 transcript:ORGLA02G0357800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMFPYDGASSSSTSLSSQKSETDDDRMIAMVLSEEYAKLDGAMAKRLSNLTSIPHVPRINTYFPTYSDATMDHHRLLDRLNVYGLYEVRVSGDGNCQFRALSDQLYRSPDYHKHVRKEIVKQLKACNSLYEGYVPMKYKHYCKKMKKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFVEIVPQYQAPQREIWLSFWSEVHYNSLYDARDLPSKYKPRKKHWLLF >ORGLA02G0357700.1 pep scaffold:AGI1.1:ADWL01005944.1:2498:4936:1 gene:ORGLA02G0357700 transcript:ORGLA02G0357700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFSFLPAQRDRNTVSLVCKVWYEIERLSRRGVFVGNCYAVRAGRVAARFPNVRALTVKGKPHFADFNLVPPDWGGYAAPWIEAAARGCHGLEELRMKRMVVSDESLELLARSFPRFRALVLISCEGFSTDGLAAVASHCKLLRELDLQENEVEDRGPRWLSCFPDSCTSLVSLNFACIKGEVNAGSLERLVSRSPNLRSLRLNRSVSVDTLAKILLRTPNLEDLGTGNLTDDFQTESYFKLTSALEKCKMLRSLSGFWDASPVCLSFIYPLCAQLTGLNLSYAPTLDASDLTKMISRCVKLQRLWVLDCISDKGLQVVASSCKDLQELRVFPSDFYVAGYSAVTEEGLVAVSLGCPKLNSLLYFCHQMTNAALVTVAKNCPNFTRFRLCILEPGKPDVVTSQPLDEGFGAIVRECKGLQRLSISGLLTDKVFMYIGKYAKQLEMLSIAFAGDSDKGMMHVMNGCKNLRKLEIRDSPFGDAALLGNFARYETMRSLWMSSCNVTLKGCQVLASKMPMLNVEVINERDGSNEMEENHGDLPKVEKLYVYRTTAGARDDAPNFVKIL >ORGLA02G0357600.1 pep scaffold:AGI1.1:ADWL01005942.1:11601:11849:-1 gene:ORGLA02G0357600 transcript:ORGLA02G0357600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFAPQVWRVPMLELGRSIEGVKASTRRTCVMDKLCYFVLFYLPDYYSKLPIIDCVVLVLCYIFMLHFMFKFMTLSMFHYSG >ORGLA02G0357500.1 pep scaffold:AGI1.1:ADWL01005939.1:753:1331:-1 gene:ORGLA02G0357500 transcript:ORGLA02G0357500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLAFFAAAATANGGAAPAASDFIRKLCRATQYPAVCVQSLASYGGVPPPRSPPELVHAAQSVSVDKAQSVSMYVGRICGPGGSRGVAGPVRDCLENIADSLGHLCDAAQELGGNMGRAGSPGFKWHLSNVQTWCSAALTDKNTCLDGLSRSVDAATRSANRGKIVVGVAWRGRGGRRLLAAGRARTRA >ORGLA02G0357400.1 pep scaffold:AGI1.1:ADWL01005913.1:7849:10549:-1 gene:ORGLA02G0357400 transcript:ORGLA02G0357400.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSVFXFDLQTLGLIRSYSHARNYSSQLSALIPIGSQSSKLTRRRYYLPNASPYQLWSRSFASDNGDQVEAVVPFMGESVTDGTLANFLKKPGDRVEADEPIAQIETDKVTIDVASPEAGVIEKFIASEGDTVTPGTKVAIISKSAAPAETHVAPSEDSTPKETPPKAEETKPKVEEKSPKAEPPKMQAPKPLPSKTSPTEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVTKHGVKLGLMSCFVKAAVTALQNQPIVNAVIDGDDIIYRDYVDISVAVGTSKGLVVPVIRDADNMNFADIEKGINALAKKATEGALSIDEMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVDGNILARPMMYLALTYDHRLIDGREAVYFLRRIKDVVEDPRRLLLDI >ORGLA02G0357300.1 pep scaffold:AGI1.1:ADWL01005913.1:948:5364:1 gene:ORGLA02G0357300 transcript:ORGLA02G0357300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKESSSPALDADKIEVPSPKDENNSSNSEAATDNEDFEISDDDDDDRNHKHRKREARPQSFDENTEQSPGGPLKKRHKISGGADSHGEAQKDFFPKFKRRPGAGAHSRAPRVNPSFRDSSASVAARPPMTRGRGRNGAPWAQHEPRFNTLEMIDFASQMASQGPPTHPSLFMGPALPSGGSAQNGSWGPYGFMPGMPNGMLDPIHPLGMQGPIQPAISPLIDLGMPRQRCRDFEERGFCLRGDMCPMEHGLNRIVVEDMQSLSQFNLPVAVPNTQGLGIQNEPGTAPVNTSSLGGSKGVPAKDIKSAVTNDVLKLNGTTALAVSDADVYDPDQPLWNNEHPDASAGFAHTDGVWNAESLGYEAAREQGNQVLAADSSQNSKSSVWGRIASKKLGHGKTANATSTSATGNKRNESYDEMAPSTVHVNPASAKDSNGQSNSRIFGDVGRQSNRASHKASRTLYVNGIPLESNRWEALLSHFQKFGQVIDIYIPSNSEKAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDRIPDEVEGRIPAKSSHMSAALANSVPPQPSSSNRGKENLQSATPRASSGSSAEASGPGTGHKMLPANSVKSLPPDTKRQESLELLEELRKKQEILAQKRDEFRRQLEKLAKQKGLANSAKQAEAGGKEVASNDVHRVTDSKSMNTGTEGPRDAAGTLQNRTSGELASSSHKSSATSAQKPAVATKQTSPLLVPSQNRFKLDNRTTSFRILPPLPPEIADESVLKDHFMSFGELSSVVLEDTEAYNHDATLKPSLSCSACVTYTTRQSAEKAFIGGKSCKGHTLRFMWLTASPGSTNHSRFQKTSIPARASSFSSQTQNMPESSTPVGKMSSTVKSSTTAKPHSESMPTATSAKTSVEIPKALSSRDSDVSQ >ORGLA02G0357200.1 pep scaffold:AGI1.1:ADWL01005912.1:19350:19670:1 gene:ORGLA02G0357200 transcript:ORGLA02G0357200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVIRYQSQETVLVSQDDGISQQQRSLYYRVGVQSCWAADRSRVHNCIIKGKRKPRLGPNITGATWELGQNPKTHRNRLAPGRTPRQRDPHHLLQQLGGGIIYMEQG >ORGLA02G0357100.1 pep scaffold:AGI1.1:ADWL01005912.1:5262:5723:-1 gene:ORGLA02G0357100 transcript:ORGLA02G0357100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGVSSIDVSSVSLLNAVASHCQLPLVSYLWEMGSDGVLLAGVELVLVSGEHSGQRGCRFFWCVAFEPYETAHEPAAREAVRFLQSIYGFVVHDYNFRYMVSYREIAASAVDLAIAASTCLAHMRAPHDLHCFRFESLFREFCSVWSFRDRS >ORGLA02G0357000.1 pep scaffold:AGI1.1:ADWL01005911.1:2419:2616:-1 gene:ORGLA02G0357000 transcript:ORGLA02G0357000.1 gene_biotype:protein_coding transcript_biotype:protein_coding QECKYKIEDCKIQEKCWNDCLIGPQEKHRNLRRDKDSKDFFHEVLPLVKIPPKFISEEAFHRNFI >ORGLA02G0356900.1 pep scaffold:AGI1.1:ADWL01005907.1:6484:6834:-1 gene:ORGLA02G0356900 transcript:ORGLA02G0356900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPIRLPNSPPNQHRPDNMEEIISGIIRDKFGIETRNRAKIYQKSYPDYYDNVPFPRNYRVPEFTKFSAEDSRTTREHVGQFLAQCGEANSDTFKLRLFSLSLSGTAFTWFTSLPANS >ORGLA02G0356800.1 pep scaffold:AGI1.1:ADWL01005906.1:9658:11037:1 gene:ORGLA02G0356800 transcript:ORGLA02G0356800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:I1P6G2] MDRRDDSCCSGGGGGGGAPLIRQLVGDVVMWRRGDVSACLLAATVSGWLLFGSGGYTFLSLASNVLLLLLTVLFLWAKAARLLNRPEPPIPEMRVSQQVVNEVAALLHSGMNTVFSVFHDIALGKDSVLFYQVFLSLWIISIIGSLTDFTTLCYTSIVAVLTIPALYQKYEECIDRYMRFAYLNLQMYEMVYERFSAKCFHRARDLVIEVLKEP >ORGLA02G0356700.1 pep scaffold:AGI1.1:ADWL01005906.1:7341:7610:1 gene:ORGLA02G0356700 transcript:ORGLA02G0356700.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAHGPLMGRRAIAGLIAPRLDGPERLLRPSRRRTCHGEAVFLRQMLFALHPSPGTPLAWQGTLRLSPFLLHSLCHGFRQIWSKGLMPSAN >ORGLA02G0356600.1 pep scaffold:AGI1.1:ADWL01005906.1:5270:6067:-1 gene:ORGLA02G0356600 transcript:ORGLA02G0356600.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTFDPSMAAQQVSPIGARQSNAMAQPHAQAAMSPFATPYPQQGAVNRAGGEKGLPLSGGIKTHPIPPQFKFPPVPRYSGETDPKEFLSIYESAIEAAHGDENTKKEPQTLEHLLRIIDVFTRGEEDSKRWQAIQVEYDKASVAAAQAQAQVQVAEPPPLAVR >ORGLA02G0356500.1 pep scaffold:AGI1.1:ADWL01005906.1:4910:5221:-1 gene:ORGLA02G0356500 transcript:ORGLA02G0356500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRKFRTDRASKAVMAVEEVQALRKEFDAQQASNHQQPACKKVRKDLYCAFHGRSSHTTEQCRNIRQRGNAQDPRPQQGATVEAPREAVQEQTPPAEQRQDV >ORGLA02G0356400.1 pep scaffold:AGI1.1:ADWL01005906.1:4604:4909:-1 gene:ORGLA02G0356400 transcript:ORGLA02G0356400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRVIQVITRADPPSQLSKRQKKMQIRMVHSIISAGEGAPQYLNQLISFGPEDAKGVMFPHQDPLVISAEIAGFEVRRILVDGGSSADVIFAEACAKMGLTT >ORGLA02G0356300.1 pep scaffold:AGI1.1:Oglab02_unplaced281:239:1197:1 gene:ORGLA02G0356300 transcript:ORGLA02G0356300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSKTRNGPSDAPQKASPATPRSSRVAKTGGNETDSAGITPTRTTPERSPKVTERRSPRSPITE >ORGLA02G0356200.1 pep scaffold:AGI1.1:ADWL01005902.1:1659:2066:1 gene:ORGLA02G0356200 transcript:ORGLA02G0356200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKRDLRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGLGFKLSPAPFHQWTPDVYE >ORGLA02G0356100.1 pep scaffold:AGI1.1:ADWL01005900.1:22359:22643:1 gene:ORGLA02G0356100 transcript:ORGLA02G0356100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNDDDDPRLSAPLNKSRGKESSPTTPIPSLARRIDLRLRSIDRSIDPAMAASSSKKKEEEEVAVVSARKGRLRQRYDGEYRLVAGCVPYRVVAGG >ORGLA02G0356000.1 pep scaffold:AGI1.1:ADWL01005900.1:11572:15382:1 gene:ORGLA02G0356000 transcript:ORGLA02G0356000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S27 [Source:UniProtKB/TrEMBL;Acc:I1P6F4] MVLSNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKND >ORGLA02G0355900.1 pep scaffold:AGI1.1:ADWL01005900.1:10016:10354:-1 gene:ORGLA02G0355900 transcript:ORGLA02G0355900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMAGAGGSNRAVRCAAAVAFIIVVVVVGSADGRGGGRGGHVLHGGGGGDDDPGNFRSSMVDCMMECAAEVVSCSSACAGKPRGEAPTCAADCVHGDISCLAGCGAPAPPAA >ORGLA02G0355800.1 pep scaffold:AGI1.1:ADWL01005900.1:365:8782:-1 gene:ORGLA02G0355800 transcript:ORGLA02G0355800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGPSRPAAAAAGDFPELLAFCARAEALIAELLLLSDRAPSQFADRRFHPVLFDFRYFDSPGEFEARIEGNMELEALEDELRESCGSYMRRFFALLDAAVAYHDELCSYLNDLQEGLYVHCTLDGVLESNWACQLLTESMTLFGCMALLMEHRISGLLRERLLVAYLRHERCFSFPNVERICKLCRRHVTTPPSPGASGSSLHTAEIISVQKPEDLLRRFQFPELIVDAVITCLRNGDVYNNVRFYPDPQHRTTALSLQGGHMYVLLFYSRDLLHNGLAMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAFKEAKSSLVSCLSPTFVRDRSLYHYTKVSSLLADLDSHMHAVNKEYVLDNSLNLLSIIRECNCTLRWLLLHRMTNDKKARDLVICLGSSQHADEGKLLQLLMKTAKLEFEVKELHAELLKTRKSMWYEKRHDALECMKDLSQNYLGTWAASCKLKNKSIKDWLEHLSSEVSSLDYATIGNSGRIIHRVLSTLKDIELLHQIKENIQIKHGFSKIQKNLHDMIKVLNLNQESISVFSVITDGKYAWGYLTYFEELLKKKISQDPSESLFLHTMFLKFQSWLDAPLQRIKQYESPDLQYVSTYYASKYAAKIFAVLDIIPAILLKISIDVDYINAEQSTHLINRINKETLEDLMQLDQQLCQAQQAAKLCIVSEGLLNMSKNFDGLIDLNLSGWLKQMIKKELVSQLQGKLKALSLLIYGDIEGNLMSLSNYMLSQMQRMEFLQHILHIDGCSIWEETLTAVLEECAKREVLEFMGCMQPSTNMVKPSNHMSNPGTFFGNILD >ORGLA02G0355700.1 pep scaffold:AGI1.1:ADWL01005898.1:4250:4758:-1 gene:ORGLA02G0355700 transcript:ORGLA02G0355700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHRRSMRLLRLRLSRKAAINGPQNKLILYKTLQGLRGGQLMSSRKSRIPPFLSIQRRQPANIHHRVDRRCLEGIRAVGDADLGCAEEEEIGNGGVETKITSGCKLTIRN >ORGLA02G0355600.1 pep scaffold:AGI1.1:ADWL01005898.1:1094:1699:-1 gene:ORGLA02G0355600 transcript:ORGLA02G0355600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKASMFLKQMVSTIVAVVKAKSTAVRAKTSAMKTRLLIFGVLRNRKLLATAINHKIHAIMGGAAAQDTTNDGGVAGVEDDDGGGSKKAVVLYNTAPSFLTERGYYDHAGEEEEEEEDSDEYLTHSLFQEEDDEDDELVNAPGSVIDLVRDAKEGEGGEFRLEDEIDHVADVFIRRIHKQLKLQKLDSFKRFCEMLERSA >ORGLA02G0355500.1 pep scaffold:AGI1.1:ADWL01005896.1:193:1641:1 gene:ORGLA02G0355500 transcript:ORGLA02G0355500.1 gene_biotype:protein_coding transcript_biotype:protein_coding INECQHGESYPCYGDCYNKPGSFDCLCHAGSSGNATIQGGCRKDLLSPKTRLAIGVVASVLAVLFGFLGWEVIRHKQKIKRQALLRQTDEFFQQHGGQILLEMMKADGNDGFTLYKRGEIETATNNFSKAHVIGEGGQGTVYKAVIDGVAVAIKKCKEIDESRKMEFVQELVILCRVSHPNIVKLLGCCLQFEAPMLVYEFVQNKTLQELLDLQRSRRFHVTLGTRLRIAAESADALSHLHSLPHPILHGDVKTANILLANGLVAKVSDFGCSTIDERTQAVPKGTPGYIDPDYLVEYQLTTRNDVYSFGVILLELLTGRRPLSKERKSLTLMFQEARSNGTIIELLDSDIVDEMSMRVIKRAADLVSQCLVVPGTTRPSMTLVAAELRRLAEADEVKRSPQPPLVLEDLRFMDMGSTTNTLYGESRTSGAYSLEKKAVLSIEFAR >ORGLA02G0355400.1 pep scaffold:AGI1.1:Oglab02_unplaced272:32138:32500:1 gene:ORGLA02G0355400 transcript:ORGLA02G0355400.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVAIDKFTKWIEATPTGEIKADNAIKFIKGIFCRYGLPHRIIMDNGSQFISADFLDYCIGLGVKICFASVSHPQSNGQVERANGIVLQGIKTHVYDRLMSHEKKWVEELPLVLWAVRTTPT >ORGLA02G0355300.1 pep scaffold:AGI1.1:Oglab02_unplaced272:15600:15776:1 gene:ORGLA02G0355300 transcript:ORGLA02G0355300.1 gene_biotype:protein_coding transcript_biotype:protein_coding PERQRWFIPPPEGVVVLSHPSRVVVGRKPSLGSLSPDGRQQRFSVTSFLEDVVLASPRG >ORGLA02G0355200.1 pep scaffold:AGI1.1:Oglab02_unplaced272:9013:11763:1 gene:ORGLA02G0355200 transcript:ORGLA02G0355200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKVKEEAAAEKGKEAAVAEEKEAAAAGEEKKEDAPPPPPPPEEVVMRVFMHCEGCARKVKKILRGFDGVEDVVADSKAHKVIVKGKKAAADPMKVVHRVQKKTGRKVELLSPMPPPVEEKKEEEKKEEPEPPKPEEKKEPTVIAVVLKVHMHCDACAQVIRKKILKMKGVQSAEPDMKASQVMVKGVFEESKLTDYVHKRIGKNAAVVKSEPAPPPENAGDANAKDDKKAAEGGEEKDESKEEKKEGDDAGGDEKEKEKEKDDSNAAEVEEKDKEKDPSALAAANLYMHYPRFSNPGGYGVPGYAYPYAPQLFSDENPNACVVM >ORGLA02G0355100.1 pep scaffold:AGI1.1:Oglab02_unplaced272:334:1141:-1 gene:ORGLA02G0355100 transcript:ORGLA02G0355100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKSVLPPARLQPRSNTAPIPTNQNRSTQAAHDSSENKYIRHISTQEQLSTRVGYGRVHSIIENILDKC >ORGLA02G0355000.1 pep scaffold:AGI1.1:ADWL01005885.1:1752:4917:-1 gene:ORGLA02G0355000 transcript:ORGLA02G0355000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1P6E4] MSPSMPQPLPLPSPPSSPRSGATTTTTTSVRARPRPPTAPPDAPWLARSDGGAGSSDVAGSSSGSGSNVDETLAAMRDAAWARFYAVMGKGKGKAGERGSFSFPDLGAPHDVVDAAAVVDHFATVEAERRAGARAQFLDATMEATASARLGRVKRELLVDRRVLDHAGLERWLRRGEAVAELAWFAELCAGEGGEPVPPLELFESAFRALQAARSDELHRGAGFRKRWVGPAAVPEFFLCPISNKVMVNPVVISSGKTVEVLALEKWWSENRRLCPVTDEILDNSIFIPNILIMLCTALWRTRNGITDVTTIAEPPKISSEEEALFREINLLALSPSLSDKTFDAILRLHELISNAQSSLLHLLGQSPGMIAKLACLLPETCLDPDPGLDDIILKIIAKTASYNPNKVILGDDQYAIPVLIARALLGPVDTRVKCAQILGLLADNYYNKIKIGELGGFAALMELLLLVGDREVKRTVAMAIASLCEAQENWSRFVREGVADAAISLLRDDNLVDEARSIFLKATGFELAMTQVLDKLMSFGDDANCLKMVESIWNTFIRTKLRRRRPNFTHASSSTRASDVFSDTSSDGSVELPMHVELTDKAEDDVRTIVSWLQRRTCYPRTYKYED >ORGLA02G0354900.1 pep scaffold:AGI1.1:ADWL01005884.1:1881:2448:-1 gene:ORGLA02G0354900 transcript:ORGLA02G0354900.1 gene_biotype:protein_coding transcript_biotype:protein_coding TCCITLSVSLREFWFDLQPNSLQIXQYIFVRTXVVVDRSARLQKKVQGKPAIVEVEKGSMEIPIESGQEKEQIGGEAVEEQRSRTTPLDLNMPCWDAGPLQ >ORGLA02G0354800.1 pep scaffold:AGI1.1:ADWL01005883.1:2399:4807:-1 gene:ORGLA02G0354800 transcript:ORGLA02G0354800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CMDEAGVDGALIVQPINHMFDHSLVTSVLKKYPSKFIGCCLANPADDGSGIKQLEHLIVQEKYRAVRFNPNLWPSGQKMTNEVGRSLFAKAGELGAPVGIMVMKGISTYIQEIEELCTDYPKTTVIFDHMAFCKPPMNIEEEKAFTSFLELSRFPQIYVKYSALFRISREAYPYEDTSQLLSRVISSYGANRIMWGSDFPFVVPECGYKGAKEAISHVAGKIPVSSSDLEWILGKTVTQLFQGAWVSA >ORGLA02G0354700.1 pep scaffold:AGI1.1:ADWL01005879.1:1100:1529:-1 gene:ORGLA02G0354700 transcript:ORGLA02G0354700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRAPVELDFLGLRAAAADADDRHAKSGGSSASSSSSIRGMETSAIARIGPHLLRRVIAAAGPPPPPSTAPVPEEMPGAAAAAAPMTLFYNGSVAVFDVSHDK >ORGLA02G0354600.1 pep scaffold:AGI1.1:ADWL01005877.1:13:4799:1 gene:ORGLA02G0354600 transcript:ORGLA02G0354600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVGAAPRGVEGARPGGDLLCVVRALLKKIRRRVLVGDRVLIGAVDWAGGRGVIEGVFERRAEVADPPVANVDRLVLLFALDQPQPEPATLTRFLVDAESTGIPFVLVFNKVELVDDKTISYWRDRMKSWGYDPLFLSVDQKSGLSALEETLEGQTTVIVGPSGVGKSSLINALRSNQNISEEDPIHKLVEQNSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPIAGGGFLADTPGFNQPSLMKVTKKGLAETFPEIRKMLAENEPSKCLFNDCVHLGERGCVVKGDWERYPYYLQLLDEIKIRESFQLRTFGTKREGDVRYKTGVMGVKQAEPRLQLKKHRRVSRKKINQSILDDIDDELDEDNWFDVKQRSRKR >ORGLA02G0354500.1 pep scaffold:AGI1.1:ADWL01005871.1:281:1439:-1 gene:ORGLA02G0354500 transcript:ORGLA02G0354500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSVIPXASQLASDPFAGWFPPWCDRPLCDCGDGCILKSSLMIQTRGRRFFQCANFDQTYRPMCNFIEWVDMENPQNDGTRAYPRSETRSDYLRRKDEHERRIAAEALEWQGNPRMCGFTRWIDNVTPSYHGQKITESEIQVEYQRLKDHENVMHSDRPTRGR >ORGLA02G0354400.1 pep scaffold:AGI1.1:ADWL01005867.1:2048:3643:1 gene:ORGLA02G0354400 transcript:ORGLA02G0354400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPNDLLICEVLTRLPVKSLLRFRSVCRSWRDAVADPAFVRRHLELSRAATPPSTTVLAVHTRMDHDPDDRAAPEDVVSFHRVRLGQSPAAAAAAIVELMHEEALECAGIHLFASHCDGLVAVAATAGKIFVCNPATKEFFLLPPGGRNGPSNETAALGFDPCTGRYVVARCFFRRDVYYRDEDTGVLQYLEYDINDIVHQVFVLGPSGSGDWEATVTPPCIIYTNLPAACAGGAFYWVAHDKSDGTFAVECPNCLVRFAMNDGTFTIVPLPQSVTFMDVDFDSISELGGELCYTQRTSGTAYNIWTLQLPGDEEEEGHRWSLRWRVDFRRRVGVVLPLAVSDDGGTLTVYEHRVGIHRLDGGRESHPEKVVDMAAVTRGLVGQWIAGYGCARQCGGSGDHDREQCDGGGAAHDRMQCGDGDYYGWIFHILGHTRTNHRKIELKWT >ORGLA02G0354300.1 pep scaffold:AGI1.1:ADWL01005867.1:292:1391:-1 gene:ORGLA02G0354300 transcript:ORGLA02G0354300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSSSSSEVELMLERAFPDGVEIDVATHCDGLIAVTTDAGETFVCNPATKELVTLPLGISCHNGCVVWDRFAAIGYDPWRKCATGTSSVGTSTGATQTGPASPKSGMRSSFSAAAAPAHGRPPRIHHQPAPSCPALRRLASEGASTGAPTRTSATRACCSGLAFTATSSTWFHAIRAAHPTSSHSTPCRSWTAQKPPPLLHPPPALFVRAHPRLSSSPERRAAARTTAAELLLSSSTP >ORGLA02G0354200.1 pep scaffold:AGI1.1:ADWL01005866.1:1059:1853:-1 gene:ORGLA02G0354200 transcript:ORGLA02G0354200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLKKLCDSMENKDTTEKARLLRGALSKEDSSGTSNEGKKIQANGVDGSKAKSTSSGEKVTQGNTLSNYEKKEEKPWGREEIELLRKAIQKYPKGTSRRWEVVSEFIGTGRSVEEILKATKTVLLQKPDSTKAFDSFLEKRKPAPSIASPLSVRTETVGLPTEKASGDASSKAPAQPASSKTSDEKAPAPAPVSNGTPSGTADPEAWSEAQVLALVQALKAFPKDASQRWERVAAAVPGKTMVQCKKKFAEMQKNFRSKKGAE >ORGLA02G0354100.1 pep scaffold:AGI1.1:ADWL01005863.1:5474:7361:1 gene:ORGLA02G0354100 transcript:ORGLA02G0354100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPATSSRSSGDFPADWVFLDTVAHAGRCRRDNATTTTARARSSDGHPIEVSFALADPPALTRCLVHCPAGLTAGEFSRSPPSVAAADGAFLLLRVVFPHRSDRCMATDWFVYRQPGPAGAPPPSLELLVQRPNPLDVVSRHAAVLSRGDHCLVVDPEWGFHDDDDDDGDDRRRSSSSTCISSRARPSGGAARSRSWAAAPWRRSTLSSSPPRCCASSEEDQWPGSISGTASYCSTRSPGTPPRXAXSVCRHXCPSTTWTQAAPMDLAWIMSVMSPAGMMAGSSSSRWDSRTWILTMRGXTVDGRPPCSRGGSSVQMMIVIGNGSRAALSTLLASSCLQPTRVFLIACFLRSLTTRSASLPXTMFXAHSPHWICTVTMLFTXXPRSRMMIQTVGSLLSTLRARGWREYRPFRKKVIISIASTSNVTSPSTXSTRLXILWCITEKNCICFILQMCCLTVLLCPYFLRDSLGQGYGXINGPTAS >ORGLA02G0354000.1 pep scaffold:AGI1.1:ADWL01005863.1:1524:1736:1 gene:ORGLA02G0354000 transcript:ORGLA02G0354000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWAPVVVGVVLFVVLSPGLLIELPGTHRWVDFGSLRVTGKSAVVHTIVFFVLFAIVVVACKLHIYTGA >ORGLA02G0353900.1 pep scaffold:AGI1.1:ADWL01005859.1:2393:2590:-1 gene:ORGLA02G0353900 transcript:ORGLA02G0353900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLAVLLAVGASPPPPRSNCSTACGDVKISYPFGFEAGCSWPGFELVCRDTIEGKKPFLPPVTSLC >ORGLA02G0353800.1 pep scaffold:AGI1.1:ADWL01005852.1:168:2972:-1 gene:ORGLA02G0353800 transcript:ORGLA02G0353800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:I1P6D2] MVMSIDNVRGFALATSSSAFIGSSFVIKKIGLKKAGDAGVRAGSGGYSYLYEPLWWIGMTAMILGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKERLHMFGIVGCILCVVGSVGIVLHAPKEKKIDSVNEIWHLATQPGFIVYSCMAVVVALILIFWVVHRTEQRKMLAYIAICSLMGSLTVISVKAVAIALKLSFNGVNQFIYVPTWFFIVVVVICCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTIIANMIMYKDWASQNATQIATELCGFVTIVAGTFLLHKTRDMGNEQSESSSLRGECELQNH >ORGLA02G0353700.1 pep scaffold:AGI1.1:ADWL01005841.1:2822:4121:-1 gene:ORGLA02G0353700 transcript:ORGLA02G0353700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTALAHVIRAAPDLHLPHHPSSSASAAAHPQQASSFYPTAAAAASSPSDQLAAAAAAAEEQGRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDLGFLVTRGIPPAATHGGGYYPSSSPAAGACPPPRQQQTVVPYPDLMRYAQLLQGGVGGSYMPFGGAATMSSSTVSSSSAPQILDFSTQQLIRAGPPSPMPSSGSGSATAAASSPGAWPYGGSERKKKDSSS >ORGLA02G0353600.1 pep scaffold:AGI1.1:ADWL01005839.1:2712:2939:-1 gene:ORGLA02G0353600 transcript:ORGLA02G0353600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CWALWLSRNDMVFDKSPSISYMQVIFRTIYWLRFWAQLQKCEDDGELMKVACRRLETTVMQLFANYGWRFTNRLQ >ORGLA02G0353500.1 pep scaffold:AGI1.1:ADWL01005835.1:3562:3804:1 gene:ORGLA02G0353500 transcript:ORGLA02G0353500.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPTYAKTSRRISTLEICLDRPYPVPTEAGRRDLALSLISPGTNSRRKTTLLSTTSQTFRPPCRQQLYRLPQILYWCDYGE >ORGLA02G0353400.1 pep scaffold:AGI1.1:ADWL01005835.1:2:2689:-1 gene:ORGLA02G0353400 transcript:ORGLA02G0353400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQEPAGGGGGGGGGVRVVARICPYAPPPPDAALNFQVAALNDPALISFLPRRPTASAAAAAASGRGDGPKDKQQQQKYRVDGCYLRDDPNHRVFHNEVKPLIDGRGGGGGRSGVKACVVSCGDAAAKRHLFMGSPDQPGLFTMAMAQLLDSSKAIGAAVTVSSYQVLQDTHILDLLEPKNHEVLILEDADGQTHLKGLSRVGVKSIEEFSQLCCCAANQQRHHPAKDSTQLQDWGHQGLIIYVSSIDQQGKEYALAKINFLNLAGYVDPKQKKNEGLAVPTGNKSMHALMNVVQALNSNQKFVPYRQSKVTRILQDSLCKSKTSGSVLIACLAEDCCQDSVSTLGLASRSSQVVNEQYYSLSLSAKKTSKSNMNLPTDAKTLSRTFMHKTMSMQEKNARPEFNNSGVKGGQTPTANRRTQPIISSTKKSGSSICTSIKMENYAKPKISGRYSCENRSII >ORGLA02G0353300.1 pep scaffold:AGI1.1:ADWL01005832.1:659:874:-1 gene:ORGLA02G0353300 transcript:ORGLA02G0353300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRVVLRRIEDRVRRGICFRKRLAGLEKKAEELAVLCDAHVGFVVLSCSGDDANPHHFAAPATYAPILS >ORGLA02G0353200.1 pep scaffold:AGI1.1:ADWL01005830.1:2500:2844:-1 gene:ORGLA02G0353200 transcript:ORGLA02G0353200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AWMGVAKSHEAVAAGLRATLDQLLLQSPCAAAAAASAGEGDAEDAHSCCFETPAAAADVAVSTATSCKACRVAEASVLLLPCRHLCLCGACEAAADACPVCAATKNASVHVLLS >ORGLA02G0353100.1 pep scaffold:AGI1.1:ADWL01005827.1:1048:1149:-1 gene:ORGLA02G0353100 transcript:ORGLA02G0353100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVFLTASGEFEMGESSRGPLLGLDGVGVES >ORGLA02G0353000.1 pep scaffold:AGI1.1:ADWL01005826.1:3392:4228:1 gene:ORGLA02G0353000 transcript:ORGLA02G0353000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRILLGGEGAIGGGLEVAAHGPSASARLTGQEASANRGAGEEHAPGAVVTHEVAVPSNPVAEDLSHLV >ORGLA02G0352900.1 pep scaffold:AGI1.1:ADWL01005823.1:2395:3920:-1 gene:ORGLA02G0352900 transcript:ORGLA02G0352900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAEKKSDPPRQDASEQAGTSRAKQPEQIVEDSPAQGAHKRQRSDDASGEAPDLQGQLIEILDRSSRMVAAQLEAQNINCQLDREQRKDQVSSLLGVLGKVADALYRIADKM >ORGLA02G0352800.1 pep scaffold:AGI1.1:ADWL01005823.1:1:1734:1 gene:ORGLA02G0352800 transcript:ORGLA02G0352800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRGDTPCASAFYDDNGCLRAGTRRRRRSKQEEFEGDLDEEDEEEEEEEEEEEERGGGGGKQQQEEDEEGVRVSIGLDPTLDREEEEDKYDREAFGREDAADRVYMNEIMDDGINMSINTVVPDILDDSVEEIHRFSRDPRADMGAASARLKEYDSSAKGGTCSLAQPNEFPSGGIQAMKTDDANVKPILKRKEEQGDSKPRKRVKFAADVKDQSAELPEQDEDSPMVPQSMDLVIGKDSSTPSESPGVPDYVKNPAKYTRYTLDTPECNDETNRRAFADLHDILRRMEPEPEVPPVEIPTSVTFIPRKKTVDAMTVDEGPKSNDANSSLIGLAAGASDETEQCEMDEDDPKALLPPQVQTNTKMNSRRYRSSRIDDE >ORGLA02G0352700.1 pep scaffold:AGI1.1:ADWL01005821.1:4747:6654:-1 gene:ORGLA02G0352700 transcript:ORGLA02G0352700.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAQTGRASATATRQGSSTRGCCRLHPRQPPSHAREVLDDGVPRRDRDRDNGHARARAGPDAGAHGAAEGRGHRRRGAGAVRRPRRVRVPGRRVDGDPPSADAVAGDPQPPAPPRAGGGLRGVRVRALVGAARRLRRHLRPGRHQPRVRARRRPPRLRPARRHHGAGPAPHDRHRRVPGDAHRRAHPLHHQAQLPNPRRRRHPPRHQRGLLPRVHGSPRPGARRHPQGHPAADGRAVLGRADAPPGVHLPAAEAAGRQPARRSHPPRRRRREARPLRRRRVLRVGRRAAALRGADGHPGDDHAHGHRELPQRRPALAADARYAWHCVRQLRRRQRRPPPRARRALRRPRHRQSRGVREQGQDRARRHRPVGAREEQATARLHLRRRQARPAGHERHAGTTTAQEPRFQRVAVGAGEEEGRVPTGLQNVRRGDPAAVRHPGARRGHQRGGHRRHGRRAAPDVGDAALHLQEAQAVALVRRAGRHGLRPACRRRRRGGQPGRHRGRHRRRRQPPDEHPGARHGPRRGPAGEGDGAEQPAPGHGGAVGGQVLRRQQGAHLPRQAGGERRRRGVPGLRDDRRRLRHPGGPRDEEGRGPRRRRGDDGGTGAVPAGRRRASPGARAADDPQQWRFQGHYRRR >ORGLA02G0352600.1 pep scaffold:AGI1.1:ADWL01005821.1:2728:3241:1 gene:ORGLA02G0352600 transcript:ORGLA02G0352600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQYRNHVHTIWWNYGSCYLPHHHHMPHLQFILHSPAGSPWRSPMQFQIQYQDTEDLLMLHHPEVTKFESGTQDLSMEFGCSIVI >ORGLA02G0352500.1 pep scaffold:AGI1.1:ADWL01005819.1:2281:2844:-1 gene:ORGLA02G0352500 transcript:ORGLA02G0352500.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRYLDGFETEHNRPSRNDDTHELDSYPIVKQGSSIFSHVGKPLGKPSNYVIRGMAKVQAHQYVLFNCSDVNKYLRAHADQIASIYPRRGVNPKTIERVQNEKFHEWFRAHIMDLEWKNGLHIVNEDVRWLARGPLDAAK >ORGLA02G0352400.1 pep scaffold:AGI1.1:ADWL01005819.1:2059:2280:-1 gene:ORGLA02G0352400 transcript:ORGLA02G0352400.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRAFNTHGFRFRPKRLDGVTQNSGVVLTAKTSSYTKASDANPILGDVTYYGRIIDIIELNYSGKFSVVLFKCE >ORGLA02G0352300.1 pep scaffold:AGI1.1:ADWL01005817.1:4588:4917:-1 gene:ORGLA02G0352300 transcript:ORGLA02G0352300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFDQNLPKAMRRVGIQARDVKVSRGEVPPPPPMAKTMXQGRREARDRAGTLPSQRPLGRRMHSEEYMSKGDDFAKASSSPRGPRKPFPAHQAXGLLGRRSSDGIRGP >ORGLA02G0352200.1 pep scaffold:AGI1.1:ADWL01005816.1:2243:3145:-1 gene:ORGLA02G0352200 transcript:ORGLA02G0352200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKGPLICLGSGLAIEALPALFSQFPNLHKVEINYSGWAPGNGSQIDNQRLRVLSFSLPLLNDLTLSFCSEINDSGLACLTNCKMLMSLKLNSTPEITSRGLLSLAVGCKTLSSLHLNNCKGIASSTEWLEHLGTNGSLEELVVKNCKGIGQYHFLMFGPGWMKLQKFEFENEQSFWSIFRRDRDPSYKAHTYRYDLLCEGLKDLRLVRIVTEPKGPEIGLRFLLGKCRSLEKLSLEYVSGLIDNDMIALSQTCKNLKSISLWLKPEHYNVGDDIIFRTGFTDESLKALALNCPFLQKC >ORGLA02G0352100.1 pep scaffold:AGI1.1:ADWL01005811.1:1:1773:-1 gene:ORGLA02G0352100 transcript:ORGLA02G0352100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGDFPQHECGDSTNNHGGDAFVNGGFRNWNIKSRFSKHAGAVNSAHCEAEEKYNLFMQPKTSIRESFASNSGEFKVQYLARLTWSLKCIRYLLRQGLAFRGHDESKDSNNKGNFRELVQWLAGNFEEVNKVVLGNAPTGCQMIDHKIQKQLIGSCAHETTKLVIEELHDECFAILADESSDAYQQEQLALCLRFVNTTGQPVERFLGLVHVEDTTSLTLKEAIKSLLIKYQLPLSRVRGQGYDGASNMKGHINGLKKLIMDESPSAYYVHCFAHQLQLTLVAVAKENTDCAWFFGQLAYLLNVLGMSCKKIRMLRIAQAEYMIEALKLGEIESGQGLNQEMGLARPGDTHWGSHYKTVMHVMLLYPSIKKVLFKVGKECNGAEAIGAQTMLQVFQSFEFVFLLHMMNEIFGYTSDFCNALQRREQHIVNAMDLLEFTKAELDVLREDCGWKEFLGKVTSFCVKHKVKVVDMDGKYKPIQRSRKFFKDAINYHRFHADMFLGVIDRQLQELNNRFDEVNTELLRCMA >ORGLA02G0352000.1 pep scaffold:AGI1.1:ADWL01005810.1:12375:12898:1 gene:ORGLA02G0352000 transcript:ORGLA02G0352000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLEFLQKNQWGQEASVGADRVQVGNVCAGSLGGHATAGVWPGRWREIGGRACAWTAAEGLENGGQERSLLEML >ORGLA02G0351900.1 pep scaffold:AGI1.1:ADWL01005808.1:9671:11173:-1 gene:ORGLA02G0351900 transcript:ORGLA02G0351900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNGLLLLSAIAAVTLSSLSLAAAATRRSQEPREAVRAGYYLAADAHLRPLAALDASLYTHLYYYAVAVHPARRTLLLPPDPAAASLLGDFSRAVKAKNAAVKTVLSIGGGGAGGGAAAAAGSGSVSDPAFAAMAADPASRAAFIGAAVKVARENGFDGLDVAWRFPASAVEMAEFGFLVAEWRAAVPRGFLLTATVYFSNHVFDAPFAGVDYPSEAVARSLDWVNVMAFGLRPPGAANATAFDAPLYDRASHYSASYGVVSWLDAGVPASKVVMGIPLYGRSWFLRNKANNGVGAPVVAAGPKQRGSNATGAMSYAEVQWLAATATRGSRAVITAYDNASVASYVSVGDVWVAFDGVAVVAEKLAFAARCGLLGYFLWPVNYDDANLTVSRRASQVWTQTKISPEFKNVTGGARQTQAPVQRPPALQSPAPTTAPMSSSSSFSRLSWRMLDVRLHLGALLLLILVCYQI >ORGLA02G0351800.1 pep scaffold:AGI1.1:ADWL01005807.1:1581:2003:-1 gene:ORGLA02G0351800 transcript:ORGLA02G0351800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLEPLVIESGAGKLVLHLMDAFHAEVLALRAGVEAAARRGMTRVQFETDSLTLVQGLKSSNGYRLAATGGLCLDILQRCVISFNVFSFHYCPRNCNRVAHALAALGCTNSQTTDVRWDGSPPDVEDLVAGDLAEPVV >ORGLA02G0351700.1 pep scaffold:AGI1.1:ADWL01005804.1:1357:7119:1 gene:ORGLA02G0351700 transcript:ORGLA02G0351700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRAVSGLARVALRRNLARAPANPFAGPAPRYFHSTRPRRFAAPVPCAVPLSRLTDSFLDGTSSVYLEELQRAWEADPTSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVSGHLKAKLDPLALEERPIPDVLDPAFYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRSVLERLEQAYCGTIGYEYMHIPDREKCNWLRDRIETVNAREYSYDRRQVMLDRLMWSTQFESFLAQKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPAEEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDLDRTKNLGVLLHGDGSFSGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKIIRNHQSALEIYQNRLLESGKISKEDIDKMQKKVSTILNDEFQNSKEYIPNKRDWLSAYWTGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPENFKPHRAVKKIFELRRQMIETGEGIDWAVGEALAFATLIIEGNHVRLSGQDVERGTFSHRHAVIHDQETGEQYCPLDNLVMNQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGEAKWLRQTGLVVCLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQNNHKDLEEGIKRLVLCSGKVYYELDEERRKKERDDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYINPRLLTAMRALGRGTIDDIKYVGRAPSAATATGFYSVHVQEQTELVQKALQRDPINSPF >ORGLA02G0351600.1 pep scaffold:AGI1.1:ADWL01005803.1:10032:10256:1 gene:ORGLA02G0351600 transcript:ORGLA02G0351600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSQRGSCGVAAAAAMRRRGGGEEGGGVVGAGAVVRRLDRIRKRGALSSSGGSGAARKLRFRAPAVLLRRRSGAA >ORGLA02G0351500.1 pep scaffold:AGI1.1:ADWL01005803.1:1007:5922:1 gene:ORGLA02G0351500 transcript:ORGLA02G0351500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKAAGRGVPGEIASRVSCCSGGRGRVAVGCDDGTVGLLDRGFRLSYGFQAYASSVLFLQQLKQRNVLITVGDDDQPSSLSSAICLKVFDLDKVQEEGSSTTSPFCVQILRIFTKQFPQAKITSFVVLEEAPPILLIAIGLDNGSIYCIKGDIARERITRFMLQVEDGTSLPITGLGFRVEGQAHQLFAVTPSSITLFSLHDHPPRRQTLDQIGCETNAVAMSDRMDLIIGRPEAVYFYEIDGRGPCWAFDGEKKFVGWFRGYLLCIIEDQRSRKNTLNVYDLKNRLIAHSMPVGDVSHLVSEWGYIILIMSDKKILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYIHTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNHFIKDEDGVGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLGRYDEALQYISSLEANQAGLTVKEYGKILVEHRPAETVEILLRLCTDGGDPMTRRGSNSMHLLMIPSPMDFVNIFVHSPKHLMEFLENYTKAVKDSPAQTEIHNTLLELYISKDLSFPSMSQENGFEEQNSKERKGKEVANGYKSGPREKGNLGKEDMNVAKDIVDRQRKGLALLKSAWTSEMDDPLYDVDLALIICNANAFKDGLLFLYEKLKLFKEVISCYKQAHDHEGLIACCKKLGDSSQGGDPSLWGDLLKYFSELGEDCSKEVKEVLTYIEKEDVLPPIVVLETLSKNPCLTLSVVKDYIARKLEQESKLIEEDRKSIDKYQDETELMKREIEDLKTNAKVFQLSKCTACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVMEAKQKLEHNARDHDLFFRQLRGSKDGFSVVADYFSKGIVSKTAIPPENGR >ORGLA02G0351400.1 pep scaffold:AGI1.1:ADWL01005801.1:319:483:-1 gene:ORGLA02G0351400 transcript:ORGLA02G0351400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMDDLSGQKRICVIRDVCGHHRLGFPSGSGLLCCWAAGLWESEKMKKALGAD >ORGLA02G0351300.1 pep scaffold:AGI1.1:ADWL01005795.1:788:1409:1 gene:ORGLA02G0351300 transcript:ORGLA02G0351300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSQYRGSKEEGKFKDQSIFNKGALEWLCKSFCLAGRTTMVNEELVYRLKLEDFGQCMHPLKVSKVLDKRGLTMWNLLGFDTKAGIATDRPLIVRTTIGRASNRGTNDNKGHKSRSYQSTAIGEQYRKASTTAMQGFGEPSSKEDSSA >ORGLA02G0351200.1 pep scaffold:AGI1.1:ADWL01005794.1:1892:6254:-1 gene:ORGLA02G0351200 transcript:ORGLA02G0351200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPPSAAAPGSPPSAQVVGNAFVHQYYNILHQSPDLVHRFYQDGSRIGRPASPAAAEMDTVTTMEAINAKIVSMDIVRAEIKAVDAQESLGGGVTVLVTGHLTRSDDVRREFSQSFFLAPQEKGYFVLNDILRYVGGEGDQEVKPEPELEMSFPPSQQPDSVPAPSANGTTVPREQEAFSQPEQHVADPAPNAQEADLNGEEVYNPPNNTEGPVVEETPIPEVIDEVPNNVAVAMPTLSAPAPAPAPVPQEEAPKKSYASIVKVMKEIPPQISAIPSRPAPPKQEKQVAPAPVAPVADAPTFSPNPESSNIQEAEVDAHAIYVRNLPLSATPEQLEEAFKKFGAIKPDGIQVRSHKIQGFCYGFVEFEDPSSVQSAIAGSPVTISDRQCYVEEKRTAGSRGGGRGRFAPGRGGNFRGEGMRGRGNYTGGRGYGRGEFNYRSDYGGRGAGRGGSSRGGDVGYQRVDHSAGRAARAPSGTSAVAK >ORGLA02G0351100.1 pep scaffold:AGI1.1:ADWL01005794.1:25:1198:1 gene:ORGLA02G0351100 transcript:ORGLA02G0351100.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPASISTMDVHSPLTDVAGVVAGVGPGVNDFAVGDQVVAMLNSMNGGGLAEYAVAAANLTVKRTPNVSAAEGAGLPIAAGTALQALRSIGAKFDGTGEPLNVLVTAASGGVGLYAVQLAKLANLHVTATCGARNAELVRGLGADEVLDYRTPEGAAMRSPSGRRYDGVVHCTVGVGWPAFEPLMAPRGKVVDITPNFSAMLTSALHAVTLRRKRLVPLLLSPNKADLEFLVGLVEEGKLRTVVDSRFPLGDAAKAWQKSIDGHATGKIVVEMEG >ORGLA02G0351000.1 pep scaffold:AGI1.1:ADWL01005793.1:13452:14351:-1 gene:ORGLA02G0351000 transcript:ORGLA02G0351000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit Rieske, mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1P6A4] MNIQTTVFFRSTGRFSSSETLVPRNQDTGLAELPATVAALKNPNPKVVYDEYNHERHAPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIALANSVDVGSLRHPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >ORGLA02G0350900.1 pep scaffold:AGI1.1:ADWL01005793.1:8048:10356:-1 gene:ORGLA02G0350900 transcript:ORGLA02G0350900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTVGWWLLAAAVVLAAAAADSGEAERAAEQHSERISGSAGDVLEDNPVGRLKVFIYDLPRKYNKKMVNKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPKEADWFYTPVYTTCDLTPAGLPLPFKSPRVMRSAIQYISHKWPFWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQENHVCLKEGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVEEKDVPKLDTILTSMPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPEGVYLQPSDKRLNWTAGPVGDLKAW >ORGLA02G0350800.1 pep scaffold:AGI1.1:ADWL01005793.1:6888:7571:1 gene:ORGLA02G0350800 transcript:ORGLA02G0350800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRTIPVIFGILFYVLASTATATDAPDYVVQGRVYCDTCRAGFETNVTEYIKGAKVRLECKHFGTDKVERAIDGVTDETGTYKIELKDSHEEDICEVVLVHSPLANCSEIEAERDRARVLLTRNVGICDNLRLANPLGYLKDIPLPVCGALLKQFDLADDDNE >ORGLA02G0350700.1 pep scaffold:AGI1.1:ADWL01005791.1:3:287:1 gene:ORGLA02G0350700 transcript:ORGLA02G0350700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAPTPGSAAEDEAAGLRLCSHALCGRPETRRHEFRRCSVCGVVNYCSRACQALHWKTAHKAECTPMDRWLDNAAAGAANPNAAAGDAAMAAPAP >ORGLA02G0350600.1 pep scaffold:AGI1.1:ADWL01005790.1:6518:8338:-1 gene:ORGLA02G0350600 transcript:ORGLA02G0350600.1 gene_biotype:protein_coding transcript_biotype:protein_coding HHHQEVAGTSGSSSGGSSSNNGGGGTRDWLRLATGPASPGASAGSDHDLFPSTTTTAPAPQPPTPTPTPRHHHHDVLVLPGMPPPGSFLRPGPAMPGIPQASIPTHMPRAAPPWLPPWSPVAAPPPLLPFPHQHRAFYAAAPTTTPPASSGFDAIRVVLPPSAVAAAAGVWFVLQAAPLQGREPFLPQIPRSYLRIKDGRVTVRLLTKYLVNKLGLEDESEVTGVCPWVAHQPPLTT >ORGLA02G0350500.1 pep scaffold:AGI1.1:ADWL01005787.1:1306:1788:-1 gene:ORGLA02G0350500 transcript:ORGLA02G0350500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLASACPSTRSAPLEDSSRQYRSSCCRPKGIEDWGWGLPDWVLGESMEVEEMGKFWSRVTQNKPNGPRAPKFANFAKPMGGSAINTGSSPWFDVFGKEFRWGRPATMRSGGADKFDGKLTVYEGPTGAGSMSLEVCLTPAALAKLVAEEGFMDAVTTP >ORGLA02G0350400.1 pep scaffold:AGI1.1:ADWL01005784.1:3498:8804:-1 gene:ORGLA02G0350400 transcript:ORGLA02G0350400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLVQSAIAPTIYRRSGTARFRVRARATMMRTMPTRTLTLGGFQGLRQTNFLDSRSVIKRDFGSIVASQISRPRGLGSRGVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQLLPVFAPNSPHFLCFCLQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDDSLIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQVTKDKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMVKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKDIDLQVTEKFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGGSGVPEPLAPALSV >ORGLA02G0350300.1 pep scaffold:AGI1.1:ADWL01005784.1:982:2211:1 gene:ORGLA02G0350300 transcript:ORGLA02G0350300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit DAD1 [Source:UniProtKB/TrEMBL;Acc:I1PKS8] MPRATSDAKLLIQSLGKAYAATPTNLKIIDLYVVFAVATALIQVVYMGIVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >ORGLA02G0350200.1 pep scaffold:AGI1.1:ADWL01005783.1:143:1069:-1 gene:ORGLA02G0350200 transcript:ORGLA02G0350200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAARRRQEMAAEGQRHLEETIAAAFQILSSMNDELCNPTLWSSSSSAAAAAAGGAGGLQHHGNNHHHHHQHHHHHGGLPPPPPPLHSADSDAADAAGGGPGGAPGSGGSLDEARHRYKVAMAALRASIAAVSSCAQEMGSTEHKADQAEIERLEEHASALRK >ORGLA02G0350100.1 pep scaffold:AGI1.1:ADWL01005782.1:310:3973:1 gene:ORGLA02G0350100 transcript:ORGLA02G0350100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLSLVPLWDDQQQTVTGMLTASDFVLILRKLQRNIRTLGHEELEMHSVSAWKEAKLQFYGGPDVAAIQRRPLIHVKDSDNLRDVALAIIRNEISSVPIFKPSTDSSGMPLLGLATLPGIVKFICSKLQEQPEGYSFLQNQIVSMPIGTWSPHTGKASNRQLRTSRPSTPLNSCLDLLLEDRVSSIPIVDDNGALLDVYSLSDIMALGKNDVYTRIELEQVTVEHALELQYQVNGRRHCHTCLSTSTFLEVLEQLSAPGVRRVVVIEPRSRFVQGIISLRDAFTFLIG >ORGLA02G0350000.1 pep scaffold:AGI1.1:ADWL01005781.1:2596:2811:1 gene:ORGLA02G0350000 transcript:ORGLA02G0350000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGCRCAGGDRDDKSAKAAVRENNTVAAPCRCSGEESTCDEAMLRGAPLSTATTSGGGPWIEALRSEGTTRA >ORGLA02G0349900.1 pep scaffold:AGI1.1:ADWL01005779.1:6211:6615:1 gene:ORGLA02G0349900 transcript:ORGLA02G0349900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAATACSTLLLLIAAATTLLVPAASAAKLVAGKDAATATAAEAALGSTVAPWVEADAGGVVGGMMMVAAAAGSVEYGHGGVHHRRVLQARGGGNVNPSLVADRQRCIGSCPARGGSYTGRGNQCIYHNRSC >ORGLA02G0349800.1 pep scaffold:AGI1.1:ADWL01005779.1:151:3118:1 gene:ORGLA02G0349800 transcript:ORGLA02G0349800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CIFPNLSINLVLVAVRFIESCFDFQVSKGSRRSRSKILEADVKGSWHGGGHGHWLSSADVMSNATAMEIVTCSQDDVSRCPQEKTVNLHDLHNSLIASKELVRVLAHIWGPGELNPSTTSLISALRSEIDLARSHVRKLIKEQKSEGIESLKKQLVQEMESWKSKQKEKVANALQYIVSELDSEKKSRRRAERINKKLGMALANTEASLQAATKELERERKSKGRVEKICTELIRGIGEDKAEVEALKKETEKAQEELQKEREMLQLADEWREQRVQMKLLEARLQFEEKNAAINQLHDELQAYLDTKKEHGQSNDQMTLLRASENGREIADNIQKNSGECDDEDEDDDVDDSASEGSDMHSIELNVDGNSKSYTWSYTPTSKDRKRNASFSQRGMDSGSSCGFDRKFQETGEELLEGDWAEGCSNGMLNFEHDEERYLAIKNLREQMLAGSGFIVSQGREHAESEFCGL >ORGLA02G0349700.1 pep scaffold:AGI1.1:Oglab02_unplaced162:3:629:1 gene:ORGLA02G0349700 transcript:ORGLA02G0349700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALSLIDVAAEDDLLLDLTSPPPLHPDPPHAGCDEVMAAEASRLDPAGDSPEMRRVVDPDGATEEAPEQSESPKRRKAKAGVNLRKSLAWDSAFFTSEGISPIPQCRDDGNRRVTES >ORGLA02G0349600.1 pep scaffold:AGI1.1:ADWL01005775.1:14923:16368:-1 gene:ORGLA02G0349600 transcript:ORGLA02G0349600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSEELIIEILKRITRTSDLNSLSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLKVEIGNSGSTSGNGNHIDNQGLFVLSSSYNSLNDLTLSFCSRINDAGIASLTYCKKLMSLKLNSIPDVTSSGLLLVAFGCKALSSLYLNDCKGIAASTEWLEYLGSDGSLEELVVNNCPGISQYDFLKFGRGWMKLKKFEFVNKETMVNHFITRHDPSYSANCVYKYDLCCENLEDLRLARLRTEPEGPEIGLRFLLRKCKALAKLCLEYVGGLIDKDMIVLSQSCKNLKSISLWMMPRRFHEHEVFRMGFTDESLEMLAHNCALLQDIELIFAGVEDLEYPEIGFTQEGLVKLMHSCPIRSLTLNGTLFFNDNGMKGLSSAPFLETLCLVDCKITDYGMCFLVHYPCLADLKLQYCSGLTDVGIAELVHAQKLQSLVVEGCSNISENAVQGAARSVQYFLNSAGSGATHLKRLVD >ORGLA02G0349500.1 pep scaffold:AGI1.1:ADWL01005775.1:10749:12188:-1 gene:ORGLA02G0349500 transcript:ORGLA02G0349500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSEELIIEILKRITRTSDLNSVSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLKVEIDYSGSTPGNGNHIDNQGLFVLTSCCTLLNDITLSFCSKINDAGIACLTYCKKLMSLKLNSIPEVTSSGLLMVAFGCKALSSLYLNDCKGIAGSTEWLEYLGSDGSLEELIVSNCKGVSQYDFLKFGPGWMKLKTFEYENEENFFSIHPRYGSSVKANTHRYEPCCENLKDLKLVRLVTEPDGPEIGLRFLLGKCKALEKLCLEYVTGVIDNDMIVLSQACKNLKSISLWLKPEHYVVGGHIEFRTGFTDGSLKALALNCPLLQDVELTFTGCAHWDPPEIGFTQEGLVSFVQSCPIRVLVLNGALFFNNKGMKALSSAQFLETLSLIDCNEVTDHGMRFIVHFPSLINLTLRFCHNVTDVGLSELAHAQKLQSLDVGGCDYISQKGVLGSAKSVCYEVNCKSLGHYKRMC >ORGLA02G0349400.1 pep scaffold:AGI1.1:ADWL01005775.1:1673:4069:-1 gene:ORGLA02G0349400 transcript:ORGLA02G0349400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEFSFPGVEAALLHRCRAKGYYSPXLWTCNRGFPSALFPVSQFAQSRDXLLWMDIWXWESDRQPMSLFFSSCCTLLNDLTLSFCSNISDPGIACLTYCKKLMSLRLNSIPELTSSGLLLLAVGCKALSSVYLNDCKGIAGSTEWLEHLGANGSLEELAVKNCKGIGQYHFLKFGSGWMKLQKFEYENEQGFWSFFHRDRDPSYKADTYRYDLLCESLKDLRLAHLVTEPEGPEIGLRFLLGKCKALERLCLEYVSGLIDKEXVYFDSLKCDPNIIHNPKPQNQISXPLNCXNRCNLAPSAVLEGGFADVAPMXRYXLSLCSTXRXRGNYMALELKKCVGPISHSHNKKLGPLTYGTSMSPSFFLLPLSLLSLPQCAAAPRRIRARCRRQPRRTARQLLHFGIRVLILEGRARPGGHVYTTHLGGGQAAVELGGSVITGIHANPLGVLAQQLGIPLHKVRDRCPLYHHDDRTVVMKLNRGMDLVFNTLLEHAEERGVLDWHLANLEFSNAGCLSELSLAPWDQDDHCFLAGRNARCFCTAPLGALKSRSIMLEPELLERKLEAIQRLGFGLLNKVAMVFLPPAEGEEEGERREREEGGRTKETWRTDMWAHISFCVNDXWVHAFFNSNTTXVPRHRHVERRLGQYRHVGASSAKPLRESNCTGFNSLWVKISGFAVPGYELDSGHIXGSQSELIPIDNDLIALSQTCKNLKSISLWLNPEHYNVGDDIVFRTGFTDGSLKK >ORGLA02G0349300.1 pep scaffold:AGI1.1:ADWL01005773.1:126:3960:1 gene:ORGLA02G0349300 transcript:ORGLA02G0349300.1 gene_biotype:protein_coding transcript_biotype:protein_coding FMMAGDGVKQREILQEVTSEITGPMVVEDVVYENSDEDQSSMTEKMFRRLIFKRNSGLVQSEALLVKDSTSDKADENNKKSPSASKKRRNQKKGPSGSKTVLRIDHSYLGSSYHSSIISGLSLIASALDSAAVAGTKVSTTVIGLGAGTLPMFLRGCLPFLDIKVVELDPLVEEVAKKYFGFSTDEQLQVHLGDGIKFIDDIAVANSGATTQQLMSTGNENNAVKILIVDVDSSDVSSGLSCPHANFVEDSFLLAVKKFLDEGGLFIINLVSRSSAVREMVVSRLKAAFEHLYSLHLKEDLNEVLFATPSERCLDNNNMDEAVAKLKAMLKFPVNVESDMKKLQKLQ >ORGLA02G0349200.1 pep scaffold:AGI1.1:ADWL01005771.1:2530:4558:1 gene:ORGLA02G0349200 transcript:ORGLA02G0349200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFIYPPRLTCSDNRRSSGIARDYGRRRRDSGHHARGAVHRLRRRRRCPQVSLQHVEIPVPSVKKHEVLIKVEAASVNPIDWSIQKGMLRPFLPKFPFIPVTDVAGEIVEAGSAGHELKVGDKVLSKLNFWKGGGLAEYVAAPESLTVVRPAGVSAVDAAGLPVAGLTAVKALMSIGTKFDGIGGTGANVLITAASGGVGTYAVQLAKLGNHRVTATCGARNMDLVRSLGADEVLDYNTPQGAALTSLASDEKYDYIINTAKNVNWSAMKPTLSSRGRVVDITPNPGNYVAAMLTMFARKKITMMALMSLGKEEMRFLMELVGEGKLRTVVDSRCPFEKAAEAWEKSMGGHATGKVIVEM >ORGLA02G0349100.1 pep scaffold:AGI1.1:ADWL01005770.1:11795:14276:-1 gene:ORGLA02G0349100 transcript:ORGLA02G0349100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPDIPAFGNWDTTGNTPYTQKFENARKNKKAGISSHPNDPRRHPEPPTKSPLHPAYTPDAQGQSPMNPQHGRRQEADPHRRHSLSQQREVGGGTGSAPRSPYRMVHGSASPAQPNNPSKPKHRSSGMQTPERRASSEGHGQHTPRRSRDKQGGRGYDAPEDDVAVPPFGEWDEGNAASGEKFTGIFNRVRDDKLSPNTSTRQPDTNRSQENKVKQTCPCCIL >ORGLA02G0349000.1 pep scaffold:AGI1.1:ADWL01005770.1:8820:10881:1 gene:ORGLA02G0349000 transcript:ORGLA02G0349000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRQCGNRALLAEGSSTVGVVHGRKTRGGISTVTSSRRRSHGGVRFHRCCPPRAHLWRKGDHLPLHHAKIPARCSEIKVHTVLQDSDALSSIREWSRSHLVTMTGLVACAVLVVPSADAVDALKTCTCLLKECRIELAKCIANPSCAANVACLNTCNNRPDETECQIKCGDLFENTVVDEFNECAVSRKKCVPQKSDVGEFPVPDPSALVKNFNMADFNGKWYISSGLNPTFDTFDCQLHEFRVEGDKLIANLTWRIRTPDSSFFTRSAIQRFVQDPAQPAILYNHDNEFLHYQDDWYIISSKVENKEDDYIFVYYRGRNDAWDGYGGAVLYTRSKVVPESIVRELERAAKSVGRDFSTFIRTDNTCGPEPPLVERIEKTVEQGEKTIIREVQEIEGEIEGEVKELEEEEVTLFKRLTDGLMEVKQDLMNFFQGLSKEEMELLDQMNMEATEVEKVFSRALPIRKLR >ORGLA02G0348900.1 pep scaffold:AGI1.1:ADWL01005770.1:3157:7761:-1 gene:ORGLA02G0348900 transcript:ORGLA02G0348900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLAEDAADAPLDAAAIRSRLERLALSRRGEEEASSAATAAAEEAVRGLPSVEDVEPLQGLEFDAWASSAAPMESDFDAFMEWLSKEISLAEEENRKLSVEISSVAETTLKDSIQLDADIAELESSLKKIDSEGLKHLEASHIAELSVSTDSCRDQPKIDKDYKYEVLELNQQLEKYENDLKLLENQKSAEAMWELESMLSEANVLDFKDNCLRVFLKEAVLTPECLMYGKVSDCSVNSFVSDHELLIEVGENMEPKKVQIFPDDTCVDILIDKLKASREIISSTSLGWIIRQFQHHIIINTLRRSLVKDANNSRHSFEYIDKDGTILAHLAGGIDAFIKISADWPLSSFGLKLISIHSSRAQSADISLALLCKTKELANGLELQTRRHLVKFVDAIEDILFREMRS >ORGLA02G0348800.1 pep scaffold:AGI1.1:ADWL01005770.1:22:1513:1 gene:ORGLA02G0348800 transcript:ORGLA02G0348800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] desaturase [Source:UniProtKB/TrEMBL;Acc:I1P682] FTSIFCRVKTAKKPYTPPREVHLQVKHSLPPQKREIFDSLQPWAKENLLNLLKPVEKSWQPQDFLPDPSSDGFYDEVKELRERAKEIPDDYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTTWAVWTRAWTAEENRHGDLLNKYMYLTGRVDMKQIEKTIQYLIGSGMDPGTENNPYLGFLYTSFQERATFISHGNTARHAKEYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKISMPAHLMYDGKDDNLFEHFSAVAQRLGVYTARDYADILEFLVQRWKVADLTGLSGEGRRAQDFVCTLAPRIRRLDERAQARAKQAPVIPFSWVYDRKVQL >ORGLA02G0348700.1 pep scaffold:AGI1.1:ADWL01005769.1:711:1948:1 gene:ORGLA02G0348700 transcript:ORGLA02G0348700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAATPPAMMRAVQYDACGGGAAGLKHVEVPVPSAKKNEVLLKLEAATINPVDWKIQKGMLRPLLPRRLPFIP >ORGLA02G0348600.1 pep scaffold:AGI1.1:ADWL01005767.1:8076:10898:-1 gene:ORGLA02G0348600 transcript:ORGLA02G0348600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDIAGDGEPSSPPPMPVPDGLDDNGFPLPSSPTAAAAATSFADDFYRSGTDWSSLLAAPSPSPSSEESGKNKPGGSLVQRSLFQAWGIDKRPRREEEDAGVAGGAGAGVGASSAPSGSWPGRKRRRGGVVEAAADRKPLACPFYKKIPGTPFTVDAFRYGAVEGCNAYFLSHFHHDHYGGLTKKWCHGPIYCTALTARLVKMCLSVNPEYICPLELDKEYVIEGVSVTLLEANHCPGAALIHFRLGDGKTYLHTGDFRASKSMQLHPLLQRGQINLLYLDTTYCNPKYKFPPKEDVIDFAVRTAKRYLQKEPKTLIVVGAYSIGKENVYLAISKALQVPIYTDASRRRILHSFGWSDLSKTICSDSQSSSLHVLPLSSLRHENLQKYLETLKQRFLAVLAFRPTGWTFSEATGNQLDLIKPSSRGKITIYGVPYSEHSSFSELREFVMFLRPQKVIPTVNVGNAASRDKMQAHFREWLKGL >ORGLA02G0348500.1 pep scaffold:AGI1.1:ADWL01005767.1:2877:6214:1 gene:ORGLA02G0348500 transcript:ORGLA02G0348500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1P679] MSSSLEVEGEGSPAAVEQTATASRLKRHDSLFGDAEKVTGGKHHGSAVSWAITLHLAFQSVGVIYGDIGTSPLYVYSSTFPDGVGSSGDLVGALSLILYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDAMVSNYSIEAPNSQLRRAQWVKHKLESSRAAKMALFFLTILGTSMVMGDGTLTPAISVLSAVGGIREKAPNLTQTQVVMISVAILFMLFSVQRFGTDKVGYSFAPIISVWFLLIAGIGLYNLVVHDITILKAFNPWYIVQYFRRNGKKGWVSLGGIVLCVTGTEGMFADLGHFNIRAVQISFNCILFPSVALCYIGQAAYLTKFPENVSDTFYKSIPGPLFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCMPRVRVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTNIGNAYGICVVMTFMVTTHLMTVVMLLIWKKHLVFILLFYCVFGFTEALYLSSILSKFVQGGYLPFCFAMVLMTMMATWHYVHVKRYWYELDHIVPTGEMTSLLEKNGVRRVPGVGLLYTELVQGIPPLFPRLVRKIPSVHAVFVFMSIKHLPIPHVAPPERFLFRQVGPREHRMFRCVARYGYSDALEQPKEFAAFLVDGLKMFIQEESAFALADAAPPALPENNAADDEPARPRRSTSSAVHSEEAIQATSSGRTTSVQLQAGGEPAVMDVEEEKRLIDREVGRGVVYLMGEANVSAGPKSSILKRIAVNYIYTFLRKNLTEGHRALAIPKDQLLKVGITYEI >ORGLA02G0348400.1 pep scaffold:AGI1.1:ADWL01005765.1:18028:19111:1 gene:ORGLA02G0348400 transcript:ORGLA02G0348400.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKVNLKGNQILLRLWYWEKFRVSHIDPSTARVRPLIQYWDEKKARKREKIMYGVGQVIRVLAPGNRHETVISGTSFYRSSCGLFMLKCMEHWNGSKLTTKFKKIFRRKLAAILVGSASNDNTEIPTYNK >ORGLA02G0348300.1 pep scaffold:AGI1.1:ADWL01005765.1:9640:13332:-1 gene:ORGLA02G0348300 transcript:ORGLA02G0348300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQEMPQSRIFGQPPPPTQQQQQQQQQRGFGFGGAVPSPRGMPMAAGAAGPHLAVQQKQPTTTLAPPPPPPQQNQGSSSSARGMAAAAEQIAYEDAWKACNPDITTPFASVEDAISRLLPYHVFAEYEEDEIYAEDQPPAKDTSSVQEWDDDREAEAIRMAEEFEKQVLTFNVAVRKSAAGAARAEERLMVENLLLADEQRQSEHVRALVRQQQLVALQKQQQQQEKEAAALQRQLMLEQQQQQQAALQQMMAMEQRQQQQQQQQMMSALQHQRQPAIVMPQQGHSSAAALDAFLDAYAEVGPPRQQHRHGVQAPQQPESSSSGPAYWGPAHAVPPPPQENGAGALRE >ORGLA02G0348200.1 pep scaffold:AGI1.1:ADWL01005765.1:4541:7716:-1 gene:ORGLA02G0348200 transcript:ORGLA02G0348200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSSSSSRRCRSRRSSSGDSDAAAAPSRRGMPMAAGAAGPRRLAVQQKQKQPALVPPPPTQQQTQGFGGVGGAGAAALVVGSSSSARGMAAAAAAAEWMAHEDAWRACNRDFATPFASVEDAISRLLPYHVFAEYEEDDIYVEDQPPAKDKSSVQEWDDDHEAEAIRMAEEFEKQVVTFNVAVLKSAAGAARAEERLMVENLLLAYERRQSEHVRALVRQQQLVALQKQQQMIAEQRQQQQQMMAALQQRQQPATIMPAQGHPGAMDLFLDAYAAGEQSAYSWMTAAHAVPQPQSQPRXQQPDA >ORGLA02G0348100.1 pep scaffold:AGI1.1:ADWL01005762.1:1641:3344:1 gene:ORGLA02G0348100 transcript:ORGLA02G0348100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMTISKGNRHNSEGTGVLPRAEIVHDEHPLSLGKTSVWNQFFQESETVEQIDRDVKRTHPEMQFFNGDSSDALSNQESLKRILTIFAKLNPGIRYVQGMNEVLAPLYYVFKNDPEENNAESAEPDAFFCFVELLSGFRDNFCKQLDNSVVGIRSTISKLSQLLKRHDEELWRHLEVVTKVNPQFYAFRWITLLLTQEFKFRDCIHIWDALLGDPEGPQATLLRICCAMLILVRRRLLAGDFTANLKLLQSYPPTNIDHLLHIANKLRGPIPY >ORGLA02G0348000.1 pep scaffold:AGI1.1:ADWL01005758.1:13863:17086:-1 gene:ORGLA02G0348000 transcript:ORGLA02G0348000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor [Source:UniProtKB/TrEMBL;Acc:I1P674] MNAFRLAGDMTHLMSVLVLLLKIHTIKSCAGVSLKTQELYALVFATRYLDIFTDFISLYNTVMKMIFLGSSFSIVWYIRRHKMVRRSYDKDHDTFRHQFLVLPCFLLALLIHEKFTFREVMWTFSIYLEAVAILPQLVLLQRTRNVDNLTGQYVFFLGAYRALYILNWAYRYFTEPHYVHWITWISGFVQTLLYADFFYYYLNSLKNNVKLTLPD >ORGLA02G0347900.1 pep scaffold:AGI1.1:ADWL01005758.1:7168:9646:-1 gene:ORGLA02G0347900 transcript:ORGLA02G0347900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGGGGGVGVGGIGGGEQILWDWQAAEQCESNAANHDVSRFMWDCLNQDDDDLLGLLGNQTPLRDCRGFFDIDDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTMGTSEVSEISLLCTDEPQSFKWDSQNNSNNFDSLSTGAFYQPSNSHSKNCSDENQMHFRHDQMHSSQESVTYTNDQSGISGTTENDSVTESLLMQETRKLSTLKVSKGTSLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVIGKTRIRTDGGRGSITILRTKG >ORGLA02G0347800.1 pep scaffold:AGI1.1:ADWL01005758.1:888:5074:-1 gene:ORGLA02G0347800 transcript:ORGLA02G0347800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit H [Source:UniProtKB/TrEMBL;Acc:I1P672] MANPAAAAGPSGGARSFLQAVSTVTEEAPSPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPMREDDEEADADGANYQLEMMRCLREVNVDNNTVGWYQSCLLGSFQTVELIETFMNYQENIRRCVCIVYDPSRSNQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMTELEPESPVSQCDFDRLKLSTAPFMERNLEFLIGCMDDLSSEQNKFQYYYRNVSRQQSQQQAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLEGYLVTNQISSYCNHINGVAGQNFNRLYLMKALQED >ORGLA02G0347700.1 pep scaffold:AGI1.1:ADWL01005757.1:1217:2251:-1 gene:ORGLA02G0347700 transcript:ORGLA02G0347700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEGNAGFTLYDRQEIETATNNFNKAHIVGEGGQGTVYRAMLGGTAVAIKMCKEIDESRKMEFVQELVILCRVNHPNIVKLLGCCLQFEAPMLVYEFVQNKTLQELLDLQRSRRFHVTLGTRLRIAAESAEALAHLHSLPHPILHGDVKPANILLAEGLVAKVSDFGCSTIDESTQTVPKGTPGYIDPDYILEYQLIAKNDVYSFGVILLELLTGKKPLSKERKSLTSMFQEAMVNGTFRELLDSDIVDEASMRVIHRVAVLASRCLVVPGTTRPAMALVAEELRRLALADEVQRYPQPPLVLEGLSFVDTGSTISIWYDESKTSGVYSLENKAVLSTEFAR >ORGLA02G0347600.1 pep scaffold:AGI1.1:ADWL01005755.1:109:4805:-1 gene:ORGLA02G0347600 transcript:ORGLA02G0347600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNLIITSDSDSDDGGGGGGAATASTPASASASASFPSVSGGGGGCGDGWPSPQNPRSVPVQFPSPSSPPPSPPIEISDEEEAEAEVVVEEEEEVVVVEDEEEEYEEVEEIEDPDGDSPFVDAPEHISPPPPPPPPARTPMPTPTPTPTPTPTPTPTRPLPVWAAPLPARTPTPTPSAPPRAAAPSPAGTPSPSPIPPSSTPVSALSGPLRQVDEFLRGLGLRLRPEWLESCAAGVPGFYGLGGVEAMARRCFEQFLFADMNACGAGVLPEGVGSMHNAVLDGPFVLQVDEIVNLSAPLRERYRDAHAGPKRCLKLSMTDGIQRIYGMEYRPIKDLEVLAPAGFKIVIRNVHIRRGLFMLVPEVIEILGGVDDELDEARNRLVSEVNKPPRGKRKQGGLPLSSRATLAAWPTNANATNDAEQGASVPRTVNTPHPTRLGNASHASQVGRTTQPMVDNLIPHVVVSNAQEQSRHIQEITMQGQPTSLNRHNKEASASTYRYNAQCSISGTTRAMADEHVLVSNAQEQSPHIQEITMQDQSTSLNGRNKEASASTSYRYNAQCSISGTTRAMADERVDPSFVGNNVHEQMQRVQGITMQDHISASSESKRELSVTTPSAYDSRLAPHGVGNTGTRSGEATRSSNVDDGINNIGHPISLCGENEKPFTYIFNMLADWGVQQDTVPYIQGKIKGLITSVKRFQYKQSMQYDLYVYIDDGSFITEAFVDRDIVQNMIGLSAEELAAALSSGGPAQANIRKTMKAFEHFLVNFEIT >ORGLA02G0347500.1 pep scaffold:AGI1.1:ADWL01005754.1:4558:4953:-1 gene:ORGLA02G0347500 transcript:ORGLA02G0347500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGRRAVAVAGAGAGGGRAGGEAADGGADEAGVGAPGVRGAGEGDGAGGAGARGAGVRARPGDLGARPRGGGARRAHEGDRRPPPRHRPRRRLRRPRDHLPRLHAALPPLASFMHRRHGDGVRTQLGRHCC >ORGLA02G0347400.1 pep scaffold:AGI1.1:ADWL01005754.1:1520:2918:1 gene:ORGLA02G0347400 transcript:ORGLA02G0347400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKMIVRVSQGHGCIDHKLKCNLIEPPQVVSGNRAGNQKGCRISKTMRRFVLTEIMGRRIHGQCAQCLQPPAATILYPGTVRSGGQNLGLLQSLYGYRYAFVTVPQGQPSHGLGHQPNTPKFKASGIITV >ORGLA02G0347300.1 pep scaffold:AGI1.1:ADWL01005751.1:930:2442:1 gene:ORGLA02G0347300 transcript:ORGLA02G0347300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VWENYEEVEVSNVSLYDNKETLKRCDNSWNRAMIIGECQGVEGPEFEVFYIDYGNQELVPHSCLRPINLSISSIPPLAKLCSLAFVKVPSLNDYLGQEAAMYLNSILLDNGREFEAIVEERDAASGGKLQGQGTGEILGVTLLDSETDNSINAEMLERGYGQLERRRWDSRERRAAIKKLEEFQEVARKEQLGVWLPK >ORGLA02G0347200.1 pep scaffold:AGI1.1:ADWL01005750.1:512:1935:-1 gene:ORGLA02G0347200 transcript:ORGLA02G0347200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEWWSFELLVLLSGLLPNPKLEASVLSICLNSGSLAFMIPFGLGSAISTRVSNELGAGRPEAARLASRVVMVLGLGVGVAIGLAMILVRHLWGYAYSNEEEVVQYVAKMMPILAVSFLFDDLQCVLSGVARGCGWQKVGAIVNLGAYYLVGIPAALCFAFVYHLGGMGLWLGIMCALIVQMLLLLAITVCTNWEKEALKAKERVFSSSLPADMTT >ORGLA02G0347100.1 pep scaffold:AGI1.1:ADWL01005749.1:4266:6148:-1 gene:ORGLA02G0347100 transcript:ORGLA02G0347100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLQLENKVARSKGRVALTTNMVLGGTVTDDASDEWLVLDQKVNSYPTNRGFTAIGTGGDDFVQSMVVAVESVLQEPIPKGQVSHKLSSRGKYVSVKIGPIRVVSSEQVQAVYRAMRSDNRMKYFL >ORGLA02G0347000.1 pep scaffold:AGI1.1:ADWL01005749.1:767:3306:1 gene:ORGLA02G0347000 transcript:ORGLA02G0347000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAPKACGHTEGRKVISREDAIMHIKAAVDARKESGSDIVIIARSDSRQAISIDEALWRVQAFADAGADVLFIDALASIEEMKAFCAVSPKVPKMANMLEGGGKTPILSPAELQEIGFSLVVYPLSLIGVSMLAMEDALIAIKSTGAPRPGSLPSFQEIKDTLGFNRYYKEEKQYATVQQAQPSSTNIVLRLKITEKSGTQKINEGIPAGILEKISKAIPGLAGVNFTEILQGADQSQKGKLLLDREDATGDRIQVSIE >ORGLA02G0346900.1 pep scaffold:AGI1.1:ADWL01005748.1:1016:2854:1 gene:ORGLA02G0346900 transcript:ORGLA02G0346900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLLVILLQALTGLLVILALWIVSHLAGDRPPPGPVVCAANGTSGCTLTNIYGSFSDRAICRAANVTYPRTEVELVAAVAAAVAAGRKVKVATRYSNSFPRLACPGGEDGTVISTRWLDRAVRVDAARRLMTVESGMVMRDLIREAAAAGLALPHSPYWSGLTIGGVLATGAHGSSLWGKGSAVHEYVVGMRIVTPAPASEGFAAVRELAAGDPDLDAAKVSLGVLGAISQVTLALQPLFKRSVAFVTRNDSDVADTVAAWGRLHEFGDVAWLPRRRVAVYRVDDRVDVATPGDGRSDYPAFRPTPTIPLVASRLAEEWLEERSGSDAARCAASRVMPATLEHLNYGLTNDGEAFTGYPVVGYQHRIQASSSCTGAMEDDGLIPTSTCLWNGRLRGHLFYNSGFSIALSRARAFVADVARLRDLNPAAFCQIDSKMGLLMRYVAASSAYLGKAEDSVDFDVTYYRSYARGAPRAHADVFDEVEQMALRKHGGVPHWGKNRNYAFDGAIARYPNAGEFMRVKDRFDPDGVFSSEWSDQVLGVGGASPVIVGDGCAMEGLCVCSDDSHCAPEKGYFCRPGKVFTAARVCSPSPRDAANGGDDDDEISDVGDE >ORGLA02G0346800.1 pep scaffold:AGI1.1:ADWL01005748.1:18:374:-1 gene:ORGLA02G0346800 transcript:ORGLA02G0346800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRLPESGWIKLNSDGGFAADEQMGSGGVIARNDRGEFMGASRIFFGEVLSATHAEALACLEATQVGARLAATRVVFETDSAEVVSLVMNKSFDRSEIGPVIQELKRRIQSFQDFKLI >ORGLA02G0346700.1 pep scaffold:AGI1.1:ADWL01005745.1:2083:5598:-1 gene:ORGLA02G0346700 transcript:ORGLA02G0346700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGEKVRAFATNRWLVFVAAMWLQSMAGIGYLFGAISPVVKAALGYNQRQVAALGVAKDLGDCVGFLAGTLSATLPAWAMLLVGAAQNFLGYGWLWLIVTRQLPALPLSMMCLLIFVGTNGETYFNTASLVTCIQNFPKSRGPTVGILKGFAGLSSAILTQLFAVLHTPDHATLVFMVAVGPSLVAIGLMFVIRPVGGHRQVRPSDNNSFMFIYTICLLLASYLVGVMLVQDFVQLSDNMLVFITAVLFILLILPIAIPVTLTFSSKTEHPMEEALLAEPSKGQASTSQEKEPDVFLSEVEDEKPKEIDSLPPSERRKRIAELQARLVQAAARGGVRIRRRPHRGENFTLMQALVKADFWLIWLSLLLGSGSGLTVIDNLGQMSQAVGYKDAHIFVSLTSIWNFLGRVGGGYFSENIVRERTYPRHIALAFAQILMAAGHFLFAMAWPGTIYVATFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLTVANPTGSLIFSGVIASNLYDYEAEKQAHHQSSLSGRSLFDMSFLAEGPLKCEGAVCFFVSSLIMSAFCIVGAGLSLIVVHRTKRVYANLYRAVR >ORGLA02G0346600.1 pep scaffold:AGI1.1:ADWL01005744.1:6655:8002:-1 gene:ORGLA02G0346600 transcript:ORGLA02G0346600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRRRSPSPSPAPSDSDSNPASSAPANAGAAAAAAEAPEWKKVSAKRFGIKDSMIPDEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVIIQITKLLILMK >ORGLA02G0346500.1 pep scaffold:AGI1.1:ADWL01005743.1:2606:2998:-1 gene:ORGLA02G0346500 transcript:ORGLA02G0346500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSKQALATPLSLFLLHLLLAALALRLVAVASASVVISVAEEAENNATAPWTTEERLVVVVELGSAAARQLQLGGGVELHHRRRELAGKIPFGPLRPDGSACRPHCPAKSGLPYTRDCKVIYLCGRGR >ORGLA02G0346400.1 pep scaffold:AGI1.1:ADWL01005742.1:4885:9055:-1 gene:ORGLA02G0346400 transcript:ORGLA02G0346400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRRPPAAPHRTPIHHDKRLHLFSTHNTRHRATVSSLPVTCLRIRYSSSNPVRHLCGIPSSRCHAAADPAPSKIPGGGSGALEAGVVGWRDLLLQVGEVLSLGFPVWVASACAVALWRPPAFLWVSPMAQIVGISFTMLGMGMTLTLDDLKTALLMPKELASGFLLQYSVMPLSGFLISKLLNLPSYYAAGLILVSCCPGGTASNIVTYLARGNVALSVLMTAASTFAAAFLTPLLTSKLAGQYVAVDPMGLFVSTSQVVLAPVLLGALLNQYCNGLVQLVSPLMPFIAVATVAVLCGNAIAQNASAILSSGLQVVMSVCWLHASGFFFGYVLSRTIGIDISSSRTISIEVGMQNSVLGVVLASKHFGNPLTAVPCAVSSVCHSVYGSLLAGIWRSLPPNDKGQ >ORGLA02G0346300.1 pep scaffold:AGI1.1:ADWL01005742.1:2448:4240:-1 gene:ORGLA02G0346300 transcript:ORGLA02G0346300.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDGVAWSCSRRLKNVRHSGKAACELSRRRAPADEDRELLRPSTFHRRSPPSIRLLTPPVLRRYFASLPSIPAAAAQRGCPRRRWRGSRRRVRHSTTRRWSWSDAA >ORGLA02G0346200.1 pep scaffold:AGI1.1:ADWL01005741.1:2359:4413:1 gene:ORGLA02G0346200 transcript:ORGLA02G0346200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFAADLDPEVHNLNARLLEISRMLQSGLPLDDRPEGARSPSPEPVYDNLGIRINTREYRARERLNRERQEIISQLIRRNPAFKPPADYRPPKLQKKLYIPMKEFPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDMKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEPGHRQYACPNRTSTFKSEVQCKICGDGGHPTIDCPVKGTTGKKMDDEYQNFLNELGGSAPESVTKSSGPMLALTGSGGSGGSSGGVGAGSGSNPPWAAGGGAAASGANGIKKEYDETNLYIGYLPPTLDDSGLIGLFSQFGEIVMAKVIRDRITGQSKGYGFVKYSDVSQANVAIAAMNGYHLEGRVIAVRVAGKPPQPAVPPGPPAVPAPPTYPPADPAAGGYTSQPYMGAPPPPPPGSYAPVPWGQPPPYASYPPPPPGSSMYNPPPPAPGQATPPPYGVQYAPPPAPIPPPGTAPSTDGAQNYPPGVTPPSSGAPTQPVPAPVYGTSGAPNAPPMYPPPPYGYASYYPSVTPVQPPPPPPPAGADPSQSLANAPWATHSAPPPPPSGADPSQSIANAPWATHSAPPPPPATADHSQSIASAPWATHNAPPPPPPPSSIEQPPATYGADAEYDKFMSEMK >ORGLA02G0346100.1 pep scaffold:AGI1.1:ADWL01005740.1:1258:3852:-1 gene:ORGLA02G0346100 transcript:ORGLA02G0346100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLAREPAEESKDETVDKELLMKLKTSQSTDPFVRKFKTEKKEMRTQVAFGQGNSSYARSFPMQSSADGSASKLPKEYVEPWDYTHSDYPVTLPLRRPYSGDPEILNEEEFGESSATGAQDGELTTAEELGLMHRSDKAQLLFFQMPASLPLPKQPDSVAETDKGDGVDAEPTSTTSKEMHAGTRPPKVLGSKLKDLPGGFMGKILVYRSGKVKMKIGDSLFDVSPGSNCMFVQEVAAINAREKHCCTLGEISKRAIITPDIEHLLDSFDKMEA >ORGLA02G0346000.1 pep scaffold:AGI1.1:ADWL01005739.1:4226:4633:1 gene:ORGLA02G0346000 transcript:ORGLA02G0346000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNYHPGLTKDRCQVNMAQGKQRKEAGRERRGSLSGADEGQRRRELGGGAPAMTGEYGGMVEHLLDLAKGTEGLGREEEP >ORGLA02G0345900.1 pep scaffold:AGI1.1:ADWL01005736.1:1254:3245:1 gene:ORGLA02G0345900 transcript:ORGLA02G0345900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRFRNKAAGDGSRPASSPNAGKFAAPVAGGGGGAADVADESPDQDSTRNGSKDESFFEARPWLDSDSEDDFHSVRGDFTPSRGTTPDHQRQSPFAGRISVDRSEPSLIEKKQRLLELLQEKQHYDDDSVADVGSEIENGAVHAEEYLKSSRKGAKANKASKSRGGCFPSSFWKIKFRSCRKKRKEQND >ORGLA02G0345800.1 pep scaffold:AGI1.1:ADWL01005735.1:468:677:-1 gene:ORGLA02G0345800 transcript:ORGLA02G0345800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSQIWKQSKFGTIGYLKFLSCTRGFPKVFRTPSMSLVYGFRLPTSCTK >ORGLA02G0345700.1 pep scaffold:AGI1.1:ADWL01005733.1:3620:6008:-1 gene:ORGLA02G0345700 transcript:ORGLA02G0345700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDTVAELAQLSGANLVRHLQTTNRMADYEVAAHVLGERERRAAETEACLQAKIDALQMECNLLAKECAYSEGGKKTNIDSNKNEQPPSMGLISTGGSPIAPALVATSCASVPDMSPHLVEESNKGNIEMQNQLSHLHLNNLMNQLSFDVWMEESGGPFMSEQVPFSLVLPSSSKSASLLSKIETSLWESEENMVIRFMENVELCMGAICALYRQKKLMVESTCEERTKFTSLNESQAYRATQLAEFLLDGDINGPMKKNKEDLVNRMLQGPNSSRNMQYSALSSCLTFTGIKRIYTFV >ORGLA02G0345600.1 pep scaffold:AGI1.1:ADWL01005732.1:4247:5444:-1 gene:ORGLA02G0345600 transcript:ORGLA02G0345600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVISADLKCCRCKEKLSKILCSLRDKYGIEKTEYEDKDDRVIVRGNFPTDKLRSVIWCKAGRKLIRDITVVDVWPPPPPPPKKPETAGGTTPAPAVANPPPAATVAATPPKVDGAGNKGEKQPKTTTPPPPPPPVPLPYPWPPCYYPPMQHCQTSSTTWTGSCPTQCHCCPKPPPPETKTKACEYCSRDHCHGGCKITPPPPPPSRCGGCDHADCGGWCGGQPPINCPAPPPPCCQQQPWGGGCQYDENACSVMXFLSEHIHY >ORGLA02G0345500.1 pep scaffold:AGI1.1:ADWL01005732.1:2:616:-1 gene:ORGLA02G0345500 transcript:ORGLA02G0345500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVITVNMQCCRCKEKIDKILNCLRCKHCIEKIEYEGEKVIVRGGFCAEELRKCIWRKAGCKVIVSIVIVEVWPPPKPKPVEVNVSVKTEVTAEAKAAAEAAARAAVAVVIKERGLQQHCGGKPPGCKMVPFPYPVPYPVPCKCCPPPPPPPC >ORGLA02G0345400.1 pep scaffold:AGI1.1:ADWL01005731.1:2:6615:1 gene:ORGLA02G0345400 transcript:ORGLA02G0345400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRKFRGFGLHSHRERKDHRPPPAKLDELADAAQEMEEMRNCYDSLLSAAAATMNSVYEFAEAMEEMGTCLLEKTALNYDDDDSGRVLMMLGKAQFELQKFVDNYRTNIINTITNPSESLLKELQVVEEMKELCDHKRQEYEAMRAAYREKGRSRHSKTETLSSEQLQAYFLDYQEDAALFIFRLKSLKQGQFRSILTQAARHHSAQLSFFRRGLKYLEALEPHVKAVAEKQHIEYPLNGLDDDTDNDEYSSYQGNQSDDSELSFDYEINDRDTDFPASRSSMDLDQSNQACSPEPLKEHKQEYAEQIQADFAAPRVKLEIGTQSAPISADNVFDPSTRFRKMNTSNRTNYSYKLPTPDDDKNSTSAHTNRSPHSDQPESKSHVAENLWHSSPLVKGFKPNSMFSGPVKMPSSTEGISAPLVYPYATSDFKKMKREAFSGPIPSKAGLNKPLFSATDLRAPMNYPRAMSTKSYGPGWQSSVAPKFTPRITSLPTTSPRISELHELPRPPANVGAARPGLVGYSGPLVSRRQVPNVLTRASPPSQTASPLPRPPAAMTRSYSIPSNSQRTPILTVNKLLEARHSRESSEVSSPPLTPISLADVSRRSTAETALEKTRMMETL >ORGLA02G0345300.1 pep scaffold:AGI1.1:ADWL01005730.1:3606:4500:1 gene:ORGLA02G0345300 transcript:ORGLA02G0345300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFALDFVTLWALAAKSGLGYDTLLKQYALELGPSTSNVGSAPDHQEAEYQLDQSAFDQEGGNEIGEDEGNDFYQEGGNEIPKEEGNSDDADGEYGMSESDYEDIDLWLI >ORGLA02G0345200.1 pep scaffold:AGI1.1:ADWL01005728.1:4005:4346:-1 gene:ORGLA02G0345200 transcript:ORGLA02G0345200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTKEFIDELHKPLTAEAKARMVADGKKKVQLQEKQEEDGTALRQGSRLSATDLIRYTLVGIRFAFTAAMHYYHYTQMQMVPELTGTTFGVFYNCFHYFFIAIIILASYMGI >ORGLA02G0345100.1 pep scaffold:AGI1.1:ADWL01005728.1:2731:3188:1 gene:ORGLA02G0345100 transcript:ORGLA02G0345100.1 gene_biotype:protein_coding transcript_biotype:protein_coding YCQVMLRMGSTCRRHKPATLGANKPKCLAEVEEEEAKAEEAHKENTMVGGCYR >ORGLA02G0345000.1 pep scaffold:AGI1.1:ADWL01005727.1:3093:4986:1 gene:ORGLA02G0345000 transcript:ORGLA02G0345000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSSKSCKYXXMQRTEEIPLLWKLQKYTRAFQLYMPXGYPRKCLCRRSMPKIILTSGVRIAIGVVAGALVALFGFLGWGVIRYKQRIKKQALLRQADEFFQQHGGQLLLEMMKVEGNAGFTLYERERIKTATNNFNKAHIIGEGGQGTIYRAVIDGTTVAIKRCKEINESKKMDFVQELVILCRVNHTNIVRLLGCCLQFEAPMLVYEFVQNKTLQELLDLQRSKRFHVTLGTRLRIAAESADAFAHLHSLPHPILHGDVKPANILLAKGLVAKVSDFGCSTINEKTQVVPKGTPGYIDPDYLLEYQLTASNDVYSFGVILLELLTSRRPFSKERKSLTSMFQEAMANGTLVELLDSDIVDEASMQVIQQAAVLANQCLVVPALADEVQQYPQPPLVLEDLSFTGIGRTSMSTWYGGSKTSGVYGLSKKAVPSIEFAR >ORGLA02G0344900.1 pep scaffold:AGI1.1:ADWL01005727.1:1771:2841:1 gene:ORGLA02G0344900 transcript:ORGLA02G0344900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLAAVGALPPPPGSSNCSTACGGVDIPYPFGIGPAGCALPGFELTCRDTSNGGKKPFLGHGGHFELAGVSLPDGQARVWNNISSYCNDTSQTIMDVVSFADPYRLSQAGNTFTVVGCHAVAIVGVGDSADNIVRFLSGCVATNCGRRGGRLADGACSGAGCCQTTITKGFNAYQVEFQNYSTVFNSSKDIYNVSRCSYAALMESSSFSFRRSYATSSEFFDANGGKVPMVVEWAVRNTSNCVEARKNRESYACVSMNSACVNSSSGLGYICNCAKGFEGNPYLPNERDDIVESVV >ORGLA02G0344800.1 pep scaffold:AGI1.1:ADWL01005717.1:2984:4348:1 gene:ORGLA02G0344800 transcript:ORGLA02G0344800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLVGAREPFVKAQTNLPATMQLKFPTRTQGDSYGALIPGLPEDLAKVCLALVPRSYFPVMGAVSKSWMSFIGSKEFIAVRKEVGRLEERIYALITGDGGKGPYWEVLGSLEQQNRMLPPMPGLTKAGFSVVVLDGKLLVMAGYVVDYGKECVSDEVYQYDARLNRWAALAKMNVARRDFACAEVNGAVYVAGGFGSDGDGLSSVEVYDPQRNKWTIIESLRRPRWGSFACSFNGKLYIMDGRSSFTIGNSRFIDVYDPILHSWTEIKKGCVMVTSHAVIDKRLFCIEWKNQRSLAIFNPSDSSWQKILVPLTGSSTTLFSLGVLDGKLLLFSQEEEPGYQTLMYDPTAPAGYEWHTSTLKPSGLCLCSVTIES >ORGLA02G0344700.1 pep scaffold:AGI1.1:ADWL01005714.1:616:898:-1 gene:ORGLA02G0344700 transcript:ORGLA02G0344700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYNQFSLKASGTPELLSLLITLRSERMRTRVHGTGKAYIYCSMNIIKTIRIAVLAVIGHWDSQWHLG >ORGLA02G0344600.1 pep scaffold:AGI1.1:ADWL01005707.1:463:1308:1 gene:ORGLA02G0344600 transcript:ORGLA02G0344600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVADRIARLQKKVQKKPATAETESNSTEMPSDHEKKQVAGGSQQSAEEEEMEVVLRHRLSLGTGDRDDGGGGAAEQRHRTTPPPAVDLNVPCRDAGQLQ >ORGLA02G0344500.1 pep scaffold:AGI1.1:ADWL01005706.1:768:2841:-1 gene:ORGLA02G0344500 transcript:ORGLA02G0344500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASEPTGAGTPEPAAPFSADWKERILLPAAVAGVVGAGFGLLSRHRVRLGAARATATYAANLAIVAGCYGGARELARDARASTPDDPMNSVVGGLASGAVLGRIQGWGVGETVHLFKEVLGGIGQVSRMVLKSTT >ORGLA02G0344400.1 pep scaffold:AGI1.1:ADWL01005704.1:7226:10356:1 gene:ORGLA02G0344400 transcript:ORGLA02G0344400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPMSYECYNKTKHDMDCVDKANLSLTGSPFTFSNSANKFTVFGCRMLGYLGPGGQSAVGSNLTIGCATSCGQGDDLVSINGEGCSGIGCCQTAIPKGIKHYKVWFDTHFNTSVIHNWSRCSYGALVEEASFKFSTIYATSSNFSNPFGGEPPFVVDWVVANNTCAKARKHLDSYACASSNSVCIDSSNGPGYFCKCSQGFEGNPYLQGHDGCQDINECEDSNKYPCYGKCINKLGGFDCFCPAGTRGDASVGPCRKEFPLAFGIAIGLGVGFGILLLVLTVAFLVRKRKNDIQKQLRKKYFRKNQGLLLEQLISSDECATDSTKIFTLEELKEATNNFDPARVLGSGGHGMVYKGILSDQRVVAIKKPNIIREEEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFVPNGSLNRIIHADPSMREFTLSWDQCLRIAIEAAGALYYLHSAASVSVLHRDVKSSNILLDANYTAKVSDFGASRLIPNDQTHVFTNIQGTFGYLDPEYYHTGHLNEKSDVYSFGVVLLELLLRKQPIFDSESGSKKNLSIYFLSELKGRPVAEIAAPEVLEEATEDEINIVASIARACLRLRGEERPTMKQVEMSLQSIRNKGFRSGTVSPEDSDELQTPQSEGHVDYHQAMGIGINSMANLASPGCYSLQEEFLLSGSLPR >ORGLA02G0344300.1 pep scaffold:AGI1.1:ADWL01005698.1:4861:5394:-1 gene:ORGLA02G0344300 transcript:ORGLA02G0344300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDEAPATDPAPSPSPSPAKRKPDAEATDLAPLDPPPKSARPDADEAAAEEAARARAADKGKGKMVVEDEDEEEEEGGGSDEDSSDDDDDDDEEGGGGGGGGGGDDSDDGFCDDPLAEVDLNNILPSRTRRRAPPQPGAYLVPPEEAAEDDDDEDADVDVDMARGHQAGDGEDSD >ORGLA02G0344200.1 pep scaffold:AGI1.1:ADWL01005697.1:1614:3518:1 gene:ORGLA02G0344200 transcript:ORGLA02G0344200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADQISTGRKRSIHDRIDGDQPAARAGAGGRGARNPPSKRQRQTDEKWKHDLYREDDEPASKSIDPRDLRLKLQKKSSQQSFAGQRGSGVRDLREMLSGTMHPQPVNVDPPKAKPASEIVKVTRRENADVMPVRQSKKVPKPTSSKKTSQPKSV >ORGLA02G0344100.1 pep scaffold:AGI1.1:ADWL01005696.1:3:880:-1 gene:ORGLA02G0344100 transcript:ORGLA02G0344100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGACYSPASCQDGRRKRRRIAGGGGEGSAAAAAAVAGGAEGPANDMFEELPDDLVVSILADVAASARSPGDLAGAMLTCKRFRELGQSKVVLARASPRCLAVRAKAWSDAAHRFLQRCADAGNLDACYLLGMIRFYCLGSRGSGAALMAAAAVSGHREALYSLAVIQFNGSGGSKDDRDLRAGAALCARAASLGHVDALRELGHCLQDGYGVRRSVLDGRRLLIQANAR >ORGLA02G0344000.1 pep scaffold:AGI1.1:ADWL01005695.1:624:2750:1 gene:ORGLA02G0344000 transcript:ORGLA02G0344000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFAGKSVAVSAISMIVRKSFDYLEKYAKAEGMKSVQERLERALPQVQVVFDAIDMERIRDQSEALDAWLWQLRDAVEEAEDVLDEVEYYKLEKKVKTRGNKVSSSLYKCKRVVVRQFNSTFKAGTFKRLLDAIRKLDEIVVGVERFVLLVDRLDSCTSRQYVIRRLVILVRLAPSVLMKLXLAVILRGIRLLNGXLNKTTFMTIMFVLXMLFLXLAXVAWARPRXHRQSTTIKEXSSALIRLCGFVCPMTLMSLLXXKRLYKKSLEKVLMLLISIHFKKLSERIXNLRNSFLYLMMYGMMREGLIGRNXXLLXNLVKREAKSYXQPGWNRWXILLKGYXEEEPSLYDWRDYRRKILXQFSTSMLFLEXILTIIXTYKRXARKLLGSXVDAHXRQRSWVGCXTALXIEYTGTECXEKISLILSIIVKALXKFXGXAIIIWPHIYRLASDIVACSKKIIGFERMSXLTFGWVQDXSSYLKMKTRGQRIXESFIRVYXQRSLSLNCGXTNPLICIKAMT >ORGLA02G0343900.1 pep scaffold:AGI1.1:ADWL01005694.1:3:716:-1 gene:ORGLA02G0343900 transcript:ORGLA02G0343900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHLLLQLSPPPPPPPLPAVHLMMSPSFFDAGVFADVGGDWMEDLMHLGELFGVGVGGDDDDNGGVDGRVGGGDDRMQEWQNNCEGGGSPDHQPSCGDGDGDGDGDVSPRDGELGDGDGDNSATRKRRDRSKTIVSERKRRVRMKEKLYELRALVPNITKMDKASIIADAVVYVKDLQAHARKLKEEVAALEEARPIRPPPPSAA >ORGLA02G0343800.1 pep scaffold:AGI1.1:ADWL01005693.1:1975:4993:-1 gene:ORGLA02G0343800 transcript:ORGLA02G0343800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRALLPLLLLSALLVQIRASDPLFYEPFDESFEGRWVLSGKDDYKGVWKHEKSDGHEDYGLLVSEKAKKYAIIKELDEPVTLKDGTVVLQFEVRLQNGLECGGAYLKYIRPQDAAWDAKEFDNETPYTIMFGPDKCGSTNKVHFILKHKNPKTGKYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILVDGEEKKKANFLSADDFEPSLIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPMEIEDEEATKPEGWLDDEPEEIDDPEANKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKRPMKQNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPEYFELDKPDSDPIAAIGIEIWTMQDGILFDNILIADDEKVATSILEKTWKPKYEVEKEKEKAEEAAAAAGDGLSEFQKKIFDVLYKLADIPFLEPYKTKIIDVIEKGEKQPNITIGILVSIVVVFVTVLFRILFGGKKPAAPVKPVAEVKKPKSTESEAAGSSGDKEDEKEEEEKDGAAAPRRRSRRET >ORGLA02G0343700.1 pep scaffold:AGI1.1:ADWL01005690.1:1499:1744:1 gene:ORGLA02G0343700 transcript:ORGLA02G0343700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVGTRAVSATSRLPSWWCWGGTARYAISSNTSEVAAVEAEAKDADRRKGELAWRKVMPSVVVSVRWLPSPVLRGGLHRG >ORGLA02G0343600.1 pep scaffold:AGI1.1:ADWL01005688.1:6981:7733:-1 gene:ORGLA02G0343600 transcript:ORGLA02G0343600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKAATALPSIPSSSSPSPMASDPTELRCSSPESSGDAGAEDPAAVDAAEESGGEGGSGHIAAGTEAAPPRPPEPEPEKVARHGVLPLLGKPYFTCIMCKSHVQPPFQVVVPRSFAPLLPSRTTPATLSWRGRSWGMRFTGGRLIQRLEAGWRGFAVDNDLRLGDGCVFELLVGGGGEQERVEFRVQVLRAEIPARIRGRAGGYTSATPIVID >ORGLA02G0343500.1 pep scaffold:AGI1.1:ADWL01005688.1:3570:4481:1 gene:ORGLA02G0343500 transcript:ORGLA02G0343500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMSLAVGPRTSGTDDTAAERGQQQPSTMLGGVMGSLRVIELQLVAFIMVFSASGLVPLIDLAFPVATTLYLLLLSRLSFPPLHSTLPSSSSSSQEIFRGSTWFQVYVVLGTTVGLFLPLAHVLGGFARGDDGAVRSATPHLFLLSCQILTENVVGALGAAFSPPVRALVPLLYTVRRVFVAVDWVYDAWGNRAAAAAPQEAVAWMWFGRYLAVANLVYFSTNLLVFLIPKFLPRAFEKYFRMRDEVYAKTAEDRHAAAATVAAKPVESKKAD >ORGLA02G0343400.1 pep scaffold:AGI1.1:ADWL01005688.1:596:1681:-1 gene:ORGLA02G0343400 transcript:ORGLA02G0343400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSPYFVPESEGIRAGESPAAALRRILASPGAHQAPCCFDALGARLIQRAGFPICFMGGFCVSAARLGLPDAGLISYGEMVDQGRLITEAVSLPVIGDGDNGYGNAMNIKRTVKGYINAGFAGIMLEDQVCFLTPKYHQMIFSWIGSLRCLNNLWSYL >ORGLA02G0343300.1 pep scaffold:AGI1.1:ADWL01005686.1:4250:9393:1 gene:ORGLA02G0343300 transcript:ORGLA02G0343300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGAVPAAAPVWKGKVKSVPSGDTVVIMDTSKAEEVIPPPEMSVTLSCIIAPSLARRGGMDEPFAWESREYLRRLLIGQDVRFRVEYTASPSGRKFGMVFFAEKNVACMVVAAGLAKVKEQGQKGEISPYVAELLRLETIARDQGLGRWSKLPGALESSIRDLPPSTIGDGRSFDAKGFVAENKGKSLEAIVEHVRDGSTIRVHLIPSFLYVQVYVAGVQAPSMGRRATPPPNAQAGVGNGAANGEASATPAPMAAAQKLLASADIYSEVPPDRFGQEAKHFTETRVLNREVRIVMEGTDNFNNIFGSVYYSDGDVVKDLALDLVQNGLAKYVEWSANVLDPQLKTKLRNADLQVKKEQLRIWTGFKPPVTNTKPIHNQKFTGKVIEVVNGYCLVIADDAEPYGSPSAERRVNLSSIRPPKFEKPSEENKSSEQFARIAKEFLRTRLIGKQVNVSMEYSRRINIADGQIAGPRTNSTETRVLEYGSVFLPSSSHADGETATSSYSSNNQLGINVAALLLSRGLADITRHRDYEDRSHHYDALIAAHARAEKTKKGYHSKKECPPIHMTDLTRVPKKAKEFLHLLQRSRRHSAIVEYVFSGHRFKVTIPKETCTIAFALSGVRCPGRDEPYSDEAITMMRRRILQRNVEVHINSLLCPVL >ORGLA02G0343200.1 pep scaffold:AGI1.1:ADWL01005685.1:2264:2473:-1 gene:ORGLA02G0343200 transcript:ORGLA02G0343200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKSQGQTIPNVGIYLPEPMFSHGQLYAALSRGMSRLTRRILAKPKKEVDSTRNRTRNIVYKDVLSW >ORGLA02G0343100.1 pep scaffold:AGI1.1:ADWL01005685.1:990:1541:1 gene:ORGLA02G0343100 transcript:ORGLA02G0343100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPYAPQLTRWRAAVGGRGRGVVRDFVEHAGSVIALRLEDYYHHRIAAATGDDDDGNDPRERVLLGGRLYPVADETTTIHGGVGGGGRTTLRCVEFCPEPGVAPLRLTVTTSAEEEKQRQEVAEVVSPDGAVRVLGRGECFGGGESGTVQHVVDVEGEREVFVLLVSVRPELARIVRVQRLT >ORGLA02G0343000.1 pep scaffold:AGI1.1:ADWL01005684.1:79:2925:-1 gene:ORGLA02G0343000 transcript:ORGLA02G0343000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVFDLPPGVYQYRFLVDGVWRCDETKPCVRDEYGLISNEVLVDNTHPVVQPETSIRVVSMDEGTILTTMPPDQLSQNSGVQIAIFRHRVSEILLHNTIYDVVPVSSKIAVLDARLPVKQAFKIMHDE >ORGLA02G0342900.1 pep scaffold:AGI1.1:ADWL01005683.1:2703:5800:1 gene:ORGLA02G0342900 transcript:ORGLA02G0342900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1P623] MRGAIAAAVCAVLLLLVGGNGGGWSAMAAATMEEDRIVALPGQPNVSFAQYSGYVTVDAARRRELFYYFAEAELDPATKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNVLVRNEYSWNKEANMLYLESPAGVGFSYSTDPSFYGGVGDSRTARDNLRFLQGWFAKFPQYKGRDLYITGESYAGHYVPQLAQRMVEFNKKEKLFNLKGIALGNPVLEFATDFNSRAEFFWSHGLISDSTYHSFTTVCNYSRYVSEYYHGSLSSACDTVMTQVARETSRFVDKYDVTLDVCVSSVLMQSKSLAPQRGSRELDVCVEDETMGYLNRKDVQEAMHARLEGGVPKWTVCSSVLEYKQLDLQIPTINIVGGLVKSGVPVLVYSGDQDSVIPLTGSRTVVQRLAGRLRLGTTAAGYRVWFEGRQVGGWTQSFGGGALSFATVRGASHEAPFSQPERSLVLFAAFLAGRPLPDSFQ >ORGLA02G0342800.1 pep scaffold:AGI1.1:ADWL01005682.1:1499:1711:1 gene:ORGLA02G0342800 transcript:ORGLA02G0342800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRVVLRRIEDRVRRGICFRKRLAGLEKKAEELAVLCDAHVGFVVLSCSDDDRLHHFAAPATYALSP >ORGLA02G0342700.1 pep scaffold:AGI1.1:ADWL01005681.1:2:3848:1 gene:ORGLA02G0342700 transcript:ORGLA02G0342700.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGEGGVTISTRWLNRTVRVDAARRLMTVEGGMVLRDLIREAAAAGLALPHSPYWSGVTVGGALATGAHGSSLWGKGSAVHEYVVGMRIVTPAPASEGFAVVRELAADDPDLDAAKVSLGVLGIISQLTAKSSFVVHTHVHAFLQVTLALEPQFKRSVKFVKRDDADIAEKVAVWGRLHEFGDMVWLPGQRQVIYREDNRVNISTPGDGLNDYFGFRAQPTLSMVGARVIDEWLEENPMYTDTARCLASRAVTKMFDLLAYGFTNDGATFTGYPVVGYQHRIQSSGSCMGSLEEKDDGLLLTTTCPWDRRTRGVFAYNVAFTVPLSRAPAFVADVSRLRDLDPAAFCQIDAKMGVLVRYVAASSAYLGKAEDSVDFDVTYYRSRARGAPRAHADVFDEVEQMALRGHGGVPHWGKNRNAAFDGAIARYPNAGEFLRVKDRFDPEGVFSSEWSDRVLGVGGASPAIVGDGCAMEGLCVCSDDSHCAPELGYSCRPGKVFTEARVCSLLRDAADGYLRDRHGNVRINATSTGKHSLLHE >ORGLA02G0342600.1 pep scaffold:AGI1.1:ADWL01005680.1:1513:8567:-1 gene:ORGLA02G0342600 transcript:ORGLA02G0342600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFDINVLHKEARSRWLKPSEVYYILQNHERFPITPEPPKKPPSGSLFLYNRRVNRYFRRDGHAWRRKKDGRTVGEAHERLKVGNVDALSCYYAHGEQNPCFQRRCFWMLEPAYEHIVLVQYREVGAAEGRYNSASLLNGPTDSLSVLSYPNATYGNQYLGSTSGVSDGSESRHSNLSSVTEVSSYSANKDNGILQSIQELSQSTIMGAPALGQSSLEQSIEVRWVDNSNSTNKSGLNRALKQIVEQLSLGDDEDDDYIHQAQPFDFITNIEAPDRQRDASRNVSGGSQAKQIRAEGMQNGLGRGIPSSWEDVLQSSSGFPAPSIYQSTPHYPQNSEYQPPGSLYNSDMQQISAAKRFLLETEDSIDSPSYNYVPREEGNNGTNTLSVHDYSLQSSLNPDWKKTAPLTLQSNLYGSEIPSLLLDHGQFESLSSGENTRLILGQNPRFSIREVSPEWTYCYEITKVIITGDFLCDPSSSCWAVMFGDSEVPAEIVQAGVLRCHTPLHSSGKLTICVTSGNREICSEVKDFEFRAKSTASSFLDISPSSRSLKSSEELLLLAKFVRMLLCENGSHANSNGDPQSVQCPKLKMNDEHWQRLIDELKGGCENPLNVSDWIMEELLKSKLQQWLSVKLQGYDGIACSLSKHEQGIIHLISALGYEWALSSILSADVGINFRDTNGWTALHWAAYFGREKMVAALLAAGASAPAVTDPTAQDPVGKTAAFLASERGHLGLAAYLSEVSLTSYLASLTIQESDTSKGSAAAEAERAVESISQRNAQLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLKDEYGMTQEDIDELAAASRSYYQSLLPNGQFYDKAAVSIQKKFKGWKGRRHFLNMRRNAVKIQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGHGLRGFRAEQTAMAEAEEDDKDDDDDDFNDDEAVKVFRRQKVDESVKEAMSRVLSMVDSPEARMQYRRMLEEFRQATAE >ORGLA02G0342500.1 pep scaffold:AGI1.1:ADWL01005674.1:311:977:1 gene:ORGLA02G0342500 transcript:ORGLA02G0342500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCLQHKGGGDVELGNSPASSNMVAADLVPLRPDLVPLSQIXHPHPDLAGVEYLARWQREEGVDVSMLRDGQREADRCGRRWKEVVVGKGQCDAELGKPGGDRRTAGRAVRRRYGGCESKECS >ORGLA02G0342400.1 pep scaffold:AGI1.1:ADWL01005673.1:3802:6914:-1 gene:ORGLA02G0342400 transcript:ORGLA02G0342400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDXNRHLHGVRTHVAAVEQALVQDATKLEAPVVIVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEEVSKEIEACGGQAITFGGDVSKEADVDSMMKAALDKWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKIMMKKKKGKIINIASVVGLVGNIGQANYSAAKAGVIGLTKTVAREYASRNINVNAIAPGFIASDMTAELGEDLEKKILSTIPLGRYGKPEEVAGLVEFLALNPAANYITGQVLTIDGGMVM >ORGLA02G0342300.1 pep scaffold:AGI1.1:ADWL01005673.1:385:1878:1 gene:ORGLA02G0342300 transcript:ORGLA02G0342300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPTVIQTKNLSATPRMKAPRRWARLPRRLPALRFSVADVLSPRYFRSVDRLRRARARGAADAEDVEGRLLPYIKRLDRRATRAFLDGLAGVLDAPGARRRRARSLYLEFFRTYDGAGTIDRMIDTAVGEWGVEHLDVVVLRSAPRDPPLPAYAFPDHLLDDGRHRSRLRSLTLGHCALPPLHRYAALERLVLQDTAASTPMSAYDAVFGGRCGAPLRVVHLLCCRGAGDALVIDAPRSGVEELVVDSCSFRAVELRHLPELRRLACLGDGTAPVVELSFGAVPRLAHVNLTFTAPPSPATPHHRVLDSLLGGAPASMSRLAVRFTGPKRWILPRPLGAALLGLRELLVADVPPTWDVSWPRLLLEAAPALESLHTHVSAPAPASSPDEHLRVEGRPIYWQPRGKFRHRRLREVRMVGFTASAPRHTRFLRYLVRVCATLERVVLVRDGRVEEDGLWGWNTVSNGDRPWRLDDWMSVSAQIKHGRTWSKPHVEVILK >ORGLA02G0342200.1 pep scaffold:AGI1.1:ADWL01005672.1:1109:2884:1 gene:ORGLA02G0342200 transcript:ORGLA02G0342200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGEMGASILVITVDLKCCRCRAKITKVLDCLKAEYCIEKTEFEEKDDKVIVRGNFDAGCLRNKIYCKAGAKVVKDIKTVDAWPPPEKKDEKSPVCKLVPFPVPYPAPPPPAACYPPSTQQCYHCCPAPPPPPPKPKPCECTHCGGHGGGCNEPAVPPCGGACSISDGGACGASYKPPPAIWPPQPSFYYYPPPLCGGYKFACEENSDVCAIM >ORGLA02G0342100.1 pep scaffold:AGI1.1:ADWL01005671.1:2454:3775:-1 gene:ORGLA02G0342100 transcript:ORGLA02G0342100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPAAARRNKKRKLPEKQLPDRVAALLPESALYTQLLEFESRVDAALHRKKVDIQEALKSPPALQRTLRIYVFNTFANQAPRTIPPPKNAEPPTWSLKIIGRVLEDGAELDPASVVPKHNPVYPKFSSFFKRVTIALDPSLYPENPLIIWENARSAAPQEGFEVKRKGDKEFSANIRLEMNYNPEKFKLSQPLMEVLGVEVDTRSRVIAALWQYIKAKKLQNPTDPSFFMCDPQLKKVFGEDKLRFAMLSQKISQHLSPPPPINLEHKIKLSGNGAHASACYDVIVDVPFPLQKEMSAFLANTEKHKDIEACDEVISASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQSKDLKLIAGEANRNIERERRADFYNQPWVEDAVIRYLNRKPASGNEGPGGGAGGS >ORGLA02G0342000.1 pep scaffold:AGI1.1:ADWL01005670.1:3139:4343:1 gene:ORGLA02G0342000 transcript:ORGLA02G0342000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKVKLITPIQKKVVCYFTSLLINWILSWKKYTEDRLHRYASAPTTPEPRSGDTAASAQLWLDGTFFLHLPSGSVSLLRLCSLRFAELVVSLLGSTAVGRALAGHPDPFRVVHRSELAERRSSGSALTRSSPS >ORGLA02G0341900.1 pep scaffold:AGI1.1:ADWL01005669.1:1742:3043:1 gene:ORGLA02G0341900 transcript:ORGLA02G0341900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RITRQDLMRQREEYFHLRGGQLLRNMMSRDNNIPFMLYDRDQIELATNRFNNMSVIGQGGQGTVYRGYNLDPDNNPVAIKKCKGFDENSWSEFTDELLILSRVNHENIVKLLGCSLQFDVPILVYEFVPNKTLYNLIHIQTDQSIRTMGIRLKVAAESAKALAYLHSSLDHPMILHGDVKSTNILLTNNFIAKVSDFGCSKIRTADENYDVVKGTMGYLDPEYLQKFKLTDKSDVYSFGVVLLELLTRRMPLSVEKVSLASIFQEAMREGHFLELIDTEILHEDNMGLISDLATLASQCLIMTSESRPTMSTVAEELRRQMAGQVQQDQGVLTELI >ORGLA02G0341800.1 pep scaffold:AGI1.1:ADWL01005668.1:907:3780:1 gene:ORGLA02G0341800 transcript:ORGLA02G0341800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEAQLVAAVAQELGLLDQEYHRLKQSMEEKHYFSWGDQNGYDEDMYRYLFRKMPPRINATLSSTRYLLVVENLYEPIKPGTFTNEFGLPPAPGWSESEWVVSATSREVCSKSKSEDDRVYESFSDDDIMVLIISALHQSAKDISKAVGQEDDVEHWHRVALRCFHYALLLFPQRHEPPNADKNNGVNVTKEELIRHWAAQGFLTASNSPRAAQENMIHNMGITHHDDVYQVGNIILQTFQDYSLLKLPFSPTTETDEPTETAAHFLAYHCLIVEHHTQDRIFHEWLRNKIGWIKLDCKQGMEDRGWHVSRNWLSKEEPNGLTSLILRGCSNKSNWFTELDHVLPKLPSLLVLDLSYTPLESVPHSVWCLPNIQFLSLRGCYNLTNLSSSSSSERTASQEDSNTKINLLYLDLSYSGINSFQCVLFQNMPLLQELVLVKCDNLLELPTSVIALSSLIKLEVSGTQIKYFPKNMFKDMQSIQSIKLIDNNKLMDEIRIAMHPTLKSFLLINAPHIRRLSLQGCRKLEHVELRXLGALEELDLSATAIKELPAEIPNLPQLRQLLLMGVSSLSRFPWHKLQRFPDMFCLDYCAQGNGNHYDDQVANIKKNIAHVCIEDSRLFYSFNDNTRELVEDGAYFRAFYVQIAPCKANIRRLEDEQDMLADKLTKLANKKSPYDDVYHHYMTDEFSVISIAPPIRQTKRHVEMSATNRYPYGLCSLLQVAKSISLTDDIYVSCLTNLSSFNKLEDCKLRSCHHMKHVLEYAYSMGESLQNVRVSQLQSLIHFYKPLEYSDTSNFDSVKHLHLEYCPRLERIMPRESALPSLTTLDILFCYNLKTIFYQKHPCEQPINYQLPSLQRMRLQELPLLQHLRDDVNAAISAPAWKELHVRGCWSLRRLPRLRQEHSSQVVEVSGERAWWRKLIWDDDSSTMHSASYKSKLPLPFASFNERAPVMSYLR >ORGLA02G0341700.1 pep scaffold:AGI1.1:ADWL01005667.1:3643:3945:1 gene:ORGLA02G0341700 transcript:ORGLA02G0341700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQNNMCYIFKFWERNLKLLFCMSSIFFHDLLSKTDILLSNDVLRQETNTAALSVENEAKQLYITICDCSQDCKDGPTGEELTEHIIWCKVIMAAAFSG >ORGLA02G0341600.1 pep scaffold:AGI1.1:ADWL01005666.1:19:429:-1 gene:ORGLA02G0341600 transcript:ORGLA02G0341600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAAAAAAAAAGGAAILDTLGDFTSRENWDKFFALRGTGDSFEWYAEWEDLRAPLLSLLHGCGGGAEGGGGGKAPEILVPGCGSSVLSERLYDAGFRRVTNVDFSRVLVADMLRRHARARPEMRWRVMDMTDMQ >ORGLA02G0341500.1 pep scaffold:AGI1.1:ADWL01005665.1:20716:22131:-1 gene:ORGLA02G0341500 transcript:ORGLA02G0341500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHIVSRRMVRPAAEHAGELPEHETVHHLTPLDLRMITGDYVQKGVVLPKPPGGGEHVVEHLVSSFARALARFYPLAGRLAVAETPPSPGGARPTISLSLRCNGEGAEFVHAVAPGVTVADIADSLYVPRVVWSFFPLNGMLGTDAAVEPRRPVLAAQVTELADGMFIAMSLNHGVADGFTFWHLFRTWSEINRRRGADSADLELSTPPPVFDRWFVDGIPAPIPLPFAKLEDMVRRPVYTPVEECFLHFSAESSVVAHIWRAVCRARRLAPELETRHGLSVGLRARVKEVPQEYMGNTVVGAVARATAGELLGGGLGWAAWLLNRAVASAGDVASVRRMLPAWPETPRFVTVASLQNAGVMVISGSPRFDVFGNDFGWGRPVGVRSGAGNKLDGKMTVYEGRGGGGSMAVEICLAPEALARLVADEEFMSAVTAPPPTHH >ORGLA02G0341400.1 pep scaffold:AGI1.1:ADWL01005664.1:21688:23070:1 gene:ORGLA02G0341400 transcript:ORGLA02G0341400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGNVETPPRRRVRRRIAAAAADDGGEVDDDGLSVLPDELLLVILGRLDTRTALAAAALSRRLDRLAREIPALEFSVRDVLPPRYHACVRARRGAAAAADARKLDAAIGRCERRAIRGFADCVSAFMEPRVAVAPRRARRLRLEFFAARSTGFVDDLIATAVGAWGVEDLDITVVKPALVEQGPCYAFCFPDHRLSAESLRSRIRSLAVSNCFLPPPSELRHYAALAKLVLQDTHPRTPLAFYRQVLDACPQLRALHLRRCGAPWYAALVVDGMPELRELVVDGCGFHTVDLRAAPALERVACVDGPVALAFGGAPRLARLSLTYALDDRLMLVRNWRLSGLLGDAPAMAELLVRFTGEPRWMTSGPLRSPLPGLRRLVVADMPSNWDVSWPRVILEAAPCLEVLHIHVQEEEDDDAGTTAAAAAEIPWPPVESARHEPSRSWRWWGSPRRGVRSGS >ORGLA02G0341300.1 pep scaffold:AGI1.1:ADWL01005664.1:15682:16481:1 gene:ORGLA02G0341300 transcript:ORGLA02G0341300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSGSGCASHLRWGMRDRAGKLTGVIEXGDGIGAEEQHGKEWRRRCVWVRILPRRRHDFGEVARMKAWRCGRTIGLALGGVIVGDQGGEVGPGDLVRAEEDDEMSTDLAGIWDERGSRRWA >ORGLA02G0341200.1 pep scaffold:AGI1.1:ADWL01005664.1:9752:10971:1 gene:ORGLA02G0341200 transcript:ORGLA02G0341200.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSPTAAAGYRRRGYSLMGCIKDIPTLKGDNYEEWKRELDLAFILGEVD >ORGLA02G0341100.1 pep scaffold:AGI1.1:ADWL01005664.1:4810:5127:1 gene:ORGLA02G0341100 transcript:ORGLA02G0341100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYEVAAHMLGECERRVVEAEICLQAKIDALQMEYDFLAKEVPKKEKEEVRLEKIIIEAGARFKAILVGINMLQRRNEEFLVDSQRYMDEVEPNLKSVITVVEVL >ORGLA02G0341000.1 pep scaffold:AGI1.1:ADWL01005663.1:6856:11547:-1 gene:ORGLA02G0341000 transcript:ORGLA02G0341000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESPRSPEAEIGHRVEDLWEVAEPQLSPSEKLNSCFEDIAVASFPRPLGSQVIEIPSNASLADTVEILSKNKILSAPIRNVDAPEDASWIDKYIGIVEFAGIAMWLLYQSEAAANGMAGSAVGSPVANLVSRLGSFTFRRTSSGRVETTTDPESDETASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVDIGGDKIENIITQSSVVHMLAECVGLPWFESWGTKKLSELGLPLMKPCKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGTKAIGNISIRDVQYLLTAPNIYKDYRTITAKDFLTAVRQHLQEQHEASPLLGSVITCRRDDEVKDIILKLDSEKIHRIYVIDDKGNTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPPNSTV >ORGLA02G0340900.1 pep scaffold:AGI1.1:ADWL01005663.1:447:2513:1 gene:ORGLA02G0340900 transcript:ORGLA02G0340900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPAPPHPQPEELEVAEEPPAAAGGVGNDKVLAAAQHIVKSLATSKNAADDMIRILSGFDHRFSSITADLFPSPSPSSGAGPTPPPPPPPRGAFEAAERLIRQWDATPELLVFEGPEGDVADYLEAVDVAVDQLLSGVGAAAADADAEAAGVVVQLAMARMEEELRHLMVRHAVPLDASGLFCSLRRLSLESMDDLDTSSEFDPITPHSLEGGPDTARSASLVGNPFDDQVFDLVRPEAIDDLRSIAQRMDRAGYASELEQVYCGVRRDLLDECLAVLGVERLSIDEVQRMEWKLLNDKMKKWVHGVKTVVRSLLTGERRICDQVLAVSDELRDECFVESTKGCIMQILNFGDAVAVCSRSPEKLSRILDMYEALAEVIPELKELFFGNSGNDVICDLEGVLERLGDAVKGTLLEFGKVLQQESSRRPMMAGEIHPMTRYVMNYLRLLVVYSDTLDKLLGDDSAGDVDHSDTHRGGDDEEEYLESLSPLGRHLVKLISYLEANLEEKSKLYEDGALQCIFSMNNILYIVQKVKDSELGRILGDHWIRRRRGKIRQNSKNYLRISWTKVLSFLKDDAHGGRSGSGSGSGNSSRIKEKFKNFNLAFDEIYRSQTLWKVPDPQLREELKISISENVIPAYRAFLGRYGSLVDSGRNSGRYIKYTPEDLENQLSDLFEGSLGPANHSRRR >ORGLA02G0340800.1 pep scaffold:AGI1.1:ADWL01005661.1:2222:3816:-1 gene:ORGLA02G0340800 transcript:ORGLA02G0340800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAAAASGGDAHDDEYAKLVRGMNPPRVVVDNEASDEATVIRVDSVSSHGTLLAVVQVIADLGLVIRKAYFSSDGSWFMDVFNVTDRDGNKVLDDQTISYIQTTLEADDWYYPEVRNTVGIVPAEEYTVIELTGTDRPGLLSEVCAVLAGMRCAVRSAELWTHNTRVAAVVHVTDDGGSGGAIEDEARIADISARLGNLLRGQSGVRAAAAAAPGGLTHKERRLHQMMFDDRDYDGGGGAASSSPRGRSPTPATEVSVTPCAERGYTAVVVRCRDRPKLLFDTVCTITDMGYVIHHGAVSSEPRGGAYQEYYIRHVDGDPVRSEAERQRVVQCLEAAIERRTADGLALEVRTGDRAGLLSDVTRIFRENGLTIRRAEISSERGEAVDTFYLSDPQGHPVEAKTIDAIRAQIGEATLRVKHNPFADGDGAGGGGGGGATDDVAGSTAFLFGNLFKFYRPFQNFSLIKLYS >ORGLA02G0340700.1 pep scaffold:AGI1.1:ADWL01005659.1:7708:8007:-1 gene:ORGLA02G0340700 transcript:ORGLA02G0340700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKPNPASAKGPDPGRVDDDSTAFLGVSLVDDVELAKLVSSGALVEGHAFAPGKVVVPKPIDNRTVVFVVFFEAGLRFPCNVLLPEILRLFQVELLQL >ORGLA02G0340600.1 pep scaffold:AGI1.1:ADWL01005659.1:1838:2521:1 gene:ORGLA02G0340600 transcript:ORGLA02G0340600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKPNPASAKGPDPGRVDDDSTAFLGVSLMDDVELAKLVCSGALVEGQAFAPGKAVVPKPIDNRMVVFAVFFVAGLRFPCNVLLPEILRLFQVELPQLSPSALVRIAIFDWACQTSGFKPNAELFGAIFFATVNSKTVITPAGTKKTVFGSVNFNVRPERSDLWPVNAAMSKWDRHWMARWFYHSVAGAGRSRRTGNPRSPWTALWRRDLFCCAKFAPALAAVTW >ORGLA02G0340500.1 pep scaffold:AGI1.1:ADWL01005658.1:3760:4648:-1 gene:ORGLA02G0340500 transcript:ORGLA02G0340500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVILVDLQCCRCDAKIRKVLGCLEEEYCIEKVEYDVKNNRVIVRGKFDPEKLCKKIWCKAGKIIKEILIVDVWPPPCKPPPCEKPPEDCKPKPCHCCSCEEPKPKPKPKPCHCEKPKPCHCEKPKPCEKPPPEKPPPKPECKLVPYPYPVPYPYAGQWCCPKPEPPKPPPEPPKEPEPPKPCGCSHAFVCVCKPAPPPPPPCGCSGGHGNCGCGIRPWPPQVWPPPPVCPPPPWCYTEDNANACSIM >ORGLA02G0340400.1 pep scaffold:AGI1.1:ADWL01005656.1:71:1650:-1 gene:ORGLA02G0340400 transcript:ORGLA02G0340400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSMEEPLLGGGNGEEKKGGSSRLAVVAEVRKQLYLAGPLIAGWLLQNVVQMISVMFVGHLGELELSSASIATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHRLVGVYKQRAMVVLGLASVCVAAVWAYTGELLLLFGQDPEIAAAAGSYIRWMIPALLAYGPLQCHVRFLQTQNAVMPVMLSSGAAAACHLPVCWLLVYGAGLGSKGAALANAVAYLANAAALAAYVRLSPACRSTWTGFSSEAFHDLVGFMRLAVPSALMVCTQHHTHEIL >ORGLA02G0340300.1 pep scaffold:AGI1.1:ADWL01005654.1:2105:6769:-1 gene:ORGLA02G0340300 transcript:ORGLA02G0340300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSASRALAAAKPRSPEPFAAAATAVLLRRQLAAGMAAAPASSASAAGNREAFRVAVTNTLERRLFYVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQHFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCKDKLEKDNTLSPEKTAEFNHVLAVLDDLSAEQLGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFRDVSDLEFLMFPREQQLTGKSAMKLNIGQAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSFGWIECVGIADRSAYDLRAHSDKSGVALEAHEKFAEPREVEKLVITPSKKELGLAFKGNQRMVLEALEAMSETEALNMKSALESKGEVEFKVCTLGKDVTIKKSMVSINMEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRPGKAEDEQLNVFGFPPLVAPIKCTVFPLVKIEKFEVVAKKISKALTAAGISHIIDMTGNTIGKRYARTDEIGVPLAITVDNTTSVTVRDRDSKDQIRVEVDEVASVVKEVTDGQSTWADIMWRYPAHTASAAEEEEASET >ORGLA02G0340200.1 pep scaffold:AGI1.1:Oglab02_unplaced037:60458:61620:1 gene:ORGLA02G0340200 transcript:ORGLA02G0340200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSMAGRALPALVSVVVFFISVRDAASKSFVITNNCEYTVWPGILSSAGSAGMDSTGYVLAPRQSRTMSVPTGWSGRLWGRTLCSTDDAGKFTCVTGDCGSGRQDCAGGGAAPPATLAEFTMDGSGGMDFYDVSLVDGYNLPMLVAPQGAAAGGNCEPTGCLVDLNGACPADLRVAPASASGGVACRSACEAFGSAQYCCSGEYGNPNTCRPSAYSQFFKNACPRSYSYAYDDATSTFTCPGSDTAYTITFCPSTTSRPANNLTASPSDRVRVHAVL >ORGLA02G0340100.1 pep scaffold:AGI1.1:Oglab02_unplaced037:14556:14927:1 gene:ORGLA02G0340100 transcript:ORGLA02G0340100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPGGGYGKGLLRPLTASEKEGAAESRSPPPMEVKRHLTPPPIIRAPGQFQEDEVKSPEPSIHKLAAQVKKLRKDNIELRDRNAELGVELAEIRNNFDTLSRGLCAKIKRAFIDMGKENKYYAN >ORGLA02G0340000.1 pep scaffold:AGI1.1:Oglab02_unplaced036:2419:3445:1 gene:ORGLA02G0340000 transcript:ORGLA02G0340000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQLELAQDDQGRVAWKGDALHQVLGEEKPGQVHGMGLLPVPNHVYGQTSHRLRNINITTVEGTPYEVAIHIIDDMEKLKEHAQKQDQLIQQLLKEKTDRKNKQEKVSLKKNKLRKRVQCDAADREDFLSQQRYPFKYHNDANQEEPHYQEAPPSPWSAHSFRQEEVNVFRYSVIYMRQIKYFVVTL >ORGLA02G0339900.1 pep scaffold:AGI1.1:Oglab02_unplaced036:2:631:-1 gene:ORGLA02G0339900 transcript:ORGLA02G0339900.1 gene_biotype:protein_coding transcript_biotype:protein_coding IMDLEQKNGLHIVNEDVRWLARGPLDAAKRYRAFNTRGFRVRPKRLDGVTQNSGVVLTAKKSSYTKASDANPILGDVTYYGRIIDIIELNYSGKFSVVLFKCEWVDVISGKGIKKDKYDYTLVNFSHLIHTGEKIEHEPFILPNQADQVFYVDDPMNPGWSVVRKMKPRDIYDTGKEEWIDEMEAEPFHVSHLRELFDKSNNQHWVRPDV >ORGLA02G0339800.1 pep scaffold:AGI1.1:Oglab02_unplaced035:1301:2023:-1 gene:ORGLA02G0339800 transcript:ORGLA02G0339800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVKLVFTTLNWFVVSFLPKPHQCRLPSSSSSSSSPARAPPPRWRSPRRRCPRRTRTRRSPRSSRATACHRGCSPPASPPSPSPPTAALPSTSRAPATPTTSTSPTLRPASWGSSATALSPTFPGSRSTASSSGSTSSESRSTSRRPRTTSTSTSDGSPASSLPTSSSPPTSATTPRNAASRPRSPRLPCGFRFDVFLPFVIPSCLCSIGS >ORGLA02G0339700.1 pep scaffold:AGI1.1:ADWL01005624.1:427:2224:-1 gene:ORGLA02G0339700 transcript:ORGLA02G0339700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLFLQFFAETGFPCPSRRNPSDHFLRCVNADFDDVAAAMKGSMKLRAEADFDPLLKYSTSEIRERLVDKYRISEYAMMVRNTIHEISKIEGVIEEVVMGSQASWCKQLTTLTRRSFTNMSRDFGYYWLRIVIYVLMAVCLGTIYYDVGTSYAAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKMFTLERQNGHYGVAAYIISNFLSSMPFLLAVSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVIGIMMLTSGFFRLLPELPKIFWRYPVSYIVYGSWGLKGAYKNDLIGLEFEPMMPGQPKLTGEYIITKMMGLSLNHSKWLDLSMIFVLLFAYRLIFFLVLKAKEAAAPYIRVAYTRFTIKRLERRASFRKTLAMSSMSKRHNQPPHPMAVQEGLNSPMPY >ORGLA02G0339600.1 pep scaffold:AGI1.1:ADWL01005611.1:23181:23336:-1 gene:ORGLA02G0339600 transcript:ORGLA02G0339600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPATPTDFSPLLSLAPRNELCYTKGKAVAHAGLWLARLIFHNSSSQTGP >ORGLA02G0339500.1 pep scaffold:AGI1.1:Oglab02_unplaced014:15183:15764:-1 gene:ORGLA02G0339500 transcript:ORGLA02G0339500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLQERITSTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETTQRVKQTLQHYKELQDIITILGLDELSEEDRLIVARARKIERFLSQPFFVVEVFTGSPGKYVGLAKTIRGFQLILSGELDGLPEQAFYLVGNIDEASTKAINLEEENKLKK >ORGLA02G0339400.1 pep scaffold:AGI1.1:Oglab02_unplaced014:10883:11454:-1 gene:ORGLA02G0339400 transcript:ORGLA02G0339400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRESTSLWGLFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIITFIAAPPVDIDGIREPVSSSLLYGNNIISAPVVAATAVFLIYPIGQGSFSDGRA >ORGLA02G0339300.1 pep scaffold:AGI1.1:Oglab02_unplaced014:10087:10302:1 gene:ORGLA02G0339300 transcript:ORGLA02G0339300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPAMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYESARKDTKSRR >ORGLA02G0339200.1 pep scaffold:AGI1.1:Oglab02_unplaced014:9311:9652:-1 gene:ORGLA02G0339200 transcript:ORGLA02G0339200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFPSPPPWGWSTGFITTPLTTGRLPSQHLDPALPKLFWFTPTLPTCPTVAKQFWDTKRTSPDGNLKVADLPSFAISFATAPAALANCPPLPRVISMLCMAVPKGISVEVDS >ORGLA02G0339100.1 pep scaffold:AGI1.1:Oglab02_unplaced014:8836:9237:1 gene:ORGLA02G0339100 transcript:ORGLA02G0339100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDRVWKLDPFLRLSFKKEDILELLTGTIVSQQVTRNARKKRELIFKMVELDDEVFYNLDEEVVLVYDSDQEXEVFHFRLDCYRIWTHRRDVQKAPNDKNHTFVELHEAMGFNAVDVLFQIFLEQTSDPTIQ >ORGLA02G0339000.1 pep scaffold:AGI1.1:Oglab02_unplaced013:18741:22129:-1 gene:ORGLA02G0339000 transcript:ORGLA02G0339000.1 gene_biotype:protein_coding transcript_biotype:protein_coding YENSQIIYFEEFFKTQKKGKGDIRGKNCFPESTYEKSIENNFLGYLVEQQKTQDLSPEQQDSKTIPMEPIILRSHEEPSSHSQFEVTIPQSETYLLPYSYPITTILIPTATPKDKVAKFFGIPNNFPNTNDQMSNSLTRIQASSYDKLTLQKEVSSFYNKIDSSVNRNLESYITRIINTSFYNIINDPKGITRSKFRLFHNVLFSKIYIQPNPNKTLCYHSRTKNSFERKSQNQISAEALCTNNEILVHKQDLFGSIFVISDTNQFGTFNPEEERTKRKLKINDLFQEQNYTKKELRDLKGKIRSLELQNNSCMGQDEEVVNSINSYVKQKWYAEVRYKFNDGFQFSYKTLIDSGADVNCIREFFSNWWLKAGAIPEILPQEIIKVITQESKKDLKEYPFILMQFCAKTGMPWILKWDLNIQRMEFPATLKRNYYARWWDKFGITPVIEGRKFRAKNKKSHVAQLKEDITRELLKARPELTKGELQLQVYETMFKRLEESPKSSSTCRSLDEDMVQCSQIKPSSPIPPYYSIKQDNDSDEGISDFNPTHI >ORGLA02G0338900.1 pep scaffold:AGI1.1:Oglab02_unplaced011:23860:25179:-1 gene:ORGLA02G0338900 transcript:ORGLA02G0338900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1P5Y3] LAFFKAAYDMFEADFYVKADDAIYLRPGKVCDLMYKKMVYKSSWELLGNEYFSHASGLLYALSSEVVGSLAATNNDLRMFDYEDVTVGSWMLAMNVKHEDNRAMCDSACTPTSIAVWDSKKCSSMLANCVF >ORGLA02G0338800.1 pep scaffold:AGI1.1:ADWL01005577.1:1019:2164:-1 gene:ORGLA02G0338800 transcript:ORGLA02G0338800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFCPPEPVKIATIREIYLQTKEENLSRLVLILQSKILSRAREAIKEIFKFKVDIFQATDLLVNITKHVLKPKHEVLSADQKAKLLKEYNVEDSQLPRMLETDAVARYYGFDKGTVVKVTYDGELTGKRVAYRCVF >ORGLA02G0338700.1 pep scaffold:AGI1.1:ADWL01005577.1:354:614:1 gene:ORGLA02G0338700 transcript:ORGLA02G0338700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLEEGADEFFLKPVRLSDMSKLKPHILKSRCKEHYQQEQHLQSNSESNNSSNPTSENSSSSTSSNSHKRKAVDEEILPHTIRPRHS >ORGLA02G0338600.1 pep scaffold:AGI1.1:ADWL01005576.1:752:1436:1 gene:ORGLA02G0338600 transcript:ORGLA02G0338600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPPPPPPAMASSAAAAAQAATPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPKKTIRVPEGFDYELYNRDDINRILGPRASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVSFFPPFHPSINFASVRSVSVKV >ORGLA02G0338500.1 pep scaffold:AGI1.1:ADWL01005575.1:2:2296:1 gene:ORGLA02G0338500 transcript:ORGLA02G0338500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVKTKQSGWRAPSIILGLECLESMAFNGIATNLVVYIRSVLHGGIASSASTSSLWYGTSFFVPILGATIADTYWGNYKTVLISFIMYLLGTVFITVGAFLPSAPALCNTESCSSMNGTQHLVYFSGLYLTAIGCGGVRSALLPLGADQFNNDSSLDIQKRRNFFSLFYICVIFGVITSGTIVVWIQENVSWAIGYGVATACIALALIGFLVGTPIFRRHEPHGSPVRSVFQVIVASFRNLALELPADSSLLYEVRRKNTQKSEPKLAHTDDFRFLDKAAIMSDLSLDQDSCTSPWRICTVTQVEELKILIRLLPIWATGIFFCVGISQMHTTFIQQGTVMNTKIGSLSIPAASLYSFEVICVTFWVFLVNKVIIPVTRACFANGAEMTQLQRIGIGRFLMIFAMAIAAFLEMKRLESVQGGDQPLSIAWQLPQYFIIAGAECFTIITQLEFFHGQAPDSMKSMLTAFALLTTALGNYFSSAIITLIARVTGTWHSPGWIPDDLNKGHLDYYYWCLAAISAVNFVVYIYFASKYKLKKAVIQV >ORGLA01G0413400.1 pep scaffold:AGI1.1:ADWL01003125.1:484:1893:-1 gene:ORGLA01G0413400 transcript:ORGLA01G0413400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASSSSAAPAPPWWLTSRTCDVLPSASPSSSGFAEWAAFLFLSDCSQRVLLSALASLFLLLLLCFARRRAVSSSSSSRRRGGGADGIDGDDGKRPLLHRPGPAPAVRVGVGYVVALAASLALAVFYAVLLVLSLVTRGGGGGVLEPVFLALQCAAHLAAAAVVAHEKRFRAAHHPLTLRLFWLAASALAVLLAGSAIARLASGAAALPDDALAIAVLVLSLPLPLLAIAGATGITVVVAAAESSHEEGAEGNGNGEDVRDYKNVTLYATASWPSRLMWAWMHPLLKRGYRAALDLTDVPTLAPEHRPERMYELFLSNWPAAWATKDNNPVRHALLRCFWPLFLLNASLAVLRLTVMYVGPTLIQSFVDFTSASPRRPLWDGVRLVAALLAAKTAEAFCSHQYNFHCQKLGMQIRGALITALYRKGLRLSCSARQKHGLGMIVNYMAVDAQQLSDMMLQIHYLWLMPLQ >ORGLA01G0413300.1 pep scaffold:AGI1.1:ADWL01003119.1:4801:5157:-1 gene:ORGLA01G0413300 transcript:ORGLA01G0413300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGIIRCKMRRSCSNLRHSSLRIMVERAFGSLKRRFKVLDDATPFFPFRTQVDIVVACCIIHNWVINDGIDELVAPSDWSSEDIDESSTGQANDHALMVQFRQGLADQMWADRNNHHGV >ORGLA01G0413200.1 pep scaffold:AGI1.1:ADWL01003119.1:957:1907:1 gene:ORGLA01G0413200 transcript:ORGLA01G0413200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGDQHGPVIGIDLGKACSCVAVWQNGRAEIVTNEHGGRATPSYAAFTDTERLVGDAAKSQASRNPTNTVFATKRLMGRRFSDASVQDGLKLWPFKVVPGRGDKPMVAASYKGKQKLLAAEEVASMLLSKMKAEAEAYIGGQSRTPWSRCPRRSTSSSAGPPNTHAPSRGSTSSASSTGPRPPPSPLASTKSRATRMCSSLILEAVIPACHSSPSRPARSPSGRPPATPTLGFQRPHGRTLRRSVQGSAQERRRSQREGYGEAQGGVRAGEEDALVGELGRH >ORGLA01G0413100.1 pep scaffold:AGI1.1:Oglab01_unplaced072:82728:85773:-1 gene:ORGLA01G0413100 transcript:ORGLA01G0413100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGAASASGGGGAAPEAQQQLSGGGDTPRRRRPTRSRSDPLLIVCRCFNVVTAATAALCVAVNVLSAVQSFRTGLDVRRPLPFLFVFPAMARLRADLGCLVGLQIFGGIFRCYAVVISLFVGVVETEWGFIMKFCKILEYWPARGMLQIFVAVMTKAYPNVERGDLILLEDIASYLLLACGLIYIISGVLCIGVLKRSRQQKATSREQAVKDLEELEKRREELEALLLAQRSETV >ORGLA01G0413000.1 pep scaffold:AGI1.1:Oglab01_unplaced072:70164:70559:1 gene:ORGLA01G0413000 transcript:ORGLA01G0413000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYWSLQAITKGKLILQAIHLVQSSNATQFPSNEAFSTKHPPRCSLASTISSSLGEARILLLLLLLQWLKFFNLKHTNSKLLPNSLPNKHTSSSLLINVQELTLKDKLSLNS >ORGLA01G0412900.1 pep scaffold:AGI1.1:Oglab01_unplaced072:63530:63862:-1 gene:ORGLA01G0412900 transcript:ORGLA01G0412900.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRGTAEERGQSGRRQRGDTVQRRPRRSDDISGDSCGVDQVRRERWKRGAPTAACGAQAEVGGSVTSSRGATGCTASKVEQEDTVRXCKEQWRHMKGAVALRQQLASAHAD >ORGLA01G0412800.1 pep scaffold:AGI1.1:Oglab01_unplaced072:57293:60199:-1 gene:ORGLA01G0412800 transcript:ORGLA01G0412800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDGEKGAAKRTKLSAAAAAAAAAAAGEDRLSALPDDLLVQVLLRIGGTTAAARTSVLSRRWRSLWCLLPELDFVPEADGGSIRAALAAHEPPSLRHLLVAAQDAAPHGMAEWLPVAARRLAGDLLLFNMAPKRDAKDDDDEEGKDGSPFLELPCFGSATKLSLDLGFLPLAVPVSGVFARLTDLSLDSVRFHGPCEFGDAASSRRFPSLKNLNIRNTQGLSNFIIHSDSLLQLDLRSVRGLKQLNVVALALQVLSVFFCFADTQARSQPVADIAAPQLETLQWEDAFDPSSVEFGEMASLXCLGTYLFLVYGLEDFKNNRDCLRLLKRFRRDAISRLTLTLAFLPKDLRDFEYLMENMTMLPDIVSLNLNVLANGHAIGPSLFHVLRMCTSVRRLKLVTHISLDLEAQAVCSSDCVCDLPPNWKTEELLLKFLHEVEINNFRGTGHEIALVKRLFSWAVVLKDMTINFYHSVPESTAKEVCQMLLNFSRPEICMKIYFYHRWRKVLYVPED >ORGLA01G0412700.1 pep scaffold:AGI1.1:Oglab01_unplaced072:52190:55114:-1 gene:ORGLA01G0412700 transcript:ORGLA01G0412700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDGEEGTAKRAKLSAGDGGGGGGEDRLSALPDDLLVQILLRVGTSAAARTSVLSRRWRSLWYLLPELDFVSTADARAIRAALAYHGAPPLRLLLVSAVGATAGSVAEWLPLAARRLSGYLGLLNVVPKTKRDEGEGAAAGEVLELPCFASAANLALDLGFIAVAMPRSGVFSRLTLLSLDNVRFHRPCDLGDAVSSPRSPFLKSLTIQNAHGLSNLSIHSESLLQIRLGGLKGLKQLNVVAPALGALYVISCFSDPLAMSQPVADISAPQLETLHWEDAFDPSSVRFGNMANVKCLGTHFYLAFGQEDFGHNGDCLRLLQRFQFDALDRLSLTLAYMSELNDLENEYLMEEMTMLPDIMFLGLTVLASGHAIGPSVFHVLRMSTSIRRLELATDIYSSNPQARAACSSSCTCDLPPNWKTEELKLAFLHEVEINNFRGTEHQIALVKQLFGWAAMLKDMTINFCHSITESMARKVCQMLLSFSRPEILMNFYIYQQTTSGFVCSRGQMHRTKLVA >ORGLA01G0412600.1 pep scaffold:AGI1.1:Oglab01_unplaced072:45322:51086:1 gene:ORGLA01G0412600 transcript:ORGLA01G0412600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLRARASWSPQASVMPTWWKRSKSAFQRSSAVSSAPASPARASTSSCAAPGRRSAAVRCADDAGDLLLARRRQLTRQRKLRHVDDIGVGLESLSLVVANSSPPPRGRASTSAAVGHPVSIPIARSASSAEFRAVHQPPPRAATAASPVLLPLPLPSPKPVESDTSEPDVGGERATRVTSQIVQNFPDNNNNLPDNSSKRTTTSSHHRKVFREKFQDKSSTETANFRLNIPAKSAPSSGFSSPVCSPRRFSNAEYTTPTAQGPQAWSAPSVRSVDSMATSSPRISPEIYTGVTEQSTFSNSLRSPILMSKNSSAPPSPLHPKLFPENNMSRIEGNGNVSFHPLPRPPGAINSMQTSIVNQSAPKVEMPSVAGQWQKGRLLGSGTFGCVYEATNRQTGALCAMKEVNIIPDDVKSAESLKQLEQEIKFLSQFKHENIVQYYGSDTFEDRFYIYLEYVHPGSINKYVKQHYGAMTESVVRNFTRHILRGLAFLHGQKIMHRDIKGANLLVDVSGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEMVQATLNKDVGYDLAVDIWSLGCTIIEMFNGKPPWSDLEGPAAMFRVLHKDPPIPDNLSHEGKDFLQFCFKRNPAERPTASELLEHPFIRNSSHYNKHGSIHSFAGIKSNDNNNGNGSRDKAASKSDSCVKGKNTVGEPTNARPSESSAFRLTPLSIQEVAPNFSSRPLGLTSNPSPSANLVNTVYFPIANSQRSPLPRPNEKEALF >ORGLA01G0412500.1 pep scaffold:AGI1.1:Oglab01_unplaced072:40668:41456:-1 gene:ORGLA01G0412500 transcript:ORGLA01G0412500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFVQGLQHPPFICKGTERMQWAGQKRFFSVEAKAKDAKLMESTRSSVKRLMAWMNEQANPRNTAIVLTIINVVYLGIFIRECLRSDEHAKDCTADDNGDRNSSYRIVKYECHDPYACPWYRALVAQYAVMLVLVLFTM >ORGLA01G0412400.1 pep scaffold:AGI1.1:Oglab01_unplaced072:18769:20293:-1 gene:ORGLA01G0412400 transcript:ORGLA01G0412400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGVGAADLSLDLKMFAAKSFGRVRGKDTTTTAMGDCIRRLEEEMGKIEVFRRELPLCVRLLADVIDVMKEEVEKKGGDRKEDEEDAAGDKSNWMSTAQLWTGNSGGPDAAAADPVKQDKVRISSEAKSNGGAFVGSGAPAFARPKQSLMRKEDMAYDVRMPDLSLLSPPASAAAADESRRQVVGFAQAAARAAAMAASGPALSLQPQPQPAAAQQQQQARKTRRCWSPDLHRKFVAALQQLGGPQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRPRVPSSTIVNQPIVLMQGLCYIPQEQSSSQSGSPEGPLHFSGSGMAGGGSSAATVSCEEEDGRSESYGWK >ORGLA01G0412300.1 pep scaffold:AGI1.1:Oglab01_unplaced072:8802:11076:-1 gene:ORGLA01G0412300 transcript:ORGLA01G0412300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAAAALAACILAVAATLAGADDPYRFFTWNVTYGSINPLGSTPQQGILINGQFPGPRIDCVTNDNIIVNVFNNLDEPFLLTWNGIKQRKNSWQDGVLGTNCPIPPGANYTYKFQAKDQIGTFVYFPSVAMHRAAGGFGALNVYQRPAIPVPYPPPAGDFTLLVGDWYKAGHKQLRQALDAGGGGALPPPDALLINGMPSAAAFVGDQGRTYLFRVSNVGVKTSVNVRIQGHSLRLVEVEGTHPVQNVYDSLDVHVGQSVAFLVTLDKAAQDYAVVASARFSPGAAPLMATGTLHYSSAVSRAPGPLPAPPPEQAEWSMNQARSFRWNLTASAARPNPQGSFHYGTIATSRTLVLANSAPVLAGQRRYAVNGVSFVVPDTPLKLVDNYNIANVIGWDSVPARPDGAAPRSGTPVVRLNLHEFIEVVFQNTENELQSWHLDGYDFWVVGYGNGQWTENQRTTYNLVDAQARHTVQVYPNGWSAILVSLDNQGMWNLRSANWDRQYLGQQLYMRVWTPQQSFSNEYSIPTNAILCGRAAGLGH >ORGLA01G0412200.1 pep scaffold:AGI1.1:Oglab01_unplaced072:4482:5200:1 gene:ORGLA01G0412200 transcript:ORGLA01G0412200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PECTCWQGPRQFLVISEATEGVKWAGQKRFFSMERNANGFKLMESARLAVKKIKALGNARIEIDPRNEFYVVAVATAISLWLMSRQYRSLGDPTFSGGSMKKLMSDVDGTLDGG >ORGLA01G0412100.1 pep scaffold:AGI1.1:Oglab01_unplaced072:2226:2579:-1 gene:ORGLA01G0412100 transcript:ORGLA01G0412100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RWLLSKLAETYFKMQIPMEKHGMVPEESFAGSMSGCRLGVLPDKFYDRVEEGSILIKRARSFSFCTDGLVLDDDDTSERVDADVVVLATGFRGDQKLTDMFVSATFKQQIVAAPLYR >ORGLA01G0412000.1 pep scaffold:AGI1.1:ADWL01003105.1:10004:10335:1 gene:ORGLA01G0412000 transcript:ORGLA01G0412000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIVVEEHVAVSIIVPWSETMLTCLPPCSKFMDASNLALFDEYGGSELILPLPGYASLG >ORGLA01G0411900.1 pep scaffold:AGI1.1:ADWL01003105.1:3235:6369:1 gene:ORGLA01G0411900 transcript:ORGLA01G0411900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASKDQIGRGGGTGDADGGDGAKRKEDALASSRLLDPDFKPSKLSQDRLDKFKGITQETVANKGKPKCKGKSRGSTKKNTKVTSDCSIVDKDESIGNVAIDVQHTASAAGTQVDLASSFPSRNKRKLHWGLDVKERWERKANM >ORGLA01G0411800.1 pep scaffold:AGI1.1:ADWL01003104.1:2463:2846:-1 gene:ORGLA01G0411800 transcript:ORGLA01G0411800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRHRHRDDPPLTLAKKGRWRGSIATAVVVALPLPTKVAGSSELASRDQIRCRCHSRRGGGEASELAGKPGATACEEGEREKPARSLWIRRGHGGDGRDPADRGGGGKQIETKGGGVSVGGGGEGDP >ORGLA01G0411700.1 pep scaffold:AGI1.1:ADWL01003104.1:337:1038:-1 gene:ORGLA01G0411700 transcript:ORGLA01G0411700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATFLLPLLLLSTLLPAAVPLPPRPPVRCDGSGGGDGSGCVLSNAYGAWSSDRADCPVAAVAYPASEADVVAAVAGASAKNMPVKVVSGFAHTIPKLACPGNGSGSSGGAASSLLISTARYDGVAVDAAARTVTADAGAPLRAVIDAAEASGLSLTAAPYWEGVSVGGLVSTGSHGSSWWGRGGAVHDHVVALRLVVPAGAADGWAKAVALRRGDALFNAALVSLGLLGVISK >ORGLA01G0411600.1 pep scaffold:AGI1.1:Oglab01_unplaced068:19448:21003:-1 gene:ORGLA01G0411600 transcript:ORGLA01G0411600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPELMDDMTYEILLRIPPDDPAFLVRASLVCKRWHQLLTDPAFLRRYRAFHRTPPMLGFIHNVDHSSNSSYIPRFVATTSPSPFYPDFPPPSIEFPTYWWALDCRHGRLLLQLFNPIDLMVWDPTTGDHRIFPQPPYLDFYCTGAVLCATRGCRHVDCHGGPYLVVFVGTGEDDHSWACVYSSETGEWSSQASIAFDSYVEMLPGLLVQDTLYFRCERGKRILGYDIGRHELSEIDPPPLGHEVGILMESGYGGLGFATVEDCSILLWSRYVGDDGIEEWKKSWVIGLDFLNPVGNPSLSWELAGFAERVHTIFISSEIGVFTIELKSGQVKKLCKEGYYTVVPYMSFYTSDIAIWRPEEPAED >ORGLA01G0411500.1 pep scaffold:AGI1.1:Oglab01_unplaced068:17606:18034:-1 gene:ORGLA01G0411500 transcript:ORGLA01G0411500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAEIVSGDAICRNKSIELLEELGLPKGLLPLEDIEEFGYNRDTGFMWLVQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKIAGVKTKELMLWLSVVEVYIAETSPEKVTFKTGTGLSDTFDAAAFALGE >ORGLA01G0411400.1 pep scaffold:AGI1.1:Oglab01_unplaced068:14843:16409:-1 gene:ORGLA01G0411400 transcript:ORGLA01G0411400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPDLIDDLTAEILLRIPPDEPASLVRASLVCKPWRRIITDPAFLRRYRAFHRTPPMLGFLHNVDGDKAISSAPRFVPTTTTASSPFSPPAIGSPHWWWALDCRHGRVLINLFNPMELMVWDPITGDQHRFPVPPHPHAFCTGAVLCAARDCRHLDCHQGPFLVVFVGSGEHGYHYSWACLYSSETGEWSSKASIVFDSYVEMLPSLLVEDMLFFICENGIRILGYDIGSHELWEIEPPLWDDYQGGTLMTAEDGGLGFATMETRGLVLWSWYVDDDDGIADWEQLRVIKLEMLIPVDNPSVSLDLVGFIEGTQTIFVSSDVGVFAIELKSGQVKKILLGGGCRTQLEYRLYSDPSRPFRYAS >ORGLA01G0411300.1 pep scaffold:AGI1.1:Oglab01_unplaced068:13093:13521:-1 gene:ORGLA01G0411300 transcript:ORGLA01G0411300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIGSHRSGAEIVNGDAICRKKSIELLEELGLPKGLLPLEDIEEFGYNRGTGFMWLVQKKKKIEHTFKKIKQTVSYANEVTAFTEKGKLKKITGVKTKELLLWLSVVEVYVTDASPDKVTFKTGTGLSDTFDAAAFALGE >ORGLA01G0411200.1 pep scaffold:AGI1.1:Oglab01_unplaced068:11713:12114:-1 gene:ORGLA01G0411200 transcript:ORGLA01G0411200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXGLRRSRSSSSVFGVGASPDGSVKGAGGGGSSSPLPVGTLALPRTPPLLCGEFLGWIEAAARQRGKLRLPKQCHLVPGSPFVQSWRGGRRVVERRGPGPALRGGG >ORGLA01G0411100.1 pep scaffold:AGI1.1:Oglab01_unplaced068:8381:8809:-1 gene:ORGLA01G0411100 transcript:ORGLA01G0411100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRAGAEIVNGDDICRKMSIELLGELGLPMGLLPLEDIEEFGYNRDTGFIWMVQRKKKIEHVFKKIKQNVSYAGEVTAFVEKGKLKKITGVKTKELMLWLSIVEVYAAEASPEKVTFKSGAGICKTFDAAAFALGE >ORGLA01G0411000.1 pep scaffold:AGI1.1:ADWL01003100.1:519:2760:1 gene:ORGLA01G0411000 transcript:ORGLA01G0411000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGIQMEEMQSTCDSMFSLLEKNFETNSKGVEIFWKSWLPREGTATKAALFFCHGYGDTCTFFFEGIAKRIAAAGYAVYAMDYPGFGLSYGLHGYIASFDGMVEHVIEQYSRIKGRKEVRGLPHFLLGQSMGGAVALKVHLKQPKEWDGVLLVAPMCKFGKISEDVTPPAPVLKALSILSCLLPEAKLFPQKDIGDLAFRDPSKRKVAEYNAISYTQQMRLRTAVELLKATKDIESQLEKICSPLLILHGAADMVTDPHVSEFLYEKASTKDKTLKLYEDGYHSILEGEPDDRISTAINDIISWLDSHC >ORGLA01G0410900.1 pep scaffold:AGI1.1:Oglab01_unplaced066:1865:2086:1 gene:ORGLA01G0410900 transcript:ORGLA01G0410900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNTHAIVRRAVRSNLAMFLPPGWARAREEHMEGYVKSYLDMSWAPIVSRLAAGAATAAATKPAAVSVLRRQ >ORGLA01G0410800.1 pep scaffold:AGI1.1:ADWL01003097.1:3853:5223:-1 gene:ORGLA01G0410800 transcript:ORGLA01G0410800.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSISFNCRIGLCRYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASRLPNLRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKIGLVNCPVLVIHGTSDDVVDCSHGKQLWELCKVKYSPLWLTGGGHCNLELYPDYIKHLKKFVSSLGKKSSKPDLKEITMKEGASSKDSEPASSEKPQEAPKCSQISRKSLDSRVGKSKTVDVPEKPRMSSDDVDKFRRRRCLVW >ORGLA01G0410700.1 pep scaffold:AGI1.1:ADWL01003097.1:2468:2973:-1 gene:ORGLA01G0410700 transcript:ORGLA01G0410700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHNNGGTELLHDTEVRGELLLPPSKRQPWYSRKSTAPLLLAKHSSRTSSSTVNGGGISSGAADSTTLARSTAARRVHLAAPSSFNSDDDSGRLAALSSFDDNDRYLVAPRHGQAERPVRLRHEEHLHAQLGDVFEVRGMREFDLRRRR >ORGLA01G0410600.1 pep scaffold:AGI1.1:ADWL01003097.1:32:1774:1 gene:ORGLA01G0410600 transcript:ORGLA01G0410600.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKTKRSNSKIKIIAGVVGGGLFAMFALGLIAIVFFVRKRKHKKVNSSSKLLKYSGSGGTPRSMGGDMESGSVKDLQTHLFSYEELEEATDSFNENRELGDGGFGTVYKGILRDGRVVAVKRLYNNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSQSRELLLVYEFVANGTVADHLHGHRAQERALSWPLRLNIAVESAAALTYLHAIEPPIVHRDVKTTNILLDADFHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKSQLEELVDLELGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEGLKGVQDLCVMEKDGGKDKKGPDPPLSPDTVHAQWDSRQTTPNTSQ >ORGLA01G0410500.1 pep scaffold:AGI1.1:ADWL01003094.1:1081:1596:1 gene:ORGLA01G0410500 transcript:ORGLA01G0410500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPWEDKRNRGQYSGVLVYCYDAGRGLVHAQTRAAGEGEASPPSSAKNKATSMMAFAVSRALETSNRNNYQGGKAGWARGGSKCMHADAGAAPRHRRGPRVRQLVQVLAQLVAARTRRTQQRPAPRRPSDGESQQPSSGPRCLCILLRATPSTVQVNTRACKCTHGVGIL >ORGLA01G0410400.1 pep scaffold:AGI1.1:ADWL01003093.1:303:602:-1 gene:ORGLA01G0410400 transcript:ORGLA01G0410400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEVRRGGGMATPTPMAGEGTLAAVMPRSPSPTASAAAGSAAEAPMLIFLYFHKAIRAELEGLHVAAVRLATERAGDVGALAERCRFFVNIYKHHCDAEDA >ORGLA01G0410300.1 pep scaffold:AGI1.1:ADWL01003091.1:7324:7956:-1 gene:ORGLA01G0410300 transcript:ORGLA01G0410300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLRCSKNPASSPPPAAPDTPSPDKEKAAHRSTDGVGGGGSPQRGPQRPWNLRTRRSATAAPRPEGSDDAADAAPERAPSPLAATKKRVFSIVLSKEEIGQDFKAIRGTRPPRRPKKRPRTVQRQLDLLYPGLCLADLTPETYKIEER >ORGLA01G0410200.1 pep scaffold:AGI1.1:ADWL01003090.1:125:1216:-1 gene:ORGLA01G0410200 transcript:ORGLA01G0410200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAGVGRDGSFASQKRPRRVSVRMERSRVGDGCCCSCSGRGGVASTTAVRPSTGMVVIVGATGTGKTKLSIDAAQELAGEVVNADKIQLYDGLDVTTNKVSLADRRGVPHHLLGAIRAEAGELPPSSFRSLAAAAAAGIASRGRVPVVAGGSNSLIHALLADPIDAAPRDPFADADVGYRPALRFPCCLLWVDVDDDVLDEYLDRRVDDMVGEGMVEELEEYFATTSASERASHAGLGKAIGVPELGDYFAGRKSLDAAIDEIKANTRVLAARQVGKIRRMADVWGWPIRRLDATATIRARLSGAGRAAEAAAWERDVRGPGLAAMRQFVGRADFNAAAVDQLAARSRRQCLRGGMVAG >ORGLA01G0410100.1 pep scaffold:AGI1.1:Oglab01_unplaced055:21594:27168:1 gene:ORGLA01G0410100 transcript:ORGLA01G0410100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCQRTWHPLLLARGFGWNERLQENTFVMDNFLHSPAXWLWSPSEIXRSETAIQRYNNHHGSLLFRDGFERVLYEEIDTDDDGMDDIDGAQEEDEVALQSDGCSVDYIADGLRELDMDNYDDEDGVIKDLCSGSSDLYYPSNDMDPYLKNKNNGLVSILEEMEDGHPYLYPYDEIVLLGIPLCVPWSDCGETQKDEKIQDWKPETLYLIGIDWNKEYTWVLSLIYQKNHXEWVQXHSISGXLHRIKMERXSSGIYQATSRLSLLRXIRKLVLFSQYHFQKTIPSCWLWEGRRATXKSGIHXPNHWWPIRLASMEVH >ORGLA01G0410000.1 pep scaffold:AGI1.1:Oglab01_unplaced055:7272:7805:-1 gene:ORGLA01G0410000 transcript:ORGLA01G0410000.1 gene_biotype:protein_coding transcript_biotype:protein_coding QDWLAGCRRRGYEYVMLVQACQGRVPTKSAGGQFSNREEMGSSSHEEDEVVAPHHGGDVGPDIQNLSIQGDENVNRHPTGEADEGEDIPAIVEEIEKVDRHVVEDEDNLAAEENDDEDEQEVEEVPMPALWNLEDPGYIAENSCHDSIWFYGDGQINLGAMFRDKTGLQDAVKSWSF >ORGLA01G0409900.1 pep scaffold:AGI1.1:Oglab01_unplaced055:686:823:1 gene:ORGLA01G0409900 transcript:ORGLA01G0409900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPATPTDFSPLHSLAHHNESCYTKGKSHCPCWLVVGTVNVSQQ >ORGLA01G0409800.1 pep scaffold:AGI1.1:Oglab01_unplaced054:67073:67422:1 gene:ORGLA01G0409800 transcript:ORGLA01G0409800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHGADRGPMIDDEIDESSETKIDEAATRRTAWSRPSTSRTRPTHRPSRRRPRGDR >ORGLA01G0409700.1 pep scaffold:AGI1.1:Oglab01_unplaced054:51883:53370:-1 gene:ORGLA01G0409700 transcript:ORGLA01G0409700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAATTTTSSKRRRPEVLDEDTYVAAVERIIERDFFPDLPRLRDRLDWLQALRSRDPLVLRDAQLKILERRRRAQRQRQGGPVPTPTLATSTALRSPSFLATPSVAPSVAGDEGADVDDDVEAALSLDDFFRRFTSEDNESFSRILEKVNHRRRERYAHLLEPGEVAKAPQLEDAKRDRITDGYGTSGQPPSTLEGAKFTAKNLLMYYPADRGEAPLTDEERAERLKGMTKEIDRSNTRFHGKSSVDDGAKEEEAAAILYAPVAGSTPGGMAYHDPDKAKKYDLEDLRKTPNPFYVESGKNANNGYSFVRTPSPAPGVDESPFMTWGEIDGTPLRLDPEETPGGSGGSDRAHFKIPPPPARDVKAHLLSRDAARKIKERTKMFHKPPLPSPVRGGSASPRTFSPAAQKFVRNAIAKSSRTIDESLRASYRGTTPSATTPKTRFSRDPSLGSRSPSTRQGSTPPW >ORGLA01G0409600.1 pep scaffold:AGI1.1:Oglab01_unplaced054:47928:48653:-1 gene:ORGLA01G0409600 transcript:ORGLA01G0409600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPKLRPSSVDHNLGLGRSLVRVSPDASVVLGTAAQWDNERERLTVSTLHVPLPPRGAAESRMAVDGLDIRTKEEGHGVDSRWSGQRGGEYATASLAENDVGLPTGKADVTSVSLRRLTVTGTGTACFFILLPAGCHKPHHG >ORGLA01G0409500.1 pep scaffold:AGI1.1:Oglab01_unplaced054:41005:42879:1 gene:ORGLA01G0409500 transcript:ORGLA01G0409500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTTTVTEPDNADASPSPSPSPSTPPKKVIMYELAARNIYYAKPAAAAVATTTVASLARLLRPCGAAQPPSPEYILRDVSLTARPGEILAVVGPSGAGKSTLLDILAARTAPTHGRLLLNAAPLRPSSFRRLSAHVPQMDVALPLLTVAETFAFAASLLYPAAAEASAAVAALLADLRLGHAAHTRVSATRLSGGERRRVSIGLALLRDPGVLLLDEPTSGLDSSSAHVVVGCLRAVAAARGTTVVLSIHQPSSRLLSAVDSLLLLSRGAVVHHGSVDSLDAALLSHGLAVPAQLNPLEFALEVLDQMPHPSASSPEPKTTEELAAVTSSKSSSSSTSPCSRIHEVVVLYKRAWKVVYRSKQLLLTNFLESVVVGTLLGSIYINAGDGEGGAHKRLGLFAFTLTFLLTSTTETLPTFVSERPIVLAETASGLYRLSSHAAAATLVFLPYLLAVALLYSACVYFLVGLCASAAAFAAFVMVVWAVVLTANSFVLFVSSFAPDYIAGMSLVSVSLAGFFLFSGYFLSRGSMPPYWVFMHYVSPYKYALDALLANEYTCAATRCFGVAGPAAGDCSETGADVLAEKGLTAKERWTGVQVLFGFFLLYRVLYWVVLSRRAARAKR >ORGLA01G0409400.1 pep scaffold:AGI1.1:Oglab01_unplaced054:24747:28206:-1 gene:ORGLA01G0409400 transcript:ORGLA01G0409400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:I1NW54] MADPAAEETVPAPPPTPAVDPAEGASDAPQPVELPADTAAASPEKVSSPPPEPAPAVRSRGFRLLGEDTSVHKALGGGKTADVLLWKDKKTSAVVIGGATVIWILFEVLDYHLLTLLSHVMIGALAILFLWSKATTFIKKSPPDIPVVQIPEDVAVNVSRALRGDINRALHLFREIALGHDLKKFLGVIVALWVLSEVGSCCDFLTLIYVAVLMLHTVPILYDKYQDKVDHFAGRAHSEACKHYEVLDAKVLSKIPRGPAKPKKN >ORGLA01G0409300.1 pep scaffold:AGI1.1:Oglab01_unplaced054:19444:19929:-1 gene:ORGLA01G0409300 transcript:ORGLA01G0409300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKANVNELHPIQVGLAIRTDDGGCELVVFEFNLRGFDINNPANLRDPASIAHLRGRGVDFGRLPHARIEPHRLRSLLLGSGLLQTRPSWATFTGAYHIGYLMKILMGAEVPSGLDAFMAMATATLGEGVYDVKRLAVEVNTASRFSLREIAACLGVVPAVA >ORGLA01G0409200.1 pep scaffold:AGI1.1:Oglab01_unplaced054:17473:18210:1 gene:ORGLA01G0409200 transcript:ORGLA01G0409200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCSSRRRRHGSRRTWCPTRRRSARTGSTRRALSSGSASRGVPDAHLVFLVGNMVTEEALPTYQSMANWFESARDVTSADGTAWARWICRWSAEENRHGDVLNRYMYLSGRLDMRQVERTVHRLISSGMAMYAPMSPYHGFSYVAFQERATEATRHATSAPMATMRSPASAAPSPPTRAPRGRLHPRRQDAPRRRPRHLRRDRRRLVLLRRLEQWQQVLLHPWLHLLKHLQPRRRRRRPVRLW >ORGLA01G0409100.1 pep scaffold:AGI1.1:Oglab01_unplaced054:9101:11668:-1 gene:ORGLA01G0409100 transcript:ORGLA01G0409100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAAAPAPTTNFADIHSVWHGNFDAESAQLLAVAPRAVHVAVSVQYPGCAVAQAGTGGRKKYGSLTAEERYDMVKANIDELHPIQVGLAIRANDDDGGSGELVVFEFNLRGFDINNPADLRDPASIAHLRGRGVDFGRLPCAGVEPHRLRLLLLGSGLLQARPSWAMFTGAYHVGYLMKILTGAELPSGLDAFTAMATGTLGEGVYDVKRLAAEVNTACGFSLREIAACVGVVPVAAQHGMVAGAGAVSTLQCFEALRERLGQLQGRVRHPTLRRAARPPRRAYINVQYPGCPVPGGEPRPFEQLTAEQRYGVLKANVDALRAIQVGLAIRTGDGGGEAFVFESNLNGFDVGNPDLPRQRDARSIAHLRCEPAASTSPEAAARRRRLATFAGGYHVAYAVKLLTGRDRAPGQLDGFTRLVASIFVRRVYDVKRIAREHEPVHVGALTSLAERLGVFIVYLYGIYIRLGMGCHA >ORGLA01G0409000.1 pep scaffold:AGI1.1:ADWL01003062.1:44:2459:-1 gene:ORGLA01G0409000 transcript:ORGLA01G0409000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSFCNFELRLLGMRGDDEDDLEEERVEVFGNTASPRIDGSQPETKTEPDDDGTGAGGNGAPSGSSASNKRSRSQSMLRFNADGSVSQWEYKPDVARTELVKLIAREDLPLCFGESAAFEEYIQKAHNPRFRSVSRQTTSRDVFKVFDSQRAMLIETLKSVSSVALTSDIWSGNAKEDYLSVVAHFVNSNWQLGKRILGLVLIDVSHTAENISKRILSVVQEYGLTDKIFSVTLDNAAANTKAMDHLKPAISGYVGDLYLHQCCACHIINLIVKAGLEIFKNMLADFRSAISFVNASNQRIALYKSFCIAKGVRPRKFGLDMDVRWNATYLMLKNLLPHKGIFSVFIATNHPPVNGHALLTDLHWTIAETVLLFLEQFYDSTVIMSGVYYPTSPLIMHHILEIAGHLNTYEKDRNLRNVVVPMKSKFLSYWSEIPFLYSFAFILDPRAKIRGFSNVLQIMTGNYSEYLTEVRAALSDIYKKYEAKFGDVRLQRATPSSSCGKKKTAWGKIFGSSASGSSVGAGPGVGDGFASASPGAGLGASASPIPGFGASASPGAGLGAGLFSRRTSATALIQAVSSTANMNASELSAYFDSDTVNQFDDDFNILNWWHEHKHTYPILSLLDRYVLNVPVSTISSESAFSLTGRIIEDRRRCLGLAMVQALALIKDWEQAESKKQHSAENKELIKSFEYLYLDDETTGDGDGTGTGDGARAGTGT >ORGLA01G0408900.1 pep scaffold:AGI1.1:Oglab01_unplaced049:22:768:1 gene:ORGLA01G0408900 transcript:ORGLA01G0408900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATAVHSSAAAAAESAPDDVIAEILLRLPPHPSFLSRASLVCNRWRRLARDPGFLRRLRAFHRTPPVLGFFHNSPDLPRFVPAEGVPGRVAAEAASLRRDGDDGMWWFVDCRHGRALLRSRDWAELLVWDPMTGERRCITVSSQIQEGALDLNAAVFCAASGGGDQDCHSSPFHVVVVFTTGQCHGRVFACVYSSGIDAWGDPISTPVTSPCELYEEPLFLLGKLCIGFLMGAVYLSSNSATNVYV >ORGLA01G0408800.1 pep scaffold:AGI1.1:Oglab01_unplaced047:59679:62216:-1 gene:ORGLA01G0408800 transcript:ORGLA01G0408800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NW48] MQMCGWLLKVVRWENLNCVHMEAHGNRRSSPTYLVMLWMISVASLLITCRGSIQKQVLFPGFTAAQMDYIDNDGIFLLSNGSVFGFGFVTSNVSDNTFYILAVVHMATTTTVWSANPNSPVTHSDDFFFDKDGNAFLQSGGGSNVWAANISGKGTATSMQLLDSGNLVVLGKDASSPLWQSFSHPTDTLLSGQNFIEGMTLMSKSNTVQNMTYTLQIKSGNMMLYAGFETPQPYWSAQQDSRIIVNKNGDSIYSANLSSASWSFYDQSGSLLSQLVIAQENANATLSAVLGSDGLIAFYMLQGGNGKSKFSITVPADSCDMPAYCSPYTICSSGTGCQCPSALGSFANCNPGVTSACKSNEEFPLVQLDSGVGYVGTNFFPPAAKTNLTGCKSACTGNCSCVAVFFDQSSGNCFLFNQIGSLQHKGGNTTRFASFIKVSSRGKGGSDSGSGKHNTIIIVIILGTLAIIGVLIYIGFWIYKRKRHPPPSQDDAGSSEDDGFLQTISGAPVRFTYRELQDATSNFCNKLGQGGFGSVYLGTLPDGSRIAVKKLEGIGQGKKEFRSEVTIIGSIHHIHLVKLRGFCTEGPHRLLAYEYMANGSLDKWIFHSKEDDHLLDWDTRFNIALGTAKGLAYLHQDCDSKIVHCDIKPENVLLDDNFIAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWLTNYAISEKSDVYSYGMVLLEIIGGRKSYDPSEISEKAHFPSFAFKKLEEGDLQDIFDAKLKYNDKDGRVETAIKVALWCIQDDFYQRPSMSKVVQMLEGVCEVLQPPVSSQIGYRLYANAFKSSSEEGTSSGMSDYNSDALLSAVRLSGPR >ORGLA01G0408700.1 pep scaffold:AGI1.1:Oglab01_unplaced047:56504:58669:1 gene:ORGLA01G0408700 transcript:ORGLA01G0408700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLSCLGSMSKWEPVTFEESLCFVKKVKARDYVLYLSLLDVLSRNEQIPLEAYSELSLLFRDHDDLLEELAKFRPLPTPSTVYSHSSVWLLFFLMPLLVLSILLKCFLLQQPVAS >ORGLA01G0408600.1 pep scaffold:AGI1.1:Oglab01_unplaced047:41519:43534:-1 gene:ORGLA01G0408600 transcript:ORGLA01G0408600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHLAALLLRGSGGHHHSHPRAVHGAAVKLGCLASTYLCNNLLLSYQARGHLADARGVFDEMPRRNVVSWSVLIAASSRLGVLGDALSLFAGMLRGGERDRPNSFTVAALVAGFARAKDAVAGEQVHASAVKLGVDEDESVAGTLVDMYAKCGRVGSSWRAFVLTPQRSVLSWTSMIACLVNHGDSGYRDTAIVLFKKMLVLKVWPTNATFSCILKVFDVPELLPSGKQVHGCLVKMGTEVDPALGTALLAMYGRCGGMDEITRLACRIRHDAFSRTSLLTAYARNGCNMEAVRVFRDMLMGHMPIDQSAITSLLQVCSSLGQLRVVKEIHCYALKNFFRLDTLLLNAIVTVYGKCGDIASAEIVFNTLENKDTISWTALLTCYVQNDLSQEALFFFREMVRKGLESSIFCITSVLRACSATSSLSCGWQIHSRVVKLGVDDDTSVENALVTMYAKCGVVQVALKIFNSMRNRGIISWNALITSFSQHGNEVAAIQLFDMMQEEMVCPDDYTFVGLLSSCSRMGLVAEGCEYFKQMKTKYNLEPKMEHYTCMVDLFARAGRFSDAMKFIDAMPCQPDQLVWEALLASCRVHSNLDLGRMAAKKILEIKPEDPSPYIILSSIHASIDMWDEKARNRTLLDFQQLRKDVGSSQLDSQGFSDDIFNALQVGVT >ORGLA01G0408500.1 pep scaffold:AGI1.1:Oglab01_unplaced047:37981:38514:1 gene:ORGLA01G0408500 transcript:ORGLA01G0408500.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDSGITLRQTAYAKRVVELAGLTDCNPTLTPMEERLKLSRDSTTEEVDATQYRRLVGSLRYLTHTRPDLAFSVGYVSRFMQRPTTEHQQAVKRIIRYVVGTLDHDLYYPRCPGKAHFIGYSDSDHAGDIDTSKSMSGILFFLGECLVSWQSVKQQVVALSSCEAEYMAASAASTQAL >ORGLA01G0408400.1 pep scaffold:AGI1.1:Oglab01_unplaced047:29032:33501:1 gene:ORGLA01G0408400 transcript:ORGLA01G0408400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLVPEWKDAFVDYWQLKKDIKRLQAAEAEAAGVAATTPLSQCQAPVAAAHWVMRLPFLHPHGHHHKEHGAIQVHRKLASGGGGGGGAVAGEVYETELVDGGAGFADGEAARAFFARLDEQLNKVNRFYERKEAEFVERGESLRRQLQILAELRAAVVAEQQRDGRRRRYGNGGDSSPPDTEDPSVSCSILHGDQSLRGTSEQEQEGQEKLTKDMIARSPDEGDDDQLTIPQELGDSGRLGRPREEAANTRPRTTLPGGRAVTCQGRSVRINIPVTTPTRTVTAIRELLFDDMLSQSRRSGSANGTKCSDKLSINKRKVHQAEKMIRGALIELYKGLGYLKTYRSLNMMAFVKILKKFDKVTAKEAQSIYLKVVESSYFNVSDKVIRLMDDVDELFVRHFAEGDKRKAMKYLKPNQREESHTTTFFIGLFTGGFAALFIGYCIMAHIAGMYTQQSNKVYMATSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFTPTKELKYRDVFLICTTSMTIVIGVMFAHLTLIVKGYSSCAVQAIPGALLLVFLLILVCPFNILYRSCRYHFLTVIRNIILTPFYKVVMVDFFMADQLCSQVPLLRSLEYLACYYITSSYKTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENDNSAGWLSLVVIVSSLATIYQLYWDFVKDWGLLQFNSKNPWLRNDLILKQKYIYFLSMGLNLILRLAWLQTVIHPNIGSLDSRVTLFILAALEVIRRGHWNFYRLENEHLNNAGKFRAVKVVPLPFHEVEEN >ORGLA01G0408300.1 pep scaffold:AGI1.1:Oglab01_unplaced046:79660:81554:1 gene:ORGLA01G0408300 transcript:ORGLA01G0408300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--inositol 3-phosphatidyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NW43] MAQPSSEKTPSVYLYIPNIIGYFRIIINFIAFAGCYSNRVLFAILYFFSFFCDGLDGWFARKFNQASTFGAVLDMVTDRVSTACLLALLSQLYRPGLVFLMLLGLDITSHWFQMYSMFLSGKTSHKDVKDTGNWLLKLYYGHRPFMAFCCVASEVLYIILFLFADEKSTSLLNVCRC >ORGLA01G0408200.1 pep scaffold:AGI1.1:Oglab01_unplaced046:73481:73777:-1 gene:ORGLA01G0408200 transcript:ORGLA01G0408200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVGNSRGRNRGHGEKKGKGKGSIIFKGKSKGKPRGKGELKKVIGESFGVKQDNCDRCGGRGHWSRNCRVPKHLVELYQQSMNEKTSQHESHFTIEPEA >ORGLA01G0408100.1 pep scaffold:AGI1.1:Oglab01_unplaced046:54101:54391:-1 gene:ORGLA01G0408100 transcript:ORGLA01G0408100.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPRRPHWSSSAAQGLLHLLRASPPHLQVATVAALGQWSSYIYMATDVAVQAVGPATSTSSNMIHRQRRRIFLDYASLFSDNYVLLRQFFLYAVLAP >ORGLA01G0408000.1 pep scaffold:AGI1.1:Oglab01_unplaced046:49490:50983:-1 gene:ORGLA01G0408000 transcript:ORGLA01G0408000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAEMAPSSLLALLVVRVRVATASAGAVTVGGWRGPPPTATASRPPRAPRLLAPPPPLAGHKIQIKSANMKEEMRQEAFDIDRVAFEKHTMEKDIVEYIKKEFDKNHGPTWHCIVGHNFCCRAMDIRPPGPGEQRDWL >ORGLA01G0407900.1 pep scaffold:AGI1.1:Oglab01_unplaced046:39991:41816:-1 gene:ORGLA01G0407900 transcript:ORGLA01G0407900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMQTNMLHLMGLDETMKMVTKNVFSSLPDAPVSLHAPLAFAAAARPPRDGVDRTGALPVGILCDILSRLPARDAARTSALSTRWRRLWRSVPLVLADAHLKHTGPSPPPVSEIDQVDGLGGVLRRAVDGTRDVASAVSRALAAHPGPFRSVHVTCTRMDAHHAELALWLQLLAAKGVQELVLVHQASKLDAGVRLPATLFRCSSLTCLYIGFLRFPDVATIQRAGAFPHLRELGLCSLVMGVRDLALLLDRCPVLENLEIVGHRELVRLRVASHSLRCVELCESVVEEITVEHAARLERLMFWEICGVGGVIDEDGCSINMCTKIKIGHAPNLRFLGFLVPGMHQLNIGNTIIKAGTKVSPKNMVRSVRVLGIQVKLFNHNEVKMLPIFLRCFPNVETLYIQCETTIHKPPGMLNPKFLQQTGPIDCLQKHIKKVIIREFRVHRSELDFVKFIAERGQVLEKIVIVLAQSYSSSADRLRSSMRTFMASVKLANEDCKVIVCESPFPSDGTAWCFQGAFNMSKDPFDVSQCSNSGASCRAA >ORGLA01G0407800.1 pep scaffold:AGI1.1:Oglab01_unplaced046:31780:32034:-1 gene:ORGLA01G0407800 transcript:ORGLA01G0407800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XQKTFSGKQRMMASIAWQRCVVYGLRRLDDVPDNSDEMACDVAGAGDALRAPSSDAPAGILLEQPADEFEEDGNVDESERTSVM >ORGLA01G0407700.1 pep scaffold:AGI1.1:Oglab01_unplaced046:27919:29749:-1 gene:ORGLA01G0407700 transcript:ORGLA01G0407700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMETNALQVLGLDDNMRMVTKNVYSSLPDPPVSLHAPLAFAAAAWPPRDGVDRISALPVDILRDILSRLPARDAARTSALSTRWRRLWRSAPLVLADAHLKHTGRAPGPDELDRTGGLLLRAMDGMRDVARMVSSALAAHPGPFRSVHITCTPMDAHRSELALWLQLLAARGVQELVFVNRASKFDTDVPFPATLFRCSSLTRLYIGFLRFPAVATVPRAASFPHLRELGLCSLIMGQRELAFLLDRCPVLENFEIVCHRELLRLRVASHSLRCVEVCMSIVEEITVEHAARLERLMFWETCGTGGVIDNVGGIIDMRTRVKIGHAPNLRVLGFLVPVMHELSIGNTDIRAGTKPTRRTMVPSVQMLGIQLKLFDNNQVRMLPSFLRCFPNVETLYIQSETTLSGNTTGKLNPKLLQETSPIECLQKHIKKVIMREFRMQRSELDFLKFIAERGQVLEKVVIVLTHTCSSSADRLRASLSTFMASTRLANEDCKLIVYESPFPIDATAWCFQGAFNMSKDPFDVSKCFKDGASCRAD >ORGLA01G0407600.1 pep scaffold:AGI1.1:Oglab01_unplaced046:7878:10309:-1 gene:ORGLA01G0407600 transcript:ORGLA01G0407600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNKKVKKAMETIATNINDLPNEVLQYILSFLSTREVVQTCVLSQRWCNIWKFVPTVHVTNETIQHCQKLLDHVIMQRGDVSINTCHLEFIKYFRRENRKANKWIFHALSICKVKELRVYIQFQDFFLTITNQAIISGYLRKLELDSVKLEANSLDFTSCPLLEELQMGYCIIYARKIVSKSLKRLKMETMFFETEDDDGWPCRLHISVPNIVSLTLLGFDGWTPLFESMPYLAFAIVTFNDECYDTCQYSSFWDCGNEDCEGCYAIGDHLNGSVFLHHLSHTTHMELTNDCRMNMNDSISTIFDRDLKWCPLFRNLKTLLLNEWFLENGLRGVLRILQHSPALEKITLKLYMEPKKIVESEESYGTMEQPFVMNHLKKISVKCQKEVMWVKKIIMTLTQFGIPHQRICVKEIPRSSIISEMTYLQTEHNLRMKPYKCVLNDLKAKPER >ORGLA01G0407500.1 pep scaffold:AGI1.1:Oglab01_unplaced042:249318:250076:-1 gene:ORGLA01G0407500 transcript:ORGLA01G0407500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGPYGEAEKNEPARPLALPSPSVHPAANDDEEAARAAAAAAAGDTAANKRPRSPQYLRRRRCVIWCGGCCVTSAVVVGIVILVLALTVFKVKDPELTMNRVTLEGLDGDLGTSRHPVSVNATLNADVSLRNPNVASFRFDRSETDFYYAGETVGVAYAPEGEVGADSTVRMNVTLDVLADRISPNVNATDLIFGQDYNLTSYTEISGRVNVLGIYKRNLDIKMNCSITLEVSALSTVQSKSTNCVASVS >ORGLA01G0407400.1 pep scaffold:AGI1.1:Oglab01_unplaced042:237508:238101:1 gene:ORGLA01G0407400 transcript:ORGLA01G0407400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSLLSSLATLGLGYSIAIALGFLVLLASLLLASYFCFRRGGGGGHFSGVLTPSSSSSHLSITVPRVLFVAEGSESPDAYSSGVAAASSPVGLDPAAIASYPKVPFYSGAGADADAMCSICLSEYADGEMLRVMPDCRHRFHVSCLDAWLRRNASCPVCRSSPIPTPVATPLATPLSELVPLSQYAADRRRSR >ORGLA01G0407300.1 pep scaffold:AGI1.1:Oglab01_unplaced042:228696:230157:-1 gene:ORGLA01G0407300 transcript:ORGLA01G0407300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:I1NW33] MAEHKEEQSVMEKLSEKLHGDSSSSSSDSDDDKKGSSSSSAAAAMKAKIYRLFGRERPVHSVLGGGKPADLVLWRNKKISGGVLAGATAIWLLFEIMEYHLLTLVCHCLILSLAVLFLWSNASTFIHKSPPNIPEVKIPEDLTVNIALSLRYEINRGFATLREIGHGRDLKKFLIVIAGLWILSVLGSSCNFLTLFYIVFVALYTVPVLYEKYEDKVDAFGEKAEIEFKKYYALFEEKCLSKIPKGPLKDKKH >ORGLA01G0407200.1 pep scaffold:AGI1.1:Oglab01_unplaced042:222934:223152:-1 gene:ORGLA01G0407200 transcript:ORGLA01G0407200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVYAISGKRKKTMLQGKENYAWVGDLSLEANPVITVALVEQLEALWTAVCDVQLDEEELDQISWKFTPHG >ORGLA01G0407100.1 pep scaffold:AGI1.1:Oglab01_unplaced042:221446:222177:1 gene:ORGLA01G0407100 transcript:ORGLA01G0407100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSNSPPVPDIGSFSYSWPTNKPLARADDDTHARGCSFDFSPPPFECSKQAAAMAHADQMFRDGLLLPLRAVRRQGGGGGGDDDGGGGDVSGGDVSGAPKRDAIPVLLRPRYVDSAQRITTTIPASKRHLLPRLASPSSPRSSLRVAAAPGWSPSSVLGLGASIKLRLPSLGRRCGRVLPRRLSCKCLTFLEPLYQKMASCCVGRRITTRHAYGRAAADESRNIKVCEDAIRDAILHCKKSL >ORGLA01G0407000.1 pep scaffold:AGI1.1:Oglab01_unplaced042:211586:218893:-1 gene:ORGLA01G0407000 transcript:ORGLA01G0407000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPAASAAAKATISVEYAKSGRSSCKVCSEGIAKGALRLGASARDPRGFDSTKWYHVACFPSSSHPIDPVEKVKGFDSIKEEDREEVRELNKTCKRDHTAVGTTEESSPKKVKDSPGVAENAIISVEYAKSGRSTCKGCSESIAAGALRLGASIRDPRGFDSTKWYHIACFPSSTYPAFPVENLKGFDSIENCKRDGNVTDQLNEQNLKKEVVHSMEDSKGTGNNIEGVKMLAGDKRAGPVIPFSVSDIKQNYKDATLPAHWKVFNTVIFREQDDGLQSSAKIAAFDFDGCLAKTSVRIVGADKWSLLYESIPEKLQILYNDGYKLVIFTNESNIERWNKKRQQAVDSKIGRLDKFIERVKVPIQFCLHLQVFIACGLGKGKTFPDDPFRKPNTGMWWLMREHFNSGVTVDMDKSFYVGDAAGRENDHSDADKEFAKAIGLKFHVPEEYFGEAANI >ORGLA01G0406900.1 pep scaffold:AGI1.1:Oglab01_unplaced042:207601:209026:-1 gene:ORGLA01G0406900 transcript:ORGLA01G0406900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGDSAVGGVTVDVTLSPNAVLPGFAKRPEAMTEASCCGGGFPRCARAAAAAAWAFASEDAGRVAFALKAGLAMLLASLLVLVGEPFRLFGTNIIWSILTVGIMFEYTVGASFNRGFNRAVGSMVAGVVAIAVIWISLRCGSVAEPYVIGLSIFLVGAVTSFVKQLPALAPYEYGFRVILFTYCLIMVSVYRVGEPVAAGLDRLYAIAIGAVLALLVNVLIFPAWAGEQLHRELVASFAAVADSLHDCVRSYLSGDETAVDGGEPAIEKCRAILNASARIESLVRLPYARACLCIYCTPHGHTHYVALT >ORGLA01G0406800.1 pep scaffold:AGI1.1:Oglab01_unplaced042:203758:204384:-1 gene:ORGLA01G0406800 transcript:ORGLA01G0406800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIVDDFGDGTYTVAFDEDYIHTTVTYSGDDVDDWLDEILRIHRRRLNYLVVGLDVEWRPATYYHGPGPVAVLQICVGRRCLIFQILHADYVPDSLFDFLADGRFTFVGVGIHDDVAKLRSHHGLEVENAVDLRYLAAQTIGKPALRSAGLQGLVREVMGVWAPKPYHVRVSAWDSWNLTPEQVMYACADAFASFEVGRSLYYDYD >ORGLA01G0406700.1 pep scaffold:AGI1.1:Oglab01_unplaced042:199799:200425:-1 gene:ORGLA01G0406700 transcript:ORGLA01G0406700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIVNDFGDGTYTVAFDEDKIYTTVTDSGEEVEEWLDEIVRIHHRRLDHLIVGLDVEWNPASGFCAPGPVAVLQICVGRRCLVFQIIHADYVPDQLGDFLGDGRFTFVGVGIHDDVDKLREHYDLEVENAVDLRYLAAQTIGKPALRSTGLQGLVWEVMDVWMEKPHHVRVSAWDSRQLTLDQVMYACADAFASLEVGRSLYDDYE >ORGLA01G0406600.1 pep scaffold:AGI1.1:Oglab01_unplaced042:193593:197531:1 gene:ORGLA01G0406600 transcript:ORGLA01G0406600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G40280) TAIR;Acc:AT5G40280] MVRRLSVRSPRLQRARRPPPSAPPTTAPMDSPSPPPPPPYPPAAAEGGPAADSQAAELPRLTVTQVEQMKVEAKVGEIYRVLFGNAPNANSLMLELWREQHVEYLTRGLKHLGPSFHVLDANRPWLCYWIIHALALLDEIPDDVEDDIVDFLSRCQDKDGGYGGGPGQLPHLATTYAAVNTLVTIGSERALSSVNRDNLYKFMLRMKDTSGAFRMHDGGEIDVRASYTSISVASLVNILDGELAKGVGNYITRCQTYEGGIAGEPYAEAHGGYTFCGLATMILLNEVDKLDLASLIGWVAFRQGVECGFQGRTNKLVDGCYSFWQGAALALTQKLMTVVDEQLKSSYSSKRPPGDDACGTSSSTEAAYYAKFGFDFIEKSNQIGPLFHNIALQQYILLCAQVLDGGLRDKPGKNRDHYHSCYCLSGLSVSQYSAMVDSDACPLPQHVLGPYSNLLEPIHPLYNVVLDKYHTAYEFFSS >ORGLA01G0406500.1 pep scaffold:AGI1.1:Oglab01_unplaced042:190405:193276:-1 gene:ORGLA01G0406500 transcript:ORGLA01G0406500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVGARRALLAARYSPRGALASPVRRVDSPPSLPADRGCLWPLVPHRGAGNFASEQIDGDYHREWGARNDGNYREPHRTDSLSHQVQANLPSTDSSVGADRIRGVSGDGSVNANYRRNPGQPEFPNRHEPYSSARVNNGASGYNDRQPYGSANAQYRSNSAQPSQTGGPYGFANRNEPYTSARVNYEAPGYNDKQPYGGGTTYNQQIANGDLPNSQYSRRQGNNSGVSGYGTGHHYHGSDTYRSGYNTQNNQQAYDSRQYGYGPSGQSYQESTGNDQQVFQQQEVDQRSAGNYANRPGSTSEYPNPSRFNKEHAANFQQGYNGGIGHNVSHAPQSPYVSSKIDAQGNFPGQPMNVNRSVQHNTHAPALYQDGIYRNPLTDSPSIDGLPSGASDVTSGESKVTVEEMDKLCEDGKVKEAVELLALLQEEGTVVHAPQYFKLMQACGDATSLAEARKIHNQISQSALAVDTDINNKILEMYAKCGSMEDAKKLFNTIAQRNLASWNTIISGFVYNGLGDEATDFFDQFKQTGNKPDSTMFTHVFLACGILGSVDEGMLHFESMQKDFGVTPTMEHYVSIVNMLGQSGYIDEACEFVEQMPVEPSIDVWESLMNMCRLNGFLELGNRCAQIVERLDSSRLNDQSKIGLFPVDASELAKEKERKKANAVEARSKVHEYRAGDRSHPEHLKIYEELRYLAAHMKEAGYIADTRFVLHDVDQETKEDALLAHSERLAVSYGLITSAARSPIRVIKNLRSCGDCHTALKIISKLVGRLIIARDAKRFHHFENGVCSCKDYW >ORGLA01G0406400.1 pep scaffold:AGI1.1:Oglab01_unplaced042:185459:187033:-1 gene:ORGLA01G0406400 transcript:ORGLA01G0406400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGGARRALAGLRSASSSRAFSQPAPAAAAARSPELAAFSLPRSTRRRPAISRVPVEALGGAHGLMPLHSATASALLTSMLGLKPGSWGWLSEGFATPL >ORGLA01G0406300.1 pep scaffold:AGI1.1:Oglab01_unplaced042:176299:183814:1 gene:ORGLA01G0406300 transcript:ORGLA01G0406300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDGAIPLGCKRAMAAKSQPAEAAELVGSSSPAVTPPPPPTETRASDDGDSEGDDEAATDFERITDHQLQESIKRTRHSLGLCARLRDGGVKFLRRIRRMEKELDRRRAAGLRKGVITWRPTVKSPRQDDSHAFKDGDKLNWVNTSSKHHQNVPITPTTNYGQAEDSAFFKELSYFGREKHASLKKVEQSSRTTVSHQPKNHAVCPKRADDKQLHMDNKIIVNKRKLGSKSCLRKRPKNNSFDSNGMYDKLRTKDVTLGRSTKRWEHTKNHITEFRGLFDSKERNKKKDVVLLDDEDMEPAKSINVEMAHKWTDLETFELICSDIECLEPEEYLKSPVINFYMQYLRKSRTCGDLYIFNTYFYSKLEEVLSRMGDHDDSQFSKLRRWWKHIDIFRQPYIILPIHGDFLIAEWQHLQNDSSYTIPFSGRIWNHLSKNMNKEKVQVPSQRNKYDCGIFMLHYIERFIQEAPERLTRENLCMFGRKWFDPKETSGLRDRIRALMFDAFESARMDDESSQSESHSDDQSGDEDKDRDGVMVVVID >ORGLA01G0406200.1 pep scaffold:AGI1.1:Oglab01_unplaced042:155532:156583:-1 gene:ORGLA01G0406200 transcript:ORGLA01G0406200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDGFFDNKILDDFAVGRSNPTGEQLSTRVGRGRVHRIIENDLDKHRVVAPNLPKKTNDLSHSAGHRWTTREKVVNG >ORGLA01G0406100.1 pep scaffold:AGI1.1:Oglab01_unplaced042:153073:155051:-1 gene:ORGLA01G0406100 transcript:ORGLA01G0406100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSYHDFRWLRNSXDWSSTGSVAVLVPRLQMIGRHLLTGSQPVNRSDLLYIYFXCSSYDYYLRPPRNLRELWLRTPRADKALSDPVETKDHENSIRHCGRLHVSMVLHQDRLRRVRQMLTRLRRRLYAFSIARERLLDFDKEYRMKRWRDESAISDLAGHGFEVEGYPVVDYESDRQTAMSTTVR >ORGLA01G0406000.1 pep scaffold:AGI1.1:Oglab01_unplaced042:146289:150035:-1 gene:ORGLA01G0406000 transcript:ORGLA01G0406000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVGAYADPFLPPKMALSAYAAPMGEYGQPQPQPQPAPRPPACPYSSSSSSPPPVSASYHSWPPATSASPVSSPPPVSSPPESFPSSPPPALSPPPPDAPPPSLPPSPPPSPPPSPPQVEVQAPPPPMTTDQPRVQPRVYPSPPPPSLPPPPPQTFSPPSPPPFHPPSSSPAPAPVPAAVVYPPPPPPRIASPPPPRNHIKPHYVPRSSARSHSNSTRASSGAGKNIEISREAATTIVALAGLAMLSFVGATIWFVKKKRRRIEPPASLPTQQPAPPPPPNYFPSSGGSSLTSDAFFISPGYHPVRLFSAGSHGYPYSPADSAIGYSRMLFTPENLAEFTNGFAEQNLLGEGGFGCVYKGILPDNRLVAVKKLKIGNGQGEREFKAEVDTISRVHHRHLVSLVGYCIADGQRMLVYDFVPNNTLYYHLHVSEAAVLDWRTRVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDDNFEAQVSDFGLARLAADSNTHVTTRVMGTFGYLAPEYALSGKLTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARPLLLKAIEHREFGDLPDPRMENRFDENEMYHMIGAAAACIRHSAAMRPRMGQCFLCISQVVRALDSLADSNLNNGLQPGRSEVFLEPQSEEIRLFQLREFGSRDCSDEMSQASWRSRRDL >ORGLA01G0405900.1 pep scaffold:AGI1.1:Oglab01_unplaced042:143902:144579:-1 gene:ORGLA01G0405900 transcript:ORGLA01G0405900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYETSSDHQLAAAAEFLAALQVHLAGAEASSPTWGGRCAYDEDFMMYEFKVRRCPRSRAHEWTSCPYAHPGEAARRRDPSHVTYTGEPCPDFRVAARAACPRGSGCPFAHGTFETWLHPSRYRTRPCRSGMLCARPVCFFAHNDKELRIVGDDAAAATPSPRSPFTTSEDSPPPSPMDMKQIVLAMQQMDARKATRSVAPKTDMLQQELEEDAPELGWVSDLLM >ORGLA01G0405800.1 pep scaffold:AGI1.1:Oglab01_unplaced042:138297:140850:-1 gene:ORGLA01G0405800 transcript:ORGLA01G0405800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRGGGGRRGRGRGRGRSALAENDMDFHETSAPSSPSTTSDKEDNIEFTSQQPCLVSPAAEHVSNTLLNRKINHRSDAIFGDQAVEQLKLRHHKPLKFHERYRPYLRDAGLLGLSQICQKMPQLDKALITALVERWRPETHSFHLASGEMAVTLQDVAMLLALPIDGRPVCSTTDHDYAQMVIDCLGHDPRGPSMPGKSFLHYKWLKKHFYELPEGADDQTVERHVRAYILSLLCGVLFPDGTGRMSLIYLPLIADLSRVGTYSWGSAVLAFLYRSLCSVASSHNIKNIGGSLLLLQLWSWERSHVGRPLVRSPLCPETDIPQDLPPVGFRWVGARTQSENATRCLKQYRDELNLQRADQVKWEPYLHIESSSLPLLCTKDADLWLTQAPLINFPIVEMYLPERVMRQFGLRQSIPPPFRPTLQALHRISRRGRERENWEETHHEYIQEWEARRQRIFPESEQYDPSSYEEYLHWYSGVTRRYLVPSISDDVEAGPSLQPDDSIDLQYQAKAPMIRKAVDKLHGMVKKAKMAMTSTADTTTQALVFEFLHGFQDVLHDLGEIKENGGSATSPHVESAAAQDMPLLLLEAEQNIVHADQEAQHQEEEELHMVDDATMTLEPMDKEDNGFNNVICPCPSLELEEHSHSATPAIDECDTATPAPGSAIPQQSTSVDQDGHLENPNEMGQIELMVEPMYVDHNDSNNVLSSSTSAQALLENCEVAEAVNENVDPATQVTGSSTPEQGTDVIVDAEQENPATTEGN >ORGLA01G0405700.1 pep scaffold:AGI1.1:Oglab01_unplaced042:133908:137304:-1 gene:ORGLA01G0405700 transcript:ORGLA01G0405700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRKVLDQTVREIRREVNLKVLKVPEIEQKVLDATSDEPWGPHGSDLADIARATKSYGDSEIIMNVLWQRLGNTLANWRHVYKALAVIEYLLANGTERAADGIVDNSSRIAKLTRFEYLEPNGKDVGLNVRKKAEAVLAILDDREKLQEVREKAAVTRDKYFGLSSTGITHKSSAASFGSGSYSSGSHYGSTGGSREVGSFKDIHTGTEWKRNKKETVSNYSSNREGSKEITNSATSYKSKKSERHGRRNQNSLTLHSKLSANISTTSEAPSSKKGENEDDDDFNPRGFSTSTGTGTTRSNHLDLFGPSLMDDLVDSTTSTSTATPNVSTPAVPEVDLFADAAFQSANAPLEAATVSHTQDKIDLFAGRLSSADSFTSDTEFSVRGSPNKSSEKKMSSVVHPSTSAFDPFKQSFATSFPSDSEFSVHDPTSKSSQGKTPTPEHSSTAAFDPFAAIPLKSFDGSESFGTFSSNTASNITELPRDSSGGPKSSDHPLEDANFDAFTSHLGSSTTSATESMNKPIKKLGQDSMSASKSVAKKETFQVKSGIWADSLSRGLIDLNITSSQKKVDLSDVGIVGPLSGGSEDKGPWYMGATMGTAPGLVSSSFPSKTETSSGSGHFQHQQFGSFK >ORGLA01G0405600.1 pep scaffold:AGI1.1:Oglab01_unplaced042:125185:129916:1 gene:ORGLA01G0405600 transcript:ORGLA01G0405600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIILPKEEEAALGVAVEEDHDSPAAPGYQHQQGPPVAKALPFSATCVRISRDSYPNLRALRNASAMSLPDDDAAYAKLEEGDYGYLLDDVPHFTDYLSDLPTFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKDSPRGVHFRRAGPRQRVYFESEDVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNDIFGIQNGYKGFYSSNYLPMTPKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIYKEIRRRGLKVAVAGVPKTIDNDIATSPLVLIVLAQRAIDAAHVEASSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIEKRLKENNHMVIVVAEGAGQDLIAKSIAAADQIDASGNKLLLDVGLWLTHKIKDYCKNKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHAYIPFHRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDIDAAREADKLASKSPVPVNTKEHGENAKKPANGEK >ORGLA01G0405500.1 pep scaffold:AGI1.1:Oglab01_unplaced042:123882:124459:-1 gene:ORGLA01G0405500 transcript:ORGLA01G0405500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFSDAKRVRVLAESLLLGPPGVFPTRTPNLVSTVGFEVHPWASTVWRIMDGVNGATQARVEFRVQTSQVHFTRSVQEKQRTVEKQRNRVPSPASGIKTSGVVEDESQE >ORGLA01G0405400.1 pep scaffold:AGI1.1:Oglab01_unplaced042:121455:122532:1 gene:ORGLA01G0405400 transcript:ORGLA01G0405400.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRAGGGSGGGDGALQVGRGQRRWRRRRPAGRAGEAAAATEPSRTGGQSGRGNGGGRXRRRGLLPPRREAHVRPLYRRRRRXLLAICRWGRGDSDARALSAAERHDVPAFLRLVPSLEAPELPAPLLAVQVTRFVGGGDGGGVAVQHAVPDGQSFWRFMDAWSAPALGRPSAPAPVFDRSAIVHPMAAAMAQRILRKVTPELPLLLTANLLRRTWQHHDTTTLELERHRIADIKNLIVELDEATTFPGRVRGAAAAAAATDRGRPHDSPSHPRPPSARATKLCPQLLAH >ORGLA01G0405300.1 pep scaffold:AGI1.1:Oglab01_unplaced042:115177:120215:1 gene:ORGLA01G0405300 transcript:ORGLA01G0405300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIAGSHLRSLKQRYSSSRFASTSVVKQSSGGLFSWLLGEKSSQLPPLDVPLPGITLPPPLPDFVEPSKTKVTTLPNGIKIASETSVSPAASVGLYIDCGSIYETPASSGASHLLERMAFKSTTNRSHLRLVREVEAIGGNVSASASREQMCYTYDAFKAYVPEMVEVLIDSVRNPAFFNWEIKEQLEKIKAEIAEVSDNPQGLLLEALHSAGYSGALAKPLMAPQSAIHRLDSSILEEFIAENYTAPRMVLAASGVEHDELVSIAEPLLSDLPSVKRPEEPKSVYVGGDYRCQADSDKTHIALAFEVPGGWFEEKTAIIVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRILNNYHQIESFSAFNSIYNHSGLFGIHATTSPNFASKAVDLAAGELLEVATPGKVTQEQLDRAKQATKSAVLMNLESRVVASEDIGRQILTYGERKPIEHFLKDLEAITLNDISSTAKKIISSPLTLASWGDVIHVPSYESVSQKFFSM >ORGLA01G0405200.1 pep scaffold:AGI1.1:Oglab01_unplaced042:113670:114240:1 gene:ORGLA01G0405200 transcript:ORGLA01G0405200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTLTSRTYNLVNPAWWIGKFQGEVFDSSPSCACKKGRCAVRRSSALSIHGVPQPCGQLLWVLGFRRAARLGGQGRRPAGGARAARVQPAARLIVSLLLESDVKINLRNYRGQG >ORGLA01G0405100.1 pep scaffold:AGI1.1:Oglab01_unplaced042:99413:102088:-1 gene:ORGLA01G0405100 transcript:ORGLA01G0405100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISELGECDGVRSEGEEEQQVVVEEASVRGGVVVARLSAKRALVGAGARVLFYPTLLYNVLRNRFEAEFRWWDRVDQYILLGAVPFPSDVPRLKQLGVQGVVTLNEAYETLVPTSLYQAHGIDHLIIPTRDYLFAPALQDICQAIDFIHRNASEGGITYVHCKAGRGRSTTIVLCYLIKYRSMSPEAALDHVRSIRPRVLLAPSQWQAVNVFSTLTTGRLPIQSKNLGHFLEAGDDCITNSEIDDYYSMELDYEDSGLPLCQVMLPRPTSPTVCTDAVLITEADLEGYDTYADTRKDVVSLEVIVSRKPIMRRLSCLFGSLKLTNNCEPTPSRFAEVRAC >ORGLA01G0405000.1 pep scaffold:AGI1.1:Oglab01_unplaced042:86251:92319:-1 gene:ORGLA01G0405000 transcript:ORGLA01G0405000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVSRALPFASRSPQLGAALIRSAPMRCPPLPAAAPTASLLSWRGFTPSSEPSRSAPPPPPLPMPPFAGFLAGIRSFRRGRRGQSAARRAQPQDPIPSPPPAPKESEIELYARIGIDDDTPEDPEVLNIVEILKLNVPMAMKIALDGLLDSNYKTRDTSISDVGRYDKVEVSVLLCNDNFIQNLNKEWRGEDSCIEMLSMSQYIPDLDVPILMLGDIVISVETAARQAEERGHTLLDEVRILAVRGILRLLGFDHQTSDESAVEMEKEEQLILKSLRWKGKNLAKSVLDSGKRHTETLDGQVTSGLKRAGSLRFYRPKFKYIFCDMDGTLLNSKSQVTARNAEALREARSRGVNIVIATGKARPAVIDALNMVDLSGRTGIVSESSPGIFLQGLLVYGLQGREIYKRNLDQEVCREALLYSLEQKVPLVAFSQDRCFSMYDDPLVDSLHYVYHEPKAEIVSSIDQLLGTAEIQKVLFLETPEGISSALRPFWEKAIEGRARVVQAQPDMLELVPPATSKGNGVKILLDHLCISPDEVMAIGDGENDIEMLQLASLGVALANGSEKTKAVANIIGATNDEDGVAQAIYDYAF >ORGLA01G0404900.1 pep scaffold:AGI1.1:Oglab01_unplaced042:85045:85671:1 gene:ORGLA01G0404900 transcript:ORGLA01G0404900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFGGAAVLPVYREEEDEDLFETSSSISGDSDDEAQFSDSEEAEAQEDQFAQQPARRMNSDSLYDLSSMKAQLPVKKGLSKYYDGKSQSFACMSEVRCLEDLRKKENPYKKIKSSKSYVALDGNQEACHIPGANSTSIAKKSGSSCANLMARNNTKSMLYRPPPIPVNKSGYHQ >ORGLA01G0404800.1 pep scaffold:AGI1.1:Oglab01_unplaced042:81294:81491:-1 gene:ORGLA01G0404800 transcript:ORGLA01G0404800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGSCRARAWSESACGMFLLSWVPWPSWERTPVLHCSGWANRNNQKDEKDVKALMGASPVRATSI >ORGLA01G0404700.1 pep scaffold:AGI1.1:Oglab01_unplaced042:69897:72707:-1 gene:ORGLA01G0404700 transcript:ORGLA01G0404700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT5G56590) TAIR;Acc:AT5G56590] MAHVAFVALLFLLLVGHCLGGKVGICYGRNADDLPAPDKVVQLIQQQSLKYVRIYDTNIDVIKAFANTGVELMVGVPNSDLLPFAQYQSNVDTWLKNSILPYYPATMITYITVGAEVTESPVNVSALVVPAMRNVHTALKKAGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAYFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSPQSQDVIDPNTGLVYTNMFDAQIDSIFFALMALNFKTLKIMVTETGWPNKGAAKETGATPDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFFPDQSSIYSLDWTGRGNVDIMTGGNITNANGTWCVASANASETDLQNGLNWACGPGNVDCSAIQPSQPCYQPDTLASHASYAFNSYYQQNGANDVACDFGGTGVRTTKDPSYDTCVYMAAGSKMSTTNSSNLPVQSGFSPSRFDKNFYLLFSMLPIMIAACIV >ORGLA01G0404600.1 pep scaffold:AGI1.1:Oglab01_unplaced042:64030:64302:1 gene:ORGLA01G0404600 transcript:ORGLA01G0404600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVRALGACNASRTAVHAAHVPGDGWPASGRLAAGLTEVGSATGLGRPIRRALGPVVVVTSVRDRGACGGPCMHGWWSFAIGRRMYDRFA >ORGLA01G0404500.1 pep scaffold:AGI1.1:Oglab01_unplaced042:57324:57638:-1 gene:ORGLA01G0404500 transcript:ORGLA01G0404500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERCTEVERTSCTKVIMSIIVKSLSFQVDIQSWTKSTSGCRMLFRRFVTERGLPRYLHGNSTIAQGRIRETTTISSSEHLIGVVEHSSKLVINNLEAGVVEW >ORGLA01G0404400.1 pep scaffold:AGI1.1:Oglab01_unplaced042:51638:54779:-1 gene:ORGLA01G0404400 transcript:ORGLA01G0404400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1005) [Source:Projected from Arabidopsis thaliana (AT4G29310) TAIR;Acc:AT4G29310] MDPCAFVRLTVDQLLLKLPSVPRPSSGAAGVHPSTSPCFCTLHLQDHPSAGSHSRTAQLPLASSESPGPVAAGEPVVISLDAAAVQRLSARPAAELVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQIHMVVRAEPDPRYVFQFGGEPECGPVVYQVPGGAAGGGQRQPVFTCRFSAGRRATRTRSLTSESSMTRSTSRKLRSWLSTLHGDRDAQARREQRKGWTVTIHDLSGSPVAMASMVTPFVPSPAGSGRVSRANPGAWLILQPTGAGPASWKPWARLEAWRERGPVDALGYRLELVFDSGPTECAVPIAESSISTKRGGQFVIDPATFPVGAAGAAWPFAGGFVMGSTAEGEGRASRPTVQVGVQHATCMGDVALFVALAAAVDLCMDACKLFSQRLRKELCHDQED >ORGLA01G0404300.1 pep scaffold:AGI1.1:Oglab01_unplaced042:44962:49589:1 gene:ORGLA01G0404300 transcript:ORGLA01G0404300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDATSLLLSPSPTPPHKPTTPRRLICVVSSTLAALGASLSLLLLVVHSAPAPNYGSLFLSLASNDTAALHLRALTLHPHVAGTRANSLTAAYVRDAFSSLSIPAHITPYSVLLSYPVERSLSLAVPGRATTTSFALSQETYPNDPYASATAEVMPTFYAYAASGSVSAEAVYANYGREEDFAYLASRGVDVAGKVAVARYGRIHCEDIVHNARVAGAAAAVVYTDPLQYGGAPGEAWFPDSRWLPPTGVQVGSLFRGVGDPTTPMWASSEGCERVSVEEAMDTDDMPGIPALPVSARDAAEIHAALGGDAAPADWQGREGSPVYRLGPGPAILNLTYTGNDTMATIENVFAVIEGAEEPDRYVILGNHRDAWTFGASDPNSGTAAMIELAQRLSVLQKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEENREMLSSRAVAYLNVDVSVVGPVQDPDNSSQTVYDSWVKSNISPLIGRLGHGGSDFSAFVQHVGIPSTSMSFGEGKGPGYPIYHSLYDDFVWMEKFGDPGFSRHAAAASIWGMMALRLADEEILPFNYMSYPVELEAYTKVVENEVGGTAISCSPLHNAIRALKTAATKVNGERKELQRQLSSNQLKKDSMKIRSLNDRLMQAERAFTNREGLFKQEWFKHLVYGPSEQNDWESASYPGVENAIASARKENTTESWKFVQHEIHRVARAITQASVVLAGSLT >ORGLA01G0404200.1 pep scaffold:AGI1.1:Oglab01_unplaced042:35423:39199:1 gene:ORGLA01G0404200 transcript:ORGLA01G0404200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGKPQQRQPLILPDAAPMGGGDGGRGDAATRRRRFVAFLAISVALVASYHHLAPAPASRYHALFLSLGNNDTAAAHLRALTLRPHVAGTEANAVAAEYVRAALSSFSFPTRVTPYSVLLSYPIHRSLSLSVPGRAAAFNFALVQETYPGDPYSEAAAEVIPTYFAYSASGSVAAEVVYANYGNTKDYEYLASRGVDVAGKVALVRYGNLHCEDMVRNARAAGAAAAIVYTDAKDFGGAGAKGKRKWFPDARWLPPTGVQVGTLYYGNGDPTTPLWPSCAAGEDCERLSQGELDGSAAMPSIPALPVSARDGETILKAMGGDAAPTEWQGGEGAPMYRLGPGPAVLNLTYIGNDTLATIENVFAVIEGKEEPDRYVIIGNHRDAWTFGAVDPNSGTAAMLEIAERLSKLEKKGWRPRRTIIVCSWDAEEFALIGSTEWVEDNMDMLASRAIAYLNVDITVFGPGGFRPRATPQLDQLIKEASKMVQDPDDPSQTLYDTMIRHHPPIDRVAGAGTDFAAFLQYIGVPSLDMSYGTISAAVTPLASTNIIALAVEDYPVYHSLYDDYVWMERFGDPLFHRHVALASVWGLIALRLADDEILPLNYVSYASELEKCTKLVEGGCTGCPVSFAPLHKSIDQLRKAANKIHKEKMMLQAENWSLKTRAYTVKVRDINDRLMMAERGFINREGLDGRPWYKHMIYASSDQDDWGTKAFPGIVSAIDKANKLNTTESWQLLQHEIYRAARAVSKASAVLDGRLT >ORGLA01G0404100.1 pep scaffold:AGI1.1:Oglab01_unplaced042:19272:24349:1 gene:ORGLA01G0404100 transcript:ORGLA01G0404100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRRPPAPGLLILLIFLALASPGEVAGDDAAPGNVTASAPLPCSELSRVCTAFVAFPAAGEAANASVLESMFDAAPGDITADAAASPGYAFVRKNCSCLASRTYLANTTYTVPSAAAGATADATAADVAAAAYAGLAVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTNLAQTRSSWTTISRDVSFLSYSCASTCTKYILRYGDVSEHHSTKFPYGWVIGSMGVALALIAIALLALVLCKLSQYNPQAPNNQGKSPDQSISYKFQLLKSGSFCYGSGRYLCCQFGNVKQSRTDGSDHHMNTPKGVVVDVFDREKPIVFTYQEILASTDSFSDANLLGHGTYGSVYYGVLRDQEVAIKRMTATKTKEFIVEMKVLCKVHHASLVELIGYAASKDELYLIYEYSQKGSLKNHLHDPQSKGYTSLSWIYRVQIALDAARGLEYIHEHTKDHYVHRDIKSSNILLDESFRAKISDFGLAKLVVKSTDAEASVTKVVGTFGYLAPEYLRDGLATTKNDVYAFGVVLFELISGKEAITRTDGLNEGSNSERRSLASVMLSALKNCRNSMYMGSLKACIDPNLMDLYPHDCVYKMAMLAKQCVEEDPVLRPDMKQAVITLSQILLSSIEWEATLAGNSQVFSGLVAGR >ORGLA01G0404000.1 pep scaffold:AGI1.1:Oglab01_unplaced042:8749:12055:-1 gene:ORGLA01G0404000 transcript:ORGLA01G0404000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESTSSLSTRNGEKKEQKRGVEQITLMNESIVYSFTSLSVSDLGPYQKHISRSHPPDRQRNHSGLGTTTLHALPCVLYFTLVAASRDPHALPLAVSHAAAVTGRLIRTKRFTGSDRFNLISNAKRQFYPSTSISFGRYHLQTEWYLETLWSMEKPNGEEEEEEDRSRRKGLATTARVPSKVRRTVFTEASSNRTNGAPIDQAQARPEDKPVLDVAEARSYKRHPRLAMLGATAPWFIARKVLSRSTRGQSRLQLSIVVKGKDMAPRLLITKTGLDGSREGPRQRTSAAGSPGVLAEVQVLSGNATIYQLIRSWVKFLRENELLIGATRL >ORGLA01G0403900.1 pep scaffold:AGI1.1:Oglab01_unplaced042:3998:6413:-1 gene:ORGLA01G0403900 transcript:ORGLA01G0403900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSFCNFELRLLGMRGDDEDDLEEERVEVFGNTASPRIDGSQPETKTEPDDDGTGAGGNGAPSGSSASNKRSRSQSMLRFNADGSVSQWEYKPDVARTELVKLIAREDLPLCFGESAAFEEYIQKAHNPRFRSVSRQTTSRDVFKVFDSQRAMLIETLKSVSSVALTSDIWSGNAKEDYLSVVAHFVNSNWQLGKRILGLVLIDVSHTAENISKRILSVVQEYGLTDKIFSVTLDNAAANTKAMDHLKPAISGYVGDLYLHQCCACHIINLIVKAGLEIFKNMLADFRSAISFVNASNQRIALYKSFCIAKGVRPRKFGLDMDVRWNATYLMLKNLLPHKGIFSVFIATNHPPVNGHALLTDLHWTIAETVLLFLEQFYDSTVIMSGVYYPTSPLIMHHILEIAGHLNTYEKDRNLRNVVVPMKSKFLSYWSEIPFLYSFAFILDPRAKIRGFSNVLQIMTGNYSEYLTEVRAALSDIYKKYEAKFGDVRLQRATPSSSCGKKKTAWGKIFGSSASGSSVGAGPGVGDGFASASPGAGLGASASPIPGFGASASPGAGLGAGLFSRRTSATALIQAVSSTANMNASELSAYFDSDTVNQFDDDFNILNWWHEHKHTYPILSLLDRYVLNVPVSTISSESAFSLTGRIIEDRRRCLGLAMVQALALIKDWEQAESKKQHSAENKELIKSFEYLYLDDETTGDGDGTGTGDGARAGTGT >ORGLA01G0403800.1 pep scaffold:AGI1.1:Oglab01_unplaced040:10267:11820:1 gene:ORGLA01G0403800 transcript:ORGLA01G0403800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSGLTKSQPLPLLAAASSPRRTLLAGLLRAACFSRPTASSPALHPVTTITNRASARAAVSPGGPSQLGLGGRVSFSTAPDGTASPDGGSALPWLAAASRDGGAAPAARASAGRSSSWETSAEKFFSRGDQSPRGEVLTDRGSGREIIREEEDNGSIDNPKWGRIKDKYRRMVPRDRGSRGERFRGERFDKPEVRQWSRQENWGRKTWKEAGESTVPKMVGQGVYGVGPVLAALMAERREFYALYIQEGMDLSVSNKKKKDKKGIEKVLQLAERIGLKVIEASKHDLNMVVDNRPHQGLVLDASPLEMVNTKELEPVRVDDGKAPVWIALDEVMDPQNLGAIIRSAYFFGAEGVVLCAKNSAPLSGVVSKASAGSLELIELLSCRNMMQFLSSSAENGWRVLGGTVAPKAIPLSEVVPGEPTILVLGSEGTGLRPLVERSCTHLVRIPGNVDGSFVGADTDTDGGEEGDNYSGNQDMKSFLAVESLNVSVAAGVLLYHLAGTNASPVSDKPSIPLM >ORGLA01G0403700.1 pep scaffold:AGI1.1:Oglab01_unplaced040:1:1961:-1 gene:ORGLA01G0403700 transcript:ORGLA01G0403700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEEMRRIMDKKHNIRNMSVVAHVDHGKSTLTDSLVAAAGIIAQDVAGDVRMTDSRADEAERGITIKSTGISLYYEMSDESLKSYKGDRDGNEYLIDLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVGGEEAYQTFSRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLSNFAKMYASKFGVDESKMMERLWGENYFDPTTKKWTIKHTGSDTCKRGFVQFCYEPIRQIINTCMNDQKDKLLPMLQKLGVTMKDLTGKALMKRVMQTWLPASNALLEMMIYHLPSPAKAQRYRVENLYEGPLDDIYASAIRNCDPEGPLMLYVSKMIPASDKGRFYAFGRVFSGRVATGMKVRIMGPNYAPGQKKDLYVKNVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAC >ORGLA01G0403600.1 pep scaffold:AGI1.1:Oglab01_unplaced036:485:697:1 gene:ORGLA01G0403600 transcript:ORGLA01G0403600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTRVGGTEANRCTKIPVSDRREGRSGDETSRRQTRRPVVKTWTPINGGVTNQPCRRGHQSVADEVSRR >ORGLA01G0403500.1 pep scaffold:AGI1.1:ADWL01002983.1:246:716:-1 gene:ORGLA01G0403500 transcript:ORGLA01G0403500.1 gene_biotype:protein_coding transcript_biotype:protein_coding CHVSSILFMDLELGAPHQLKLSRSGFLESIWASCHSIFALRPAERLDAYNILSLSLYLSTLKYVFSGPDEPQDYDLRNSNESWDEIRRGLVDKDSLLRK >ORGLA01G0403400.1 pep scaffold:AGI1.1:ADWL01002981.1:549:2482:-1 gene:ORGLA01G0403400 transcript:ORGLA01G0403400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLSYLLEPASLALILTAVSVAYASASRALDHGREMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSHLVTAFTAVASAMALFFCLSPYVNCVRSRLGVGDPFVSRCCSKPFTRLQGLLVAICVGTVVAWLVSGHWLLNNLLGISICIAFVSHVRLPNIKICALLLVCLFVYDVFWVFFSERFFGANVMVSVATQKASNPVHTVANKLSLPGLQLITKKLELPVKLVFPRSLMGGLAPGSSPGDYMMLGLGDMAIPGMLLALVLSFDHRKIKDMSVSQDMPPSKQRKYVWYALTGYGVGLVTALAAGILSQSPQPALLYLVPSTLGPVMYMSWLRNELWELWEGSRPIINDKAHLLEV >ORGLA01G0403300.1 pep scaffold:AGI1.1:Oglab01_unplaced031:87768:105053:1 gene:ORGLA01G0403300 transcript:ORGLA01G0403300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIVEVGPSSRPLRYVPAQQRWRPTPTPLPPPPPPLPPPPAASAASSGAGDAAAVAAAAANQFDSEKLPQTLVSEIRPFLRVANQIEHESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDEKSTFTKRMAKSDSQEIRLFYEKKEKADERELLPVLAEVLRAVQIGTGMEKQKRIASETFADKSALFRYNILPLYPGSTKQPIMLLPEIKKGNVANQREHLILLLANMHARLNPKSSSETMLDDRAVDELLAKTFENYLTWCKFLGRKSNIWLPSVKQEIQQHKLLYISLYLLIWGEASNLRLMPECLCYIFHHESLKNKNGVSDHSTWRNYDDLNEFFWSADCFKLGWPMRLNNDFFFTSNKNKNSRLPIVPPVQQTEQQXXXXXXXXXXXXXXXXXXXXXXXXQQNTQLRTPNGSSSFQNMLNPEAPEQTQQQTTSDTSQQKWLGKTNFVEVRSFWHIFRSFDRMWTLLVLGLQFFRDIYLENLQYVGLVVSVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGEMDLMTMPMSLEHRSGSIRWPMFLLAKKFSEAVDMVANFTGKSTRLFCIIKKDNYMLCAINDFYELTKSILRHLVIGDVEKSFSSACPCEYYYDVLQILSRVIATIYIEIEKSIQNASLLVDFKMDHLPSLVAKFDRLAELLYTNKQELRYEVTILLQDIIDILVQDMLVDAQSVLGLINSSETLISDDDGTFEYYKPELFASISSISNIRFPFPENGPLKEQVKRLYLLLNTKDKVVEVPSNLEARRRISFFATSLFMDMPSAPKVSNEWRNFLERLGPKVTQEEIRYWASFHGQTLSRTVRGMMYYRKALRLQAFLDRTNDQELYKGPAANGRQTKNMHQSLSTELDALADMKFSYVISCQKFGEQKSSGNPHAQDIIDLMTRYPALRVAYIEEKEIIVDNRPHKVYSSVLIKAENNLDQEIYRIKLPGPPLIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRGKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLIEAETQNMKSLETALVSQSFLQLGLLTGLPMVMELGLEKGFRVALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVAFHASFTENYQLYSRSHFVKGFELVFLLIIYHIFRRSYVSTVVHVMITYSTWFMAVTWLFAPFLFNPAGFAWRKIVEDWADWTIWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFIYQYGLVYHLKISQDNKNFLVYLLSWVVIIAIVGLVKLVNCASRRLSSKHQLIFRLIKLLIFLSVMTSLILLSCLCQLSIMDLIICCLAFIPTGWGLLLIVQVLRPKIEYYAIWEPIQVIAHAYDYGMGSLLFFPIAALAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >ORGLA01G0403200.1 pep scaffold:AGI1.1:Oglab01_unplaced031:79725:80303:1 gene:ORGLA01G0403200 transcript:ORGLA01G0403200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMMRSQGGGGVAGEYQYYYHGGTGVGGLVDQEMAVAAPPSSDDGVVLLMELLDGEEEMGDDYSPSPAATTDGDGDADQLSRVIRSLEAEIGGGGATGAVAAATATRDSDESMAAAGPASDDDGAAAAGIRRLEDMFSDDLDGYGGGAFGYGWPPELALPAAASWCVYDDEHLYYGDGSIDEQVYSPLWEQ >ORGLA01G0403100.1 pep scaffold:AGI1.1:Oglab01_unplaced031:64867:65100:1 gene:ORGLA01G0403100 transcript:ORGLA01G0403100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEICRSSTTVVASKQSSPSPSSSAGASQGETLPRGSEMIPPAVTVAESSRWKEQQHQQQAVPWAKLLSQSSRRCMP >ORGLA01G0403000.1 pep scaffold:AGI1.1:Oglab01_unplaced031:59005:60178:-1 gene:ORGLA01G0403000 transcript:ORGLA01G0403000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRRLRGALRPAKSGVPVLLHQERGRVHRRRHLPSHLHHAPGHRGHCKLQRQSQGRICALLWLADWRFVTSRILPEMQRTVAQFNLIGFATRRRWPTMVVMRIAALFRCKKYVNQHWYLGHLSSTPIIIEFIRKDLKSRWVDDLTNAAAYRRFNDRRGQWTLRRERCYQELGWSVTELPFDEAVLVWHIATDIYLDCNNGIENPPATADERATVKCSREISNYMMYLLLFQPDMLMPGTRQSLFAVACHEIKHALRDQRQRLDERGVARWISENPNAAQPGDHLAAARRLAEAMMQMNDAGRMLKVISGVWVEMICYSASRCRGFLHAKSLGAGGEFLTVVWLLLHRMGMEVLADKLQKPEIPRHVQILP >ORGLA01G0402900.1 pep scaffold:AGI1.1:Oglab01_unplaced031:53412:54120:1 gene:ORGLA01G0402900 transcript:ORGLA01G0402900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSTPAAVREMQRDLESQANALSKIQKDISKNHQVRKQYTIQVGENELVLKELELVNDEANVYKLIGPVLVKQDLAEAKANVKKRIEYISAELKRMDRALKDLEEKQNSKKESVCSSSCYYFWFYWQVS >ORGLA01G0402800.1 pep scaffold:AGI1.1:Oglab01_unplaced031:52281:52652:1 gene:ORGLA01G0402800 transcript:ORGLA01G0402800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDLGGGADGASINGGLAGAAQAQAAAREQRHKRLRDTAGLARRRPGHRVGGSGGGTAAWGLEAARLRVLGVALPRGGGDGTGGLEELVECGVEASGNGLVALGNAHPRLPIRAHLADLLL >ORGLA01G0402700.1 pep scaffold:AGI1.1:Oglab01_unplaced031:46707:47066:1 gene:ORGLA01G0402700 transcript:ORGLA01G0402700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPSCLHLLRVVPAQVGDSDRAARLAVLLSRKASDGIDNDQIMSCTGCQQQRPDRPKLLPPRSLTDEVTATCLDLLLRAVPAQVNNSDPSLAWLMTPSAAASRRIGVSGAAAVGERG >ORGLA01G0402600.1 pep scaffold:AGI1.1:Oglab01_unplaced031:34395:38308:-1 gene:ORGLA01G0402600 transcript:ORGLA01G0402600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAHSKSCFHQFWDGLQIKRSSDSFTVELLPSLGATINHSNKLQKFIISPYDPRYRSWELFLIVLVVYSAWICPFELAFLRDLPSKLLLVENIVDIFFAIDIVLTFFVAYVDSKTHLLVDDRKRIAMRYLSTWFIFDVCSTAPFQPIILLFTHKGNDIAFKVLNLLRLWRLHRVSSLFARLEKDIRFNYFWTRCSKLISVTLFAVHCAGCFNYMIADRYPNPEKTWIGAVMSTFRSESLWTRYITALYWSITTLTTTGYGDLHAENPTEMLFDIVYMMFNLGLTAYLIGNMTNLVVHGTSRTRKFRDSIQAASEFAARNQLPENIKQQVLSHFCLQFKTEGLNQQVMLDCLPKGIRSSIAYSLFFPIIRQAYLFNGVSGNFIAELVMEVQAEYFPPKEDIILQNEGEADVYIVVSGAVNIITTIHGNEQVYEKIAEGEMFGEVGALCNIPQPFTCRTAELSQLLRISKTRLREIIEENREDSNILMNNLVQKLKLRESLPDMNQPDRRFLSKYELFHVPREAWLLKKSQLHYTEHTSRGSSNNTPVFGGDRYSRQLLGEETRSSASENENSGMTDKEENHDEVHTNCETKKRTEEHCIQINSEDSSSTYSQRTMNATVQTGSPHKTEENITRRIPDEYYIKEANKRVTIHKYCHNSTVSAAQNGKLIKLPTSLEELFKIGSQKFQGFHPRKVVSRDYAEIDDVSVIRDGDHLFLLEM >ORGLA01G0402500.1 pep scaffold:AGI1.1:Oglab01_unplaced031:23524:24625:-1 gene:ORGLA01G0402500 transcript:ORGLA01G0402500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECLLEGWKAISLSYMILTIYFIYADEQDRILMVLSRAWYLRHDIVQCRGGEGSGGEGGGGGGVAVELEAPGTAVVCVGRRREQQRSAETGGGGATETVEERSGATQRRTRGSCEEWWRIVGHRGGDRGRLSVIEAEIVGGADGMEEGEGSGERWEGISEAGVGVLGTWFDGGVTSLAVAAAERAEENGGGGESGVERKGRERWRMR >ORGLA01G0402400.1 pep scaffold:AGI1.1:Oglab01_unplaced031:8240:20160:-1 gene:ORGLA01G0402400 transcript:ORGLA01G0402400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQWWEEWQLRVLVLTSLFLQCFLFFSATFRKHRIPAILRASIWLAYLGSDAVAIYGLAAIFSRHGKNAGDDGGRGESSMLEVLWAPVFLIHLAGAQDSITAYDAAEDNALWARRAVAMSSQAAVAVYVFCRSWSGGKVPARCPVALFVTGFLKMGLMLWALRRASATRIATVGRKAAAVEANWSLERYLQWASKSAIEATRNIQTNDDGGENRSAARRHRSATSELHLDLIDLEYQNDLMELFIDFPNTYTSRLSYLSSFLKLEPYDAYCRLCDLVDYAFQIFYTSRNAAYPFASLFLILRFIFFMLAATAIGGFDGLDSNMDGLDTNDVQVTYILLYSVFAVEFSNMVSLRYHKWPVCKLEPQIKRTIAQFNLIGCTSRSRWSTKMNSNLSRRYLLIHTCQTVLNRVAMLLRCNNQYYWYHVEHSSSTEKVVDLIREDLRSGWVEDDLRSAAAYRRFNNRRGQWTLRREQCYGEMGWSVAKLPFDEAVLVWHVATDICLHCTQNIDIDISSSPAAGADEVAAVTEISNYMMYLLAFQPNMLMPGARQGLFTAARHEIMHAIRHQGRHQQQLSERDLARCLAGGDDDDEYSTPATEEQAGAGSRLLERKGGGGGGRHLAHAQRLAGAMMKLDAGKRLRVIGGVWVEMICYSASRCSGSLHLKILGVGGGEFLTVVWLLLHRMGMEVLADKLHRPELARDEPDAVGTTFLSTDDATIADTIKTRNLDLEPGHWSLRPTWSQICTRIPESLLQVNWIPRGDK >ORGLA01G0402300.1 pep scaffold:AGI1.1:Oglab01_unplaced031:4607:5376:-1 gene:ORGLA01G0402300 transcript:ORGLA01G0402300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRWINASTKLDQTLSLKLQLLERIRIRLPGDEVVDDEVAGRLPGHLPQLDGAELDADRHARPHRERHEPGLLLLQQLRRHRVQDARRGAQRDGEDEHGEQRPAHATAAPPPTAPAPTPTGWIW >ORGLA01G0402200.1 pep scaffold:AGI1.1:Oglab01_unplaced031:590:1495:1 gene:ORGLA01G0402200 transcript:ORGLA01G0402200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLMENMKKLFHGSIYARKMWPAAKTYMLEKHDKWMDEVTTASPEVKQWLKEYHNLLWARRKFDCAIKCDYINNNLAESWNSWIKDLKDLPVNALADAIREKTLILFEKRRRTSTALNGVILPVVIHQLNEASKGLGHLKVTKGNPDQAEVTETYKDEEVTRHVVYLDKWTCTCREWQVTGKPCPHALALITTIRQPNMEKYVDTAYSVHRFQATYASVIPNITDKKQWPKVDKGFKLLPPVPKKRGVGRQRKNRIPSALEKGKGKATRQVQCPDYQRFGHRKGSVRCELTGTRKRLVQL >ORGLA01G0402100.1 pep scaffold:AGI1.1:Oglab01_unplaced030:40464:41828:-1 gene:ORGLA01G0402100 transcript:ORGLA01G0402100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKPGGCKDGGSGAPSPSLVVKPDRTPARQELRLGAGDEEKHPVAARSGAVEAGSAPPWRNLLSGGVGRLHADGLVLPQPFGHHFPLPACSWHSALWRGILDSRAT >ORGLA01G0402000.1 pep scaffold:AGI1.1:Oglab01_unplaced030:38406:38798:1 gene:ORGLA01G0402000 transcript:ORGLA01G0402000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDTTIAYIMDEQEDIKVKASKCWNPIRPPAALLNPNGRQISIRALFLAHEYLMESSRSPLSNGSSLIAKFHPSQPQMKKQGAASPVMGLWACNFVWNPGPSRAHVRCAPTRWSTTLGPSWLFSHPYK >ORGLA01G0401900.1 pep scaffold:AGI1.1:Oglab01_unplaced030:25735:28668:1 gene:ORGLA01G0401900 transcript:ORGLA01G0401900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSKLRDSNTTSDDNKKKFFELLSAFINGRSCLVILYQTTLGNVQRAAVIGTMVISSLRLKKQDYVDPIYQQSDDHKNIRWSLNIFYGLALSQCIVYFLVAIFAFSSKRVQRVGLTYKLGFWGVLCLARYVNECFQKYVSGDLRGAVSMDLVSYGKELVSSDSMDDQLVGFRILDHLLRSDMFKQRVLKKIRVSIGTIQMAVHMLSLKIDMDTDTRGHAARVLLELAPDLQILEKLVDDQDNCTQVKDAKDLIPKIIELTREGRLDCELDFEIVHSSLKALLKMVSTTGETGEELRRQVSGNLHIMEVIKKMLTDHTESQQADLLVQVTGILAFLAADDTARKEIRSSRLIVRMLISFLAGEMNVVQDPIPRKMMESLATEVLVLLTTHFEENIVLSTVSESNVQAILAETMVEDMENIVHVLSDESADHRIRVGKLLQNLRAYQGAEYTELFKIIDKALPKVLETIDLAESKIESDSSDDHSSHVQELIDSAEGKGKLLESFIGLTVQICTNGGEMVFTDALRSANITVDEFVLKLKMILTVYKSPTADFPGVRRVVIQQMNWMMEKNPVYIAVFKKHEMDMILKETAETATKLENFMLFHSGIGAFEHEESISSIVSESLGLITGSSA >ORGLA01G0401800.1 pep scaffold:AGI1.1:Oglab01_unplaced026:109725:110370:1 gene:ORGLA01G0401800 transcript:ORGLA01G0401800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLGGREGKRRRLSSSAPWRVADVDAWNKNKYIVNKYYCTDKCICFPCFLPFLIQVICWLDHRGEAWIRCCSLAASCSSLSGHSRWYQLLSHAVHVVSGDRLHGRHESKRNLIIFWCEDHHWPQCWQVLEMVYLPQVLRTSPTAPKKPPRRPSVR >ORGLA01G0401700.1 pep scaffold:AGI1.1:Oglab01_unplaced026:107469:109332:-1 gene:ORGLA01G0401700 transcript:ORGLA01G0401700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQRPGMLGIGCSNVLARARVQQCLAAASHSNMPCLPVLLHVNGSKKQGSSFLSSQRIGLSRRRRRRDLSGVVVAEASAAAGVTPASSSSPPGGISVSDVLWPSAGAFLAMAVLGRMDQMMAYKGVSLTIAPLGAVCAVLFTAPGSPAAKKYNMFVAQIGCAAFGVLALSLFGPGWLARGAALSASIAFMTITGASHPPAASLPLLFIDGPKFHHLQLWYALFPGAAGCIILCLIQEVVIYLKKNFKF >ORGLA01G0401600.1 pep scaffold:AGI1.1:Oglab01_unplaced026:103769:106479:1 gene:ORGLA01G0401600 transcript:ORGLA01G0401600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRPAATAAAHRRGLIQRPPSAQAYLSAAAALLVLAAVAFSRAGHRFPHPPATRRCRPDAEGSWSAGVFLGDSPFSLEPIEHWVISKADGAAWPVANPVVTCAEVEDAGFPSSFVAKPFLFLQGDAIYMFFETKNPITSQGDIAAAVSEDAGVTWQQLGVVLHEEWHLSYPYVFTYKNKVYMMPESSKNGDIRLYRALDFPLKWELEKVLLEKPLVDSVIINFQGSYWLLGTDLSSYGAKRNREISIWYSNSPLSPWIPHKQNLIHNTGKMLSTRNGGRPFIYNGNLYRVDKGQGGGSGHGIQVFKVEILKSNEYKEVEVPFVINKQLKGRNAWNGARSHHLDVQQLPSGKLWIGVMDGDRVPSGDSVHRLTIGYMIYGVVLILVLVTGGLIGTINCSLPLRWSLPHTEKRSGLFNVEQRFFLYHKLSSLISNLNKLGSLICGRINYRTCKGRVYVVVVMLILVVLTCVGTHYIYGGNGAEEPYPIKGKYSQFTLLTMTYDARLWNLKMFVEHYSKCASVRDIVVVWNKGQPPAQGELKSVVPVRIRVEDRNSLNNRFNIDSEIKTKAVMELDDDIMMTCDDLERGFKVWREHPDRIIGYYPRLSEGSPLEYRNERYARQQGGYNMVLTGAAFMDHGLAFKKYWSKEAEVGRQIVDSFFNCEDILLNFLFANASLTSTVEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAKFSEIYGNLTAKRFFNSRGDGWDV >ORGLA01G0401500.1 pep scaffold:AGI1.1:Oglab01_unplaced026:94389:100396:1 gene:ORGLA01G0401500 transcript:ORGLA01G0401500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSSPSYSSQAAVLLLLHQPPHQHGHGGACLRYRGSQSQGRGNAVATSLGLSAAGRGGAGGLLLLPPLPALRAAEGKDGRAVTKDEEEEAAAAAAVEEEGEVEVRREEDKPGDDGSREAAARGSGSGRFSADYISLGIREPVYEVIEVKSNGRMSTKKNKPEAAIEIKWPPPTRYQKRXPIAMANEFNAILAGPXTSHISQSWFLASNCYAXTRSYIQLQQPRRKGLLRFITATVKSKKYQWWTSNAISTXGCRSCPAFXNTKVGAKANAYRASCGCFAXSSTKSVDSXCVGAASSKQTSIGIKAALXNHFLDLFVKFNFVSIHVKVELGSRAGDLKQMLIDLLDDPHEIRRICIMGRNCTLDKLSDNMECSVPLEKQIAEEEEEEIEMLLENYLQRPSLCILTVRCESIHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAIGALIAGIFGMNLKSYLETNAWAFWATTGGIVVGAVAGFFIMYSYLKTRKIL >ORGLA01G0401400.1 pep scaffold:AGI1.1:Oglab01_unplaced026:89310:92051:1 gene:ORGLA01G0401400 transcript:ORGLA01G0401400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGAQPVGGAAAAAAAPKRNNMDYTLAALKLFGCQLAGATEAPPSESDGTSQAQMLYGIRFQRVWLQGVVVLADYRDGAGHILVDDGSCVAEITLTPKEAEGQPWREGMYVMVLGSYSGKESLPRANRPVIKVHKLVDLSAQPDRESMWYMEVVEAFNFFYLQFSAASPLMKR >ORGLA01G0401300.1 pep scaffold:AGI1.1:Oglab01_unplaced026:82863:88349:-1 gene:ORGLA01G0401300 transcript:ORGLA01G0401300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSSSTSSPGGKAAAVGGEAGKEGGAVPSPAAAASDHAPVAPDGSPGGGALPAGGEAGKEDEGVASTGSRTPLAPGSSSGEGALGECGEARNDDEAPAPAPRLIASPTAAAAAAAAATTDYPHEGGEAEQEGGNASSPCEEQEEDDDDDEEEAPTHLPFAPSSESELPDDKSTVDPSFTISLIRKLVPQGPDVDKELSVKQGRTEEKDASSDVGEPKQPHDKDLWDNEGCKLWDLSVIEPQAELMVNNLVLEVLLANLHVRQFLRAKEICIGIIGNLACHKSLASAITSHNGLIATVVDQLFLDDPGCLTETFRLLSTIFQSNASMSWAEALLPDEILSRIMWIIGNTVHATLLQKILEFLSALVDDQDVITILIEPLIKVGLVDCAIGLLLNELEKSMDGNNLDRSDSLDSILRLIEELSAIDNCSKVMSSNDQLIKALSNIVKLPDKFEVEGYCASVVIIIANVLSDGEHLTPILSHDLPLLEGLFDILPLISDDNEARNAFWCILTRLLQQVEEGETITNSSKLKQFVSIFLAKFTLMKDDIERHGIQTEADSSVEGVSLKNGLRTSLKAICSITERWIADKSSLGKEDASLTENTIENAKELLTFCRRAMGIADL >ORGLA01G0401200.1 pep scaffold:AGI1.1:Oglab01_unplaced026:76370:80287:-1 gene:ORGLA01G0401200 transcript:ORGLA01G0401200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAAAAAAAAQDADAVMRDAPADAAAGGGDNDDDDGDDSTEEDEEEDDDEEGDEEELPPAEDPAAPEPVSALLPGSPNQLTLLFQGEVYVFESLTPEKVQAVLLLLGSSEMPPGLANMVLPNQRENRGYDDLLQRTDIPAKRVASLIRFREKRKERNFDKKIRYAVRKEVALRMQRRKGQFAGRANMEGESLSPGCELASQGSGQDFLSRESKCQNCGTSEKMTPAMRRGPAGPRTLCNACGLMWANKGTLRNCPKAKVESSVVATEQSNAAVSPSGIDNKELVVPNPENITASHGEVMGDSTPANEAEIGAPKAQSQ >ORGLA01G0401100.1 pep scaffold:AGI1.1:Oglab01_unplaced026:63875:74759:-1 gene:ORGLA01G0401100 transcript:ORGLA01G0401100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPASHRQVSLRGSSAREITRDALLQKVSEERQLRSHLRRAAAAALSIQRVWRRYSVIRIVSEQLHEEWEALINQPDINLTKQWISSMMLRPFLFFVTQPSSWYKGQQDKTLNSISACFKIILNSINSMDASKNLCSFAVGTPEERSIWLYQAKKLISLCSFILARCNHSCCKDGNMVQITDTAMRLAVSLTDCKTWKKITSEDTRAADASVESLIEFIGASQSGTYSCLRRYIVNLGSHALEKKISSISTDDQFLITASAVTIALRPFHSMRAGRGADLNGASKEYFTLILTIPDLCKRLPPLLLPAIKHISILQPSLDILLISKDKIFEEITKLEKSGVSSGGSGTIPYCGWALGNLVTLATEHDDLSNSGCFVQGLDCCLYVDAINCVSQSLLKFFEEIKEMLLSFGDSVDTSFIKENDTSDSCSRTLFMDLLKPIYQQWHLRKLLVLAKEDAVCKRQNNHDPDTQTHSRSLKLLDIVCFYYHMLRIFSLLSPSIGSLPILNMLSFTPGFLVDLWGALEIYIFGQAVHKLQGPKHERESATSSSGEHVSSMRQRRNFKDTSNKWSNVFQKITGKSNDAEDTNLVDNPLNSEQNGEALILWDIEAMRQGSECIGKDLMQMLYLFCATYGHLLLVLDDIEFYEKQVPFTLEQQRKIASSLNTFVYSTFIQNGGSSSKPLIDVTVRCLNLLYERDSRHKFCPISLWLAPARNGRIPIAAAARAHEAAFATLPGNQFSGIPIRSSVLTTIPHVYPFEERVQMFREFIELDKASRRVTGEVSGPGPGSIEIVIRRGHIVEDGYRQLNCLGSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKAAFSPKYGLFSQASASDSSLIPSNSAKLLDNGIDMIEFLGRVVGKALYEGILLDYCFSPVFVQKLLGRYSFLDELSTLDSELYRSLMQLKHYEGDVEDLCLDFTLTEELGGRRIVHELRPGGKNISVTNENKLHYVHAIADYKLNRQILPFANAFYRGLGDLISPSWLSLFNANEFNQLLSGGLQDFDVDDLRNNTKYTGGYTESSRSVKLFWEVIKGFKPTERCMLLKFVTSCSRAPLLGFKYLQPSFTIHKVPCDVTLWATIGGQDVDRLPSASTCYNTLKLPTYKRSSTLRSKLLYAISSNTGFELS >ORGLA01G0401000.1 pep scaffold:AGI1.1:Oglab01_unplaced026:57676:62159:1 gene:ORGLA01G0401000 transcript:ORGLA01G0401000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMAAAAAVLVSLLLVAAAAGQQAALVPGVMIFGDSVVDAGNNNRLATLVRADFPPYGRDFPETHGAPTGRFCNGKLATDYTVDNLGLTSYPPPYLGQLAQSDNRSLLHGANFASGASGYLDTTASLYGAISLSRQLGYFKEYKTKVEAVAGGKKAAALTSESIYVVSAGTSDFVQNYYVNPMLAATYTPDQFSDVLMQPFTTFIEGLYGQGARRIGVTSLPPMGCLPASVTLFGGGSGGGCVERLNNDSRTFNAKLEAASDSIRKQHSDLKLVVFDIYNPLLDLVTNPTAAGFFESRRACCGTGTIETSVLCNQGAVGTCANATGYVFWDGFHPTDAANKVLADALLLQGLQLIS >ORGLA01G0400900.1 pep scaffold:AGI1.1:Oglab01_unplaced026:44554:54366:1 gene:ORGLA01G0400900 transcript:ORGLA01G0400900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEENVVELLQRYRRDRHVLLNYMLSGNLIKKVVMPPGAISLDDVDIDQVSVDYVLNCAKKGEALDLGDAIRLFHDSLDYPYVNNSGTVEEFFLLTKPEYSGPAPAREPPPVPAIAPSPVVIPAPIVDPPPVAVHSPVSTTNLSKSQSFDSPTEKELTIDDIEDFEDEEDEFDSRRASRRHQSDANDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKRNKLMRKLGRSKSESTQSQTQRQPGLVGLLETMRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNLLIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRNLFRKIEESESLQPSAAEVQRTECLRSLREVATSLSERPARGDLTGEVCHWADGYHLNVALYEKMLGSVFDILDEGKLTEEVEEILELLKSTWRILGITETIHDTCYAWVLFRQFVFTGEQGLLKVAIEHLRKIPLKEQRGPQERLHLKSLRSSVDAEDSFQDFTFFQSFLSPVQKWVDKKLNDYHLHFSEGPSMMADIVTVAMLIRRILGEENNKGMESPDRDQIDRYITSSVKSAFVKMAHSVEAKADTSHEHVLASLAEETKKLLKKDTTVFSSVLSKWHPQSAVVSASLLHKLYGSKLKPFLEHAEHLTEDVVSVFPAADALEQYIMSVMASVVGDDGLDSICRQKLAPYQIESKSGTLILRWVNGQLERIETWVKRAAEQETWDPISPQQRHGASIVEVYRIIEETADQFFAFKVPMRTGELNSLCRGFDKAFQVYTQLVTGPIVDREDLIPPVPVLTRYKKELGIKAFVKKEIHEVRTVDERKASEIIQLTMPKLCVRLNSLYYGISQLSKLEDSINERWARRKSESINIRRSMSEKSKSAVSSQKNQFDGSRKEINAAIDRICEFTGLKVIFWDLQQPFIDNLYKNNVSQARLDAIMEVLDTVLNQLCNVIVEQLRDRVVTGLLQASLDGLLRVILDGGPTRVFSPSDATLLEEDLEILKEFLYLVEMAYLVELSRIWSHVFAL >ORGLA01G0400800.1 pep scaffold:AGI1.1:Oglab01_unplaced026:40505:44241:-1 gene:ORGLA01G0400800 transcript:ORGLA01G0400800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRPANSTSLLNHIRHPPVTELRSHWPAAPRSYIPDPSIQTEFAKPQRPRISNTAKTTPPQPKNKSSRNEEEAHIQAAPPTTTTTTIQGRRARARASGSGAGWIWARGGGGGGGGWLVELVTCARAEREISAGYRRRRCRWSLAAALGESGEVVAGGGGRDSLSWWWWIWSDSCTGAGTGGRTRIGAAAAALLAVWQPLLALDILADGGVVVDVVEHVGVGRQRRGNDRLLHAAAVTAATWVSGLQTSFLNLYFLVPERNTTRPARMPTAQMSYPHWRPTLSCTYTSTVIASDGRCCGEEATGGGGEGRGGGPAASVTPMEETPPSASSLPPSWHSAAELILEAMREHVEAVEVGGLAMATHQIWRFFDVVSHAGKLYWVDTAAGILFCNPFVDELHMEYVPIPRVDLPLEHNGDCHGRGYCAERALASRHCVXLSDGKFRCVDMGSASDGVTTKVSCTRXLIQGPRCGLLSTPXASPTSGPARATRRLGCRRRPQCSCSSTPRTPTWCTSSXKSPACAPPTSTASMCSRTASRTSLAVLYHG >ORGLA01G0400700.1 pep scaffold:AGI1.1:Oglab01_unplaced026:37966:38727:1 gene:ORGLA01G0400700 transcript:ORGLA01G0400700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:I1NVW7] MAALFFVLLIPLILLAGGGQVAVESAATSRGKLIMVDLLEYGSGAGTLAMRVDTIHAAGFANRSGHWHALRGNGHLFDALGLAAARLPFGNTYADLVGGVANLRGLPISMPFTNRAATVLSGYDPATAAAGGDGEAALKRALATLTVAIGEAQRLRPVMDTLLFGGLGARVADEHLPYIEHWDAMWEELTRWRRSGGGAWGGPFTGVLRERANIGSAEDALAVIGVAFRDHLLRGATMPDLSPRSMGYSDGDL >ORGLA01G0400600.1 pep scaffold:AGI1.1:Oglab01_unplaced026:34095:35090:-1 gene:ORGLA01G0400600 transcript:ORGLA01G0400600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRAEAGSCSAASLQSAALPPPLAWERMHARMAASSLSSPLSTIARGGPLLLAGLACSSAASSLCLVHDTSASTGDDYFSSDDVHDSAFLLQSSSSSVARQGSRGRENAERERGEKSMVVGPTIPRKSVDKISQNLFREASRFRLNERRGXXXXXXX >ORGLA01G0400500.1 pep scaffold:AGI1.1:Oglab01_unplaced026:32283:33155:-1 gene:ORGLA01G0400500 transcript:ORGLA01G0400500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:I1NVW5] MALFLPLLVAASLFSPAAALLAGGEHGVVVVEPRQVEIMFDLATQSWYHELYRPLKAALTDDGAGPDLMGHAVVDLLDDDQPPSKQITVRLFASGGGDEAKLLVAEDDAYVAGFANRTGHWHTFRGGRCHHPVIIPAGGAAPCTELPFGGTYRELIGGVANLRAVPLGRASAASAMRVLSRYDPATTPAADAKMAVAKFMVMVTEPMRLKAVSRAVGGRWEEESYLSSDEAKYVPYWGEISAMLVEWNSTGRWGELGPRSKMDRARCPRPAGCEDDGGDGDDGGGKLFMI >ORGLA01G0400400.1 pep scaffold:AGI1.1:Oglab01_unplaced026:26925:27221:-1 gene:ORGLA01G0400400 transcript:ORGLA01G0400400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLATGAGRRGAASVAAEHLPYIEHWDAMWDELKRWRRTGEWGGGPFAGELRERAKIGSAKEALAVIGWTFRHILLRRDGSMPERRTEDVPSYGTF >ORGLA01G0400300.1 pep scaffold:AGI1.1:Oglab01_unplaced026:21884:23272:1 gene:ORGLA01G0400300 transcript:ORGLA01G0400300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVESSRIVKPLYDAAAPAPEWMPLSVFDTATYDESIAIIYAFRPPNPPSAAMELGLARTLAVYREWAGRLGVGPDGRRSVLLSDAGARLVEAAVEAPLAAAAPFVISRRPSPEVKRLHPSVDGAPAEEELLRVQVTRFSCGSMVLGVAAHHRVADGQATAGFLVAWGLATRRGGRLPAVGVPVRDRATRFVPRDPPLVEFPHRETEYKAPAPPAKIKSGAAGEDDDDDELGAAPAHDKIKMHKVHYTKDFVARLKSRASSGLPPSRRGRGYTTFESLVAHLWRAVTAARGLGAAATTTRVRIAVNGRGRMRPPVPRDYFGNLVLWAFPRCDAGELVARPAHHAAELIHRAVAGIDDAYFRSFVDFASSGAVEAEGLVPSADAGEVVVCPDMEVDSWLGMSFYDLDFGGGCPLYFMPSYLAMEGTIFLVPSFLGDGSIDVYVPLFENHLEEFKKICYNIA >ORGLA01G0400200.1 pep scaffold:AGI1.1:ADWL01002943.1:2:1320:-1 gene:ORGLA01G0400200 transcript:ORGLA01G0400200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNIGGSTPTSLRRSCPFADINNASAGDSPKKRNNIGVFTPTSLTKSNLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSGLNQTANNYVKAPLGDITNVSIDDLRRCHVNDCSTLQQGSNHALLQSVDRTGPGSDQQHMITPRRLPFTVINNVAHYDNMDHTGSPFSCILQGATQNSHTLPANTVNKI >ORGLA01G0400100.1 pep scaffold:AGI1.1:Oglab01_unplaced024:11609:14587:-1 gene:ORGLA01G0400100 transcript:ORGLA01G0400100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G32550) TAIR;Acc:AT1G32550] MAPCPAATTTRIGAPPFAATTARRPARGATTTTKARASGLRQVEGPVSERAYSSSSPAPTHKVTVHDRQRGVVHEFVVPQDQYILHTAEAQDITLPFACRHGCCTSCAVRIKSGQIRQPEALGISAELKDKGYALLCVGFPTSDVEVETQDEDEVYWLQFGRYFARGPVERDDYALELAMGDE >ORGLA01G0400000.1 pep scaffold:AGI1.1:Oglab01_unplaced024:7965:9786:-1 gene:ORGLA01G0400000 transcript:ORGLA01G0400000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase (SpoU) family protein [Source:Projected from Arabidopsis thaliana (AT4G38020) TAIR;Acc:AT4G38020] MLLARAPPPPAMPSAVSSPPQRESILRPYCVPWTRGSVATAAVAKAAPFRGEQNHKQVASVANPLVKHCVRLRLSSAYRRSCRRILLVGLIPILEMCSFEFSTIETLLLLDGIELPEELYGFSGNVVYVSAAVMKKVSGMQSVDSTEAIAIIHMPKYFRDLDSDQGGAVLDEWLGSAKRILVLDGIQDPGNLGTLIRSACAFRWDGVFLLPACCDPFNEKALRAARGASLQLPVVSGNWSDLHALMAKSDMKMLAGHPESSSNGSERTHVLSKELADSLMSESVCLVLGSEGNGLSAETLQACELVNIPMQGTFESLNVSVAGGIFLFMLQTKQQKMAER >ORGLA01G0399900.1 pep scaffold:AGI1.1:Oglab01_unplaced022:67763:69593:-1 gene:ORGLA01G0399900 transcript:ORGLA01G0399900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAALALVLAVLLLSSTLAASDFCVCRSEQPTALLQKAIDYSCGQGADCTSILSSGGCYNPNTVAAHCSWAANSYFQKFRASGATCDFGGAATLSSSDPSFSGCTFPSSASAAGTTGLSPGVGTGTGTLSPGGGTGTGTNGTGMGSALSPPGTSNFDGAAAAAGLLPRAESAIFFTVLLLSFLALP >ORGLA01G0399800.1 pep scaffold:AGI1.1:Oglab01_unplaced022:58850:59152:-1 gene:ORGLA01G0399800 transcript:ORGLA01G0399800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSRGSSKSSRAEALPKNLITRLPMQISGKGVVPEALIVPITCACKRLEPGKNKSNIGTEDEPAMERIRGEPIRVXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA01G0399700.1 pep scaffold:AGI1.1:Oglab01_unplaced022:48162:52848:-1 gene:ORGLA01G0399700 transcript:ORGLA01G0399700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRIRSRDGTDRITVPDPAAATVGDLQRLIAARVTVPVPLQRLSLDPALLLPSSASAALLADPAAPLSSLRLSNGSFVYLSYPPDARSSQPPPPKALSAAGSFGKKMTMDDLIARQIRVTRQEAPLCAAASFDRDSANAFQLHVAESLAFATKRAGFLYGRVDADTKEVFVDFIYEPPQVGTEDVVQLMRDAQEEARVDAIAHGLGMRRVGLVFTQAVGRKTSDTGEYTMSNREVLQATELQAEGGIPEWVTAIVKLEVGDDGSGDVHFEAFQMSEICVKLFKDGVLETEIGDKDDPRLSKMRKEVVAGGKDTMEVDNDFFLVPVKISDHQGPLSTGFPIENRGNPVAMSALKSHLDRAKHLPFVKRISDFHLLLLVAAFLDIKADVPALTACVKNQSVVPEGYQLLIESLAGA >ORGLA01G0399600.1 pep scaffold:AGI1.1:Oglab01_unplaced022:35437:42219:-1 gene:ORGLA01G0399600 transcript:ORGLA01G0399600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRLFWDVTXCGSTEYKGAVDGFRGNQGDGSQGVQGVGSQGIQGGGLNQDGNAAQLQFNNIQDQVDYAVHHALINQSGILVNTLSNMVKTMVDGSMAEYQATGPVYLPGGVFPNYRPLVTDNQPAVQPIPPNAASAQPTAPVSALAPAAPSSAPGGNLNYQYQPPSPQVXYQQGGSAQPQFVPQYNQFELMPQQPQGAPQQRPWADMIADVMKEQFGLRPKDAGNLYRQPYPEWFERVPLPNRFKVPDFSKFXGQDSTSTYEHIIRFLAQCGEASAVDALRVRLFRLSLSGSAFTWFSSLPYGSINSWADLEKHFHSYFYSGVHEMKLSDLTAIKQRHDEPVHEYIQRFREMRNKCYSLSLTDAQLADLAFQGMIAPIREKFSSQDFDSLSHLAQKVTLHEQRFSKVRKNFKKVNHVCPYMYGLDDDDDDDDSEIAAAEWVRSKKVIPCQWVKSSGKEERYDFDITKADKIFDLLLREKQIQLPAGHTIPSAEELGKKRRSMTNSRRGITRKVMTVLILIGAASSLDFAGMRNHKRRVXRLRNRERFQEVEQEINHQLKKTKPKQEWRVKKQAPVADEAAADEAKRLAKGKSVVTASVNMVFTLPTEFGVKQADVDEVEEESAKLILSPEQEVFEKLEGTENRHLKPLYINGYVNGKPVSKMMVDGGAAVNLMPYATFKKLSRNVEGLIKTNMVLKDFGGNPSETKGVLNWQGDKIEIVPANSQLKMENQSYYFEGVVEGSNVYIKDTIDDLDDKQGXGFMSADELEEIDIGLGDRPRPTFISKNLSPEFRTKLIELLKEFRDCFAWEYYEMPGLSRSIVEHRLPIKPGVRPHQQPPRRCKADMLELVKAEIKRLYDAGFIRPCRYAEXVSSIVTVIKKNGKVRVCIDFRNLNKATPKDEFQCQWPISCLKRXRTTXPIXGRFLREPENMAXKXTQQSVLSVYWLANFWDFLFMRGELRLLREVLMQSRRFSLQRTRQSCKRXSARXFLLEGLFLICLEGXGIIYCPTNALLYAKSMSLNTCYQLQFXKEVLGSGYFPXLNLIFGMSNRRRSKGKLXPILLQNIVMIQSARLRSCHGLYSLMGQYALMVVASAWLXFPLGGQVFSLPILSNLMQPTIKLSMRQFLKGCSYSRKLKPMLLKLWGDSLLVISQLAGEYECKNDTLIVYNEKCQELMKEFRLVTLKHVSREQNIEANDLAXGASGYKPMIKDVKVEVAAITADDWRYDVHRYLQNPSQSASRKLRYKALKYTLLDDELYYXTIDGVLLKCLSANQAKVAIGEVNEGICGTHQSAHKMKWLLRRAGYFWPTMLEDCFKYYKGCQDCQKFGAIQRAPASAINPIIKPWPFRGWGIDMIGMINPPSSKGHKFILVATDYFTKWVEAIPLKKVDSGMLYSLFKSIXSIDLVFLKPLRSIKVQFSCPMSLFSLPIAWLSNCXILHHIMHKLMGRPRHLTRVXSNXLRERFMITLGSGILGWPKHCGIIGWLVMDRSKSLLISLFIDMRLFYHGKLESAQGERNCKMIXQLMSIIISWPMKGKTWFSLDXEPLQGLPRIRNEFPDIITRKWCPRLFLKVSLYGSDFADWDSRXQIRQVVAE >ORGLA01G0399500.1 pep scaffold:AGI1.1:Oglab01_unplaced022:27408:28029:1 gene:ORGLA01G0399500 transcript:ORGLA01G0399500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELXSINIGGHTIGIGHCNLFSSRLFNFTGKNNPSDVDPSLNPSYARFLQGQCRRNQQDPNDNSTVVPMDPGSSVSFDSHYFVNLKARQGMFTSDATLLTDGRAAALVDKLRDPGVFLDHFKNSIKRMGQIGVLTGAAGQIRKRCNAVNS >ORGLA01G0399400.1 pep scaffold:AGI1.1:Oglab01_unplaced022:24031:26235:1 gene:ORGLA01G0399400 transcript:ORGLA01G0399400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGANNKGLVCLIAAAAVVLVFAGSSGIAAAQAAGLKKGFYKKSCPKAEEIAQRVVWNRVAGNPELAAKFLRMFFHDCFVR >ORGLA01G0399300.1 pep scaffold:AGI1.1:Oglab01_unplaced022:9987:10784:-1 gene:ORGLA01G0399300 transcript:ORGLA01G0399300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAICAAAADHSRASPSSAATQPTTICWRPSKVKEEVEEDGMVVDPPDDASEASDNLRATGFQDTPTVGELVLRHLRPRLRGFHCADCDVPVIGVRDDPAAAAPLDLVARHGGAADRRRGEAFYFVRRRRCRRPNVRRTVAEGGGGGGGAGGLWKKSWTGSGKSVTDLGVVVPWSKTCYCFYRRDEGGRLSTFGGGWVLAEYEITEPGTYRRADEEEDDDDYWVLCHVRKTASKKRKRNRCDEAVAARAVAGTESKSYLVCGLTAN >ORGLA01G0399200.1 pep scaffold:AGI1.1:Oglab01_unplaced020:132718:132822:1 gene:ORGLA01G0399200 transcript:ORGLA01G0399200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVCACAWPTAPTVVALFATGYEGGGGGGGGG >ORGLA01G0399100.1 pep scaffold:AGI1.1:Oglab01_unplaced020:124287:124634:1 gene:ORGLA01G0399100 transcript:ORGLA01G0399100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTETDCGRRCTSKHWNHHGSVWRLGRARRHRDGKGELEVGDGSEGGGDKRRMDDPIIVNHYRACNSCRFHRQPSSSSTDCLRRCCPILADRHLPVDELESSPATADAGDLGKRD >ORGLA01G0399000.1 pep scaffold:AGI1.1:Oglab01_unplaced020:102995:114675:-1 gene:ORGLA01G0399000 transcript:ORGLA01G0399000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRSAAREGEEAEEEEVEEYGGGYVRMPQEPEGEAAAAGAGSFLRLPESAGAFDELPRARIVGVSRPDAGDITPMLLSYTVEVQYKQVKEWLQNLGIGEHMPVVHDDDEADDVHVPSQHDEHSVKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNMEIVNSREVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPHMDRNGEGQSTLAREIKEHNPLHFAFEIFITDWWLCPELYLRRPFHHHESSRLDILLESRAKQGVQYLFVCNRFGSIKCLTGSCLHEMIIDKCMKFNFADIGCMHFKIYILLYKEVSLALKINSMYSKQRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHKVVDVPPSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDIARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGKIKESNDKVSKQTHVEDIKGQKLSSLKAPASCQDIPLLLPHEPDHQASNNGELGLNGLDNNHGHSDHPNKTHWKQPIPNRKAKQDTSLQDLQMKGFVDNLGTPDVSSVIGHYDTSKQNVHHMDNEWWETQERGDQVDYVLDIGEVGPRATCCCQVVRSVGPWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVVGSKAHDYISFYGLRAHGRLSDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSIMDGRHWEAGKFSLSLRLSLWAEHLGLHPGEVSQIMDPVDDLTYNNIWMGTAKANTKIYQNVFSCVPNDHIHSRSQFRQGFAHRKEKIGHTTIDLGVAVEITETHKDGDLAGTDPMEKLQAVRGHLVSFPLEFMCQEDLRPFFSESEYYTSPQVFH >ORGLA01G0398900.1 pep scaffold:AGI1.1:Oglab01_unplaced020:101628:102009:-1 gene:ORGLA01G0398900 transcript:ORGLA01G0398900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAASGGSVRAWLCGGAGPGAXCGLGGTTGVIVIPSSCGLRIXFKLYALCTSDKLMDAIEGHVLGEAELMAVFEDANSLHFDIPSAWRYLP >ORGLA01G0398800.1 pep scaffold:AGI1.1:Oglab01_unplaced020:95917:99729:1 gene:ORGLA01G0398800 transcript:ORGLA01G0398800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATMATAVGAAMVLYFVLSRRLAQEDGGGGGGGGGGGGKRRRVRAARRPAQPPATWIEAVGTLAETLRFTYSETLGKWPIGDLAFGIKYLMRRQGNLHVASVYAGNNCIELKGPEIMEELIVLRRLIDLCFLFSKKPFPVFLELAGFSPEDVLIEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAATGAVVPFHHSLLDEGGVSKLVLGYAHCGMVAAARWIARSITPCLCQAVSQCPDYQIRVVGHSLGGGTAALLTYILREHQELSSTTCVAFAPASCMTWELAESGKHFVRTIVNGADLVPTVSTSSIDDLRSEVTASSWLNDLRDQIQQTRFLNVVYRSATALGTRLQSFSGARDRVAGAGALLRPVSSKTQVVMKQAQNVAQAVARSRSAFSSWSCMGARRRGVGVVAASAKEEITVETHVTSTTNSESYVVEQRGTKTMEELQYTADSVSVHEETEEEALLSEHESSREHAEEEITEGEMWFEYEKDLDRQAEVEAQTRQEEAAAAKEIMEEESAVLKNVEDRQSFSSDSLERQQFYPPGRIMHMVAMPPADSCPDDPVAADECSVGIYETPRDLYSKIRLSNTMINDHYMPMYKKTMEILIEKFANNDENFCTDSSVE >ORGLA01G0398700.1 pep scaffold:AGI1.1:Oglab01_unplaced020:88760:89830:1 gene:ORGLA01G0398700 transcript:ORGLA01G0398700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESETPRITELHVRMDCNGCEHKIRKTLRAIDGVSEVYVDAASQKVTVVGIADPERIVKAIRKTKRVPTIFSHTDPAAPPPPPAEGEAAPPPEEAPAAEPAPSEAAPPPPAEADQAAAPPATDATVIHMVHDYPYTHDHHHGHGHHLFGRDHWPASHHPAGGMVNYGGGAPYYAAHSYSHRASPYLSEYGYVGSPAHHEGRFYSSHDYYYPAAAGGRGKGDGSQITSMFSDENPNACTIS >ORGLA01G0398600.1 pep scaffold:AGI1.1:Oglab01_unplaced020:69738:70437:-1 gene:ORGLA01G0398600 transcript:ORGLA01G0398600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPARSLLGIAAMLADYFPRELDLATIVAFLFADLTNFGGPITSSSTTLSLMPSDLVNEGERRWKTLLTLGKASIGSLAIGDGIIGREGEGGWRLWGGVVEEGREEDGDYGVKSSRKGGRRRTEIIGMGHELRKEDECYG >ORGLA01G0398500.1 pep scaffold:AGI1.1:Oglab01_unplaced020:65085:65336:-1 gene:ORGLA01G0398500 transcript:ORGLA01G0398500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGNWDLCQAAADTVLRGDSLHALSAVPAAFTDRDMAGLYGNVGAAAGSSSSSPENDNSSASAPRRKRPRNNVAGGVGQQQQ >ORGLA01G0398400.1 pep scaffold:AGI1.1:Oglab01_unplaced020:59266:59835:1 gene:ORGLA01G0398400 transcript:ORGLA01G0398400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLFLLAASLALANAAPPPNDEGATTIVLKDGTTCKLCASCDNPCNPSYYPPPPPPVVTPTPQCPPPPSYPSGGGGGGGGGTVMYASPPPPYSGGGGGSSTGGGGIYYPPPTGGGGGGGGGWQQGGGGGGAYPTPPPPNPFLPYFPFYYYSPPPPFYSSGSSVAGVSAISSAAAATFTLLLTGLLLW >ORGLA01G0398300.1 pep scaffold:AGI1.1:Oglab01_unplaced020:54153:55008:-1 gene:ORGLA01G0398300 transcript:ORGLA01G0398300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLIPSPTIFVTTQAGMLPFATVHVCIVRQRPGAAPGRDRRESPAQAQVAEQATFRGRDHRAPCESLDEARKAHARHVKLGVDRSLRHARPLLAACALAADWPRSMAYAASIFAALDDPEAFDYNTLTRDYSASPAAAAPAAALSLSCHRRLRVTRRRLRQGRRCSVPGLPPMRERKSEREERGRVGGKQVGPITFLKIELLTGQLTXAKITADXVEGGNLSGLHSWG >ORGLA01G0398200.1 pep scaffold:AGI1.1:Oglab01_unplaced020:41513:42014:1 gene:ORGLA01G0398200 transcript:ORGLA01G0398200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTLSELEEDEVDSKFDKQPAPLAWLEERGGRQRVEIWECHLLDVDEAKVQCFDSLLHNAYVVTSYSSSTVLSSSNSLTVSYPSSLQRDGSNDSRRTSAGRRSVRGSSSVTAA >ORGLA01G0398100.1 pep scaffold:AGI1.1:Oglab01_unplaced020:33265:33874:-1 gene:ORGLA01G0398100 transcript:ORGLA01G0398100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRTYKGGVKAYWKHRGYYRLDAAAAQRRAPLPTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGFGAGAPYCTAGQESFARPRQLKEYDEKVLVEIYRSILARGGVPVAVPAGGPAATATAAATTIRLSTAA >ORGLA01G0398000.1 pep scaffold:AGI1.1:Oglab01_unplaced020:16800:20042:1 gene:ORGLA01G0398000 transcript:ORGLA01G0398000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSTLAGFLAKVYTSVQVPSCSSCDPKKLPATASEFDEMKVILCRIRAVLADADRREIEDLHVNMWLYELRQVAYDLEDIIDELSYKTVQPEAETNTHEHADLKRKFEVLDTVNSPVHDHEESLDTDMLDKISKVRNRLKSINSFRESLSLREGDGRIRVSTTSNMRASSSLASETGTFGRDGEKNKLLDSLLNNDNGTDNNLQVFSIVAMGGMGKTTLAKLIYNDEQVKDHFQIRAWAWVSEVYDVTRTTKAIIESITREACGLTELEALQNKLQHIVSGKRFLIVLDDIWIINLLQWDELRQPLDHGGRGSCIVTTTRNQNVAQIMSRLPQVNLDGLNLAASWALFCHCIRQGCHSLKLSETLETIGRGIVEKCSGVPLTIRVIGGLLSSETNEETWNEILTSDIWNLTEGKNWVLDVLKVSYVHLPAEIKPCFLYCALFPRGHMFDKENIVRMWVAHGYLQATHSDRMESLGHKYISELVARSFFQQQHAGGLGYYFTMHDLIHDLAKSLVIRDQNQEQELQDLPSIISPRVDIIGSKYDRHFSAFLWAKALETPLIVRSSRGRNQESLRSLLLCLEGRNDDFLQVNSTGNSIMLHFERDFFTKPHMRFLRVLELGSCRLSELPHSVGNLKQLRYLGLSCTDVVRLPQAVCSLHNLQTLDLRCCRFLVELPKDIGQLQNLRHLDYNVLGRNDSTIPVCKFKSLPEGIGKLTKLQTLPVFIVHFTPMTAGVAELKDLNNLHGPLRISPLEHINWERTCEARVADLIKKVHVTRLCLRWNSHIRYVDNSKPQEKSLEEFDREVLDSLEPHNKIQWIEIEKYMGCSYPKWVGHPSFNRLETVIISDFSSDSLPPLGQLPHLRHLEVREMRHVRTIGSEFYGDGAALQRFPALQTLLFDEMIAWNEWQRAKGQQDFPCLQELAISNCLSLNSLSLYNMVALKRLTVKGCQDLEAIKGLEECWVSINHSQINCTDTSGYSEIVDGNGSECPNSTLPARLEVIQIYDCMSLPNSSLQQAIGITRVFRQRYKLNACQFSQVLYALFDCNMTRIHIRSIRSNSDMVYPDQKEVDESIVLII >ORGLA01G0397900.1 pep scaffold:AGI1.1:Oglab01_unplaced020:13533:14309:-1 gene:ORGLA01G0397900 transcript:ORGLA01G0397900.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRWDTXSLEVRVAVVCTISCXGRAGDGDRSDAGSSRPGLGSCRTRLGVGRGRKGVEHEFGFNTHDKKRSRRIPCRTRKGIGGEFDLEIESGSICPCKSARGIHGIAEIAIESNNQSNEEIGLDFLSGGCLGDECRAAGAWLGVVIVQVLASSTRAGSRRRWRTPMTGPARLMRRGAAPWASASSSGGKPTAAAAALSLAEAPGMRRKGRPAPVHGDVFQKGGDIEGEKEAAAVTATGGDFLKGAEERXGVAALVLSGR >ORGLA01G0397800.1 pep scaffold:AGI1.1:Oglab01_unplaced020:7987:11817:1 gene:ORGLA01G0397800 transcript:ORGLA01G0397800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEAAQEQPAAQNQPRKPRFVWTLRQKAKFSEAYKEELSRSGKVVPTKLLKRFKSMNETGLTLQNISSRLQKYRLSLKRQTSHVDQSTSTDSTTASSIQTKQTPALLQQIIHPRALHTQLAPDVHQVITTNLPQQHIQHYHNMHHQQVERLSEPHSNEPIYKEYNNLAQRFTQVNYHGHSSIHDHHYANIIKKLLPPNVMQPCDLINALPQQPAAATACCMQSNTQTVSSALIVKGMQNHPPDHHIQAFGVLDMGTAQYMGQQLNMYTAEGNWRGTTPQNMRPISQVHRHVSEPPPSYFSNKQKQMAGLKKI >ORGLA01G0397700.1 pep scaffold:AGI1.1:Oglab01_unplaced016:5745:5966:-1 gene:ORGLA01G0397700 transcript:ORGLA01G0397700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQTLPFDSKFAILIFYLNFLNLFEYRLNLCLPFQIFLFNPKYPLATKKPPVGTLRLLWGRSCGLPTPTSDRWL >ORGLA01G0397600.1 pep scaffold:AGI1.1:Oglab01_unplaced016:4355:4637:-1 gene:ORGLA01G0397600 transcript:ORGLA01G0397600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYNQFSLKTSGTPELLSLLITLRSERMGTRVHGTGKTYIYYSMNIIKTIRIAVLAVIGHWDSLWHLG >ORGLA01G0397500.1 pep scaffold:AGI1.1:ADWL01002904.1:409:654:-1 gene:ORGLA01G0397500 transcript:ORGLA01G0397500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYCAIALFFSVFALLNFVLHRLVVLLVLASSSFRVSSSGHVLYQSRRRLRVSVIVGTYENGIGSLPRFYFCSFHKFLSVSF >ORGLA01G0397400.1 pep scaffold:AGI1.1:ADWL01002881.1:3:1757:-1 gene:ORGLA01G0397400 transcript:ORGLA01G0397400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHHIMQGPRRRRAPPAMLRPFPATAHHRCTLLLLLTVTLLPSLAAAAAAHHQHLHDHAAGDGVVISQADYQGLQAIKHDLTDPYAFLRSWNDTGLGACSGAWVGIKCVQGKVVAITLPWRGLAGTLSERIGQLTQLRRLSLHDNAISGPIPTSLGFLPDLRGVYLFNNRFSGAVPASIGNCVALQAFDASNNLLTGAIPPSLANSTKLMRLNLSHNTISGDIPSELAASPSLVFLSLSHNKLSGHIPDTFAGSRAPSSSLKESITGTYNLAVLELSHNSLDGQIPQSLAGLQKLQVMDLSGNRLNATIPDRLGSLADLKTLDLSGNALTGEIPASLSNLTTTLQAFNVSNNNLSGQVPASLAQKFGPSAFAGNFQLCGYSASVPCPASPSPAPSAPASPVQGVETTGRHRKFTTKELALIIAGIVVGILLLLALCCLLLCFLTKKRSGSGGKQTTSSKAAGGGAGGAAGGGRGEKPGSGAAEVESGGEVGGKLVHFDGPMAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKDFESEAAVLGKIRHPNLLPLRAYYLGPKGEKLLVLDF >ORGLA01G0397300.1 pep scaffold:AGI1.1:Oglab01_unplaced002:38835:39173:-1 gene:ORGLA01G0397300 transcript:ORGLA01G0397300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFARSTDLNVGPLVVKPGINQTQGLSAAPGTPCLDAYSGRSFTIPSISIARRTVNSQALCDPSRSAATHGCRSNTSTPLNLHPPPILLADMLSIDTARNDTKPSRHAVQL >ORGLA01G0397200.1 pep scaffold:AGI1.1:Oglab01_unplaced002:37569:37851:-1 gene:ORGLA01G0397200 transcript:ORGLA01G0397200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYNQFSLKTSGTPELLSLLITLRSERMGTRVHGTGKAYIFCSMNILKTIRIAVLAVIGHWDSQWHLD >ORGLA01G0397100.1 pep scaffold:AGI1.1:Oglab01_unplaced002:4132:5579:1 gene:ORGLA01G0397100 transcript:ORGLA01G0397100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGWIVHGYTNGATATGAGNHGFTCGYAASSCGSWEFEQREQQFISSQIQHRLNEISMHLSMDDDDDQSAVYGAAMVDDLLMPSPSTHHAVAAAAGSFPSSSSSSASFRSASVSYSPDTSSSAAAAAATGFYPELSSQVAPLLPPPPLVRNEPQHGRYTAGLPPPQVTGGAFRRYARHLGPRRAPKPGACGQRMFKTAMSALAKMHMETTYRRRQYYYQQAAAAEAAPPPLSGNNQLQHTMSERKRREKLNDSFVALKAVLPPGSKKDKTSILNRAREYVKSLESKLSELEEKNRELEARLSTRPDDTKNDEEAAAPPEADGEVKREDPVEIEALDNFFIR >ORGLA01G0397000.1 pep scaffold:AGI1.1:ADWL01002872.1:9218:9859:-1 gene:ORGLA01G0397000 transcript:ORGLA01G0397000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIYAFQVLFTNENGQCQTTFYPFCGVNEELKAFVHDIVQANKDGDHKAEPRSSYVEGARDVAVLEAMLESSAKQGTMVQVKKF >ORGLA01G0396900.1 pep scaffold:AGI1.1:ADWL01002872.1:6585:7116:1 gene:ORGLA01G0396900 transcript:ORGLA01G0396900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNVPSKGVKIPIDSSYWNDYSTRVVCDIFADQVATGLGLDDARKAVTTIAARWKQLKSDIAGCTKFMKAGLQNEELLEKMFEDIHNTDANH >ORGLA12G0178900.1 pep chromosome:AGI1.1:12:19148776:19151432:1 gene:ORGLA12G0178900 transcript:ORGLA12G0178900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANLRKYMSRSSRFARLQRVKCAVAGRNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKSAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCITMLEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLAAVVAGAVLAGELSLISAQAAGHLVQSHMKYNRSSKD >ORGLA12G0178800.1 pep chromosome:AGI1.1:12:19145899:19147078:1 gene:ORGLA12G0178800 transcript:ORGLA12G0178800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIIDETCWSDKKSCKENENWYCLAKTEAEEMALEYSEKNGLHVITVCPALVLGPLLQTVLLSTSSKVLLYVMKGGPDAIGNTFFPIVDVRDVADALLLVYDKAGPSERYICSQEQMDTKDFLDLMKSMYPNYSYTFKVVDVDTRVGLTSEKLKKLGWKPRKLEETLVDSVESHEKAGLVDDEPCRLPYLYRVPDAQE >ORGLA12G0178700.1 pep chromosome:AGI1.1:12:19141445:19142975:-1 gene:ORGLA12G0178700 transcript:ORGLA12G0178700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASWLIKRLLEAGYHVIGTVRDPSNREKVSHLWRLPSAKERLQLVRADLMEEGSFDDAVMACEGVFHTASPVLAKSDSNCKEEMLVPAINGTLNVLKSCKKNPFLKRVVLTSSSSTVRIRDESKHPEISLDETIWSSVALCEKLQLWYALAKISAEKAAWEFAKENNIDLVTVLPSFVIGPSLSHELSVTASDILGLLQGDTDRFISYGRMGYVHIDDVASCHILVYEAPQATGRYLCNSVVLDNNELVALLAKQFPIFPIPRSLRNPYEKQSYELNTSKIQQLGFKFKGVQEMFGDCVESLKDQGHLLECPL >ORGLA12G0178600.1 pep chromosome:AGI1.1:12:19122414:19123179:1 gene:ORGLA12G0178600 transcript:ORGLA12G0178600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT2G04066) TAIR;Acc:AT2G04066] MAARVATAMTVLVCLVLVIAMILLRNVWGYAYSSEEEVVAYIASMLPILAVSFFVDGINGALSGVLTGCGKQKIGAHVNLGAFYLVGIPTAVLLAFVLHLNGEGLWLGIVCGSISKVGMLLFITLHTDWGKEVQKASPCKYFGLYHVFSGTSV >ORGLA12G0178500.1 pep chromosome:AGI1.1:12:19119095:19120003:1 gene:ORGLA12G0178500 transcript:ORGLA12G0178500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRKGLLEKEILCFLWMSTQDIFRTLIILEKMSNGEVRDRKWLVYSKSVDKVFCFCCKLFDSSNRKSSLGHDGFRDWRHVSERLKEHEVSVDHMTNMNSWNELRVRLSKHETIDKELQHQITKEKECIRQVLFRLVAIIKFLGKRSLAFRGSSDQLYNDVNGNFLACCEMVAEFDLVMQDHLRHIQNKELQYHYLSHKIQNELISLMASSITSSIIKIVKASKYFSVILDCTPDVSHQEQMSLLVRCIHMSDGKIKVGEYFIGFLKVDDTSGSGLFNVLVDSIKYLALMLMILEVKVTTMALI >ORGLA12G0178400.1 pep chromosome:AGI1.1:12:19108941:19110376:1 gene:ORGLA12G0178400 transcript:ORGLA12G0178400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVWANDGGQATTSMDLAVRTRHCSDGFYSYVMLLCADVSQAWEKLRSRWSWISRGMLRLENNARGGVLVLVGFVAIGSHILAMGSHILGTNRRGVGVRRYRLFGKEKLGFALRF >ORGLA12G0178300.1 pep chromosome:AGI1.1:12:19097563:19098826:1 gene:ORGLA12G0178300 transcript:ORGLA12G0178300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRILHGYGGHGGRGFEQTYRCYSAAAFNKPQLEGGDKVIMPASALHRLASLHIDYPMLFELSHGDAAAAHRVTHCGVLEFVADEGTVIMPRWMMRGMRLDDGGLVVVRSASLPKGSYAKLQPHTGDFLDTANPKAVLEKTLRSFTCLTTGDTIMVAYNNKEFLIDIVETKPASAVCIIETDCEVDFAPPLDYKEPEKVQQKPSVPSSKAASEDQDQIKDEPEFRAFTGSGNRLDGKASKPLAAGISSNPAAASSAISDSNKKVNQETAASGVSNSTRQKKGKLVFGSNKSSSSSKEPEKAPPVKVDELAKKEEPKFQAFSGTSYSLKRQAERIANVLNQLPT >ORGLA12G0178200.1 pep chromosome:AGI1.1:12:19094584:19095819:1 gene:ORGLA12G0178200 transcript:ORGLA12G0178200.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRLRSDLPHTRSLPCRARSPSSSSSSNVNSGRGDDADNLLEDLLSKHGEVVYNAGGAPGIDADDDAECLSFAVSLAKVASEVKAADIRVLFVKPLVYWTRFFIILTAFSNAQIDAISSKMRDIGEKQFSIVASGDTKPNSWTLLDFGDVVVHIFLPQQRAFYNLEEFYGNATSIELPFEKQLQ >ORGLA12G0178100.1 pep chromosome:AGI1.1:12:19093164:19093769:1 gene:ORGLA12G0178100 transcript:ORGLA12G0178100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSRSTAAAASAITTTTAAKVVFRDGSMAQFAAPGSTVRDALGGECASSSASTCFVCCSDELRFDAPPRAMAAHDALRPGQLYFVLPVSALRRPLSGQDMAALAVKAIAALGASATAAGSSSGVSSRGKNARPAGKQRPQATARVAPLVAAGADHVYGGYDSQKTVRGDRTARINGGGSIARQRTGLQRLSAISEGDE >ORGLA12G0178000.1 pep chromosome:AGI1.1:12:19085738:19087883:-1 gene:ORGLA12G0178000 transcript:ORGLA12G0178000.1 gene_biotype:protein_coding transcript_biotype:protein_coding EYTLLADALPPAARDREAYALYKLFHKSGAGPKNGEQYGAPFREEDWLDDDDHHHDQLPSEAALPAPATTSGRAATTEEHADFELPGGDLDVLLAQIENDQDIIEAQLDFSTHVTSQVQIQHRVHQGWLSDDGGKSDVADATTSGSALLMAENTCAELPIDGLEQLLMQISDDQQTVEMLSGFSASVPQSQLQHDDHQGCLGVHREEVGVADSTTVSSAVVTEECTVRELQDIEGLLMQIENDLENAESLPDFSTPVHLHDCHQAAFGDFQGSQRATFNIANLSTMVQESPNFDLQTGPSNQITESILTTEPMNGETNAVEETSPLRSMSVLGSYDRQDGDDEFLEINDFFDPEDLEQILGSTTSQNLIPADDGVFDSLQYSDAPMFLPGSFDTTGVVAENHYVEFGASGIQNQGFQHTTELWAHNQVALNVRNHMKDNHVIFSHSSDATIIHTVNEQPPNRSSYASQSWFNGALSALLDSVPSSPAMAAENIGLNRTLQRISSFRSQQPAREEVSSTLINTRRRGGGLIFISLMVLLVAIMWTFSNGSAVKLSKGLWKFPST >ORGLA12G0177900.1 pep chromosome:AGI1.1:12:19074869:19076856:1 gene:ORGLA12G0177900 transcript:ORGLA12G0177900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KADVLDGGSLTAAFAGCEGVFHPATPVPEEQMVDPEKEMMAPAVKGTRNVLEACSAAGVQKLVVVSSIAAVFFNPSWPHDRPKDETSWSDKKLCMETENWYSLAKTEGEEMALEYGNKNGLHVVTVCPGIVFGPMLQTVQLNTTTKALLYIIQVQEAMALIQ >ORGLA12G0177800.1 pep chromosome:AGI1.1:12:19067915:19072215:-1 gene:ORGLA12G0177800 transcript:ORGLA12G0177800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGVLKYAFAELEESRGAIQPAKAIYESLIAENAGMTSLAHIQFIRFLRRTEGIEAARKYFLDARKLPGCTYHVYVAYATMAFCLDKDAKVAQSVFEAGLKRFMHEPGYILEYADFLCRLNDDRNVRALFERALSLLPPEESIEIMFSQVEQRRKEALSRTSEDALSALENTLYDVVSRYSYMDLWPCSTKELDYLSRHEWLAKNIANRGDKSVVLTSGATLGDIRVGSNKKSLPQSSKVVRPEISRMVIYDPRQMKGPDFSTTASGYTKEIDEILKRLSPQMMSFITNLPAIEGPSPDMDIVLSVLMQSTLPVGDKPGSQVPGPATSDLSGPGKSGLNQNGSVHRPPRDGQSTKRKNSERGRAQEEDDTSTTVQSRAMPRDIFRLRQIQRSRGLGPSQSGSAALSSGSVFSGDQSASSG >ORGLA12G0177700.1 pep chromosome:AGI1.1:12:19064127:19065118:1 gene:ORGLA12G0177700 transcript:ORGLA12G0177700.1 gene_biotype:protein_coding transcript_biotype:protein_coding RARAKGLKTLGKIFQRVKLGSSEGEVTTINNTINNSDDNDGSSPDSSPMSPREHPYDPNPPYYQSPYVEAPQFVGGYPSLNFPMPTAPPGAQRDPIP >ORGLA12G0177600.1 pep chromosome:AGI1.1:12:19056408:19057043:1 gene:ORGLA12G0177600 transcript:ORGLA12G0177600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSSCGCGKMYPDLAEKNTTITTSDTMILGVAPEKGRGEAVFEAAAGSGEAGHGCSCGSSCKCNPCNC >ORGLA12G0177500.1 pep chromosome:AGI1.1:12:19046648:19047311:1 gene:ORGLA12G0177500 transcript:ORGLA12G0177500.1 gene_biotype:protein_coding transcript_biotype:protein_coding CIALISSFSLSLSLSLHYILEFTTYKQSKKMSCCGGSCNCGSSCKCGSGCGNMYPDLAEKTTNTSATMVLGVAPAKEQFEGVGKAAESGEAAHGCSCGSSCKCNPCNC >ORGLA12G0177400.1 pep chromosome:AGI1.1:12:19040720:19044230:1 gene:ORGLA12G0177400 transcript:ORGLA12G0177400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKRFDTQSPGCLEGLFNFLALNQRLQMPKAIAYRKHNEGSSNTLRVKVPKPKNRSENDESVRKGTNSPKAKVGTFIWRTLMFKKKTLKKDQKKSDSPANSPSSSRLTRSRSIHHSKCFEYVVPDELASQYHTMIESSSNEVDSFHSAPPLVQESPKVPNFQESCKSSGAKHSFNAEAPCETVPQSSNDETEAASKQKSRDAATHHSKEFMDFLELFNAHRELFLKILHDPSLLVPLENQDQEASSSGAVPLNKSDSFPRPGGSSGKRNPIFDRNDSENNRRSEIQKSPSRLKSDIETAKVIGTRMPDGVEGSSVSLTESKSLRKSGTTSNRFKAIRKKIKAAVKENRKELARITKDGVFHKLPYGQKMAGFMKSPSTDKYVQEEKQMRKSYSIAESIDKYSTLYESISRDPKISPERPSTMFEGDAKLKDKKPPLSMKRIASLPEMRLYSPQRDVLSEVSDSQIVPKTHDLESGCFSSQQTDPFSICTDGSFYPDDITERTADIYSEHNYGESALLGSLEEDLRSILRSPSLPSVAQSFSHRRINSLPSFDRSFFQDRVTNVTEHSIADSEPTFEHMQLEDDDWLVKPPHPPGPYAASLKDDEWLVRPLKSSGVDTIDHEDEEWLVSTSQLPGGNAADFEDEEWLVKPVQSSSADALDSEFQFIHEFAEDAGSLHIYVNDKNEADFHYVKDILKKSGFICGEANWYASNQPLSPVIFEEAEFSCQETYTANDDPHSVVRRMLLFDLINEVLMDIYDSSLVTGPWHSRFDLRTRPIPMGSHVLGEVWGKVSYNLSLQWKPDLTVEDVVAHDVMMKDRWMNLVYDAECLALDLEDMVVDDLLDDIVLQIVLISIDA >ORGLA12G0177300.1 pep chromosome:AGI1.1:12:19036718:19037374:1 gene:ORGLA12G0177300 transcript:ORGLA12G0177300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAERRGGGGGWLHAAWLALTGGAAELITAEEVAGGGGGAVRSGSRYELVSTEEPDGDETSWESNPGPASEAALFLVAREEDPKTTTTSSPESIFACDELRVSRPEFWRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA12G0177200.1 pep chromosome:AGI1.1:12:19030439:19031017:-1 gene:ORGLA12G0177200 transcript:ORGLA12G0177200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVCGDDQAAEAAPVRGGGEDAVVVVVSGEGAASEAGAASTTAVAGDGVVEASASVDLTGERGRRRDDEAPTTAAAVAEEEASAPPAVVVAGAGDGDDDEDGYVTPTSPRHRLQPPTVCPPAPKAARSAPTRLPARRFEGALVMAASASPPGRKRVQANPDSESDEVVVAFIRSLRQRLLPPEDEKKNPM >ORGLA12G0177100.1 pep chromosome:AGI1.1:12:19016152:19020839:-1 gene:ORGLA12G0177100 transcript:ORGLA12G0177100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1R8B5] RVAAAVEAVAAGAGAGAGAGEYRNAYRRQLLALSRRIRLLGPFVEELRERRRGEGEGEEEERALAPLAAALEAALALLRLGREGSRISLVLERDSVMKKFQGVILQLEQALCDIPYNELDISDEVREQVELVHAQLKRAKERIDMPDDEFYNDLLSVYDKNYDPSAELAILGRLSEKLHLMTITDLTQESLALHEMVASGGGQDPGEHIEKMSMLLKKIKDFVQTQNPDMGPPMASRVLDSNGDSRPITIPDEFRCPISLELMKDPVIVSTGQTYERACIEKWIASGHHTCPTTQQKMSTSALTPNYVLRSLISQWCETNGMEPPKRSTQPNKPTPACSSSERANIDALLSKLCSPDTEEQRSAAAELRLLAKRNANNRICIAEAGAIPLLLSLLSSSDLRTQEHAVTALLNLSIHEDNKASIISSGAVPSIVHVLKNGSMEARENAAATLFSLSVIDEYKVTIGGMGAIPALVVLLGEGSQRGKKDAAAALFNLCIYQGNKGRAIRAGLVPLIMGLVTNPTGALMDEAMAILSILSSHPEGKAAIGAAEPVPVLVEMIGSGTPRNRENAAAVMLHLCSGEHHLVHLARAQECGIMVPLRELALNGTDRGKRKAVQLLERMSRFLVQQQEEQESQSQASAQVPPQATPEQVPENDIPEQLDSPASQYPMVV >ORGLA12G0177000.1 pep chromosome:AGI1.1:12:19005863:19007452:1 gene:ORGLA12G0177000 transcript:ORGLA12G0177000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSLPIFLDPPNWTQMQQQPLQCLIGGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAALEASLEGYHHHHHGHGHQLPFLQPPPFLQQGLHGYHFADGDVAAGAALADGGFPRGVASGLLAQLASVKMEEHGTNNGGGVGGGFVGAHEQYWHGGNGGGGWPAEFLSGFSSSSSGNVL >ORGLA12G0176900.1 pep chromosome:AGI1.1:12:18994128:18994541:1 gene:ORGLA12G0176900 transcript:ORGLA12G0176900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPFKVIAGPGDKSMIVVQYKGEEKQFAAEEISSMVLIKMREIAEAYLGTTIKKAVVTVPAYFNYSQRQATKDARVIAGLNVMRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGGQGHSW >ORGLA12G0176800.1 pep chromosome:AGI1.1:12:18981463:18981909:1 gene:ORGLA12G0176800 transcript:ORGLA12G0176800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLEAPVAARVALGGDAYSCFVCGADELDYGAPARAMGDDEALQPGQLYFVLPVSALRRPLSGHDMAALAVKASAALSSIGVPTSSATRRKDDRDGAAASGKRRRTSRVAPLAVVSGIDAHATPLMAKTRKCGRRRACVRRLSVASE >ORGLA12G0176700.1 pep chromosome:AGI1.1:12:18963517:18975830:-1 gene:ORGLA12G0176700 transcript:ORGLA12G0176700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEAEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLEFGTKHGLGKLGAGIRIWANINPDLLLAVFLPALLFESSFSMEIHQIKKCMAQMVLLAGPGVLISTFFLGSALKLTFPYNWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDALEVSGVLTVMTLGMFYAAFAKTAFKGDSQQSLHHFWEMVAYIANTLIFILSGVVIADGVLENNVHFERHGASWGFLLLLYVFVQISRILVVVILYPLLRHFGYGLDLKEATILVWAGLRGAVALSLSLSVKRASDAVQTHLKPVDGTMFVFFTGGIVFLTLIFNGSTTQFLLHLLGMDRLAATKLRILNYTKYEMLNKALEAFGDLRDDEELGPPADWVTVKKYITCLNDLDDEPVHPHAVSDRNDRMHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVPTQELCDWKGLRSNVHFPNYYRFLQMSRLPRRLITYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMAHLDDALQTDLKKFKRNPPLVKMPRVSDLLNTHPLVGALPAAMRDPLLNSTKETVKGHGTILYREGSRPTGIWLVSIGVVKWTSQRLSSRHSLDPILSHGSTLGLFEVLIGKPYICDMITDSVVHCFFIEAEKIEQLRQSDPSIEIFLWQESALVVARLLLPMMFEKMATHELRVLITERSTMNIYIKGEEIELEQNFIGILLEGFLKTKNQTLITPPGLLLPPNADLNLFGLESSAINRIDYCYTAPSYQVEARARILFVEIGRPEIEADLQRSASLISQTLELPRTQSKEHSGLLSWPESFRKSRGAQNGASLTEIRDHPASFSARALQLSMYGSMINDMKSGQGQGQRRQRHRHTKASSNKAHSSSYPRVPSRSSNTQRPLLSVQSEGANMTTARQAAAAGAFLPPEPEEAGRRRRRQRKAIEEDEDNSSDESAGEEVIVIVDSPSMLTFRQPSSAADR >ORGLA12G0176600.1 pep chromosome:AGI1.1:12:18950228:18951444:1 gene:ORGLA12G0176600 transcript:ORGLA12G0176600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDFDQEMATAATGSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >ORGLA12G0176500.1 pep chromosome:AGI1.1:12:18945677:18949280:1 gene:ORGLA12G0176500 transcript:ORGLA12G0176500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPYGDGKGLKQQQRQKLKPALEVEDFINLLHGSDPVRVELTRLENELQYKEKELGEAQAEIKALRLSERAREKAVEDLTEELTKVDGKLKLTESLLESKNLEAKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARHEIAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVSANRVAVVVANEWKDGNDKVMPVKQWLEERRILQGEMQQLRDKLAIAERAARSEAQLKDKFQLRLKVLEEGLRMSTTRTNVSAARRQSIGGADSLSKTNGFLSKRPSFQMRSSVSTTTTTLVNHAKGASKSFDGGCRSLDRYKGHVNGSGMNVSTDSSEDKESNNSDEKANEFTSVETEDTVSGLLYDTLQKEVIALRKACHEKDQSLKDKDDAVEMLAKKVDTLTKAMESEGKKRRMEVAAMEKEMAALRLEKEQDNKAKRFGSSSSQLPPGRTLPRSGSARNM >ORGLA12G0176400.1 pep chromosome:AGI1.1:12:18939868:18944843:-1 gene:ORGLA12G0176400 transcript:ORGLA12G0176400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPSPSPSSSCAKHHRSPDPADPAASPKRRRRHHRRRRDVDDDDESPLADADRPPAAEDVEEGEILDDAMDVDAVPDAAPDADATVLHASRLPTHSSSRDETKSNHTAHEPESGGDADDTKGGRQSQRVPKSPLLTREKERKHKDEHRKSYPKDSHSKEQSRRSPSRHHSSEDHARHHSRSRDTGAEANGSRASTREDSDRDSNGRNSKHGRHATRSRDNETERSSSYAVRDEAYDERERYKHERRHRSNPVDRDKVDLHELTHRDRERSSSRSRSDRRESAHHIRDESRESERRSSSSRHKDNERRDRSKDRYKESDKVDSGHERDKTRDDRDRGRHKDLESRKWRNGEAKDRDDRHKDSTRSKYSTSDSHKHRSRSRERGRDAERRGQRSEELKENTFREEDEEEYQEKIEQQLAMQEEEDPEKIKEEARRRKEAIMAKYRQQQLQKQQLESLPRSNDEEEVEMNRGDNADLKGDNDSRFVASEEAENKHDSSDAIVGETDFTVGKSPAHNDGAGTLGNQRTTGVSGLGEGTPKSERSADMFCDDIFGESPAGIRKLGKDDGLRIEKNALHDNWDDAEGYYTYRFGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEEVAIKIIRNNETMYKAGKQEVSILEKLASADREDRRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDMWSVGCCLYELYTGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQDLNFHATEEDPVTKKAVTRMILNIKPKDIGSLISNFPGEDPKMLSNFKDLLEKIFVLDPEKRITISQALSHPFITGK >ORGLA12G0176300.1 pep chromosome:AGI1.1:12:18932895:18935594:1 gene:ORGLA12G0176300 transcript:ORGLA12G0176300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1R8A7] MAALLLLVVAVAIAIVPWGGGAVAVAVAREVPVEYLYPPFNLTYFHFLDTNGVFLLSRNATFSAAVYNAGVDPSSDDNQSRFFFSVLHAASRTPVWTATAGTTILQSIVLSLTAQGLALSDPDPAADYAWSTPRLRAPVAALRLLDTGELALLDAANATLWSSFDRPTDTLLPAQPLLAGVSLTSPVSDQDLNPGAYRLMLTDTDALLQWATNNGSSFLTYWALSTDPNSVQDSNAAVHSMTANSSGLYLLAANGRDTVFRLRFPSPDANGDPCLLKLDSSGRLRALRLSTTSPRATLPTVWAAPTGGCDLPLPCRSLGLCTPGTNGSSCSCPDAFSTYSTGGCAPADGSALPLLADTCAPPPNFNYMTLGEGIGYFANKFASPDTSGEELPACRNLCSANCSCLGFFYRNSSKSCFLLNYRIGSLFRGDSDAAVGFIKTLPPASRRQGGGKGSSLSFITIVFGIALPTVAAVLIGFVVYVMWVKSRQAGNKKKKKKKQGGSRSWFKLPMLSSQQASYASEEQQGEEDDGDGDEVLIPGLPARFTYAELEEATEGFKSQIGSGGFGCVYRGELTDPERSAVVAVKRMNNLGSQGRREFLTEMAVIGNAHHVNLVKLRGFCAEGARQLLVYEYMNRGSLDQCLFRAAAAPLEWPERMGVCVGAARGLAYLHAGCARKILHCDVKPENILLDDRGGVKIADFGLAKLMSPEQSGLFTTMRGTRGYLAPEWLTNAPITDKADVYSFGMVLLEIVRGRKNCRSGKGSGGEASSDSDGYFPAMALELHEQGQYEAVVDQRLEGRADVAQVERVVRVALCCLHEDAALRPAMTTVSAMLDGSMEAGVPRTELLDYLRLYGRGLVDVRSGLHAAGKGGSDFTSGGAGSSSWSPTSCVSAQQLSGPR >ORGLA12G0176200.1 pep chromosome:AGI1.1:12:18924985:18929943:1 gene:ORGLA12G0176200 transcript:ORGLA12G0176200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G63520) TAIR;Acc:AT3G63520] FDGDRMIHAMRIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGFFGLFMVQMQQLRKKLKVLDFTYGHGTANTALIYHHGKLMALSEADKPCKFSSHNDVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFAFGYSHEPPYCTYRVITKDGAMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILQRYEKDDTNIRWFELPNCFIFHNANAWEEGDEVILITCRLGNPDLDKVNGYQSDNLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRINESYTGRKQRYVYCAILNSIAKVAGIIKFDLHAEPEISGKKQLEVGGNVRGIFDLGPGRFGSEAIFVPKEPGVSGEEDDGYLIFFVHDENTGKSEVNVIDAKTMSADPVAVVQLPSRVPYGFHAFFINEEQLAKQSA >ORGLA12G0176100.1 pep chromosome:AGI1.1:12:18923570:18924540:1 gene:ORGLA12G0176100 transcript:ORGLA12G0176100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGDEVLLLPEPRPRRGLASWALDLLERAAVRLGHDASKPLYWLSGNFAPVHHETPPAPALPVRGHLPECLNGEFVRVG >ORGLA12G0176000.1 pep chromosome:AGI1.1:12:18920170:18922638:1 gene:ORGLA12G0176000 transcript:ORGLA12G0176000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation/hydrogen exchanger 28 [Source:Projected from Arabidopsis thaliana (AT3G52080) TAIR;Acc:AT3G52080] MDCSMTSSILSANYNTILFEFGVILVTSKILYALLRKLYQPRIFSDLLLGIVLAQFRVLSLTNAINLVFGKIGGFVFAPYLFALGVEMDPSALLDAPTADAAVAYAGIFSTAVLVTLFHAPIMRPTSGIVHENSLRAFLALAAALANTASSVLTRLATDLKIAKTAVGRLAVGAGIASDMLTTMLIAIGSMIWRDTGTEGAASPLAQPALTAAVLAVVLMSGLVSRAMAEWVDGRNPEGRRMRGFDLSLVALVAATLCWFTSALRLDVNMAAFLVGLAFPSEGRVSRLLVSKINLVLSSFVLPLYVAHVCLSLRQTTDDIEAAGLRKDQGFRVYVMELPFPWWKVLFVTAMGTLGKLVGCAAAGLLRGLGWLEALALGLLLNVKGYFHVYCALAAFEAGIITDKSFMAIIFMVAVNVATTPMVGMAIASWARRSVQWRLMGLQHHDPATELRLVVGLQGPQDVATLAFLMEALRWGGGNGELAVYAVDMVQLTDQTAAAIVKGGGFDGVTVVDEEVSEMRKQIGEALDAYQGDDGAVRVRRVLALSSFTDMHSDICICAEEAMAALVLLPFHKRQRREDDGGAMDMEPPRPLGFRLVNQKVLQLAPCSVGILVDRHRHVDAAASQSQSQGVVVVFIGGADDREALTLASFMSKHASVRLTALRVVQNATAQARARARTSLFESSKSSRRAGASSSSSASSVLGQEEVQAQVDDKFFAEFYRKHVAGSKAIGYMEKHVGDGAELVAVLRALQAEYRLFVVGRGSDRRSVLTEGLEEWAECLELGPVGDILASSDFSSTASVLIVQQYDAKKHYKVIDEEFMPL >ORGLA12G0175900.1 pep chromosome:AGI1.1:12:18913130:18914872:-1 gene:ORGLA12G0175900 transcript:ORGLA12G0175900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVVGXCAELVQXXYSYVQCPCPLCGGRLLPPALETCAPDAVAPPAPAGPAQPPRRRERAPACQHAGAPRAEGPGRCARPAHAPPRRPGAGGRRHLQGARPGHSQDPRRQLRTRPKLVAGGIVAYDWTDILFSPSGDYWRKLRRLCIQEILSAKRILSFEHVREDEVRMLADEIRAVGPSVAVDLSARLHRITNTIVSRAAFGNKRSNAADFLVAIKQSVIMASGFYVPDLFPRFSVLLCWLTGMRRTLHGIRDTIDSILEEIISEKEEAKQQQDNNLVDVLLSLKDKGDFGFPITRDTIKAIVLDIFAGGSGTSANVMEWAMSELMITLGXXTRCRQRSGMRFMGSKASVRLIFGLVTSNTLSXXXRRRXGCTLQLPCWCHEKALMHARSMDTXSQRRRVIVNSWAISRDPRYXEDAEEFKPERFAEGGIDFYGSNYEYTPFGSEWRMCPGYNYGLASMELTLAQLLHSFDWSMPDGATEVDMTKAPGLGVRRKTPLLLCAAPYVASPIYA >ORGLA12G0175800.1 pep chromosome:AGI1.1:12:18905748:18906119:-1 gene:ORGLA12G0175800 transcript:ORGLA12G0175800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWCMSMMHIVLLLLVVVLMLLNVSCEAARGMPAPQGATTMAKAMEAGGGGGLKDHKTFLPPVPGMGGGGVGGFAGIGGPLGGVIGGIGGVLGGSPAGLGGGLGGGSSGGLGGGAGGGCIHP >ORGLA12G0175700.1 pep chromosome:AGI1.1:12:18903741:18904184:-1 gene:ORGLA12G0175700 transcript:ORGLA12G0175700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPYALRKQLEAADKCFSDGNIKGGKMHADMAAALFSSAPEAQCAQAAFKVHAAAAAAAATKDKTKTDHYAVLGVKLSATGKPDATTTDAVRKQHKALCAMFAAVAAANKLVDEALSALTDIKKSDVMSPPPTSTSTYSYQQKQQ >ORGLA12G0175600.1 pep chromosome:AGI1.1:12:18896050:18896715:-1 gene:ORGLA12G0175600 transcript:ORGLA12G0175600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPYALRKQLEAADKCFADGNIKGGKMHADMAAALFSSAPEAQCVQAAFKVHAAAAATKDKTKTDHYAVLGVKLSATGKPDAITTDTVRKQHKALCAMFATAKDTSAAVAAAYKLVDEALSALTDIKKSDVMAPPPPTSTSTYSYQQQQQRSTLMFVY >ORGLA12G0175500.1 pep chromosome:AGI1.1:12:18893257:18895535:1 gene:ORGLA12G0175500 transcript:ORGLA12G0175500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKLIYAMVARGTVVLAEHTAYAGNFRDIAAQCLQKLPAGDNRLTYTCDAHTFNFLIHQGYAYCVVATESSGRQIPLALLDMIKEDFNKRYAGGKAATAAANSLSRDFGPRLGEQMKYCMDHPEEVSKLAKVKAQVSEVKGIMMENIDKAIDRGQQIDVLVSRTEQLHDQAADFRQQGTRVRRKMWYQNMKIKLIVLGIIIALILIIILSVCHGFKC >ORGLA12G0175400.1 pep chromosome:AGI1.1:12:18890410:18892307:1 gene:ORGLA12G0175400 transcript:ORGLA12G0175400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTGTAAAAAVDRRWLLPLAIGSALSLLLLVLLTTFPLPFPFPSSAASRPPNPTLFVEHKLAPSPPSTASPPRFAYLISGSAGDAAALRRVLLALYHPRNLYILHLDAEAPDSDRANLAADLADHPVIAAAANVHVIQRANLVTYRGPTMVANTLHAAAAFLYTNQHSHLEWDWFINLSASDYPLLTQDDLIHVFSKLPRGLNFIDHTSNIGWKEYQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGSAWMALSKPFVEYCIWGWDNLPRTVLMYYANFISSPEGYFHTVVCNAEEFKNTTVNHDLHYISWDNPPKQHPHYLTIEDLDRMVASDAPFARKFHADDPVLDKIDAEILLRGPDMLTPGGWCGGTRENGSDPCSVIGNTTHLQPGRGAVRLQRLMTSLLSEEKFHPRQCK >ORGLA12G0175300.1 pep chromosome:AGI1.1:12:18884426:18888907:1 gene:ORGLA12G0175300 transcript:ORGLA12G0175300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRGLLLVFVVVCFGGGGWLLPASRAMPLCTDSRPPVALNKTLAFCAYARGSSSCCDAAADATLQKQFDAFNVSDASCAALLKPILCAKCNPYSAELFNAGPNIRTIPFLCNSTSSSSAQSKDSTQDYCKLVWETCKDVTISNSPFQPPLQGGAPLPTSSSKLTDDWQSEADFCKSFGGAPNDQSVCFSGNSVSFNTTPPSPSPKGICLERIGNGSYLNMAPHPDGSNRIFLGSQPGKIWLATVPDQGSGGILQFDETSPFADLTDQVHFDSQFGLMGMAFHPNFATNGRFFASYNCDRTKSPSCSGRCSCNSDVGCDPSKVGTDNGAQPCQYQVVVSEYSAKGSSANISEVTSADPSEVTRIFTMGLPYTSQHGGQILFGPTDGYLYLMMGDGGGKGDPFNFSQNKKSLLGKIMRLDVDNPPRQSEIANQSLWGNYSIPKDNPYTDDSDLEPEVWALGLRNPWRCSFDSARPSYFYCADVGQDQYEEVDLISKGGNYGWRAYEGPLVFNPPSAPGGNTSLNSINAIPPIMGYSHSDVNKKIGSASIIGGNVYRGSTDPCLVGRYLYADLYASAMWTGTEAPESSGNYSSSLISFSCSKSSPIACDTAAGSPLPSLGYIYSFGEDNNKDTYVLSSKGVYRVVRPSLCGYTCPTEKPATNTGTTTPSSAASVTGKQMMGALLLSVLMFWVLVR >ORGLA12G0175200.1 pep chromosome:AGI1.1:12:18878277:18879344:1 gene:ORGLA12G0175200 transcript:ORGLA12G0175200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WXXRQRGRRRASGLDGRRCGRRRRAFCSSCPWCRSTSPSSCRTTSPPSPPASTPSSPPTPPSPSTTSPPTTSPAASPSSPSRPTSAPPPAPPTPAASAPTSPRAPIAWPSPSTTTRLSPTTSAAPPCGGARPRRSPAPTSSPGPRATPSAAATASPSTAATAALVENAYLPHVLAEGRAVTVRNRQRRLFTNNPSADWSAYDDARVWSHVKLEHPSTFATLAMDPVRKQEIIDDLDMFRDGKEYYASVGKAWKRGYLLFGPPGTGKSTMIAAMANFLDYDVYNLELTAVKSNTELRRLFIETTGKSIIVIEDIDCSIDLTGKRKKKKKDKKKKKMTPPWARDDDEEKKVTLSGLLN >ORGLA12G0175100.1 pep chromosome:AGI1.1:12:18872707:18873945:1 gene:ORGLA12G0175100 transcript:ORGLA12G0175100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVDDHEEVADDFRGATMWWRKSKAIPRANVISWAPRQDERRSYHLTFHRRHRALVEADYFPHVLAKGRAVTVRNRQRRLFTNNPGADWSGYDDARVWSHVKLEHPSTFATLAMDPVRKQEIIDDLDMFRDGKDYYASVGKAWKRGYLLFGPPGTGKSTMIAAMANFLDYDVYDLELTAVKSNTELRRLFIETTGKSIIVIEDIDCSIDLTGKRKKKKKDKKKKKVMPPSDDDDEEKKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDMHIEMSYCCFESFKVLAKNYLGVEQHEMFGEIRQLLEEADMSPADVAENLMPRSKTKDVDACLERLVKALHEAKETKAAGGNDDDNESSSSSDDSDSDSDSSSDSEEQDKLAVVTKLDFSLAQPNYII >ORGLA12G0175000.1 pep chromosome:AGI1.1:12:18867655:18869244:-1 gene:ORGLA12G0175000 transcript:ORGLA12G0175000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMMENLGSVSTLWSALVSVLLFWPVVNNHVPAGLRQWLSTMVDKLTSYLSPYLHVTISEYGHERFRRSDFFLAVEAYLSHACARRARKLRADLGKDARTVQITVDDHQEVTDSFRGATIWWYPSKKPPRTNVISFYPRDDDARFYRLVFHRRHRDLVLDAYLPHVLAEGRAVTIRNRQRRLFTNNAPGASTSYYSRKSVWSHVPFEHPATFDTLAMEPADKDAILDDLTAFRDSKDYYAKVGKAWKRGYLLHGPPGTGKSTMIAAMANFLDYDVYDLELTAVKTNTDLRKLYIETTGKSIIVIEDIDCSVDLTAKRSNDKKKKKSSDEDDDDKPKLPTEQEKDEASKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDVHIEMSYCRFEAFKVLAKNYLGVEQHEMFVEIRRLLEEIDMSPADVAENLMPKASKGKKRDPDACLAGLIEALNKAKVEAAEAAKAKEEEEAAAAKAKEEEEAAKVKAAKEKEKDDEAAGTKVDETVNNKSNGTI >ORGLA12G0174900.1 pep chromosome:AGI1.1:12:18864113:18865508:1 gene:ORGLA12G0174900 transcript:ORGLA12G0174900.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYRRIQLCELLHGFLGLSGAILVQVYRTIHIAPSTFILMLAILPTAITLLLMYFVDVHRTDYQRYNKKFMDAFSLIAITVAGYLMIIIICDQVLKIISSAVQTVCFVILLLLVLSPVAIAVKAQKTESGKQEEETRDQAERIGLLQEQISTNASSSSDERCQELSTGKENMNLVQAMCKLNFWLLFLAMSCGMGSGLATVNNISQIGGSLGYSTKETSTLVSLWSIWNFSGRFGVGYISDHFLRSRGVGRPFFIGVTLLVMSLGHAIIASGIPASLYVGSVLVGLCYGCQWALMPSITSEIFGLNHFGTIFNVVAVASPVGSYILSVRVVGYIYDMESPPGARACSGNHCFALSFVIMACVCVVGSAVAFMLFVRTRRFYKRVVYARLQSFL >ORGLA12G0174800.1 pep chromosome:AGI1.1:12:18857838:18858095:1 gene:ORGLA12G0174800 transcript:ORGLA12G0174800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDPVSLPPGDAAAAAHGWGGWGLGMFRGLRENPILLQSQRKESKPFLSDSWNLSRKNNNLNQSNLLFRFLNLSLLLVSFYSKQ >ORGLA12G0174700.1 pep chromosome:AGI1.1:12:18853668:18856247:-1 gene:ORGLA12G0174700 transcript:ORGLA12G0174700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKQLGTLTQCARSFYVNGSRCGSTDGASCTCPEDETSAPKRQTANGIEQKFHSTRRPSVKAQTPSVQHVVGSIGRATGYPAPAVHIAPSNSSQETEPASGNTSNHPRTPQVLGNDYVQPSKQIARSISHSAIAGAGVYTELVNLKSTSNNGGTNQAPQMATSYSYQPLSDAQPSNIKSHNQHSLPEAKMPYNPSVQNNLGKGVSRSGYAKSNQSFSGPPAMVANSPSQIKNQRHPGQRHTNYHSNNFSTDARWDEVQTQNLSAPAMYTGSGHKSQALAGTIKSHGGGPQSNLRSLKSLRSVEQYYHTLQQMKWGPMTEHVLDNLHCKIDAFQANQVLKLLHDHTIALGFFQWLKRQPGFKHDGHSYTTMIGILGQARQFGTMRKLLDEMNSVHCKPTVVTYNRIIHAYGRANYLREAVKVFEEMQKAGYEPDRVTYCTLIDIHAKGGYLEVAMDLYTRMQEVGLSPDTFTYSAMVNCLGKGGHLAAAYKLFCEMVENGCTPNLVTYNIMIALQAKARNYENVVKLYKDMQVAGFRPDKITYSIVMEVLGHCGHLDEAEAVFIEMRHDWAPDEPVYGLLVDLWGKAGNVDKALGWYHAMLQDGLQPNVPTCNSLLSAFLKINRFQDAYSVLQNMLAQGLVPSLQTYTLLLSCCTEAQAQMGLCCQLMAITGHPAHMFLLYLPDAEPGGQNVRDHTRYFLDMMHSEDRESKRGLMDAVIDFLHKSGLKEEAGFIWEVAAQRNVYPDSVREKDPSYWLINLHLMSEGTAVTALSRTLAWFHRQILTMGKCPERIDIVTGWGRRSRVTGSSLVRQSVQKLLHLFEFPFVTTRGNTGCFVGCGEPLNRWLHNPYVERMHLL >ORGLA12G0174600.1 pep chromosome:AGI1.1:12:18849001:18853104:1 gene:ORGLA12G0174600 transcript:ORGLA12G0174600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G39940) TAIR;Acc:AT5G39940] MAKPKEDQRGPKKDEAQKQKLTPWLAQLEESQQAKMPQWPSLQLPAAAAAAARRATPFALCRSSSSSVSASPSNQDLVACSWQKEDEAEAQSLVVVGGGAAGVYASIRAKSLAPHLNVLVFDKGRFLSKVKISGGGRCNVTNGHHLEPLGMARNYPRGHKELRGSFFKNHGPQDTMRWFSDHGVELKAGKAVTGASVTENGKFVVKVEKRTVDFVDHVNAKYVLVATGSSQQGYSIAAQFGHSIIAPVPSLFTFKITDKRLADLSGWKSQIKWKHGNPFRIHVTFPIVKAKLKLDGIKRSAPELTQIGPMLVTHWGLSGPVVLRLSAWGARELHQYNYQAKLTVDFIPDIHIEDVKRILFLHKDHHASLDGDMHWASIPNNNLNTVALRLKQWMFEVVAKGQFKDEFVTAGGVPLSEERYTFVPELATLWISKRTIDLSMTVVQVLNVDGVTGGFNFQNAWTGGYIAGTSIGTLASSNMRQQQPYLQLDGS >ORGLA12G0174500.1 pep chromosome:AGI1.1:12:18846463:18848051:1 gene:ORGLA12G0174500 transcript:ORGLA12G0174500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFFWAAYKTDFFPRIFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYADWAFTSIKGIGWGWAGIVWLYNLIFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDAKMFSEKAGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTV >ORGLA12G0174400.1 pep chromosome:AGI1.1:12:18841938:18846003:1 gene:ORGLA12G0174400 transcript:ORGLA12G0174400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:I1R888] MAEKEGNLDAVLKEAVDLENIPLEEVFENLRCSREGLTTQQAQQRLEIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTVKQGEIKAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIDVFERGITQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDGDGKMYRVSKGAPEQILHLAYNKPEIERRVHAVIDKFAERGLRSLAVAYQEVPEGTKESPGGPWHFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTVC >ORGLA12G0174300.1 pep chromosome:AGI1.1:12:18833842:18837162:1 gene:ORGLA12G0174300 transcript:ORGLA12G0174300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT5G20170) TAIR;Acc:AT5G20170] MEEAVRVDLDKLPIKRLHAIDEAGNEHYPPDTSSEEQRLSAIRRIDFSWVIDKDAKKPKKDTAQQQQQQAWPWQGMMESLQQAQQELSVVIDLISTVEANDAVAVAGMLKPKSLPTETLVDTAVSAATKLQRVRHLSRYFKQSAKTMEQQFQKESRFYGSLIRLQQNWKVKRQRFGGSGPGSEGFMFDLIDTSQLDTAAMPRLSSLSLIPIDQDSSGTLSVQVPQKSCRFLSLNFRGDSANGVENYGHKLKDGISCITSSETDNDDVNKSIKHAHSILRNIHKSIFEEQVFDMVIRETFVQSQGINVTGMREDFLQLAIGQECSLCLSLAHSGDGSDSEMVDHEDHANSEDASNLVLVTMNGKLDPLRKDVTGFPNPRSLEIYLLQLFHENILRKVREKSLNIGRYQSPAQVAGDDYGLLGHFCLTVAHRIFSNKVLVELESVVSRVPYLHLRSLPTWHSRTSSWSLCLKVPQPILAADRIAKPSDNHELKYKSRSQFNTKVIVKDSQISLMGEGSPSIAGSLTGKPSDGYLVNSYNCDLEDLPTMLLQQVASQVIHWLHEEALVLGMNVTRDFLCLYFDLEQGETLGLVANVDPDDTCGCISWYLTIDHPTEDGKMSADSQEFEKRRFLGYVSLEVLYSTLMDLINLCNAGAHH >ORGLA12G0174200.1 pep chromosome:AGI1.1:12:18829544:18832054:1 gene:ORGLA12G0174200 transcript:ORGLA12G0174200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLTPQTLAAGDLVWAKSKRRPWWPAHLLPDGLVSYLADPDPDHAPRRASDLRPFAHPDADLMARATTTRAFVAAVQHAQAQAAALLHAHLTCPCASAPAPAPDAPSPPLRATLHNLPPPDFLASLRHAALDTSSVGLLDLPRLKAWVAALANGWGPAGPGHYPRRPVAELVDKIDLDVPAAWDAQDQDTRPFEVPQETPTQKKRSVAELMDNDDDKATPHHQDSATTISKSNKRERKKSKYLSPPYTNLGGIALVQKASDSPKPSPPAAAEDDEYKVLPKPLQENVSPQEVLLFVRRTGLDVFHRIRSMKAVHAFLSLYTSSLLVEDADYKSFIAHECSTENAFTNAAVETSDSFVNSRAALKPGKCALKRTRKQGQNEGGSSSTKTEKRGKKSPAAALGFSVTITPAIPIRQARAEDIRSPTKPENGARGMAVGENGARGMAVGVQLEKIKPDFKSPTLASAKVAKEPGQEQDKANGGSVLKTPANACKNLSDQPAKQNDAGMLEARQLHTNIQSDPGVQGIVVDVPVRCVPVEAVKSEANIPLHRDGQNAAVDVTDKSAPLPKSEDVSLSQLTDGNKEHASAEVRTVQESYASLEAMVPEMLMKAEVANGTNVAAASNSLKDEGQRADQPSLKKMVPGANVNHSSGEATNSAFPDIAYSTPKKKKKKIAEHFGNPAALLLDFAKGVVLPSKEELLSAFGKFGLVIEPETDIVKDTHSARVVFGKSAEAEAAYNSAETLGMFGPPFATPRLHYLPPIKLSVPSPASKPPLTDIRKNLERMISSLAGHSSVKKATPSDGSKQMPENLLGEMQGLLAKVDKMLTGPSATASNPH >ORGLA12G0174100.1 pep chromosome:AGI1.1:12:18816702:18818450:-1 gene:ORGLA12G0174100 transcript:ORGLA12G0174100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAMEKQQQPGEKKITGGEEEEEVISSVRYRGWKSMPYVIGNETFEKLGTIGTTANLLVYLTTVYHLPSVHAATLLNFFSGTTNLAPLLGAFLSDTFLGRYTTIAAASLASCLGMLLLTLTAAIPSLHPPPCTSPSTCQGPTHGQLAALLAAFAFLVVGAGGIRPCNLAFGADQFDPRTDSGRRGIASFFNWYYFTFTVAMMLSATLIIYLQSNVSWAIGLAVPAALMAISCALFFMGTRLYVRVRPEGSPFTSFARVIVAAVRKRRVPAPASADDLFDPPHQSKLVAKIAYTDQFRCLDKAAVVTPESRSSPWRLCTVQQVEEVKCLARIIPVWSAGIVYFIVVTQLGTFVVLQALQMDRRLTRWWAFEVPAGSMVVFNMMAMTVWIPVYDRVVVPALRRVTGKEGGISQLQRIGVGLVLSVATMVVAAAVEQRRRRLGAVGVKMSFLWLVPQQVAAGMSEAFAAIGQTELYYRQFPENMRSVAGALFFLAFALANYASGFMVAAVHRTTGWLAQDLNHARLDLFYLTVAAIAAANVCYFLLCARWYRFKNTTIADHVELPDYHHHQPGTANTIGSKV >ORGLA12G0174000.1 pep chromosome:AGI1.1:12:18805719:18809746:-1 gene:ORGLA12G0174000 transcript:ORGLA12G0174000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPSQEQTKLAPEKQQHISGHGEQAVRYHGWKVMPYVIGNETCERLGTIGTTANLLVYLTTVFHIPSAAAATLLNVFSGTSNLAPLLGAFLCDAYLGRYATLAAASIASFLGMLVLTLTAAIPSLHPPPCASSSSTSCQGPTHRQLAALLASFAFLVVGAGGIRPCNLAFGADQFDPATAAGRRGIASFFNWYYFTFTIAMMVSATLIIYLQSNINWAIGLAVPTALMALSCALFFMGTRLYVRVRPEGSPFTSFAQVLVAAARKRRLPAPASPADDLFDPPHRSKLVAKIKHTDQFRWLDKAAVVTAEDAVVDGMSAAAANPWRLCTVQQVEEVKVLARMIPVWSSSIVYYVMLTQLGTYTVFQVMQSDRRVGRSGFEVPAGSMVVFNMVALTAWLPVYDRAVVPALRRVTGREEGISQLQRIGIGLALSVATMAVAVAVEQRRRGAGGGSSSSWAWMVPQQAMAGLSEAFAAIGLNELCYKESPESMRSVAGALSPLALAVASYASGAMVTAVERATGWLAQDIDKGRVDLFYLVVGAMSAANLAYFVVCALWYRSKNIADHGGVELLQTSSKHNADAPPAMAV >ORGLA12G0173900.1 pep chromosome:AGI1.1:12:18799720:18803293:-1 gene:ORGLA12G0173900 transcript:ORGLA12G0173900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G62710) TAIR;Acc:AT5G62710] MDSTSNKMKKMEPTSGGRHGTPGQVVGLCAALVTAMAASLLCSTSMALTPDGQALLELKLSFNGSSQRLTTWKPNDPNPCGWEGISCSFPDLRVQSINLPYMQLGGVISPSIGKLDKLQRIALHQNSLHGPIPSEIKNCTELRAIYLRANYLQGGIPSEIGELIHLTILDLSSNLLRGTIPASIGSLTHLRFLNLSTNFFSGEIPNVGVLGTFKSSSFVGNLELCGLPIQKACRGTLGFPAVLPHSDPLSSAGVSPINNNKTSHFLNGIVIGSMSTMALALIAVLGFLWICLLSRKKSIGGSYVKMDKQTIPDGAKLVTYQWNLPYSSGEIIRRLELLDEEDVVGCGGFGTVYKMVMDDGTAFAVKRIDLNREGRDRTFEKELEILGSIRHINLVNLRGYCRLPTAKLLIYDFLELGSLDCYLHGDAQDDQPLNWNARMKIALGSARGLAYLHHDCSPVIVHRDIKASNILLDRSLEPRVSDFGLARLLVDNDAHVTTVVAGTFGYLAPEYLQNGHATEKSDVYSFGVLLLELVTGKRPTDACFLKKGLNIVGWLNTLTGEHRLEEIIDENCGDVEVEAVEAILDIAAMCTDADPGQRPSMSAVLKMLEEEILSPCMSELYYEQHLEL >ORGLA12G0173800.1 pep chromosome:AGI1.1:12:18795559:18797026:-1 gene:ORGLA12G0173800 transcript:ORGLA12G0173800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGRGGGGGGRSSFRGSSTGTKAVPRAAPATTPAAKSTGTAPATKNTSSGSNDSVIGSIGSAFFDGWGWGTGYGMVQRGMDAVFGPRTVNVVDATSTSSSPAPAAAAAAHPMLDACGAHKKAFQECVAQQGIHVSRCQPYLDMLNDCRRDSAASAAVGVATTTRIL >ORGLA12G0173700.1 pep chromosome:AGI1.1:12:18789405:18791144:-1 gene:ORGLA12G0173700 transcript:ORGLA12G0173700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAAAAAFAAHVLRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKDVGANVGIHAGLIAEVTSPWFILAIGAAMNLGGYLMLYLSVTGRVGAKTPLWLVCLYIAVGANSQAFANTGALVTCVKNFPESRGVILGLLKGFVGLSGAIFTQLYLAFYGGGNTKPLILLVGWLPAAVSLAFLGTIRIIRAPRSPAAARREYRAFCGFLYVSLALAAYLMVAIILQKRLRFTRAEYGVSAAVVFAMLLLPFTIVVREEAALFKNKSPEEEEADDVPRALSVVTAPAKPAAQPSPESQRPTTATARILQALRPPPRGEDYTILQALVSVDMVLLFTATVFGVGGTLTAIDNMGQIGESLGYPQRSVATFVSLISIWNYLGRVAAGFASEALLARHRLPRPLILAVVLLLTAPGHLLIAFGVPGSLYAASVVVGFCFGAAQPLILASVSELFGLKYYSTLYNFCGTASPVGSYILNVRVAGRMYDREAARQGHGVAAAAGKKALTCIGVRCYRESFLVMTAVTVAAAAVAAVLAWRTRVFYAGDIYAKFKDGKTELGADSNGSGTTKE >ORGLA12G0173600.1 pep chromosome:AGI1.1:12:18788360:18788791:1 gene:ORGLA12G0173600 transcript:ORGLA12G0173600.1 gene_biotype:protein_coding transcript_biotype:protein_coding PARRQQHPSSDLTSEHAVKEDVVRRLKLLSAKGAGRVAINAALLEEISRPTALLKCKPEEEFALSRALRVPEKIGTSKGVLVKEERLVRRMRQVALVTRPTPDKMIPDTRRKLNVEYRIPNKEKLGDDRDSEDAANVRNPGVVC >ORGLA12G0173500.1 pep chromosome:AGI1.1:12:18784132:18785907:1 gene:ORGLA12G0173500 transcript:ORGLA12G0173500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAAFAAQVLRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKDVGANIGIHAGLIAEVTPPWFVLAIGAAMNLGGYLMLYLSVTGRVGARTPLWLVCLYIAVGANSQAFANTGALVTCVKNFPESRGVMLGLLKGFVGLSGAIFTQLYLSFYGGGGGNTKPLILLVGWLPAAISVAFLGTIRIIRAPRSPTAARREYRAFCGFLYVSLALAAYLLVVIVLQKRFKFTRAEYAVSAAVVFAALLAPFAIVLREEAALFRKTPPEEEADDVPALSAATKPSPAAAETPPATAMERVVRVLRPPPRGEDYTILQALVSVDMVLLFTATVFGVGGTLTAIDNMGQIGESLGYPQRSIATLVSLISIWNYLGRVSAGFASDALLSRYGISRPVVVTGVLLLTVAGHLLVAFGVPGSLYAASVLIGFCFGAAYPMILAIISEVFGLKYYSTLYNVGNVACPVGSYILNVRVAGRMYDREARRQGAVAVAAGKKELTCIGVKCYKDSFLIVAGVTVAAAVVMAALAWRTRKFYAGDIYARFREEAAAGGGGAGNGTGAGEDEKVESKEEKAVMTPTST >ORGLA12G0173400.1 pep chromosome:AGI1.1:12:18773537:18774550:-1 gene:ORGLA12G0173400 transcript:ORGLA12G0173400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGFTVRSFWFTTPPRPGPDVAFRLGFIGDIGQTFDSNATLTHYEASGGDAVLFMGDLSYADKYPLHDNNRWDTWGRFSERSVAYQPWIWVAGNHEIDYAPELGETKPFKPFTHRYPTPHLASASPEPYWYSVKLASAHIIVLSSYSAFAKYTPQWKWLEAELGRVNRSETPWLIMASHSPWYNSNNFHYMEGESMRAQLEKMAVDARVDLVFAGHVHAYERSFRVSNIRYNITDGLCTPVRDRRAPVYVTIGDGGNIEGLADEMTWPQPPYSAFREDSFGHAVLDIKNRTHAYYAWYRNDDGAKVAADAVWFTNRFHMPNHDDSTPTPTKRHYYG >ORGLA12G0173300.1 pep chromosome:AGI1.1:12:18767623:18770446:-1 gene:ORGLA12G0173300 transcript:ORGLA12G0173300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1R877] MGMLRWGAHLLLLLLLLAAATWTCAGAGAGVTSEYRRKLEATVDMPLDADVFRVPPGYNAPQQVHITLGDQTGTAMTVSWVTANELGSNTVRYGSSPEKLDRAAEGSHTRYDYFNYTSGFIHHCTLTGLTHATKYYYAMGFDHTVRTFSFTTPPKPAPDAPFKFGLIGDLGQTFDSNSTLAHYEANGGDAVLFVGDLSYADNYPLHDNNRWDTWARFVERSVAYQPWIWTAGNHELDYAPELGETVPFKPFTHRYPTPYRAAGSTEPFWYSVKIASAHVIVLASYSAYGKYTPQWTWLQEELATRVDRKLTPWLIVLMHSPWYNSNNYHYMEGETMRVQFERWLVDAKVDVVLAGHVHSYERSRRFANIEYNIVNGKATPAANVDAPVYITIGDGGNIEGIANNFTVPQPAYSAFREASFGHATLEIKNRTHAHYAWHRNHDGAKAVADAVWLTNRYWMPTNDDV >ORGLA12G0173200.1 pep chromosome:AGI1.1:12:18764552:18765940:-1 gene:ORGLA12G0173200 transcript:ORGLA12G0173200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1R876] MAMEAACVLAVVVVVLAFLSSAARGGVTSTYRRSLQALPDMPIDADVFRPPPGFNAPEQVHITLGDQTGRAMTVSWVTPKLPDSNVVRYGLRADNLTHTANGTFRRYSFGRKYRSGFIHHATLTGLDYGTKYHYAVGSGDTASARSFSFTTPPKPGPDVPYKFGLIGDLGQTFHSNDTLSHYEACGGDAVLFIGDLSYADNHPGHDNNRWDTWARFVERSVAYQPWIWTTGNHELDFAPELGETTPFKPFTNRYPTPFGASGSTRPLWYSVRMASAHVIVLASYAAYGKYTPQWRWLEGELRRVDRAVTPWLIVCVHSPWYSSNGYHYMEGESMRVEFERWLVDAKADVVLAGHVHSYERTRRVSNVAYDIANGMATPVFNRSAPVYINIGDGGNIEGLADDFRWPQPDYSVFREASFGHATLQIVNRTHAFYEWHRNSDGVKVVADHAWFTNRYWFPTDTN >ORGLA12G0173100.1 pep chromosome:AGI1.1:12:18758501:18759064:-1 gene:ORGLA12G0173100 transcript:ORGLA12G0173100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDRQEAEAPVAGIKRVKEEEATASPSGGEKKILPNWRKSSIPCEGSEILKKKKEAIAARPLWVSRDVPGAMECWIEEREKALAGEEADIASGKKKRKKVVKYKMPNEVIQQMMRYPYTYPECTEEELARRSASNRQLHRLRMFIDGKMFAYEQTLIDXYLKHGYAFDEAEISDEEEEEEEQQQK >ORGLA12G0173000.1 pep chromosome:AGI1.1:12:18748658:18750512:-1 gene:ORGLA12G0173000 transcript:ORGLA12G0173000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51000) TAIR;Acc:AT3G51000] MGGEVVRHWNADVNGISLHVAEQGPADGPPVLLIHGFPELWLSWRHQMAALAARGFRALAPDLRGYGDSTVPDDPAAYTVFHIVGDLVALLDHLALPKVMVVGHDLGAQVAWHLCLFRPDMLLAVVNLGVPFFPRGPSSLSKAFAGRDGLYIMQFQEPGRAERAFARYDVATVLKKFFSIEIDDLTAPPGVEIIDFLEARSTPLPWISEEELGQYAEKFQKSGFTGPINYYRMMDTNWRLTAPWQNAKIMVPTKFICGDKDTGLKSFGTEHFVKSGAFKSVVPNLEVVIMEGHHFIQQEQAERVNSEILSYFDKFTGEKA >ORGLA12G0172900.1 pep chromosome:AGI1.1:12:18747299:18747839:-1 gene:ORGLA12G0172900 transcript:ORGLA12G0172900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGECSSVRTFFLSRLSREAKILQSPGLIILMRSVQFVASQTVEFVQDLAQQYSAELSPVLFGDGDCFLVYVQRYFINSCAE >ORGLA12G0172800.1 pep chromosome:AGI1.1:12:18733696:18736070:-1 gene:ORGLA12G0172800 transcript:ORGLA12G0172800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGSERDSQTMYSRDPGSASYPMSSALGNLLYLNNPSSGPYTEFSGILQPQQNCMEMPGPGHASAMSQDPSSRESDMLASHQGQRSFSHVKDMKNEMLMHMMDGAQGSGSELIHDDAHTGSQLEFGVLNNHNSSSVPSMQSQGLSLSLNTQIMAPSLPYWSIKPDMLTPQSYHDNLRGEDMRMKNLQSEASRAIRNSRYLKAAQELLDEVVSVWKSIKQKAQKEKVESGKADGKETDGGPKSEGVSSNPQESGANAAPELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQTVVSSFDVVAGPGSAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGDLEQDSNSSSDNAPRSKDKMASSEDKEDLKNSRARICETSQLSESRTSIGAMNVGGAPVGFQNEPNPDDSFMNLMLKDQRSNEVDGGLLLHNTVAQHSDENARFMAYHLAELGRYGNGNVSLTLGLQHSSSNLVPNAQPGFPGVNEDDIYNATAPLGVTVASSDYDSMNQMDQRQRFEHSPLLHDFVA >ORGLA12G0172700.1 pep chromosome:AGI1.1:12:18727824:18732383:1 gene:ORGLA12G0172700 transcript:ORGLA12G0172700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDMALPQAFELLLGRDRDAWPRGAAFLVAAHYGDVREMKRIAKELDEDGKGIEATVANTSFLGMNALHALGWLGKVPAYRYLVEEVKMDVNKADTAQGFTPLEHAVYHGRLPATRYLLDHGADVHQIRSTGNVSLLHSAAVKGFSEVAKFLLSRGVNVDAESEMGTPLALAAFRGYDSTVKVLLEHNADPNKVTNKALGAPLDLALTSSSVSCVKLLVQAGAEVKVEGPNNHLVRAAEKGLTEAIKCMLEAGANPNVPDRLGRMPIELAAEYGTREDVEILFPFSSAIPTVANWSVDGIINHVQSEIKQLEDDNFIKKRRSDMKQQGDAAFKKQDYLNASVFYTQALKVDPFDGTLFSNRSLCWLRMGDGERALDDANACEKLRPKWAKSYYRQGAALMFLKEYERAHRALGRALELDPESEEIENLYWESMELCG >ORGLA12G0172600.1 pep chromosome:AGI1.1:12:18726098:18726802:1 gene:ORGLA12G0172600 transcript:ORGLA12G0172600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVGESTSGSSSGGADSGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLHIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNVPGADIPNRPAGQRPATAPQANPNTHFPNANPNPWFMGGGIPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHGHGHAFHGGHAHAAAAPRHGPPGQQQQADVYLKALLILVGFLVIASLITF >ORGLA12G0172500.1 pep chromosome:AGI1.1:12:18711198:18712094:-1 gene:ORGLA12G0172500 transcript:ORGLA12G0172500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSTATSTAAYWLAEHPAIVSFRWSPTGLWFSTWAFLLGFLASYVALCLALDAILAALLRRRRPLPLGPLPAAHALLMAAVSAAIFAGTLLSALAEIRDTRWSWRGRSRTTPFRWLLCFPPGTRSSGRVFFWSYAYYLSRYLHAARGLFAVLQRRRGAAARVFAHAASVAMAFLWLEFSQSFQVLAILASTLAHAVAFGFRFWVGAGLPAARGAPVALACQCALLGCNLLCHVGVVWMHFGGVAGGGCSGIGAWIFNTLLNAALLWVFLHCYGKRGVCDDDGGATAASARHDKDL >ORGLA12G0172400.1 pep chromosome:AGI1.1:12:18705541:18707168:1 gene:ORGLA12G0172400 transcript:ORGLA12G0172400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMQGGAAMVVTVNGGNGKDSFGNMARPPSRSLLPLKLLKPFLIFAVLATGFLAAAAAALLLLGGGAGASYVPNVLSATAWQQQPRCAQQQEDGGRAALERWTRPPAASAWHNMSDEELLWAASMEPRVRRYPYRRVPKVAFMFLTRGPLPLAPLWERFFNGSGGRELFSIYVHSTPGYNPDFPPTSVFYRRQVPSQLELQVAQWGQTNMFDAERRLLANALLDGGNERFVLVSESCVPLHGFPAVYGYLTASRHSFVGAFDDPGPHGRGRYRPGLAPEVSPEQWRKGAQWFEVDRSLAVFVVGDERYYPRFRELCRPPCYVDEHYLPTVLSIEAAGRIANRSVTWVDWSRGGAHPATFGGADVGEAWVRKAAAGQRCLYNGQPSEVCFLFARKLAPSALQPLLALPPTLLGY >ORGLA12G0172300.1 pep chromosome:AGI1.1:12:18700166:18700492:1 gene:ORGLA12G0172300 transcript:ORGLA12G0172300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGKPHHGAYSWASSTAGRTGTGCAGATGCLGGGGAGAPVSLCTRSLNSLGSTRYTLGGAGPGAADGEARHGLAGGFCTALSAASCAGRLASAACISIELKKKKKLR >ORGLA12G0172200.1 pep chromosome:AGI1.1:12:18685978:18691002:-1 gene:ORGLA12G0172200 transcript:ORGLA12G0172200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIMSLPDLFEKLLGDGADQDSWPAEATLLLAAHDGNLRRIKEIAATLDDDGEGIAATVARTAFHGMNALHAARSLHVYRYLLEVANMDVNKPDTTPGRKTPLERAIAGGDLPSVRYLIDHGADIHHEREGNITFLHSAAKKGRTEIVKLLLSRGAHVDGKSDHGTPLHFAAIKGYESTVKILLEHQADPNKVMPSSQATPLSAALFTTSLPCVKLLIQAGADVNATNNPLARAAGSGLTEAIKLLLKAGANPNCPDTHGRMPIELAAVYGTREDVEILFPLTNPIPTVADWSVDGVITYANLERKKLEDDDYVNMKMSDLKQKGNEAFDEQDYEKASVWYTQALEVDPCDATTLLKRCLCWLRMGEGKKAVKDATTCAKHHPKLSEAYHRLGEALMLEKDYEKACVALTHGIELDPESDEMDKLFWEAMDLKN >ORGLA12G0172100.1 pep chromosome:AGI1.1:12:18682797:18685149:-1 gene:ORGLA12G0172100 transcript:ORGLA12G0172100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTRRPRRRQPAPGAPLPSDAINDSGANPEYNLDEPTMEEKLATLNLLNGGGDGTAGEATQEQPLPVVMPPSADSVHVLLKQALRADDHASLLNCLYNKDHKVIVNSISLLTPADAVKLLKFFILLMQSRGAVLVSLLPWLQSLLRQHMSSIVSQESSLLLLNSLYHLIDARTSTFKSSLQLSTCLDYLFSEIDDDGSGEEEGSPPIIYEDKDTDDEESEVDDMETSGEGEDLGGVTDASEHSDGSEVMSD >ORGLA12G0172000.1 pep chromosome:AGI1.1:12:18681417:18681875:1 gene:ORGLA12G0172000 transcript:ORGLA12G0172000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAPRLLIVVVSVLFTAGIIASAVAARDLTADPAGQAPPAYDFGIPAGFFVPGTNNPYNGDPAAWAAGYGSAAAAAAGSGAGAGDVGGFGNGGAEAPSMVCSDKGPCNGKKLTCPKKCFVSFSRSGNGYAAGGGGGGCSFDCSTKCEATC >ORGLA12G0171900.1 pep chromosome:AGI1.1:12:18677938:18678300:-1 gene:ORGLA12G0171900 transcript:ORGLA12G0171900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRAATSSPRCASFSFNRGGAHAHLGQSCGSRPLGRAAGCLAAAFFASLERCSCVEFPTDDDDDHPPRSRDVVVVSEAAPLLPRATTAAPKKSTSTTTAGKGKISRGGFRCCDNTTTAN >ORGLA12G0171800.1 pep chromosome:AGI1.1:12:18673579:18673800:-1 gene:ORGLA12G0171800 transcript:ORGLA12G0171800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTTSMSLVRGFRLPTSCINRGGA >ORGLA12G0171700.1 pep chromosome:AGI1.1:12:18665822:18666328:-1 gene:ORGLA12G0171700 transcript:ORGLA12G0171700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPFPSDSDTALEAFLADIGFGAPCPEAAPAPSPSHPDTTAAAATDEPVMAGDDQADEERRRRLRRRISNRESARRSRARKQRHLDELRAKASALRATSRDLAARLRGARARAALVALTNAHLRDEAGKLARRLAAARRVIALRQLYSAAAAGGGFEMQALASLIA >ORGLA12G0171600.1 pep chromosome:AGI1.1:12:18656549:18657937:1 gene:ORGLA12G0171600 transcript:ORGLA12G0171600.1 gene_biotype:protein_coding transcript_biotype:protein_coding APLTRRRPRRRPPPRSLAASRCVCQSWRVIIDDRSLLATAALLPCTLRGIFVQIGVPTLSGFFARPSPAGTRRPPIPGVLDLDYLDTNEIEKSLLTIVDHCNGLILLDHHVVNPATRQWTRLPPYPPESPGSDIILDGYHALVFDPAMSPHYQVFLMPYVLRRATVSGQWPPSPLLLHVFSSRTEPEGSTWRWEERSFVREGNATMGTIDEVCSSSGWEPFDTYSVYFRGSLYVHCQNNCVIRITIANHRYRIIKLPGDFVGNQNISVDPYLGKSQEGVYYALVIGLCRLQIWFLKEYQSSYSSYSNSYCGGINGDGGGMETTTMDHEHEWVLKHDADLGPVLAAGYTLNDGGQQWIWHIIDTKKKNKESLVKEEEFEWNDSDDENGSAGEDRQYKGYISQVFGFHPFKEIVFLYDTDTRVVAYHYNRSKVQDLGVLQVRERVSRSFSYTPCWMPDLPGMHN >ORGLA12G0171500.1 pep chromosome:AGI1.1:12:18650510:18650953:1 gene:ORGLA12G0171500 transcript:ORGLA12G0171500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEEAAAAPATVMFSWEQELGVPKQKMASDDDMPESPRKAPPAPARRLSVPPPPGRMLMAGSKSFSKARAVRPEDDPFLAAYLACTKSSNGGGGGVARESKGQRRSRWAGLGLGLGLGLSCKSSNGVVEDSMVKMAKLPEVHPRDA >ORGLA12G0171400.1 pep chromosome:AGI1.1:12:18646097:18646981:-1 gene:ORGLA12G0171400 transcript:ORGLA12G0171400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNDAGDGDDDKPEMPVVLITGCANGGIGYEYCKAFASLGCRVVATDVPDRVPDLAGLDADHLPLDVTSDESVEGAVARVLAEHGRVDVLVNNAGIGCTGPLAELRGEAVRRAMDVNFLGQLRMVRAVAPHMASRRSGRVVNVGSVVGTAATPWAGPYCASKAAVHAATDALRVELRPFGVHVVKVVPGAVRSGLGHANAAQLAGGQAEWRVYREFAAEIAERARASQAGGATDGGVFAAHVARRVMSARPPREIVYGNMTLLFAALVAAPLWARDAFFAKRFGLDKMLPPR >ORGLA12G0171300.1 pep chromosome:AGI1.1:12:18644230:18645986:1 gene:ORGLA12G0171300 transcript:ORGLA12G0171300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICRATAALLPNHLCGLFVCLNESCLHGFFARPSPPATIPGIDLDYIDDLDYDLDDDATIEVHCNGLLLLDHHVVNPATRQWMRLPHVPPYASLPNIMYGDRGLVFDPAVSPHYDVLWMPYLILHRLLEASLSDQWPPSPFILHVFSSTTGRWEEKSFLREGDATMGTMADVSLARVPYHCKTHSVYLRGALYMHCQNDCVIKITPADHKYWVIKLPGDFASNRKTRDPFLGKSKDRVCYVLVTGLSRLQIWFLNEAKSASSSYDDSSGYEWVLKHGVDLGPIIQSYPCNHGRQQWIWHNADTKQDETRELPAVNDMEEFEWAIDKDSDDIISGANESIHHNGEYISAVLGFHPFKDIVFLHDTNLRVVAYDYNKAKVQDLGMMFLYHNTDRVMS >ORGLA12G0171200.1 pep chromosome:AGI1.1:12:18606682:18610923:-1 gene:ORGLA12G0171200 transcript:ORGLA12G0171200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGLLTSAGINIALSVLFISLYSVLRKQPANVRVYFGRRIAEEHNRLREAFILERFVPSTGWIVKALQCTEEEILAAAGLDAVVFNRILVFSLRIFSLAAILCVFGILPLNYFGQDIHHVRIPSESLDIFTIGNVKVRSRWLWVHCVALYIISGVACILLYLEYKHIARLRLRHLTCAMPNPSHFTVLVRGIPKETKESCSNAIDDFFTKYHGSSYLFHQVVYKVGKVQKIMTGAKKAYRKFKHFTDSTIDQRCRAISYRCCLCGASSNSFQLLATGLEQNQGKSDLQDSSLKLDDQECAAAFVYFRTRYAALVASEILQTSNPMKWVTDLAPEPDDVYWSNLWLPYKQLWIRRIATLLGSIVFMLFFLIPVTFIQGLSQLEQLQQRLPFLKRILEKKYMSQLVTGYLPSVILQIFLYAVAPIMILFSTLEGPISHSERKRSACCKVLYFTVWNIFFGNVLSGTVISQLNVLSSPKDIPVQLARAIPVQATFFITYVLTSGWASLSSELMQLFGLIWNFVRKYILRMPEDTEFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYIVYRNQLLNVYRTRYDTGGLYWPIAHNAVIFSLVLTQIICLGVFGLKESPVAAGFTIPLIILTLLFNQYCRNRLLPLFRTTPAQDLIDMDREDERSGRMDEIHHRLHSAYCQFYDTEDIPLEKIQTVGSDEEQGSSSDKSNGKETFEEPRAELSHPTLNGLPVSRLRHAVKSITFLVRLQKRGLSE >ORGLA12G0171100.1 pep chromosome:AGI1.1:12:18596882:18598206:-1 gene:ORGLA12G0171100 transcript:ORGLA12G0171100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMMRTVIAAVVVGLLAAAHTASTGPDQSYGGATATVAPKQPAAGEDVNKALAAETAAAEAEDAAEEEDAAAALVTGFTAGAANSAPGVTAVMKPVLEKADAGQFYQDVPWIPDPNDEGNPPKSLPAMPAKGGAGAAGSPPSKEVQVDYYASTQPKKPEEPPTVAAVQKDDCVPPAAPKPAVPATPSTSPPSNKEYAPAAPGVVPAKPSSPAAPAGVVPAPAQPSSPAAPAGVVPAPAQPSSPATAAPKPPSNDPYAPATSNTPAAEEQKDGLNEKAISDIVREHNMFRTREHVPPIVWNATLAKYAQEYADLRRGDCQLEHSHGPYGENMMFGTGKEWTWKKTVDEWSDEKKSYDYRSNSCKAGAMCTHYTAIVWKNTTAVGCGRVVCTSGDTIMVCSYWPPGNYVGVKPY >ORGLA12G0171000.1 pep chromosome:AGI1.1:12:18592404:18594370:-1 gene:ORGLA12G0171000 transcript:ORGLA12G0171000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of yeast ergosterol28 [Source:Projected from Arabidopsis thaliana (AT1G10030) TAIR;Acc:AT1G10030] MAGGGRMPLLGWWLMLVGSLRLASVWFGFFNIWALRLAVFSQTHMTEIHGRTFGVWTLLTCTLCFLCAFNLENRPLYLATFLSFIYALGHFLTEYLIYHTMAVANLSTVGFFAGTSIVWMLLQWNSHGKSRGSQSVKQS >ORGLA12G0170900.1 pep chromosome:AGI1.1:12:18588250:18591569:-1 gene:ORGLA12G0170900 transcript:ORGLA12G0170900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAADGDHCRLPDNSLFLGFDCSTQSLKATVLDAGLTAVHFDSDLPHYATHGGVLRDPSGGDPGRVVSPPLMWAEALDLLLARLAPRVDYARVAAVSGGAQQHGSVYWKRGSAAALASLDPARGLAPQLAAALATAESPVWMDSSTAAQCREVEAAVGGALELARMTGCRAHERCTGPQIRRMYQARRRVYDDTERISLVSSFMASLLVGGYACIDETDGAGMNLMDIATREVRMDALEATAPDLEDKIGKLAPAHAVAGTLSPYFVQRFQFSSNCLVVQWSGDNPNSLAGLTLSDPGDLAISLGTSDTVFGITDLPEPSLDGNILPNPVDPKTYMVMLCYKNGSLTREDVRNRYAEGSWDVFNKHLENTAPLNGGKLRYYYKEPEILPPLPVGFHRYVVSSSASGSLDEMVEHQINQFDPPSEVRAIIEGQFLSMRGHAERCGLPVPPKRIIATGGASSNQIILKTMSSIFGCSVYTVQRPDSASLGAALRAAHGWICNQKGKFVPVSCLHSDRLNRTSLSIKLAAPFGDSEEDIDLLNNYTLLMKKRLEIEQKLVEKFGRHS >ORGLA12G0170800.1 pep chromosome:AGI1.1:12:18582349:18585582:1 gene:ORGLA12G0170800 transcript:ORGLA12G0170800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G49660) TAIR;Acc:AT5G49660] MVLNLSSPPTLFLFFFLWCVVVAGDGGAAVAEAALDAQAAYLSQMKQEFAGPAMARWDFSSPGVDYCKFQGVGCDASGNVTAIDVTSWRLSGRLPGGVCEALPALREVRLGYNDIRGGFPGGLVNCTSLEVLNLSCSGVSGAVPDLSRMPALRVLDVSNNYFSGAFPTSIANVTTLEVANFNENPGFDIWRPPESLTALRRLRVLILSTTCMRGGVPAWLGNMTSLTDLELSGNLLTGHIPLSLARLPNLQLLELYYNLLEGVVPGELGNLTQLTDIDLSENNLTGGIPESICALPRLRVLQMYTNKLTGAIPAVLGNSTQLRILSVYRNQLTGELPADLGRYSGFNVLEVSENQLTGPLPPYACANGQLQYILVLSNLLTGAIPESYAACRPLLRFRVSNNHLDGDVPTGIFALPHASIIDLSYNHLTGPVPATIAGATNLTSLFASNNRMSGVLPPEIAGAATLVKIDLSNNQIGGAIPEAVGRLSRLNQLSLQGNRLNGSIPATLAELHSLNVLNLSYNALAGEIPEALCTLLPNSLDFSNNNLSGPVPLQLIREGLLESVAGNPGLCVAFRLNLTDPALPLCPKPARLRMRGLAGSVWVVAVCALVCVVATLALARRWVLRARQEREHDGLPTSPASSSSYDVTSFHKLSFDQHEIVEALIDKNIVGHGGSGTVYKIELSNGELVAVKKLWVSRRSKQEHGHGGAGCLDRELRTEVETLGSIRHKNIVKLYCCYSGADSNLLVYEYMPNGNLWDALHGSGGWGFGFLDWPTRHRVALGVAQGLAYLHHDLLFPIVHRDIKSSNILLDADFEPKVADFGIAKVLQARGDRDASTTTIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELATGKKPIEPEFGDTRDIVQWVSGKVAGGGEAEALDKRLEWSPFKEEMVQALRVAVRCTCSIPGLRPTMADVVQMLAEAGPAAGRTAKDAASKKDSSGEPKL >ORGLA12G0170700.1 pep chromosome:AGI1.1:12:18568856:18571873:1 gene:ORGLA12G0170700 transcript:ORGLA12G0170700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLLPPLLLLLGLHAAAAAAVSEVSALMAFKNALTIPPTAAAFFTRWDAAAASPCNFTGVDCANSGGGGVTAVAVEGLGVAATSVPFDVLCGSLPSLVKLSLPSNALAGGIGGIAGCTALEVLDLAFNGFSGHVPDLSPLTRLQRLNVSQNSFTGAFPWRALASMPGLTVLAAGDNGFFEKTETFPEEITALTNLTVLYLSAANIGGVIPPGIGNLVKLVDLELSDNALTGEIPPEITKLTNLLQLELYNNSLHGELPAGFGNLTKLQFFDASMNHLTGSLSELRSLTQLVSLQLFYNGFTGDVPPEFGEFKELVNLSLYNNNLTGELPRNLGSWAEFNFIDVSTNALSGPIPPYMCKRGTMTRLLMLENNFSGEIPATYANCTTLVRFRVNKNSMSGDVPDGLWALPNVNIIDLAGNQFTGGIGDGIGRAASLSSLDLAGNRFSGAIPPSIGDASNLETIDISSNGLSGEIPASIGRLARLGSLNIARNGITGAIPASIGECSSLSTVNFTGNKLAGAIPSELGILPRLNSLDLSGNDLSGAVPASLAALKLSSLNMSDNKLVGPVPEPLAIAAYGESFKGNPGLCATNGVDFLRRCSPGAGGHSAATARTVVTCLLAGLTVVLAALGAVMYIKKRRRAEAEAEEAAGGKVFGKKGSWDLKSFRVLAFDEHEVIDGVRDENLIGSGGSGNVYRVKLGSGAVVAVKHITRTRAAAARSTAPSAAMLRSPSAARRTASVRCREFDSEVGTLSSIRHVNVVKLLCSITSDDGAASLLVYEHLPNGSLYERLHEGQKLGGGRLGWPERYDIAVGAARGLEYLHHGCDRPILHRDVKSSNILLDESFKPRIADFGLAKILDGAAATPDTTSAGVVAGTLGYMAPEYAYTWKVTEKSDVYSFGVVLLELVTGRTAIMAEYGEGRDIVEWVSRRLDSRDKVMSLLDASIAEEWEKEEAVRVLRVAVVCTSRTPSMRPSMRSVVQMLEAAGIGRELAMVTSVKVKVIA >ORGLA12G0170600.1 pep chromosome:AGI1.1:12:18550683:18554972:-1 gene:ORGLA12G0170600 transcript:ORGLA12G0170600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1R850] MEDAAARRMERLASHLRPPASQMEESPLLRGSNSRAKGAAPGFKVAILGASGGIGQPLALLMKINPLVSVLHLYDVVNTPGVTADISHMNTGAVVRGFLGQPQLENALTGMDLVIIPAGVPRKPGMTRDDLFNINARIVRTLCEGIAKCCPNAIVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVAEVLGLDPRDVNVPVIGGHAGVTILPLLSQVNPPCSFTSEEISYLTTRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLRGDAGIVECSFVASQVTELPFFASKLRLGRCGIEEILSLGPLNEFERAGLEKAKKELAESIQKGVAFINK >ORGLA12G0170500.1 pep chromosome:AGI1.1:12:18544355:18546021:1 gene:ORGLA12G0170500 transcript:ORGLA12G0170500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSFFMQWAMDTLHQLPSDSTAAAYATDVAGDAFPSLQALRNASAAGGGGGGGGFRDLTVQVDQVHRANSWSSSDSPGGAAATAAAGWSPHVTGGGGGGGGRGHRPMSWNFSAASAQPTTEDSGGGGGGGVVPAPPQAMETETATARAAPVKKGGGGSSSAPAPGYVQDHIIAERRRREKINQRFIELSTVIPGLKKMDKATILGDAVKYVKELQEKVKTLEEEDGGRAAAMVVRKSSCSGRQCDGEGRGSRVPEMEVRVWERSVLVRVQCGNARGLLVRLLSEVEELRLAITHTSVMPFPASTVIITITAKLLAPESGLQLIYIDRWISC >ORGLA12G0170400.1 pep chromosome:AGI1.1:12:18521140:18521734:1 gene:ORGLA12G0170400 transcript:ORGLA12G0170400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDVEYRCFVGGLAWATDDRSLEAAFSTYGEILESKIINDRETGRSRGFGFVTFSSEQAMRDAIEGMNGKELDGRNITVNEAQSRRSGGGGGGGYGQRGGGGGYGGGGYGGGGGGGGYGQRREGGYGGGGGYGGGRGGGGYGGGYGSRGGGNSDGNWRN >ORGLA12G0170300.1 pep chromosome:AGI1.1:12:18513398:18517879:-1 gene:ORGLA12G0170300 transcript:ORGLA12G0170300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G49555) TAIR;Acc:AT5G49555] MSPAARSLLLSAARRQRRCFSTEAPSSTPQQQLLPKGKRWDAVVIGGGHNGLAAAAYLARAGRSVAVLERRGVLGGAAVSESDLVPGFRFSRCSYLLSLLRPALIQELELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNHSEISKFSENDACAYPRYEEKLEKFCKLMDFVIDSPPPEMRHEYHLSMVDRMKDKVDKSVFWSKLLGIVMQQGQKDMVDFFDLLLSPASKILNNWFEGDVLKATLATDAVIGSMAGVHTPGSGYVLLHHVMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGVEIVTNAEVSQISVHHTSGKVQGIVLADGTEVLTSVVLSNATPYRTFVDLVPANVLPDNFLSAIKTADYSSATTKINVAVDRLPQFSCCKDFNPEGGPEHMGTIHIGSESMEEIDLAYREAANGVSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDSNVRKSFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAASVVLEDLRTK >ORGLA12G0170200.1 pep chromosome:AGI1.1:12:18503981:18506585:1 gene:ORGLA12G0170200 transcript:ORGLA12G0170200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSSSDDWVMLDSDNPADSSSDDDYVLALSSSCNTPACSDEEEDDDDEVGSDADGDDLYGGELEEEDSPRPPPPRPLSGLFYHTASDNQPGYLAFDAIRSAKHLIPDPRFSAFPEHVAVLASTRGLVCLRGETTGSYYVANPATFRRVRLPRHTRDHVDPAVVITFEEPTASASCFGGIGVEHYHVVVAFNLGGGVWSFESFSSRTWKWRVSPGISIVEQVESSSGVGAHGRAFWRTSIGFVYYDPEKGYPHEFPAPPEVEARPFWEIGEMEGNLCVTCMDQRVTEVAVLNLDMDVLAADGVGSWSWAGQFEGGSLRNREGVELLRSQGMAEVVMWDPSEERVVAMDLEGRTTRNIGPLTGEDYSRGFIPYVASIAEISSDQISSKCSASAADANTPNLGAADATTLNNLAAPAAQVH >ORGLA12G0170100.1 pep chromosome:AGI1.1:12:18499504:18500298:1 gene:ORGLA12G0170100 transcript:ORGLA12G0170100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRRSEMLAGDKDAVKSCRNHHHGECAVDLASSCCTYAPPPATTAVNSSSSSRKKRLRVVVADETTTATFFRSDDATTNTVVDDEDDDDPAALLRRRRARWKQGLEAVKTAVAWRLAAKDDEILRTRREMAERLRCACAVSRAWQSIAVAREGEKAALQGENAALRVELDHVLRAKPRWHHDDDDAESCCYGDNFTDDTGGKEEDEGGGGGGDMSTVARCFGCGERACCWHLCASCAAAAAAAWACPACGYSNMDMDNACMV >ORGLA12G0170000.1 pep chromosome:AGI1.1:12:18490149:18493198:-1 gene:ORGLA12G0170000 transcript:ORGLA12G0170000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19670) TAIR;Acc:AT4G19670] MEERLADAEGGFACNSRGEDDFRSCCGDEDEWEDTEESFTAGVAKGELDESSVRLFFKGVSSPEAEGKKLSGIGVVMERSPGVPMLQVQKKLDFYVDELVADHLALMDGLSVALQNGIRKIFAFTNSEKLYFQIAEAEILEDQLLVALGHRILELVEKLEDFDIILLPSFELERPLQLAKEAIGIMYLSPYEVGTCSICCEEKRGAQMIKVGCAHTFCYSCLTSYTQEKLQTSKVPVRCPQLRCKYHISASECKSFLPVSCYDSLERAFAEAGTSDMERFYCPFPNCSVLLDLSQHFSRASTSSQSDLNCVECPECHRDICINCGVPWHVMMGCDEYQSLPVDERDAGDLSLHRLAQNNRWRRCQRCRRMIELTQGCFHMNCWCGHEFCYSCGAEYTNGIQTCQCVFWDEESIEASSAAHSTQASEIWAWDTFDCMSTAIEGYSEQERAQLALIQRFLSGGFNLGEPPSQSPPRCADSYIIDTMKDLHQLPWLERFVSVISDSYNEDYIQ >ORGLA12G0169900.1 pep chromosome:AGI1.1:12:18486674:18489380:1 gene:ORGLA12G0169900 transcript:ORGLA12G0169900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPGNKIRNAKLVLLGDVGTGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNAASFTRAKKWVQELQAQGNPNTIMALAGNKADMVEARQVPAEEAKTYAQENGLFFMETSAKTAINVNDVFHEIAKRLLQGQQAQDTPAGMVLNQRPAERMVSSSSCCS >ORGLA12G0169800.1 pep chromosome:AGI1.1:12:18483598:18483870:1 gene:ORGLA12G0169800 transcript:ORGLA12G0169800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRSAAAMSWPSARVTMLGDSMATTSSVVNPSSSQRSSSLPAATIPGRITVLCSPERRRSLSVSPFSPTSTATLDRSMPHCIDRYVKD >ORGLA12G0169700.1 pep chromosome:AGI1.1:12:18483146:18483568:1 gene:ORGLA12G0169700 transcript:ORGLA12G0169700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSNTTTDSAAAPATATSIAAASPPSSPLASQRSSPPWEAVTGGSGSGRPSPLQPPRHRGSPGGTPGLHGLPMEMTTGEMEAAIVALPAKKEALQEAPSSSPRRLPLQPRVAVEPTRLIILPFQYSMEGSLICKSEVKLSRA >ORGLA12G0169600.1 pep chromosome:AGI1.1:12:18471190:18473272:-1 gene:ORGLA12G0169600 transcript:ORGLA12G0169600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKRLERIIKEIDSSPISPGGSGAALLAEDDDLVFPGFRFHPTDQELVGFYLTRKVEKKPFSIDIIKEIDIYKHDPWDLPKVSHGAVALQGSSSSSSSSSSSLSTAAAAEKECGYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDKPIYSSSLAAAAAGAGDCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPSSISDSDHLQDASETWTICRIFKRSMTYTKGRAAAAASMNKRISHQLQHIHHHQQQFYYHEVVHDHGHHHRRHLQQYAGRASMAAAAANIVDVIDHSSDAETTTRSHSHSQSHLVADIRHRQSPFMLDFHAGTAVSSSSTAAAGWSEVMSFSRDGGSSSGSSWDELGRIMDISTNSANNNYYL >ORGLA12G0169500.1 pep chromosome:AGI1.1:12:18465036:18468929:-1 gene:ORGLA12G0169500 transcript:ORGLA12G0169500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45170) TAIR;Acc:AT5G45170] MAAARCLPLRASPPEVAKAFSSSIPASSSSSSSSTTTTAAASLPLRAAVPPSSGRRIPPLRCASSSQGSASPDIAVLLEVEGVLADVYRFGNRQAFNVAFQNLGLDCANWTEPIYADLVRKASGDEERMLLLFFNRIGWPTSLPTNEKESFMKSVLREKLKALEVFSASDSLPLRPGVEQFIDDALNEGVPVAILTTYGRNGEKTSRSIIEKLGQERTSKIHIVGKEEVERSLYGQLVLGKGVASSLDEQLVKEAQKAASAEKQRIAEEVASILKLSVDINAASKSSEKIIVTLRAGSEYVGRDVQNCVLVAGSQSGVLAAERIGMPCIVVRSSLTARAEFHSAKAVMDGFGGTDLTISKLLSKKWS >ORGLA12G0169400.1 pep chromosome:AGI1.1:12:18459791:18460045:1 gene:ORGLA12G0169400 transcript:ORGLA12G0169400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENCLHLFINYDYTITVWRLMRQWISAYFPIPRSENTCLTEWSLQARTCFRKCYRTNFDSACMLICWQIWKERNARVFDQRLEKP >ORGLA12G0169300.1 pep chromosome:AGI1.1:12:18456068:18456760:-1 gene:ORGLA12G0169300 transcript:ORGLA12G0169300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRVVVVLLLAVVSSADAATITVVNRCRYTVWPGALPGGGVRLDPGQSWTLNVAAGTKAARIWPRTGCTFDGAGHGRCLTGDCRNALSCAVPGAPPTTLAEYTLGTAGGDATDYFDLSLIDGFNVPMSFQPTSNAARCGRRRGPSCGVDITAQCPPELKVAGGCDSACGKFGGDVYCCRGKYEHECPPTKYSKFFKDKCPDAYSYAKDDRSSTFTCPAGTNYQIIMCP >ORGLA12G0169200.1 pep chromosome:AGI1.1:12:18452236:18452781:-1 gene:ORGLA12G0169200 transcript:ORGLA12G0169200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATSSAVLVVVVLVASLAAGTANAAIFTITNRCSFTVWPAATPVGGGTQLNPGQTWTINVPAGTSSGRVWGRTGCSFDGAGRGRCATGDCGGALSCRLSGQPPLTLAEFTLGTSGGNRDFYNLSVIDGYNVAMSFSCSSGVTLTCRERSCPDAYQYPSDDSKLRSCNGNSNYRVVFCP >ORGLA12G0169100.1 pep chromosome:AGI1.1:12:18443117:18443656:-1 gene:ORGLA12G0169100 transcript:ORGLA12G0169100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAASPAVLVVVVLVATLAAGGANAATFTITNRCSFTVWPAATPVGGGTQLSPGQTWTINVPAGTSSGRVWGRTGCSFNGAGRGSCATGDCGGALSCSLSGRPPMTLAEFTIGGSQDFYDLSVIDGYNVAMSFSCSSGVGLTCRDSRCPDAYLFPSDNSKTHACRGNSNYQVVFCP >ORGLA12G0169000.1 pep chromosome:AGI1.1:12:18433862:18434380:-1 gene:ORGLA12G0169000 transcript:ORGLA12G0169000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVLVVVLVATLAAGTANAAIFTITNQCPYTVWPAATPVGGGVQLNPGDTWTIDVPAGTSSGRVWGRTGCSFDGAGTGSCATGDCAGALSCTLSGQKPLTLAEFTLAGSAGGSQQLDFYDVSVIDGFNVGMSFSCSSGETLTCRDSCCPDNTKLRHCNANSNYQVLFCP >ORGLA12G0168900.1 pep chromosome:AGI1.1:12:18427602:18428132:-1 gene:ORGLA12G0168900 transcript:ORGLA12G0168900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATSSAILVVVLVATLAAGGANAATFTITNRCSFTVWPAATPVGGGTQLSPGQTWTINVPAGTSSGRVWGRTGCSFDGSGRGSCATGDCGGALSCSLSGQPPLTLAEFTIGGSQDFYDLSVIDGFNVGMSFSCSTGVTLTCRDSSCPDAYHFPNDRKTNACSGNSNYQVVFCP >ORGLA12G0168800.1 pep chromosome:AGI1.1:12:18420188:18423148:1 gene:ORGLA12G0168800 transcript:ORGLA12G0168800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 2 [Source:UniProtKB/TrEMBL;Acc:I1R832] MAGGSADAVTKEMEALLVGQNPSVVSGETCQTSSKEGKVADSNGSHSSPPEDDEDEAQGDGPSQDGGSEAAKKKKKKSKSKKKKGPLQQTDPPSIPIDELFPSGDFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNAVRRAAEVHRQVRKHMRSILKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMFDPLLQASKDATNTGVKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQIKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDVGIVQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >ORGLA12G0168700.1 pep chromosome:AGI1.1:12:18417243:18418562:1 gene:ORGLA12G0168700 transcript:ORGLA12G0168700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAFTVDLNEPLVFQVGHLGEQYQEWVHQPIVSKEGPRLFANDVLEFLTRTEWWAIPLIWLPVVCWCLTKSVEMGHTLSEVALMVVFGICLWTLIEYIMHRFLFHINTKSYWTNTAHYLLHGIHHKHPTDGLRLVFPPAAAAILCFPFWNLIRLITTPTTTHGVFGGGLLGYVMYDCTHYYLHHGQPSSDPGKHLKKYHLNHHFRIQNKGFGITSTLWDHVFGTLPSTKTIDKKSS >ORGLA12G0168600.1 pep chromosome:AGI1.1:12:18410092:18411075:1 gene:ORGLA12G0168600 transcript:ORGLA12G0168600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLKRRIPGRLGRLLASLRPPSRAGPLPVQTGFPTSLADLLVKNHDRLLLTKPRRRRRPTPSTTTTATASVAAADVETPLPSGREELEEEKVGVVAVRLRPELLGVGAAAALALMVIWSRWLVAAVTVASLSLFWIESVRSSSRRRLRPPPAAETAAELPDLRGRGVLSPIREAAAAATPRPSSADSDVGSEVSVSVSVSSIWTDGDEMSQLVVVGGGDSTTHPTKRKEKRRWLRKLIAKRKEKKDSSVVSSSHGELNQPDAGEQQQPSPPPTIAEASPADGRRGGALPVAAFVPVVLVGLVGGKLPAVALTVICAVFFSSVERR >ORGLA12G0168500.1 pep chromosome:AGI1.1:12:18401147:18402259:-1 gene:ORGLA12G0168500 transcript:ORGLA12G0168500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVRSRANASSGISVAAECKQTFLELQRKKSHRYVIFKIDDKCKEVVVDKTGSSTESFDDFMDSLPESDCRYAIYDFDFVTEENCQKSKIFFVAWSPSVSRIRAKMLYATSKERFRRELDGVHYEIQATDPSELDIELLRDRAH >ORGLA12G0168400.1 pep chromosome:AGI1.1:12:18399104:18399451:1 gene:ORGLA12G0168400 transcript:ORGLA12G0168400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDLFVGDDVVVHAAVAPPPAIGDDDDDYGMLNYRPADCDDGDGDGGGFAVYEPMIIVFTVVVYLAVWLYGLSKLLPYVRARLHLLRLPDYYCIYAHLFWTGLIGLIISPRD >ORGLA12G0168300.1 pep chromosome:AGI1.1:12:18396770:18397135:1 gene:ORGLA12G0168300 transcript:ORGLA12G0168300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDVLVVAPGGGRDALLLVAQESAWRNTDGAGDGDDDDYGMLNFRPGLDGGDDDGFPYETITMWLVVVFLAMCLYGLSKLVLPYVPASWIIHRGFLIDRYPAHAHYCCFEFFRMGKLY >ORGLA12G0168200.1 pep chromosome:AGI1.1:12:18394231:18394545:1 gene:ORGLA12G0168200 transcript:ORGLA12G0168200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDLLVVAPAGSQEEQRDWRTNDDDVDYCDDYGMLNFQPGFDGSDDDDDGFPYETITMCLVIVFLAMCLYGLSKLVLPYVPATWIHRGFLILRMHIVILSS >ORGLA12G0168100.1 pep chromosome:AGI1.1:12:18387515:18392460:1 gene:ORGLA12G0168100 transcript:ORGLA12G0168100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGRYEDSGVSVSFRRKAAYTAASLLVFLVAGQLPLYGVKKYNGDKDVPDPLYWMNCMFASSNNTLMTLGIIPLLLSEMAVRIFSALIITRWPPFHHVRLNRARKLLAIAMAMVMAVSGVLSAGVAAELGTMASLVVMFQLFHGGMIAIYLDELLQKGYGLLSGVSLFAAANCCACIFWKAFTAEDPLLHWAAIIVFFKLVLQLQSCHITLPAVTSPDDPTLQTTYTISPSYMAYVPILFQPAFFSFPLVSISQTLSIKYGETNRVVNLLGKWQQMCKYPGYYVPVARIASYVTTPPSFGEIVTGDPGSLLYAVFLLTGCAFLSLFCFKVCAKSSKRYLVRLVGKPKQTRLSPDDEQLPDEDESISPKQCRRYMTIAAIFVGFCVGFLSLLAGFLGLDGPAIMLAVTVIHSVVQDHSESDGIRAKVYKHTRLVSSLFLSIIMFDHRSPMAGGEGFFVDVQVDAVRAVVMTPAAGGNALRLLVQEQEQRAGGRRRTDGGDSEEDDGGDCLRPYEPIIICCAALVYLALWLCGLLLFCRSVQFLI >ORGLA12G0168000.1 pep chromosome:AGI1.1:12:18375210:18379225:-1 gene:ORGLA12G0168000 transcript:ORGLA12G0168000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLFFPSPLHLSSSLLFFSLTFLLSWAAQPAVREAGVRRWRXRGGSGGRRGDDAGEGRRLHPPPRLRLPAPWVSSTPLPAESTNRGLSELVVRLHRSGFLPNNLLSIRSLTMVSRRQRRHLPTGDRDQQRHGRRRCYLYEPDLSRIVHPPSGNHQGADCAKIEGLLGTTTCVNLQEHFIVVLSLTPSCDFNLGLLAEKNVP >ORGLA12G0167900.1 pep chromosome:AGI1.1:12:18374111:18374773:1 gene:ORGLA12G0167900 transcript:ORGLA12G0167900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAQAQPIRPGDVYPPSAADHHQARRERDKAVAADQGGGGGDLRVMAQFTVPVPSAEEETTDAVTIGEALLAAGSDDNEPVGLADAAAVQAAEMRATGLAGVVPGGVAAAAQQAAEANMRRRPDGDDGENKATTTTLMKDVVGGAAEALPADKVATREDADRVAAAAARNAARRGGGGGKGVAEAVAAAAEMNEGRMV >ORGLA12G0167800.1 pep chromosome:AGI1.1:12:18361617:18364404:-1 gene:ORGLA12G0167800 transcript:ORGLA12G0167800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHYTOENE SYNTHASE [Source:Projected from Arabidopsis thaliana (AT5G17230) TAIR;Acc:AT5G17230] MASSSSAAALWTAAPHPHGSCIRIHAIFHQRHQRRGRRPVVVASSVRPLQAASLAVATAPVAVASRRTAAEEAVYEVVLRQAALVEEATHRRGAGAPRWAEEDAVDWGLLGDAYHRCGEVCAEYAKTFYLGTQLMTPERRKAVWAIYVWCRRTDELVDGPNSSYITPKALDRWEKRLEDLFEGRPYDMYDAALSDTVSKFPVDIQPFKDMIEGMRLDLWKSRYRSFDELYLYCYYVAGTVGLMTVPVMGIAPDSKASTESVYNAALALGIANQLTNILRDVGEDSRRGRIYLPLDELAEAGLTEEDIFRGKVTDKWRKFMKGQILRARLFFDEAEKGVAHLDSASRWPVLASLWLYRQILDAIEANDYNNFTKRAYVNKAKKLLSLPVAYARAAVAS >ORGLA12G0167700.1 pep chromosome:AGI1.1:12:18352105:18359901:-1 gene:ORGLA12G0167700 transcript:ORGLA12G0167700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFAGVGGGAAGKEAGTVGGGALLELTPHKLALCHLVQVFAPPPQAGVSAPALPFPFESVAHHNRLGLFLFALTRSCEDFREPPLEELLRQLKAVDALVNGWLCEQLTSTLSALTSPDDLFNFFDKLRGVLSAPEGANVEDEFLDPNSQLGVFLRCCILSFNTMTFEGVCHLLANLVEYCNSADTSYDLAEDEDFNSEMEMSNFMDTNMHVRDGVFDKYNQGYAPRSHMVDSSSSLVHAPASLHDFEEANMFKADDNLGPTCLRSRWQLEAYLNQQADILEKDPSSVPLNSFNATMSQLQKLAPELHRVQFLQYLNALTHDDYVAALDNLHRYFDYSAGMQGLFSRTASPFQDIIVGKYESALLCLGNLHCYFGHPKKALEAFTEAVRVSQMNNDDSCLAYILGAISNLLSKIGMSSTVGTIGSPYSLGNNIGLGTPLSIQQQLLVLLKRSLKRADTLKLTSLLSFDHLSLAKFVLKHVQRPLVSFGPNASTKLRTCPADVCKNLRLSSRVLTDFGTDGLSASNDNGSFSTSWLRNLSAASNSWCSSSKKSGKLLTNDFDNFHFHAQPSPIPASVLQLAGSAYLLRATAWEHYGSAPMVRMNSLVYATCFADAASSSELSLAYVKLIQHLATFKGYSAAFSALKLAEEKFPLSANSHIQLLKMQLLHERALHRGHLKVAQQICDEFAVLSSSVSGVDIELKTEARLRHARTLLAAKQFSQAANVANSLFSTCYKYNMQVENASVLLLLAEIQKNSDNAVLGLPYALASQSFCKSFNLDLLEASATLTLTELWLALGSTHAKRALSLVCQSLPMILGHGGLELRARAHIVLAKCYLSDPKFSVSEDPSAVLDPLNQAAEDLEVLEYHEMAAEAYYLKAMVYNNLGKLDEREEAAASFKEHTLALENPYNEEDSLAC >ORGLA12G0167600.1 pep chromosome:AGI1.1:12:18349157:18349549:1 gene:ORGLA12G0167600 transcript:ORGLA12G0167600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMIRRLSRVGDCSSPSSPPPFSPAPRRGGGGGGSSGKRSSSAAAAHEGVPEGHVPVYVVGEGDGGEAAAAERFVVRAELLGRPALAELLRRAAQEYGYDHHGPLRIPCRADVFRAALAAAGDEDDDSE >ORGLA12G0167500.1 pep chromosome:AGI1.1:12:18345388:18347055:1 gene:ORGLA12G0167500 transcript:ORGLA12G0167500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGNAAPAAAPDGRLPAAEDEQLALDDVAGISELAKCFFSAHKVPVQDDATSYLHVFLLAPLLVYNATIGGAGYYAQLCYTLGIGSQGSLILLWVA >ORGLA12G0167400.1 pep chromosome:AGI1.1:12:18341132:18343428:-1 gene:ORGLA12G0167400 transcript:ORGLA12G0167400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine hydroxylase [Source:UniProtKB/TrEMBL;Acc:I1R818] MEGFLCDRLLDAAQPIAERFRALFSLRNLRGDAPRRALLQAARDSSNLLAHEAAFALGQMQDAEAIPALEAVLKDLSLHPIVRHEAAEALGAIGLEKSISLLEESLAVDPAVEVQETCELAIRRIEEQKNTSGVESATVSPFLSVDPALPAKQGLPVEQLRELLLNEQEIMYERYAALFALRNDSGDAAVSAIVAALGVKSALLRHEVAYVLGQLQNKAASDALSTVLKNVDEHPMVRHEAAEALGSIADQESIALLEEFAKDPEPIVSQSCEVALSMLEYERSGKAFEFLFLQTPQVQQES >ORGLA12G0167300.1 pep chromosome:AGI1.1:12:18338700:18339987:1 gene:ORGLA12G0167300 transcript:ORGLA12G0167300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEFELDEEVFLDIPVDVVHSVVALAAAGGGALQPAQESGGGGGGDALHPERERALHPAEDRGGVALAVEVVPPREPDDEQGTGAGHGGEEEETDIVVGDYMERGGGDDDDVFSSTCLTTVLFTLLSIIVWAVFLYGAAKLCVNYLVPWFRQQPPPSLPSPASHTYDADADMRCPCPCPSDLQAWRNLVGEDGDAYASELSWLPPLGNASAAVLPVDTLRVAAGAGGPYHSVVALFVAAVIFAVLGYLIKHLMTH >ORGLA12G0167200.1 pep chromosome:AGI1.1:12:18334601:18336776:1 gene:ORGLA12G0167200 transcript:ORGLA12G0167200.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDRFRERARRLFAMQIAIVSPVAIVLYASAIAEGTPFITTAALVFVFQLIAGGLIAIYLDDLLRKGYGFLSGLSLFSAANCCACIFWKALNHAYPWMMMLATLAFFLLVLIILGDHHITLPPMLSIKYGKTNKVVNMLGIWKEMRQYPGHYWPVSGIASYITTPLTVCSRSKRYLVRLLKKTQRTRVSPEQLLQEHDEDESISPRRCRHYMTMAAYIVGICVGLLNLLAGFMGLGGSGPAIMLAVTVIHNLVEGRNTGHVAAFGL >ORGLA12G0167100.1 pep chromosome:AGI1.1:12:18333326:18333700:1 gene:ORGLA12G0167100 transcript:ORGLA12G0167100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAVASARLLARLLPEVEDSSTNAGGVMAVSFRRKVLYTAVSLLVFLVAGELPLYGVQNYYGGGEHDPRYWMNAMSASLRPTVMALGLVPLLYSEMVVHLCMALKIIGVHDDRLPDHRRRL >ORGLA12G0167000.1 pep chromosome:AGI1.1:12:18319373:18323750:-1 gene:ORGLA12G0167000 transcript:ORGLA12G0167000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:I1R814] MAVQVQRTPLPRSTYTGHRGYLLRAPPAVTRCTVDRAFPRSHGPPLPPLLYKTSRPDASSSNSTSRHFPRRSKDCCCFAVRSIADGIMGETIAKDVTELIGNTPLVYLNRVTDGCVGRVAAKLESMEPCSSVKDRIGYSMITDAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYRLVLTMPASMSMERRIILKAFGAELILTDPLLGMKGAVQKAEELAAKTNNSFILQQFENPANPKIHYETTGPEIWKGTGGKVDGLVSGIGTGGTITGAGRYLREQNPDIKIYGVEPVESAVLSGGKPGPHKIQGIGAGFVPGVLDVDLINETVQVSSDEAIEMAKALALKEGLLVGISSGAAAAAAVRLAQRPENEGKLFVVVFPSFGERYLSSVLFQSIKKEAENMVVE >ORGLA12G0166900.1 pep chromosome:AGI1.1:12:18314102:18316578:1 gene:ORGLA12G0166900 transcript:ORGLA12G0166900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHPPNAAASSLEELFPHQPATESDRSGIEWLSVYVEDCLSTSASCTNPVSAELPPITMASQGAAKPKLPPRSSTNARKKKRSLASVISDTDDQHCITLFVEPPLLLLDHKDWLAESELILPKKDKDEELVQEQEQEEEENYKMSAGMQFQQEQLVITCSYCLSSQSPQWWDGPSGPTCDACRLRIEARNGHTTSSKKRYGQEIDKEQDIGKRRDKKKIKKAVYVNDELLSEEPMKRCTHCLSYKTPQWRTGPLGPKTLCNACGVRFKSGRLLPEYRPANSPTFVSDIHSNSHKKVMQLRNSVPHPRK >ORGLA12G0166800.1 pep chromosome:AGI1.1:12:18307515:18309585:-1 gene:ORGLA12G0166800 transcript:ORGLA12G0166800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDPLTNKAAFSGLRQYVKELDSNTLPPFLARVCDPVKPCSFSEEEMLCIFETAAQAHGRKIVPHIALIVSAIVRMMSSRNAVGCSKVVCALSRYVVDPLATEALKSAIIGSLCRPFADCLMSTKVESNSFGSALCVAALVQSNNWRFASNELVNDVCLKVSGALEEAHAQSIAHLNLVVVLLTQNPLMLEPYGRSLIRSGLQILDESAKASSSQMIISSIQMIHSIMKGLDLGIISSEISSIIHAMEQFQDDTMPAISIAAFKASETAKLLVGRQKESGHGNNLSQLANYSVRNGRKGSYSHSLMDDAHIRDDGSCDSHSCDLNSVHLSTDFDSQHSVGQCGSGSTRARRRLWCNKSDKSHGMSNHDLFRTVIPDSHEASGLMAHFSSVDPIKPDRRLSDVPTRVAGPCYVCSAAHETNHCSQISRAQVLSGDMRMNSTPRKQLHSCTFCRDPEQDGHPPLESPAIQHCSGPGTNILHFRKNSELKERKEFWDSIRQENQCHMQNTDALIEDLKFPADDDDEDFDGAAKSPCQECQAVNEKKTGGKKRNANRSHYPVFLFVFVVAIIALFFPWWKDYKEPYVVPT >ORGLA12G0166700.1 pep chromosome:AGI1.1:12:18305268:18306350:1 gene:ORGLA12G0166700 transcript:ORGLA12G0166700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQKXLNDDDDDGGEAYRRHHHDKRPRPPAPPQQKHLYLVLDDWDRGYSIHKLDVDLDDAGVIHGGGGGPAVRFAAPGSSCDARFFPMRGDSVVMVSDAAPTLVYDTGAAALTVESPLPGLLAGGMAIAMPGGERLYALTSLGERFPRAFEVLSTGGGWSWKNAPVAPPPPPFEEAVAAYAVHPGGHTVFVSTSGDGGGGTYTVDTKRGEWRRHGGWLLPFHGQGFFDAELDAWVGLPRHGDTICACQVPSRGGARPPEWDTMDDDDVVPSWKKSRRRPGRRRRATLTYMGDSKFCVVDSVECDGDDGGGVVTPPQCEVHVAVFGLKYNRRGELKATARRAAGSFRVPKHFSWFSPVGFWM >ORGLA12G0166600.1 pep chromosome:AGI1.1:12:18299802:18303471:-1 gene:ORGLA12G0166600 transcript:ORGLA12G0166600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPLSPLASSRSLLAVRPQFAKRRGXVMPPLMGPRYGGGGGGGGGGGAGQSGDGGGSRGGRRGGGGGKAKAVARSKTTVKQEGCDAAVARSKTTVKQEGCDAAVARSKATVKQEGCDAAVVDGEEVGSRRKYAGEVEERKPVVGLKVKAETGKRSAADGEKSLAAAAAVVGRYAAGLKNKAVPGDTSTAGGGARSGGGFGRRSGDEESGIDREARGHISGEGSAAGADRGGRINRPFAGMRFILHGFPHLLKEQQFYRSIVSVNQIFSGTSPFRTAFSACAYFDIYAISSNVKSKRKIEVLGGFVLQSIDYDICTHVAMAGDYSEGAVLIWNGEGKKVVNIQWIDDCYIQGIKIPENDAPAIQALIKTPATSQGPRDTIVSRSKKLRPCYGYQRRSVRRKLEYGRAFEEKAYHLNHNLFVCKMDETMYTSLRNYCKFNKDRLILQTITPQVKSPPEQIPWTNPLEPKEQAHQLKKKRRICVDIFLTQRQMKXNARSGTHMYYSRIFTGVDXHLVAILHRKIFXWIPVVTXDLVICQREXLTSLRMVTLKRIQIDLXRXFARRYLFRSPLCLWTLYSGLSXLIVVPEVMTVXQRTISLXRMDKQLPTSCLSITCLRKWRQQIIQRTRKLRPNSVNTPNGRRVLKLQMEIRIXRKHLISLILPQEGKLIILMTFVAFLNFSETPGSTVQEQKRMYLYLXLHRIFQDSWAISRRXCLSNDIRXMNLXTLAKIRPSQFTKSHTDSGIQKVDHEDSRHLQVTLKELN >ORGLA12G0166500.1 pep chromosome:AGI1.1:12:18297567:18298760:1 gene:ORGLA12G0166500 transcript:ORGLA12G0166500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRNDGGEADGRASKTARRRPAQTTMKHLYLVLDDGDEATTTYTIHKLDVDDDAGIIHAPDVDRPATRFAAAPAAGGGQMHFLAMAGKNIVAVDGQGGGPTAVYDTEAAALTFASPLPGRLSSSGAGLAVVVEDGGHGGGEALYALTSLGERMPASFEALSWARDPCASPSSLLFSSSSSPGRHYYWSWKNVADTPPPFAEEEAVTAYAVHPDGRTIFVSTTGGGGGGTYSFDTERRKWRRHGDWVLPFRGQGYFDGELDAWVGLHREVHGRVCACQVASRGDARPPEYRETLDYDSVSSSSSSSRSKNRCQRATLTYMGDGMFCAVETCETPPTSSSSSEGGGGGGGVEVHVTVFGLKYNRRGELQARVRRAAGAFPLPKQHVPSFSPVAFWM >ORGLA12G0166400.1 pep chromosome:AGI1.1:12:18294980:18296740:1 gene:ORGLA12G0166400 transcript:ORGLA12G0166400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRTLHRRRTRNAAAAAFLALAVVVVFFLSVFVATRNEADIFFSGVAAASSSSPDSSSGGCRELQALDGDGARCRYLRAHAPCAPVGYVDYLRLLYCGFGRAPWLGYAALALWLLVLFYLLGDTASAYFCASLEGLSAVLRLPPAIAGVTLLSLGNGAPDVLSSVVAFAAGARGGDGGGGGGEDAGDVGLSGVLGGALFVSTVVAGVVAIVAGRRGGGEPVIIERRGFVRDVCFLLVALCYLLAVLLTGAVTVWSAASFLSLYAGYVLLVWTSHCCATASDELEVDDTKQPTSDLAAPLLVVDDDDASPPPLPVSSSSKPTSAPRTFARRLVDLLHSPLYLPRRLTIPDIAAHRWSKPTAVATALLSPLLLAATTAPTTTATTLLAATLAGALLATAAAATTDAASPPKSRSARLPWLAGGFLMSVLWSYVLARELVALLVSIGVAAGVEAGVLGATVLAWGNSLGDLVADVALATRRRDGGAGAQTAVAGCYAAPAFNTVVGLGLSLTVAAGARHPEAYAVEGGAAVYVAVGFLAAALVWAVAVLPARGMRLDAVLGVGLLVIYFVFLCVSLAILTPLPSPH >ORGLA12G0166300.1 pep chromosome:AGI1.1:12:18289243:18289803:-1 gene:ORGLA12G0166300 transcript:ORGLA12G0166300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVLEPKPSPPPPPPPSPLPPPPEDYWSPTAVTPPEPTKPKPPPPSPPPPPQQPSQRYWTPPPAITPEPAKPAAGKPTSSSPPSTKDSPRLPLPAPSPAANGGDPRLPATTPLSKQKRKEEKREEAQEMGRAGAAAAEEERPPATATASGGGRREAEERRLMVNMAAAAAVLVGIIVSVWRTLSS >ORGLA12G0166200.1 pep chromosome:AGI1.1:12:18287678:18288555:1 gene:ORGLA12G0166200 transcript:ORGLA12G0166200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQSSCLRLFNCPLQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQTTFSRQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVSAAKLIRTQLASAK >ORGLA12G0166100.1 pep chromosome:AGI1.1:12:18284747:18287575:1 gene:ORGLA12G0166100 transcript:ORGLA12G0166100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKAVNEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKSFALLSLLSSILPVYKEVIAELKAAGATWIQFDEPTLVLDLDSHQLAAFSAAYTELESALSGLNVLIETYFADIPAESYKTLTSLNSVTAYGFDLIRGSKTLDLVKSAGFPSGKYLFAGVVDGRNIWADDLAASLTTLESLEAIVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAAQASRRSSPRVTNEEVQKAAAALRGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEDEY >ORGLA12G0166000.1 pep chromosome:AGI1.1:12:18276089:18276961:1 gene:ORGLA12G0166000 transcript:ORGLA12G0166000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRVNKMLAVLDTNILWVNPDCGLKTRKYNEVKPALTNMVSAAKLIRTQLASAK >ORGLA12G0165900.1 pep chromosome:AGI1.1:12:18273198:18275987:1 gene:ORGLA12G0165900 transcript:ORGLA12G0165900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKAVNEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKSFALLSLLSSILPVYEVIAELKAAGATWIQFDEPTLVLDLDSHQLAAFSAAYTELESALSGLNVLIETYFADIPAESYRTLTSLNSVTAYGFDLIRGAKTLDLIKSAGFPSGKYLFAGVVDGRNIWADDLAASLTTLESLEAIVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAAQASRRSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEY >ORGLA12G0165800.1 pep chromosome:AGI1.1:12:18261880:18266446:-1 gene:ORGLA12G0165800 transcript:ORGLA12G0165800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthetase family protein [Source:Projected from Arabidopsis thaliana (AT5G41480) TAIR;Acc:AT5G41480] MERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVGCYTSPHLLTIRERISVGQSGGPVSAELLRDLFGHAKEAIGQSIESEDGALTHFEVFTALSYLLFSQENVDIAIVEAGLGGARDATNVIQSTELAASVITTVGKEHLAALGGSLQSIAVAKSGIIKQERPVIIGGPFSPDIEQILRDRAFLTQSPVISACDPGIRSITRCIGWDCGKPYQSCDIVIEISNDIPLFIELQDLKLQLLGDHQRQNAVTACCTALCLRELGWNISNTSIQAGLEKTQLPGRSQFLTKEETSVLGLDGTSSVLVDGAHTEASAKALSDVIKTVQPRGPLALVVGMANDKEHLAFAEQLLSGPRPDVVLLTEASIAGGRSRSMPASSLKEIWMAAAQSQGISCYSDIGTITGVEAPIIASRPTTSRSLSGDKPMLIGCCTPFSSDLIRVASRLLQTQEDGDTGLICVTGSLHMVSSVLGQLRQ >ORGLA12G0165700.1 pep chromosome:AGI1.1:12:18256292:18258291:-1 gene:ORGLA12G0165700 transcript:ORGLA12G0165700.1 gene_biotype:protein_coding transcript_biotype:protein_coding RWGGDRGGRWPRWRRRGGGGEEWRGGGGAAGEEEAGGGGAVGGAGGSRRVQAEASPLQRLFRACRAVFRGTGTVPAPGEVDLLCSMLDKMKPEDVGLRADQEFFTARDDDEGIPLIKNTTLYECDNFTMIIFFLPRNAIIPLHDHPGMTVFSKLLIGSLHIRSYDWVDPEPALSCSSSSGDQLRLAKRVVNGVFTAPCDTSVLYPTTGGNMHRFRAIAPCAILDILGPPYSTEDGRDCTYYRAIPYSRHSVKNGAADQLNGVDEEGHRLSWLTETIPRMLRMRQIRYGGPPISDDE >ORGLA12G0165600.1 pep chromosome:AGI1.1:12:18247980:18252213:-1 gene:ORGLA12G0165600 transcript:ORGLA12G0165600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEGGAMRALMRRKQVDSDRVRAAGGHQLAKELSVTQLVAIGVGSTIGAGVYVLVGTVAREHAGPALTLSFLIAGVAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALFFGGQDSLPWFLARHELPWFDVVVDPCAAFLVLVVTALLCKGIKESSFVQGVVTVLNCFVMLFVIIAGSYIGFQTGWVGYKVAGGFFPYGANGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIGTALSVCCSLYMLVSVVIVGLVPYFAMDPDTPISSAFARHGMHWAMYLVTTGAVLALCSTLMGSILPQPRILMAMARDGLLPSFFSDVNQRTQVPVKSTIVTGICAACLAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYAPPDEVPLPSSLEASFRLSQEYDEEKVRGPPVDANHEQLSSVVESINDTLIEKKQDTSVEESKRRKAAVCSISSVCVGVVVLTTSASFTFLPFLLRCFFCVFGGLLLLAGLGVLCYIDQDDGRHSFGHSGGFICPFVPLLPVMCILVNTYLLVNLGGGTWMRVGVWLVMGVFVYIFYGRTHSSLTDVVYVPVAQAEEIYGSSSSSGFVA >ORGLA12G0165500.1 pep chromosome:AGI1.1:12:18239480:18244124:1 gene:ORGLA12G0165500 transcript:ORGLA12G0165500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVQSSLNGDLLIGRAAGVPRMKRKTPSELRGEQLKRRASDKPANDQSLHSAAFDRASNGFQNPEQPKISKYISTRVTEVFPVKKSRNLGKENFKNNEKAPKSADAVTTSDFASPSLPCGYGDSAKSDSAVPLHTEAAKPSFRKVEKCSENALRSVSELHIGDEQQSGTNKFDMEKVLKGFGARDAFVASKLTDPNIQVGVVPSKSLDLCPSEIAVPGKRAPLDLTLKTTLQFVSSSSVKWCHKISTSFGRSSIVGPIAQSYHHGCQDSGCSRPERNKEFLFSKALQSWVYPQSLLPASIISAMVSSTVRGESDFLLKRHQDWEDSFQNLYYMLRKNLLNIFYVYTPQFVALFIGGNCLDKKQTCNAYLSQSTRGIRSLLRRHGVCFSMPLCSTEVEQVTEDDLIELSEIQKRNLGQALHIDAMSEVDNTTQSLLSFIGNKSVHGLYDFLLNYKSFLNSLSATDVPVLYSPVPFQNGCLYIPEVKCKEMRKADIGRVSGAFDAEETGSTFASVTGNICYSMEIKDPVLPPWVVSGICAAMSSDANSFELMMATEPSSMGLNAAFSCVSSNSQSKAHASEGCESLGIPEATLVPSLRSASSLRRLSYKDGEYIAYTTV >ORGLA12G0165400.1 pep chromosome:AGI1.1:12:18235717:18236218:-1 gene:ORGLA12G0165400 transcript:ORGLA12G0165400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQQPSPTLVGRRRCMDRGGGSMGLIALALASTGIIIISYSLHRRLHADLKLAIARAQQQQEQEKPQRRRERTRRVRFAADVVEPSSDGDEYRRRYVAGRPSPAPMGNSSSSSPPARPFARPRRVIQSHPAS >ORGLA12G0165300.1 pep chromosome:AGI1.1:12:18229145:18234560:1 gene:ORGLA12G0165300 transcript:ORGLA12G0165300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G62310) TAIR;Acc:AT5G62310] MEMLDASGREMDSPRFRAILRATSGRRKRAPDVKSFSHELNIRGPLLHPLQQRKPRGCVNSPEEFIGAIRTKFIRLKEEVDAELGVFAGDLVGALDKADGDEERVALEDLLVVAQRCAEMSPEELWVKCECIVQGLDDRRQELTAGVLKQAHTRVLFILTRCTRLLQFRKEGGGYVAADDKQHVLSLHQLSDLGLYPFKVAGGSSELGRKSTSSLTELKERLIRRRMLEHKQLTLDFSAGRVISSDTGGDSPGSGGKISSWKKLPSPAEKTLHKVTEPTTEEKTTPTKKKAITRNKVAVDEIVERIDAASIHPDGLSYLGDSAVKLEVPSGFPEAQQIIVDGKPRMICRICDFEIPMVCAEGHFIVCTLADRCDSKGLNTDQRLQRVAEVLGRVLGCFESKSPQTAECNHNETARGSTSSLTESDGSMDHDILSHLLTVPSTELFSEGALTPASGSMPQSPLLTPRTSHAESQLTKHKAFVELENFQQVESLMTIARGIERIKNSEYNSLEDLSSYLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFIQLCGQIEDSNTDSLGSIDEDGPMESSVSSRTSQMNGKFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARTYMAELVLALEYLHSMNVIHRDLKPDNLLISRDGHIKLTDFGLSKVGLINSTDDLSGPDVSNVLVGDHQPADAEQRAQKREQRQKQAAVGTPDYLAPEILLGMTHGPTADWWSVGVILFELLVGIPPFNAEHPQIIFDNIMNREIPWPQVPEELSFEAYDLIDKLLIENPVQRLGATGAGEVKAHPFFKDINWDMIARQQAAFIPSTDDEYDTSYFACRHTWDDQVNVASNDYDDRSETSSMSCGSSPHSCDYEEDADEFGSMEEFGAPSLSVKYSFSNFSFKNISQLASMNYDLITKHNEDPLQSSKS >ORGLA12G0165200.1 pep chromosome:AGI1.1:12:18228104:18228667:-1 gene:ORGLA12G0165200 transcript:ORGLA12G0165200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPIAMPARVHHHHHRRRRALAASPAALAAAGNGLSATRRVRRSPAVEMRRERERRRAREQQPRCGEVAGGTAAECAAVFCCFPFAVAELVVLAAVRAPAALCRRAVRGGRRRRVRSTKPKETGAMDIASPRSLAAAAAKARKVDADFPATPKAEHLVDMEKEVWASFYGGGFWRSPSQREDRR >ORGLA12G0165100.1 pep chromosome:AGI1.1:12:18219762:18220010:-1 gene:ORGLA12G0165100 transcript:ORGLA12G0165100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPRTRPPPPPPPEATPAFQGHWICAPASLLRTGASDPPRGHWIRALAPLVPEAAISARKWRKEEEGKVEEEKKSEMERK >ORGLA12G0165000.1 pep chromosome:AGI1.1:12:18209155:18216564:1 gene:ORGLA12G0165000 transcript:ORGLA12G0165000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein [Source:Projected from Arabidopsis thaliana (AT1G08465) TAIR;Acc:AT1G08465] MSAQIAPAEQVCYVHCNFCNTILAVSVPGNSMLNIVTVRCGHCTNLLSVNLRGLMHSAPALQDHHHHHLQESGLSGCFRDQSGYPEFGFSAASSSSKLRLPPAAAAMVSYSQQNQQLEQALHARPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHYPNIHFGLSPGHEGGKKLVDVDPIPTAPSSKKIQGFYS >ORGLA12G0164900.1 pep chromosome:AGI1.1:12:18193678:18195799:1 gene:ORGLA12G0164900 transcript:ORGLA12G0164900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKGSVGQIKFPSLVFLVIPSGQYKSTLVCPDCRKVSVTFDPFMYLSLPLPSTTMRTMTITVFNTDGTTGPSPYTVSVPKSGDTKTLIDALSIACSLKGDERLLVAEVYNSALIRYLEEPSEVISLIRDGDRLVAYKLPKDSEDAPIVVFRNQRMESTLTSFGRKSWKSFGTPLVSSLPDTINGSTIFDLYQKVMTPFRVPKDDSSDADHIIGKSSPVEETTDVDMNSDATESTGINNNDCDDETMTEDGMQFYFINERFPDQRMKIEMDQPIRLTASQKRLHVVVCWQDNGLEQYNFSSLDNLPEIYKAVLFSRRPQETCSLYACLEAFIKEEPLGPEDMWYCPGCKEHRQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLETCVDFPVHDLDLSKYIGSRGQQISNHYRLYAISNHYGSMGGGHYTAYVYHEGKKGWFDFDDRHVGPISEESIKTSAAYVLFYRRIQGDDNRLDDTETGIDSSDCTT >ORGLA12G0164800.1 pep chromosome:AGI1.1:12:18181751:18181945:-1 gene:ORGLA12G0164800 transcript:ORGLA12G0164800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RMAASGGSCPAAMKATSQGAFQKENPLDFALPLIILQICLVVVVTRGLAYLLRPLRQPRVIAEII >ORGLA12G0164700.1 pep chromosome:AGI1.1:12:18177723:18181518:1 gene:ORGLA12G0164700 transcript:ORGLA12G0164700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPDDDPPAAASEAPSTSSAAADEDDRVFLVLHRWWREAQEGGGIEAAGVPYAAAPSGPTSYGIGMKVLSMFISDQAFTLRRADDLLQPDASASNAASSRTYALVAADLFSKARAWHIDSGKNAGKKSLSIEEGSVNIYPIMLRVSVTRDTNALTVKISKKDNSAENFKRANKILTADSEPVHIWDFSGRTTFILMNEWNRMPQDTRSSDQEMPLEIHFYDLSEPTANGTNGKKDELALTMSRSMSNGSIMGMDLDSSGSSKQVGTGLIGLDNLGNTCFMNSAVQCLAHTSKLGELAYSFGDLLRKLWALDRTPVAPRQFKGKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYSEAKDSDGRPDEEVADEYWGNHIARNDSIIVDICQ >ORGLA12G0164600.1 pep chromosome:AGI1.1:12:18174583:18175618:1 gene:ORGLA12G0164600 transcript:ORGLA12G0164600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACAALVYCSFVIIVQSTISDQGVIFGEYVSEFSCSNGTFLXPPLCASVWSKSYFIFEFVELDIFTHSRNKHALIISFVDNIILVIVMHTS >ORGLA12G0164500.1 pep chromosome:AGI1.1:12:18159749:18163672:-1 gene:ORGLA12G0164500 transcript:ORGLA12G0164500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMWPWPPPARKFRVRLVVRRAEGLTATATATASSSPVAEAKVAVEVRWKGPKASPLGSLRRVMHSNRTRLESAAEAAVAWEEEFERVETFTATSHRKSGAAFHPWDLAFSVFVNDSNKGPKGELILGTASLNLAEYTSASEEVEIILPLSVPNGSSESSPSLHLTLSLVELGPPHQSPDASQRSAVTAPLSPSSGDSVPSSKDEVSSVIKAGLRNLKILTDLVSTRRSKKTNRDDDGSEDKCYVHSDGAEYPSDTDSLDEDLDDRERDDGLGGSTVRKSFSYGSLQSVNYAGGLLYAHARIDGEHEDWIYYSHRKSEAGYSVEQEASSTAEEPVVSVSRRSLLPWKKKRKLNLRLLKVLKNKGEPLLKKGNDEEGGDDIDYDRRLLTTSDGNALEGSDSSINSMVSIFGDDNFVVGNWESKEVLSRDGHLRLSTQVFFASIDQRSERAAGESACTALVAVIADWFEANQDLMPIRSQFDSLIREGSLEWRKLCENETYRERFPDKHFDLETVLHAKIRPLTVSPNRSFIGFFQPESTEDGSGFDFLDGAMSFDNIWDEISRAAECSTEKPTLYIVSWNDHFFVLKVEAGAYYIIDTLGERLYEGCSQAYILKFDDNTTIHKVPAEKKEANPDSSRRLKDSSDSSSTDQDSGTDTEECELVSKGKESCKEYIKSFLAAIPIRELQADIKKGIIASTPLHHRLQIEFHYTESCPEEIPLPAPLPAIEAPFEFSWPEPPPAMEVTLGPAVAAI >ORGLA12G0164400.1 pep chromosome:AGI1.1:12:18151916:18155928:-1 gene:ORGLA12G0164400 transcript:ORGLA12G0164400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGDEQQQQAAAAQTAAEVTEAAAKEVVSVEMPAPEGWTKKFTPQRGGRFEIVFVSPTGEEIKNKRQLSQYLKAHPGGPASSEFDWGTGDTPRRSARISEKVKAFDSPEGEKIPKRSRNSSGRKGKQEKKEATENEEAKDAEADKEAPSEDAPKETDVETKPAEEAKEAPSEDAPKDTDVEMKTAEDASKTADADTPAPAPAGTEKEDAKPAESEAAPPAPSEGGEKKEDAKPAEPEAAAAPPSNPTEPSAPKAAAAAPVENSADKGPHQDSQPPSAAAPAKESSPVNNGQLPAGASAVKCT >ORGLA12G0164300.1 pep chromosome:AGI1.1:12:18150742:18151017:1 gene:ORGLA12G0164300 transcript:ORGLA12G0164300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGVVVVVEAAAGGLDEAAIKALPKVVYGTAAAAESSCAVCLGEYGGGDELRVLPWCAHSFHRHCVDPWLRLNPTCPVCRTSLADQPTQS >ORGLA12G0164200.1 pep chromosome:AGI1.1:12:18146516:18146848:1 gene:ORGLA12G0164200 transcript:ORGLA12G0164200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVAISAVMLACSNRRRRRRRRSPSQRSIDDVELGRAAGLDEAVLAEYPTTVYSCSSSSAAAPEEAAAAAVDAGDGTGCAVCLAEYEDGDELRRLPGCGHAFHRRCVDE >ORGLA12G0164100.1 pep chromosome:AGI1.1:12:18141733:18145246:1 gene:ORGLA12G0164100 transcript:ORGLA12G0164100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSEMHGQCLFIIVFLIHSVHVLPGCIAQSSDEQTLLAFKAAISGDPNGVLDTWVTTKGSMNATDSICRWRGVSCRSRQHPGRVTALELMSSNLMGVISPSLSNLSFLHTLNLSGNRLTGGIPSELGQLPRIRVISLGGNSLIGNIPVSLTNCARLTHLELPRNGLHGEIPANFSNCRELRVFNISANSLSGGIPASFGSLSKLEFLGLHRSNLTGGIPPSLGNMSSLLAFDASENSNLGGSIPDTLGRLTKLNFLRLAFAGLGGAIPFSLYNISSLTVLDLGNNDLSGMLPPDFGITLPRIQFLNLYNCRLQGSIPPSIGNATKLRRIQLQSNGLQGIVPPDIGRLKDLDKLNLQFNQLEDKWDKDWPLMAALGNCSRLFALSLSSNKFEGDLPASLVNLTIGIEKIFMNENRISGAIPSEIGKFRNLDVLALADNALTGTIPDTIGGLSSMTGLDVSGNNISGEIPPMLVANLSKLAFLDLSENDMEGSIPLSFERMSSIAILDLSYNRFSGMLPKQVLSLSSLTLFLNLSHNTFSGPIPSEVGRLSSLGVLDLSNNRLSGEIPQALAGCQSMEYLFLQGNQFGGRIPQSLVSLKGLQHLDMSQNNLSGPIPDFLATFQYLRYLNLSYNQLDGPVPTTGVFNATKDFFVGGNRVCGGVSELQLPKCPDRAGKGSHRSRTVLIVSVSVGSFVALVLIAGALFVCVLKPMKQVMQSNETSPRPLLMEQHWKLSYAELHRATDGFSAANLIGVGSFGSVYKGVVGSEEEEVAIKVLNLLQHGAERSFLAECEALRSVRHRNLVKIITACSTVDHYGNDFKALVYEFMPNRDLDKWLHPTIDDDDESFSQVLTMSERLRIALDVAEALDYLHRHGQVPIVHCDLKPSNVLLDNDMVAHVGDFGLSRFVLGTNNNSIQYSSISAGIKGTVGYIPPEYGMGGEISVEGDVYSYGILLLEMFTAKRPTDDLFQGSRSIRSYVATAYPDRAMEVVDQAMLQLKEKDMFEKKTEGCIMSVLRVALQCTEDSPRARMLTGYVIRELISVRNTYEENSSFC >ORGLA12G0164000.1 pep chromosome:AGI1.1:12:18139803:18140616:-1 gene:ORGLA12G0164000 transcript:ORGLA12G0164000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCPSIQISLLDSIMHIQISLLDSIMQKRIQVPVQLLGREGRGGAVWARTLTGRRGSSTASWWRFANRLTTAVLL >ORGLA12G0163900.1 pep chromosome:AGI1.1:12:18118796:18119053:-1 gene:ORGLA12G0163900 transcript:ORGLA12G0163900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADFYKNVSVAYRILLTVHVTVASAERSFSKLKLLKNYLRSTKSQERLNGLTMCLIEKDILDTIDLNTVLDDFSSRNARRSIFS >ORGLA12G0163800.1 pep chromosome:AGI1.1:12:18115487:18117474:1 gene:ORGLA12G0163800 transcript:ORGLA12G0163800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGCNSDNSRYYDLLGVPRGADGDEIRRAYRRAAVTHHPDKGGDEEAFKEVARAYQVLGDPALREVYDVYGEDGVNGGVGAAAAGFGRYDDAFDEFVETFRYLVAAGGADRAFGDAVEMLRHLVAGVAAGGKAFDEVIVGMFKNMMSGGDSSAGGAGDAVEFVDLSLEEFYNGATKKFTFSRDVTCIPCKGTGSTLASPATCAACSGAGYKVVSQLMRLRRRGSEPCAACGGRGEVSRGLKRCSACRGSKVATDTKVLELAVEKGVPDGHRITFPGEADVKENGVAGDLVMVLRQKKHGKFTRKGDDLVYEHELSLAEALCGFQFVITHLDGRRLLVTSGAGEVIRPGQLKAIDGEGMPVHGMPFAKGTLYVAFRVAFPGTVTPALRDAVAAAFPAATKAAAVEDGGGCEETTTTTRDVGGEEEMKLNAKGEQSPTTRMEHGAGGEDEYVHVHGHVDEEEEDNEEM >ORGLA12G0163700.1 pep chromosome:AGI1.1:12:18109653:18110951:-1 gene:ORGLA12G0163700 transcript:ORGLA12G0163700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWIKSLVGIKKHEKAQTSESSGVLLHKRKHSIDTESAAAVEELSVQTEPLACDTNIQAISNITSSPGTTLQVSQIELDTRENHAAIVIQSAFRAFL >ORGLA12G0163600.1 pep chromosome:AGI1.1:12:18106970:18108067:-1 gene:ORGLA12G0163600 transcript:ORGLA12G0163600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHAASPYVLSLLLLLSIPAVFLLAPRLLPPKTLPSIPDADETDDLALFRRAVLLSAAPDSSSASAGAASLFGRRPQPKVAFLFLTNSDLVFSPLWEKYFAGNHHLLNLYIHADPSAAVDLPATASFRGHVIRGTKATARASATLISAARRLLATALLDDPSNHFFALLSQSCIPLHPFPTFYRTLLSDSDNNGGSPRRPRRRRSFIEILDNEPTLHDRYYARGDDVMLPEVPYDSFRVGSQFFVLVRRHAVMVVRDRRLWNKFKLPCLTKRKDSCYPEEHYFPTLLDMQDPQGCTKFTLTRVNWTDSVDGHPHTYRPDEVSGELIRELRKSNGTHSYMFARKFAPDCLKPLMEIADSVILRD >ORGLA12G0163500.1 pep chromosome:AGI1.1:12:18090043:18093015:-1 gene:ORGLA12G0163500 transcript:ORGLA12G0163500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFNKSQEGFGQVAAVATLASNGGGSLPWLLYGEPLGQGKPAMSPEGVVPRAQTPLDPPQVPAMDRGVPEILYFSMVPGKGEKCSEHSTTIALQSPFAEYNGCFELGLGQSVVPSNYPYADQHYGLLSPYGVRPTPSGRILIPPNMPADAPIYVNAKQYSAIIRRRHARAKAERENRLVKARKPYLHESRHLHAMRRARGSGGRFLNTKKEANGKTTGGGRKVMDIIIPPLCPAASPSSEQCNPSSVSSLSGSEVSSIYEHEDMDHFHSFDHLRTHFFTPLPSLMDVEHGAGNPFKWTAASDGCCDLLKA >ORGLA12G0163400.1 pep chromosome:AGI1.1:12:18087119:18087403:1 gene:ORGLA12G0163400 transcript:ORGLA12G0163400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGESALSXEILKDAFLPKGSNREDTGESTTESEIDYDRFTNSQAPDFATILSILEGRKGMKQCNRSIRLKDPDSIPNAMNNTGRDRGRRLL >ORGLA12G0163300.1 pep chromosome:AGI1.1:12:18081262:18081842:-1 gene:ORGLA12G0163300 transcript:ORGLA12G0163300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKRRTGDKARGEKKALKVKINLASPAKKIKKSIKKKGTKKKGTVAGRIGRKCTLSRDSKGRFLPRESKGGDIGGSATESEVDYDRFMNFQAPDFATILSILKGWKGMKQCNKIRRLKDPDFVPLMNVMSNTGYVTEDDGHYDVLKVLMHADGWSA >ORGLA12G0163200.1 pep chromosome:AGI1.1:12:18076900:18080237:-1 gene:ORGLA12G0163200 transcript:ORGLA12G0163200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGGRKEKGEGLGRALIRQRNKAAAAVKERGDALAYARRRAQPLESVIEVSDIDAVLERAAEADRLHSALADAAASVSSSDLVIDLDATGETDEERRRMQKEQEALHAGSLRVPRRPPWNARMTVEELDENERRAFLVWRRNLARLEENDKLVLTPFEKNIDIWRQLWRVVERSDLLVMVVDARDPLFYRCPDLEVYAKEIDEHKRTMLLVNKADLLPMNIRKKWADYFKAHDVLYVFWSAKAATATLEGKMLSGYSEQDSASLDLDTKIYGRDELLKKLQTEAEFIVAQRRASAIKEDSRATSSDSVSSVAKHVVVGFVGYPNVGKSSTINALVGEKKTGVTHTPGKTKHFQTLIISEELTLCDCPGLVFPSFSSSRHEMVSCGVLPIDRMTKHREAIQVVADRVPRSVLEQIYKITLPKPKPYESQSRPPTAAELLRAYCASRGHVSHAGLPDETRAARQILKDYIDGKIPHFELPPGMVDTENEHEETSGLEGPTTSAHKESDGSDSDEQDDTVDPAQPDMRHVLSDLESFDLATEGSKPAGKKKKEASHKQHKKPQRKKDRSWRVGNHGGDGTAVIRVYQKPAVSLSAVGASDKI >ORGLA12G0163100.1 pep chromosome:AGI1.1:12:18070434:18070851:1 gene:ORGLA12G0163100 transcript:ORGLA12G0163100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARSVLRCRCLSLAWAAALSSDAFVGHHLRLPNRRRHGPKLCIPPSSLTMLLEHSLNVETIGI >ORGLA12G0163000.1 pep chromosome:AGI1.1:12:18056628:18064512:-1 gene:ORGLA12G0163000 transcript:ORGLA12G0163000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:I1R7X4] RRSRTPTRTPRRRGGGGGGGGGFGSETSSASPSAPGTPTAMGAGGGAAPIAAAAAAVAAAASAAVVAGPRPAPGYTVVNAAMEKKEDGPGCRCGHTLTAVPAVGEEGAPGYVGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVSSNKWSRLTPVGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDMIYVYGGLRGGVLLDDLLVAEDLAAAETTNAANQAAAIAAASDIQAGREPGRYAYNDEQTGQPATVTSPDGAVVLGTPVAAPVNGDMYTDISPENAVIQGQRRMSKGVDYLVEASAAEAEAISATLAAVKARQVNGDAEHSPDREQSPDATPSVKQNASLIKPDYALSNNSTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVIYGTPESATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPLNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHLEDTWMQELNANRPPTPTRGRPQAANNDRGSLAWI >ORGLA12G0162900.1 pep chromosome:AGI1.1:12:18049737:18055074:-1 gene:ORGLA12G0162900 transcript:ORGLA12G0162900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 3 [Source:Projected from Arabidopsis thaliana (AT4G04850) TAIR;Acc:AT4G04850] AMAAAAAAAPRHHHHHVVVGSSRSAPVSVSVCVRRWCGGGSSSALLRVGMIGGASASSAEGRRGRRALRVRAASGMDIASAVEVINDLGFDTLTFLGVTVLVVPAFRVVKASPILGFFCAGVVLNQFGLIRNLTDVKLLSEWGILFLLFEMGLELSLSRLKALARYAFGMGLPQVLLSTLAFTAFELPPNGAIGTKILQFLFDSRPDLVNIRSVDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNVVEQSVWPMLLAESLKALGGLGLLSLGGKYLIRRIFEFVAESRSSEAFVALCLLTVSGTSLLTQWLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMELLIREWPNVLSLLGGLIAIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEIGRRAAGIIDEKSETKEKPAEMVNYDATEPIVILGFGEMGKVLAKFLSAPLSFGLDKDAEGWPYVAFDLNPAVVKSARKSGFPVLYGDGSRPLVLQSAGVSSPKAVMVMYTGKEKTIEAVNRLRQAFPGVPMYARAQDMSHLLDLKKAGATEVVLENAETSLQLGSMLLRGLGVMSDDVSFFSKLVRDSMELQAQEALNNIENREIDIMKPLEIRISDLVERNGNGSRMIAQEDSLRLSSRPNIPLIEATLEDRIPETTVENDQTGYDFSNIDSEDGVKYCLLEASDDESEASNSSKEMIDQSV >ORGLA12G0162800.1 pep chromosome:AGI1.1:12:18046026:18046603:1 gene:ORGLA12G0162800 transcript:ORGLA12G0162800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRNASLLGLVLQLALLLAAAAAAAGRAQRQAAPPPATTITHQEMNDNGAAAVTAMSVQAQAQQQQQVMVGSRPPDCTGNCGPSCVGRCEAGLVRPVHPPKPPPAPTTTGAGAAAPPVKEVGDVGCGDDYKPLRWECKCRTAAIHRHIVKINP >ORGLA12G0162700.1 pep chromosome:AGI1.1:12:18016490:18018331:1 gene:ORGLA12G0162700 transcript:ORGLA12G0162700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTFTPNSPASSCSIHHHASPSRGARNSVRFTRPRAAAAATNSVLSAPSSVPPAYVPPPPPPPTKMFPEAGDAAAAKAAARRGGKKKDGLNFFQRAAAVALDAFEEGFITNVLERPHALPRTADPAVQIAGNFAPVGEQPPVRSLPVSGRIPPFINGVYARNGANPQFEPTAGHHLFDGDGMVHAVRIRNGAAESYACRFTETARLGQERALGRAVFPKAIGELHGHSGIARLALFYARGLCGLVDPSHGTGVANAGLVYFNGRLLAMSEDDLPYQVRVTADGDLETVGRYDFDGQLGCAMIAHPKLDPVSGELFALSYDVIKKPYLKYFYFDADGTKSPDVEIELEQPTMIHDFAITENFVVVPDHQVVFKLGEMFRGGSPVVLDREKTSRFGVLPKHATSSSEMVWVDVPDCFCFHLWNAWEEAESGEVVVVGSCMTPADSIFNESDEHLESVLTEIRLNTRTGESTRRAVLPPAAQVNLEVGMVNRAMLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTKFEYGEGRFGGEPCFVPMGGAGAAASPARGEDDGYILSFVRDEAAGTSELLVVNAADMRLEATVQLPSRVPYGFHGTFINAGELATQA >ORGLA12G0162600.1 pep chromosome:AGI1.1:12:17971621:17976602:-1 gene:ORGLA12G0162600 transcript:ORGLA12G0162600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRPTTRSSSGGVEPRYRQVGFVTTTAEPAAAAASSSSSPRGSDVYPGSLSPVMIPPPRIPDHLTALSPAPVSLLPSSPPPPSSSRLDAAESDLDDDDDDDDDVDVSWARPPPPALLESKEGLPDTQNEGAPAPAPVQQKQKLSKAERRAIQEAQRAAKASAKEAGLSRKSVATVSTSNAAMSKQLKTVKTPLKKDLPQLTPSVASEKKTSEHPPDRDRKKDVPPPRMQFDNVHRVEKTKKRSLVNQAEAQNRVELFRHLPQYVHGTQLPDLESKIFHLDLMHPSVYKVGLQFLSGVISGGNACCIAMLLAFREAIKDYSTPSTKTLNRDLTAKISSYVSFLIECKPLSISMGNAIRFLKNRIAKLSLTLSESEAKASLQSDIDRFINEKIIIADRVIVSHAIAKVRDDDVLLTYASSSVVEMIFDHANELGKKFHVVVVDSRPNNEGQALLHRLVAKGISCTYTHINAISCIMHEVTRVFLGASSILSNGAVYSSVGTAAVAMVAHAFGVPVLVCCEAYKLHERVQLDSICSNELGDPDVISRVPEREDLCHLKNCTYNENLQLLNLKYDTMPSDYVSMIITEYGMLPPTSVPVIVREYRREHIWI >ORGLA12G0162500.1 pep chromosome:AGI1.1:12:17965936:17966616:-1 gene:ORGLA12G0162500 transcript:ORGLA12G0162500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPSLSIVDEDGFVIDLSLTLGLTSPPPSPGGASPSIPPGRGGGGGTSGGDNNRGSRGGGNGGGGGGVRLFPCLFCNKKFLKSQALGGHQNAHKKERSVGWNAHLYLPAGVAAATTTTTTAMAVPDMVGMPTHQMSSMALHSCRPHQGSHVTAADIATLAAPPHYTVDHGVAGIASGGGDSSVGWRQRQREAGGEKQRQVDLNLKL >ORGLA12G0162400.1 pep chromosome:AGI1.1:12:17958614:17958961:1 gene:ORGLA12G0162400 transcript:ORGLA12G0162400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICPESRCAAAAYPIHGHEAMITIHRPTGRCREQLPSVGDDGHQWGSSSSWRQEAVEDRAKISCRCRDIPAQLMLMLMLLMAMASNGHEGFTHEEEGQRKKTINLVSDLHGIIL >ORGLA12G0162300.1 pep chromosome:AGI1.1:12:17955186:17958105:1 gene:ORGLA12G0162300 transcript:ORGLA12G0162300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta [Source:UniProtKB/TrEMBL;Acc:I1R7W7] MATAAAASLQYALHGAASASAKPRSAAPGRSVRVAAARRSVRARGGAVVARAAVTASADATAESKSGGHEVLLFEALREALIEEMKEDPTVCVFGEDVGHYGGSYKVTKGLAEMFGDLRVLDTPIAENSFAGMGVGAAMKGLRPIVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYICCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAATLEDATVVQPAQIVAAVEQICQ >ORGLA12G0162200.1 pep chromosome:AGI1.1:12:17949894:17950721:-1 gene:ORGLA12G0162200 transcript:ORGLA12G0162200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLNMQAEAAGGDGGDAEKKSGNNKQMMVAAKVSISILVMSLPVLYVSFLRIPPATLFRDTTFWFLMSNSIIIVIAADSGMLFFAGRPASSSGELQAMVVTDVSLSHALVVAPPRGGQEDDDGVVVAGEPAEEESTMMLVPYYGGGGEVVQAAARPTRLAASKSVAVAGSREAERTTTMARRRRRSRSHHALVVTPPVQEKSIVVREEKLRRTATERPPEPEPEEEEEMTTSSSEYSRLSDEELNRRVEEFIARFNMEIRLQLEKEQEQAAAA >ORGLA12G0162100.1 pep chromosome:AGI1.1:12:17935825:17940134:1 gene:ORGLA12G0162100 transcript:ORGLA12G0162100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP protease 5 [Source:Projected from Arabidopsis thaliana (AT4G18370) TAIR;Acc:AT4G18370] MAVHPLLRLLQLRPPPPPPPPPPPSPPFATTRRASSASAAAAALLLLAASPRLPRPARADPGDGGEDIDEARVVRLFQEASPSVVFIKDLVVGRTPGRGGGQAVEAEDGEEGAATVEGTGSGFIWDTSGHIVTNYHVVAKLAGDGSAFHRCKVLLEDSSGNSYSKEGRLVGCDPSYDLAVLKVDVDGDKLSPALIGTSKGLRVGQSCFAIGNPYGYEHTLTTGVVSGLGREIPSPNGRPIRGAIQTDAAINSGNSGGPLIDSYGHVIGVNTATFTRKGTGISSGVNFAIPIDTVVQSVPNLIVYGTSVSNRF >ORGLA12G0162000.1 pep chromosome:AGI1.1:12:17931227:17933689:1 gene:ORGLA12G0162000 transcript:ORGLA12G0162000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTINLTIGGILLGPSALGRSSKFLHNVFPPKSMTVLDTLANLGLLFFLFLVGLELDISAIRRTGKKALAIALAGISAPFALGIGTSFAFRATIVKGAPQAPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAVALSGSGSPIISLWVLLTAAGFVAAIFLFLRPALAWMARRSPEGEPVKELYICATLAIVLAAGFVTDTIGIHALFGAFLVGIAVPKDGPFAGVLIEKVEDLISGLFLPLYFVSSGLKTNVATIRGAKSWGLLVLVIVNACLGKIGGTVITSLLVKIPVREAVTLGFLMNTKGLVELIVLNIGKDRKVLNDEAFAIMVLMALFTTFITTPIVMAIYKPARPTAPYKRRTVDGGEADGELRVLACFHTNRNIPTLLNLVESSRGTGRGRLVMYAMHLVELSERSSAITLVQRARRNGMPFFNSGDKAEQMVVAFEAFQQLSSVRVRPMTAISDLDTIHRDVIDSATAKRAAIVVMPYHKMLQHDGTFQSLGSAYHAINKRVLREAPCSVAVLVDRGLGGHAQVSAKNVAFSVAALFFGGPDDREALAYATRMAEHPGVAVTLERFRPSRAQPDEDAAADEAAVEAFKSKVGMVKDGSVRFDERPAQSKAEVMEAINSLSMFSVFVVGRMPPTAPLVEKPDELGPVGSYLASPEFRTSASVLVVKRYDPATNPASKRYDPKARPPVATEEDALDELTGAAAVVPVAHSPMNHDIV >ORGLA12G0161900.1 pep chromosome:AGI1.1:12:17920425:17921318:1 gene:ORGLA12G0161900 transcript:ORGLA12G0161900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVGGGKERKRPRGALVGVGGGGASAATAAAWRTSRVARAAAGGKDRHSKVVTSRGLRDRRVRLSVPTAIAFYDIQDRLGVDQPSKAIEWLIRAAAAAIDALPSLDCSFALPAAASSPPPPAADDAEVSTSETSKSSVLSLANAPCDNGGGAFAELLHCSNTNGSKPLQQQQQATLAYYAAAQSAHMAAPMSFEMMAMPPHLAFSQEQQQHATVAAFDRGTLQSNASLWPPPPQPPPSQHPFLLQRFAAAPAEVAGLPFFLAGGVGGAAAAAPAATTNGGERRLQLWDFKEERKT >ORGLA12G0161800.1 pep chromosome:AGI1.1:12:17904972:17906466:-1 gene:ORGLA12G0161800 transcript:ORGLA12G0161800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRSKCSSVGRTLMGGLGNNLFGAVNSSVETVSRPSHCDPIFQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKRGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >ORGLA12G0161700.1 pep chromosome:AGI1.1:12:17903724:17904122:-1 gene:ORGLA12G0161700 transcript:ORGLA12G0161700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYAPFSSPPLRGAVAAAGRRSRPASRSSSIGGWSGSGSGGASAEYISLRDVLVEGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGSWREYSSHDIHEFDASNIGIRNHLLKHAASAYLQSAVVVPPREQGVP >ORGLA12G0161600.1 pep chromosome:AGI1.1:12:17896630:17901263:-1 gene:ORGLA12G0161600 transcript:ORGLA12G0161600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Kinesin motor domain [Source:Projected from Arabidopsis thaliana (AT1G72250) TAIR;Acc:AT1G72250] MEEEGSGRGGDRAAAHGRIGDTASLGASCVRAGVGGDSPVMVSSASVRKTVKMSETCDFIPYVDDDDDGGNSEEENSASSGVLPCDGMQHDTPDYIRRGAAAARHRIAPLELFSDPSPPQGPPSPSPANGGAALEATSNDGVAAPQVHPPEGTGGGEQETTTLGSQSVHETLHIEENEGKCSCCGQLKQEYSLLLREKEECRRVLEDLMRENELKSRECHEAQASLHELRMELMRKSMHVGSLAFAVEGQVKEKSRWCQLLNDLSEKFKALKAEHQILLQESLECKKFVADATQMTTTIQQHVNQYASLECEFKDLKERFTEETKERKDLYNKLIEVKGNIRVFCRCRPLNGEEIEEGASMAVDFESAKDGELIVRGHVSSKKVFKFDSVFSPEEDQEKVFEKTAPFATSVLDGYNVCIFAYGQTGTGKTFTMEGIEGARGVNYRTLEELFRITKERQGLFQYEITVSVLEVYNEQIHDLLLTGTQPGATAKRLEVRQVAEGVHHVPGLVEARVTNMNEAWEVLQTGSKARVVGSTNANEHSSRSHCMHCVMVKGENLMNGEQTKSKLWLIDLAGSERVAKTDAQGERLKEAQNINKSLSALGDVISALATKSQHIPFRNSKLTHLLQDSLSGDSKTLMFVQISPNENDVGETLCSLNFASRVRGIELGQARKQVDIGELSRYKLMAGRAKQDSKNKDAQIKSMEETIQSLEANNKAKDLLTMNLQEKIKELEAQLLVERKIARQHVDNKIAQDHLHQQQQGMKPENSPYPTRSPMAERNLNSTAEKPATLLKDLGIARQMFSDSNTDTYSINHLMSMSSEKENNPAGGAQPTKARRVSLCGGAHQQPAAPPRRGSLIPLPRRNSLMLPLPLPKPATPAAAASPLDMITEQCSSPPVIAPNDNRCGGGRNKRIINSILRRSLQKKVIIRPPLMAAHQSGRRAGAGVAGTTTHGGSGVVMRARRVPVSGGRGGGGVQHNREKERGWNNGTSLRQLN >ORGLA12G0161500.1 pep chromosome:AGI1.1:12:17893640:17895739:1 gene:ORGLA12G0161500 transcript:ORGLA12G0161500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G65030) TAIR;Acc:AT1G65030] MALIAGSYERFIWGFSLKTLTSSSSSSSETLALAPLFSYPAHAGPIRCAAAAPRAGLAASGGSDDTVRLYDLPAAADLGPLLDPAAPVSALAIHSLGPVPRNLLAASDDGLLHLYDAGEGFPLLASLRVFPRHREPADALAVHPTGRVALAVGRSGGLAMLNLVRGRRSFSCRLERPATAISYAEDGAGGDRFVMAAEEKVTVHDSEDARIIHEIDCGKRVLAFAPAKKGILYTGGEERGITAWDLSSGKVTSRIEDAHSTRVKGIVIFDDKNDGSELCNLIASASSDGIIRIWDARMIAKEKPTPLAEAKTKARLTCLAGSSLN >ORGLA12G0161400.1 pep chromosome:AGI1.1:12:17892971:17893213:-1 gene:ORGLA12G0161400 transcript:ORGLA12G0161400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHHPLINKMPHHYPITIITFKYHANMLYLYSFYNLRAFQIQTCEIIVQHNSHNVSMYIFIMFHNIIYTYIVHPHLVSA >ORGLA12G0161300.1 pep chromosome:AGI1.1:12:17888828:17891356:-1 gene:ORGLA12G0161300 transcript:ORGLA12G0161300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAEGMDRFDMEGDFEGGRFGRDGEFYYERRRERAPQTRDDALYGVFAEGDSDYDSDDGRRRSRRKRRRDEAEPDLSRPVHFQSAGKFMPSKEPEPEPEERPGLGAAPASASASAAGADDAVEEEDLDLPTAFGQRIAEGARARREERARERETAARRRQASGVAAGKPAPALGSLGSNTKVAKMMAMMGYKDGMGLGKNEQGIVAPVETTLRPKNAGLGSVEGFKEPKPFFTKENLPPPPPPAPAKKEQQRWSKKAGAKKGPVLTKNELLAMRSEQEQDEQPTVVQKVIDMRGPQARVLTDLKGLNVEQEMEANDLPMPELQYNVRLLVDEAKADIVRLDGQLQREQEKVASLVREKEKVAKQEALQKCQLQVMEKIAGVLEQVRVDDTAGVLSLEGLLKTFQELKACYVEEFKMCSVAWIACRFAHPLLIRVFQGWQPLQNPLFGLEIMSSWKDLLQGDQAYDFSGDVESMAPYAQLVSEVILPAVRISGTNSWEARDPEPMLRFLESWERLLPPIVLQSILEHVIMPKLSAAVDSWDPRREKVPIHVWVHPWLPMLGQRIDTLCHSIRYKLSSVLHVWQAHDASAYAVLSPWKDVFDAASWEDLIVRYIVPKLKMALQEFQINPANQKLDQFNWVMIWASAIPVHHMVHMLEVDFFSKWQQVLYHWLCSPNPDFNEIMNWYKGWKGLFPPELLANERIRMLLTAGLDMMNRAAEGLEVVQPGARENVGYLRATEKRQFDAAQQASQYTTHHAVPGAAMAALSFKESIQAYAMDQGLLFMPRVGKFYNGMPVYEFGTVSICIDSVKRLLYAQLQEGIERWSAVSLTQLIEMNRKARQR >ORGLA12G0161200.1 pep chromosome:AGI1.1:12:17882203:17884374:1 gene:ORGLA12G0161200 transcript:ORGLA12G0161200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G59030) TAIR;Acc:AT3G59030] MAETSSARSPLLDVDESSGASEELLRRDPVPRSVLSRLAAWEAGNLWRISWASILITLLSFTLSLVTQMFVGHLGELELAGASITNIGIQGLAYGIMIGMASAVQTVCGQAYGARKFRAMGIVCQRALVLQFATAIVIAFLYWYAGPFLRLIGQAADVAAAGQLYARGLVPQLLAFALFCPMQRFLQAQNIVNPVAYITMAVLIFHILISWLTEFVLGFGLLGAALTLSFSWWVLVALTWGLMVWTPACKETWTGLSVLAFRGLWGYAKLAFASAVMLALEIWYVQGFVLLTGFLPDPEIALDSLSICINYWNWDFQIMLGLSYAASIRVGNELGAGHPNVARFSVFVVITASVAFSILATILVLVLRYPLSTLYTSSTTVIEAVIKLTPLLSISIFLNGIQPILSGVAVGSGWQVVVAYVNVGAYYLIGLPIGCVLGYKTSLGAAGIWWGLIIGVSVQTVALIIITARTNWDNEVMKAIQRLRQTAVDDGTVPIVDDIE >ORGLA12G0161100.1 pep chromosome:AGI1.1:12:17879591:17881856:1 gene:ORGLA12G0161100 transcript:ORGLA12G0161100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G30290) TAIR;Acc:AT1G30290] MSRRHLTKNPSPLLHRHLHLHLLLQPRRLCSSATAGELASESASVGREVHRVDDDLAEESRSRLVRDTCRLLELRESWSPKLEAQLRHLLRVLSPPQVRAVLRAQARGDVRRAFEFFRWADRQWRYRHAPEVFDEMLSLLSNTRLHDPARRVVRLMIRRGVRRGTEQFAQLMLSYSRAGKLRSAMRVLHLMQKDGCAPDISICNMAVNVLVVAGRVDKALEFAERIRRVGVEPDVYTYNCLIKGLCGARRVVDALEMIGVMLQNGCPPDKISYYTVMSFLCKEKRVEEVRGLLQRMRNDAGLFPDQVTYNVLIHGLAKHGHADEALEFLRESEGKRFRVDEVGYSAIVHSFCLNGRMAEAKEIVGEMISKGCQPDVVTYSAVVDGFCRIGELDQARKMMKHMYKNDCKPNTVTHTALLNGLCKVGKTSEAWELLNKSEEEWWTPSDITYSVVMHGFRREGKLKESCDVVVQMLQKGFFPTTVEINLLIHALCKDGKPAEAKDFMEQCQSKGCTINVVNFTTVIHGFSRQGDLESALSLMDDMYLSNRHPDVVTYTVVVDALGKKGRLKEATGLVEKMLNRGLLPTPVTYRTVIHRYCEKGNLEDLLNLLEKMLARQEMKSAYNQVVEKLCAFGKLNEAYSLLYKILRTASVRDAQTCHILMESFLNRGLGLQAYNVACRMFRRNLIPDVKLCQKVDNQLASEKNKPVPGKLMVKFAERGLLKQIRKTSNCDG >ORGLA12G0161000.1 pep chromosome:AGI1.1:12:17871120:17875928:-1 gene:ORGLA12G0161000 transcript:ORGLA12G0161000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVPNGHTTATGEGGTPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGGGQLDLLILDCLSRTGSHNVHLCWDQTLDAVKRICPKRALFIGMTDEMDHHKDNGTLEEWSRREGIDVQLARDGSRVYIDL >ORGLA12G0160900.1 pep chromosome:AGI1.1:12:17864947:17868973:-1 gene:ORGLA12G0160900 transcript:ORGLA12G0160900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVPNGHSIAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYIVIDVGKTFREQVLRWFIHHKIPCVDSIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYISQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRTGSHNVHLCWDQTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDL >ORGLA12G0160800.1 pep chromosome:AGI1.1:12:17861671:17863684:-1 gene:ORGLA12G0160800 transcript:ORGLA12G0160800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSAYAPAGGVGTHSAPGRIRPPRGLGFSTTKSRPLALTRRGGGIISVAAGGGRARLRCAASSSSAAARPMSQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLYSRKLRVVDVGGGTGFTTLGIVKRVDPENVTLLDQSPHQLEKAREKEALKGVTIMEGDAEDLPFPTDTFDRYVSAGSIEYWPDPQRGIKEAYRVLRLGGVACMIGPVHPTFWLSRFFADMWMLFPKEEEYIEWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKREHGDSPLQLGPKVEDVSKPVNPITFLFRFLMGTICAAYYVLVPIYMWIKDQIVPKGMPI >ORGLA12G0160700.1 pep chromosome:AGI1.1:12:17854646:17857521:1 gene:ORGLA12G0160700 transcript:ORGLA12G0160700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALALAMKLIPSIVFLAVAVQAAASSGYSLSLPGCPDKCGNISIPYPFGIGPSCAATSISRYFNLTCNETFQPPRPMVGDSQALVEIADISLERGEMRVLSPVSYICFTANNTFTKSTEDGGYDLRATPFLPSPSRNHFTVIGCNTLGLIGGYKGNVSHYLTGCYSYCESIDSTSDGVPCAGLGCCEDAIPTDLTTFGVMFEMNQSKVWSFNPCFYAMVSEVGWYSFQQKDLVGHLGFIDDRAQRGAPVVADWAIRNGSCPEEGKGIPGDYACISANSYCMDANNGPGYLCQCSKGYEGNPYLLNGCQDVDECALRKQDPKYEDMYPCRKGICHNTPGGYLCKCKLGKRSDGTNYGCRPLHTTAEQVVIGTSVSAIALMALTCVFAMQVQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILPEKDIKIATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDHRSPIPLDIRLKIATQSAEALAYLHSSTSRTILHGDFKSANILLDGQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIYANSINEKESLSYSFLLMFDQNIHRNMLDREIMDTETMVVLEKLSILAANCLRPRGDDRPTMKEVSECLQMIRRHPMHAASDHKGDSSAHHNYEGSPSPSTAVHFDETIYKSIETSRLVQDLVR >ORGLA12G0160600.1 pep chromosome:AGI1.1:12:17846780:17848477:1 gene:ORGLA12G0160600 transcript:ORGLA12G0160600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLDPKYEELYPCRKGVCQNTPGSYLCKCKKGKKSDGTGYGCQPADSPDYRMVVGLSVSAIVVTAMACLLIMQLQRRMHKKEKNAYFKQNGGLRLYDEMRSRQVDTIRILTEREIKRATENYNEDRVLGSGGRGMVYRGTLDDNKEVAIKKSRVINDDCREEFVNEIIILSQINHRNIVRLLGCCLDVDVPMLVYEFAHNGTLSEFLHGTDHRSPIPLDLRLKIATQAAEALAYLHSSTSRTILHGDVKSANILMDDQYNAKVADFGASTLKSMDEREFILFVQGTMGYLDPESFTSHQLTERSDVYSFGVVLLELLTRKKALYTNDFNKNESLSYRFLSMFRQNKHQAMLDPEIVDGSNVVAIEKLTKVAVQCMSPRGDDRPTMKEVAERLQMLRRLQMQATCDGENDCNIHDNFGGSPSVTLHFDEMTDSSIETSNLILSE >ORGLA12G0160500.1 pep chromosome:AGI1.1:12:17845727:17846683:1 gene:ORGLA12G0160500 transcript:ORGLA12G0160500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAQLLVFLVPMAFFLKLATPVDGAMAMPGCPDKCGDVAIPYPFGIGENCSAINLNSYFNLMCNGTFHPPRPQIREPEAHIEVTGISLERGEMRVLSPVNHICFTSNTTSTKSSGVGYDLSKTPFLPSPSRNRFTVIGCNTLGLITGYRGASGQYVTGCYSYCEGINSTSDGAPCAGMGCCEASIPANLTAFAVTFDLNHSKVWTFNPCFYSVVSEVGWYNFKKQDLVGHLGFIKDRAQNGVPIVADWAIRNGSCPEKGEKEPSSYACVSANSYCTAVINSPGYLCNCSEGYGGNPYLSDGCQGSAITQIYLNFVTY >ORGLA12G0160400.1 pep chromosome:AGI1.1:12:17839060:17841916:1 gene:ORGLA12G0160400 transcript:ORGLA12G0160400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVFHAMAISTTTSEPAISLPGCPDKCGNVSIPYPFGIGAGCSATSLSSYFTITCNDTFQPPRPMVRDLLSETEVIDISLERGEVRVYGPVSYICFSSNTTIPENHTTGFTLEGTPFVPSTTRNRFMAIGCHTLGIIGGYMHSNSNLYVAGCYSYCQSINSTSNGAPCTGMGCCETTIIPDLKDFAAILVMNQSAVWEFNPCFYAMLVEAGWYSFRQQDLVGHLRFVNGRASRGVPVIHDWAIRNGSCPEGKKVPKDYACVSSNSKCVQASNSQGYLCKCSEGYEGNPYLPEGCQDIDECKLRKEDPKYKELYPCRHGMCQNIPGGYLCKCGVGKRQDGTNYGCQPVLNYVELVVAGLSVSAIVVMALICFLVMKLQRRKYRKEKEEYFKQNGGLRLFDEMRSRQVDTILILTEREIKKATENYSDDRVLGCGGHGMVYRGTLDGDKEVAIKKSKVIDNDCREEFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFVSNGTLSEFLHGNDHRTPIPLDLRLNIATQSAEALAYIHSSTSRTILHGDVKSLNILLDDEYNAKVADFGASALKSMDRNDFIMFIQGTLGYLDPETFVSHHLTDKSDTYSFGVVLLEIMTRKKALYNDNLNGNKALSHIFPLMFHQKRHCDMLDFDMIDDKVMVVLQKLAELAMHCLNPRGDDRPMMKEVAERLQMLRRLHMQLVSKSSPTRVSCSYEGSSMSIPSDPMKYQSMETAKLVLDADIAR >ORGLA12G0160300.1 pep chromosome:AGI1.1:12:17829297:17832174:1 gene:ORGLA12G0160300 transcript:ORGLA12G0160300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVTTKHPAMQEALVLAMKVIPSIIFLAVAVQDAASSGYSLSLPGCPDKCGNVSIPYPFGVGPSCAATSISSYFNLTCNNTFNPPRPMVGDSEALVEVTDISLEHGEMRVLSPVYYICFTANTTFTKFTEGYELKHTPFLPSPSRNRFTVIGCNTLGLIGGYKGTVSHYVTGCYSYCESINSTSDGAPCAGMGCCEAAIPTDLTAWGAMFEMNQSKVWSFNPCFYAMVSEVGWYSFQQKDLVGHLGFIDDRAQRGAPVVADWAIRNGSCPEEGKGIPGDYACISANSYCMDANNGPGYLCQCSKGYEGNPYLLNGCQDVDECALRKQDPKYEDMYPCRKGICHNTPGGYLCKCKLGKRSDGTNYGCRPLRTTAEKVVIVQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILTEKDIKKATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDRRSSIPLDIRLKIATQSAEALAYLHSSTSRAILHGDFKSANILLDDQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHRLTDKSDVYSFGVVLLELMTRKRAIYANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVLECLQMIRRHPMHGASDHKGDSYAHHNYEGSPSMVVHLNETIYESIETSRLVDDLVR >ORGLA12G0160200.1 pep chromosome:AGI1.1:12:17811635:17813083:1 gene:ORGLA12G0160200 transcript:ORGLA12G0160200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34650) TAIR;Acc:AT2G34650] MVAAVRAPVKPEMVELSPAAMERYSSDADTTAPNSSLSSAASSTGSLARCSSLSRLSFDCSPSAAVAAAATSCSPPRASVLLRPHRSGDVAWAAIRAASTTSAAPLGPRDFKLVRRIGGGDIGTVYLCRLRSSPERESPCMYAMKVVDRRAVARKQKLGRAAAEKRILRQLDHPFLPTLFADFDATPHFSCAVMEFCPGGDLHSLRHRMPSRRFPLPSARFYAAEVLLAIEYLHMMGIVYRDLKPENVLIRADGHIMLTDFDLSLQSTTSPSLDGDTDDEASGGASCFPDHLLRFKRRRNAVAAPRPRFVAEPVDARSCSFVGTHEYVAPEVASGGAHGAAVDWWAYGVFLYELIYGRTPFAGATNEATLRNIVRRPLAFPSGSGSCGPADADARDLIARLLAKDPAARLGSRRGAADVKSHPFFKSLNLALLRSSRPPVVPGAGAGAAPLHRSQSCKAAPTTPPPPTTTKPANATARFDLF >ORGLA12G0160100.1 pep chromosome:AGI1.1:12:17799966:17801129:-1 gene:ORGLA12G0160100 transcript:ORGLA12G0160100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKGSLLAGFATFLQLICGGGGGGEDYQLPIDHNHPDHKADILMYGDMVEAAYKAFAGDDDEKEVHYYGGGGYLYLATTNLYATIDAIPAPLEAALPVLRGVDKPYWFGYVAAAWRGGYWDVVVPWRGSVNVADWSMNIQFPLVPFKLYTSKDKGIGGGGGAAAAAGEVEKGFHKVYTSKDKAGKGQRGELSAQEQVVEEVRRLVRHFRREDPGVGVRVTMAGHSLGGALALMAAHDVAAALADDDVPVRAVTFGAPRVGDGAFRDALIKGRHVDVVSLVVKQDVVPRLPPGHRYVQVTEKVVELVVDDDAVAMSLSASHSLEQYLHLFRRLCDDDGQAFTARMAPHTGGEVAPEKKNKWPEMEEEADGYKRLPLSELDKLITK >ORGLA12G0160000.1 pep chromosome:AGI1.1:12:17777111:17778226:-1 gene:ORGLA12G0160000 transcript:ORGLA12G0160000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDGDRAELLGYGLMVDAAYLTYDAVKKQTDGRECYEAVLSDELDKLIVTAGVEDASRRRRRHVVTAHFFATIEPHQAVVNALVGGVDKTYWFGYVAVARRGDCWDVVVAWRGSATLADWMMDMHVMNLVDFGGGGAAAGHVAEGFYNVYTSKDAKVKHGTVSAKEQAVMEVKRLVDHLRRRSGAAGEKPVRVRVTVTGHSLGGAVAVMTAHDVAAALAADADAEGVRVRAVTFGAPRVGDDAFRRAVAARGVEVFRVIVKQDIVPKLPMGEEYVDAGDGDYDIIKLDDGGNWLSPLELIRAHSLDLYLQLITLRNPAIASVLSNSNSDAPPQAKEEWKDMKEEEGYMRLPFLKLKEELDKLEGPSPKK >ORGLA12G0159900.1 pep chromosome:AGI1.1:12:17773906:17775249:1 gene:ORGLA12G0159900 transcript:ORGLA12G0159900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDKKFGKGPRELTGAVDLISHYKLLAHHDFFCKKPLPLAISDTHYLHNVVGDTEIRKGEGMELDQLVQNAYLRDKPAYIQPFDMETLGQAFQLRETAPVDLPSAEKGIPTISGKPKSESKDKEKKHKKHKDKDKEHKKHKHRHKDRSKDKDKDKDKDKKKDKSGHHDSGGDHSKKHHEKKRKHEGMEDSADVHKHKKSKHKSSRTDDTGNGLS >ORGLA12G0159800.1 pep chromosome:AGI1.1:12:17766443:17769837:1 gene:ORGLA12G0159800 transcript:ORGLA12G0159800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARSSEVFLGGRGRARRRVAPLLAAVAFVYLLFVSVKLSGLAGIADPAAVTRPASVGSAGEVMVQRRLEDPAPRSRAGGDGVAVVPGYGRITGEILRRRWEAGGRGRRRWGRGGNFSELERMADEAWELGGKAWEEACAFTGDVDSILSRDGGGETKCPASINIGGGDGETVAFLPCGLAVGSAVTVVGTARAARAEYVEALERRGEGNGTVMVAQFAVELRGLRAVEGEEPPRILHLNPRLRGDWSHRPVLEMNTCFRMQWGKAHRCDGNPSKNDDQVDGLIKCEKWDRRDSVDSKETKTGSWLNRFIGRAKKPEMRWPYPFSEGKMFVLTIQAGIEGYHVSVGGRHVASFPHRMGFSLEDATGLAVTGGVDVHSIYATSLPKVHPSFSLQQVLEMSDRWKARPVSEEPIQVFIGIISATNHFAERMAIRKSWMQFPAIQLGNVVARFFVALSHRKEINAALKTEAEYFGDVVILPFIDRYELVVLKTVAICEFGVQNVTAEYIMKCDDDTFVRLDVVLKQISVYNRTMPLYMGNLNLLHRPLRHGKWAVTYEEWPEFVYPPYANGPGYVISIDIARDIVSRHANHSLRLFKMEDVSMGMWVEDFNSTAPVQYIHSWRFCQFGCVHNYFTAHYQSPWQMLCLWNKLSSGRAHCCNYR >ORGLA12G0159700.1 pep chromosome:AGI1.1:12:17753672:17758470:-1 gene:ORGLA12G0159700 transcript:ORGLA12G0159700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1R7U1] MKLSPPASADMPQALPENDGEQRCLNSELWHACAGPLVSLPVVRSRVVYFPQGHSEQVAASTNKEVDAQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPEEQKEPFLPMELGAASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVIFIWNDNNQLLLGIRRANRQQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITSISDLDSVRWPNSHWRSVKVGWDESTTGDKQPRVSLWEIEPLTTFPMYPSAFPLRLKRPWASGLPMHGMFNGGGNDDFARYSSLMWLRDGNRGTQSLNFQGHGVSPWLQPRIDSPLLGLKPDTYQQMAAAALEEIRSGDPSKQHPATLQYQQTHNLNSGLNSLFASHVLGQVQFQPQQSPLQVVQQGHCQNTGDSGFLQGQLPRLQLHNTQQLLKEQELQQQQRQHVLQEQSNQEMQQQLPSSDHRVADVASESGSAPQAQSSLLSGSSFYNQNLLEGNSYPPLHLHNNFHNFSNQEASNLLSLPRSSQLMASDGWPSKRLALESAVHPEAPSMHPKIEKVNHQGISHFPGAFPPQSARGCSIVQDCRADAENRLLSSSFELQDGMTSIITDANRETDTMAIPLLRYSGADLTTENTLATSNCLGESGTFNPLNNISVNPSQGATFVKVYKSGSLGRSLDISRFSSYCELRSELERLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFANSVWCIKILSPQEVQQLVRGGDGLLSSPGARMQQSNACDDYSASHNMQNIAGNIASVAPLDY >ORGLA12G0159600.1 pep chromosome:AGI1.1:12:17749550:17752566:1 gene:ORGLA12G0159600 transcript:ORGLA12G0159600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQMQMGVMSPTKLRMKLLGSHGGSIGRVDEAKKSPRASPARLDADEDDDDHPKNSLLPQELDEDYPKDQSDSSRSRSDASHGRAGNGYDSGGFEFYREERPPPPPAVAVVGGTFFRQVPSKWNDAEKWLAGRHVVHSNPIFSKKAAAAAAAVSGRVAPEASASSSPPSVASRQRQQKRLRVSSEAAAVSMRDVGTEMTPMASKEQSRSGTPAGAATPSLSPLCSVPTSPRGAASASSASSERELQIRTRREIAALGLQLGKMNIASWASKDDDDLLPHASPEKRPRPRPRPHSGDGGGEAKKREFEARAMAWQETHKCKLALRFQRKEVKIQEWESCQKAKFEAKMRHAEVQAEQMKARAKQKLSRRLSALSHKAEGKQARVEARRSRQAARLARQVHRIRETGAAPSRLRRCCSWLFL >ORGLA12G0159500.1 pep chromosome:AGI1.1:12:17741098:17743895:1 gene:ORGLA12G0159500 transcript:ORGLA12G0159500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAAAAMAKEESRKEKKAKTKAASSAAAVPDARRAAVVAAVAAFLESSGFPRALAALQSEANLEAGSWRSSPVSLEELVSGFLEDSSNYAPGASINGSIEHEKTAAVVAEDAGKKKKKKGSDTKVSEAENKVAEPSAVEKPSENADVKTKEKKQKKKKSKKQENDEDVEARLEKAESAIINKFETVDTLKEDSKNGLVDVAPVEKGKKKKKGKSTPETSDKVDTGSTDAGADCAKGKGDAAEMEKDNNEKKSKKKLKKSKENVEVVENKEVAGKDSAPKSNDENNSGMETEKGENGMPPSDNAVVGKKRKLEEVEGSNLPAKEDNTASQKLSNGSSEDDGAKPNKRQKKSSEPKTVNAFQRVKLEDVKFADDRLQDNSYWAKGGADSGYGAKAQEVLGQVRGRGFRHEKTKKKRGTYRGGQIDLQTHSIKFNDSDDE >ORGLA12G0159400.1 pep chromosome:AGI1.1:12:17735947:17738377:-1 gene:ORGLA12G0159400 transcript:ORGLA12G0159400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGIAKHGAGKWRTILKDPDFSNVLRYRSNVDLKDKWRNMNVTVNASGARDRVKAPVVKKPRSAPKHEGHSTSTAIAAVTSDGDDDVAEPVPLATSTSSKRSLSRLDNIIVEAVRSLNEPTGSYKTAIANYIEEQYWPPADFDHVLSSKLNDLTASGKLIKVNRKYRIAPSSSLSEGRSSKVVLLEDIKKEPTKLDREDVAVLTKSQIDAELARMRTMTAEEAAAAAARAVAEAEAIMAEAEAAVREAEAAEADAVAAQAFAEAAMATFKNRSSAKLIIRA >ORGLA12G0159300.1 pep chromosome:AGI1.1:12:17728701:17733091:-1 gene:ORGLA12G0159300 transcript:ORGLA12G0159300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMKLSSKPDAFTRRGQAWYCTTGLPSDVLVEVGEMSFHLHKFPLLSKSAILGRLIEENSDSDECVIKLSDIPGGAKSFELVARFCYGLKIELSSENVVYLRCAAEHLEMTEETSGDNLINQTESFFNQVVLRSWKDSLEALRTCDGLLPHAEDLHIVKRCIESLAGKASIDPDLFGWPVSEHSTMQSPGGSVLWNGISTGAKVRNCSSDWWYDDASSLSFPTYKRLISSMESRGIKQEIIAGSLTYYAKKFLPGLNRRQSTGPMPLPAATLSDEEQRRLLEEIDRMLPLQRGLISTNVLLWLLRTAMILKVDRACISNLEKRVGMQLDEATLEDLLLPNFSYTMDTLYNVECVHRILDHFLAMDQTMGGGGASPCLDDVMASPSLAPITAVAKLIDGYLAEIAPDINLKPLKFQSLAAALPEYARPLDDGLYRAIDVYLKSHPTLPEAEREQLCRLIDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSVAGCLLVSDNLEASSRTLRGGGAVAASGEAGCWATAAVRENQALRVGMDSMRLRLMELERECSDMRQDIRKLGRGRRRLRGGGGIVAGGKDGGGWAARVQRMLTPRMMKLQMCSAQHDAAEQQRMNNEHKKVEKVAKNKKQLSMDDGDDKEE >ORGLA12G0159200.1 pep chromosome:AGI1.1:12:17720044:17726735:-1 gene:ORGLA12G0159200 transcript:ORGLA12G0159200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRSDSGSGSRGADPCRGRKRGRLLTLEEEEEEEESGMEGCSAPACGDVRGDFVGWCSDRHQVASCSGDQTQSASMFAAMQENACSISKGVVCPQSGLGYSAGQNGTHGGGGSMLHQNLEGCMYMNQLGQMCGPYPPEQLYDGLSTGFLHRDLAIYAVFGGKMANPVSLGSLKQFLSQWSSDSVVATRDESAENKKMAPVNKLILPDNLSSEESCWMFEDAEGRRHGPHSLAELSYWHHSSYLHDLSMIYHVDSKFGPFTLVSLIDWWSGGTEHSESSANDSGSLNALMDDVVEDISHQLHAGIMKSARKVFIDEIFSSVLPEMIACRKTEKQMAAKRKSQAAKTDNVSNKNALVLKGKGDGTSTRPKSLNSYNNKVPEDPSVAVQSTAMQYEFADILSAVWETIYNKSMKSIWDEVLYDPVMDYCDAWLKRKNESNLLSTVVPGASDNQKMQDTDEMSPKAICDSDAPESDMDFPPGFGPNRESAEHSHSACVEYVTEKTDGRSGSSITLFSGPLGRVQVMLANELYVAAKEALFQHFEEVIAEEITNCLCIGFEDDINQERIRTPVHAPEPSSPPGISVHETPSTAEMPRDEISDMAEMARDEISDMAVDTIPCPADMAASGTSTVPEVTTDKLIIPYVEHQSPSASHASIFEKLDAHEEAELDDSFDEVPPGTEAGLASLVIMEKNKYQPSKSVDSVLDIYRYTSWAFFRQILHESVMKEWASLFSGALSNCFDSWYARKNIVAKTMDDTLRPKEYTYYRKRKLRKNCEASSSEKPMDEQLSRPLRDLVECKVNMKNIHRSSKAGISQRVSVVEKPSKKRAKPSHNDNINLNIQQDLKLLSDKVPKRNRSSHPTSKPLVSNKVPTEDRTTSAMPAKKRKQKNLATESNLKTKAVILSPESHGCEAPTEKRTTAIMPVNKRKKNSSGESKLKAKPLTSPESYVCEAPIENRTTSTMPAKKRKQKNLSNESNLKKKPLVLCPESYGCARASVSGWEWRDWARNATPSERAQVRGYRVRSILSAPENNVLKSSQVKGSSARTNRVKLRNLLAAAEGTDLLKIMQSKSRKKRLRFQRSKIHEWGLVALESIDAEDFVIEYVGELIRRQVSDIREDQYEKSGIGSSYLFRLDDDYVVDATKRGGLARFINHSCDPNCYTKVITVEGQKKIVIYAKRRIYAGEELTYNYKFPLEEKKIPCHCGSQRCRGSMN >ORGLA12G0159100.1 pep chromosome:AGI1.1:12:17711589:17714949:-1 gene:ORGLA12G0159100 transcript:ORGLA12G0159100.1 gene_biotype:protein_coding transcript_biotype:protein_coding XNGSHGGGGGRRRGGCCGGGGGGGGLFPEESFASWGAYGRALMETGPRLVERATARSAAAVEVNEVRGRSGAEMKRNLTWWDLAWFGVGAVIGAGIFVLTGQEARDAAGPAVVLSYAVSGVSAMLSVLCYTEFAIEIPDAGGSFAYLRVELGDFVAFIAAGNILLEYCIGGTAVARAWTSYFATLLNHRPNDFRIHAASLAADYSRLDPIVVAVIAVLSTKASSRFNYALSIAHLAVLVFIVAAGLSRARLSNLTADFAPFGFRGVFAASAVLFFAYVGFDAVSTMAEETRDPARDIPAGLVGAMAVTTAAYCALAATLCLMQPYREIDPDAPFSVAFSAAGMGWARYVVAFGALKGMTTVLLVSAVGQARYLTHIARAHMAPPCLARVHPRLGTPVNATVAMLAATAAIALFTDLGVLANLLSISTLFIFMLVAVALLVRRYYATGETARGDRNRLAGCLAVIVASSVATAAYWGLGGDGGGWAAYAVAVPAWLAATLFLQLRVPMARTPEKWGVPLVPWLPSASIFINIFLLGSIDGRSFMRFGVWTAALLAYYFFFGLHASYDTAKALAAEVAAGKVEEGGSKPAVGGAAGN >ORGLA12G0159000.1 pep chromosome:AGI1.1:12:17704651:17707238:-1 gene:ORGLA12G0159000 transcript:ORGLA12G0159000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVVHDVSGNHGADERQKQQRQGEPEDQQEASVTSTDSHTMVATPSTDYATPYAHHDMAHAMGQIAYANIDPYYGSLYAAYGGQPMMHPPLVGMHPAGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESEKKLVKGRKPYLHESRHQHALKRARGAGGRFLNSKSDDKEEHSDSSSRDKQDGVAPRDSGQPSTSPSSKGASSAKQNKKSKTSN >ORGLA12G0158900.1 pep chromosome:AGI1.1:12:17679628:17685755:-1 gene:ORGLA12G0158900 transcript:ORGLA12G0158900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGGRGERLSSSSPTAAAPQVDAGKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLNAMNKLLMEENDRLQKQVSRLVYENGYMRTQLHNPSAATTDTSCESVVTSGQHHQQQNPAVLHPQRDANNPAGLLAIAEETLAEFMSKATGTAVEWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDIIHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFIRAEVLPSGYLIRPCEGGGSMIYIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEIPYGAGRQPAVFRTFSQRLSRGFNDAVSGFPDDGWSLLSSDGSEDITISVNSSPNKLVGSHVSPNPLFSTVGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSASSLRASPYAVPGLRTSGFMGSQVILPLAHTLEHEEFLEVIRLEGHGFSHDEVLLSRDMYLLQLCSGVDENATSASAQLVFAPIDESFADDAPLLPSGFRVIPLDTKMDGPSATRTLDLASALEVGPGGASRASTDASGTCNRSVLTIAFQFSYENHLRESVAAMARSYVRAVMASVQRVAVAIAPSRLGPQIGMKHPPASPEALTLASWIGRSYRAHTGADIRWSDTEDADSPLALLWKHSDAILCCSLKPAPMFTFANNAGLDILETTLVNLQDISLEMILDDEGRKALCSEFPKIMQQGFTYLPGGVCKSSMGRQASYEQAVAWKVLSDDDAPHCLAFMLVNWTFM >ORGLA12G0158800.1 pep chromosome:AGI1.1:12:17677202:17679161:1 gene:ORGLA12G0158800 transcript:ORGLA12G0158800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRWRPVGLEVARRGGARGEAGVEDAVDRVEEERDVXRILAISEIYSGDACMTITEIAGEEIARLEARGVGVSAGCEAGGGGSTDIRARAWAGDRLSWAATGPKQDTILFVAEISEQDVALRKVYLGSLNNVLQSAKDNGLS >ORGLA12G0158700.1 pep chromosome:AGI1.1:12:17666066:17676470:-1 gene:ORGLA12G0158700 transcript:ORGLA12G0158700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAEEKSRARGTALWRLLRPLAVLGPRMQRRREVAVPFRGQVRNTTAASLLLLLSLSHVPLYADADPDPLFWARPLLAAPRGTMMELGVAPVVTSWVVVRLLAALLFDSDSSTTVASCELLARCLAYASRLVIGIAAALGMCGSGGAGNAALVVLQLFAGGVVVVLADLLHETGYGVEGVSAASLLIATNACERAVSHLFSPVKLRLAGAGPEFEGPVFAVTHRVAAAPPSWRHKAGALLFTLLLLDLPNLSNYMTTCVMFVLAVRLDETHLRRLYRSRPRRGTDEFVPIKLLYTSAMPIMLHAAAVSAFCVDAGGSAAYPVGGLAYYVTPPSKLLVDPGLIHELLIHSVFVVASCTLLSMAWAEASRSSAREFRTRVIGTGYFVWDDTSRRIDRVIPAAAAVGGFAVGGLAVYAGAVGAIGEAGPELLFAVLVIKNLAETFYAKSKLITTYS >ORGLA12G0158600.1 pep chromosome:AGI1.1:12:17662940:17664402:-1 gene:ORGLA12G0158600 transcript:ORGLA12G0158600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G64150) TAIR;Acc:AT5G64150] RRRAAELVPDSAPHLHRHLRWLLADAAARDAGADPAFLRAPLGDLESLWLRHVRDRRPFQYVVGNEHWRDLVVAVREGVLIPRPETEAVVDMVAKVQGFEAGWWADLGTGSGAIAVAVARMLGPEGRVFATDVSEVAIDVGRLNVQRYGMQDKVEIRHGSWFEPLEDLKGKLMGVISNPPYIPTEDLPGLQPEVGWHEPKLALDGGKDGLEHLLHLCEGLSSVLKPGGFFVFEVKYLLTNGNKQSEFLVDFIQTKWDSSFRDVEAVLDFADIKRFVTGYRR >ORGLA12G0158500.1 pep chromosome:AGI1.1:12:17651958:17656594:-1 gene:ORGLA12G0158500 transcript:ORGLA12G0158500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGLWKQGWRWVVSQKHILTWAHMAASGGTERLAFLVDRHWPAVSRACVSSGRLALAALRQWRGCAARGILEMASLGPASVFVILWSFFVCITSPACALYALLGMGAAGAVIHYMGYTPGLFIVGLFGILIMWMYGYFWITGMLLIAGGCMCSLKHARFVIPVLAMYAVYCVAVRVGSLGVFLTLNLSFLTNDLLNKLLQGYEGSTEERQFEEPKHSDPVMDEFYRSCEFPSAPDSEPETVSSAKPFCSTPVQDVLHVQKEASPSKVVKSDSVSLDEMKRIMDGLTHYEVLGIPRNRSIDQKILKKEYHRMVLLVHPDKNMGNPLACESFKKLQSAYEVLSDFTKKNTYDDQLRKEESRKMTQRSRVVSQQTGVEFLSEESRRIQCTKCGNFHLWICTKKSKAKARWCQDCSDFHPAKDGDGWVENKFSSSFKEIPRAFVCAESKVFDVSEWATCQGMECKPNTHGPSFMVNMVGADRMSQRSYSSRYPFSLNAEMIPEDEFELWLQQALASGVFSDSPKRRKSWSPFKLPQKGIKSWRRSS >ORGLA12G0158400.1 pep chromosome:AGI1.1:12:17645051:17648141:-1 gene:ORGLA12G0158400 transcript:ORGLA12G0158400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAESLEYELQKEVSNIMIQSYITSMRREFETKLWENQNCISTLNKNWKEKISKIAALRDELSTIYSVVSASESGVFSSHGSHEKVEELNFLKMKDDNESSITERTTDSGELMFDIPDFSLLKHMPSEEVTNFLKSEWLKLRRQHESELHEKTEELFRLKREFAKDIALLPSRKERELEIIKSKLLQSISKLDEITSREDNPYCDNYDDAEVCGFEDRIGSLLHENEQLQGLLADKKMVAKHLSLQVLDAERKMAQHSLSELKLVKQVEKLSHELEDLKIESHIKDLFELSTLREVFDNYENHIDDANQEETFLRELLVEKEEQLSIMYEDRQKLKYENNQLVAIAGSTLMQHHEQVNLVNDLTNFREKVCEQELLILESKSESNSMKSSLYEALQQINVCKQEIHGLTDNLTAMSIALEEAKEQNASLDATIQEMKKTSAPSINSHKGQAGHLEYALVSMEKLSKSYSDFESRLAQSMKRNEIRLTNIICQFNPLVQQVAVLKKKEFWYKQILEIKCSNLQKAEAEVDILGDEVDALLSILGKIYIALDHYSPVLKHYPGVTEILNLVQKALKGESI >ORGLA12G0158300.1 pep chromosome:AGI1.1:12:17639358:17641926:-1 gene:ORGLA12G0158300 transcript:ORGLA12G0158300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTEFKGEYSLPSKKSPLDQFEGVKTHLYRLGAEHENGTLKSFAYTDQGSPSLLDTIILSQWEDYAWKGHFGYDVTACNLKVVEGGWSFVVQLNDKWNSCVLKEHDKFLEPVGCLKPNCMNSYDELLLCIAQGDKDIPEVVPSTKPPKDGLLLIANAYPVEYGHIFLVPSATNQLSFFWDKRMFSLIARIASEVNSAAFRVFFDSCTSTMPDHMFFQACYFANPLPVESASTVAIYHGKATSAVHLYEIIDYPMKALVFTGKDVNTLANFVSEVSLTLHDNNTAYSLLISNNGTKVFLFPQVKNLATGCCLSAWECSGYFIYRAKYDFDRASENEISNRMASVTLQDGAFENLKNLCCAVADDLVM >ORGLA12G0158200.1 pep chromosome:AGI1.1:12:17636445:17637488:1 gene:ORGLA12G0158200 transcript:ORGLA12G0158200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLKVSSLCFLLIMPLLLTSGVFAGTVRTEGCGDTFFSRTYKSLFCRKGPCREHCDNEGADGGYCIFFYLFVRCICNEKCSSK >ORGLA12G0158100.1 pep chromosome:AGI1.1:12:17634685:17635779:1 gene:ORGLA12G0158100 transcript:ORGLA12G0158100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,4-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G13290) TAIR;Acc:AT2G13290] MARASPFPPLTRRKIASLKLLIPCVLVLSVAVIVVTQYFHNISYLLRPLWDTPPKPFIRIPHYYAPNISMPQLCQLHGWGILPTPRRVFDAVLFSNELDILEIRYGELLPYVDRFVILEANATFTGIPKSLSFLENINRFAFAGSKIVYDMLPVMEMDPGSHRQPFHVEAGHRRALNMLLRRSGIAVGDVLIMADADEIPSPETVQLLKWCDGIPQVMHLELKNYMYSFEFPIDYNSWRATAHVFTEHTLYRHSRQSNLLLADAGWHCSFCFKDIKEFAFKMKAYSHADRVKQDSFLNPDRIQRVICNGEDLFDMLPEEYTFKDLFKKMGPIPKSGSAVHLPSYLIKNADKFRFLLPGGCLRPG >ORGLA12G0158000.1 pep chromosome:AGI1.1:12:17614155:17615801:-1 gene:ORGLA12G0158000 transcript:ORGLA12G0158000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:I1R7S4] MASPPTPQPPPPVDVDLGKLSYEIFSLLESNFLFGAGAGGGGGVCSLPGTPGRALLGGKVRVLAIDGCGPGPGDALLAAAALVRLETALREKSGDGDARVADFFDAAAGAGAGGVLAAMLFLKGADGRPRYTAADALAFVAASLGKGGWRGGGGGGGGRRWWGVAALFRRGSSAERSSLRRVFGDATLRDTIAPLLVPCYDLATAAPFLFSRADAVESGSFDFRLRDVCAATCAGGAAATAVRSVDGRTAIAAASGGVAAMGNPTAAAITHVLHNKQEFPLAAGVDDLLVVSIGSGSSSAATPSTAAGWRTPLPSRSPSPAEMVRLTAEGVADMVDQAVAMAFGHTCGRNYVRIQAASPACKTKALSSVDAKKAAAIADGMLTQRNVEAELFRGRRLSEKSNREKLDAFAAELVKEHERRRASPGLPNVVIKQVAAAAAAVTPARLSSATTTSSATATTARTTVSSMPSPAASLDSGRH >ORGLA12G0157900.1 pep chromosome:AGI1.1:12:17604480:17608137:-1 gene:ORGLA12G0157900 transcript:ORGLA12G0157900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSILRRAAPLRRRAVSALAAAVLRREEAAAEVVVSRRATIPAAWFHSSPAWLGFRETGAAGAAARPQYAADEGLFYEEDKRGAKAGGVAAGGAEEGLEVAKLGISPKIVSQLASRGITKLFPIQRAVLEPAMQGKDMVGRAKTGTGKTLAFGIPILDAIIRHNEKNSPGKFPLAIVLAPTRELAKQVEREFSDSSNVETICVYGGTPISQQIRQLNYGVDVVIGTPGRVIDLLKRGALNLSEVRFVVLDEADQMLSVGFDEDVETILDRVPPKRQTLMFSATMPTWIRRLTQKYLKNPVTIDLVGEDDQKLAEGISLYSIASEGHAKPAVLGELIKEHAKGGKCIVFTQTKRDADRLSYTMGRSFQCQALHGDITQAQRERTLKGFREGHFNILIATDVAARGLDIPNVDLVIHFELPNSSELFVHRSGRTGRAGKKGKAIVMHSYQQSRAIRMVENDVGCKFTELPKINVEGSDLMSGGFDSFGGGGFGREGGGSYGRRGSFGSSSSRGGGFGDSGFGRSGGGFGRSGGGGFGRSSGGGFGDSGFGRSGGGGFGDSGFGRSGGGGYGDSGFGSSGGGSGRSGFGRSGGFGDSGSGRFGGGFGNSGSGSFGNFGGNNSGQSGGFGSS >ORGLA12G0157800.1 pep chromosome:AGI1.1:12:17596364:17598669:-1 gene:ORGLA12G0157800 transcript:ORGLA12G0157800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCVYLGKLRNGQKVAIKVLSSESRQGTREFLNELSVISNINHHNLVKLHGCCVDGDQKMLVYNYLENNSLAQSLFGNSHSSIQLDWKTRVKICIGVASGLKYLHEEVRPVIVHRDIKASNILLDKDLSPKISDFGLAKLFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRCHTDPRLPLQDQFLLERAWALYESGDLKSLVDSTLKGVFDTEEAQRLLKIGLLCTQDTPKIRPSMSTIVKMLKGECAIGDKIMRPGLITDVMDLKIRTVEPVQFSASPPKSPSDSNSQVSMLALAGSTVVEESP >ORGLA12G0157700.1 pep chromosome:AGI1.1:12:17590985:17593551:-1 gene:ORGLA12G0157700 transcript:ORGLA12G0157700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHGCRNILLYPRGAPSVCCAVCHAVSSTAPSPGMDIAHLICGGCRTLLMYTRNATSVRCSCCDTVNLVRPVSSIAHLNCGQCQTVLMYPYGAPSVKCAICNFITNTGMNTMRHLPPNGTSYTVPSTSAPTTQSQNVTVVVENPMTVDAKGKLVSNVVVGVTTGGKK >ORGLA12G0157600.1 pep chromosome:AGI1.1:12:17583376:17587904:-1 gene:ORGLA12G0157600 transcript:ORGLA12G0157600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1R7S0] MGEKEPQPMGVGRLLGELSASLAYCCPDDVDQPQRRELVITAVSGDYLYIGFGSWTPSPLYNLPKISAPIIQNLPHIQSLDQLMDLEKGKKPSEQAAACRIMQVKDKLITLQPVVRACVFLATAVAAVIMGLNKQSYTTVVAIVGTRPVTQTFTAKFKDTPAFVFFVIANAIASGYNLMVLVTRRILQRRAQSLSVHLLDMVILTLLATGSATAASMAQLGKNGNLHARWNPICDKFGSFCNHGGIALVSSFIGVALMLALNLLSAAANSPRSNVTGQ >ORGLA12G0157500.1 pep chromosome:AGI1.1:12:17576422:17581508:1 gene:ORGLA12G0157500 transcript:ORGLA12G0157500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMSFLSMVEAELPPGFRFHPRDDELICDYLAPKVAGKVGFSGRRPPMVDVDLNKVEPWDLPEVASVGGKEWYFFSMRDRKYATGQRTNRATVSGYWKATGKDRVVARRGALVGMRKTLVFYQGRAPKGRKTEWVMHEYRMEGVHDQQASSFSSKEDWVLCRVICKRKSGGGATSKSRSLTTTTTTIVHDTSTPTSSPPLPPLMDTTLAQLQASMNTSSSSAIAAVAALEQVPCFSSFSNSIASNNNNSNSATVNAQQCYLPIVTGSNNNGMSYLDHGLAEFGSFLDTQSCDKKMLKAVLSQLNSIGGEVLPGLPPPSEMAAAVSSSWMNHF >ORGLA12G0157400.1 pep chromosome:AGI1.1:12:17566244:17570932:1 gene:ORGLA12G0157400 transcript:ORGLA12G0157400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16650) TAIR;Acc:AT1G16650] MAAATPASGGGGGYSCETAEQTREWMEAISAFLHLHRPLLEAHVVNFFKDRLWEMVDAEWMECLRREPVESLLMLPSGCVQEHWPSSLRDFVLTAKSLVLPREQKSPRSLLPDLHVASINTVLAQGMNSKKKHEIETLAGLVHAITKSCGAKTVIDVGSGQGYLAQALSFEYQLPVVAIDASSHHASVTNTRAERIKKYYAAKCVGKQQLRVPRTVTCHVLSSDTLAAVTLEACQDDHAEHVPESKNFNESSPQIEKPNDSIPPLVLAGLHACGDLSVNMLRLFVSCEQVKALISIGCCYNLLSEECHEDTNTCPGFPMSKAAKLSNLVLRKSTRDLGCQSAERWRSLTKDIALQNFDIHAFRAAFQMFLEKHFPEVSRSSPSIGRQGKALRRQRLRKVMESPMAMAETDALSYSTQKEQIMTKDDPLPTGPNNFKEVHVDFLPELSTGFVDSAVSGAAVVPDDIYLDKSQKFTLFKDFTVSGLGRLGCDFVENVSLLEIWKDVQPFTEFIGPFWCLRVALGPLVETYILLDRLLFLQEQGSVIEASLFPLFNPTMSPRNMAIIAWKLSANPSKV >ORGLA12G0157300.1 pep chromosome:AGI1.1:12:17560463:17561569:-1 gene:ORGLA12G0157300 transcript:ORGLA12G0157300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASRPPPPPPPPPSSAAAAGAAAQWLSPRVSFSLDDAGGGGGVGRAEAVAVAGKGSADFEFLLGCSAVSMLPADELFSGGKLVPLRLPGVAASSSSLAAVDGEAAAAAAAATVVSQSPAALQVEAVAMKEKVVVEAKIPARRWRDLLRLRKQQASSSSSSSSATSSSEPKPLRRLLRRGPKPPEPEPSLSLPLLREPVSVPADEPDTTKHDKPTPPSPSTQQLPPKIRLSPTQAPPPPPPPPPPPPAVAADSPRLNAAGKVVFNGLGRSSSSPSSLAGGRRHHHGRNGGGGIERSYSAHVRVAPVLNVPVCSLRGSRKSVSVFGIDRLFSPSSSSSSAAAASKKGKLAKKEAATTMAAAAAAPQ >ORGLA12G0157200.1 pep chromosome:AGI1.1:12:17547907:17550445:1 gene:ORGLA12G0157200 transcript:ORGLA12G0157200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWNTTSMGDGFAPLGEDDGLVELLWCNGHVVMQSQAPRKPPRPERTTAAAAAMAEDESASWFQYPVDDVLEKDLFTELFGEMTAAGGGGGDVRRAACKEERGAVAAFQSRMMPPPWPARGKAEFGDVDDVCGVSEVVMAAKMDGEAKVAAAEAIGESSMLTIGSSICGSNHVQTPPAGAAPPPVGNGKAAAARRAHDTATVASSSMRSRSCTAKAEPRDVAAAAGGGKRKQRGAAAMESGSPSEDVEFESAAATCSPAQKTTTAKRRRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWMGGGMAPPAVMFPAAGVHQYMQRMGAVGMGPPHMASLPRMPPFMAPPPAAVQSSPVVSMADPYARCLAVDHLQPPSPMHYLQGMSFYQLAAAKNLQQQQNTAEPPPPPPPPAGSLPPAATAQPLTPDDILHKKYGV >ORGLA12G0157100.1 pep chromosome:AGI1.1:12:17542987:17543514:1 gene:ORGLA12G0157100 transcript:ORGLA12G0157100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHHHHHQLRRLAVLAAALCVVVVATAARPLQARRHGEAVEMDVSAAAPSGDGAVREGKWLPLLPAGGGLMHFPVVGGVSMPWMAGAPPAMAGPGVQLVPPYVGATRQEQLSLWASLFNPFQVRPRLPAAAAETTSPAVPVDIPAIAGVSPEKTTVDEPAAGEPKWGVFFGNNN >ORGLA12G0157000.1 pep chromosome:AGI1.1:12:17536622:17537302:-1 gene:ORGLA12G0157000 transcript:ORGLA12G0157000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAAARFMPWSPLGAAFAARYGVRRFTFAGYLRLCVARAAGRAAELALPPLLGAPDLDLVSLRCTELRRVALPALSAADDARLPGLVARWRRLEHLELEHRPASFPATAARVGAGCPGFSSLKMAGAIRDVDAAAMAASLPRLKRLCLDGCYLPRHELLAVIHGCLELESLSAKHCVGFDEGDEEVAREAAMIGRLEVGGSRLVDKFDQRDVDGLDDDTSSYVDVM >ORGLA12G0156900.1 pep chromosome:AGI1.1:12:17530073:17535617:1 gene:ORGLA12G0156900 transcript:ORGLA12G0156900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGGQSYVSAPPAFSADGRLLLACTGRTVSVFSASTGMLVSELEGHEGDVTAVVVAPPPVAAAAKLASYCWTAGLDGFLIYWDFAAAELVRKVQVGLPVHSMVIPNIARISRGAEIYTPFAFVSVEDTSKPSNEKLRGQLRIYDLTKGRQVGSLLAETRKPEMIVASSSGEFLGITNKRKLHVWRIPTKNFKPDKIRKIKLSHTKNLNTLAFHPSERIVASGDATGRILIWRGFGNAKFSGSDGTKSELDEDRGDVRGNGDADTCTTWHWHSSGVRFLKFSTDGAYLFSGGMEGVIVVWQLDTGKRRYKPRLGSPLLSFVDSPDSSIACVSCMNNQVHLLKMPNMEVMKSIAGIKLPISSPNLGGCYRDVYGFDYSNKLVAVPTEDYCIQFYDLFENTEVSEVQVCERNFQPVDDITMYISLVSLSIDGKFMCTVDVKLPEEELGGLVTLKFWNQGSRAGQYFLSTVIYEPHSDAGISAIAFRPGKNMAVSSSFGGNFKVWVQSMLSQPSDEKNQSGWRCQSVGSYKNKPMTAATFSSDGSVLAVAAENVVTLWDPDNNTLVGVIAEALSPITKLSFIGTSPFLMSLSQSSKPQVAMWNVPNLSMQWSYSLFAEAACCSSSRSEFAVLALLSCPDGETLAEQDGVILLFDAENPKPVSSWSVKKARGGSVAFLKGDSSLDANRKDRIDGEASSLVYVNGSHEYVIFDPRSNEELHIGKSAHKNIQTEEPGPIGYASIFGELPKLESKKDVPEILFIPSERPWETIFSGSTHVLPPLTKLCPAFLASLLEKRPVANE >ORGLA12G0156800.1 pep chromosome:AGI1.1:12:17524699:17524899:-1 gene:ORGLA12G0156800 transcript:ORGLA12G0156800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLVVGLWCAHPDRGLRPSIRQAVSVLRFEAPLPSLPAKMPVATYGPPVSTASAPTSIDTSAGR >ORGLA12G0156700.1 pep chromosome:AGI1.1:12:17520456:17522513:-1 gene:ORGLA12G0156700 transcript:ORGLA12G0156700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLVIFLLFLAVTGSLCETAVGHHNLNLPYQPSCSTTGNYTDDSQYKKNLDQLFTTLSAGAIAGDWFNTSSVGTGADQVFGLIMCYADSNATECQKCLSMAPAVVQHPCRGSRSVNANYDACLLRYSDEPFFSELTTYGGNTNVSLDVDDTNLYVENMTAMNDTRWQLMSQLAERAGDTKLRLDNGSLPYVDSKLGTSALYGLAQCTRDLAASECRRCLSCYVDDLSKTFPNNSGGAIKGYSCYLRYQLWPIDITLPPPLLSRPPSSPAPPPPPPPSVSIGLVAGSTVGAVSFVVVLGVSIWLLLRQRRKRAGEAREQEMDEGDFFDDEADDFEKGTGPKRFRYGVLAIATDDFSDKHKLGEGGFGSVYRGFLKEMNQHVAIKKVSKSSKQGRKEYASEVQIISRLRHRNLVQLIGWCHGGGELLLVYELMPNASLDTHLYSANAGVLPWPLRHEIVLGVGSALLYLHEGWEQCVVHRDIKPSNIMLDTAFNAKLGDFGLARLVDHGRGSHTTVIAGTMGYMDPESMITGRANTESDIYSFGIVLLEIACGRPPVMAPEHQAEKGQDMIHLVQWVWDLYGKGRILDAADHRLDGEFNGGEMERVMIVGLWCAHPDRSVRPAIRQAVSVLRGEAPPRSLPARMPVATFLPPIDAFSYTSSAVTGSSTSSGNIGVPHTQTSSLLR >ORGLA12G0156600.1 pep chromosome:AGI1.1:12:17516742:17517335:-1 gene:ORGLA12G0156600 transcript:ORGLA12G0156600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVASAAVAQSNEIDQWFRIDCSTTGNYTRGSQFEKNLNQLLANLSAGAIAGDWFNTNSVGTGPDQVFALIMCYADVGDATRCKECLARAPAGVRQECPGSRAVTASNDACLLRYSDKPFFSPVDVTYNASTNISYTKAGDQIVVQNMATMNNTRWQLLSMLAERAGDNTLRIDNRSEPYVDSLLGTSAMYGLAQCTRD >ORGLA12G0156500.1 pep chromosome:AGI1.1:12:17510833:17512281:-1 gene:ORGLA12G0156500 transcript:ORGLA12G0156500.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTRDLAGSECQKCLKRYVDDLGQYYTNQSAGNIKGYSCYLRFDLWPFNITLPPAPSPLSSSPPPPIPATPVPPPSVSAGLVAGLTVSAISFLVVLGFSVRFVLRRRRKHAGLAKEQEMEEGGIFDDETAMEDDFEKGTGPKRFRFGELAIATDDFSDEHKLGEGGFGSVYRGFLKELNLDVAIKRVSKSSKQGRKEYESEVRIISRLRHRNLVQLIGWCHGGGELLLVYELMPNASLDTHLYKASAGVLPWPLRHEIVLGIGSALLYLHEEWEQCVVHRDIKPSNIMLDAAFNAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMITGRANAESDVYSFGVLLLEIACGRRPIMADHQSEVDEDRIHLAQWVWDLYGKGRILDAADRRLTGEFDGGEMERVMVVGLWCAHPDRSVRPVIRQAISVLRGEAPPPSLPARMPVATFLPPIDAFGYTSSLAVTGSSSGSTGAPHTETSSLLR >ORGLA12G0156400.1 pep chromosome:AGI1.1:12:17502487:17507016:-1 gene:ORGLA12G0156400 transcript:ORGLA12G0156400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfurtransferase [Source:UniProtKB/TrEMBL;Acc:I1R7Q8] MAATLLSRAAAAAAVSLRGARSHHILSSSLPKETLLPPPLLLILLTTTIASLLAVGGRVGWARAAEEGAGFGCRASVPAALGGVGSFGIAARCNATSSSAVSEATNALPRTEPVVSAEWLHANLKDPDVKVLDASWYMPAEQRNPLQEYQVAHIPGALFFDVDGISDRTSSLPHMLPSEKAFSAAVSSLGIYNKDGIVVYDGKGLFSAARVWWMFRVFGHDKVWVLDGGLPQWRASGYDVESSASSDAILKASAAREAIEKVYQGQLVGPSTFEAKLQPHLIWNLDQVKENIDAKTHQLIDARGKPRFDGAVPEPRKGIRSGHVPGSKCVPFPQLLDSSQKLLPPEELRKRFEQEGISLDQPLVTSCGTGVTACILALGLHRLGKTDVPVYDGSWTEWGAHPDTPVATAA >ORGLA12G0156300.1 pep chromosome:AGI1.1:12:17499961:17502024:1 gene:ORGLA12G0156300 transcript:ORGLA12G0156300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHLVAVAVVIGSLCVAAVGQGNNIVLPFAPSCSTAGNYTGDSQYKKNLDQLFTTLSAGAIAGDWFNTSSVGTGADQVFGLIMCYADRNSTQCQECLAGAPAGIVQVCPGSRTADANYDACLLRYSDKSFFSELTYGADPTIAWNVYFTPFVDNMTTMNDTRRRLMSQLAERAGDTKLRLDNGSLPYADSKLGTSALYGLAQCTRDLAASECRRCLSGYVDDLSNTFPNNSGGAIKGYSCYLRYHLWPIDITLPPPPLPPSSRPPSSSPAPPSPPPSVSGGLVAGSTVGAVSFLVVLGVSIWLLLRRWQKRAGEARELEMDEGDFFDDEADDFEKGTGPKRFHYGELAIATDDFSDEHKLGEGGFGSVYRGFLKELNLDVAIKRVSKSSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGELLLVYELMPNASLDTHLYSANAGVLPWPLRHEIVLGIGSALLYLHEEWEQCVVHRDIKPSNIMLDAAFNAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMITGRANAESDVYSFGVVLLEIACGRRPIMADHQSEVDEDRIHIAQWVWDLYGNGRILDATDRRLNGEFDGGEMEAVMVVGLWCAHPDRSLRPTIRQAVGVLRGEAPPPSLPARMPVATFLPPVDAFNHTSSSVATGSSSASTDTTRSSRTTETSSLLK >ORGLA12G0156200.1 pep chromosome:AGI1.1:12:17494001:17494589:1 gene:ORGLA12G0156200 transcript:ORGLA12G0156200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSHHDRYGVATDDENNEGATKDHLGDCKDNARTTTDSEEHDETIEYPEMATSSDEDASDSEEEAGMSTDSEDDSSYNPPKIANTASILEDSSHRHGSIYKGNSRWKKIYRIADLEESLGSSCSILKSLAKIATN >ORGLA12G0156100.1 pep chromosome:AGI1.1:12:17488135:17489900:1 gene:ORGLA12G0156100 transcript:ORGLA12G0156100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAYGSGKEDSPHCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >ORGLA12G0156000.1 pep chromosome:AGI1.1:12:17485663:17486674:1 gene:ORGLA12G0156000 transcript:ORGLA12G0156000.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKLEAQAYVELLDECNISIPAWFSFNSKDGVHIVSGDSLIECATIANGCSKVGAVGINCTPPRFIHGLILSIRKVTDKPILIYPNSGERYDAEKKEWVESTGVSDGDFVSYVNEWCKDGAVLIGGCCRTTPNTIKAISRSLNQRHSSLHLPVA >ORGLA12G0155900.1 pep chromosome:AGI1.1:12:17484397:17485046:1 gene:ORGLA12G0155900 transcript:ORGLA12G0155900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSGGGGGAAEEDGAAAVRRWVEAGGGRLVMDGGLATELEANGADLNDPLWSAKCLLSSSHLIRKSIRPKKPT >ORGLA12G0155800.1 pep chromosome:AGI1.1:12:17477288:17480083:1 gene:ORGLA12G0155800 transcript:ORGLA12G0155800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQDVVLLDDEDVQPEGQVDCRMHDRRNETMIYYPSRDDPEAVELSSSDIKCLDPGVYLSSPVINFYIQYMKRTKLHDDDCREKFYIFNTYFYSKLEEALLGKGEFLKLRRWWKGVNIYHTSYIILPIHGTAHWSLIIICIPSKESNSGPIILHLDSLELHSSAKIFDTVRRYLEAEWCHLRKNPPPDISISETIWDDLPSNIQKEKVQVPQQKNEYDCGIFMLYYIERFIRLAPERFTRDNLSMFSRSWFQPEDASDLRQRIRELLLEEFESARLDEALSEADTSDRSDNEEDATKSAESEQAAAAAPGSGSSEMIVEGGDTGISNEDFKGVAASKEASSSICRSADNLAGCVLLEEATLSDSVMKDEEDTTKADPVSSQDEQEVAVLSPGAWKNSEENTHKQPQPDICCDSSDSEMDDVKIIEDPYQRTNKQNCRIF >ORGLA12G0155700.1 pep chromosome:AGI1.1:12:17475830:17476156:1 gene:ORGLA12G0155700 transcript:ORGLA12G0155700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEIDWEEVMPTTTAASSSHGDDVEVRFVSTSTRSSVAQRLRPRGARTEEEAEIVRMRDDELRREMAFAGRLRPRFEGILCDGGEGNRRRRALLEAEARRRGIGPAAK >ORGLA12G0155600.1 pep chromosome:AGI1.1:12:17467987:17468329:1 gene:ORGLA12G0155600 transcript:ORGLA12G0155600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:I1R7Q0] NSTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPR >ORGLA12G0155500.1 pep chromosome:AGI1.1:12:17462626:17467628:1 gene:ORGLA12G0155500 transcript:ORGLA12G0155500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:I1R7P9] FGSRVDPPMVLPQQNAGLMXADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFQVLQGFDTVLLYWDKTAPGYCDKAGVYVSHLSQTSLRAVLKPFLFAATCLKQVELFVGRRLRKAALKEEEQLFLSVDRTITLLGLTDSTSSLCSGAEHLYQVVQGAVPDAFWNSGAQMASSEVAVHAVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPYEXMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVVHEFNVDQHGNYISQQKFRPDTSSIRIQDKCEDIIEESAGQFGNNACKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADHAPVNKSIVHSKSNVQETEEACGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTAVESHFNLSCYENPGITACQEILERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTSPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLESLYTFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDQIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNPWDDDFELNTLLQESIRNSADKMLLTAPDSLVVSLAKHDTRNDEETTSISRKGRAQGFGIEALDVLSFTYKVSWPLDLIVNTEALKKYNQVMAFLLKVKRAKFILDETRKWTWKGGGSTTHNFKQHLIVEQKLLHFVDAFHQYVMDR >ORGLA12G0155400.1 pep chromosome:AGI1.1:12:17454442:17457144:1 gene:ORGLA12G0155400 transcript:ORGLA12G0155400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCTMVPADLVEEKAAAAAVEEDAGSYLRADQVDLMSLDFEIEERMADRFRKLNSGGVERGDEGPKAAWEIDLSKLEIGHVVEHGDHGTLFRGKYYGQDVAVKLLDWGAEGDSSEDQIAHFRTSLKEVVVVWHEFNHPNITKFIGASMGTTNLNIPKDIPDHSSRKGARTDLPDRACCVVVEYLTGGTLKQHLIKHYRKNKKLPYEEVVRLALDLARGLSFLHSKKIVHRDVKSENMLLDPQLNLKIADFGVARLVEAQDPKDLTRTTGTLGYMAPEVLDGKPYNRKCDVYSFGICLWETYCCDMPYGPYSDLSFADFSSFVVHKNLRPEIPDCCPSAMASIMRRCWDANPEVRPEMEEVVRLLESLDTSNGGGMVLEKKKRKQPGGGCFCFFVPRAA >ORGLA12G0155300.1 pep chromosome:AGI1.1:12:17447650:17452745:1 gene:ORGLA12G0155300 transcript:ORGLA12G0155300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methylcrotonyl-CoA carboxylase alpha chain, mitochondrial / 3-methylcrotonyl-CoA carboxylase 1 (MCCA) [Source:Projected from Arabidopsis thaliana (AT1G03090) TAIR;Acc:AT1G03090] MASRLLLLPRRRSRHGGASLLLARLLSSSSSEAVGGGAVEKVLVANRGEIACRVMRTARRLGIPTVAVYSDADRGALHVRAADEAVRLGPPPARESYLNASAIVDAALRTGAKAIHPGYGFLSESADFAQLCKAEGLTFIGPPPSAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKLEANKIGYPVLIKPTHGGGGKGMRIVQRPEDFVDSVLSAQREAAASFGINTLLVEKYITQPRHIEVQIFGDQHGNVIHLYERDCSLQRRHQKIIEEAPAPNVTAQFRSHIGEAAVSAAKAVGYYSAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRIANGECLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSTATVRVETGVEEGDTVSMHYDPMIAKLVVWGESRNAALVKLKNSLSNFQIAGLPTNVGFLQELAGHSAFEKGLVDTHFIERYQNDLLSTSTQALSGSHEAEELGAILAAACICKKDHVSSEVSLHDKKLSMWYAHPPFRMHHFAKRLMEFELDRELGGSSDDLLKLSVTYRSDGTYFVETEDGSSPGLDVKVDSRGDHDFRVDVDGLQTDVTLAFYSKDNCNHIHIWHGKHHHHYRQTLRAEQSPDDSSQPSASSEARSHPKGSVLAPMAGLVVKVLLKDGARVEEGQPVMVMEAMKMEHVVKAPCAGYVEGLKATAGQQVFDSSVLFTVKENKPN >ORGLA12G0155200.1 pep chromosome:AGI1.1:12:17436410:17442831:-1 gene:ORGLA12G0155200 transcript:ORGLA12G0155200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDRPPRAAAAAAAAMKNLAIYSLIRFLCLQDSPVFNFINSLSPIPPPKPSDSAHNVQLFKSSDLAPVSSIFASPHVNPAKESKLPIREDSVQLSRESHSPNSVRTRTGATSSIIRMIRCKNIVSENCSITCYLNDSTSSKASQPIQLCGGCAESDTNQNTDGKKDPTTEQDRTDIEFVLLDQCGPEKMDSSQSGNNACENQLSEQHKDELGSFDGGYMFAHQPHSDMLRLAPPFESETQLVNETLQTDNVYCETLLTDGPSGSYTQNSAPDPHLYWAGAVEGCATDYTPQMLPGACQSQLVPNDQINNKLNEPSDYMPMDHNVSSQNLRGMRRRCLFNEKSGAANKGAKNSSARHSTNSTTPRRKISSSDNNLKTLRTPPCALPGIGLHLNALATVPKDKMVPHNDIQSSLNQASNVPSAVGSSPPTDDPHTIYDDSSQTAVVAYVGESSQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPSNMETVLSTREQIESRNPLAFAPKVIRTEPGQELADDSNKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSMSCRCEGCKNAFGRREGVALLGIEEAKHGCEEKDGGVKEETTDNDKQLVIYQDSINLTPAESVLATPSVVDYRPLVALPPLSSKKPRSSTKLGGYSSRLEGHLKSDILLSPFESYAEMMLGDGTSNVLKGESSPQTSVKVVSPNKKRVSPPRIGTGLSPICKSGRKLILKSIPSFPSLGGDITNEDPNTSSLAP >ORGLA12G0155100.1 pep chromosome:AGI1.1:12:17433135:17434882:-1 gene:ORGLA12G0155100 transcript:ORGLA12G0155100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDPSAVVVPRNFRLLEELERGEKGIGDGSVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPDRPPTVKFHSRINMTCVNPENGLVDQRKFSLLSNWRREYTMEAILTQLKKEMAASHNRKLVQPPEGTFF >ORGLA12G0155000.1 pep chromosome:AGI1.1:12:17427864:17431584:-1 gene:ORGLA12G0155000 transcript:ORGLA12G0155000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEDPAKPPPPPPPQLEASGSDPDDPLLRDRVVVVAPPPPPPPPPPPPAPAPALLAPAENASAEAAFAVPPAAIAAVVEANGNSEKKKKRKTDEGEGCKSCSCKKSKCLKLYCVCFASGSHCSESCGCDPCYNKSIHGVPRSTPALPLKAVQTLETGQDSVEQLIRSPMDFGRRKCTCKKSGCLKKYCDCYQGGAGCSINCKCDDCKNPYGRKVGVILDGKNILAGPTPNERNGTEADSSDDEEDDYYMNRPLSPVSPSPVSRESSFQQETLVGVEVQTMNGHLYPKPLTQVRPESWQLTRRPTEEVRGEAWHYLRRPSEDGTSDVMEGHADPKFQRDNKQLENHVDRYSIPRCIEVMNAMADLSPIEKSLAPDVFLDPSNREIFLSLTVDIRTMWLKRKMKSLV >ORGLA12G0154900.1 pep chromosome:AGI1.1:12:17409718:17416320:-1 gene:ORGLA12G0154900 transcript:ORGLA12G0154900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMSPTNPMRKYSWWWDSHISPKNSKWLQENLTDMDSKIKRMIKIIDEDADSFARRAEMYYRRRPELMSLLEELYRAYRALAERHDHAAGELRSAQRKMAEAFPDEFQLDLDDDLPAETLSTETEADSRDMTPFFLSFINSGDSKKRAKDDQEHEKLQKEISSLSQENQELKKKISSVLENSDRAESEVASLKEALAQQEAEKEAAFSQCQQSSDRLQALKSEILQTQEEFKRLKEEMQNGLENLSTAEERCLLLERANQNLYSELDKLKNDSKERHGELNEKHVELEKLSISIQEEQLKSMQAEMTRLSLEKQLAQAKEKLRILTLEKNGEASKFNDIEASKVRLQNDLDKIREENRKLEEQNNSSISAIIRLQDEVISLKNAQRLLEEEVSRHVEEKKVLQYELSQLKDDKGDSERKHFSIKEQIQVVNFNVESLQALAQEVRDGNVELKETIKHHEGVKALYVDNLMQLERTLERNAHLERSLSAATTEVEELREKKVALEESCKHLNSKINGFQSERSMFIARIEGISHTMEKLSEKNVFLENLLSENNTELEILRRKLNDSEESTHALLNQNSVLRSEKRTLVREVDSMNGALLNLEAQFTELEGHHLDLQQEKNKASSEVIMLQEMLRLEREAHKELNYSGKTQFSAVQKQLSFLLEEGRRRENQLQDEEHKIVEAQMEIFVLQKCLGDMAEANSDVSGQLQKQKELCEIQEEKLTFLTENNQRLTEGIGSVMEELHLDDKYGSLDLMKLDVIVQLILHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSVLRQEWQAKSEELLQLQNERHDLMKISCELRKEMEARNRRVEEMKGEAKFLVRQLSELQESRQSLQAEVIKLIEENSSLSGKLYDSREKEKTSDDDFNTLLGEAISTDILGVVFKSLHDERTSQLQSLHEDFGSLHAAGNELYQEIKLMNKKLGDLQLENNYLEKELSKTMSICDSSGSEIGAGRRRTMRRDTKLLKSGRKSQQESTVNIEQRKEIDHAGLEKSNELLREKLHKLQSEVQALRSKEQPVIDVKSCDAEITKLLTNMQMATANAALFKEKVLELIASCESFEISEMVQKEVLKEEITRRNSYVNALKDKLNAVEIENSRLKVDLNGDFTLLGALQTEVSALEKQTMSLAKDCLPSNKLRMEEFSVSPQLSKIAVKPIHGEPNATKMVKDMELEKLHGTIKALQKVVTDTGVVLEQERLDFNANLLDARRQIDLLRLRDDMAAAVDDSDAASDPAAAAYDRRLLKDIQLDLVQTTTPTSRSRAATATATAAASSQRHHRRRNGGSTEAPPLGLWSVVRASRRRQQEEGGDGDDDDLRPPQSEASAERGRRSCSSEVSQLTVVKDLSVDKQELLLPPRPPPPAMVEAPHREWKKKVIERLTADAQRLVDLQSIVGELRASAEAAPELDDVTAQMVDAESAVAQLIDTNGKLLRKAEEFTSADAAGGAAGDDLRSRSQRKILERVRKMSEKIARLEQETQRFQHALLRHEEERATRRAAAAAAAAASSGKSSAAVQRRSSRVQLVEYLYGRRHDSRRQRRGPSCCMRAKAIDD >ORGLA12G0154800.1 pep chromosome:AGI1.1:12:17406876:17408025:-1 gene:ORGLA12G0154800 transcript:ORGLA12G0154800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRAAVPLQPEADLVLATGGGERGQVGLVLVDGSNGFCTVGTGNLLTCGSHNFLLFSQDPIAT >ORGLA12G0154700.1 pep chromosome:AGI1.1:12:17402483:17406518:-1 gene:ORGLA12G0154700 transcript:ORGLA12G0154700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04190) TAIR;Acc:AT1G04190] MAEGGGGGGGSAASAALKDQGNEQFKAGNYLKAAALYTQAIKLDPDNPTLYSNRAAAFLHLVKLNKALADAETTIKLKPQWEKGHFRKGCVLESMEQYEEAISSFQIALQHNPQNTEVSRKIKRLTQLAREKKRALDVENMRSNVDVVKNLDSLKTELAGKYGDAELGQSIFTFVVNVIESAIKVWHDTGKVDARVNFLLDDQKTDTEKHAPVVNIDKAFDSPHTHSSCFTFLRQYSEESFSKAACMVAPKSAISYPQVWKGQGSRKWKLDQSDGFFVQFESPILRKIWFVPSTTEKGRVLCRSPELLDISIHEVLPRIFKETA >ORGLA12G0154600.1 pep chromosome:AGI1.1:12:17391987:17395437:-1 gene:ORGLA12G0154600 transcript:ORGLA12G0154600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVLEQIGKGAFGSALLVRHKLEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEAIKRANGTYFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFIARDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALINKITKSIVSPLPTKYSGAFRGLIKSMLRKSPEHRPSAAQLLKHPQLQPYVLQVQLKSSPTRNILPIHQSLTDKVKKMTFPSDVVDSARRRMARRNSLGNERTVTFSKPSPERNSVSSTRSIKEYTTTQSVKELSVDSSEAGDEVTSKAIITKTSSILRTPKSLPAKTYTARNQLEPPKTSYTRTYRSELPSKTTPNKIARPARRASLPLSTYETPTKRSISILEQLDSPDVSVNAPRIDRIAEFPLASSEDPLLPIHNKLSPGHGSCSTPPFINRSITKDKCTIQVLRTDGDNGSDSSGRNATAASSRGSNDSRQQRFDTSSFQQRAEALEGLLEFSAQLLQQERYEELGILLKPFGPEKASPRETAIWLTKSFKETAS >ORGLA12G0154500.1 pep chromosome:AGI1.1:12:17382279:17390053:1 gene:ORGLA12G0154500 transcript:ORGLA12G0154500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT HAIR DEFECTIVE 3 homolog [Source:UniProtKB/TrEMBL;Acc:I1R7N9] MDEAAAAEAVQLIDGEGEFAADSAERFMAAAGVAGCGLSYAVVSIMGPQSSGKSTLLNQLFGTNFREMDAFRGRSQTTKGIWIARCVGVEPCTVVMDLEGTDGRERGEDDTAFEKQSSLFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLEHLEPVLREDIQKIWNSVAKPEAHKDTPISEFFNVQVTALPSFEEKEEQFREQVQQLRQRFSNSIAPGGLAGDRRGVIPASGFLFSSQQIWKVIRENKDLDLPAHKVMVATVRCDEIAHEKFSCLTSDAEWMELESDVQSGPVPGFGKKLGYIVDVHMQEYDKEAIYFDEAVRTAKRQLLKSRVLNLVQPAFQKMLAHLRTRALEKYKTELNLTLESGKGFAAAVRDTTESNLNEFDQGCADAVIEQADWDYSKILEKVRRDVEDHTLSIREGKLSELTNHAKEKLRKALVEPVESLFDAAGPSTWASIRNLFKRETEAILPEFQKNLAGFEMESATSEGMVSKLRDYARSIVENKAKEEAGKVLMHMKERFTTVFSHDKDSIPRVWTGKEDVRAIAKDARSAALKLLSVLAAIRWDEKPDKIEKILTSTLLDGSVTSKSKGASASSDPLASTTWEEVSPKYTLITPSQCKSLWKQFKAETEFAITQAVSTQQAHKRGNGRLPPPWAMVAIAVLGFNEIMTLLRNPIYLFLLFVGYLLVKALAVQLDINREFQNGVVPGIISVTAKLIPTLQNILNKVATEQQQQQGHHQDAAAEAPQQQQPPPLLLSPRSPMSELRRPLHMPFSPVRKAVSPSPSSSSSTVTSPRNAGEDQKPRQMVQPDNESNNAYSIV >ORGLA12G0154400.1 pep chromosome:AGI1.1:12:17378580:17380065:1 gene:ORGLA12G0154400 transcript:ORGLA12G0154400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVLGTEFAVLQIYLTECMCKKKKKKSKMGKAGRWLRSFLTGDKKDRKGKDGGQPPPPPSPAPPSAKEKRRWSFRRPPAQATTNTSSLCFSDVHAVSPAPEAESSAAADVAEENEAAAAAAVRIQAAFRSYLARKALCALRGMVKLQAMVRGQLVRRQASTTLRRMQALVAAQRRARAERLRLLDDDKDKHARSPRPPTTTSRRSSPQHHRSRKPLEVVDRGSEENVRVVEVDNGGGGGGAARGCGRRSTCGAAAKGELYQKVSPTPSALTEASARTMSGRLDDYSFSAAASEASGRHHRVPAAAVAGGDHAAALQQLFPKNYMSNTESSRAKARSQSAPRQRHDQPISAAASPSPSPSCGEWTTTPGDRRRRASLDPRDLAAPPPPPASASARAWSG >ORGLA12G0154300.1 pep chromosome:AGI1.1:12:17361871:17367937:-1 gene:ORGLA12G0154300 transcript:ORGLA12G0154300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGRVTAGGGRGELRPPELPRDPALEFLSRSWSASGAADVSRRALAAAAFPAAAASAAVIAEDVSGELDVDGSASGSSFSFASAATSQLIMDRIMSQSEVSPLTSGRLSHSSGPLNGGGSLSDSPPVSPDVDDSKFCRAVSTPKPQPYRGVVGGGGKTVGRWLKEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAARTDMAVASAATLVAAQCVEAAESLGAERDHLAAAVASAVNVRTPGDIVTITAAAATALRGAATLKARALKDVWNVAAVIPVEKNAIAAATTGGGHHKHNAQKQQHHHRHHGNGSNTSSSFSDEVAAVDDDDDDDNNFLTICSQELLARGTELLKRTRKGALHWKVVSVYIHRTGVVMLKMKSRHVAGTLTKKKKNVVVDVCRDLAAWPGRHLLEGGEHRRYFGLRTAEHRVIEFECGSQREHDMWTKGVARLLATIDGRRKRFA >ORGLA12G0154200.1 pep chromosome:AGI1.1:12:17357189:17358247:-1 gene:ORGLA12G0154200 transcript:ORGLA12G0154200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPTWATQVHLGSPSSSSRLTLVLAAERRHENADGQSGGGGGSGRPRAERRRRWPEKEEAQEEVAGGATAGRGRRRWPEEEEAEKEVARERANKHGILFLQLWQGSSGTKQPNRPIIRITNLNI >ORGLA12G0154100.1 pep chromosome:AGI1.1:12:17339547:17339807:1 gene:ORGLA12G0154100 transcript:ORGLA12G0154100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGTRRKEEGGTIVYACGQSPSCCHLDLERGRAEGIKKMVVARSRGSHMNEEEQMKQKPQLPSSLPSTLRRAPFARMRTPSAMYL >ORGLA12G0154000.1 pep chromosome:AGI1.1:12:17336065:17336565:1 gene:ORGLA12G0154000 transcript:ORGLA12G0154000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVRVRQEQVAEFREAFAFFDKDGDGCITLEELDTVVRSLGQTPTREELAEMIRDVDVDGNGTIEFAEFLALMARKASRGGENGGGGDDSGDAADEELREAFKVFDKDQDGLISAAELRHVMISLGEKLTDEEVEQMIREADLDGDGQVNFDEFVRMMMLSDQ >ORGLA12G0153900.1 pep chromosome:AGI1.1:12:17300972:17301359:1 gene:ORGLA12G0153900 transcript:ORGLA12G0153900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADLGRIEGVTAEVREERDKWRMSKKRENSSGCWKGGGVAMEVGVTVMVPMKKVAVSAAPLVALWMVDIWSWTQR >ORGLA12G0153800.1 pep chromosome:AGI1.1:12:17241141:17243219:1 gene:ORGLA12G0153800 transcript:ORGLA12G0153800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTVKLGGWSSYCYCPTTTRRSKPAAVKMMMAPCPTSFRIELPRRTIRAAILNKRHTVPCYQRQEGASSPAPQIEAKSMEEVYDALAEHLFSVLKNIEHLDSKYIVGIAGPPGAGKSTVASEVVQRVNKRWSQKHENGSSLISTEEIATMLPMDGFHLYRSQLDAMEDPKEAHARRGAPWTFDPSRFLKCLQTLREEVLPVSL >ORGLA12G0153700.1 pep chromosome:AGI1.1:12:17234796:17237495:1 gene:ORGLA12G0153700 transcript:ORGLA12G0153700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGLWVDLVFLHVNLVVDMNCVLQKASKMQFIHDIDATMLACVAFSCPNLQYLEISMVGSAANRMTGDELTRFVSEKRSLSVLKLDGCSNLSFLNISSSSLSTLWLSDLSSLLKSVINCPNLNELSLGFTQQNNDSTDLISLMDSLGRTCSNLRNLHISSIHLCNEAVFALESANLRGLCMLSLILGSKITDAAVASIVRSYASLDLLDLSGSSITDNGLGMICKAFPHTLTRLLLALCPNITSCGVQVATSQLPLLQLMDCGKSLCANSQPEAERSYFGEIYGGIKFCSKLPIQRKQQPNYQKLIIKHASLKKLSLWGCSALDALYVNCPELSDLNLNCCTNLHPGAMSLPENADAIFLQCPSLKDVHASGCRDMLIGAIRNQVLNEFASAEPRVPCKRLADGSKRVQVPHFMLEQQFEEEKWGSGLKQSQCTVHLS >ORGLA12G0153600.1 pep chromosome:AGI1.1:12:17214649:17215662:-1 gene:ORGLA12G0153600 transcript:ORGLA12G0153600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFAAAMKSPLPVAASAAAATGGDGKSPLFCPKPRRPVAPLRCHHDMDLLDLLLSKGEETLFCGSPPRRASNPVVHDSRFGLDCPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVEGFDCLDGGRGGRGHGITAMA >ORGLA12G0153500.1 pep chromosome:AGI1.1:12:17205073:17209277:1 gene:ORGLA12G0153500 transcript:ORGLA12G0153500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] VLQVVNYVLVFRFDMYGLLGLPFFLIXGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGRGYPTFRGQVLNGSQLWDLIEGLAENDLLHYTHLLTGYIGSVSFLTTVLQVVDKLRSVNPDLVYVCDPVLGDEGKLYVPQDLISVYQQKVVPVATMLTPNQFEVELLTGLRITCEEDGLKACNTLHSAGPRKVVITSALIEDKLLLIGSHEKAKEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLERAAELAVSSLQALLRRTVEDYKRAGFDPSTSSLEIRLIQSQDEIRSPQITCKAVKYS >ORGLA12G0153400.1 pep chromosome:AGI1.1:12:17194298:17197969:-1 gene:ORGLA12G0153400 transcript:ORGLA12G0153400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYAPIYLLTLSCLHYAVCRKCIYKKINDEELEHCPVCKIDLGCAPLEKLRADHNIQDVRSKIFPLKRKKVNAEEVESPIAPPAKRKERSISSLVVNTPEITPKSLTGRRTRASTRKSAAALRDLGPIIPPVKKDSDNTNKNADNSSLLDSLSKVPQTRRQVLSNAETSSHPSSKDKGGDDKDLDKSELWRPLNCLVEAASKTKSYRSSSAARGNQPTESPSSANASRTKAREYLLKSKVQDEKKEVPVATVPFKRKGPGRGRKPAQPPATAVSSPSASKHEKLLTPVWFSLIASFDQKGAPPLPQIPTHYLRIKDDNMPASSIQKYIMQKLSLPSETEVEISCCGQPVNPIQPLRNLIERWLRFGPARTLQTVVGSSGGDYVMVISYGRPKAA >ORGLA12G0153300.1 pep chromosome:AGI1.1:12:17188681:17192624:1 gene:ORGLA12G0153300 transcript:ORGLA12G0153300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPDVALQAAQDGNLRLLRKMAKKLDLRGVKDKNGLSALHFAASHGHLDCCKFLVEESGLDVNSVGHKGETPVFYAAIDGNVQVLGYLLDHGGDPVKPEERGCTPLHNAAENGHDEAVRLLLSKGVHVDPLNYRGAPLHLAASKDRVQAMKVLLEHGADPNRVVNHIFSPLMMACCGHSLKCVKLLVEAGADVNGAGADPNIPDEEGRIPIMVAAARGQRELVEILFPRTKPIPCLPDWNVDGIIRTMRTTRIEPQSAIPVEEQVSDAKSKGKEAFAKGDYLTAIYFYTLAMDKSPLDATLFANRSLCWLRQREGDRALLDAQQCRMLRPGWSKAWYREGAALSFMKDYKGAVDAFGEALKLDPMSDEVKNALREAIECLKRAA >ORGLA12G0153200.1 pep chromosome:AGI1.1:12:17187864:17188244:1 gene:ORGLA12G0153200 transcript:ORGLA12G0153200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGASYARDTPEHAAPPPVVEADAEQRMTTWTQLSCPTSASGCSASLQRNVAMLASTSGGNCSEMMVVTCPLRRPTCSCVVTTAAASPVAGHHRRSSSPASPRRLPPQHAGQPAQEKMERRGRDR >ORGLA12G0153100.1 pep chromosome:AGI1.1:12:17185883:17186420:1 gene:ORGLA12G0153100 transcript:ORGLA12G0153100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALEKAPLDATLFANRSLCWLRLREGDVALLDARRCKALRPGWSKAWYREGAALSLLKNYREAAAAFTEALKLDPENYEIKNALREALECRKGAARSEEDKNP >ORGLA12G0153000.1 pep chromosome:AGI1.1:12:17174013:17175912:-1 gene:ORGLA12G0153000 transcript:ORGLA12G0153000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVEAANRAAVESCHRVLALLSQQQDPALLRSIAAETGEACAKFRKVVSLLGNGGGGGGGGHARGRMAGRSRPSAVLREKGFLESSSGGGQLGMMMSGAATPSTSSAAHLRNRIGGGGGVPPDSLRGLDLVSSSSKGGAHQFDPPKLVQPLSVQFQFGATAHRYPFQQHQHQQKLQAEMFKRSNSGISLKFDSPSATGTMSSAFMSSLSMDGSVASLEGKPPFHLISGPVASDPVNAHHAPKRRCTGRGEDGSGKCATTGRCHCSKRRKLRIKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPAMLIVTYEENAEAPSFCSGTVGPVM >ORGLA12G0152900.1 pep chromosome:AGI1.1:12:17164354:17169480:1 gene:ORGLA12G0152900 transcript:ORGLA12G0152900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNIENTAEDMSGIASNLDNEEQAIPLSDVPEQYKEDPENTYDEETKDSSYEESGIPYNEDQVNINDGNVGHQHEEDQAIPSEEGHAYGGEAQGEQQANAVTDEKKWPGWPGESVFRILVPAQKVGAVIGRKGEFIKKMCEESRARIKVLDGPPGVPDRAIPFSFLKVMISAKDEPDAPLPPAVDGLLRVHKRITDGLDGESDQPQRAAGTVGPTRLLVPASQAGSLIGKQGATIKSIQDASKCVLRILESVPPVALSDDRVVEIQGEPLDVHKAVELIASHLRKFLVDRSVLPLFEMQMKVHNAHREQPMPPPQTWGPPPPWGHPSNVPPGGPGYGGNPQFMPPRPQDHYYPPPDVPPVEKQPHYGISSYGRDVPPTGAPPASGNQHPPHGSSQITHSMQVPLSYADAVIGAAGASISYIRRHSGATISIQEGVPGEMTVEISGSASQVQTAQQLIKSVL >ORGLA12G0152800.1 pep chromosome:AGI1.1:12:17159287:17163400:-1 gene:ORGLA12G0152800 transcript:ORGLA12G0152800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ureidoglycolate amidohydrolase [Source:Projected from Arabidopsis thaliana (AT5G43600) TAIR;Acc:AT5G43600] MATSAAARFLAALAGAAVLLVLLGGAAGAVVGHDDDAAAARRTMEEFAGFPASDYRGDAGGGSGGSSPFYVDSDGLQRQIDELASFSDSPVPSVTRVLYSDKDVQARRYIKGIMNQLGLSIREDAVGNIFGRWEGSEAGLGAVATGSHVDAIPFSGKYDGVVGVLGALEAISMLKRSGFQPKRSLEVIMFTSEEPTRFGISCLGSRLMAGSEELARSLKETVDNQNVSFFDAADSAGYKMHPEELHNVFLKKDDYFAFVELHIEQGPILEKEGIKIGVVTAIAAPASIKVEFEGNGGHAGAVLMPARNDAGLAAAELALAVEKHVLESGSIDTVGTVGILQLHPGAINSIPSKSHVEIDVRDIDEKRRNNVIEKVHQSAIEISKNRGVLLSEFKIINQDPPALSDKSVISAMEFAAKQLNLEYKLMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEYASPEDMANGVKVLALAMARLSLQ >ORGLA12G0152700.1 pep chromosome:AGI1.1:12:17155844:17157885:-1 gene:ORGLA12G0152700 transcript:ORGLA12G0152700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPPPLLLPAPRCPRSTAVLAASRFAASASSSSGGGGGGSSGGARTARPPPAPRRRRGKPGFSRPSAIKKSFHQEQVVFSTPVPPDPSVAVVGGGASGLACAASLAARGVRAVVFDTGMHGLGGRMATRAIAAAGDQQQQQQLVFDHAAQFFTASDERFKRVVDEWMDKGLVREWGGLIGELDAGGHFRPMPSSSPPRYIGVDGMRPLADAILPESDLIEVVRPCWISKLEPFNGLWRLFENEKPHGQYDAIVIAHNGKCANRLLSTSGLPLLTSK >ORGLA12G0152600.1 pep chromosome:AGI1.1:12:17151107:17153350:1 gene:ORGLA12G0152600 transcript:ORGLA12G0152600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHDLVLGHGEDPELALGQNHHDFGQDHGLGLGHSHELGLGHAHEHDLVLGQSHEHEHDHDLGLGNHHDSQLVLAHDHHGHTSELALGHGHDEDPHSLDGQDHDGLAMTENHVLTLTDAHQLDVDQNMDQLSLEQAHELALQPAHDFSHGPLAVAPVVQSRKMVVSSEFQLVVGQEFPDVMSCRRAIRNTAIACHFEIQTVKSDKTRFTAKCSADGCPWRIHAAKLPGVPTFSIRTIHDNHSCVGINHLGHQQASVQWVANTVEERLRENPHCKPKEILEEIHKSHGITLSYKQAWRGKERIMAAVRGSFEEGYRLLPEYCRQVERTNPGSIARVYGNPDDNCFRRLFISFHASIYGFVNACRPLIGLDRTILKNKYLGTLFLATGFDGDGALFPLAFGVVDEESDENWIWFLSELHELLEKNTENMPRLTILSDRRKGIIDGVDFNFPTAFHGHCMRSLSETFRKEFNNSVLVNLLWEAANALTVIEFETKLLEIEDTSPEAVCWIRRLPPRLWATAYFEGTRYGHLTANITESLNSWILDASGLPIIQMMECIRRQLMTWFNERREASMQWTTILVPAAERRVQEAIERARGYQVARANEAEFEVISPHEGTNIVDIRNRCCLCRGWQLYGVPCAHGVAALLSCRQNVHRYTESCFTVATYRKTYSQTIHPIPDKTLWNETSDQGQEEENKVDVIINPPKSLRPPGRPRKKRIRAEDRGRIKRVVHCSRCNQTGHFRTTCAAPI >ORGLA12G0152500.1 pep chromosome:AGI1.1:12:17142203:17149003:1 gene:ORGLA12G0152500 transcript:ORGLA12G0152500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIEDYTGDGRSSSTEELPSDQQSHSGESLAEWRSSEQVENGTPSTSPAYSDSDDDDCGPRPSELYGKFTWRIDNFSQINKRELRSNSFDVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVINRDPKKSKYSDTLHRFWKKEHDWGWKKFMELTKLHEGFVVDDVLTIKAQVQVIREKADRPFRCLDGQYRRELVRVYLSNVEQICRRFIDERRSKLSRLIEDKLRWSSFNAFWLGMDPSVQKYMTREKTDTVLKVLVKHFFIEKEVTSTLVMDSLYSGLKALEYQTKNKKGVPKLAETVQMDIRSTPMVLVDQDMFVLADDVILLLERAALDTLPHQPLPTKDDKSSQNRTKDGSSGDDFNKDSIERDDKRLVELGWKTLEFFALAHIFSSSFGCLVFSPYKSCISRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRIRKKQAKQKKNSRKNNKGKNEKVDIKEIVIESSSPPDDRILDDLSSQAEEITSNADNPEEVSDISDNRDDSSELLHNDLEDSESSPVNWETDASETQATVPEGGEMQNEHAGKRTSFLDDSSSTCSSDSVPSVILNTGGAWTNVKSSSNRGNNRRNNKDHDARSGLGHGGLNSVPNGIAGSSSSTSVNSKDHQHEDDRVVSQKKQNAHRQTDVMSPSKLRMTESSVSPIKKQHIFSQQPKSSLESANNLSSRASEASGAVTASSVMGVTTTPTAQLVSNKGPLTIHATHNERSVPVARHPQVPTASKSEAQKQTSLVSSGTATQAITVSRPLSAPQVPAAKQTAPVASAVQTVPLLSRSMSAVGRLGNEPSASAPSYIPRSYRNAMMERSSVGASSFTHQTSSEQRVAQSQSMFSLSPSILSPEHLAGNDRSSLRQGLTFGTVKPETLNQWREESSQQASSSSSSSSNDHGAVSSSNGGEFEKFYLFGKPRSKQLSEISARSTPFQPQGLVSDEFPHLDIINDLLNDEQCERRTLKVLQRSQQAFARQYSMPNNSSTPDYGMFAQSDPYLFDQPEQYYDEEIPRFYNSLNGTSRGLRERSYSHFDLPSYSNGQFDDMMMNQWPYGQTDLSLPNFGADMNGYPYQSSDYPNSANGLSRYPPYRPANGH >ORGLA12G0152400.1 pep chromosome:AGI1.1:12:17135240:17139298:1 gene:ORGLA12G0152400 transcript:ORGLA12G0152400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCLDGVRQLLAVVFKCCDLELKQPRGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFDEFARALSVFHPSAPLDEKIDFSFQLYDLKQQGYIERQEVKQMVVATLAESGMNLSDEIIESIIDKTFEEADTKHDGRIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >ORGLA12G0152300.1 pep chromosome:AGI1.1:12:17129415:17133077:1 gene:ORGLA12G0152300 transcript:ORGLA12G0152300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGPWLLVVVVAWVLGVAAASSSSSSPGQAGTSQKFNKCMPCSRRYVADSYLDTLIGQLAQHRDLTETSDSADSCKGLDYDLDAPMLTELHSELVGEGSHRRLVYHVEFANCADAMVNFLDNYDANLVVIENLPNGVFADPFELQHFVERKVYLDMAVFGDTNLELPSALSNRSSVEIHVDLAPLASMNCNLVIDLPLHARYPPLDASGYATVEFGSPDLLLRYRKKDTVPESCSWLLKDLDAAPVEKATWRIPCGDEAHIGFVSKHNQRESSLQLKLQTCSRLTTDH >ORGLA12G0152200.1 pep chromosome:AGI1.1:12:17124925:17128257:1 gene:ORGLA12G0152200 transcript:ORGLA12G0152200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLNKIFKGSVNRVSRGHYDGSWHEGHSSDNARGAYDESDNEDIDRAIALSLSEEQNKGKAVDIDYNLEEDEQLARALQESLNADSPPRQNIPVENVPSEPPRELPPILFASSGSRTCAGCKNPIGHGRFLSCMDSVWHPQCFRCFACNKPISEYEFAMHEDQPYHKSCYKDFFHPKCDVCKNFIPTNRNGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPMDIKYITLDDGRKLCLECLNSSIMDTPECQQLYMDIQEFFEGLNMKVEQQVPILLVERQALNEALETEKNGHHLPETRGLCLSEEQIVRTILRRPIIGPGNRIIDMITAPYKLERRCEVTAILILYGLPRLQTGSILAHEMMHAYLRLKGFRSLSPQVEEGICQVLSHMWLESEIIFGSSIDISATSVASSSSSSSTPTTSKKGAKTEFEKKLGAFIKHQIETDSSEAYGDGFRAANRAVESYGLRSTLNHMKMTGSFPY >ORGLA12G0152100.1 pep chromosome:AGI1.1:12:17118621:17119319:1 gene:ORGLA12G0152100 transcript:ORGLA12G0152100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLKSTDDRARREMKALVLNLLKDSNHCTDGSSDISSELLYSSCQGCLDRLRLLFSEATGQEFSVELTRQITLETDNLLWLVEILVNQRICDDFVALWANQSEIAELHGKLPVASRHTVSCITARLFVGIGRGEMLPSKNTRLLLLQVWLQPLIDDYSWLQCSCRSFDRKLVEEGIGQTILTLPLEDQRSMLLAWLGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVSQAR >ORGLA12G0152000.1 pep chromosome:AGI1.1:12:17111779:17112782:1 gene:ORGLA12G0152000 transcript:ORGLA12G0152000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGEVVHFSHPHHRLRLEHADTPFRCDGCREXXXXXXXXXXXXXXXXXXXXXXXXXXVPAAAAAPPPVLPALRLRLPRAGARRAGDALLQRLRPRRRRLRLPLPRLRLRPPPLLRHAPTRPRRRRRRRLRRRRRRREAVPAPEGDGGVPPVRAPGAELDVPEQLQELQPPRGVRHGHARRELERRRPPQGRRRRRRQCVRRRDGGGERRVQGAGDTRRGEEQPREQGRVLLGKEGEGEAVLRDRRLRGAGGHLRRARRPHRPHRRRHRLAHRAVTGAAAAAFAPRRRGVKKKL >ORGLA12G0151900.1 pep chromosome:AGI1.1:12:17100609:17106232:1 gene:ORGLA12G0151900 transcript:ORGLA12G0151900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARARIVASAVARLNLVPWATRTHATPAAALAPPVGDIPHAQWRGLPDDVVARVLVRLPVLDLFRLGYLFSPRWLDIWRANPLHLHDRQFASPRIAADDVADAIANVLELHVGDGVQFVGVQGGVGSDDDDDGDGGGGDEVVDEAAVQNAGVVDDSGPGVAVELEEGPEDEASGVEDESADQAGRHRPPSPGGIGADDGVISDDDLYGHDDIPAGGYEIGRVYSFRVETTRWRLDRWCTALQRGRVREVILANLAIEGHPDLSQGIRDYGTSLKGLHVSFFTVKADHIDPLVNLRGLGLCGCAINHGVISRALRPESEIRGLTVDFNRQLGDVSVQNTRLRSLEMFDNLMEGSTITVDDTIQFRNLDLYPTRPSRICIVDAPSLRRIGSLDLFNTVLEIKGVVIQAGMVQRPPKKCSVRILGLRVNYTEMGHRVPREIEQILKCFPCLEQLEIMRDDEVTQEERLLEADDEHIYQGNNFFRDLGCFKHHLRRIYLTGFRGGKYELALGKAILDEARAGTQFKMLLPLGSNTDNISNQQRWLIEHFRMNTPNDAVRDGHARSKFETAEREAAACSTRLRPVEISDSPERGMDNVRRSSVTSVMSGIGSSPAALTESTPRATLAPPSNRHADWRGLPDAAVARVLDRLPVLDLFRLGYLFSPRWLDIWRRLPLYLHDHQFAAPPIAADDVAQAITNVLELHVRNGVQFVPVQGGGGGGGGGGHGGNEVAARDGGGGDVSSDDEEYGIYDDVTANDDGGYEIGRVWCFRVETTPWRKGHLHRWCAALRRGRARVVVLANLYLLEHTRLPRALLDGTSLVALHLFYFTVEAYHIDRLRGLGLYGCVLEPGMIERVLHPESEIRELAIHSAMGGTIAVIAAAATRLRSLRMFNIQVGTVAVDDAVELRNLHMRDTRPSRIAINGAPRLRRIISLDIFHTVLEIQGIVIQAGMVEQPPEIRSVRHLGLRVNYTAMVDMLPRQIEQILRSFPRVKSLDIWRCDDVTQAEGLLQWDDVHYDGSNFFDGLESFNHHLRWIYLRGFRGGKCEVALMKIMLDKARVLTLLRMEYSPLPSSLIEHTLNQLDLSLRNFKLHTPNGAVRGDLVSFVAADASGSCVRLAAQG >ORGLA12G0151800.1 pep chromosome:AGI1.1:12:17071586:17096516:1 gene:ORGLA12G0151800 transcript:ORGLA12G0151800.1 gene_biotype:protein_coding transcript_biotype:protein_coding AALGDAVPGSFPARVDRVAVAEVELVASPWAAPGLDAVVRGVDVALTLREPAPKKQRPDIKEWISNEKKRVIAAMDPQGQMLHGKIEDLVSSLEDKFTSVFSTALLNCSKVRFDDVTVQVRYLDDSHLVILRTHDLQFGPELVFRCSLFRGLVGSYMPSRKKNHMFVKCDHFEFLLKGNDHTDCTVSLTGTTASVRLDNLHLTAFGIHVASAFWEIAPKFIPSLMVILEITSQKEDYEVRSGRELWKIAAQKLENSIACRRFSLRKAMSCASFWQHYVHTYILLLSLLGYPSGEVIKRNCSRVQSIRKVRETIRNHLKTVSELEEKIPVEAIARGRSAARSKLTVSQQQSEQELSKALLVSNTLKFLSPLLYVWKFLVFICWSLWRFMSSRSRGCKSSVQNFPCASDDSEIKVQFSICLGELSVTFLPLSDHHFTGTPKLNNGNKAYHIDTPSVHLVIKSSSILYTDGFTTQSFFFVIGELKADVSGIPKLLQAANGSITRRNSSFGTEEFSEDINSKTILWSDSASMHPFSGKQPDESFSYNGDSSIALLQSDMEELWSFWTVVSTFYNDSGVMHHEKPSVIFEFKSFLIDPYKSTSGFQQCRFTVGRVNLDVDYLCASSTYLLYRQFVHYKELKELTEKSAEFSNRSDSCATRTSGIADKLRSFNQRLKFLIADAIPINTLQISALIAGPSIRLIFDKNSLLQNSKNKQVPLFSQMNNTSCITLSLAYVECVIWPASLSSLTQKADLHVKESHDTFDGVEEQLESHRLALDSAGHVYSGTVVLDSCFKFADLTLLVDHIEANQQFHIFGPMSANFQLSTSRKYASSFFVTRNILSINLGGRIVGCMAFLFMDDLFPIFQVIKGMQMLALNSELGDIKYSQCFIGRLASFCNRHMDGSTMGTAVEYIIHEETVDCYTELVAEMKLDLEPTHIIVSASRDGLIFNPAMFSNSDINYISSSTVFEGVAALESLDILALGIWFSSRSSSLKLLLDGECTDLLVNLSGIQSVVFENQPQMSICDDILQYSTVLSSSPYDKSQFILSDCVFHLCAGPNKDSLMNDKMQVESISGCSTDSSGIYYFIELEFTEVYIGDYNMHNFLIEVNKPSKQKIALLIHDDLQIVKCKIKGGLIFLETLSLAKFVVCCKIYFRLLMDLSSWAASNSVKDSVTSVSAGSETTVTNRPHVSSGVHSQSEESQLGSVKCLDVDLSQFSLTLAIADESGRYQGLTLEVDAILQQLNLGMKILFEVKRISISSISTMPNTGHVKLRDVPAPRFRSSKSLALPSQSEIQEYLPFLEADNVLTYDHDAPSSSNSTVESSTGNPPLELSSHKSYILRHFATYLKLEKKELNGDFNLMRSSGDWFGNGSVSGLEMILSLFAPFHEILRSGSTQKEIQTGDTPHQELLDNRDYTIPDGAIVAIRDLDQQMYVSIKNTGKKYQVVGTYHYSLSSECALFKVKHHKGWRSDTPCISLLSLYAKTDEGKELALSFSHGSDLVEVSSSVDKPSSLWTTSPLRFDGFEDDGDDGKYCKIISRSSNHLVNKKSNYGIAFNDGLLEFVRKPGNPFKVKVLDESLFSDVARPFVPNVNLDNNTYLDVENELPFGMGDSLETGVSSQHVIISIDKIVFTITHEVLDTGNVFPLVQNCINDTRIITQIFPSKIRILSSFKVIIHYFNARKYLWEELVSPITAYMFFRYRFFNLVPVTRCRRMPLRFFVQLKQVDIFVNELSIDILLYVAGKLNVMGPYAVKSSAVFPNCCKIENNSRLTLVCHFQNNEDAIVSGQQSASVFLRHLTFEDNHPPDQSIVSISLFKEGLFSTAPINVSLQDSGVFASRTRVLSLKDSRSFSGPFVVVKVSQNSEEGLSLSVQPLLRIYNKSDFPLELRFQRPQKSSEEAAFVTVRSGDMVDESTGVFDSMDLSGGSKRALMSLALGKPEISEHSGNFGPTTLVKWSEDITGEKAVRISGVMEKLNYNIRRAFSIDSMKSSFSSLSCDVSIDGQHVTALHFLVHTLSREVPLHPTNGSPVFDRNATVAFQLQREIFIYPTVQVYNFLQTDIHVILTDCEPENTRDDNFDIIGKQATITSGSSAYLYVNPAMFTFSVKLISYGSKSKAVNTSDWVKRMQKQISRAQFLDMELEFVIGTGRFHSSLRLLRQEKGFLEVAVFTRYTLHNTSDYPLLCTAPHKKSLPMSGTVKETINLPPQDGCILASMSMSSWFTRSSKLRIGLQHEKGSEAFIDLEALSGFSEFSLEIHDNILPRRMATFGMYLQPVLYDLPVPSQVVLIVPRYVFSNESATAVAVRQCFVEDDIDGVTIEAKQRATLHTWKPEKRREFCPKETGYCWSGPICVSSIGRFFVKFRRSQGTVTDDMKRGTLQDGKWKQFASVDVIQETASFVLHFSKPPKAALPYRIENCLNEASIMYFQKDSVESDVLHPQESEQYTWDDLSLPHKLNIDSSFNNGLSSGKQRFDESFGLRVFKIGYEVYADGLTRVLRICEHKDNPKADNIEHPIANVQFRMTYMCIHLLEKGQQGEEKGQSPSAILAARFQHISADSVITDRYRHISLAIHSVNLDEKWEGASFGSILRRNKLQDATLSENILRIIIKLNSTNSSVKQVQYCSIILQPIDLKVDEETLMKIVPFWRTSLAPPGTPSTQFYFRHFEVHPIKIIASFRPGSPYTTYSSAQEALRALLHSVIKVPEISSSAVELNGVLLNHALVTFRELFLKCAQHYSWYVLRAIYVTKGSSLLPPSFASIFDDSASSVIDVFFDPSDGSLNLPGLTIGMFKFISKNMKSGGFSGTKRYLGDLGKTVKTASSNALFAAVTEISDSIVRGAEANGFNGMVTGFHQGILRLAMEPSVLGQAILEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRIRVIDDQVILKNLPPNSALINEIVDNVKSFLVSKGLLKGDSSTIQPLRHLRNEPEWRIAPTVLTLAEHLFVSFAVRVLHREATKAIAGVVSKAKRPAGGGEDDGKGESPSSSSVGVLARRSRVWSVGRFAVSGMVAYVDGRLCRHIPNPIARRILENQIMKHNDERPNYEICESSVRWHNKKQTGQRLHMAATPLSTLATLVALIESLHRRLTASLGYTKSKRGKIQIRRASILVWSKEMNGSIFEGNHASEICVSKLSGRTSFAVRVNQVGSSNQKNLNVACYEDLTKILGLSRFDSENLSDVSNTGLPMRCALDPTNPARMRCIPPENGLKSADVSSDNLQDMSSCCDSPHSQSGKAKFMCSFGGKIMPRPSDGKLRYVGGETRLISIPRNFSWNELVQKTLKIYSQPHIIKYQLPEEDLDALISLSCDEDLQNMMEEYSSLEKANSSPRLRIFLVSQTECEDSSLDSRSLESEPEYQFVVAVNNLAQLKRSTSGNSLMSQSKHHLDNSPLHFRDTPVRQTDRDSGAKSLGGNSLNEPPSQFFIDPFTQPMVSESSATPSACLTRQRTMKQSRMQSSADKSILNQEYENKSEVYNGSNLKTMFPDHQHNKQNNTDTVIGVGTSPRRFQIQSQVKDLAVPRNESGLSSHTNYDMPIPVETPFYSEKLSVHPENAVLSAEGMTHAFSDPLLKDRTQVLAANLSLAAGSHIASSFSQEIYQTKELERKLSVTRPDFVCVKPTDVARTEEPRHLVSNHTDQPYNQGIVSGTSVEPTIYYQQDSLSSNVRQGHDGGSTVQQWDKPYHQENRAGTNAAHQFSFVDTGLKSYRARGARMSSDELDALESSVPTSVPANDHSCSFLNECSIGSRIENSDLGSQLDKLNSGRAAADYETAGCVSGNDKVFLPINSFDAFASQISMNPLPVCVSSREVPLEYNITRNYVVNGFDNESMKLNDRMHNNVQMDAPVIVEDATDNAPLGILSSRPLVPLVEVAAEEQQQVIISSLKDDDARSDVPELANEDHDDEPAADGSISDAAVAELEASMYGLQIIRNADLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQEKLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRNVLLRKDRMLDRRKRLIIAMDAAFGMEYLHSKSIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIALWEILTGEEPYANMHCGAIIGGIVNNTLRPPIPKNCEPEWRQLMEQCWSADPDIRPSFTEVTDRLRAMSSALKGHSQGNK >ORGLA12G0151700.1 pep chromosome:AGI1.1:12:17062317:17063714:1 gene:ORGLA12G0151700 transcript:ORGLA12G0151700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHKKLLQFLRPADTAVAAARLSDDDDDGGAPSSVPPSPMSSWSGRTSAAASPSPYVMSPWVNLPGFGVGGGDEVVAGGGGTGLLGSLVKEDGHVYSLAAAGELLYTGTDSKNVRVWRHRREFAGFRSGSGLVKAIVVAGDGRIYTGHQDGKVRVWRASADDPAVHRRVGSLPGLGDVLRSAVRPSRYVETRRRHSALWLRHFDAVSCLSLDAAAGLLYSGSWDKTFKVWRVSDSRCLESVRAHDDAVNTVAAAGFDALVFTGSADGAVKVWRREPGKGGATRHAMERVLRKGESAVTAIAVAAEARVVYVGSSDGAVTHWQWRRGGAGVAGPPRNGGALRGHRMAVLCLAVAGRVVVSGSADRTISVWRREEGADHARLAVLAGHTGPVKCVAMDEEDDTAGDKRWVVYSGSLDGSVKVWRVSSTPDAAAARTPAHGWKATPSPLGAWTPYAATPARKRMAAA >ORGLA12G0151600.1 pep chromosome:AGI1.1:12:17055709:17055966:-1 gene:ORGLA12G0151600 transcript:ORGLA12G0151600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPYVDEHLAVLRQENPGRSESWVRNKHMSSFNEWLKNRIARLQNLSSETLQWLSQGPEWSATTWQGYDINGYTFHTVKQDSKCTV >ORGLA12G0151500.1 pep chromosome:AGI1.1:12:17055496:17055708:-1 gene:ORGLA12G0151500 transcript:ORGLA12G0151500.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSGLRIEAASDGGRRDQYYGRVEQILELDYLKFKVPLFRCRWVDLRNVKVDNEGFTTVNLANNAYKDEPFV >ORGLA12G0151400.1 pep chromosome:AGI1.1:12:17042813:17043247:1 gene:ORGLA12G0151400 transcript:ORGLA12G0151400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVFVLRKNNSENIKKLFRGKADAILIKVVKEFPSHANTEMGNVQTSDTIANFWHAVPDLFYLLV >ORGLA12G0151300.1 pep chromosome:AGI1.1:12:17032009:17036282:-1 gene:ORGLA12G0151300 transcript:ORGLA12G0151300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYLSADSVAILVLGHLAVRASEPGHQLVSFWAPFVLVHLGGQDTITAFSRQDNELWLRHLLNLVTQVAVAGYVVAKASWPDVRLRAAMIIMFVSGSIKYAERTLCLYLASPEKVRSNAQNDLSKLQTPDFQPSSMTSYVFDCILEGRYPGNDSGRRETYFFSVDAPQNMLQSTTCANDVPGILQRKFVSNPSRYKSYDYIGEYLEIFYQYRYTKYPLRQMFYYELYEANYIVPAWARKRWSEELAQYSMIKSQCAAVQAYTIRQWIRKYFGSPITVSTIKKLDHPXQSVALWNKSXMELFQLPWPASAXKVEGCCCWFCTRQEHQQRRRFLDERAHLAHCNRHALLHHHQRWRQQQHMFRXXSSEDDQGAKGDEQAAFKLHHLSCLQLRRDVLTTAGQGNNPDEKVAVVTKLLLEGGNNNDDDDGGSDSALHMICGRRGQGELVWPKSSKDNVDDMGIL >ORGLA12G0151200.1 pep chromosome:AGI1.1:12:17021035:17025507:-1 gene:ORGLA12G0151200 transcript:ORGLA12G0151200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEYSFAAEYDGPPLPYSLPRAIPLDLSRIPLASLSSPTSPPPPTAPPLPVVRPLTPSSLCSAIHGHRAGVSSAAAGAAAAQSSSSSSSAAASASAGGGGGVAGAAAVDSPTSVIENHHAALHHSAELPSTPSDDDENADEDDYDGRRAGAPPPPPLKSHHQPMVTFAETSGSLLQSSEDDDDEEDEEEDEEYGEDAMPVRSSGSLSPAHWRGASKSRGCFRCGKGGSFWARGDKEACLACGARYCGGCLLRAMGSMPEGRKCLDCIGRPVAESKRDSLGRGSRVLRRLLSATEVELVMRSERECAANQLRPEDVYVNGTKLSPEELVMLQGCQCPPSKLRPGFYWYDKVSGFWGKEGHKPHCIISPNLNVGGALDQKASNGNTGILINGREITKSELQMLKLAGVQCAGKPHFWVNADGTYQEEGQKTVKGKIWDKPIVKLLSPVLSLPTPNKANNQCGEEPVNVVNRPIPDYLEQRTIQKLLLVGSGTSTILKQAKFLYKSRPFSVEECEDLRLIIQSNIYNYLGILLEGRERFEEEAIADRRTTCQSDPSSSGHCEPELCNDVTEYYIAPRLKAFSDWILKAMAIGNLEDIFPAASREYAPMVEELWKDPAIQATYNRRSELPFLPSAASYFLDKAVDISRTEYELSDMDILYADGITSSDGLASTDFSFPQLALDGRGFDEPDPQDTLLRYQLIRINNKGLHENCKWLQMFDDVRLVIFCVAVSDYDEYYEDANSNVVNKMMETRQLFESIALHPIFEQMDFLLLLTKFDILEQKIGKSPLTSCDWFSDFTPLVSRNLINGTSKSSRGSSTGSTLAQMAGHYIAVKFKSVFQLFTERKLYVSYVNALDQESVRSAIRYGREIIKWEDEKPVFGSSETTYSEEPSSYSH >ORGLA12G0151100.1 pep chromosome:AGI1.1:12:17020133:17020426:1 gene:ORGLA12G0151100 transcript:ORGLA12G0151100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATATASGGLHAAGGEWLGELSAALQGKWQAMVSTDQRRRRQRGDEADEKKGVAGAGAALGVETRRRKEEGDVGACGGAMSDTTVFILLDHFAPS >ORGLA12G0151000.1 pep chromosome:AGI1.1:12:17010138:17010392:1 gene:ORGLA12G0151000 transcript:ORGLA12G0151000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLARSRLAEGRRSGEAEATCYRCWEGGIRVGATNHGSRDAEGPKQKPSLVFHWVGSGYTFGRGNLPGGTVEVPLYLSAKISG >ORGLA12G0150900.1 pep chromosome:AGI1.1:12:17005232:17005426:-1 gene:ORGLA12G0150900 transcript:ORGLA12G0150900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDLALPPLELTGVYAAAGRWEAEVRRWRLEAAGPAPSRVDWGWLEVVGRAVESGKTLVMESLWMT >ORGLA12G0150800.1 pep chromosome:AGI1.1:12:16975777:16976831:1 gene:ORGLA12G0150800 transcript:ORGLA12G0150800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKQVKVTAAAAEAAISSWSELPADLIGQVLLRLPSLADRVRLRAACRPWRTDAKRQALPPPLPWFALRDGGLVDHHGAPVRRCAPILREGVTDYLAVDNLAFLAHNRAACCSLVNPLSASEETPLPQLANAVLRAMNDSKFYTVGNTKMPYVKVILSSPPLDSSPDPLVAALIFEGYYVAISACNLPRFRMHQGCSR >ORGLA12G0150700.1 pep chromosome:AGI1.1:12:16973466:16974314:1 gene:ORGLA12G0150700 transcript:ORGLA12G0150700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAGDDSNHGVDDARIARIAAAITVFPGFPKPGISFQDVTGIFHKPEVFRDAIGLFVERYKGKGVTLVAGIEARGFFFAPTIALEVGAKFVPLRKPRKLPGEVISKNILWNMEQIK >ORGLA12G0150600.1 pep chromosome:AGI1.1:12:16970378:16972364:-1 gene:ORGLA12G0150600 transcript:ORGLA12G0150600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGCESCREWQEHCYREHMDVSRIRFFRLMTGDFAHGISIPEKVADRFSGQITKGFNLKAPSGETWCVSVEKVADELILMSGWEDFAKAHELQENDLLFFTCNGRCNGSFSFDVLIFDASGCEKVSCFFIGKKNSIGGQTQNAEQYHLSDSEDTSTPSTFLVGSPHKASTSKKLNGKTKTNPNKDDPFSTGKEPEDPNSSRSHVKHEMIEEEKSDDDDEHADYEHADYYYSRFANYLTGEEREEIFSLVSLQPGNPVFVTVLQAPQIHRKGLLIVPSGFAADHLDSRSQEILLMRPNKKEKWYVKYYHASTTRGFNCQRWIKFIRENRLREGYICIFELMKGARRVTMTVHVIGKVDDRFVLLG >ORGLA12G0150500.1 pep chromosome:AGI1.1:12:16967566:16968359:1 gene:ORGLA12G0150500 transcript:ORGLA12G0150500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPGPSPSAAARALRAPPPVAAPRPRRVPSPFASSSPTAARPLAAMMGSPLTTAALLARLTAHPAASARACCELSQGESGEDG >ORGLA12G0150400.1 pep chromosome:AGI1.1:12:16963053:16964646:-1 gene:ORGLA12G0150400 transcript:ORGLA12G0150400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHMRIDLYCRKSQSPGEQQLQDLQSGWPPHYLASSESDHLLMEQRLMQEIWKGAAAAAAHDHHDQEAATAAALHHDQHVRRLDHIVAGRPPPPPASSSSGGGGGGDTMASSLDWLLASRRQEQHKYGDRHYEDDDGGYYTAAHRGQAAAAMSAAAAAASGVVKDRRPSLEMSLGRQGWEISMEQRSSVESSSKELTLLKCL >ORGLA12G0150300.1 pep chromosome:AGI1.1:12:16958380:16960058:1 gene:ORGLA12G0150300 transcript:ORGLA12G0150300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGCESCRKWQEHYYREHMDVSRIRFFRLMTGDFAHGISIPEKVAEIFSGQITKGFNLKSPSGETWRVGVAKVADELILKSGWEDFAKAHELQENDLLFFTCNGHGNGSCSFDVLIFDASGCEKVSCFFTGKKNSYMCKNFNSIGGQVAGQYLSSDSEDTSTPSVLIGSPHKASTSKKLSGKTKTNPRKEPEDPNCSHWHVIEEKNTDDDEHADYHYTRFANYLTGEERDEIFSLVSLQPGNPVFVVVLQTAHVRRRNILIVPTRFAADHLERKSHDILLIRPNRKQKWSVKYYYLSNTTRGFNCHRWIKFIRENRLREGNVCIFELMKGARRPTMTVHVIGKADNRFVLLG >ORGLA12G0150200.1 pep chromosome:AGI1.1:12:16954714:16957021:1 gene:ORGLA12G0150200 transcript:ORGLA12G0150200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSHRVCKNCVANHYWLHMDNHGKSFIKVMITDFKNGVTIPAKFARNFGGQMSGTVKLETRNGKTYEVQVAKELNNLVLRSGWERFASAYELEKGDILVFIYSGNSHFKVWIYDPSACEKELPCIITEQLPRVQQRSISHNNHTQLKRNAKSAKLYVDSSGHSKETSEINPANSPSWKPTERVPSSEELDEPVDLANVQKATKSFYSLPRMCNMTSAQKAEVDALEKRIKPQIPFYITVMDKASATDGLLAISKDYAVSYLLDKNETIKLCHSGRSMTWDISLDIDTDDQYALSTGWLDFIRNNHLQEGDICVFEASKNKRGVALIFHPLKQSHHPKPPGCVPSTKFPRHGVSKPNYIVSRFTTLSGQLKIKVEAKVQAIQSEIPIFVAVMRESFIRGRSRYMCFSAKYAAKYLPREKNKIMRLRLPNKSYKYKAVFKINNKVHKLGGGWGKFVDDNKIKLGDICLFQLMKNKKKLMMMVHIIRKSEFC >ORGLA12G0150100.1 pep chromosome:AGI1.1:12:16947386:16952504:1 gene:ORGLA12G0150100 transcript:ORGLA12G0150100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQKRSFINVMIGDFVAVPTKFANFIRGQISEVVKLEVPNGKTYDVQVAKEHNELVLRSGWGAFARDYELKQCDILVFTYSGSSRFKVRIFNPSGCEKELSCVMMNNTPCGHEGSMSYHDNHLQSPSESSLLFNISLPPHSPFQELSGVDSTSLLVSDPTNMQQFCLRCSWTNPKRLAKPSLAIASLSHQHLAFDKTRCMFILKIENDTLKTILKMFAKNVQGLISGVAKLEVPDGKTYDVEISKEHNELVFRSGWEVFAIAYELEQGDILAFGYSGNSHFKVQIFNPSNCEKELSCVVMNRSISDDNHRQSPRRERMNKPSTTCMDCITNHYWLHMDDRERYFFKVMMSVSDIKDELAIPKKFAANVRGKIPEQVRLEVSDDVPSSEDIKDPMSSGGLQKSKKSCYVLPMLYNMTSAQEAEVLALEKKIQPQIPLYITAMDKTSVASGSLVFSKDYAVRYLLDQNRTIKLCQSGGSKTWDISLDMDTDDLYALSTGWLDFFRGNLLQEGDICVFEASMSKRGVALTFHPFKESHCPKSSEYTLSTKSPTRRVPKRDYFATNLTNLTDQQERKCFSVKYASKYLPHKDQNMRLRLPETKYKCKAALHIDTSTNLHKLLKGWGKFVNDNKLEIHDICLFQLMKNKKKLTMTVHIIRKGECS >ORGLA12G0150000.1 pep chromosome:AGI1.1:12:16931009:16933792:1 gene:ORGLA12G0150000 transcript:ORGLA12G0150000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLSHCAAVLLAKNHRRRGGGGGSNGHRGDDPASFLRQLRDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRATALAAAGGPFRSPSDIPLLPAAIAGFLAMYPDYATTSDVDRLRVEHYSHLDAAAPGGGAGGRVCLDYCGFGLFDSGWDSSSSSFTLSELNANLSNHALYGGAEAGTVENDIKERILEYLNVPASEYALVFTVSRGSAFRLLAECYPFETNRRLLTMFDHESQSVSWMAQSARAKGAKTRTAWFRWPTLKLCSTELRKEIVGKRRGRRRDSAVGLFVFPAQSRVTGAKYSYQWMALAQQNGWHVMLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVIGSLQGRNGCNASGMVRIVPVFPQYLSDSVDGFDAMDGLEDDSGVHKDEKPASDARNGSQLPAFSGVYTSAQVREAFESDPGRDSSSDRDGASTIFEETESISVGEVMRSPAFSEDCSSENSFWVDVGQSPLGSEKGGHFKKGKLGSPLPSSWFAGRKSNKRMSPNLTSRISRSPLYDGQVISFDAAVLSVSHDADCLKEDPEEEIYENGRRNHFRQVSEIQEEPEVEEVVCQHAMNGGGDHKESAIRRETEGEFRLLGGRDGNSRFAGGRLFGVEEIDAGLSMGRRVSFSTEANIIADRLHRASDAAEASGYAFRDDDGCVSDGYDDAQDWGRREPEIICRHIDHVDMMGLNRTTLRLRYLINWLVTSLLQLRLPGSKGGDGDPLVHIYGPKIKYERGAAVAFNVKQSDGTFVNAEVVQKIAEKNGISLGIGFLSHIKVDLNHKQLNGAFDIPEASFYKNGRRDSKKVTIRVEVVTASLGFLTNFEDVYNMWAFVAKFLDPSFLESERLTIAADHSEGQT >ORGLA12G0149900.1 pep chromosome:AGI1.1:12:16915909:16918330:-1 gene:ORGLA12G0149900 transcript:ORGLA12G0149900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDRLGPAPPNCATDLPWDTAAPRDHLDSALPVRATESVPHRQSTPPRSRAAALRYHLVPAYPACGEGVLYMGLKWVGKEDDRAFKIVEIIDVMNYD >ORGLA12G0149800.1 pep chromosome:AGI1.1:12:16911982:16912746:-1 gene:ORGLA12G0149800 transcript:ORGLA12G0149800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLHQSPVPLLPPPPPPPRVVGVQQQQQQQEAVVPPPPAMAAAAGGGGREQCPRCASRDTKFCYYNNYNTAQPRHFCRACRRYWTLGGSLRNVPIGGSTRKRPRPSRPARAAIAAAAAASASGSQIAAQQQQAPPVVMSQHEAAAAAAAASGGGGGGGLLVSLLGAAPVLEGRLGGGIGVDLLGGEQLGFGAMAMPPAPLLWPARVLEGGDAWKSAAAAAGVSYSPFPALWQELAAAAPVELAGGGGAPQLM >ORGLA12G0149700.1 pep chromosome:AGI1.1:12:16911180:16911542:-1 gene:ORGLA12G0149700 transcript:ORGLA12G0149700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKHAAAASPSSSPAGPPPPCRHNIAASRRAVAEVLPHDGARAAAASPSSSPAGRPPPRRHSSTLPAEALLHALAWRPTVELGRKRMQRRRSRSSRAGSDEPAAALVLSHTLSATPGKS >ORGLA12G0149600.1 pep chromosome:AGI1.1:12:16891479:16904565:-1 gene:ORGLA12G0149600 transcript:ORGLA12G0149600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phragmoplast orienting kinesin 2 [Source:Projected from Arabidopsis thaliana (AT3G19050) TAIR;Acc:AT3G19050] MVRDLAAVRRMPARASTSSSASEVGNDENAPVDASDAAVVEPEAAAARPPLLAIQPPQSGLKRKPESPAPTPSKLPFRTPEKAAARSRFGWVPPRGEEQPPPRVGGTPYSAVSTPGRHRGKSSAAAASEGGGGSTQSTPTKSVTKPAYSIGMSASRPPMSGGQRGAGLGLGFSMAARGTPMSFAPVTVVNTAEVPHFELREDPSFWMENNVQVVIRVRPLNNTERNLHNYNRCLKQESAQSITWIGQPESRFTFDHVACEAVNQEVLFRVAGLPMVENCMAGYNSCIFAYGQTGSGKTYTMLGEISELEVRPSQDRGMTPRIFEFLFARIRAEEESRRDEKLKYNCKCSFLEIYNEQITDLLDPSSTNLPLREDIRNGVYVENLTELEVGCVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERHPPEHRQRTSGAEGERLKEAANINKSLSTLGLVIMSLVDQAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSASETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLKEELAVLKRQRVPRSLSFTSDIFERSGVDVDDGTESMNMDEENDNDAHDRRSLQDLRISNKQLRLLEETLAGAFRRESVAEATVKQLEAEIEQLNRMVNFWWQETTFVIFLEGIINGLLMEALVRDKLPAESYLLEENNTLLKEIDLLRAKIDKNPEVTRFALENIRLSNKLKSYNQFCNEGEREHLLNEVSILRNQVLQILERRAEAEQPNNFPTNFELKRTSQELETCRGELQACLEANKKLAREIADLQNELSNIHSSNREGHPNVVEKFSSALNQYDSHAPEKKDQCFQEGFMINTDDILNLQLELDIIKTILAEERTTRAEVEKRITCLDDELKAANIHILQTCRQSETMQRELSDARSVIEALESQQIMLINELDELKESNQQSLEHLKKRDLEISRLNNELDVHRRQEFLAMEEPKVQLLKCFENDDSPLQTKLKRMQASLEKARKLNTRYQRDQASHSSAQQEMDEVSRQVEVETAEVIMCLQEELISLQQQLDASSKNELLANQRIDEARLEREQLNDRLLEVMKENECFSALLEEKDKKIGMLTNDWDKLASDIGNFLLDGNAALDEASDQVAFISESISQRKWIEDQVQKMCRGISQRDELLKELQSRLKEADDIRCDLDLKLRSLRGAMQAINDTHQQEKNDQENAMSVLRSQESNERYVNQQQLQELQRIQLLLDESIESFVQKEVIEQSYISLQRAMEEVIHHLESQLDQSKRDLTQLLSETQDKEQAFERLKNEENGVLLTVLSDVLKAKGVIHEFETGFNAIQSSFSVDPEEVVCQNSDLNLEDRVGCDPTGAFEAGEKHNGDVLCKLSKEMECVVYTMQMLQSQMVKLLQEKENAKEYHFQSQRTIKDVSAKVLQLKSEIIDKEKGYEARLKELEIKMQEKEKDTAESFISWNKEREALELEVSEAKSLAIQKSFEASTLISKFEEAQATISDADTTVNALVEANEKAKLQIQNFKENEALFLSEKERLLTEISSLKMLLDVKDQTYENLLLEKLEFVSSDVEWMKSKLQQFAELARTWLEENWLEIIGKDCAVSVLHLCHMGILLERITGLNAENGFLQRGLCESNSLISKLREHNDRAKNELEMCCVLKGKLLLDINHNFSRIAKKEQEATELNSRLDAFEKKILHLQAQEEAMLARSNSMYNELSILVEEIDATNKSALATESKEKEELRHQLDEALLCNAMLKDIIQEDVDLPQVNNYMKGCSEFELCNRLADYHNELVTTNIIAKDIESFVLSSELVQHKAQLQKQELMFIDALDGLTTEATLSRVDKDLGSAVIFSLLDDSNKIMIDFDNLKQNKDELMENLHVLSEENLNLRSVVGSLESSIESLQTELDGKTKALMELQYSHTTILEEFKLKSKATELGVSRENDLRSENILLKHEYLDIVCKEQMMAELVANLDSEKLFVTIQGRLEQVADQVQMYTSDQLNMVTKVSNEIDFIQMSIEGLITHNGFLQSELIRKDELAKGLSFDLSLLQESASVAKDQADELIQLTEAIESLEQELDSKSNELVDAVSGRQLLEAQILKSNQKVSALEEQLASKINELKEVSVEKDELTSKLNHIEGISYTMEDELADKGKAIERLEEELIELRSLLDARTCFLQNLQNDFSKLLDEKKYCETQVLILNEKLEMAQALAEESEAIATEAKQMAEERKTHAEEKDEEVKLLERSIEELETTVCALENKVDIIKEEAERQRMHREEIELELQKVRQQMLAVPSSGQATSSLEGGMGDFTDSSRHSREIKNELLAAQENIRILQKDVAEKETEIAQCKAHISELNIHAEAAAREYKQKFMELEAMAQQVKSDNTSANACSTRPEKISLKPRGSGSPFKCIGLGFVQQMNSEKDEELSAAKQRIMELEGIAASRQREIFMLNARLATTESMTHDVIRDMLGVKMNMATWAALVDNQQQMDTQESVVTQAHESKEQSDELMKLRSQLDELIEERQSWLDEINQRQSELGAARITIEKLRQKEHFMVAEIELLKAENANGKAIIFNLEDEVKKLTRQQNLQLRINHHEENNLLKKQNEELSAKLQKLGAVVARTKEELARYRVSDGKDPYEQMEEEELLRNRLEESEQDRSKLAENLSSLCATVLKIAGVRNHESDASLLKALEALNQIQLRIASMEAGVEDLKLKCKLLHEKARLSELRSESSSLSSGRSRSPSVCRSPSISSFR >ORGLA12G0149500.1 pep chromosome:AGI1.1:12:16873187:16874972:-1 gene:ORGLA12G0149500 transcript:ORGLA12G0149500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQNVGFFCHSDDAGDFTVADINIHKGKAVELCVLNHYADCPQQSQWKVQILEMQQQPNQNHHLRGWWTDAVLPLHDSYLACVDCYHGIILIDVKTQRYFNYIPLPAEAMRGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRMRSLMMQQAKQESAIEKIGREMMQKEKQERAMQKNGLEMGSNSWELSKMKGKQQMATESRGMEAHLSRPLAGLKIA >ORGLA12G0149400.1 pep chromosome:AGI1.1:12:16845260:16845673:-1 gene:ORGLA12G0149400 transcript:ORGLA12G0149400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCDCRTITLQQYVNAARQTFLTVALLPDQNHSLEITPEGCLFLLTWTKCFTEAFSKGKSWNGDFTLADFKVCRGHVQKHKKPKKFGDEGMKNDMEKFVEEIELVFRSRDSRLRFTYPPYFSDFTFRLRNLEIIQNVLS >ORGLA12G0149300.1 pep chromosome:AGI1.1:12:16835795:16836431:1 gene:ORGLA12G0149300 transcript:ORGLA12G0149300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVQALVYLILRSLSSVFSKDSKLGGDVAAETREKGMWLDRTEVASVDNDEACELVSGADLVNGVDVDDEGEDMSAPHLPAAASRHHRQRQRLDGGVVESILVMMAIAARHHRRPHEWDGDASELAPP >ORGLA12G0149200.1 pep chromosome:AGI1.1:12:16820734:16824114:1 gene:ORGLA12G0149200 transcript:ORGLA12G0149200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPRRSRARPRRPPDTIHSWIILDRCAHCSDGDVVGDDDDDDVTASEIAHTCSGRRIRASLRVADPPAVSRLHLHRLDGPWPDAYDLHHAEVLAAHNGAILFRTGVPFSDPGFVAPGHFPVDYFVYTAGGGAAASPPSLTRLPPCFIGGFSDPAEDEYYKPYRVQRQRIMLGENIGFLSGDVAGEFTVADIRNYDGNSLELCIFNHHATLPSPSPEQSPEQWRIQRVPKIGDDDELPKWVNDLVLPLHGHYLCCVDFYNGILLIDADNLQQFSYIPLPEEAMNGSRRVDDDEDDPDPARRVSVTGAGLVTLVCVDKIATRGKITRDFTIKSWDDGRDLYWIVEIDMVKRAMRSPVALYIHEEEEGEGEGEGEERECGGGSCMLWKAFCGHYFIPSWFPSYLHEDPHPKSETEXNDAEGKARENNGQVWNAWKCXDRRGKNKSLQCXTAXIGSLWQRIQXRSCTVCSWL >ORGLA12G0149100.1 pep chromosome:AGI1.1:12:16816280:16818561:-1 gene:ORGLA12G0149100 transcript:ORGLA12G0149100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRRRQGEEGFEARVARIASTIRVIPDFPKPGIMFQDITTMLLKPDAFRDTIELFVERYKDKGITVIAGVEARGFIFGPPIALALGAKFVPLRKPKKLPGEVISEEYSLEYGTDKIEMHVGAVEPNDRAVVVDDLIATGGTLSAAVKLIERAGAEVVECACVIELPELKGRDKLGNKPVFVLVKAD >ORGLA12G0149000.1 pep chromosome:AGI1.1:12:16811392:16812681:-1 gene:ORGLA12G0149000 transcript:ORGLA12G0149000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGLIADMSWTVFDLPSHGDESEMMAQLFSAFPIHGEEEGHEQLPWFDQSSNPCYYSCNASSTAYSNSNASSIPAPSEYEGYCFSDSNEALGVSSSIAPHDLSMVQVQGATEFLNVIPNHSLDSFGNGELGHEDLDSVSGTNKRKQSAEGEFDGQTRGSKCARKAEPKRAKKAKQTVEKDASVAIPNGSCSISDNDSSSSQEVADAGATSKGKSRAGRGAATDPQSLYARKRRERINERLKTLQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGLDLNIDT >ORGLA12G0148900.1 pep chromosome:AGI1.1:12:16807290:16809801:-1 gene:ORGLA12G0148900 transcript:ORGLA12G0148900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35910) TAIR;Acc:AT4G35910] MAAAAASSCGGAGCGPHCSSSASAAAVEDAAAAAAEKVGRLSLSRECGKCGGGAAAVAVAGGLGLCGECFRANLLGKFKLAVTSNAMVRPTDSVLLAFSGGPASRVALQFIHEMRCKAIESWDVSNSQALPVFGVGVAFVDESVLCSKPRDEIEMAIEDIRSIVSSLSTGVKAMHIARLEDVFSTESEDGERRLREAVDMIGDDTGREDFLRCLRMLSLQKIAMENGYAKIMLGSCASAIACHVLSATVKGQGYSLPADVQYVDTRWEIPVVLPLRDCLAQELTLLCELDSLKTQQHLDRPLNGINSLVASFIKRLREENPSREHTIVRTAQKLKPFSFNKFSADGYHDFLPSRLRPKFQKFDSDESTFSEILCLMCGSPFSESELQNLESTKHKAQKKIDLYTAHCCQSCYFQILPAGENLNEHFFSLLPKLWTGKMDTISDSHSLLRDQIEEYLLEENDDGN >ORGLA12G0148800.1 pep chromosome:AGI1.1:12:16798987:16800735:-1 gene:ORGLA12G0148800 transcript:ORGLA12G0148800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEAEECSAACGFSLTCQEDGADLGDGVVDDDDDGDVFLFYNAVAAADDEEEEEEYVEQMVSKEASFCCSSSSSLFDAAAGDGYGDGDGDGDWFRQARLAAVKWILETRGYFGFGHRTAYLAIAYFDRFCLRRRVDREAMPWAARLLSIACVSVAAKMEEYQSPALSEFDAGGGRVFCSDSIRRMELLVLSTLGWRMGAVTPFDFLPCFSSRLHRHHHGGAGAAAAARVALNAVGFIFATAEAGSVLDYRPSTVAAAAILAASYGAPLTKEALESKMSNLSPSCLIDKENVHACYSMMVGDMNNNRRSSKRPLQCSDSNEITTTSTYDSVLVDDVTDTAAFAATAMNKRLRPEPPRIR >ORGLA12G0148700.1 pep chromosome:AGI1.1:12:16735149:16735741:1 gene:ORGLA12G0148700 transcript:ORGLA12G0148700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQPTSKLLDDDQMEFLDKAVGPEATSKHCNGDNSVMRAGETTLQARIQQLFSESKKLSINVEHIIAQVSQAVNMNCGAEENSLLKEFDGNNLVTLPKLSDVVSARGLKHVWYEIKAFQELLKQRPVQRDIILKEISINLDLWSNFFSKPPPEIIRLMEGLRVL >ORGLA12G0148600.1 pep chromosome:AGI1.1:12:16731249:16732763:1 gene:ORGLA12G0148600 transcript:ORGLA12G0148600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRGSPEPAGAADWIVLDPYVRCSWRRRHKYEEEDITASKVGRTCTGFPVRASLRVADPPAVSRLYLHCPPQWPADLPEVRYPDVIAAHRGCILFVAAVPFEEPGFNVDGHYPLDYFIYSASPSSQPLLTRLPPCFVGGFTDPEEDELYQPYHQQRQRYMCSRDVGLLCRDGDGEDGRSLFTVAHLTSSGSKEVELCVVQSGEAEEWSITPLRVRRAMSKLGLNLGEWRTDAVLPLHDRFLCWVDYYHGILLIDVLNPGDEPHQFSFIPLPKPVRDGSTRLFSQSYCADPVRCVCVRGSSDSGFFIKLVCVTIAATADKDVYPPAFTITAWTLVSIDQKTWELDFTMEAAEFWDLCANSVGHTCALPRAVPTFSHLSLVDPGVVSFLLMEKIDDEFLFWIVELDMTNKVLRSLPTLYIQVEEEEEDDEIKKVQEVQGPSRCKAYKRVFHGEYFFPSHFTSYLRKNPIQRFDMCPFCLVIFFRLALATLCLFSNMKGTRWLNG >ORGLA12G0148500.1 pep chromosome:AGI1.1:12:16714171:16714704:1 gene:ORGLA12G0148500 transcript:ORGLA12G0148500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDGLRIASAVRPSPAAPSRRLLRSCEKREIFAENGVDEHGKPTHKVVHRVTDADNNLRARFSTPVCRPQMRSLPLPASHCLPHPPRTSHAAWPPLHASPSPFQHWPLAASPSLSLHRPVSPVMPHIPFLRPLVSSFSLLIFTAHCRLSSHL >ORGLA12G0148400.1 pep chromosome:AGI1.1:12:16696874:16701087:1 gene:ORGLA12G0148400 transcript:ORGLA12G0148400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:I1R7H8] QEKLRVAVLVSKAALQFIQGLSLRSEYVVPEEVKAAGFQICADELGSIVEGHDSKKLITHGGVTGIADKLATSPADGLSTAEESIKRRQDVYGLNKFTESEVRSFWVFVWEALQDTTLIILAVCAFVSLVVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIQVQVTRNGFRQRLSIYDLLPGDVVHLAIGDQVPADGLFISGFSLLINESSLTGESEPVVVNEDNPFLLSGTKVQDGSCKMLITTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFIVLSQGLISKKYHEGLLLSWSGDDALEMLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGNIKEVNNPKNASDLCSELPETVVKTLLESIFNNTGGEVVIDQDGKYQILGTPTETALLEFALSLGGNFKAKRDETKIVKMEPFNSTKKRMSVVLELPGGGCRAHCKGASEIVLAACDKFMDETGAVVPLDKTTADKLNGIIESFANEALRTLCLGYREMEEGFSVEEQIPLQGYTCIGIVGIKDPVRPGVRESVATCRSAGIMVRMVTGDNINTAKAIARECGILTEDGLAIEGPEFREKSLDELLKLIPKIQVMARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKREPVGRTGKFITNVMWRNILGQSFYQFIVMWYLQTQGKSMFGLDGPDAEVVLNTIIFNSFVFCQVCVPYQICFFFSIFLKEVSCFSPVFNEISSREMEKINVLRGILKNYVFLGVLTSTVVFQFIMVQFLGEFANTIPLTRLQWIASVLLGLIGMPISAIIKFLPVGSS >ORGLA12G0148300.1 pep chromosome:AGI1.1:12:16689334:16692854:1 gene:ORGLA12G0148300 transcript:ORGLA12G0148300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXLLLLLLLLLLLQDELLGLADDPDADPVFKNAALDMDVLYRSGETHQGVATYCPRLVSVGSRVYILHVHGGIICRVSWLFEFIRSGNVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGGSNSQKSVEDKDLIECLENGVNFWTDYSKVHYHPQSLYELYGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTLVLLYCVRDPMTLGPSRRNQRESIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSCMSPYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDISELVHILSDQGRQNMVTALDVAMPAPSLTDRDAMGNIKMKLHSLTPEISDEDEDPYSVESLVVHGALDRGGQRTSISQVKDSVCSVFEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHAEESQPKGSLDIESIPMAARLRSSSAVLPFIERRSGSLQKHGVARGAIGSLVLHDWGFGREEVEDMGEHLAKLLRPFHPEMDLTSDSD >ORGLA12G0148200.1 pep chromosome:AGI1.1:12:16685412:16687653:1 gene:ORGLA12G0148200 transcript:ORGLA12G0148200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSASAAQREEAAAAAAFVLGGVDMRMLAARTATGALARAGGGEAAAAAAAARFEDCIRSLEAEKAKMEVFRRELPISVHLIADVIEWLKDEVEKQRLLRRRQVEAPAAAPPPEMFAPPATAKRKSAASAAAEGVKAEADANDKRSWMSSAQLWSCGSHTSTSTSNGGSVKKQQHKVSNAFMPLATSPAFAKSLEKADAATPLPAAVPDLSLSSRVAMADAPAFPAAPSATSSAVTDVAGAQRQQAVQRKARRCWSPELHRRFVAALQRLGGPQAATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRASDGGGGGGDHQTVGGGLWPPPPEQYTTSQHSTSQSGSPQGPLQLTVSSSHAVSVTAGDSCDGGEEEEEEDGKSGSYSWEMQNGARASSSS >ORGLA12G0148100.1 pep chromosome:AGI1.1:12:16670039:16672290:-1 gene:ORGLA12G0148100 transcript:ORGLA12G0148100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAAGPLGMEMPIMHDGDRYELVKEIGSGNFGVARLMRNRASGDLVAVKYIDRGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKMEIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTIQKILGVQYSIPDYVHISPECRDLITRIFVGNPASRITMPEIKNHPWFMKNIPADLMDDGMVSNQYEEPDQPMQHMNEIMQILAEATIPAAGTRGINQFLTDSLDLDDDMEDMDSDLDLDIESSGEIVYAM >ORGLA12G0148000.1 pep chromosome:AGI1.1:12:16665496:16669483:1 gene:ORGLA12G0148000 transcript:ORGLA12G0148000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLFEELAGEAVKELLRAVRGTFFCRSTAERLRRNVEPLLPLVQPQAAQGGGGWGHGRSAGELAELAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDDEEDESMVGGGVKGGQGEGEGDGDERRRRRVGGRRNLRHGRQRQDHARHGNLQGSQNPRSVHKRQLYLILCWFLWKGYFSDRVFFETISQSANLDTIKMKLWEQISGNLVLGAYNQIPEWQLKLGPRDKGPVLVILDDVWSLSQLEELIFKFPGCKTLVVSRFKFPSLVTRTYEMELLNEEAALSVFCHAAFDQESVPRTADKKLVWQVAAECRGLPLALKVIGASLRDQPPKIWLSAKNRLSRGETISDSHETKLLERMAASIECLSGKVRECFLDLGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAILVELSNKNLLTLVNDAQNKAGDLYSSYHDFSVTQHDVLRDLALHMSGHDALNNRRRLVMPRREESLPKDWQRNKDTPFEAQIVSIHTGEMKESDWFQMSFPKAEVLILNFASSVYYLPPFIATMQNLKALVLINYGTISATLDNLSAFTTLSDLRSLWLEKITLPPLPKTTIPLKNLCKISLVLCELTNSLRGSKVDLSMTFPRLSNLTIDHCIDLKELPSSICEISSLESISISNCHDLTELPYELGKLHCLSILRVYACPALWRLPPSVCSLKRLKYLDISQCVNLTDLPEELGHLTSLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETALLWREAEQVIPDLRVQVAEECYNLDWLVD >ORGLA12G0147900.1 pep chromosome:AGI1.1:12:16623491:16623781:-1 gene:ORGLA12G0147900 transcript:ORGLA12G0147900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPKPSPRLLLPLCPYGQIGERERRGGRASPVSNHRATGSVPRHHHRRRLQAGGSHCRLEGRRRQSQLDLREGGEGGQGHRGHPTARSAARAPPTVGS >ORGLA12G0147800.1 pep chromosome:AGI1.1:12:16617279:16619227:1 gene:ORGLA12G0147800 transcript:ORGLA12G0147800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVEAELGEQWQQCRMSLGMGAAAMGCEGHRCRPPHRLAYTQSPSPSKLRKTTHTSFGSTLKCDGHYQLHPAVAANLHVELDPAIESTVAEWSPRRSCRVPSSEMAAVCDLDSIATTGGLMGMAFHRCSARL >ORGLA12G0147700.1 pep chromosome:AGI1.1:12:16599456:16600511:1 gene:ORGLA12G0147700 transcript:ORGLA12G0147700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGFHGAAAASPTTVARAGRVMRAAVAAFFHGYHCYTSVAGLLVLPFSAAVLVSGAMASPSSGALAAVSARIRCMFDAAGFPPSSFFALLNAKLSQTVFTFAAALPFTLTFLLLAKACVAAMLRPDDDGEGVERRGRGVARATRLPPCGSVAGAYPAMVATHLFGAFVMLSANAAVFSLMFLAFNGADLLGLTTTSHAAATLALSAAGAIAYSVAVGIATVVCNLAVVVSAMERRAGHAAVLRACVVIRGRVPTALALALPTNLGMAAAEALFQLRVVSQAQRHRLAGAGDGKRLAAGVAGEAFSIAYIHALCVVLEIIVSCMFYRSCRRSEADELRELEPEEKGDLQA >ORGLA12G0147600.1 pep chromosome:AGI1.1:12:16590927:16593350:1 gene:ORGLA12G0147600 transcript:ORGLA12G0147600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKTAFVGNLPANVTEEYLRKLFEHCGEVVRVAVSRKGQYPVGFVHFASRTELDHAIKEMDGETVRGPDRGATFRIQVSVARPVVENDKKRIREEVKTRRSNVSRDKPDHSYGRRGHDSYDRQAKAPRLYNEVSDTDPYEAAVVSLPSAVKELLLRILRLRIGTRYDIDIHCIRSLNELPEKAAVAVLNQFLISGADKHNKGDYFASLIAKYQAETFSSALRLQGSTYLPRNPEIQNKRFPHQDYEYTASGSSRYSSLGDYPSSSYVDDPASSQSRNRRYDEYRPDLVRYPDSRSRQEEIVRIERYPEPRFAHEPRQDTGRHLDLGYVQERNSNIERSAQVAFSSREGGYLSASRYNTNIVPEFSSRSSAEYSTACQQVRFDPFTGEPYKFDPYTGEPIRPESNPLRSGSLY >ORGLA12G0147500.1 pep chromosome:AGI1.1:12:16585562:16588088:1 gene:ORGLA12G0147500 transcript:ORGLA12G0147500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WCVLETQSAKSSLFFRFNPPWGRRIRRRRSGRSPPRPIWCGFRRRNRVLTFLCPFFFFFFFVARGGFQEKRGAASHGDYDEQDRRVKGAEVFVGGLPRSVTERALREVFSPCGEIVDLRIMKDQNGISKGYGFVRFAERECAYIAKRQINGFEARISNFLFDLQGKRLAVDLSLDQDTLFFGNLCKVLFDQH >ORGLA12G0147400.1 pep chromosome:AGI1.1:12:16582027:16584263:1 gene:ORGLA12G0147400 transcript:ORGLA12G0147400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTPVMMRRARARRPFAVLAAAAAPPPSAGRNRENVEGEAMSFSQCVRRFGKPAAKKGSARTPRRATPVAAAAYDAPRAPLWDRSEERERIRARLSSPDDGGEEEEGSSGKKRTRTRTRTRTRRSVALREAMAGLPEHGDGRVRYLVDTFERLLSLSSDPGEQSRRRRKKKTPVARKTGSSWPPPPTPTPTTTRADEIDVSYPSIASSSEVSFPINGVVLRRSIARDEPPRRQKRCSGICSSERSWSRKKIGVTIQRPFNLRTERRGKMKEESLVQRMKNKLLEEERLRNPVAQGLPWTTDVPENPVKPLGKEPTEPIDVVLHSEIRAVGRARFDHQVAERNSFLEKLNMERERQQKLDEELEIKQLRKEQVPRAHPMPDFSKPFVPKRSVKPQTVPREPKFHPRLTRSSSKT >ORGLA12G0147300.1 pep chromosome:AGI1.1:12:16574477:16578568:-1 gene:ORGLA12G0147300 transcript:ORGLA12G0147300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:I1PI47] MYKMMYPSWNDISVYISEYWSVIIATVIFASVTGVTIYYTVNQLNKNISLSLMKAIRARARKYKKLKDKVPASSHIWRKELGSRSKGLKCCVCLKSVSSPQYMGGVIHQCDICGATAHPSCSGNAHKDCKCVSMVGFEHVIHQWAVQWIDTSDRSEEDSFCCYCDESCNGAFLAGSPIWYCMWCQRLVHVDCHNNLSIETGDICDLGPLKRLILSPLCVKELHWTGAAGLISSITHGANELASNVRERIRSRGKKYRKGTISVDSDSSGTIDPPSDIEGDSQETNNAAKRREDHANGELPEVHESSESENDKQLLTENTTSIPNGQHEDSHVHNNQKYEIVDVPSDSRPLLVFINKRSGAQCGDSLRQRLQILLNPIQVFELGKQQGPEVGLTLFRKVPHFRVLVCGGDGTVAWVLDAIEKQKFEAPPPVAILPAGTGNDLARVLSWGGGLGIVEKQGGLFSVLKDVEHAAVTVLDRWKITIKDNQGKLMSQRKYMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAKEGAKNMMDNTFDYFPWDVKLEIDGSKINIPQDSEGILVANIQSYMGGVDLWKNEDDVSDNFHPQSMHDKMLEVVSFTGMLHLGRLQVGLSRAQRLAQGHHIKIEIKTKMPIQVDGEPWSQDPCTIVVSHHCQAFMLKRVSEEPIGHAASIMADVLENAENNGIITASQKRTLLHEIASRLL >ORGLA12G0147200.1 pep chromosome:AGI1.1:12:16569618:16572663:-1 gene:ORGLA12G0147200 transcript:ORGLA12G0147200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1R7G6] MRFLIMAAIRWVVLAYIVVIGCATIARGNEQPLSRIAIERATVAAVDSASVKAQPTVLGLKFQYANFNNADYNRSGKGLLRLQLINQREDFSFALFSGGLSAPKLIAISNKVSFQNPKAPVYPRLAQGKSWNEMTVTWTSGYSIKEAIPFVEWGHKGGNQMLSPAGTLTFSRNSMCGSPARTVGWRDPGYIHTSFLKELWPDSLYTYRLGHRLLDGTHIWSKSYSFRASPYPGQDSVQRVVIFGDMGKAEIDGSDEYGNYEQASLYTTNQLIKELDSIDMVIHIGDLSYANGYLSQWDQLTQQIEPIASTVPYMIGSGNHERDWPGSGSFYGHNDSGGECGVPTQTMFYVPAENRAKLWYSTDYGMFRFCIADTEQDWRPGTEQYKFIEQCLSSVDRSKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGRDGLEELWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNLYTGQFNATTHVIVGGGGAMLSPFRATVPYWSFFRDYDFGFSKLTALNHSTLLFEYKKSRDGKVYDHFTISRDYRDIMACSIDNCPRTTLAV >ORGLA12G0147100.1 pep chromosome:AGI1.1:12:16562687:16565897:-1 gene:ORGLA12G0147100 transcript:ORGLA12G0147100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1PI45] MIRLWVVVTWLVVCAAAAAHPGEQPLSRIAVERMVLAVNESAHVKASPLVLGLKGETNEWVEVEFFNPNPSNADWVGVFSPADFSSAICEAFGVPQYYPMLCTAPIKYQYANFNNNGYSKSGKGKLKLQLINQREDFSFALFSGGLKNPKLVAVSNKITFANPKAPVYPRLAQGKSWNEMTVTWTSGYDFKEAVPFVEWGAKGGQRVLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKELWPDSLYTYRLGHRLPNGTNIWSKSYSFKASPYPGQDSVQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLKNIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGMGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKLWYATDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTFYEEEGTFEEPMGRESLQELWQKYKVDLAFYGHVHNYERTCPVYQSKCIVSGSDHYSGPFTATTHVVVGGAGAGTSDSEFTTSNIKWSYYRDFDYGFVKLTALNHSSLLFEYKKSSDGNVYDHFTISRDYRDILACSIDNCPRTTLAT >ORGLA12G0147000.1 pep chromosome:AGI1.1:12:16557379:16560603:-1 gene:ORGLA12G0147000 transcript:ORGLA12G0147000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1R941] MIRLWVVATWLIVCVAAHPGEQPLSRITVERTVLAVDESAHVKASPWVLGLKGQNSEWVEVEFFHPSPSNDDWIGVFSPANFSAAICEPENKRQRPPVLCTAPIKYQFANFNNDGYNKSGKGYLKLQLINQREDFSFALFSGGLLKPKLIAISNKVAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAVPFVEWGAKGGRRFLSPAGTLTFDRNSMCGAPAQTVGWRHPGYIHTSYLKDLWPDSLYTYRLGHRLPNGTLIWSKSYSFKASPYPGQDSLQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLENIDMVVHIGDICYANGYLSQWDQFTAQIELIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIAHTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCSYYEEQGTFGEPMGRDTIEELLQKYRVDLAFYGHVHSYERTCPVYQSQCVVDASDHYNGPFKATTHVVVGGGGASLSEFTTSKIKWSHYTDFDFGFVKLTAFNHSSMLFEYKKSRDGNVYDHFTISRDYRDILACSVDNCPRTTLAT >ORGLA12G0146900.1 pep chromosome:AGI1.1:12:16547099:16550102:-1 gene:ORGLA12G0146900 transcript:ORGLA12G0146900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKRHKNVEAGKGSFHRMILGQLVGEFGFDEENVPCNTPRSSVRSRSGASTSRIVASTSGSGTGGVLVSPGEYVRDPGSILSLQPWIFKRSGSQKNEEKMMLAGGSRVVGEGKNLMDSFRDGSAVEVSPRSPGLGSGPGRGRGALRSRRSRRHLIRPLVPMENSYIPQLYSEDFEIDECMFGPVPSPASARPFIVTDGRRVISKSRYQPVPVPFRIGFEKEGYRNSSDMAVSVIGIAPLPELKKSKRERQEFHNAGMSLSALQSSKPSKSTGLLDRLHIFSTGVSIGIISSTLSKKNELDALKGTVKRMENLVQDLHDELEMREGLTVKELPNEMSVKNDDDESKAHVTDSEPMSKIEEELEAELARLELNITSNHLNEQTFDFSEVDQDLIGDIVQGELKIDMAHRDLADYSSESAHGRDSRESSPDYTHDANYPVSPRDLSLRLHKVIQQRLEERIKELETALAQSEKQTQVQVMATEQILCERTCSDSDSGSPNQESPVYIQETNSLAEPFCLNLAGDALEAYDEAYEEFMRIADSPCTTSTNGKPQVHEDYSVDRSLIWGLEDGSARKLKKVPTWERILKSGEPNRTQDSDGDDEDEFEEDDDQDSKMLIQQIVERTKQGSPVLIHAQRILFSVDD >ORGLA12G0146800.1 pep chromosome:AGI1.1:12:16534692:16536635:1 gene:ORGLA12G0146800 transcript:ORGLA12G0146800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVEKVKALWDSQVNDEEAWAFNYKLMKAGGLFVASIFVMRNFGDLMAI >ORGLA12G0146700.1 pep chromosome:AGI1.1:12:16531359:16532029:-1 gene:ORGLA12G0146700 transcript:ORGLA12G0146700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAARAVAMPSLSPATVAARPSSRRLHKVAAMATQKPTSGTRRGTTVYFPVGEPGPRQTTSGKAAAPPVKLLTNVEKLRLLTKAEKAGLLSAAERAGLSLSAVERLGLLSKAEELEVLSAATDPGTPGALLGVALLLLAAGPAVVYLVPEEYPWEVAVQAVVALACVVGGSTAFAASSFVSKLQSSSS >ORGLA12G0146600.1 pep chromosome:AGI1.1:12:16525607:16530166:-1 gene:ORGLA12G0146600 transcript:ORGLA12G0146600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALVLSGGGRVVVAPSAAAAAAVAAVGIQPSDEGKGVTVDELKAALRRRGPDSLGCERLRVRADGTTLGSDGCDCGVGNGGDVGDTELCFIGATLQLRGAEPILQPMVGQSGNVLVYNGEIYGGVHVADDQNDTQSLLSSLESCCSCECHALFRDEACLCCGSVGKSVPQILSTIKGPWALIYWQKDSKTMWFGRDAFGRRSLLVHWPSPDDPRFILSSVSPPSFASNNSAPTVKVMESGEDNDFPESTNMSYWEELPCGIYSIQLKSLEKSGMCMKEACVSEVRRHDWINSSLDELIQWKRKSIVPTVDDLTSHQNSVGDYCLSQSFRNSTEADKNAAYKVLIALRESVMLRTNLNRLFQDDLNKLKDDELAPIAILFSGGLDSMILAALLDQCLDSKWTIDLLNVSFDGQLAPDRISALAGLKELQRISPIRRWRLVEIDTVLTNLKGESEHVMSLIYPSNTYMDLNIGIALWLAAGGDGWVDGSICNIQDGCRYKYKSTSRVLLVGSGADEQCAGYGRHRTKYRLGGWVLLDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLEIPLWDIAKLDEPVGKGDKKILREVANLLGLKEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHQRAR >ORGLA12G0146500.1 pep chromosome:AGI1.1:12:16523549:16524643:-1 gene:ORGLA12G0146500 transcript:ORGLA12G0146500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGGSGSGSRDEYGRAVARAAVAQALEAAGFDCAHRSAVDALVDVSLRYVVHLGRTAAFNANLAGRVLANEYDIIQALEEIGTDFDGFVGAATSDRCLVGSGVVRELIDYVESKPEVPFVRPLPSFPVPRVEPQPAQSFAMAGKESGMKHVPEWLPVFPDPHTYIRTEVWSEEEAKARVDKVEQVRQRRKAEKSLLSLQRRLALAGADGFRPAVTENTVEKGKEIQVAGSKRNPFLEPALPPGEKEVSDVAMQPQRRKISVLDAFAPAIQAANMMDIDTGPGWDNNQSQKSIVPKERAPVHLKIGIDKKPLSAALNSKPLDLREDPSFLKEEVKDERKRRAGMILRASMENPQELPQL >ORGLA12G0146400.1 pep chromosome:AGI1.1:12:16511641:16513698:-1 gene:ORGLA12G0146400 transcript:ORGLA12G0146400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLAVVLLLLLLAALAASQEFTYSGFRNGGGGGGAGNSPNLTLNGVTELRPDGILRLTNETSRLIGHAFYPSPLRLLAGGAAVSFSTEFAFAVVPEYPKLGGHGLAFVVAPDPRLPGALPSQYLGLLSAADVGNATNHVLAVEFDTVQDFEFGDINDNHVGVDLNSLVSNASASAAPVNLKSGDTIVAWVDYDGGAKLLNVSIAAASASKPASPLISFHVDLSPIFLDQMFVGFSASTGLLASSHYLMGWSFKLGGGAAPPLDVPSLPSLPRPAAGGKNRTSAILAAAFSAFVALVALAGAAAYAAHRYKNRDVVEPWELDYGPHRYSYAELRRATRGFRDRELLGAGGFGKVYRGVLPGKPPRTVVAVKRVSHESRQGLREFVAEIASIGRLRHRNLVQLQGWCRRRGDLLLVYDYMPNGSLDKHLFGDGLAAARLTWGARVKVLRDVAAALLYLHEGWERVVLHRDVKASNVLLDGDMSGRLGDFGLAKLHEHGANPSTTRVVGTLGYLAPELTRTGKATAAADVFAFGALALEVVAGRRPIEPRAPPEELVLAEWAWERYAAGEVGAVVDARLRGEFDAGEAEAAVKVALWCSHPAPAVRPTMREVARYLDAGGAAEVPEPPPPPPPPPVSSGEVGYYDFVHSYPTSSYERAAAAADGVTQTSVATFPYSPLSMRSSHVSV >ORGLA12G0146300.1 pep chromosome:AGI1.1:12:16506729:16506968:-1 gene:ORGLA12G0146300 transcript:ORGLA12G0146300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYYIKKFLSWVHPDRSLIIAIKWMCFRCSCSLMMPLKLPLNLPLKLPFKLPLKLPFKLPLKLPFNLPLKLLPSTQHV >ORGLA12G0146200.1 pep chromosome:AGI1.1:12:16499811:16500267:1 gene:ORGLA12G0146200 transcript:ORGLA12G0146200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQGGSRPLDQFFDRMETMSELGFVGSDVDDGEHGGGGAAPSMWDNLAGGGGGGGGSAVAATTPANLLQQQYVLSNLLC >ORGLA12G0146100.1 pep chromosome:AGI1.1:12:16484220:16487534:-1 gene:ORGLA12G0146100 transcript:ORGLA12G0146100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDEEMGHGDRSLLFIGDEDDDLEADRDGGSTPSSDPGSFSDRSDPPSVDDIDEDEDDDDVVGDGRRAPRDDDDDQRGTWPQSFRQSIDMMSAVPSPAMSSIITAASPNLGRLAAVGSSLLKRATSSAVGQEGSSLPLSRPLLPPSSLSQLSTASGPPVRDSADSLPPRARPPPPPLHGESVVPPPLPRPSSACLRSNYIDLPPPSTRCGQKQAILNGLNVLCGVGILTTSYGIKQGGWLSLILLPLLGCCSCYTGLLLKKCIDSSPSIDTYPDIGQAAFGIYGRIFVSVVLYLELYACGVEYITLLGDSLSSVFPSADLAFGGIYLNAHNLFAITMALAILPSVWLKNLRLLSYLSAGGVIATTTVIVCLFWVGIGEGVGFHPGGTALNLTHFPVALGLYGYCYSGHSVFPNIYSSMEERPKFTFVLLFCFIVVTFVYAGVAVAGFLMFGESTMSQFTLNMPQQFIPSKIAIGMTIINPYTKYALTLTPVALSIEEALPRRMQTYQVGMCVRTALVASTVVVALTFPYFALVMALLGSVFTMLVALILPCACYLSIKKGSTPLWEVVLCITIILLGILCACVGSYTSVSQMISR >ORGLA12G0146000.1 pep chromosome:AGI1.1:12:16475422:16478084:1 gene:ORGLA12G0146000 transcript:ORGLA12G0146000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETSRRRRRWLTPAAGRRDRLPPQLLRLRATTGRTPTTAARSATRRRRKTKTKARRIKKGCTAHLRLMIFQGLVVIILSRLKHGIDFQIFAFKALFALILFRAFNDPLTDKRRHWFGSDYRLDDESEINVNSARTVDCLNGCRCHSMNLLQLIDLKISGYRHTQPGRAKIFGFFAVRDDLEPLRNYVFRHAIDNYEAVSVKPKTGMACLPLTSPARGICLTSHALFEFQLCIWTEDSPEAEDEPKGDTLIEGCTEFTNILRSTSFTQTVRLYGEKCGLDLKFALLVNAVQATVDVEIIHSPPCGLNLKLYAKTSGFSDVIRLFQGAAQSGHRISSVVAVVRCSHLDLCIEGSPAGIGLGEKLPRVRWEHRFGAGFHGIEDEVVKLGDFSTISVKVTWKAVGKRPAPKG >ORGLA12G0145900.1 pep chromosome:AGI1.1:12:16468976:16471679:1 gene:ORGLA12G0145900 transcript:ORGLA12G0145900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGSGDESAAAASTDPGRGRKRPPSPSTPTPSDDGEDSDDGWAVSDSGSEEEEEEYDDEEEQEGMHRPFTVDDFPRLSSDHSVQTDALYDIPHLRLRGPSPLSLFRAFNDPLTDKRMHCTSLDCIITHLLLGMACLPLTSPARGICITSRALFEFQLCIRTEDSPEAEDEPIGETLIERCTEFTNILRSASFTKTVRLYGEKCGLDVKFALLVNAVQATVDVEIIHSPACGLNLKLYAKTSGFSNVIRLFRGVAQSGRRIRSLVAVVRRSHLDLCIEGSPADIGLGEKLPFVRWEHKFGAGFHRTADEVVKLGDFTTISVKVTWKAVDKRPPPKG >ORGLA12G0145800.1 pep chromosome:AGI1.1:12:16459872:16460390:-1 gene:ORGLA12G0145800 transcript:ORGLA12G0145800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRDGKVMATGTARSWARRSQCRLSAAPATASPDLVSPLGRGDRRRARSSPAPLRGPGRGVASGGDGGGCGGDEVGDDGGRLRRVPRRRPSSRTSPPRGRRRALVNAPAVNAVPVTPSSMMLATRASRCTSDPRHPGRIWTVGVXRRWLATVAARRWWLDGGVRGGGGGDGG >ORGLA12G0145700.1 pep chromosome:AGI1.1:12:16450324:16452540:1 gene:ORGLA12G0145700 transcript:ORGLA12G0145700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAADSFPAGGDDAIRDVYGIGGGGEEDDPSLFLYLSDLAPVSPSAYLDLPPSPPPPTTTATTMVKEGEEAPEDLVLPFISRMLMEEDIDDKFFYDYPDNPALLQAQQPFLEILSDPSSNSRSSNSDDPRLSPTSSSDTSAAINSYDAAATATAVAAAAVPVPQYESIELDPAAFFAAANSDLMSSAFLKGMEEANKFLPTENKLVIDLEASSENNYLRGLEEAKRFLPSDDKLQVGFAAAAAPVVSVKKEAVDVVVATASGGGGRGRKNPYDDEELELEGGRSSKQTAVQGDDVAARAMFDKVMMPSHENCTEMMEKLRIAMKEEAAKNEASAGGKGGNGKVKGGRRGGRDVVDLRTLLIHCAQAVATDDRRSATELLKQIKQHAKPTGDATQRLAHCFAEGLQARIAGTGSLVHQSLVAKRTSAVDILQAYQLYMAAICFKKVSFIFSNQTIYNASLGKKKIHIVDYGIQYGFQWPCFLRRISQREGGPPEVRMTGIDLPQPGFRPTERIEETGHRLSKYAQEFGVPFKYNAIAAVKMESVRKEDLNIDPDEVLIVNCQYQFKNLMDESVVIDSPRDIVLSNIRKMQPHVFIHAIVNGSFSAPFFVTRFREALFFYSALFDVLDATTPRESEQRLLIEQNIFGRAALNVIACEGIDRVERPETYKQWQVRNQRAGFKQLPLNPEIVQVVRNKVKDCYHKDFVIDIDHQWLLQGWKGRILYAISTWTPNDALSYF >ORGLA12G0145600.1 pep chromosome:AGI1.1:12:16433836:16435865:1 gene:ORGLA12G0145600 transcript:ORGLA12G0145600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDEIVQVDVLERHLLAGLSPDDYKGISEDEILYDASFEATEDKFVKYQITWWILLSVLLILAWGVGLLMLLYLPIWIYVCRKDFRSRKLCLTPHAIVYKVTRPATFPCFGVLRNEKHVVLHSVSDIVVEQGYLQSLFGIYSIRFENIGVRRPSSNDIKITGISHPHDFRKAVLVHLLNTRNLNLSRKAYVHDDQQSTSSKPITMSSVPPLGDLILEKLDEVEISVKKMQTLLEDVERSRMKT >ORGLA12G0145500.1 pep chromosome:AGI1.1:12:16431173:16431628:-1 gene:ORGLA12G0145500 transcript:ORGLA12G0145500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCRPVVGHGRPTVAEGDLAAMHIVVDLAMEFFSPTVMESLMLEDKAMRLEILEVSNKECRREEEARGIEKAATTSCLPQLLERVARGGDNHCYNGQWTCLFPVDLHNHYRRLCMLGLERLVAWWLCLGPDLLQRYIIDAAGAVSRSHPT >ORGLA12G0145400.1 pep chromosome:AGI1.1:12:16422043:16427161:-1 gene:ORGLA12G0145400 transcript:ORGLA12G0145400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAKAAAREDKAAAAATGKETAVSRAVAEDDTALLLAAVGSFRKEAMGRLRKGSDASRMLDQEMSTRLLHLACKHDAVQCARLLLEGGHGITASPVDARDQLTRTPLQVAAEAHSARCIELLLSKNARTDLKLVDGRPLVALEIALLSRRAQVKWTLDNSIEDLLSSLQEKDLNAVRLLAEKTREVGEVAYRYAMEGRVTVLAMLLLVAEEKISAPVSVVIEGVRTKKSIYYSIVDEALSIGDAPARDSNERRKALLSEIQLLNQFGAALWRDRNIDKRSLPPLLKAAKVGDVNVTKMLLMGDVDVNEADPEGNTALHWCLSGSSSTQEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDTPSKTKETPLFLAVKNGSLDCVKLLLRSGASTKVQNLRKQRPIDVATSQDMRFILTSANVAPWNRSSHPKKSVTNKESCKEFLEDFGDYDSDDLNESFTGLKTSASHRDFRSSNGSAQGGKSKNHCAPKQGSKFVPRPNHWPKHDYTRKIFVGGLPPSVGAEYLTEFFTAEFGPVEEAVVIGIRMGDRVQSRGFGFVKFKREEDVISAKETHHVYMLGKRVEVKDAVARGSLPAEIQKTAPFRHHSQEVPKVTHHLLDGELKEEHYIRKRRPLPEKCLPSWFFIFRKWLPGFLADATERLGDRYPLSSLKGDFRAICRMELDHGTLGYPKLSDFMRSLPGICRMCVVPVGSGPATHMVLLPPVSRPKYVPLLEPFSFDHDELPESVSDHQSPRSPLTTNITEDSPRNTDSQQGDTCSESNVQSHQGDECCGSNTESQQDSASTDNGSLLSEVTVSTPKPDSIESIPTGKSDLIELVPTRKPHLIDTVTTRKPDLIVTEPTRKPIVIEPVPTRKPSVIEPVPTRKPMAIEPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVIESASLTQKIVSEPMRKTDLFEYGLARRIGLIGSRPTTCFVDCPVERPAVTPSNCEADMRFSFFQSQWDRFLAPYPKSDYCIICRSYDAAMQLVPCLHKICVACMMRCNVRACMTCGTAGVMERASDQRCQLMVVCRGAEAIVRCSPCMHSIACRGCFLASVTLLKGCTTCGCMIEHFKFC >ORGLA12G0145300.1 pep chromosome:AGI1.1:12:16418686:16420002:1 gene:ORGLA12G0145300 transcript:ORGLA12G0145300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGAGGAGVLPATGWYDTNQFTLEVIFHARMRRYGCLTADASRAAAVYVPYYPGLDVGRYLWGFSNGVRDLLAEDLAEWLRGTPAWAAHGGRDHFLVGGRIAWDFRREDGGGEGSQWGSRLLLLPEAMNMTALVIEASPWHRRTDVAVPYPTYFHPWRPSDVSSWQRDARRARRPWLFAFAGAGRGNGDDHDRHHGGGVVRDRVIAQCARSRRCGLLRCGARGRRDDCYDPGNVMRLFKSAAFCLQPRGDSYTRRSVFDAILAGCVPVFFHPGSAYTQYRWHLPRDHAAYSVFVPEDGVRNGTVRLEDVLRRVSAARVAAMREQVIRMIPTVVYRDPRAPSARGFTDAVDVAVDGVIERVRRIKQGLPPGGDDDDDHRWDAYFDTQ >ORGLA12G0145200.1 pep chromosome:AGI1.1:12:16414240:16416324:1 gene:ORGLA12G0145200 transcript:ORGLA12G0145200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAAAKQQLGAKCRELEQKSDELEDLRRKKKIQESETDAGVHQQQQHHEKSSPEPGPELVQSKGLLLLAHLLDGFSVEFESVCDAGRTLIKYGDMA >ORGLA12G0145100.1 pep chromosome:AGI1.1:12:16398497:16398706:1 gene:ORGLA12G0145100 transcript:ORGLA12G0145100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSLRNDMDASEAGGPVRRCEDCLQYGHCTRDCKNNKEGTSSSMEPRQQRAMKNRRGSQGAHDREEGNLQ >ORGLA12G0145000.1 pep chromosome:AGI1.1:12:16392397:16394326:1 gene:ORGLA12G0145000 transcript:ORGLA12G0145000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRGVGGRLAALASKVDSKIYYLKDVLVEYMDMKNVVAEIAEERERFQLEQRGNDALLEAMKEELVAANNELKAAKEEISRKNNELESVKKQLQESEARNIQAEQQSGIVVELMQPRGVQTRSMQKRKRPLQGPSGCEADDQEYTSQINVQSPRCLESMRTPDVKKRSVQKQKHLSQGLPGDPGELQLMEGHLSDPNAGEASGALVSKDDDLEAVREELIKGFLDIDNGGRKLGIKEMGQLNEKVFQIACLAKLPPEEVGEASYELYSSWQKQLSDLSWNPFKTITVDGNGKEIVNVDDEKLQELKRDYGEGAHKAVMNALMEMKEYNVLADRSIAYELWNYKDGRKATLRECVEYVCNQVKQLTVTKRRKSRSGG >ORGLA12G0144900.1 pep chromosome:AGI1.1:12:16384557:16387066:-1 gene:ORGLA12G0144900 transcript:ORGLA12G0144900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYRSPPRRGYGGRGRSPPRRGYGGRREQGSGSLLVRNIPLSCRGEDLRVPFERFGPVRDVYLPKDYYSGEPRGFAFVEFVDPYDASEAQYHMNRQVFFGREITVVLAAESRKRPEEMRSRARVRGYSGNEGRRSSYYGRSRSRSRSPRYRGRPRSRSYSPAPRRRDDYSASPPRKDTHPTKSPRRQPKEHDEEKKRRSYSPASRDGDPRDADNGYEKRSPPPDSDGSPPHRRSPRHSSGSPPGSRSRSADVSPARSD >ORGLA12G0144800.1 pep chromosome:AGI1.1:12:16376454:16379465:-1 gene:ORGLA12G0144800 transcript:ORGLA12G0144800.1 gene_biotype:protein_coding transcript_biotype:protein_coding YHXLRRAYLCNRCPARPSVVVQITFAPPPSRGRPYSRQEVRPDSDRPDRILLKLSKCAHQLFNDCSTQASPERVQMVTRSQRLQGLELLENGVQNQKLVPNDHYVEEQNITNADWRTNCYEYYPDGRIKRRRGPTKLANVENLPEGVKIIVKLDRFNVPCSQSAIVLGSYXGTLVRKPHLAPLNILQWNHKLYKRAYHPKMISEVELDGKWRQYRSKLKKGYYKPNLPMERVLQTVPKTVAESQWATLVSYWYLEDSKNEVYMKLAEKRVDGQELSEADFEQAMLEVFGKDHRGRVRGMGPTITPTNYYGGRFSNISGSSEGSSSSNVNGFISFIVSYLAEKYPEDNLISWLPPSVARVIPRQEVDQNEGSQPPNTATSSLPFDQNHENQLPNTTPSSSARASSQSCSEEE >ORGLA12G0144700.1 pep chromosome:AGI1.1:12:16354024:16355590:-1 gene:ORGLA12G0144700 transcript:ORGLA12G0144700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb-like HTH transcriptional regulator family protein [Source:Projected from Arabidopsis thaliana (AT2G37630) TAIR;Acc:AT2G37630] MQPPPMRERQRWRPEEDAILLAYVRQYGPREWSLVSQRMNRPLHRDAKSCLERWKNYLRPGIKKGSLTDDEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQRELRDRDRRRLPPPLDGDERGGAGGRYDWLLEDFADKLVNDHHRRMMAAPILPPWMSSSPSSSSSPSVTLSLASAAVAPAPAAPPPTXXXXGGGEVVVAELMECCREMEEGQRAWAAHRKEAAWRMKRVEMQLETERACRRREAAEEFEAKMRALREEQAAAVERVEAEYREKMAGLRRDAEAKEQKMAEQWAAKHARLAKFLDQVAACRRWPPVEINGGGPGGAR >ORGLA12G0144600.1 pep chromosome:AGI1.1:12:16344470:16353078:1 gene:ORGLA12G0144600 transcript:ORGLA12G0144600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYNVEAAEILANEAQLLPIGEAAPIYEKLLSTFPTAAKYWKQYVEAYMSAKDDEATKQIFSRCLLSCLQINLWRCYINFIRRVNDKRGSDGLEETKKAFDFMLNYVGNDVASGPVWMDYIAFLKSMPVVTPQEESHRMTTVRKVYQKAILVPTNHVEQLWKDYENFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKYIDDIDWNMLAVPPTGSYKEEQQCMAWKRLLAFEKGNPQRIDATTANRRVTFTFEQCLMYLYHHPDIWYDYAMWHAKNGSVDSAIKIFQRAVKALPDSGVLKYAFAELEESRGAIQPAKAIYESLIAENAGMTSLAHIQFIRFLRRTEGIEAARKYFLDARKLPGCTYHVYVAYATMAFCLDKDAKVAQSVFEAGLKRFMHEPGYILEYADFLCRLNDDRNVRALFERALSLLPPEESIEVWKRFAQFEQTYGDLSSILKVEQRRKEALSRTSEDALSALENTLYDVVSRYSYMDLWPCSTKELDYLSRHEWLAKNIANRGDKSVVLTSGATLDKGDIRVGSNKKSLPQSSKVVRPEISRMVIYDPRQMKGPDFSTTASGYTKEIDEILKRLSPQMMSFITNLPAIEGPSPDMDIVLSVLMQSTLPVGDKPGSQVPGPATSDLSGPGKSGLNQNGSVHRPPRDGQSTKRKNSERGRAQEEDDTSTTVQSRAMPRDIFRLRQIQRSRGLGPSQSGSAALSSGSVFSGDQSASSG >ORGLA12G0144500.1 pep chromosome:AGI1.1:12:16330847:16331218:1 gene:ORGLA12G0144500 transcript:ORGLA12G0144500.1 gene_biotype:protein_coding transcript_biotype:protein_coding APRVVPAAAAVSAQLAAALLLLLLLHAVTVVVVAGGGGSEVAVDRYAAAGAMLLLPRRRRQQLEDEVVFPAAMAVVGAEQLQQGGSFSGLTANKQVCLQGHSCAAFAMPYTGHGCIYRNNCKQ >ORGLA12G0144400.1 pep chromosome:AGI1.1:12:16328615:16329067:1 gene:ORGLA12G0144400 transcript:ORGLA12G0144400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRDRRPAGLVAQLLLITLAALLILLLTTVAAAAPAAGGGYSARVVIVAGKKSSGSGATATAHRRVVVDDLAGMMAAALGRQRLEDVVAPEEELLIPGGLVVGAGGGGGGYGALEANQPACPRGGCAGKQPGGAYTRPCTYGNTCFRPS >ORGLA12G0144300.1 pep chromosome:AGI1.1:12:16324772:16325203:1 gene:ORGLA12G0144300 transcript:ORGLA12G0144300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTTTMQYGVLPLFVLVAGVLIVAAGAGTATDDAAGRRHRRVVGVDDDVDVAAALMDHDHHPQRRRRLEDEVVVETELPVVDGGSGGLAVRARRHYGGGGGFSYGCLSRARPACPRAGGCAARGRPYTRPCTYGNRCGHRRP >ORGLA12G0144200.1 pep chromosome:AGI1.1:12:16318414:16319178:-1 gene:ORGLA12G0144200 transcript:ORGLA12G0144200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKAAKSKSKSKSGGHGHDAAAASKKSKGGKAKANNAAAAAPATSLDALFRPCGDVKGLRFGAQLVTRALTVRRAAPLELPHLLRVADERQREAPLAFAPTTTAYIPTNFAILAHHAWHTLTLGLGTRNSKAAVFVFESAAMKAAADAAWPQVVPLGDAGRRLIRAAPGAPEMARFKFRKGCVTFYVYAARTAGARGFARADELRAVVEAVAKLKDFLDHTAMLALPGQRSIDVAAAADADAAAAAPVGVVH >ORGLA12G0144100.1 pep chromosome:AGI1.1:12:16314751:16316169:1 gene:ORGLA12G0144100 transcript:ORGLA12G0144100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLDGLPEEDKMRMAAMVDQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >ORGLA12G0144000.1 pep chromosome:AGI1.1:12:16313165:16313793:1 gene:ORGLA12G0144000 transcript:ORGLA12G0144000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSCGCGGGCGKMYPDLAEKITTTTTTATTVLGVAPEKGHSEGVGKAAESGEAAHGCSCGSSCRCNPCNC >ORGLA12G0143900.1 pep chromosome:AGI1.1:12:16310651:16311285:1 gene:ORGLA12G0143900 transcript:ORGLA12G0143900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSSCGCGKMYPDLAEKNTTITTSDTMILGVAPEKGRGEAVFEAAAGSGEAGHGCSCGSSCKCNPCNC >ORGLA12G0143800.1 pep chromosome:AGI1.1:12:16305130:16305489:1 gene:ORGLA12G0143800 transcript:ORGLA12G0143800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIKQLVTERYHGGGVRDHILRMSNMASKLKPMDLGITDDFLVHLVMASLPKQFDNFIVNYNISPEKWKFEKLIANCVQEEERIKESNGGSINYVKDNKKKNHKSPTSKAKQSQHLLQQQ >ORGLA12G0143700.1 pep chromosome:AGI1.1:12:16304746:16305129:1 gene:ORGLA12G0143700 transcript:ORGLA12G0143700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIKDIPTLKGDNYAEWKRKLDLAFILGEVDWVLITPCPIEPAELIKGENESDADWQKRQRDNAPLVMSYDIEQKKWSLANKKCLAVVKNTIEPTILGSIPECDAVSEYLERIKSQFTGSSKTYAT >ORGLA12G0143600.1 pep chromosome:AGI1.1:12:16300099:16300762:1 gene:ORGLA12G0143600 transcript:ORGLA12G0143600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CIALISSFSLSLSLSLHYILEFTTYKQSKKMSCCGGSCNCGSSCKCGSGCGNMYPDLAEKTTNTSATMVLGVAPAKEQFEGVGKAAESGEAAHGCSCGSSCKCNPCNC >ORGLA12G0143500.1 pep chromosome:AGI1.1:12:16294062:16297572:1 gene:ORGLA12G0143500 transcript:ORGLA12G0143500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKRFDTQSPGCLEGLFNFLALNQRLQMPKAIAYRKHNEGSSNTLRVKVPKPKNRSENDESVRKGTNSPKAKVGTFIWRTLMFKKKTLKKDQKKSDSPANSPSSSRLTRSRSIHHSKCFEYVVPDELASQYHTMIESSSNEVDSFHSAPPLVQESPKVPNFQESCKSSGAKHSFNAEAPCETVPQSSNDETEAASKQKSRDAATHHSKEFMDFLELFNAHRELFLKILHDPSLLVPLENQDQEASSSGAVPLNKSDSFPRPGGSSGKRNPIFDRNDSENNRRSEIQKSPSRLKSDIETAKVIGTRMPDGVEGSSVSLTESKSLRKSGTTSNRFKAIRKKIKAAVKENRKELARITKDGVFHKLPYGQKMAGFMKSPSTDKYVQEEKQMRKSYSIAESIDKYSTLYESISRDPKISPERPSTMFEGDAKLKDKKPPLSMKRIASLPEMRLYSPQRDVLSEVSDSQIVPKTHDLESGCFSSQQTDPFSICTDGSFYPDDITERTADIYSEHNYGESALLGSLEEDLRSILRSPSLPSVAQSFSHRRINSLPSFDRSFFQDRVTNVTEHSIADSEPTFEHMQLEDDDWLVKPPHPPGPYAASLKDDEWLVRPLKSSGVDTIDHEDEEWLVSTSQLPGGNAADFEDEEWLVKPVQSSSADALDSEFQFIHEFAEDAGSLHIYVNDKNEADFHYVKDILKKSGFICGEANWYASNQPLSPVIFEEAEFSCQETYTANDDPHSVVRRMLLFDLINEVLMDIYDSSLVTGPWHSRFDLRTRPIPMGSHVLGEVWGKVSYNLSLQWKPDLTVEDVVAHDVMMKDRWMNLVYDAECLALDLEDMVVDDLLDDIVLQIVLISIDA >ORGLA12G0143400.1 pep chromosome:AGI1.1:12:16289778:16290395:1 gene:ORGLA12G0143400 transcript:ORGLA12G0143400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAERRGGGGGWLHAAWLALTGGAAELITAEEVAGGGGGAVRSGSRYELVSTEEPDGDETSWESNPGPASEAALFLVAREEDPKTTTTSSPESIFACDELRVSRPEFWRWPAKKGSGGDGEPAAAVESEPFLTRRRGAKRVNDAEMEDHPFSFGRHGRMESSSSAAAAAALLLLSSS >ORGLA12G0143300.1 pep chromosome:AGI1.1:12:16282882:16283460:-1 gene:ORGLA12G0143300 transcript:ORGLA12G0143300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVCGDDQAAEAAPVRGGGEDAVVVVVSGEGAASEAGAASTTAVAGDGVVEASASVDLTGERGRRRDDEAPTTAAAVAEEEASAPPAVVVAGAGDGDDDEDGYVTPTSPRHRLQPPTVCPPAPKAARSAPTRLPARRFEGALVMAASASPPGRKRVQANPDSESDEVVVAFIRSLRQRLLPPEDEKKNPM >ORGLA12G0143200.1 pep chromosome:AGI1.1:12:16281098:16281373:-1 gene:ORGLA12G0143200 transcript:ORGLA12G0143200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQMGREVVEQAAERLADAGMIQFTALPEPRAPPPAAAAATARGRWRCRVCQVECGGVEGFREHCMSDEHYAGLQLFALHSHLFTDHPNL >ORGLA12G0143100.1 pep chromosome:AGI1.1:12:16268398:16273160:-1 gene:ORGLA12G0143100 transcript:ORGLA12G0143100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1R7C5] MAGDRAEEEEGEAPPPEARAAAAVERVAAAVEAVAAGAGAGAGAGEYRNAYRRQLLALSRRIRLLGPFVEELRERRRGEGEGEEEERALAPLAAALEAALALLRLGREGSRISLVLERDSVMKKFQGVILQLEQALCDIPYNELDISDEVREQVELVHAQLKRAKERIDMPDDEFYNDLLSVYDKNYDPSAELAILGRLSEKLHLMTITDLTQESLALHEMVASGGGQDPGEHIEKMSMLLKKIKDFVQTQNPDMGPPMASRVLDSNGDSRPITIPDEFRCPISLELMKDPVIVSTGQTYERACIEKWIASGHHTCPTTQQKMSTSALTPNYVLRSLISQWCETNGMEPPKRSTQPNKPTPACSSSERANIDALLSKLCSPDTEEQRSAAAELRLLAKRNANNRICIAEAGAIPLLLSLLSSSDLRTQEHAVTALLNLSIHEDNKASIISSGAVPSIVHVLKNGSMEARENAAATLFSLSVIDEYKVTIGGMGAIPALVVLLGEGSQRGKKDAAAALFNLCIYQGNKGRAIRAGLVPLIMGLVTNPTGALMDEAMAILSILSSHPEGKAAIGAAEPVPVLVEMIGSGTPRNRENAAAVMLHLCSGEHHLVHLARAQECGIMVPLRELALNGTDRGKRKAVQLLERMSRFLVQQQEEQESQSQASAQVPPQATPEQVPENDIPEQLDSPASQYPMVV >ORGLA12G0143000.1 pep chromosome:AGI1.1:12:16258327:16259984:1 gene:ORGLA12G0143000 transcript:ORGLA12G0143000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSLPIFLDPPNWTQSLLMQMQQQPLQCLIGGGGGGGGSDHHHLMPPPSGLAPLPSAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAAAAAAAADVIASMQAGGALLPHHLIGGLPSSAAAAAALEASLEGYHHHHHGHGHQLPFLQPPPFLQQGLHGYHFADGDVAAGAALADGGFPRGVASGLLAQLASVKMEEHGTNNGGGVGGGFVGAHEQYWHGGNGGGGWPAEFLSGFSSSSSGNVL >ORGLA12G0142900.1 pep chromosome:AGI1.1:12:16245881:16246294:1 gene:ORGLA12G0142900 transcript:ORGLA12G0142900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPFKVIAGPGDKSMIVVQYKGEEKQFAAEEISSMVLIKMREIAEAYLGTTIKKAVVTVPAYFNYSQRQATKDARVIAGLNVMRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGGQGHSW >ORGLA12G0142800.1 pep chromosome:AGI1.1:12:16235091:16235792:1 gene:ORGLA12G0142800 transcript:ORGLA12G0142800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLQLAIAFVVVVVVLGAMAASAAAAVAPMLTMHNLCPYAVWPIVSPDSGSPPIADGIRLEGRGVGLRSLYLPAGFWSGRVVPRTWCRDGGRCDTGNAPPATVVRLSFNGAGGLAEYSVGLGEGFNVPTVVSPHAIGGGMCPALGCTADLNAGCAAGQRVYGGDTGGDVVACRGPASYFKQRCPLTRTGGGDVEPVPQHCISPGEIKLVFCQAAMVAGEPELIRTVDVADN >ORGLA12G0142700.1 pep chromosome:AGI1.1:12:16227929:16228630:1 gene:ORGLA12G0142700 transcript:ORGLA12G0142700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLALVLLVAVVAAAATSVAAATKLTLHNLCPYPVWPLVTPNTGFPSISGNTARLDGGGRGLVSYDFPASFWAGRVVARTGCGGGGGLARCETGNAPPATVVQLVVHSPEGAQDLAAYSVSLVDGFNVPAVVSPQAIAGGGQCPALGCAADLNAGCPRSQRVVGAGGAVVACRGTADYFKARCPLTRTTGSDVEPVPQHCLAPGELKVVFCQPSMVAAAVPELIRTVVANI >ORGLA12G0142600.1 pep chromosome:AGI1.1:12:16220044:16220538:1 gene:ORGLA12G0142600 transcript:ORGLA12G0142600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKLQLALLATAMAAAAISPTASGAYTGCATPRKVTIQNLSGRDLPLSETPLANSGALFGAGYVLRHGTHAEFTTCLWTGRVAAPGAAVVEFHVGPDGGAWYQVDNRQAGSPVKVTVTPHGRPLQGHCPAAGCRGGGQCFADAVPGGNCHAVDELKIIYYSP >ORGLA12G0142500.1 pep chromosome:AGI1.1:12:16218486:16218734:1 gene:ORGLA12G0142500 transcript:ORGLA12G0142500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLPARGAAGRRKKMGAWMSRVWFLMFPAKKYKIVVVGLDDGKTTTLYKLHAAQTNLPQPDLSPPWQWQQRGLELVGWRY >ORGLA12G0142400.1 pep chromosome:AGI1.1:12:16210767:16211456:1 gene:ORGLA12G0142400 transcript:ORGLA12G0142400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAFVAVLLAAAAAATSPAAVAATTLTIQNLCPHPVWPLVTPTSGQPISDNTARLDPNSLISLAFPPTPWSGRVAARTGCDAAASPPAGCETGASPPSTVAQLSVHGGGDVAAYSVSLVDGFNVPVVVSPQAVGGGQCPALGCVVDLNCDCPLGQRFSDGAACRGPPEYFKGRCPLTRTTPGDVEPVPQSCRSPGELKVIFCPPTMLTAAAAAASDMLIRTVVASS >ORGLA12G0142300.1 pep chromosome:AGI1.1:12:16204847:16207568:1 gene:ORGLA12G0142300 transcript:ORGLA12G0142300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G53480) TAIR;Acc:AT5G53480] MSLDITQVLLSAQSPDGATRKLAEESLKQFQEQNLPGFLFSLSNELANEEKPEESRRLAGLILKNALDAKEQHRKNELFQRWLALDVGVKAQIKGLLLQTLSSPVASARSTSSQVIAKVAGIEIPQKQWPELIASLLSNIHQVQPNVKQATLETLGYLCEEVSPEAVDQDQVNKILTAVVQGMNASEGNSDVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSTDVKIRQAAFECLVAISSTYYDKLATYMQDIFNITAKAVRGDEESVALQAIEFWSSICDEEIDILDEYSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKPDWRHREAATYAFGSILEGPSADKLAPLVNVALNFMLSALVNDPSNHVKDTTAWTLGRIFEFLHGSALETAPIITSENCQQILTVLLQSMKDVPNVAEKACGALYFLAQGYVDAGSASPLTPFFQDIIQSLLFVTHREDAGESRLRTAAYETLNEVVRCSIEETGPIVMQLVPVIMMELHQTLEAGKLSTDEREKRSELQGLLCGCLQVIIQKLGAMESTKYSFLQYADQMMELFLRVFACRNATVHEEAMLAIGALAYAAGPNFSKYMPQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHAAAADDEMLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMQYAPNILNFLDALYNGKDMDDTVMKTAIGVLGDLADTLGVHAGPLINQSISSKRFLEECLASDDPLVKESADWARVAISRAVSG >ORGLA12G0142200.1 pep chromosome:AGI1.1:12:16200018:16200682:1 gene:ORGLA12G0142200 transcript:ORGLA12G0142200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family (UPF0497) [Source:Projected from Arabidopsis thaliana (AT3G55390) TAIR;Acc:AT3G55390] MPSSSSPAAAAAGEGSGRKKAAGRRLAGVMLLLRLASLCFAVAAAAFAATDGAALRAAPFRFLLAANAIVAVYSAFEVAAAAWEVAGGATLLPEAMQLWFDFGHDQGFGYMALAAAAAAAREAATCGSHGGGTACVQGDIAVGLGFAGFAAVAAAAAASGYRLACFLATGSRSPASPSSSPY >ORGLA12G0142100.1 pep chromosome:AGI1.1:12:16190752:16191393:-1 gene:ORGLA12G0142100 transcript:ORGLA12G0142100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSCGCGGGCGKMYPDLAEKINTTITTATTVLGVAPEKGHFEVMVGKAGESGEAAHGCSCGSSCKCNPCNC >ORGLA12G0142000.1 pep chromosome:AGI1.1:12:16183709:16184331:-1 gene:ORGLA12G0142000 transcript:ORGLA12G0142000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSCGCGGGCGKMYPDLAEKITTTTTTATTVLGVAPEKGHFEVMVGKAAESGEAAHGCSCGSSCKCNPCNC >ORGLA12G0141900.1 pep chromosome:AGI1.1:12:16176360:16177005:-1 gene:ORGLA12G0141900 transcript:ORGLA12G0141900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCGGGCGKMYPDLAEKITITTTTTTPVLGVAPEKGQFEGVGKAAESGEGAHGCSCGSSCKCNPCNC >ORGLA12G0141800.1 pep chromosome:AGI1.1:12:16174006:16175548:1 gene:ORGLA12G0141800 transcript:ORGLA12G0141800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDIIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPIRSVPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >ORGLA12G0141700.1 pep chromosome:AGI1.1:12:16162634:16169251:-1 gene:ORGLA12G0141700 transcript:ORGLA12G0141700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAATVHRRWRRRLLPKLMLLLCAVVHGQQPDSLGFISIDCGIPDGGGYSDESTRGLRYVPDAGFLDAGAGLSAGINPPYTDRDLAARYLTVRYFPGAASAAGERGGCYTLRQLSPGGRYLVRATFYYGNYDGAIAMLPVVFDLHLGANRWTAVNVTAADAIYIFEAVVSPPADFLQVCLVNIGKGTPFISGLDLRPLKPELYPEATANQSLLLLNHDRPPARFAFNRYQFWRPASYYKLFRYPFDPYNRLWQPYGDDPSWTNITVAAAVDVTNISRSDDPSPILRSAATPANATVRRLDFPWSSDDAATTTYLLLLYFAELQRLPAGAARRFDVLVDGDASAGGGRRGYTPRYLAAEVVRATVRAARPGQRHVVSLVAAPDSALPPIVNGLEIYSVQPMPELATNDRDAKAMMEIRDNYELKKNWMGDPCAPKAFAWVGLNCSYSSFDPALVTALNLSSSVLIGPVNLSFGDLKSLQYLDLSNNSLSGPIPDFLAQMPALKFLDLSSNKLSGSIPSDLLQKRENGSLVLRIGNNANLCYNGANNTCAPESKQSKRILVIAIAVPIVAATLLFVAAIVILHRRRNKQDTWITNNARLISPHERSNVFENRQFTYRELKLMTSNFKEEIGKGGFGTVFLGYLEDGTPVAVKMCSKTSSEGDKEFLAEAQHLTRVHHRNLVSLIGYCKDKKHLALVYEYMQGGNLEDRLRGEASIAAPLTWHQRLKIALDTAQGLEYLHKSCQPPLIHRDVKTRNILLSGDLDAKIADFGLTKVFAGDVVTHVTTQPAGTLGYLDPEYYHTSRLSEKSDVYSFGVVLLELVTGRPPAVPLGDGDGGGGESVHLAVWARQRLAEGDIESVADAAMGGCFEVNSAWKVAELALRCKERPSRERPAMADVVAELKECLELEASRALGRGYSCYSSGSGGGSSVATTTTTSGAANVSAAASAASVSDAQIGELRQESVLELGPR >ORGLA12G0141600.1 pep chromosome:AGI1.1:12:16144497:16145442:-1 gene:ORGLA12G0141600 transcript:ORGLA12G0141600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVREETRKGPWTEQEDLQLVCTVRLFGERRWDFIAKVSGLRGLNRTGKSCRLRWVNYLHPGLKRGRMSPHEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKSNMSPSSSSSSLTYQSCHPETPSMIIGIEEQELHGGSGCITSIMKSTPVDMDGYPMDQIWMEIEAPNVLPGPCFDEAKDSASNSLSGPLLPYPMWDYYCPETCLRMDDEIKVAPQFGYGKGVGPCY >ORGLA12G0141500.1 pep chromosome:AGI1.1:12:16136891:16140806:1 gene:ORGLA12G0141500 transcript:ORGLA12G0141500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXPPPPPPPPPGAASRSVGNPTARRGVAAMAVSTPRSAAAAAFLERRESERALHFVKYQGLGNDFIMVDNRDSAVPKVTPEEAAKLCDRNFGVGADGVIFVMPGVNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGTHSFKIHTGAGLIIPEIQNDGKVKVDMGQPILSGPDIPTKLPSTKNEAVVQADLAVDGSTWQVTCVSMGNPHCVTFGTKELKVLHVDDLKLSDIGPKFEHHEMFPARTNTEFVEVLSRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAERKCVVDLPGGPLEIEWREDDNHIYMTGPAEAVFYGSAVH >ORGLA12G0141400.1 pep chromosome:AGI1.1:12:16126851:16133934:-1 gene:ORGLA12G0141400 transcript:ORGLA12G0141400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGHAPAGAELAVALDEEEEEAAPLVSGGRGAAAAARAATSQTRDLHLLSSAFLFVFLAYHAAQNLQSTVNTDENLGSVSLGLLYTSFTAFSVVGSPVVRRMGSRRALVLGTSGYLLFIAANLVPSWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKSLLFVVFLGCMIVGIILMCLLSKRDEKGNNAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQQAFVWAVFTKNIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSATSIVSVGAILQAVVLFWLLLFYSPMGGLLGAAVPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPNITLQAMLILMATALIISFGAFLLLTLVVEKPSTVRS >ORGLA12G0141300.1 pep chromosome:AGI1.1:12:16121182:16124695:-1 gene:ORGLA12G0141300 transcript:ORGLA12G0141300.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEAAAAGAGADGGATAPLLAGVAPLGYSGHRRSHAGDLHVLSAAFLFIFSAYCAAQNLQSSVNTEGDLGTVSMGILYTSFTLFAVTASPVVTWLGSKRALVVGTSGYVIFILANLVPTWYLLASELVKSSVASSNPAHIIYLTLQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDRRMILIIPLIAYSGLQAAFVWAVFTKNIVTPVLGVSGVGGAMAIYGAADAVCALVAGRLTSGLHSATSIVSVGAILHAVVLFWLLLFYSPMGGLLGAAVPLFIGALWGVGDGVLHTQLSALLGLLFEDVKEAAFAQWRVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKPSTTRS >ORGLA12G0141200.1 pep chromosome:AGI1.1:12:16113809:16117529:-1 gene:ORGLA12G0141200 transcript:ORGLA12G0141200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERRWSTAAAAAGWLRVAAVVVAAASAASSAAGEGGGRQTVPAMYVFGDSLVDVGNNDFLPPPAPRPPEPPCGIDLPPEAAAADGGGGGRFTNGFNLADVIAQHVGFKKSPPAYLSLTTPGRDGELRRGLVGANYASSGSGILDFIGNGTISLGEQVKLFTKTKDAMVTAGEVDGESIDNLLSQSLFITCTGGNDYNAFTDGIVPVSDAPAFIAHMVVTYIKHIKTLYNLGARRLGILDVLPLGCLPISRVPMENGSCSGADNWQARLFNRLLRREMAAAATASMPDLVYSIGSIYYTFYDMIKNPSSAGVREVARACCGDGKLNAEADCSATTHLCPDRDNYIFWDKVHGTQAAYHNCVHAFFHGSPRYAEPISFTQLVASPAVDLGRPSPGTNRTARI >ORGLA12G0141100.1 pep chromosome:AGI1.1:12:16112040:16112426:1 gene:ORGLA12G0141100 transcript:ORGLA12G0141100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVGALVAGARERWWCRGEGGAAVRHAVAWAGALALAVSVASFAPEAAFVWALTGGGGGGGSGELCAAGAVRVPLDGGGDFVCVPARMAVRSGADMIVPPAFAGLAVGASACFVRALAIGRRLDDY >ORGLA12G0141000.1 pep chromosome:AGI1.1:12:16102293:16110651:1 gene:ORGLA12G0141000 transcript:ORGLA12G0141000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRPAASAAAAAAANPSASPSQPPPPPPHPPPPPPPPPPPPPPPPPPAAAAPSRSSSAAAAAVARVREEGEVSSGADDDEPLRARFTAPSNVSKYADASTQVLSANFPGKGSNSLSLSSAFPHKTVAPSYKKTTRVNQGLFKPGTNRNLTWQKPVSSDNLVISFSDDDSGSDSGMSKQDKRGRKDSSQGTYKTGINVHTGIMREEAPQQKIHAAKVGSANWSAVPLTYRNSGVGRGLSATFARRDPPVRQVTPQKAIHKDGNVVGVSSAVHNLESLRHKIAARENELKVKRPMSPSLLKDSSFPTGQTRLPLEKIGFEASSIGACSHLNGPVGHDIRPIKRLKPNQECSNNQVLVNQIPPVPTGKSLGKSNVQPCERREHIENGITMDCNVNEAVHTVTTEPGGHHIGAIKSLSLSKMQHTVIPDADNHVTGKQHVKHAAPPTANEQSVAEDANTLVPITSVRAGANVEMSSIQVKDNMLSTWNGQHIMPADTSTVPNLRPQLGPGVENAENCGDQIVITGQNTSLLSLLEMEEFQERELEDAQEHRRKCEVEEREALRAYRRAQRALIEANERCAILRRKRELCSAQVHGFFAENSSLAQPLSIQNAGHGLVMPSVLNSQANADGQMPGNQGGRSGSPYPEESPQQPVDKHEARSHNFNDNSTPSDYMEDDFLPPSKRARSDCISNLEDHMEETIHVYPVENRQISGESVQDYELLEASLRSRLVERFGKKSYLNNSGEATEELSFGKVSEIEREKAYVGPLLQEADENVMTNIEGMVELGNDAHEKRADSSNVPSIGNYDHEENISSFGELCMPLSVTHPIIPSSAPQNAARHMKGLFPDLHKKASDYKNDCQTSNAASVATVRLPDVAKDNAKMHSTTRKDMDIVNGAVDPFWPFCMFELRGKCNDEECPWQHVEHHAWRKSKHTKHSKPSFSGWIPYGLFQHILPVPTYRVGSNLIRADLNLIQSVLASSIWQYWQRGFCASFPFPLSVQRVLPSDAPSLQAGDDSSANFDRDRQLLNLRMLDSRKNKIVQGSVDAELFLEGALILYFGKINKPDRLKALLYLARAIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSHAVQHNVCSYELWLMYINSRLRFDDRLDAYNDALSMLCQMTAVTDMELKDRSSFVLDIFLQMVYFLCMSGNVDKAISRIYGILPTATPDCSGDKLLADVISCLTISDRCIFWISCIYVSIYRKLPEEIIDQLEFLKDLPNSLVWSPIELTVDNRSQILVLLNYAAGKIAVDINETVKNGDPSYLRLSQFLAVNHINCLATLEAFQSCANLLVKYMEEYPMCPHILVFSARLHRKYGSCPGLKGFDELLLGWPKEVQGIQYLWNQCAEHALADNIELAEKLLTRWFEEYGKDGDIQSGGATRPMEISNEESVRSSVSSIQEVGSGTSTSEDQIFWLLNLSLYRTIKNNLQEAKVAMDKALKLAHGESYEHCIKEHAAIHTLEKTSSSTDVQTQATFSLISGYLVDQRNLPVRDLLSRRFMKNVKKHRLKQLIDETIGPTSANPALINSVLEVCYGPSLLPETIGEVKYLVDFVESVMEVLPANYRLALAVGKFMVKHCTGDDSISMGTRFWASSILINAIFRAVPVAPESVWLEGASLLEKLQAAETVKRFYQQATSVYPFSFKLWHSYLNSCKASGSSTESIAEAARQRGIELSVTPP >ORGLA12G0140900.1 pep chromosome:AGI1.1:12:16098520:16100819:1 gene:ORGLA12G0140900 transcript:ORGLA12G0140900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGHVLGVLGGALLAHAAYATIQYRAVLKITEEEFSSPPMDVMMQLLLGLALCMWAGLAVPAKFLSVLPHSEENRIVSFPANLDFMIFNHRGRALPSDPDLKLKT >ORGLA12G0140800.1 pep chromosome:AGI1.1:12:16093505:16096892:1 gene:ORGLA12G0140800 transcript:ORGLA12G0140800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLAAIDLHILSAQVTESTDFTELVNQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTVFLPTEKAMTPDACAPLIATLPLEVRTKIGDFIRGVYSVFQDLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKKWGNIQFPLPFGRVLSPSESFIHELDEKTSSSLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFSGIIRALREKESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKQAIDCIMAEA >ORGLA12G0140700.1 pep chromosome:AGI1.1:12:16084196:16090475:1 gene:ORGLA12G0140700 transcript:ORGLA12G0140700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRWAAPARKSGMTVLGKIPKPINLPSQRLENHGLDPNVEIVPKGTLTWGSKPTSTTPNAWNSSSLLSPKNDGSSNSPSHFNGRPSSGGGSRPSTAGSESLDSPNAWGSSSRPSTASGTLPSNHLQTTTNRPRSAETRPGSSQLSRFADNSSENMKVSIRTIDKSGSSSHGHGFTLSTGDFPTLGSESNSQRGHSSKGRPTSSSGKETAQNEQGKSITAGPAEEILSSNSQSADNIKTDQHVYDGGAPFPGTSLPNEAQQPQPYPGNFCVAPPHFDSWHAPPGHPPDGMWHRGAAPGGPYRPLGPPGGGFPVEPFAYYGQFQPSSEAAARQGPGHGGYQPKNGDAYLSVPPNSYMMNQPVIPVRPVYQGPMSYDGYYGPPRANFNNPNVRDPPFVGGPHQPGILNQFPNQHEKFHPGHPQNRPGKHETAPNEHFESDRVHVIQRGQPRILHDNLRGPREVERNAQPAPPLLPHPNGNRIDVNKRSDIRESFNEKNRILMKSAPDHRGPAGTSHLSIPENVHSHPREADDGTLRKKFKEDNSVVPDQQPVIKKNVALIEKIESLNNKARNVDARNITEPFPSKQAKEMQKSTSSKEDQKLPNEPVLEPSQSELTEIITAGKLGESTRDRTHRRGDSSRSSHHGSSKDRLVNNFAGEGLRENSAADSSPIVGSRNSQHEQPPKDALKLAPVMVTDDMQSSLDFESQRAKMRELAAQRAKQLQAEEEERTKQQRAKALAKLEELNRRSSVHQKSSNDVPPDIADVQQKQKVGFEETAKPANLSAESCDVACDGHNSLQPPNDPKHTEFSVQPKPTVLTHTLGVGKDPTIHNTTTLARNSEHEAQKGVAQSHDINVPKPKQGYRRRQAVSEEKNPSEKSSGAISTESGKKIAEAFSNTSTAVVTSHDDTLAHNKKSARHSRNKKKVDEAPVTSKHPPVALNEQNAVKVPNEPKPQTAGVIISSSIVPTEGTVVTVGSIMVGGISFGSLNQECVKPQESVKPADEVHSSTSNSHPKRQQAKRSGKNQQSIRPIERPHGNEGAVWAPVKPSGHSEQSGDAMRSTGVVAPTQPAGLNTNDGENVTKTKRAEMERYVPKPLSKELQQQNLRQILPSEKSCEDNKIRDKEIVERSTGAKPETAPEAKKWEGKKTNKGHGKSHPSWRRRNTDESTLVGPKATELADNYQESHELQKHTVHQPPEPDKQADAPARNSSVPAETVSSVVTVAKEHGAANKQRRQHVKAQRNEGSNYSNENKDQMAAPPAPGIDSNSYERRNMLRSDVKHSGTVPQSRSHWKPKTIPQSQGNSHGNNAKDGHVDSATPQDSSNNNLAENIGWNDENHAHSEEVKGEKRHVDDYQKSESHENAEQQQQLSHAPRRQGHHNGGRYHRGGGTNRGRGYDVGKPSHVTNAERRRGGTHLEYQPVGSYNKTADFQQNPGTDERTEGAPVHREHVHNRGPRPAGQFVKRNPASTPAANSYRDE >ORGLA12G0140600.1 pep chromosome:AGI1.1:12:16075161:16077272:-1 gene:ORGLA12G0140600 transcript:ORGLA12G0140600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDEELVRPQASGAKNMGFAKFDSMSELHCKIPTVLVRWGLGLTRLKSGWKEMPSIQKALPPELADNVIRLYRECLRRARFIGHQKHNTGLLVSMVREQFKKNMHETDPEKIQKMKDDAARGLINHILYESEKMTGRKFSS >ORGLA12G0140500.1 pep chromosome:AGI1.1:12:16067790:16071617:1 gene:ORGLA12G0140500 transcript:ORGLA12G0140500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFVPLRGIKNDLHGRLQCYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTALCGIIHSFLGGQPLLILGVAEPTVLMYTFMFNFAKDRPDLGRRLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRIAGELFGLLIAMLFMQQAIKGLVDEFRIPERENRKALEFVSSWRFANGMFAIVLSFGLLLTALRSRKARSWRYGTGWLRGFIADYGVPLMVLVWTGVSYIPYGSVPKGIPRRLFSPNPWSPGAYDNWTVIRDMPNVPLLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTLLCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNRLVATARQSMSQNASLSQLYGSMQEAYQQMQTPLIYQQPSVKGLNELKDSTVQMASSMGNIDAPVDETVFDIEKEIDDLLPIEVKEQRLSNLLQATMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHTTFVETVPFKTIAMFTLFQTMYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKLFKGAHLTDLDAAEYEESPAIPFIAAQDIDVALARTQSAEILDDIVTRSRGEIKRLNSPKITSSGGTPVAELKGIRSPCISERAYSPRITELRHDRSPLGGRGSPRTGETRSSKLGEGSTPK >ORGLA12G0140400.1 pep chromosome:AGI1.1:12:16038529:16039964:1 gene:ORGLA12G0140400 transcript:ORGLA12G0140400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVWANDGGQATTSMDLAVRTRHCSDGFYSYVMLLCADVSQAWEKLRSRWSWISRGMLRLENNARGGVLVLVGFVAIGSHILAMGSHILGTNRRGVGVRRYRLFGKEKLGFALRF >ORGLA12G0140300.1 pep chromosome:AGI1.1:12:16028115:16031954:-1 gene:ORGLA12G0140300 transcript:ORGLA12G0140300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAESDNNGSEVSPGGGGGGGRDSASAAAVASPVKAKARALLELETASAAAAASESSEARVDDGNIQEAESSLREGLSLNYEEARALLGRLEYQRGNVEAALRVFDGIDLQAAIQRFQPSLSEKPPSKRSNKLRSDSSNSGSQHAASLVLEAIYLKSMSLQKLGKAVEAAQQCRSVLDAVESIFQRGIPDVMVEQKLQETVSKSVELLPELWKQAGAYQEALASYRRALLSQWNLDDDCCTRIQKRFAVFLLYGGVEASPPSLASQTEGSYVPKNNLEEAILLLMIILKKWYLGKTHWDPSVMEHLTFALSLCGQTSVLAKHLEEVLPGIYPRTGRWYSLALCNYAASHNEAALNLLRKLLNKNESPGDIMALLLAAKLCSSDYSLASEGVEYARRAVTNVDSSDGHLKSAALHFLGSCLAKKSRIATSDHQRSLLQTESLKSLSEAISLDRHNPDLIYDMGIEYAEQRNMQAALKCAKEFIDATGGSVSKGWRLLSLVLSAQQRYSEAEVVTDAALDETTKWEQGPLLRIKAKLKVAQSLPMEAVEAYRALLALVQAQRKAYGTVKNGTQEVDDKVSEFEVWQGLANLYASLSYWRDAEICLQKAKALKSFSAITFHAEGYTREVREQTQDALAAYFNAVSTEVEHVPSKVSIGALLSKQGPKYLPVARSFLSDALRHEPTNRMAWFYLGKVHKHDGRLADAADCFQAASMLEESDPIESFRSL >ORGLA12G0140200.1 pep chromosome:AGI1.1:12:16020504:16022367:-1 gene:ORGLA12G0140200 transcript:ORGLA12G0140200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQRSRSTAAQLDVDDQAAADQLMTMMRRPAAALQDEAAAEEEAADLQLELRRGPWTVDEDLTLVNYIADHGEGRWNSLARAAGLKRTGKSCRLRWLNYLRPDVKRGNFTADEQLLILDLHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDANSARFKDAMRYLWMPRLADASQLGDHHGYNGTTAMGDAHGMPVMTSSSSDSFATSESYDGGLYANVQDNEMVNGGDYYWMQGANQGFCSNYESEQQLHPHEHSQFQDPDLVGWVQGFSEGISENFWSLEDIWKM >ORGLA12G0140100.1 pep chromosome:AGI1.1:12:16014267:16015651:1 gene:ORGLA12G0140100 transcript:ORGLA12G0140100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAPFQVSISTSRSTTAADVPTRRSAASPSRGFVATVPGALRGGADLDSEQLAPGWVATTQVFLAPLAHHCVALAPPTIAGRASTCRLILAGVCE >ORGLA12G0140000.1 pep chromosome:AGI1.1:12:16009776:16012621:-1 gene:ORGLA12G0140000 transcript:ORGLA12G0140000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1R794] MEIISPSSSSNNNSPVLATFLVVLVVLLASSRPASSQNQQSFTINPGGAAAARPGGGKGGGGGGGPGSFSDFLTQNVQHYVLSEQKYAGKVKALDAELSAAEAGAARYVVSGDGKGKFRTITEAIKAVPEYNKKRVILDIRPGTYKEKLLIPFTKPFITFVGNPRSPPTIMWDDRAATHGKDGQPMGTMLSATXXXXXXXXXXXXXXXXNHAPMAAPGAHGGQAVALRVFGSKVAMYNCTIDGGQDTLYDHKGLHYFKNCLIRGSVDFIFGFGRSLYADCTIESVTKEVAVVTAQQRSKNIAEAIDTGFSFLRCKISGIGQIYLGRAWGDSSRVVYSYTTMGKEVVPIGWDGWEVQKPEHSGIYYGEYKCSGPGALPSKRIGWSLVLSDIQAKPFTGSHFVYGDSWILPPPKSM >ORGLA12G0139900.1 pep chromosome:AGI1.1:12:16006129:16006740:1 gene:ORGLA12G0139900 transcript:ORGLA12G0139900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTIPDDVRAKAEVYVGDEAGQEKTRLLLEETGLPSGLLPLRDIIECGYVEETGFVWLKQRRKVDHFFAKAGRHVSYAAEVSAVAEKGRLRKITGVKAKELLIWVTLHEIAVDDPPTGKLTCKAIGGLSRSFPVDAFEAPPPPPKNPSPAAGDTTKVDEEKKKEEEVAGDAAAAAIDEIEGKMKEMNSKEVQVQAEGVAAKN >ORGLA12G0139800.1 pep chromosome:AGI1.1:12:15996911:16001817:1 gene:ORGLA12G0139800 transcript:ORGLA12G0139800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein [Source:Projected from Arabidopsis thaliana (AT1G09300) TAIR;Acc:AT1G09300] MATAVRLLRRSLRGGEAAPRFLSASQNLVRRVANNTRSVDVGQPTPRSHPELLAEGEITPGITSDEYIFRRKKLLEVLPEKSLAIIASAEQQMMTDVVPYSFRQNGDYLYITGCAQPGGVAVLSEETGLCMFMPDTSKEDVVWQGQTAGVEAAENFFKADKAFPLSEMQKILPEMIERSKVVYHNVKTLSPSYKNLDSFRRASLNNKVKDIAYYTDELRWIKSKSEIGLMRESASIVSQSLLQTMLLSRTHREESQLAAKIEYECKMRGAQRMAFHPVVGGGANGSVIHYSRNDGRVKAGELLLMDVGCEYHGYLSDLTRTWPPCGRFSPAQEELYSLILETNKECIKLCKPGASINEIHNHSVKMLIKGFQELGILEKGKSIQYNYLNPTAIGHSLGMDIHDSVKLSKDKPLEPGVIITIEPGVYIPPVPILKENAPDRYRGIGIRIEDEVLITESGHEVLTASVPKEISHITTLMNMGSNSMMDAHELRAACS >ORGLA12G0139700.1 pep chromosome:AGI1.1:12:15992832:15995704:1 gene:ORGLA12G0139700 transcript:ORGLA12G0139700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Rhodanese-like (InterPro:IPR001763), Serine hydrolase (InterPro:IPR005645); BEST Arabidopsis thaliana protein match is: Rhodanese/Cell cycle control phosphatase superfamily protein (TAIR:AT2G40760.1); Has 5925 Blast hits /.../2 proteins in 1592 species: Archae - 0; Bacteria - 2946; Metazoa - 156; Fungi - 408; Plants - 229; Viruses - 0; Other Eukaryotes - 2186 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G09280) TAIR;Acc:AT1G09280] MDAAAPPPRGQEGQGDRSGGDEGGRYGVLLYYKYAEVPDAAALAAFYESHCRALALVGRVRVGPDGVNATLGGRMAALEKHVAEMSSNALFDGTDFKLASCDDPVDERVARECGFTSLSVRLVKELVTLCANPSLATPQITCAGRHLSAAKFHSVLQSVAGATSDSEATVEKSEVVVLDARNIYETRIGKFRVPNVETLDPEIRQYSDLPLWIDEHAEKLRGKSIMMYCTGGIRCEMASAYIRSKGEGFENVFQLYGGIQRYLERFPDGGYFEGKNFVFDHRISVGSLKENILGTCLLCGSSFDDYSPRCRCSHCRMLVLVCSTCQDSTKDYVCELCQKNGKQCCQTSPRQGCKTESELIDSSDFGIPMIINQSATSTIPRSNGSEQLKKLKILCLHGFRQNASNFKGRTSALAKKLKHIADLVFIDAPHELSFVYKPNPDHCSGRSSLPSGTPKRKYAWLVAPNSIFYAEHDWKIADAPFDPLQYQQQTDGFEESYAYLEHAISQMGNIDGILGFSQGAAMAALFCRQQQKTCGSLKFRFGIFCSGYPAPIISDFDGEPIKLPSLHCFGNSEDHDRQIANRASTELANRFDKSCRSVIEHDMGHIIPTRPPFIDKIKEFLSNFI >ORGLA12G0139600.1 pep chromosome:AGI1.1:12:15990970:15992152:1 gene:ORGLA12G0139600 transcript:ORGLA12G0139600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKELDYFKEYAARLQSFRGDDDAAAAATLSEALYIVDLNGLRPMGCLPLERATGSGGACTDEKNTVVKRFNAGLQDMIARLNDELGNGEMIVYGDVYRPVAAGDVRGGERRQQHRAHAGQSAAAHLCHLLRHVRPRRRRRRAQRPFLRRARGRKAVLENSHRRPRQGGSGGGGGNMRRRRRQARQATRRGQRQPTVGPWGREWRRPRLDAVSISAVIELPHKCHVKCHVGATSAKTTIKPPRDLICTGFNS >ORGLA12G0139500.1 pep chromosome:AGI1.1:12:15987900:15990127:-1 gene:ORGLA12G0139500 transcript:ORGLA12G0139500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1B/ribosomal protein S6 family protein [Source:Projected from Arabidopsis thaliana (AT3G17170) TAIR;Acc:AT3G17170] MEATAAPRPLSLLARQPQPQPQQLRLRLQRVAAFTGVGGGGRRLMVAAAAKKRRGKGGEGEEEEEERVDTHSFAPKAGEATGPFPEAVLLRKKMVKDDGQVAPEFADADEEKLYEFLNIQMESDLNLKRMRHYEVVYLIHEDRVEEVEDVVSKVQDFVREKKGRIWRLNNWGLRRLAYKIKKARHANYILMNFEIQAKYINDFKTLLDKDERIIRHLVMKRDEAITEDCSPPPEFHTLRAQQQYMDDEYIDDEDDGEEEQEPEEDWDDKGEMENAGYEDDDEPEIILVDEVERDNNENSRRRNRTMKVEKYAAEKVLR >ORGLA12G0139400.1 pep chromosome:AGI1.1:12:15982540:15984970:1 gene:ORGLA12G0139400 transcript:ORGLA12G0139400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKLFPALFSLLLHGGAATLPPPRVPAVTVHRCTPPAARLSAGGEKTVTMVVDVEGALLRSSSSRSLFPYFMLVAVEAGSFLRGLLLLLLYPVISLLAGAGGGDVAVRAMAAVAFCGLRESRFRAGRTVLPRWLLDDVGKEAVDAIVTLTRRRSSPAATATVVWASSMPRVMVEPFLREYMAAAEGGGEVVVAAREMKVVWGFYTGVMEDGGEVAAASPEVRRAMEGVDDVVGFSGGSMDLLRSPLVSFCKEVYVVSHEEKSKWRPLPRRREYPRPLVFHDGRLAFLPTPLAAAAMLVWLPFGAALAATRLAVALALPYRHATLLLAATGQSWRLRGSPPPTPTPPPRRATGERRRGQLYVCNHRTLIDPVYVSIALDRPVRAVSYSLSRVSDLLSPIGATVRLARDRAHDGAAMARLLEAGAHVVVCPEGTTCREPYLLRFSPLFAELADGVVPVALAAEAAAFHGTTAGGWKSMDALCYLANPRMCYTVEFLPAVDASPVREGKAASTELANAVQRRVAEALGYESTMLTRKDKYLMLAGNDGVVRRRGDVGAK >ORGLA12G0139300.1 pep chromosome:AGI1.1:12:15967844:15969814:1 gene:ORGLA12G0139300 transcript:ORGLA12G0139300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prefoldin 3 [Source:Projected from Arabidopsis thaliana (AT5G49510) TAIR;Acc:AT5G49510] NILVFCQSTLQQYKIVEMKLLAQQRDLQAKIPDIEKCLDIVATLQAKKALGEALTADFELSEGIYSRAKIEDTDSVCLWLGANVMLEYSCDEANALLKKNLENAKASLEVLVADLQFLRDQQTITQVTIARVFNWDVHQRRSKQAIKET >ORGLA12G0139200.1 pep chromosome:AGI1.1:12:15958141:15963176:1 gene:ORGLA12G0139200 transcript:ORGLA12G0139200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFMHGRTISRVLRELELKWLSAFQLGKAYTSVLFWASPALVSATTFLACYFLGVPLDPSNVFTFVAALRLVQDPINHIPNVIGSVIQARAAFNRLNEFLGATELQKDQVSMEYSAHSQYPIAIKSGCFSWDSSENYNLRNINLMVKSGTKVAICGEVGSGKSSLLAAILGEVPRTDGVIQVSGKIAYVSQNAWIQTGSVKDNILFGSTMDKPRYEETLKFCSLVHDLEILPFGDLTQIGERGANLSGGQKQRIQLARALYHDADIYLLDDPFSSVDAHTATSLFNEYVMGALSEKTVLLVTHQVEFLHAFDSVLLMSQGQIMHAASYQELLLSSREFQNLVNAHKDIVNFPNDNMVDYNGDKSPFKREIAVVLDGGKESIKNAEFDQLIRREEREIGGTGLKPYLMYLGQNKGYIYAILVAIANIAFTSGQLAQNSWLAANIQNPGVSTFNLVQVYTAIGIGSIMFLLFRALLAVDLGLQTSRSLFSQLLTALFRAPMSFFHSTPIGRILSRVSSDLNVIDLDVPFTLSFSISATLNAYINVGVLCFFTWPILFIAAPIIVMAVRLQRYYLASSKELMRINGTTKSLVANHLAESISGAVTVRAFKQEGRFFARFLELIDNNASPSFHCFAATEWLTQRLEIMATAILSSSAFIITLLPQGTLSPGVAGMVLSYGLSLNMLFLFSIQNQCSLANQIISVERISQYMDIVKYTQDASPVLKGVSCTFQGGDKIGIVGRTGSGKTTLINAIFRLVKPSGGKITIDGQDITTMGLHDLRSRIGLIPQDPILFNGSIRYNLDPHGHFSDKQIWEFLGKCQLDEVINEKQGLDSLVVEGGSNWSMGQRQLLCLGRALLRRSRILILDEATASMDNATDAVIQKTVRTEFKDSTIITIAHRIPTVMDCTRVLVVNDGEMVEYEEPQKLMQTEGSFFKELNEYRLKISRAGLQISS >ORGLA12G0139100.1 pep chromosome:AGI1.1:12:15946601:15952837:1 gene:ORGLA12G0139100 transcript:ORGLA12G0139100.1 gene_biotype:protein_coding transcript_biotype:protein_coding FEGGARAWARGSASFPHSSPLQREGRAAAAAGGGLTEKQYTRVLQSLGQSVHILDLEGKIIYWNRSAEKLYGYPASEALGEDGLMLLIDSCDINVVNDIFRRISLGESWTGKFPVKNRAGDRFSAVATNTPFYDEDGSLVGIVCVSSDLRTIEEIISGPSICARPHPESSRTYCEASCSNSNRKASLLSRSPFDSQQPLQSTIASKITNLATKVTNKVRSRVRADENGIEREGGSGESHCSDRDAKEEPTSSGTTTPRGDAPRGAFATEESSPGKTAKMNSDESEGKVGFHRILSSKAEALLNKKGISWPWKGRDNDGPDVKNQATWPWLHGEQDGSQNHQKISDSAITQDGQGAEYNQPNKNEASGSWSSFNNNSTSSASSTGSTNSSALYKVDHEADCLDYEILWEDLVIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEEVIQTFRQEVSLMKKLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNNTKLDWRRRVHMALDIARGMNYLHHSSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRLKRETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNHRLEIPSETDPQWTSLILSCWETDSQLRPSFQQLLERLRELQRQYNVQTQMQRNASAAAKNSSIEE >ORGLA12G0139000.1 pep chromosome:AGI1.1:12:15938746:15939989:1 gene:ORGLA12G0139000 transcript:ORGLA12G0139000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKPSALQMPSPSVGSFTQGKAPVSHGGGAQINPESYYTAVRVLKIIMMPEMGSPQMMTSIVKASMTMKQQLTWKVLFLETHLLHFLHFKATIALHPDILQDPRPCYQQNLLVEIQ >ORGLA12G0138900.1 pep chromosome:AGI1.1:12:15933150:15937498:1 gene:ORGLA12G0138900 transcript:ORGLA12G0138900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:I1R783] MGSYAYKYCMCFTRKFRSPAADPPPDVRAAFLAAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIAARLVGPRPGPAPSLDDFFGFLFNADLNPPIATQVHQDMSAPFSHYYIYTGHNSYLTGNQLNSDSSDIPIIKALQRGVRVIELDMWPNSAKNNIDILHGGTLTAPVQIIKCLKSIKEYAFCASPYPLVITLEDHLTPDLQAKVAEMLVKTFGNLLYIPSSDPINEFPSPESLMKKIIISTKPPQEYKKFLKSKDNQNINGGLANLAEEGSLRRIDSNAEESDGKDELDDQDEDSSDEDDPKFQQETACEYRELITIHAGKPKGHLKDALKVDPDKVRRLSLSETQLAKATASHGADVIRFTQKNILRVYPKGTRINSSNYDPMNAWTHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPDFLLRTGPNGEVFDPNASMPVKKTLKVKVYMGDGWRMDFSKTHFDTFSPPDFYTRVGIAGVRADCVMKKTRTIEDQWVPMWDEEFTFPLTVPELAVLRIEVHEYDMSEKHDFGGQTCLPVSELKQGIRAVPLHDRRGTRYKSVRLLMRFDFL >ORGLA12G0138800.1 pep chromosome:AGI1.1:12:15926097:15929024:1 gene:ORGLA12G0138800 transcript:ORGLA12G0138800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGIEKDTVTYNSLINGYGKQGRLDIVSILVQDMRKRGVAPSVLTYSTLIDIYSKAGMHGDAFNVYLDFKESGLKPDVVLFSSFIDTLAKNGLIEWALSLLNDMTEMGIKPNVVTYNAIIDAFGKSKVMMEDDSEVGDMGIVGVYGGQIVRVANPVSRGGRSATDVRMRRSQELFFILELFQKMVQQGVRPNVVTFSAILNACSRCNSFEDAALLLEQLRLFDNFVYGVAYGLLVGSREVWSQAQSLFNQLGRMDSPTSSAFYNALTDVLWHFGQRRKAQQVVFEGINRRVWENTWGEFCLDLHLMSCGAAQAMVHAWLLNVRSIVFEGRAMPEFLSILTGWGKHSRIAGASTLRRVIEALLNSIGAPFQVERFNIGRFVSPSAVVAAWLRESGTVNILLLHDERVQQAAPSNLVPRLQALQL >ORGLA12G0138700.1 pep chromosome:AGI1.1:12:15922350:15922481:1 gene:ORGLA12G0138700 transcript:ORGLA12G0138700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCEQEKIMYSIGLVHVWSLARVKWLGYNKVGIVTFLRESIT >ORGLA12G0138600.1 pep chromosome:AGI1.1:12:15916938:15920993:-1 gene:ORGLA12G0138600 transcript:ORGLA12G0138600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGHRRRRRRPPRLAAAGSSWKAVSPRGARATAEAAGQLISSPRRPALRLSNFVPRCYITNVEVDVSHTSEQEALDDHPPLLPACAIPVVHLRDVPDASPFPLHESASHSTDFEELPVLSEGELHTIAATPAHPAGLYALYASYLFGNLVEQLWNFAWPAALAILHPSLLPVAIVGFFTKLSVFIGAPIVGKLMDHFPRIPMYTGLNAVQVATQLISAAMVIYAMKNVTHASTSAVVLKPWFIALVAAGAIERLAGLALGVAMERDWVVLLAGTNRPVALAQANAVLNRLDLVCETVGASVFGLLLSKYHPVTCLKIACGLMICSFPVLVVLGQLINRFSCHALDSSRTPSDESICANLLDVRKIVQNGLSAIRNGWNEYKQQTVLPASVATVFLNFNVALAPGAIMTALLMHRGISPSIVGAFSGLCSIMGLVATFISSSLVERVGILKAGAAGLIVQASLLSVALVVYWTGSISQRTPLLIFLAAIALSRLGHMSYDVVGTQILQTGVPASKANLIGGMEVSISSLAELVMLGMAIIANDVSHFGFLAILSVSSVAGAAWMFCQWLGNPTDEQRELFMFDPHFQVEPI >ORGLA12G0138500.1 pep chromosome:AGI1.1:12:15900957:15902072:-1 gene:ORGLA12G0138500 transcript:ORGLA12G0138500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGSPPLRVVIFPWLAFGHLLPYMELAERMASRGHHVSFVSTPRNIARLPAPVASAVELVALPLPRVDGLADGAESTNDVPDDEQGLLMEAFDGLAAPFADFLAAACADDGGGGRRRRPDWVIADSFHHWAAPAAARHGVPCVALLPSAAVMAAWVVPPPATSSPSPAAAMPSYEWEKLKARFLAATSHGASSPASGGMSRATRCSLTLERCTLAAMRSCVEWEPEPFRAVAAGLGKPLVPSASSRHRPPDPAAAAPSPEKKTTTPPTPSSAGWTPSRPVRCCTSRWGARCHCASTRCTSSPSAWSSPARASSGLSESPAPPPPPPPPPPPPPPSSLPASRNARRAAAWSPWAGRRRSPYWSTPPWARS >ORGLA12G0138400.1 pep chromosome:AGI1.1:12:15886194:15888717:-1 gene:ORGLA12G0138400 transcript:ORGLA12G0138400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEVSIREYDRERDMEAIEKLERSCEIGAGKGFSIVTNMMGDPLCRIRLFQLHVMMVAELTGRGGELVGVARGCVKRVATGVVDGDTVLAGYVLGLRVSPVHRRKGIGLKLVESVEAWAARHGARHVVAAADAANAASRGLFVGRRGYATAARLSILVQPLADVRPPPAAASSRSDVRIERLAVEQAGMLYKRRFGGEPLCPSDVDAVLGAAPSLGTWMARFAGGGGGDGGDGAWACVSLWNTCASYRLQVVAPPPRPAGGGRALLARLAAVAXHHPWCLAAIYQAREFKFLSNLPWIPCNLLVFSEFTTILIQISLNSNSLCVHLLWLGSTEVIGLWPDFDAPIVWLIGLSME >ORGLA12G0138300.1 pep chromosome:AGI1.1:12:15884243:15884938:-1 gene:ORGLA12G0138300 transcript:ORGLA12G0138300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPLVACVLLAAVVFTAVAPPPAGAVCVPRNGKAAPGKPGMSPAPPKKLTPAPPTTPPPKAKPILPGPGGDLVKALCAKTDYPVVCQMTVVPPPAAGAAAKLDATAVLRLAMGAVRAKAAAAKKAAGALAADARTPALAKPVLRDCMDSYDDIAYSLDEADKAMAAGDKDTTGTMLDTARTDVDTCDQGFEERDGDIPPLMSKQDAELAKLASNCIAIAVAAGLRSSS >ORGLA12G0138200.1 pep chromosome:AGI1.1:12:15878134:15880509:-1 gene:ORGLA12G0138200 transcript:ORGLA12G0138200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGEMEGREGSRLDNSLTRKRRSSSTARRRPRPESNHVVDVEQQQQQQQQRDGYSSSSSSAIGSDEDANSDGEEHQRREIHLNAPSPDRAARRAAMEGGAMSSNPNPRSSHKTKGSNQLHSEGSGGGGGGSSRKGESGHGAVVSAGNRESSTGDKTRKLKLKIGGIRRSVPAKPSPDMSHSRSLPVKPPRPGDSQQWQKHSSQAEGVKDSSRLASSRDKKTKKEKSIDDALTPEQPAKVHREPSSDPVRKSRRIAKKSILDSELDEDYDTNILDDFGTSEGVEVHTREPAKKTGSSSKKNAAKKAKTKSTSYEIDNDFVTSRSKRDGNKRSRESTDADNSEEEPTSDSELDAQNRKQKAVTESPANVRSEPLTTRRRALQSWMDGNSNSAIEFPDGLPPAPSRGKKDKLSDAEMLAKKAEAAQRRKMQVEKATKESEAEAIRKILGLDSEKKKEERKQKEREDKERAARAQTIPENTIRWVMGPKGTVVSFPEEVGLPSIFNSKPCNYPPPREKCAGPSCTNAYRYRDSKLNLPLCSLKCYKAVNGNA >ORGLA12G0138100.1 pep chromosome:AGI1.1:12:15874451:15874642:-1 gene:ORGLA12G0138100 transcript:ORGLA12G0138100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 5C [Source:UniProtKB/TrEMBL;Acc:I1R308] MAGGRIAHATLKGPSVVKEICIGLTLGLVAGGLWKMHHWNEQRKTRSFYDMLEKGQISVVVEE >ORGLA12G0138000.1 pep chromosome:AGI1.1:12:15872728:15873165:1 gene:ORGLA12G0138000 transcript:ORGLA12G0138000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSPAGSSDGDSAGVVVAADHRREKRRLSNRESARRSRLRKQQHLDELVQEVARLQADNARVLARASEIAGQYARVEQENTVLRARAAELGDRLRSVNEVLRVVEEFSGVAMDIQEECPPDDPLLRPWQIPCPAAAHMLQY >ORGLA12G0137900.1 pep chromosome:AGI1.1:12:15859287:15864123:-1 gene:ORGLA12G0137900 transcript:ORGLA12G0137900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase [Source:UniProtKB/TrEMBL;Acc:I1R773] MATTATKTIDFAAERALAKDFLANFAGPRGEPKYLNILQDVANRKIRAVQIELDDLFHYKDADDEFLQRVTENTKRYIGIFADAIDELMPESTEAYAVDEDRDILMTQRVDEGADGGADGTDPLQRMPPEIRRFFEVYIKAFSKVTPLTIRQVKASNIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPSQRCKLNKAKGNLILQLRASKFLKFQEVKLQELAEHVPKGHIPRSLTVHLRGELTRKVAPGDVVEMSGIFLPMPYYGFRAMRAGLVADTYLESMSITHFKKKYEEYELKGDEQEQIDRLAEDGDIYNKLARSLAPEIFGHEDVKKALLLLLVGAPHRKLTDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEFVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDMRRTPAENINLPPALLSRFDLLWLILDRADMETDLEMARHVVHVHQNLESPALGFTPLEPPVLRAYISAARRVVPSVPRELEEYIATAYSSIRQEEAKSNAPHSYTTIRTLLSILRISIALARLRFSETVAQSDVDEALRLMQMSKYSLYSDDRQRSGLDAISDIYSILRDEAARTNSMDVRYAHALNLISRKGYSEAQLKECLEEYASLNVWQIHPNTFDIHFIDA >ORGLA12G0137800.1 pep chromosome:AGI1.1:12:15856643:15858448:1 gene:ORGLA12G0137800 transcript:ORGLA12G0137800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKVVRPEEVLDSLANDGTIDALRMKIIAQLKANEDMKKNTMMMVEQSRVLNTPGAEKKTKRELFDALRQELENPVLEKASREVWDLILENGGLGKEITDTIEGVFCRLSGINMMPPPPSTSIPSHQERERNMAADGGEKSKEIDTPEKPSSSSRKRPYSDTTTKGAGAVPNGGATSQHDGSEDSSQK >ORGLA12G0137700.1 pep chromosome:AGI1.1:12:15853714:15855825:-1 gene:ORGLA12G0137700 transcript:ORGLA12G0137700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPLLLHSPRFAAALTTPPPPPPPLPPARRLVAAAAGGDLSLAMSAATGEYPVPVSPPYPAASKDVELRRAMTASARSAAYSSAPVVFEDEWLAVVDKPAGVYCDSLLSALPCSAATLGDEATKPNLHLANRLDRDTSGLMVITKCNKVAGKLVKAFTEHKVKKTYLALCIGYPPAWEKIKICSGHGRSKHGAWRMYAMSDVGRSLPGGSVVRDMSTRFEVLGINGKGQFREPSNFEVDETESITVQEKAADLTSDGDEKNSIILVRAYPQSGRTHQIRLHCQYLGFPIRGDVKYSGVIEWNGVDYDGHALHAESLSFVHPVTGLPVTFRSPLPSWANEFISTMA >ORGLA12G0137600.1 pep chromosome:AGI1.1:12:15851654:15852562:1 gene:ORGLA12G0137600 transcript:ORGLA12G0137600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:I1R770] MSTMKFCRECNNILYPKEDRDQKILLYACRNCDHQEVADNNCVYRNVVHHSAGEFTQVLQDVAGDPTLPRTKAVRCAVCGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRE >ORGLA12G0137500.1 pep chromosome:AGI1.1:12:15844870:15848092:-1 gene:ORGLA12G0137500 transcript:ORGLA12G0137500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFSESKKELDALLSDDSLATVPFLILGNKIDIPYAVSEEELRYHMGLSNFTTGKGKVSLGESNVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >ORGLA12G0137400.1 pep chromosome:AGI1.1:12:15839352:15842292:1 gene:ORGLA12G0137400 transcript:ORGLA12G0137400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:I1R768] MTAEEMLDYHDVLLPFVHAVRELDDTTLYASRTLFFLTEEGTLRPIAIELTRPKSPNTPQWRQVFTPAGTSVTASWLWQLAKTHVLAHDAGYHQLVHHWLRTHCCVEPYVIAANRRLSQMHPIYRLLHPHLRFTMEINAQARGMLINANGIIESAFAPGKHSMELSSAVYDKSWRFDMEALPADLIRRGMAFHGEDGKLKLTIEDYPYANDGLLVWDSIKEWVSDYVNHYYPSASDIYSDEELHGWWNEVQTNGHPDKKDGWPELDCHGSLIKVLTTIIWVASGHHAAVNFGQYPYAGYFPNRPTIARRNMPTEEEHGCEGMQPTFVEDPVRVLLDTFPSQYQTTLILPALNLLSSHSPSEEYMGTHTEAAWMANREVRAAFGRFNERMMRIAETIDRRNRDPERRNRWGPGVVPYVLLKPCYGDPKDMSSVMEMGIPNSISI >ORGLA12G0137300.1 pep chromosome:AGI1.1:12:15823660:15827827:-1 gene:ORGLA12G0137300 transcript:ORGLA12G0137300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSIGRSVLKGALGFAKSTLVEEVSLQLGVQRDQAFIRDELEMMNSFLMAANDEKDDNKVVRTWVKQVRDVAYDVEDCLQDFAVRLGRKSSSWWRSPHTLWERRRIAKQMKELRGKVEDVSQRNMRYQLIKGSKPTVATNVAPSSTARATMSGVHEERWQHDKAVAGLVRLVIKTKVDELRVIAVWGTSGDIREMSIVGGAYDHLKRSNKFERCAWVNLMHPLNPTKLLQTIVRQFYVRSLQEAGKATPSCQILSSMLIKEDQGLGLKEDHLNDEFNEYLSDKCYLVMLNDLSTAEEWKQIKMLFPDNKKGSRIIVFTQHVEVASFCARTEEVAPEHMQLFADQTLYAFRCKCAKDGVDSMEDSSNLNEDTTYNAVEGKSLPRTYSMVTAFKESEIVGRVDEIKEIIELISKGSQQLEKISVWGMGGIGKTTLIQNVYRSEKVKKMFDKHACVTIMRPFNLNDLLMSLVRQLEDSKTSGEKELASILEGKKYLIVLDDVLSTTEWNAIESYFPAMETGSRIIITTRHQSIAKHCSGDQQGKIYQLNRLGDNDAKNLFAKKVT >ORGLA12G0137200.1 pep chromosome:AGI1.1:12:15782677:15786819:-1 gene:ORGLA12G0137200 transcript:ORGLA12G0137200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:I1R766] NYLSSYLPSQTPRGVKNLRKEELKTIRGNGRGERKEWERVYDYDVYNDLGDPDNDPATRRPVLGGRERPYPRRCRTGRRRCRADPSSESPPATADGIYVPRDEAFTERRAGVFATKRALSMLSAFTTARRVSGDRRRSFPSLAAIDALYEDGYKNRPPSSQPEADDVDGYLAGMVQRQVKLLLKGEEEEFKEELRKLFKFQTPEIHDKDKLAWLRDEEFARQTLAGMNPLSIQLVRDTEFPIFSKLDEETYGPGDSLITRELIEGQINGVMTAEEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQLVSHWLRTHCCVEPYVIAANRRLSQMHPIYRLLHPHFRFTMEINAQARGMLINANGIIESAFAPGKHCMELSSAVYDKFWRFDMEALPADLIRRGMAIECEDGELELTIEDYPYANDGLLIWDSIKEWVSDYVNHYYLLASDIHMDKELQGWWNEVRTKGHPDKKEGWPELDCHGSLVEVLTTIIWVASGHHAAVNFGQYPYAGYFPNRPTIARRNMPTEEQGCGREGMQPTFVEDPVRVLLDTFPSQYQTTLVLPVLNLLSSHSPGEEYIGTHAESAWMADREVRAAFGRFNERMMSIAETIDCRNKDPERKNRQGPGVVPYVLLKPSYGDPKDMTSVMEMGIPTSISI >ORGLA12G0137100.1 pep chromosome:AGI1.1:12:15745040:15745450:-1 gene:ORGLA12G0137100 transcript:ORGLA12G0137100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVVGEDNQYIAYVAYPLDLFEEGSVTNMFTSIVGLHFHRLAMKQLILT >ORGLA12G0137000.1 pep chromosome:AGI1.1:12:15723518:15724550:-1 gene:ORGLA12G0137000 transcript:ORGLA12G0137000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLGELGAGWNRGCRRLRISSLTLRSLGVSNDTRKDEKLEEVIVEDAPLLERLTPHTIWQGDFVIRVIQAPKLKTLGYLSHKITTLELGTMVFQKMVPVSLSNVMRSVKILALDTTPDLDVVIDFIKCFPCVEKLYIVAFNQGNLKNIRRNVSLECFDLHLKMVEFINYQGNMLDLNFIRFFVLNARVLECIKLVARRDKCEAKWIEKQNQKLQLYGRASRRVTFDFQADYGVDSLVHMKHISDLTTDDPFDRSFCRCRDEEIY >ORGLA12G0136900.1 pep chromosome:AGI1.1:12:15712601:15714403:1 gene:ORGLA12G0136900 transcript:ORGLA12G0136900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPRNFAIMGRKEATDGGRFFYDWQRGDRRMVVVTRATKRRLKEESYDPELRKMRRVEELRRLRRLEEESGDPRLREDLISRLPDDVLRGIITLLPTKDGASTQFPMETACMLDFPHLKALTLSNVNIMDSALHGLLSRCPVLESLVLVGNRWCRCLHISSLTLRSLGVSDGFSSVEGKLEEVTIVDAPLLERLIIPRDKWQDDFVVRVTQAPKLEALGYLSDGISRLEIGTMVVQKLVPVSLSNVVRTVKILAINTNFYPNVVIDFIKCFPCVEKLYVKVHYYAYFNNVQQNVSLECLDLHLKMVEFINYQGNVEDLNFIRFFVLNALVLECMKLVTHRNKCDVKWIEEQHQKLQLYSGASRRVTFDFQADYEGDSLVHMKHISDLTTNDPFDRSFCRCRDEER >ORGLA12G0136800.1 pep chromosome:AGI1.1:12:15706301:15707482:-1 gene:ORGLA12G0136800 transcript:ORGLA12G0136800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFVGGAAPSSTTSYPLADITNTSGLGFTNRRGKGRAKSLCVVRRNDEECHGSKENCDASEQNTPTASNVHRIVTPNSQPFADDRDTSFSAPSNLPMCTGSHGDVTNLTHAEVVRKRARNWYASLTQEKKDERNKKDRERRKRKKEESHVLNKSATNSDVAPLCELSKKSAADLMTCQLEVNDSSTLHQG >ORGLA12G0136700.1 pep chromosome:AGI1.1:12:15693059:15693220:1 gene:ORGLA12G0136700 transcript:ORGLA12G0136700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRAIKRRLDEESSNPELAPRGGEDLISRLPDDILTSIITILPGKDAART >ORGLA12G0136600.1 pep chromosome:AGI1.1:12:15685981:15686498:1 gene:ORGLA12G0136600 transcript:ORGLA12G0136600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDVTTIDTVLQAHRGRVRRFSLSWTLDYNHFFIVDYLLGSPQLCKLQEFELFYFNIDAQNLICSTCDTLQFPMETDCMPNFPHLKXLTLSNINIVVGERGGAARGGRSGVAGGECGRGSTRWEEQCCRWGERRGTTGRRERWPVVEGGRGKMN >ORGLA12G0136500.1 pep chromosome:AGI1.1:12:15670676:15672303:1 gene:ORGLA12G0136500 transcript:ORGLA12G0136500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRGKKRRLDDESSNPQLAPRGGEDLISRLPDDILAGIITLLPTKDGACTQMLSRRWRPLWQSAPLNLEARVNGCTLGKDVATIYSTLLTHSGPEFELFYDSVGVQNPPVPPSVLRLSSTLCVLRILSTCDTLQFPMETVCTLDFPHLKELTLSQVNIADSILHGILSRCIVLESLVLDANRGCSRLRISSLTLQSLGVSDTYFSVEGMLEEVIIEDAPLLERLTPPVIWHEGFVIRVIQAPKLKTLGYLSQKISTLQLGNMVFQKLVPVSLSNVMRAMKILALHTAPDLDVVIDFLKFFPCVEKLYIVALNKGNFKNVWRYVSLECLDLHLKMVEFINYHGNLSDLNFIKFFVLNAQVLECIKFVACRDKCDAKWIKTQHQRLQLYSRASRGVTLDFQADYGVDSLVHVKHISDLTTDDPFDRSFCRCRDEEI >ORGLA12G0136400.1 pep chromosome:AGI1.1:12:15665051:15667141:-1 gene:ORGLA12G0136400 transcript:ORGLA12G0136400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) domain protein 40 [Source:Projected from Arabidopsis thaliana (AT3G16890) TAIR;Acc:AT3G16890] MRSIHHPNLLLLRRRRCRRLSGANRRPETPPRSSLSTKSAANPPDPADPARAASILAEDDWFPRLNAEFAAALPRLGPRFVVRALRAAAEREGVGEPLLCVRLYVWASRFGAHFARDGGVRRALVGALWRRGPVVLSGRLVAEVRGCGCEVSEELVCALVESWGRLGLARYAHEVFVQMPRLGLRPSTAVYNALIAASVRAGAVDTAYLRFQQMPADGCRPDRFTYNSLVHGVCRRGIVDEAVRLVRQMEGEGIRPNVFTYTMLVDGFCNAGRVEEAFRVLDKMKEKGMAPSEATYRTLVHGVFRCLERDKAYRMLSDWLGHETSLHPSACHTMLYCLSKKDMAKEAVEFAKRMSARGYLLDSTAFGIVMSCALKCLEVSDLCELLDSFIKNGGNPGFDVYIMVIKSLLNCKNFSKANHYLGHMVLKGLLSSVMSYNMVIDCFVKAGAVDKAEEIVKEMQDKGFLPNLVTFNTLISGYSKLGNVHNAKVVLKMLMEHGFMPDIITFTSLIDGLCNAHQLDDAFVCFEEMAEWGVRPNAQTYNVLMHTLCSAGHVNKAIDLLNKMKIEGVTPDAYSFNALILSFCRMRKVDKAEDIFNDMVRFGVVPDSYTYNSLIKALCDERRVNKAKEILFARERSGCSTSNNQSYWPIVAALAKMGQFSEAGELMDKYLSRNAQSSCGSNQSIESEVAVQVVNA >ORGLA12G0136300.1 pep chromosome:AGI1.1:12:15662238:15662564:-1 gene:ORGLA12G0136300 transcript:ORGLA12G0136300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGAGAVGRRAWRWRVLLARRQADAVVARDSGGGGGCAKARDASEVKNKVGRSRSDDLDVISCGDMGGGVGDDNDKDAKHGNPRKHQSAFDLTRCCALLCCLRARKK >ORGLA12G0136200.1 pep chromosome:AGI1.1:12:15660026:15660280:-1 gene:ORGLA12G0136200 transcript:ORGLA12G0136200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLAVFFLLAGGAGLGMTAAAAAKAVHLLCFATSWGVTVWAILVGGVIMFLYYTFSPCSFNVFPPSYNLKFFEEIYRNHEY >ORGLA12G0136100.1 pep chromosome:AGI1.1:12:15656863:15659299:-1 gene:ORGLA12G0136100 transcript:ORGLA12G0136100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRGKVFPACFALNAACTAASAAAFAWLHRPPWPPAERRQLAVLLVAAGYDLANLLIFTPRTLEAMRERHKVERSLGIGGDGSFVGWRQNARAARSSSTLAAENARFWVAHSFSAVALVISAAGLFSHFCYLSGKIVI >ORGLA12G0136000.1 pep chromosome:AGI1.1:12:15651960:15654116:-1 gene:ORGLA12G0136000 transcript:ORGLA12G0136000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWATRFLAAVCFFAAGVVFAPDVLLGARSGSGSGGGAAAAAKVAHLLCFATSWGAALWATFIGGIIMFKNLPRHQFGNLQGKLFPAYFMLISACAAVSVAAFAYLHPWKTASTVERYQLGFLLAALGFDLSNLLVFTPMTIEMMKKRHKIERDLSIGEEVGWSKNVQVAKNNPTLAAINKKFGMIHGLSSLANIMSFGSLAMHSWYLASKLEM >ORGLA12G0135900.1 pep chromosome:AGI1.1:12:15636534:15639415:1 gene:ORGLA12G0135900 transcript:ORGLA12G0135900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLLLLLFLGILLFMALAYLSKNKGVHFPGLEKIANINISDKECQEHFLSASPECQAVISMPNGQPNDDRCRLLTGQRGMLGIMTASSFSGSNL >ORGLA12G0135800.1 pep chromosome:AGI1.1:12:15635618:15636508:1 gene:ORGLA12G0135800 transcript:ORGLA12G0135800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAADTVSGDDHHLATGGDSACSTPFVSAPSSPAARDAPFPGGFFSAPASPAHHHHHHGGEGGGDGEEYEFEFDFSSRFPSPAPAAMSSADELFHNGQIRPMRLPPLVGGEAPREELEVGAGDERGGRLRCRSVRRRSRSHSPFRTWLSPPPPPPPPPPPPASSFAPVANAAAPSASRSSSSSSTASSASTSSSSSSRSSRRWKFLKDLLHRSGSDGGKDPRPPPPTALPRSPAPAAARGGRRRSAHERMYAARRAEVEEMRRRTFLPYQQGLLFGCLGLTSRLSSAAAGKSRS >ORGLA12G0135700.1 pep chromosome:AGI1.1:12:15619027:15624840:-1 gene:ORGLA12G0135700 transcript:ORGLA12G0135700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G02120) TAIR;Acc:AT4G02120] MAEPGEEEAAAAEPKHPAAAASTKYVLITGGVVSGLGKGVTASSVGVVLKACGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKQWIQSVSSVPVDGQTCPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNLWHVPLILRNQKVHEAIIKQLNLGRSAGPPELRDWTQMAESYDDLKNSVKIALVGKYTNLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDATATSAPDAHAKAWETLKGSSCILIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRNVLGLKDADSEEFNSETPSRVVMYMPEVSKTHMGNTMRLGCRRTFFHRTDCLTSKLYGSPDHVDERHRHRYEVNPAFVAMLENAGLHFVGCDESGKRMEVVELQDHPFYIGVQFHPEFKSRPRRPSPPFTGLILAAAEYMGTPANNSNGHVGTSE >ORGLA12G0135600.1 pep chromosome:AGI1.1:12:15613833:15618132:1 gene:ORGLA12G0135600 transcript:ORGLA12G0135600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKRRRTRRRLSLATATDGDGDGTAAAAPPAKRQRCHAVEDLPSPRRGLLRQSVLVVVFLRRAMLLAWGRKADDDDDDDAAVGVSRIGGLVRDELRRCLGPIVRGFSLQFSSLESKLERRLERIDQRIENLNHKVDQITPLRHSHCNHQQPMQGTNHEGANAEGVETNEDDDKNTCVRLRFLNEMKPPIYHDDELKAENNEDIRIAIFDGEQMIKSGPLSKVKLEILALEGNFPYNSMESWTTKEFNEHRACGRDERGNVLAGERTVQLINGEASLGAIKFREGSCKARKGKFMLAARVCDSARTGVHVQEAVMTPVVVQDRRNKSNEKSHPPKLDDKVHRLEEIAINGKYCKRLAENDIETVEDFLKALNKDPDNLANILHMKKGSKAWEKMVTHARDCSLEGKPELKSYPVAQTNVVLIFDCVNSLVGAWFGDSYIASDSLSSAQQVIVDKLKGEAYKLLDKLPFDYIMEGGFPIPNPMNANANADVQGTEAVGGLDHAQIDPSFANANYQDQSTAQAGQEQFSSAAVAGWYQGPIAQPSSSHQTNHVVYPGGAQVNYSHQTNCVAPCDYPCQGASMVPGFDQVELQGRPFLGRDDLEASTSAHNNLPFPPQQQFTFSGDPGSSAQVNMQSQSQGQAATQGNLPTQQQWSQSQYHGNNWG >ORGLA12G0135500.1 pep chromosome:AGI1.1:12:15606727:15608030:1 gene:ORGLA12G0135500 transcript:ORGLA12G0135500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEATSGGGGGFRARVEHYLYSGEKKHVVAGIAIFAAIFGVPWYLMTRGAKHQSHQDYMERANKARSERLSSGQSSSPKE >ORGLA12G0135400.1 pep chromosome:AGI1.1:12:15601944:15604853:1 gene:ORGLA12G0135400 transcript:ORGLA12G0135400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYIVILPFYFSSTPYQYASGAEPPPGKLENIQENMLERMENMQERMENMQERMEDISHEVKQLKHLHSNRHADQHPGLEPNTNVQLRFLDNLKTPVYTEKNITAESNEAIRIGIFEGDNMITDGPLSKVKVEIVVLRGDFSNDGRVSWTEEQFNNHIVQGRNGQGFVLGGDCGVWLKKGENRLGKIRFKEGSSRTRSRMFILGARVCKSENTGVRVQEAVMKPVTVLDRRNEANEKRHPPMLDDEVFRLEEICKDGTYHKRLQKAKIFTVRDFLKALNTNAKKLREEVLQMKKKTNSWDKMVGHARECCLRDQHELKAYQSEEENATLFFNGVHQIVGAKFGGDYVIYENFDPAQKTKVNKLKDRAHAKLDDIPSDFVMKNNIPEPISPTSAAAAGPSNRSDHQMPNQGTIGAENLCNGVAFYSNAICDCSTSNPNDVSTHDYPDQAPTPFPDWQQDLQRLMSSSDTIDWPSFERIVLGGTSEESSSAQHQVHQLHESMPPATSPWVAAPQSRAQHGEEPSRFPFPGSDHNNNC >ORGLA12G0135300.1 pep chromosome:AGI1.1:12:15592212:15595821:1 gene:ORGLA12G0135300 transcript:ORGLA12G0135300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRRPEEEAPQAAALRKRCRSFDLEIRGCRHLQELAAGCVRTLESAISRIPEEVTKALTSFLIRAPRTLSDQNQPPWYKLRFSNGLSNEVFTKKGICDVNGESLKISVHVNNPQEACSHRLLSAKIRVVVLDGDFNKNDQGCWTSEEFRNHIVRPRDKVGAVLTGELELSLKNGEAYIHDATFVDNSKFMRSGKFRLGVMVIDDLGERIQEGITEPFVVKDRRGEGSKKHEIPSLDDDVWRLKKISKDGVFHNSLKQSGISNVKEFLRLYYTDEPALRKILIKATDKVWTTIIEHAKKCDPGRELYSFLPENRNVVLFFNSIHQIVGVITGDHYTPFSDLDKSMQDDVGQLSKLAYADLNHFLPDFEMKNGKPRQINQCAFQESRMVEPKFTDQIQGHMDPNFAGLIQGNMDQKKRNVHESDDQQGTSGSHPRQCKLSRFGSVRVTRVASLNKNDEDSLDFSFLLNSLSDQHDASMNTNEIAGSVTFHCPTASTNEITGSVVMRQASFRMDHPACENDASVAEFHQEQQVVTAQFDPSFLAVLADAPMYSTHNSFKESECHEALQKLSED >ORGLA12G0135200.1 pep chromosome:AGI1.1:12:15579800:15584541:1 gene:ORGLA12G0135200 transcript:ORGLA12G0135200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSWERLAADARLQGSCFDALIGMEEAEGSEGEEEEAAEVACPFCDEEFDGFGLCCHIEDEHQAENRAGVCPICYDAVGMDLVSHITSEHPSFFKGKWRNRRVSHGSHSSTRATLKKDAAYLQYRYGGSTRAASHNTDPDPLLSSFVGNFTDTDLPKDVQQEFRDETDEKSDSLVQKEQKPVESADEPLLPEVKEERTRRSQFVQGLVLSLMFDDIL >ORGLA12G0135100.1 pep chromosome:AGI1.1:12:15560953:15565505:-1 gene:ORGLA12G0135100 transcript:ORGLA12G0135100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase-like D5 [Source:Projected from Arabidopsis thaliana (AT1G02730) TAIR;Acc:AT1G02730] MSRRLSLPAGAPVTVAVSPVRSPGGDAVVRRGSGLTSPVPRHSLGSSTATLQVSPVRRSGGSRYLGASRDGGADESAEFVHYTVHIPPTPDRATASVASEAEAAAEAEEVHRPQRSYISGTIFTGGLNCATRGHVLNFSGEGGATAASRAAASGNMSCKMRGCDMPAFLNGGRPPCDCGFMICKECYAECAAGNCPGCKEAFSAGSDTDESDSVTDDDDDEAVSSSEERDQLPLTSMARKFSVVHSMKVPGAAANGNGKPAEFDHARWLFETKGTYGYGNALWPKDGHAHSGAGFVTADEPPNFGARCRRPLTRKTSVSQAILSPYXXLIAIRLVALGFFLAWRIRHPNPEAVWLWAMSVACEVWFAFSWLLDSLPKLCPVHRAADLAVLAERFESPTARNPKGRSDLPGIDVFVTSADPEKEPPLVTANTILSILAADYPVEKLACYLSDDGGALLSFEALAETASFARTWVPFCRKHGVEPRCPEAYFGQKRDFLKNKVRVDFVRERRKVKREYDEFKVRVNSLPEAIRRRSDAYNAGEELRARRRQQEEAAAAAAAGNGELGAAAVETAAVKATWMSDGSHWPGTWTCPAADHARGDHAGIIQAMLAPPTSEPVMGGEAAECGGLIDTTGVDVRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYVHNSSALREGMCFMLDRGGDRVCFVQFPQRFEGVDPSDRYANHNLVFFDVSMRAMDGLQGPMYVGTGCVFRRTALYGFSPPRATEHHGWLGRRKIKLFLTKKKSMGKKTDRAEDDTEMMLPPIEDDDGGADIEASAMLPKRFGGSATFVASIPVAEYQGRLLQDTPGCHHGRPAGALAVPREPLDAATVAEAIGVISCFYEEKTEWGRRIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTPRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASPRMKLLQRVAYFNAGMYPFTSVFLLAYCLLPAVSLFSGKFIVQRLSATFLAFLLVITLTLCLLALLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKPGNGGGDGGVGGEGNDDEAFAELYEVRWSYLMVPPVTIMMVNAVAIAVAAARTLYSEFPQWSKLLGGAFFSFWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLISMIISLLWVYISPPAGARERIGGGGFSFP >ORGLA12G0135000.1 pep chromosome:AGI1.1:12:15557901:15558704:1 gene:ORGLA12G0135000 transcript:ORGLA12G0135000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACVSDEHAVAVSAERLWKAFMDASALPKACAGLVDDIAVEGNGGPGTVYTMKLNPAAGVGSTYKTRVAVCDAASHVLKSDVLEAESKVGKLKSHSTETKLEATGDGSCVAKLKVEYELEDGSSLSPEKEKDIVDGYYGMLKMIEDYLVAHPAEYA >ORGLA12G0134900.1 pep chromosome:AGI1.1:12:15555088:15556095:1 gene:ORGLA12G0134900 transcript:ORGLA12G0134900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFVSDELAVAVSAERLWKVFMDASAMPKVCAGFVDDIVVEGNGGPGTIYTMKLNPGVGVGNTYKTRVAVCDNAAHVLKSEVLEAESKVGKLESHSTETKLEGTGDGSCMAKLKVEYELADGSSLSPEQEKTMVDGYFGMLKMMEAYLAAHPAEFA >ORGLA12G0134800.1 pep chromosome:AGI1.1:12:15550836:15552310:1 gene:ORGLA12G0134800 transcript:ORGLA12G0134800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFVSDERAVTVSVERLWKVCLDVHSLPKVCAGFIDAVEVEGNGGPGTIHIMKLNPAADAGSVYKTKIVVCDSATHVLKAEVLEVKSKVGNLKSHSTETKLEATGDASCVAKLTVEYELKDGASLSPEQEKMIVDGYFSMLQMIEAYLVAHPAEYA >ORGLA12G0134700.1 pep chromosome:AGI1.1:12:15544395:15545404:1 gene:ORGLA12G0134700 transcript:ORGLA12G0134700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVCISDERAVAVSAERFWKVFSNPPAMPKVCAGFFDAAEVDGDGGPGTVVILKFNPAVKQGLYKTRVVARDNASHFLKSEVLEVALGRAGKLKTHLTETKLEATGAGSCMAKLRVECEPEDGGSLSPEKQKIILEGYFGMLKMIENYLVAHPAEYA >ORGLA12G0134600.1 pep chromosome:AGI1.1:12:15536432:15537237:1 gene:ORGLA12G0134600 transcript:ORGLA12G0134600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSISDERAVSVSAERVWKVFSDAAAMPKVCAGFIDAIEVEGDGGAGTVTTMKLNPAVDDGGSFKTRVVARDNAAHVIKSEVLDVPAGSKVGKLKSHVTETKIEAADAGSCLAKIKVEYELEDGGSLSPEKEKLILDGYFGMLKMIEDYLVAHPAEYA >ORGLA12G0134500.1 pep chromosome:AGI1.1:12:15533669:15534211:1 gene:ORGLA12G0134500 transcript:ORGLA12G0134500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGRPLPISLPLDPLPLPDLAGGEWSVKTKEGGGGDDAVEEEDEGKARWRKGRRALDLSGGSENIFAGGSLKDALMKIYFLKRYCGRL >ORGLA12G0134400.1 pep chromosome:AGI1.1:12:15528299:15531255:1 gene:ORGLA12G0134400 transcript:ORGLA12G0134400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLALAVLVVAMAAVAVAVGVASGEEEAAAARCARRPRPRPHSVTISEFGAVGDGVTVNTLPFQNAIFYLRSFADKGGAQLYVPRGRWLTGSFNLTSHLTIFLEKDAVIIGAKEVSEWPIVEPLPSYGQGIDLPGARHRSLINGHNVTDVVITGNNGIIDGQGLTWWNWFRSNKLNYSRPHLVEFVDSEDIVISNLTLLNSPAWGIHPVFCSNVMVHDVTIRTSLDAPLTDGIVPDSCSNMCIEDSSISVAHDAISLKSGWDNYGITIGRPASDIHISRVDLQASLGAALAFGSEMSGGISDIHVDHLNIHGSSRGILFKTAPGRGGYIRDVVISDVQMEDVNVAIKFTGDWSTHPDNHFDPSALPMINRITLKNMVGTNISVAGVLSGINGDPFTNICLSNISFSLADSTQSSSWSCSNISGYSELVFPEPCPDLHHSSSNSSICFSLLTYHALAAA >ORGLA12G0134300.1 pep chromosome:AGI1.1:12:15516846:15517170:1 gene:ORGLA12G0134300 transcript:ORGLA12G0134300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGRERAAAAVEGGGGLHTAVGGSATVEAARMGSGRRRCTRKRGGRCFYEVADESVMSIC >ORGLA12G0134200.1 pep chromosome:AGI1.1:12:15498857:15503318:-1 gene:ORGLA12G0134200 transcript:ORGLA12G0134200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEAAAAAARTVRYTYGETLGKWPLGEIAFGLKYYMRQQGNLQHEYAGSNSQLLEGPVVKEELISLLGYLKLCMYFSKKPYKVFMEFGGYDESDVLIKKSKARLKLCVIVVQLMKPSFTVVRDRSAKCFLLFIRGAISVKDRLTAATGAEVPFHHVVAQDGHVSRLVLGHAHCGMVVAARWIANQAIPCLNEAVAQFPDYGVKIIGHSMGAGIAAILAYILRENEKLSSSTCLAFGPAACMTWDLAESGKDFITTIVNRNDLVPSFGKVSAAKLRTEVMASSWVHDLREQIQQTRFLGFVNRSVSFIRSHVPFVSDPRSKVVDVDMLVPNSSEADQVKPSSNSDAVVKKRHAIACWSCVAAHKHSIDSAKHGTHDITNKTDVNVKAEKTDIETAQPVSISMEELDLQESDNDDDTDRGENEPALNETDEDQAVELLETLTDNPHKPSSSSSQSQDPPQLYPPGRIMHMVGLPSSSEPNSTSEQGEEVVALYETPRHLYSKIRLARSMIREHYMPKYIKTMELLIDKLVAEEEEDIDDDHRLGSL >ORGLA12G0134100.1 pep chromosome:AGI1.1:12:15487633:15497203:-1 gene:ORGLA12G0134100 transcript:ORGLA12G0134100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLUB [Source:Projected from Arabidopsis thaliana (AT5G54440) TAIR;Acc:AT5G54440] MANYLAQFQTIKSSCDRIVVAVEDVSDLWLNVKESFEQRLPVKKACLNNKARNPVFVENLPAEFIQTTDSRLRSRFPQDQYLFWFREPYATVVLVSCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPSNDQASKMAKRVYARLESDFNTKKRERCCKFDLHGPDAEFWDDFDSKMVDCIRNTLDRRVQFYEEEIRRLSEQRFTPIWNFCNFFILKESLAFMFEMTNLHEDSLREYDELELCYSESVNSPGKHREFGGLDTGDDQAVLLNPGFKALTQIVQDDVFREFEFRQYIFACQAKLLFKLHRPIEVAARGYAFVVSFSKTLALQENGLPFCFREVWVITACMDLIKATTSHYDGTAVAIDSEKEFCRIQGDLYSLCRIKFLRLAYLIGYGVEIEKSPVNSASLSMLPWPKPATWPSIPLDSSAETMAKEKMILQAKSREKIFNIHRKPLPLEPSLLLREANRRRAFLSVGNISELYDSGDGSGLDANSKPSPNKSASNYMARTMSGPATSETSLPVDRPMRLSEIHVAAEHALKQTVSDPNFMTSLSSLEEFEKRYMELTKGAADNYHRSWWKRHGVVLDGEIAALFFKHENYDLAAKSYEKVCALYSAEGWEELLADVLPDLAECQKILNDEAGYLTSCVKLLSLESGLFSSKERQAFQSEVVRLAHSEMKHPVPLDVSSLITFAGNPAPPLELCDGDPGTLSVAVWSAFPDDITLESLSLRLSASSSADEGLKAIKSSDARVLVPGRNIITFDIPPQKPGSYVLGALTGQIGKLSFRSHGFSQDGPVDTDEFMSFEKPTRPVLKVRKPRALVDITPAVSSALLMNELQWIGLIVKPIDYSLKDGILHIDAGAGLKIESSQMIEIETYGGDVEHVGGTDASKTSSSSTDTRKVEKVPIEDGKIKIPDWASDVTTLVWFPVRAIDDTIARGASPASPQKQSIVDGMRMIALKLEFGVFLNQVFERTIAVHFTNPFHVSTRVVDKCYDGTLLLQVILHSEVKATLRVKDIWLDLQSGFEHTGKGDGRPTSNLFPLVIAPSSRAGILFVIRLSALGDMDELEKADSMLNIKYGISGDRTTGAHSPVPVKPDDSEELVFKIAVKLKRPVLDPCLAVGFLPFSSDCLRVGQLVNMRWRVERLKNPEDASLLADEILYQVDANPQNWMVAGRKCGHVSLSNEQGSRIEITVTCVPLVSGYVHPPQLGLPHVGEANISCNPAGPHLVCVLPPTLSTSYCIPA >ORGLA12G0134000.1 pep chromosome:AGI1.1:12:15480161:15481969:-1 gene:ORGLA12G0134000 transcript:ORGLA12G0134000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNLNGLRFKQLKLVCLALLVVLLTWKWEKGSLRNRGELLRSEPLALSHPVQSKYIDHDISKEEIFSSLDPLVQLVDEVGREATAASPPQSVVHEAENVTGKREASPPEKKECDYRNGRWVPDDSRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLKRMQDKTIAFVGDSLGRQMFQSMMCMLTGGDDHSHVEDVGKRYGLVVARHAKRPEGWAYRFRRTNTTILYYWSATLCDLEPLRRSDQATGYAMHLDRPPAFLQKNLHRFHVIILNTGHHWNRGKMKANRWHMYVSGVPSHDRDITVIWKAKNFTIHNVVRWLDDQLPSHPHLKVFYRSLSPRHFFNGEWNTGGTCDNKNPLSKGNSVFRNRSDDAEAEEAVRGTGIKLLDITAISRLRDEGHISRYSIRGRGGVQDCLHWCLPGVPDTWNEILAAQL >ORGLA12G0133900.1 pep chromosome:AGI1.1:12:15476194:15478608:1 gene:ORGLA12G0133900 transcript:ORGLA12G0133900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAATSSSSSYTDTSGSSSDSSSSSGSDRRRRHARHRSGHRKDAAAAASSSSALKARKDRRSRHKRRRRERRRSPSDDDSYSSSSSYDSEHEGKSRKHKKSRSSRKSRERERSKDRHSKRDKSKHKEKKDSERTSGPVQLSKFLGRDKDEGVQRSAISGKKIMMKLEKSKEDKQAESKRNELLKFLNASYD >ORGLA12G0133800.1 pep chromosome:AGI1.1:12:15459072:15461445:1 gene:ORGLA12G0133800 transcript:ORGLA12G0133800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKARVCVRAPHGAGALLVVGGAVVGAAVFAWCRRRGEGERKRGAKNHGEHPAKEEEGLDGGVVEGEQGDEQRLHQIYQNLSRENVVVGVNGSDGKATDELYQIQKDDEVVPNGDKIVLSELVTEAVEKYDHNSVKDCAGIAADVMVNEAVETYDHNSERDCTEITAHAMDTENVTEDDDNSVKNSVENEITDTEGEENSVDSTFSLSSPDITLEEHENHNCVVQDTASTEITLSTGSVMHQEQFSEEAKNEETAEVKLAQETVTTPMVEAEVKLEEETETIPIAETTEVKPAEETETSTMAETVQVKPAKETEITSMADTAELNLAEETETASMAETAEVKLAEETETIPMAETAEVKLAEEKAMKKNEFEQEEEKPVKPVSSLAYSSMPSLLKRTVKKGQVNPRWNETGMKLEQDCTNCELNEHDLTKGGAAAMGGAVLTMARRPDSMAILALIVAVTIAITIVVRLYVPLQET >ORGLA12G0133700.1 pep chromosome:AGI1.1:12:15448936:15452688:1 gene:ORGLA12G0133700 transcript:ORGLA12G0133700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G27920) TAIR;Acc:AT5G27920] MSEEVQRYGGGGGGGGGVAALSLDLLGQVLDRVREPRDRKACRLVSRAFARAEAAHRRALRVLRREPLARLLRAFRALERLDLSACASLDDASLAAALSGADLAGVRRVCLARASGVGWRGLDALVAACPRLEAVDLSHCVGAGDREAAALAAATGLRELSLEKCLGVTDMGLAKVVVGCPRLEKLSLKWCREISDIGIDLLSKKCHELRSLDISYLKVGNESLRSISSLEKLEELAMVCCSCIDDDGLELLGKGSNSLQSVDVSRCDHVTSQGLASLIDGHNFLQKLNAADSLHEMRQSFLSNLAKLKDTLTVLRLDGLEVSSSVLLAIGGCNNLVEIGLSKCNGVTDEGISSLVTRCSHLRVIDLTCCNLLTNDALDSIAENCKMVERLRLESCSSISEKGLEQIATSCPNLKEIDLTDCGVNDAALRHLAKCSELLVLKLGLCSSISDKGLAFISSSCGKLIELDLYRCNSITDDGLAALANGCKKIKMLNLCYCNKITDSGLGHLGSLEELTNLELRCLVRITGIGISSVAIGCKNLIEIDLKRCYSVDDAGLWALARYALNLRQLTISYCQVTGLGLCHLLSSLRCLQDVKMVHLSWVSIEGFEMALRAACGRLKKLKMLSGLKSVLSPELLQMLQACGCRIRWVNKPLVYKD >ORGLA12G0133600.1 pep chromosome:AGI1.1:12:15414729:15416953:-1 gene:ORGLA12G0133600 transcript:ORGLA12G0133600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAASSAAVGEAAAAAGEGRMTMVVGVDESEHSYYALQWTLRHFFATAAGGQPPQYRLVVVNAKPTAASAVGLAGPGAADVLPFVEADLKKSSMRVIEKARELCAQVSDALFEVLEGDARNVLCESVERHQAEMLVVGSHGYGAIKRAVLGSVSDYCSHHAHCTVMIVKKPKNKH >ORGLA12G0133500.1 pep chromosome:AGI1.1:12:15413175:15414085:-1 gene:ORGLA12G0133500 transcript:ORGLA12G0133500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGKPVMLVGIDDSDHSYYALEWTLKHFFAPGQPQQYHLILLTSKPPASAVIGIAGLGTAELLPTLDLKRGAARVIEKAKEMCSQVIDASYEVLEGDARNILCEAVERHHADMLVVGSHGYGAWKRAVLGSVSDYCSHHAHCTVMIVKRPKHNMHS >ORGLA12G0133400.1 pep chromosome:AGI1.1:12:15406925:15412303:-1 gene:ORGLA12G0133400 transcript:ORGLA12G0133400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13650) TAIR;Acc:AT4G13650] MTRRGAASLGWSLAGFLAQEDPAKVLSLFADKARQHGGLGPLDFACALRACRGNGRRWQVVPEIHAKAITRGLGKDRIVGNLLIDLYSKNGLVLPARRVFEELSARDNVSWVAMLSGYAQNGLGEEALWLYRQMHRAGVVPTPYVLSSVLSSCTKAELFAQGRSVHAQGYKQGFCSETFVGNALITLYLRCGSFRLAERVFYDMPHHDTVTFNTLISGHAQCAHGEHALEIFEEMQSSGLSPDCVTISSLLAACASLGDLQKGTQLHSYLFKAGMSSDYIMEGSLLDLYVKCGDVETALVIFNLGNRTNVVLWNLILVAFGQINDLAKSFELFCQMQTAGIRPNQFTYPCILRTCTCTGEIDLGEQIHSLSVKTGFESDMYVSGVLIDMYSKYGWLEKARRVLEMLKEKDVVSWTSMIAGYVQHEYCKDALAAFKEMQKCGIWPDNIGLASAISGCAGIKAMRQGLQIHARVYVSGYSGDVSIWNALVNLYARCGRIREAFSSFEEIEHKDEITWNGLVSGFAQSGLHEEALKVFMRMDQSGVKHNVFTFVSALSASANLAEIKQGKQIHARVIKTGHSFETEVGNALISLYGKCGSFEDAKMEFSEMSERNEVSWNTIITSCSQHGRGLEALDLFDQMKKEGIKPNDVTFIGVLAACSHVGLVEEGLSYFKSMSDKYGIRPRPDHYACVIDIFGRAGQLDRAKKFVEEMPIAADAMVWRTLLSACKVHKNIEVGELAAKHLLELEPHDSASYVLLSNAYAVTGKWANRDQVRKMMRDRGVRKEPGRSWIEVKNVVHAFFVGDRLHPLAEQIYNFLAVINDRVAKVGYKQEKYHLFHDKEQEGRDPTDLVHSEKLAVTFGLMSLPPCMPLRVIKNLRVEKYTSLYVNFLHQKRTNGQVGSIEKTERKERAGGMKGKQLETPISHLKSGAHRNILKPASAAAAVMELTCSNQKMAYQQGIRCLHEREAGAYCVCFNREYRRLMKQTAADWWRHHPQTCADAEPQIKPV >ORGLA12G0133300.1 pep chromosome:AGI1.1:12:15404967:15405149:-1 gene:ORGLA12G0133300 transcript:ORGLA12G0133300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RARIRPIVSRITIHWPSFYNVVTGKTLALPNLIALQHIPLSPAGVIAKRPAPIALPNSCAA >ORGLA12G0133200.1 pep chromosome:AGI1.1:12:15375503:15375712:-1 gene:ORGLA12G0133200 transcript:ORGLA12G0133200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGEEEGKTSWLEVVGLPVEEAKKVILKDMPDADIVVVPVGTPVTMDFRPNRVRIFVDTVAGTPTIG >ORGLA12G0133100.1 pep chromosome:AGI1.1:12:15369587:15369793:-1 gene:ORGLA12G0133100 transcript:ORGLA12G0133100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGEERKTSWPEVVGLPAEEAKKVILKDMPDADIVVVPVGTPVTMDFRPNRVRIFVDTVAGTPTIG >ORGLA12G0133000.1 pep chromosome:AGI1.1:12:15365813:15366046:-1 gene:ORGLA12G0133000 transcript:ORGLA12G0133000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVRSAASSGGEEERKTSWPEVVGLPVEEAKKVILKDMPDADIVVLPVGSPVTSDFRPNRVRIFVGTVASTPTIG >ORGLA12G0132900.1 pep chromosome:AGI1.1:12:15353510:15353743:-1 gene:ORGLA12G0132900 transcript:ORGLA12G0132900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVRSAASSGGEEERKTSWPEVVGLPAEEAKKVILKDMPDADIVVLPAGSPVTLDFRSNRVRIFVDTVAGTPTIG >ORGLA12G0132800.1 pep chromosome:AGI1.1:12:15344328:15352137:1 gene:ORGLA12G0132800 transcript:ORGLA12G0132800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:I1R722] MDAMAVLARTSRPAPTLLATTSPAVSRRPVEQSYIMIKPDGVQRGLVGEIISRFEKKGFVLKGLKLFQCPKDLAQEHYKDLKEKPFFPGLIEYITSGPVVCMAWEGDGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIALWFKEGELCEWESVLTPWLVE >ORGLA12G0132700.1 pep chromosome:AGI1.1:12:15335048:15341413:-1 gene:ORGLA12G0132700 transcript:ORGLA12G0132700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQGLLARDFGLRPQGKAAPMSAARAASSSGSAWSNSRSASASAAAAATAPPAPSYDDLFGAAPPSAPPPKAAPSPSLDAIFDSFKEPSAADAPPKPKHSSMPVFDKPVYDDDIFDGVPGVKSSSSARFDDVFGGSHAPPPPAYDDLLGGFGSKPEVKEVLQEEKRKPEPAASSAGFDDLIPGFGGRIPMRARETVGTKDKNASMSMSKPASMASDPFDVLGTTSTSKHTSSGIFTDPLDELGRPAKSQGKKHDNTAVDSGLFEDSSTFNQVPKSEPLFTSELNDDLKDRNGSTKDRDSSPVQNFSRKNTTQKPSVENFENIFPKSQSARYSDVHVDIGASGSEKYSGNGMDDQSPRSDESEDEIWLTVSEIPLFTQPTSAPPPSRTPPPLAVKQKPHGSQAKRKDDNYPRRSNQNHNHHRSSSNQAGSSSIDELEDFAMGKSQSSAYDNANPFNEEEFEHSSSAAASAAAMKEAMDKAEAKFKHAKVVRERERDAKLRNREQQEQDDEARFNTQDHEERDRQERLEREREMRQREEKEKEQRRLEEERELEKQRERERAARQAVERATKEARERAAAEARAKAEREARQRAERAAVQRAQKEARERAAVDARERAERAAAEAKERAAAEAKEKVATQARDRAAAERAAVERAQQEARRRAERAAVERAASEARERQAAEARERQAAAAAAAAAAKEKQSKPDDLESFFGMGARANSAPKQRAPTADSMFNSQTQNRGAASSASASMRKASSTTNIADDLSAIFGGAPTSSEEFQEIEGESEERRRARFERHQRTRERAAKALAEKNERDMQVQREQAERHRISETMDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >ORGLA12G0132600.1 pep chromosome:AGI1.1:12:15325512:15331070:-1 gene:ORGLA12G0132600 transcript:ORGLA12G0132600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADEMDIDAAADEAGSPPSASGSLSTFLSELAALHRRSSGTSSSTSTSPPLSLASLTFLSSAASPSSSIFPRLAAAGLPASSLSAPLAASLSSAHHPLPAAVAYLRLLLAPASPLLSLFSPLPFLSLLLALRKSASSSAAAHDGAAAANPSSGSGSGKGNHRNRKRKSHQQRQSPAAQAAAPSLLPKALALLADAAGRLPLGEHPDARRSLVDTAAELAAFDVLVAVLGSGYYAEAMPDLVRALAPVALSGSRSAARAAAVEFLARKVVPLCVEGGEDGVRKAVGYLPRYLAAKAPEKSEARAMAVEAIVEVVRAMGQLEMEGFAGYVVAMAKGKAKGRLLAVDLILAMLPLLLPSEGDDCGLQEGSWGLKFVRVLVERCSDTVGGVRARALTNAAHALDVLSERGMEVDWLQEVMRIGNIGLGELLRLRCADDKAAVRKAALVLITKSIRLIGRPVDESLLTAMGAACSDPLVSIRKAALAAISEVFRNFPDERVTKEWLQAVPPLVIDSETSIQEECENLFLELVLNRVCQAANLNLNDESNDMEEVFPKGTLHLLKSICDGEVAPCIKKICASLGKKKKLKPLLASSLQNIITISESLWLRGCKPIEMWTAPAGAWWLLSEVSSFAPKSVNWKFLSHHWKLLDNVGQDKGKVCPKGEPNSALWAVDRVSLLQTISNVSMELPVEPAAELAHSLLTRIENFDMNLSEVDAHVKSLKTLCKRKAKTAKEGETLILKWVQQLICKAVNILDEYIKETSEAAKGPKFFTPLSGKLKGRKDASAQKSMSRAVIAVFTIGSLILACPTANVQGVIPSLHTIITSGNSQPRPKNLAGGTVSFKELAPSLYIQSWDTMAKICLVDDKLAKRYIPLFVQELERSDLATLRNNIMIALADFYVRYTAMVDCYMSKITKSLRDPCEVVRRQTFILLSKLLQRDYVKWRGVLFLRFLPSLVDESEKIRHLADFLFGNILKAKAPLLAYNSFIEAIYVLNNCLGHGAQPESQGRLDGGPTLFAIRGTDERSRLKRMHIYVSLLKQMAPEHLLATSAKLCAEILAAACDGLLNVDDAAGRAVLQDALQILACKEMRIHPNICTDNSEMDEEGGDGGSTNAALQAAKGRAVTQVAKKNLIQIAIPIFIELKRLLESKNSPLTGCLMECLRTLLKDYKNEFDEILVADKQLQKELLYDMQKHDVAGKGKAKAAAAAAGPSGASPAVNVGGKRVDGSARATARSVLKEVNRNTPTPPLNSMSVPKVRSMLGGGGGGSRRPAVLESVRRLEPFGSDDEN >ORGLA12G0132500.1 pep chromosome:AGI1.1:12:15322944:15324002:-1 gene:ORGLA12G0132500 transcript:ORGLA12G0132500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKKVFIFRKAADVAMAVSAAYAPFAAAVSYHAAAAAPAALAFALGHGALLFMLPFSVYALAFLRAPRGLHQTPYPMLACAVAAPAALLAAVLAVPVLADDVALAAALAWAANVSAAAALWWCLTNGGYTAVAFSRVDQYASFMDAVGQTPEIAFPLVFDVPATAAAARRDAVRFAAALSAACAVAGGAAVGALSSAAAAIAAALCIFALPMCLLYVPENHMDPYPTIDGVLQRNPAAAWCALLAPVALVLFGLVKASAATAGAGDVGTFATVIAGAFWAMDAGAAVLLGWVIAGEIAMARETGKRSIYRSSSSEIASALLMVWVRYFVYLHVFHLIACGGHLTWFNSSH >ORGLA12G0132400.1 pep chromosome:AGI1.1:12:15310973:15314262:-1 gene:ORGLA12G0132400 transcript:ORGLA12G0132400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRLLSWSSSSSSSDDDGGAGGELSRPRRRWRALVLGLGVRRKRSMEGVFGFREMMGEEFMGMFLPFFGKMVQKVVSEEVEKAIFRQVSTPAPPRLLVGLNQQRPRYQLMFLNGLKPVYTLMKLEAKDGPGLKVAIVERLENNQMRIVRFGHLASAKVEVVVLHGNFNAKNEEQWTPEDFSKQIVCGREKSAQLLTGNLTLKLNGGEALLENATFTDNSSFTSTKKFRLGLRLVNNSEDRVLEGITEPFRVKERRVEGFEKHYPPMLDDEVWRLEKIGRNGAHHQALTNSGVDTVQKFLQSYFTDEKKLFQTFSKMSQTAWKTIISHAMTCEVGDDLCLYEVKGNNVGLFFDAIYQLVGVKFGDSYKPINELDEIEQSAVETMKQLAYANISGIQYDHKMVNNYPVPLHRFHCGGTSMLTDFIPKPQIPTCGQYNSALAGQPFESTENFSSFQEASNVSVDMSRFVQGQTSNVQFCQQLGMGNVIPHHSNQGTFIPRPRITPLCIPNTEKTYFNLNAHSNIQADHTATRIGQYAHNERSHSPEEPYKRFSPDNFLHTDEVVALMQPHLVPPSNSENFSNLLNLSSNDQTSQQIAAPFQPSRTNSFDSSSCDQLIQNFISQFSSNEGVAVPLSPRKWVKIRAALKLASVGRLSRASRKASHRPPARPRLVPIV >ORGLA12G0132300.1 pep chromosome:AGI1.1:12:15304021:15310287:1 gene:ORGLA12G0132300 transcript:ORGLA12G0132300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAEGEEMVERMRGWARDMDVASRRAEEEAMRRYDAASWLRSTVGVVCARDLPDEPSEEEFRLGLRNGIVLCNALNKIQPGAIPKVVQAQSDASGPTDGSALCAYQYFENLRNFLVVVEDLRLPTFEVSDLEKGGKGVRVVDCVLSLKSFSESNKTGRQASCKYGGLSKPLTARKYFILKNTDAFMNKIMKGHSTEAIQNEFSEGQSIATDFSIESNEMTTSDSLSILLRKVLLDKKPEEVPLIVESILSKVIQEYEHRIAIHNKKDEEEQNLLNITEQVNHVVVNGDGEVKQFQLEALTNFDLQHKQIQELKGALSFVKSGMEQLRLQYSEEFAKLGKHFYTLSNTASSYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGHISLSSSVADTEERTITIITPTKYGKDGHKSFSFNRIFGPASTQEEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTFTMSGPKVLTEESLGVNYRALNDLFNIKAQRKGTIDYEISVQMIEIYNEQVRDLLQDGGNRRLEIRNTPQKGLAVPDASIVPVTSTADVVELMNQGQKNRAVGSTAINDRSSRSHSCLSVHVQGKDLTSGAMLRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHVSPELDAVGETISTLKFAERVASVELGAAKANKEGSEVRELKEQIATLKAALAKKEGEPENIQSTLSSPDMYRIKRGNAIPAFPKNRQPMEEVGNLEVRNNATPMQKKASFHFSGVLSENNSSDLAQNCNGIRKTDKMAVGNNQFANGNSILEQEPDATQLPTFFYQRYDPDKQRRRAEPVETDDSDSFDAATSSSSDQEMLLSTSGLKADGIASRGAFIIKKPQTKNTKITATKIPNLAMKSPMSEKRLQTPIRNSKQLPFSTTGGGRRTPNGKINTPK >ORGLA12G0132200.1 pep chromosome:AGI1.1:12:15270585:15271516:1 gene:ORGLA12G0132200 transcript:ORGLA12G0132200.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTSPVPFFVSSLTPSPLSVPRGLAASTAFLQLQGGRAERWHRRRRRWELSVARAIKSAADKRATSTNAEPYALLSAISCGPQALLSLISLIAASLAKTPKGSSAKHTTMDTRSPPVLLVEMAAYSSGALVREMSSGLVRLVLE >ORGLA12G0132100.1 pep chromosome:AGI1.1:12:15253021:15255165:1 gene:ORGLA12G0132100 transcript:ORGLA12G0132100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTTTMAPLTLLTTTSLLLFFFLASFAVDVVIAGGGGGGYDDGGDGEGGGGAKKPHVNHGRYKCGPWVDGHATFYGGRDASGTTEGGACGYKDADGYGAMTAAVSPALFDNGAGCGACYELKGESGKTVVVTATNQAPPPVNGMKGEHFDLTMPAFISIAEEKLGVVPVSYRKVACVRQGGIKYTITGNPSYNMVMVKNVGGAGDVVKLTVKGTKRVKWTPLQRSWGQLWKTEANLTGESLTFRVMTGDHRKATSWRVAPRDWTYDNTYQAKKNF >ORGLA12G0132000.1 pep chromosome:AGI1.1:12:15234288:15234488:-1 gene:ORGLA12G0132000 transcript:ORGLA12G0132000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGKPRRYSESKGISGEGISDELQRLRYDEFGIKEAQQASPCLLGPFAIDQAQPISPLKAHMCK >ORGLA12G0131900.1 pep chromosome:AGI1.1:12:15229850:15230050:-1 gene:ORGLA12G0131900 transcript:ORGLA12G0131900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGKPRRYSESKAISGEGISGELQRLRYDEFGIKEAQQASPCLLGPFAIDQALPISPLKAHMCK >ORGLA12G0131800.1 pep chromosome:AGI1.1:12:15196973:15197317:-1 gene:ORGLA12G0131800 transcript:ORGLA12G0131800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGARRATLQHSLPGSFGGDRAPSGGPPPVPYGARLHRENPIQRPRKPAEPEEGSSLPGVRSQPEDLGDPKAQSRASECPRAGSRDLEAHEAAQRLRSSCHGYRAEAYRGHRE >ORGLA12G0131700.1 pep chromosome:AGI1.1:12:15159771:15161551:1 gene:ORGLA12G0131700 transcript:ORGLA12G0131700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGVTCHLCREHQMEMECCRGGLLPRGSTTALPDAAGGEAEEEEEDRLSALPDDILLRILAALRCARAAAHTSVLARRWRGLWARLPVLTFHRVAPAPLHAALATVAAAGGAAPALLDIDLFSHHDLDPASLLAAAAAVAPGELVVNASWSARSTELPCFDRTASIKIDVHFAGFTLPPAGVFPALETLHLENCFVDLGDMIPRCPRLRKFSIPFWNATAVTVRSPSLEEMEVYANFKITIDIVAPALKRLYLDARRGINTDAGGFRLSAPSVEDLTWNCECQAVSDSFGVRWRMWSLSFSSSCLDPHGIMQMQQMDNNGEAETAHSLSLSSSSQRRPRGGVLSLNLETNVMTGDSTRSFEQEVFRFQVTDNFSVLELDLKAQGHVYGAIVLHLLGICTSTQRLRVLLDEFLSQDSCFVSCRCDQPNNWRNQSISLTDLKEVAIRGFRGQNHEVDLLKVLLRCATVLERVTVRFSRKVTPSDCRCRELSGILEAYPSVKCSVYYLQSGKQVFICRQQ >ORGLA12G0131600.1 pep chromosome:AGI1.1:12:15144003:15145816:1 gene:ORGLA12G0131600 transcript:ORGLA12G0131600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVDRAAPVALVDPMGNDDNDDIDGDGLGGLSSNCGSCGTSRQRHDDLGGDDDDLDGNGPSGSSGLGFRVMTPDEPQQGQPEHSNYIEHLLREQLRRGRAEIMVSSDLRDSVCFFKMETISG >ORGLA12G0131500.1 pep chromosome:AGI1.1:12:15121859:15122083:1 gene:ORGLA12G0131500 transcript:ORGLA12G0131500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHVVNRDSIWHTMELLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTPSMSLVCGFRLPTPCINRGGA >ORGLA12G0131400.1 pep chromosome:AGI1.1:12:15092933:15093154:1 gene:ORGLA12G0131400 transcript:ORGLA12G0131400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMVDGDSIWYTMELLCAYGMRSRIWKESKFCTIGYVKFLSCTRGFPKVFRTPSMSLVRGFRLSTSGINRGGA >ORGLA12G0131300.1 pep chromosome:AGI1.1:12:15081137:15082035:-1 gene:ORGLA12G0131300 transcript:ORGLA12G0131300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEARRSALQIRWHRGEAAFDDSVVKRATAWIGWRGQMSSAEGPWWWSPMARAGPRPMQTRRSPGGHDEETRERREELQRRRQLGRRHRIAQGIAAQAHNIVDSSATQQLGIASHSTSQHLAACLHGVSSPAANRQQPPFWSPGRNHTRQQLGKSARLAVQASS >ORGLA12G0131200.1 pep chromosome:AGI1.1:12:15073235:15075137:-1 gene:ORGLA12G0131200 transcript:ORGLA12G0131200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTSSEPLDPLSHEASIGSSSRVWTVGDEEFLHMSNFAKLPDLNKDDMERERYYTNLINDESNHFGSSEMGSQYDDEQEPVVNENVAHVQASLMYKKKEEKKFQFMHCYNVLKNLPKWNDKRNQLAASKTSSKKXKKTANDSPAISTPACNVDENRAADPENTVGEGRPMGRKKAKQQMCERLDLSRKESLDYLWDKKKEADAEKERKFEERYQIAFALEQKRIDLERDKFEFKRMIKEDKFLRTDTSAMSIEEQEYYKNVKNQILSHRSAQA >ORGLA12G0131100.1 pep chromosome:AGI1.1:12:15060942:15062586:1 gene:ORGLA12G0131100 transcript:ORGLA12G0131100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSGGFDVIVVGGGIMGSCAAYAAASSSLGGRGGARVLLLERFDLLHHRGSSHGESRGIRATYPQARYPPMVRLAARFWGDAEGEAGYCVLAPTPHLDMGPRADPALRAAIDNGGAAEVVAASDDSAAWPWSAVFRLPEGWTAASSELGGVIKATKAVAMFLSLAAKNGAVLRDRTEVVDIAKQDXSILVKTSSGEEFHGAKCIITVGAWASKLVKSVAGVDLPVQPLHTLICYWKARPGREHELTPESGFPTFASYGDTCIYSTPSMEFPGLIKVCAHGGAPCEPDRRDWCAGGDALADPVARWIDELMPDHVDTSGGPVIRQSCMYSMTPDEDFIIDFVGGEFGKDVVVGAGFSGHGFKMGPAVGRILAEMAMDGEAKTAAEAGVELGYFRIGRFEGNPEGNRAENKVKI >ORGLA12G0131000.1 pep chromosome:AGI1.1:12:14971244:14971591:1 gene:ORGLA12G0131000 transcript:ORGLA12G0131000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPCSCIICGVGEKDCDLAPFTPVGSRGPPPGGLPPTPGGVHLRRKGPYRRPRKPTESKEGSRLREVRSQAKCPGDLRAQSRALKATELARGPRRIWSWLKGLQSSYQESGAGA >ORGLA12G0130900.1 pep chromosome:AGI1.1:12:14957775:14958197:-1 gene:ORGLA12G0130900 transcript:ORGLA12G0130900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQRDTRPRSSARGRRPSHDQRARMASLGFLRTVWDMNLSAWENGDEVVVGVVIGHAEALGGAAERRSGDRREVKLDAVAELGRQLLERLHAARGSLGGGCGARRSPRRAHLWPRLLVSSSPRTSSTAVCAPSQPRVA >ORGLA12G0130800.1 pep chromosome:AGI1.1:12:14926605:14929026:-1 gene:ORGLA12G0130800 transcript:ORGLA12G0130800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPSPPPPPAMEAAAAAAVALLAVTVVTATALSDAEASYIAGRQLLSLKEGGGNGELPDDFEFDIHVDVTFANDRLRRAYVGLQALRQAIYSDPKNFTGGWVGADVCSYFGITCAAALDDPAVTVVAGVDLNGGDIAGYLPAELGLMTDLALFHVNSNRFCGVIPKSFSRLALLHELDVSNNRLVGGFPEVVLDMAVLKYLDIRFNDFEGELPPQLFDKGLDAIFLNSNRFVGRIPDSIGGSTATVVVLANNHFVGCIPRSVGRMKGTLNELLLLNNRLDGCIPPEIGELADAEVVDFGGNMLVGLLPEELAKMGKLEQLDVSRNLLAGAVPEPVCKLPSLANFSFAYNYFSVEPPACVPAETAMVELDDKGNCLGGGRPEQKTSLECAPLLKRPIDCRTNTCSALPSSSKPAPKKITPTPPTLAPPPLISPKEETPSPSPYIEPPKSSPPPVKFPPPPVPFSTSTYGIPTTTCTSKLTASSCKVSSPTGTCKFSTSTSTFTPTSFSNDLTASTSTFPTTTRLIPTATSRPIASATGTIVASSSP >ORGLA12G0130700.1 pep chromosome:AGI1.1:12:14915508:14915906:1 gene:ORGLA12G0130700 transcript:ORGLA12G0130700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVRSVAISLFVVALAVAAFAWSPSSLAGGASHHLRLEEVASATGAGAAGHGSMSAAAGKDGGASAAAHGSAPAAAGMDKNGYLSFFAAMRRDSVPCTRKGASYYNCVPGAPPSPYNRSCEHITRCHG >ORGLA12G0130600.1 pep chromosome:AGI1.1:12:14908448:14911081:-1 gene:ORGLA12G0130600 transcript:ORGLA12G0130600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CQSNSLLFFLLSPLLPSLRLSILSSLQATERQRRSGMQRPIGFAMAASPHQVVAPPQAQTGASPACASSTTPSSTVATSSPTPPINLFTPTKRLRYLARFLLVALLLTRRADTVPRLATHICTLLDGSKKTLQEAEYKEWKHVVQEMEKMRPATTTAAEMEKSGHRPSLSCVRSPVGQGTRLALLPDGRRSPPFSPMAAGGRRGSGARWRRRERRERRAAASAGEEGATRGGAGREKLLSVAWREERRGERDEEREDGGRGGRRGIRGIAEQEWLSHPTKNFITAFFRNLMLVTTSKTIFMDSSEIINANSLLTIISMRVRDYRSEQQQGATRSTSCCAMNRTFISNNGRNGLQLQIYIYIYHNAKAKLEFFSTFQNSKPTHNDSKPRTAGVNQAGRGNI >ORGLA12G0130500.1 pep chromosome:AGI1.1:12:14907704:14908087:1 gene:ORGLA12G0130500 transcript:ORGLA12G0130500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARFLAVLLLVLAVAAASSAAASLAGGGDRHLQLGMLSTGGECRGTVGECLEGGDVDGEEGELGSASGEAHRRVLAGRGYISYQSLRRDSVPCSRRGASYYNCRPGASANPYHRGCSRITRCRG >ORGLA12G0130400.1 pep chromosome:AGI1.1:12:14895253:14895864:1 gene:ORGLA12G0130400 transcript:ORGLA12G0130400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSREERVRRSTPRMGVAPNPGASSSGAHGAARAVELETLLEEHSEGMLVRTGLTCRHGFFPILCCCWSGPDTGRRFLACSQVEQPCDFKYWIDEQFEGRAKRVIQDLVSMRHSMSELYEHSSRQWDDMYADRQRVKQQIHELKCTIREQRECIVILICFSIVIACGICSLC >ORGLA12G0130300.1 pep chromosome:AGI1.1:12:14888331:14890931:-1 gene:ORGLA12G0130300 transcript:ORGLA12G0130300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLAPLLLLLLAPLPPPAAAAEPEEARCPRERLPPFVAAAAAALRPSCRASAERCPAEEINGEELVKELSGKEECTAVLFYASWCPFSQRMRPVFDDLSSMFPRIKHLAVEQTNAMPAVLSRYGVRSFPSILIACGPYAYWPVGSKELDSLVNVYTAVTGQEPIAYLGPRKWSAARTGSTQHVKLWKSSIIEALKSEPYLAFSILFICLKILVAFFPKFFACIKGIWVQYFRHANLGILAKLTQLLECVPHAVDLRKIWSKCRLMGGAMNSRVWASSLASMSFGERSSPRAAVLD >ORGLA12G0130200.1 pep chromosome:AGI1.1:12:14882853:14887583:1 gene:ORGLA12G0130200 transcript:ORGLA12G0130200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongation factor Ts family protein [Source:Projected from Arabidopsis thaliana (AT4G29060) TAIR;Acc:AT4G29060] MTPVVHCSVGNISLFHIGSFRPSHEIQIRRFRSTERYSRVPSRRLLQPQRAFNLISIYKRSSWSSARRPRTLSAATVGTDVTVEDPNPPPSGETSEESSEDTAPDTAEASEQAEASTSSIPKAGRNIRKSEMPPLNDEDLVPGASFTGKVRSIKPFGVFVDIGAFTEGLVHISRVSDGFVKDISSLFTVGQEVSVRLVEANKETGRISLTMRTGGDYVKPKTETPKAASGGRNTTATTSRGSPRQTRERDEAKSMGETNYVQGQFLDGVVKNSTRAGSFVTLPDGSEGFLPREEEAVALFTLIGHSALEVGQQVRVKVLNVVRGQVTLTMKEGEDDEEDLASLNTQLKQGWSRGTNAFELAFRRNKEISAFLDQREKIIVPDVQEAAVASVGTELDAEVGIEQSPGKEPETGNAESVAIDSSITEVKETDSIAAVEKDSEISKTESVETASSVVISEDDSTVDGKLVEPTASVSATETEIKEDSSEGSVTTEPTEAASTEFVTAVVEESAPTASSVXXXXXXXXXXXXXXXXXXXXXATEAESKEDSSEGSVASTESVTAVVEESAPVSSVAIEVPAPEASEASAQEIIEDSTTVEGAADDQTVESDSPPPEGVELSSNGAPDSSIAEDKPDEPEESLIVEEVPVTASSESEDKEPAAVPEEVAASSEKTADVAVAGAEASTATATISPALVKQLREATGAGMMDCKKALAESGGDIEKAQEFLRKKGLAAADKRAGRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFKELVDDLAMQVAACPQVQYISLDDVPEEVMKKETELEMQREDLLSKPEQIRSKIVEGRVKKRLGEYALLEQPFIKNDKVTISEWVKQTIATIGENMKVNRFVRYNLGEGLEKRSQDFASEVAAQTAAKAPPAAPPKDDKPEETAETEEKKPAVAISAALVKQLRDETGAGMMDCKKALAETGGDIQQAQEFLRKKGLSSADKKSSRLTAEGLIGAYIHDNRIGCMIEINSETDFVARNEKFKELVNDLAMQVVACPQVEYVSIEDIPESVVIKEKEIEMQREDLQSKPENIREKIVEGRISKRLGVLALLEQPFIKDDSKTVKDLVKETIATLGENIKVRRFTRYTLGEN >ORGLA12G0130100.1 pep chromosome:AGI1.1:12:14876307:14880004:1 gene:ORGLA12G0130100 transcript:ORGLA12G0130100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPASPPPAMPEDAAPPQPQPEPAVPAGEEAAPSLERKEELLPVEEKISELDESQSQLMGRLRGLKEDLLNWRSSLDTQVTKYKSELSDIKTALNSEIEQLRSDFQELRTTLKKQQEDVSNSLKNLGLQDATDNDGNKGSGEENASEGVSDTLGNLKLDDTPKNHDESSDANDEKNETIAEDGTVDKTVKAESASDE >ORGLA12G0130000.1 pep chromosome:AGI1.1:12:14870054:14874700:1 gene:ORGLA12G0130000 transcript:ORGLA12G0130000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREESGNGGGGGATPAADYRSSDSRSSSRRSTRFKEDNEYVEITLDVKGDDTVAIQSIRNGADMPEVALLARGLAQQPPPSAAPGPGGLSSRLKAVRTELRRIASWKFPSGVLSGGGGGGDAPGNGNDRRPRLDRSMTGAARALRGLQFLNSSAVTNGWPEVEKRFERLAVDGFLLRSRFGQCIGMVGSEEFAVQIFDSLARRRGITAQLLTKDQLREFWEQLSDPGFDAKLQTFFDMVDKNADGQITEEELKEVLTLTASANKLSKILERVDEYTALIMEELDPDQLGYIDISNLESLLLLPPSQAPSKLVTHSSNISQLISQKLVPTHDRNPLRRGLRRLSYFMEDNWKRVWVMALWLAINAGLFTWKFMAYKRHPTFDVMGYCVCVAKGGAETTKFNMALILLPVCRNTITWLRSRTKLGAVIPFNDNINFHKVVAGGVVVGVALHGVTHLTCDFPRLLHASDAAYEPMKKYFGQTRIPDYWWFVRGVEGITGVIMVVLMAIAYTLAHPWFRRSKLSDSNPLKRLSGFNMFWYSHHLFVIVYIAFVVHGVCLYINRTWWKQTTWMYLAIPILLYAGERIFRALRSHGFTTVRIEKVAIYPGNVIAIHMTKPHGFKYKSGQYIYVNCGEVSPFEWHPFTITSAPDDSYLSMHIRCRGDWTSSFRAIFSQICRPPMNGQSGLLRADCMSMEHHSRFPKLLIDGPYGAPAQDYWKYDVLLLIGLGIGATPLISIVKDVLNHIYDDPESAASPHTTNGGGAAAAARRAFMTKRVYFYWCTREEGSFEWFRGVMNEVADRDAGRELIELHNHCTSVYEEGDARSALVTMLQALHHAKNGVDVVSGTRVRTHFARPSWRDVFKRVAVNHQGQRVGVFFCGDQALTPELRRLAQDFSHKTTTKFVFHKENF >ORGLA12G0129900.1 pep chromosome:AGI1.1:12:14863325:14863630:-1 gene:ORGLA12G0129900 transcript:ORGLA12G0129900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLETGGGGVYRSGGGATSGGVWSVRRRRPTSPVAGDGGERRTADLGFRSRSGAFSVGVKGGAESGHCAGGVHSAGTLRCAGGIWKLPFWMLWLTGSPR >ORGLA12G0129800.1 pep chromosome:AGI1.1:12:14859769:14861411:1 gene:ORGLA12G0129800 transcript:ORGLA12G0129800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lumazine-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G20690) TAIR;Acc:AT2G20690] MSPPTMTTAAAATATAMAAGVLPRPRPHFLRRGILPSAASPLPFASRVSSAAPLRHRLPPPRFSLSPIPKTLSSPSHVPVRSLFTGIVEDVGTVRRIGPPPAPPSGGGGEAPGVDLEVETSSLLAGTQLGDSVAVDGACLTVAAIDAAASTLTFGVAPETLRRTSLGGRSAGDAVNLERALTPASRMGGHFVQGHVDGTGEIAAFRAEGDSLWVTVRAPPEILRLLVPKGFVAVDGASLTVVNVDEEGGWFDFMLVRYTQDNIVLPNKKVGDKVNLEADILGKYVEKLLAGRLEAMSKAGKRRE >ORGLA12G0129700.1 pep chromosome:AGI1.1:12:14848629:14852429:-1 gene:ORGLA12G0129700 transcript:ORGLA12G0129700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAVTEVDATNEEGEEEEQVVNPWEVSAGKGGIDYDKLVDQFGCQRLDDALVARVARLTARPPHRFLRRGLFFAHRDLNEILDLYEKGEKFYLYTGRGPSSEALHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKFLWKNLTVEETKRLARENAKDIIACGFDVERTFIFSDFNYVGGAFYENMVKVARCVTYNKVVGIFGFTPEDHIGKVSFPPVQAVPSFPSSFPHLFSGNDQLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPSLIESRFFPALQGENTKMSASDPNSAIYVTDSAKEIKTKVNKYAFSGGRDSIELHRKLGANLDVDVPIKYLNFFLEDDNELEHIKKEYKEGRMLTGEVKQCLVAVLSELVARHQRARALVTEEMVDVFMAARPLPNMFG >ORGLA12G0129600.1 pep chromosome:AGI1.1:12:14842664:14847570:1 gene:ORGLA12G0129600 transcript:ORGLA12G0129600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVSHPVKSASEESKVLVVENGKMVDVQDKEITMEGLCSISSYDQWARIPVSGPLPKPRYKHAAAVVQEKMYVFGGNHNGRYLGDMQVLDFKSLSWSKLEAKIQSEEPSDMTGTASLPPCAGHALVPWGNKILCLAGHTREPIESLSVKEFDPQTCTWSTLRTYGRSPSSRGGQSVTLVGGTLVVFGGEGDGRSLLNDLHVLDLETMTWDEFETTGTPPSPRSEHAAACYADRYLLIFGGGSHSTCFSDLHLLDMQTMEWSRPEHQGITPEPRAGHAGVTVGENWFITGGGNNKKGVPETLVLNMSTFVWSVVTGFEGRAPPTSEGSSLVLHKVNGEDFLVSFGGYSGRYSNEIYALKSSRKSGVPSGQLNEPETNGLASVAENSSRGVIFEIEELQDEKTIKRADTSKTLLQAVKGEKSHIEEKLNQEELQSSRLKQELANVETKNVELTKELDLVRNQLSAEEARASQLENEISDLQQRLQKMETLEKESESLRLEKDAEADDSSSGSNQRPADKGFWRWNG >ORGLA12G0129500.1 pep chromosome:AGI1.1:12:14837751:14840014:1 gene:ORGLA12G0129500 transcript:ORGLA12G0129500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTLRGAAGRVRAPPTPAPPRPHHLPPAPPSPAGAGGAAAQDRLRVFQDDFVKSDVTTQPKDAPGVLKERDPSYDEMLKHMVGRITTKPGGKPEMGEAFVVDQYNRPLPRVRTSRPEPGEGGHRQLPPGTINVAHVHEIIQLYQGKSSNHPGPMSVDEIASKFRVEASVVQNIVQFVSLPQEEHVTKKEEY >ORGLA12G0129400.1 pep chromosome:AGI1.1:12:14831690:14836423:1 gene:ORGLA12G0129400 transcript:ORGLA12G0129400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTNINDYINNNKDSTEDEVTFAQVEMVYDNEQTGYTXMLQLPRDLVILFKILHREDRDNIHIIWYQSFRCHGRMYIHLPFYGSADPEDYFGWVNQMEIELEAQCFCEEKKLQRATLELKHVKQGTKSVQAYYDELSSCLCRANAIDDLDAIEYFKRGLSPKIATAIEGRYARSVRGFLIYAIKEEKKWEYYCKKKRSISPSKKMKVDDSTPTPNCLRPLENTIVDGGTFAPGVQVALEEVAVDDHCSIDAPCYADWEMQQNPSENESHIAKLSERDKKSVLSDSTKYEVESIHNESERNTPHKKRGLECKACEDVFDSNKLISTFSVVSPNVQVCKEKDEEIVVNLMKCQEDKRIEKIDFMENYPTNALSINHDDKGGKNSXTTPTFDTSPQELKGNKDESYFCKHEELVSEFVDTLDQRDILIANKFDLVELVTHDEVFTKILPAEILCYIILDKHVEIHDMLEKVSEIASLKSLNSIHVCKYTFNLIGGYAENKFYMCAICITCDKLADLRLKLVNNHAFEPSYAYLSSASCIHPRNSFRQLYQCPXSYSXTRTYLPHSDHIXCCLHCNTSRISAYL >ORGLA12G0129300.1 pep chromosome:AGI1.1:12:14825744:14825962:1 gene:ORGLA12G0129300 transcript:ORGLA12G0129300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIMITETDRIVVVTRMTTSRTDEIKATTDKVNDITTSHGDDETRDPQTSRGPEDEVVGPGDKPPTTIVPH >ORGLA12G0129200.1 pep chromosome:AGI1.1:12:14808765:14809926:-1 gene:ORGLA12G0129200 transcript:ORGLA12G0129200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASFLERLNWXSDPFAGWFPPWCDRPLCDCGDGCILKSSLMIQTRGRRFFQCANFDQTYRPMCNFIEWVDMENPQNDGTRAYPRSETRSDYLRRKDEHERRIGAEALEWQGNPRMCGFTRWIDNVTPSYHGQKITESETQVEYQRLKDHENAMHSDRPRRGR >ORGLA12G0129100.1 pep chromosome:AGI1.1:12:14787445:14789650:-1 gene:ORGLA12G0129100 transcript:ORGLA12G0129100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WKAEHMKVRNDIKDFVITEVPNDTTSKEGMQADFRNFFEIIFPYYEHEEIDSASGEKKKVLPCYFLQFQHNCMEVPEVHEREKLEKFQRLLGCHPAFMSPAALSTLICHLYRDCDSLRKPQDTVYEPLQVSETLLIEWRGVRHFGIPFSNVYWHFFVDVYELGYWFLLKYLRNFIEHAHRYTKDQGTVLDIVTTALMIGEYLSKFVPQLILFIVRNCDIDGPFSTTWTMFEDSEFRFFMLSDGNVLCQCS >ORGLA12G0129000.1 pep chromosome:AGI1.1:12:14783864:14785447:1 gene:ORGLA12G0129000 transcript:ORGLA12G0129000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFPEAGASTRSRPCDAGGRWTTKTVWMDDPRRPPPVEIPINARRLNHHITTTTITLGGELAGAMGWVDLWTGILIYDLLHDDKDQDRPTLRHMPLPLPMHAITGNHGMGDKLALGCPRSLRGIASVTRRGKACLKLAGVHVTGERLPYNDAETQLPAFAVDDWTVTTWSNDKMKGYFEDWQEDFTIRGSEVRISNAMRSDLLRSGLLYRKSSRGDGDEATVEELALHNLWVSHPTPSLDGEEDVIYLLAKPKCFHPKAWVLALDTRSSTLLGVVEFGTEIAPSAGVTCRPSTISKYMSLVTSPVTGRT >ORGLA12G0128900.1 pep chromosome:AGI1.1:12:14781883:14782272:1 gene:ORGLA12G0128900 transcript:ORGLA12G0128900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAEAVGSARRGGCRLLPWLSSMWMWIGGRQRPRMWIGDWREERGSVAVGVAPGRRRESRRRPGSGEARRRRQRPGVEPAWRGGGGGVREWSGRGDATAAVSGRGGVDLEAVGGEGRRRSGGSRGGRR >ORGLA12G0128800.1 pep chromosome:AGI1.1:12:14776369:14776680:-1 gene:ORGLA12G0128800 transcript:ORGLA12G0128800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVGTSTQYFRQGKKEASIMLISVGWAQRGTHLTAGTNQGRFQGLAMEMVAMMARPECMLPRQQDCFKNAGVLKALSVE >ORGLA12G0128700.1 pep chromosome:AGI1.1:12:14768935:14769261:-1 gene:ORGLA12G0128700 transcript:ORGLA12G0128700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVYCKEPGYYGFARFIGGCLWTIGDCSVGPLSFGPQGLLHCVCFAGTARLDNHHACTGYGHRPLLVGAWAAIGPLPMTCGPLSHFVLLSPWTGSMFLLPRFFSLGG >ORGLA12G0128600.1 pep chromosome:AGI1.1:12:14723029:14723636:-1 gene:ORGLA12G0128600 transcript:ORGLA12G0128600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMGGGRGQAARMTPRRSVDRLTVEFIDTNSSNHINRLISDHHGCRRVGRRAPRRRGRLSTSTPWRAGCRSEPSTSSPANARPENSVELYCPDAAPHLAHASIAFRVGQQEGNDGLLPLLERFKRDAALSALGIRTAGGGAVVDAAGGGAPDGGNGRWRR >ORGLA12G0128500.1 pep chromosome:AGI1.1:12:14713403:14720016:-1 gene:ORGLA12G0128500 transcript:ORGLA12G0128500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgin candidate 6 [Source:Projected from Arabidopsis thaliana (AT3G27530) TAIR;Acc:AT3G27530] MDSRSINLRGFAGNAGKNIMQGIGGFVFGNERSESKEDSYVERFLDRISNGTISDDRRSAMTELQSLVAESRSAQMSFGAMGFPVLLNVLKEDREDVELVRGALETFVSALTPIETSQGPKTEVQPASVNSDLLSRETENISLLLSLLSEEDFYVRYYTIQLLTALLTNSLKRLQEAILLIPRGITVLMDMLMDREVIRNEALLLLTYLTRDAEEIQKIVVFEGVFEKIFSIIREEGYSDGGVVVQDCLELLNNLIRQNASNQMLLKETIGFDPLIAILKIRRGSAFNFTQQKTVNLLGALDTVELLLMGGGSSGEPGKDANKTTNQTALAQKNILDHLLLLGVESQWAPVALRCMALRCIGNLVLRNPQNLDSLASKQVGEDPHVQPALSAIFSIILRTSVAQEFVAADYVFKCFCEKNPGGQALLASTIAPHPNQAHATFGATTDMPFGSILLQALVSSDDNGDMEACCRASSVLSHIIKDNLQCKDRVLQIQLETPAPSLGRTEPLLHRIVTCLSIAASAEGENGQSGQPEESYIQPVILRLLIVWLVDCANAVDCLLESAVHLNYIIELASSKRYSACVRGLAAVVLGACVLYNASREKGRDAFAVADAISQKIGLSTYFLRFDELRKRFAHSSSGQQNRKQLSRSSANSMSDFQEIEEEEINKGDQHPVLSEIFDSHFVCFLSKLETDIRDNIMDIFSRTKTATAIIPAELEQKNGEVDGEYIKRLKSFVEKQCNEMQDLLGRNAMLAEELVRTGGGNSADSLQKPSSGRERVQIESLRQELEGATQRIEALRAEHTQIEAEASNQRNLAAKLESDLKSLSDAYNSLEQANFRLDSEVKALRQGGSAPYPDVEAIKAEAKEEAEKESEAELNDLLVCLGQEQSKVEKLSTRLAELGEDVDTLLQGIGDDTALPDDDDDEDEDDE >ORGLA12G0128400.1 pep chromosome:AGI1.1:12:14708179:14712881:1 gene:ORGLA12G0128400 transcript:ORGLA12G0128400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMFGFSRSRMRIGRSKGHSTDPLDSSKSPSGLVKHLSLPNGDDQITTSVSGRVDDLAFRCSSDTYDLDDRALVSSRNWAVLSTEGSRPSPRFAHAAALVGSKMVVFGGDSGDQLLDDTKILNLEKLTWDSVAPKVRPSPNRRPSKLPACKGHCLVQWGNSVILVGGKTEPASDRLAVWTFNMETEVWSLMEAKGDIPAARSGHTVTRAGATLILFGGEDTKGKKRHDLHMFDLKSSTWLPLNYKGSGPSPRSNHVAALYEDRILLIFGGHSKSKTLNDLFSLDFETMVWSRVKIHGPHPTPRAGCSGVLCGTKWYIAGGGSKKKRHAETWAFDVVEYKWSVCVVPPSSSIATKKDFSMVPLYHRDKIVLVAFGGNRKEPSDKVEILVVLQNEHSFSRRSAPDVDPLLYEYSPSTKELAGHLNNCAPLYSNSSVARHSLASTVEHPPRRELLSEPLLQNPNLGASLHRQFHQSEACSLAQKLQKPIDDDKYDDTDDCSSCQASTPKEYRSKRTGTDAQIDMARILSSKEENLETTGSSARRIARCSSDISHLYNTKIADLIKRSSALEDQLATALVRKEQAEKNLSSVINSREQLERRLANKEKEAEMLKEKIAGLELAQEESNNLSNTVHADNVRLEREVAFLKAITDETQKELHSTRRVLAGEQSRAFQLQVEVFHLKQRLQMEGRAGTPKNPPV >ORGLA12G0128300.1 pep chromosome:AGI1.1:12:14698703:14699017:1 gene:ORGLA12G0128300 transcript:ORGLA12G0128300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAKLSTEKAVVIFTASNCPMCHTVVSLFSDLGVGAAVHELDRDPLHGRDMERDLARRLGRSPPVPAVFIAGKLVGSTDRVMSLHLAGKLVPMLKAAGAIWL >ORGLA12G0128200.1 pep chromosome:AGI1.1:12:14687628:14687939:1 gene:ORGLA12G0128200 transcript:ORGLA12G0128200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKLASERAVVIFTLSSCCMCHTVTRLFCDLGVNALVHELDQDPRGKEMERALLKLLGRGPPVPVVFIGGKLVGGTNKIMSLHLGGELIPMLKNAGALWL >ORGLA12G0128100.1 pep chromosome:AGI1.1:12:14675348:14678462:1 gene:ORGLA12G0128100 transcript:ORGLA12G0128100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHFPGEGEVVAGEGDAVVPLRDVGKMEHGCEHYRRRCKIVAPCCGEVFACRHCHNDATASGDRHTICRQDVEKVVCLLCDTEQPVSQVCINCGVNMGEYFCDVCKFYDDDTDKGQFHCYDCGICRVGGKENYFHCAKCGSCYAVALRDNHQCVENSMRQNCPICYEYLFDSLKGTRVLDCGHTMHMECFSEMVEHNKYTCPICSKTALDMTHHWALLDQEIEATIMPPVYRYKVWVLCNDCNKVSEVDFHVIGHKCSHCNSYNTRSTSRPADLSGSSSPSTSDSSENNL >ORGLA12G0128000.1 pep chromosome:AGI1.1:12:14661887:14667880:1 gene:ORGLA12G0128000 transcript:ORGLA12G0128000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:conserved oligomeric Golgi complex component-related / COG complex component-related [Source:Projected from Arabidopsis thaliana (AT5G11980) TAIR;Acc:AT5G11980] MDVLDAGHRATPEPSSSSSSAAAADMSGASVLPLAGAAYQPYVSELLSFSIERLHKEPELLRVDAERVRRQMQEVAVENYGAFIAASEALSFVRTQLEGFDRHLEALIEEIPNLTSGCAEFVESAQQILEERKLNQTLLANHSTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVSKISKLHPDLPVIQGLAVEVKKTIQSLISQLLQKLRSNIQLPECLRIVAHLRRIGVFSESELRLQFLRCREAWLSGILDDLDQRNVYGYLTGMVGYHRTHLFDVVNQYRAIFNNDKSGSDENYDGGLLFSWAMHQISNHLTTLQVMLPNITEGGSLSNIREQCMYCAMGLGLVGLDFRGLLPPIFEKAVLNLFSKNMGTAVENFQVVLDSHRWVPMPSVGFVANGVVDETSDDVTPPSVLMEHPPLAVFVNGVSAAMNELRPCAPLSLKNVLAEEVVKGLQAVSDSLVRYNAMRMLRGNESSLFLSLCQAFIEVAYPYCSACFGRCYPNGAILITERRSTFDAVSQLLTVPARSNSSGISIERRQSGSIERKQSGAIERKQSEGIEKKQSIDESAGTTATDNGTPANGPPPVANDDSGTSSAPAQPDGQTTAAAST >ORGLA12G0127900.1 pep chromosome:AGI1.1:12:14659562:14660469:-1 gene:ORGLA12G0127900 transcript:ORGLA12G0127900.1 gene_biotype:protein_coding transcript_biotype:protein_coding TETTILVPTPLYSSASSHSNPESIAEAEADANADYEEGGGLMLPPEVESNKHVDLRKVTKLQSKPLKCPELQIPXKQPTQQQEVSQTGKTGEEVSRWLLMVALPSGNWTISLGAEEGVEDARHCLAGGDINQPSARXVLESSLHSAGSSCYVRTGDCVVVVRGAEQYLYIVVAAASHVIAVAAA >ORGLA12G0127800.1 pep chromosome:AGI1.1:12:14657038:14658770:-1 gene:ORGLA12G0127800 transcript:ORGLA12G0127800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: rRNA processing (InterPro:IPR013730); Has 898 Blast hits to 687 proteins in 142 species: Archae - 2; Bacteria - 28; Metazoa - 200; Fungi - 99; Plants - 63; Viruses - 0; Other Eukaryotes - 506 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G03180) TAIR;Acc:AT4G03180] MKRPPPREDGAGSGGGDGAKKGKGRWGGGGRRRNEQRLGSGGGGALSLAAFANAKSRNTGYNPALIKKQKEFFKNAKLISKYKRSKKQQNQSSNPPPFPIPKEGGDDANNASKLHSKKKKRVAPSLNEEYEKKRAEDEKAKKEREAIIQAKREERERSEARRRDLREKMFKKTKSGQPVMKYRIQHLLETALESSNK >ORGLA12G0127700.1 pep chromosome:AGI1.1:12:14645388:14646284:-1 gene:ORGLA12G0127700 transcript:ORGLA12G0127700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1R6X1] MTAAGGGGEGGKEEGHSASEAASIVLRIVTVGLSLASAITTAASTQCVRGDDGRVAATDSYSDYHSFRYAAAADLVSAVLQGVAIYLEAVRKEEAARVVELVDKLVQALTSSSAALLLAVADITSCGLPRSSRSRGGGRRQGGGLCSQPGRFCGQVRVSSAFSLLAATSFSVSVYTRHAAKRAAAVTPPPTTKKKKPQSSRRPPARTPPPERRESPSPPRGRPRTPPPPPGSPKKPATPGAVVMEQPGPPTDHREAGELVMVDVVVRPPTTPPRPYVGCPRLTIPCSCENPELCSAFF >ORGLA12G0127600.1 pep chromosome:AGI1.1:12:14641658:14642235:1 gene:ORGLA12G0127600 transcript:ORGLA12G0127600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRHASTAPAPTTLLPRAPAATFGFSSSSMPLVFATAGVGRRGWAAWGCKRPGQSSSLGEDGAADELKEQLQGALQENGQLKRELQQYTSEKKTSAKTTDAADAAAEQAEQWRKATETAMAAAAV >ORGLA12G0127500.1 pep chromosome:AGI1.1:12:14640069:14640771:-1 gene:ORGLA12G0127500 transcript:ORGLA12G0127500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRGLELSLACAAPSPAADFGEEEELRRPPPPRRRRQQPTMAALYAELAALLPGLRSRASREEIVEAAAKQVKVLEDTVAVLEAYRAVQVGGAAAAEEVSVSYRETVCFAARLPAARRPGALTRVLEVFDRRGVEVLAATLAGGGRAAMVTVTAPAAAPDIAEMIKADIASIE >ORGLA12G0127400.1 pep chromosome:AGI1.1:12:14634584:14639126:1 gene:ORGLA12G0127400 transcript:ORGLA12G0127400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMSGHFQMASVLKLVMMESHATPDDLTGDKGAAQILHRQLFDAHEPNLLDEDDMHIFGSKPMADPLDLVCCNTCKKPIKASQYAVHAERCSSGKVNPNDSMGTGIDDDCGTKKPPKKGRKIKLTSNGNQKVHIKLKAKSQSENKNIANGFELDNVLANKVQPIGSTIDQRLKSSANNPALTSVPQGHHRDAPVPLATKMYHSQGNYRLRLELGQLYRQSCSEHSGSYSIPNSSQENGLMVSHLSPRDNSSLNVAQKSFVPQTKSMDQLLASTPESCPVIPQQVAASVPNRQATKSQKAEVQVSAVKNEMARSRCSKDAVQHSKNTGNKKAHQQRNGTVPVIKSSVD >ORGLA12G0127300.1 pep chromosome:AGI1.1:12:14629249:14631833:-1 gene:ORGLA12G0127300 transcript:ORGLA12G0127300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSRVAFVLVDGIGDVSIPSLRGRTPLEAAAAPGLDAVAAAGVAGLMDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMAPGDIAFKSNFATLDESTGIIVSRRADRHFEEEGPILCAALDGLKLPSFPEYEVRVRYATEHRCGVVVKGPKLSGNISGTDPLKDNRLHLKAEPLDDSEEAKNTAAVVNELSKEITRILVSHPINAQRSAEGKNIANVVLLRGCGIRIEVPAFETKHGLAPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKAKAIAKALSAPLDTPPRVFVPGEDEYKSGKENGYDFGFLHIKAIDDAGHDKAVKLKVLGLEAVDRAIVQLARLLWEAEKSGRYQYFLCVTGDHSTPVEYGDHSFEPVPFALCRLRDFVGAMGEDNVINFPLDDFPLPSVKSGEDVAENIDAADRKSDQRKAFSGDSVFEFNEIAAARGCLGRFPGSEMMGIIKKFIKAKND >ORGLA12G0127200.1 pep chromosome:AGI1.1:12:14626772:14628340:1 gene:ORGLA12G0127200 transcript:ORGLA12G0127200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plus-3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G61040) TAIR;Acc:AT1G61040] MSELDREMILAERGARIDDYKLKQLARASSSSSKADKSRRDSSPPPPLSRARSSARTDRSAAKSALDELRAKRMRQQDPEGYRNRFKDLIPQSGSPPRRRAGSPPSDGSNDGDNRGRITDETRDDEFDESPSRLDPLKFDDVKSITLRRSKLVKWFMEPFFEDLVSGCFVRLGIGKSKSGTPDYRLCIVRNVDANDPDRKYKLEGYTTCKYLNVVWDNEANAARWQMTQVSDSFPSEEEFKKWLQVAEKNGVRVPTRQEVLEKKEAIQQAYNFVYSARTVQKMLKEKKSAIRRPINVAAEKDRLRNELDMAIARRDEAEAERIRSKLNQLQKMSKPISNNEKAAKLEAMNKKNRAENFKNASEMKPVNTSLKAGEAGYDPFSRRWTRSRNYYAAKPEGESAEVPNGNDGSAVAGDEDTKNGPRVGSAATAAALVAAADAGKLVDTNAPVDLGTESNLLHNFELGISLAGLEEFGGPKGLFDGYMARKQKIEATMGYKVPDNDGRRHALTLTVSDYKRRRGLL >ORGLA12G0127100.1 pep chromosome:AGI1.1:12:14619492:14624692:1 gene:ORGLA12G0127100 transcript:ORGLA12G0127100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVGQEEELMRPRDGSEEEELLNELSRYRRYWTNLWSDDSSDIAKRRNKGAKQSQNFSIIIFNIRYYPPKIRSYRIIRVHKLNMKNTSKLLLPCACFTSKTSNKLTHGLYNNVEYITPYHQLTGDGCRHAMRRLRLAAQDLVRSCAARALDQPGLSSLLRRQSHRPPSPVRWLVADNAGPSHAILLMDPIEFEIELRVKGTSPSEDKILSAKAFGYNGTAQRHRCGSLRSMMLSGARSTLEFKYAHITVALEAIIKVRITGGSTDFCGKFIAHTASINEDVILLDSGEEMVAFSHDGAIDFCRSVVAVEGNGGALIVDVHARQSGDENISCASKKFIPVRCGWSR >ORGLA12G0127000.1 pep chromosome:AGI1.1:12:14618150:14618440:1 gene:ORGLA12G0127000 transcript:ORGLA12G0127000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRNPERKWSEAPVVVAEEEGDPPVAEEEDEEEGVDFISDLPDAILGEIIVRLPTKEANSTQVLAALMLHTAQPRLLSSPREKGCPRWLRFRIPS >ORGLA12G0126900.1 pep chromosome:AGI1.1:12:14599787:14602750:1 gene:ORGLA12G0126900 transcript:ORGLA12G0126900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKPEAGEGEATNCHRRSAAGQEEEEEESKRPRDGNDEEEELLTELSRYRRYWTDLWSDDSGDIDRRTEIGPMRYTEESPRFAMLLDLLEVFSFEVTELKGILRWPIDVFGLISVRDSLDRNRNYIFERTRNNCQTLTAKDSSLVLTGPSRAILLMDPIEFEIELRVKGTSPSEDKILSAEAFGYNGTAQRHRCGSLHSMLLSGARSTLEFKYAHIPVALEATISVRITGGLTGFCGKFIAHTASIKEDVVLLDSGEEMVAISHDGAIHFSRSVVAVEGNGVLTVGVHARQSGDENNSCDYKNFIPVRCGRSHDTLDVGFCQMSVEVAWSLMLSY >ORGLA12G0126800.1 pep chromosome:AGI1.1:12:14586947:14588553:-1 gene:ORGLA12G0126800 transcript:ORGLA12G0126800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPGRAGARAWRGPAAARLCDRVIRENHQMRSRHRVTSAWVEIVFVWLADHFNLCENCCEPGSRGFNGLWKLMNIQMKDLVIDDFMNLNVWVSLVFFPSCMPTTLQVIATSRPLCRLQHASRYFFILD >ORGLA12G0126700.1 pep chromosome:AGI1.1:12:14582154:14582696:-1 gene:ORGLA12G0126700 transcript:ORGLA12G0126700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRVLAHPGVLLPFRPISNDVFSHYPAIPIAPPVPTRPRVDAPPLVALQLPPAHPSLPPHHAGSARRFLGAGNQLPFATAATG >ORGLA12G0126600.1 pep chromosome:AGI1.1:12:14574362:14574946:1 gene:ORGLA12G0126600 transcript:ORGLA12G0126600.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSSLVLTGPSRAVQLIDPIEFEIELRVKGTSPSKDKILSAEAFGYNCIAQRLRCGSLRSMMLSGARSTLEFKYAHIPLALEATIKVRITRGSTDFCGKFIAHTASIKEDVILLDSGEEMVAISHDGAINFSRSVVAVEGNGGVLTVGVHARQSGGENMRCSYKEFIPVRCGRSHDTLDVGFCQMSVEVAWSLIF >ORGLA12G0126500.1 pep chromosome:AGI1.1:12:14566980:14567421:1 gene:ORGLA12G0126500 transcript:ORGLA12G0126500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AASQPFMAMRMPLQTAVVTDICRQGGLENLQHFVGSTLICFIGVVLLVGFVHA >ORGLA12G0126400.1 pep chromosome:AGI1.1:12:14565123:14565611:1 gene:ORGLA12G0126400 transcript:ORGLA12G0126400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAARTGMSMFVRGGGDGFAPVHAVVAGIRPSPPVLPFRSATASTSTAPTGPPPPASASFARTKEHRHWLAPYSVTHFLLLFLRSRWRRSPPPPPVLPAPSLVSASVVHAGRLLRLRYLTREIQGALDVGGRRKPMVPLPDTGGCVKPLSWLSGTGSGGSV >ORGLA12G0126300.1 pep chromosome:AGI1.1:12:14558007:14560654:-1 gene:ORGLA12G0126300 transcript:ORGLA12G0126300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:I1R6V7] MAHSLAAAASSFSPPAARRRLPGQVTNVISRRSSVSFNCQRMSFMSIRSRPSQLRFKICCSAKKETVDKVCNIVKTQLALPEGTAVTGESKFSELGADSLDTVEIVMGLEEEFNITVDETSAQDIATVQDAANLIEKLVLEKAA >ORGLA12G0126200.1 pep chromosome:AGI1.1:12:14555827:14557024:-1 gene:ORGLA12G0126200 transcript:ORGLA12G0126200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSVLSPLLAHAHGRASPTSRAQPLIASRLNLAGFSASSPRRILPAPRASGGGGGGEEEDSRVQELRVPGSWLTPAGAAQESEWLRETLHKWLDDEYCPEPANVDISNTAARSFYESLTAKESDLGEILLKMVGDLQKLSYKESFHGAFSAANAAVSLISQRMESSSDD >ORGLA12G0126100.1 pep chromosome:AGI1.1:12:14548176:14552907:-1 gene:ORGLA12G0126100 transcript:ORGLA12G0126100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAMVPPSAAAAVARARTLLCVPATARAPREMAAELAAAAALGADVAELRLDRLAGFAPRRDLPVLLAQPRPLPALVTYRPKWEGGEYEGDDEPRFEALLLAMEMGAEYVDIELKVADKFMKLISGKKPETCKLIVSSHNFENTPSTEELENLVAQIQATGADIVKIATTATEIVDVAKMFQILVHCQEKQVPIIGLVMNDRGFISRVLCPKFGGYLTFGSLEKGKESAPAQPTVTDLINVYNIKQIGPDTKVYGIIGKPVGHSKSPILHNEAFRSVGLNAVYVPFLVDDLANFLNTYSSPEFAGFSCTIPHKEAAVRCCDEVDPIAKDIGAVNTIIRKPNGKLVGYNTDYVGAISAIEDGIRVLASQPTDTTTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELGNLLGAPALTLAELENYHPEEGMILANTTAIGMHPNVNETPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPVVDCKFRALANSLVNPDAMKAAGITAHKARL >ORGLA12G0126000.1 pep chromosome:AGI1.1:12:14543871:14546753:-1 gene:ORGLA12G0126000 transcript:ORGLA12G0126000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT4G03115) TAIR;Acc:AT4G03115] MQPGHGGALYHFGTSGAAVAAATAVTHPLDVIKVRLQMQLAGQRGNLVGMGTIFTQMVQVEGPRSLYLGLAPALTRSVIYGGLRLGLYEPCKYVCNYAFGSTNFAFKFASGVIAGALATALTNPTEVLKVRSQMSPSRTSTIGVLKKIVAEEGVKALWKGVGPAMARAGCLTASQMATYDEAKQALLKWTPLEEGPQLHLMSSCVAGTASTLVTAPIDMIKTRLMLQRECKGARVYRNGFHCGYQVVVTEGVTSLYKGGFATFARLGPQTAITFVVCEKLRELAGMTAI >ORGLA12G0125900.1 pep chromosome:AGI1.1:12:14538540:14543207:1 gene:ORGLA12G0125900 transcript:ORGLA12G0125900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phenylalanyl-tRNA synthetase class IIc family protein [Source:Projected from Arabidopsis thaliana (AT3G58140) TAIR;Acc:AT3G58140] MRSLIRSASSRLLRARARAMATPSAARFSPSSNLARPPPPRLPLPRFLPPTPPQALLRARPLRTSAAVAAAAAAVEVGGVKIAREDVVKEEDPTNNVPDTIYSKIGLQLHRRDNHPLGILKNTIYNYFDKNFSGNFNKFDDLCPLVSIKQNFDDVLVPADHVSRSYNDTYYVDAQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPCFHQMEGFRVFSPDEWSDSGMDGTAYAAADLKKTLEGLAKHLFGAVEMRWVDTYFPFTNPSFELEIYFQDDWLEVLGCGVTEQEILKNNGRTDNVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQFSEGKLGVKFKPFSKFPPCYKDMSFWINEEFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINDMQWNVREEVQNKLKVELR >ORGLA12G0125800.1 pep chromosome:AGI1.1:12:14534416:14536614:-1 gene:ORGLA12G0125800 transcript:ORGLA12G0125800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALREAEAELTVYVHPSNAADVRRAVSRQLSTTLFSYEDRFEGVLLAHEVVVNGDRAKILNGLVPYFGVPVHANLLLYSPQPDMILEGKVELLGKESIHAIVLGVFSAAIMADDINEKFKFKRKGDGGKFISRSDRHHVIRKGSMIRFSVKRVDTEMNCHITGSLLPPHTGSMPWLSTHDAEYASEISSGTRRPSNVGIKIKNEQDHKTSDNEDSVINSERPHKKSRKRALEE >ORGLA12G0125700.1 pep chromosome:AGI1.1:12:14527996:14532355:-1 gene:ORGLA12G0125700 transcript:ORGLA12G0125700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGGDPPPPGLFAAALHACSGASALEEHIHADDSNTISDNTLEQLGFLDQESNDASVNTEKIQSSTPKCKSVEDIPIAPAAKRCKNMDSKKLVPNSNNNSCLTGSQAPRKLPRKGDYPVQLCRNETFQDTKPPSTWICKNAACKAVLTADNTFCKRCSCCICHLFDDNKDPSLWLVCSSETGDRDCCESSCHIECALQHQKVGCVDLGQSMQLDGNYCCAACGKVIGILGFWKRQLMVAKDARRVDILCSRIYLSHRLLDGTTRFKEFHKIVEDAKAKLETEVGPLDGTSSKMARGIVGRLPVAADVQKLCSLAIDMADAWLKSNCKAETKQIDTLPAACRFRFEDITTSSLVVVLKEAASSQYHAIKGYKLWYWNSREQPSTRVPAIFPKDQRRILVSNLQPCTEYAFRIISFTEYGDLGHSECKCFTKSVEIIHKNMEHGAEGCSSTAKRDSKSRNGWSSGFQVHQLGKVLRKAWAEENGCPSEACKDEIEDSCCQSDSALHDKDQAAHVVSHELDLNESSVPDLNAEVVMPTESFRNENICSPGKNGLRKSNGSSDSDICAEGLVGEAPAMESRSQSRKQTSDLEQETYLEQETGADDSTLLISPPKHFSRRLGQLDDNYEYCVKVIRWLECSGHIEKDFRMKFLTWFSLRSTEQERRVVITFIRTLADDPSSLAGQLLDSFEEIVSSKKPRTGFCSKLWH >ORGLA12G0125600.1 pep chromosome:AGI1.1:12:14524459:14527270:1 gene:ORGLA12G0125600 transcript:ORGLA12G0125600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activating protein with PAK-box/P21-Rho-binding domain [Source:Projected from Arabidopsis thaliana (AT4G03100) TAIR;Acc:AT4G03100] MTGVVVVSPSGCKGGGGGGGVGKKRGSGEEERERERQQLSVLEVLLAAVRRSVVACRVEREGGGGWGEEGEAEAEEGDAAAEVGEMEIGWPTDVRHVAHVTFDRFHGFLGLPVEFEVEMPCRVPSASASVFGVSAESMQCTYDGKGNSVPTILLHMQERLYAQGGLKAEGIFRINPENDQEEHVRDQLNKGVVPEDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLQCNSEEEFLELVTLLRPTQAALLNWAVELMADVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLILRTLRERDDAASGDYTPYSSPASSSQQNDAEYYGSERDMDRSCEMSDMHSEISRSGRQVDFLVRYNTCFDSEQEGVDPLSDVEEGFLRQLEHDLEADKREESAKKQHEISSEIMAVKDVQAELKVEAKAAGNTQKEEGAGSLQ >ORGLA12G0125500.1 pep chromosome:AGI1.1:12:14521410:14522084:-1 gene:ORGLA12G0125500 transcript:ORGLA12G0125500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSHVLTVDGYSGTKGFAVGSGVAVNEHVKFGTFVAGGHSWYIKYLPNITAVVTEWVSVFICLNGKSDAAKKKDTAVTMKARCKLTLLDGRDGKAPLLPPRSPRAASSRPSPPARASPQLLHRRPPTAAAVFIAVVMAPLLLATLGCMNPATSLDTVWNRTASCTIRSSAATTSLVRCCAAPLVRSAGVRAASAFKACIFCYGPSVTPVSLSVSPRSPYEPT >ORGLA12G0125400.1 pep chromosome:AGI1.1:12:14499121:14499862:1 gene:ORGLA12G0125400 transcript:ORGLA12G0125400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAASLLTALVSRTIAACRAAXRRPPPTRFNIAAAASASGPRLDYSVTALFSATPSACRLGRLLLLSSRAGDTIATVPPAPCVSYDAKSDWCGQCNFCIILGSCTGTCNQIVD >ORGLA12G0125300.1 pep chromosome:AGI1.1:12:14465470:14466715:1 gene:ORGLA12G0125300 transcript:ORGLA12G0125300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKGKGKVEGEGSTRERSISWDDDQTKFMLDWYIEYKKEQHAGFIWKTQHHLKCADALNREFAMGVTSTQVTRHYRHYKENWQIVERALNNSGNGFDASKCKLTISESEKAKLRDRDRRLLAKPIKYFHEMQELFSGSNANGSLAIDQQTCCDIDNKSGSSDHEGLNDVSTYAHPIDIAEEDSDTLPSPTGPDNCSPGTSGVSKKRPRGVKSPSKRQPKPKSRFTDATEKIGNTMDRLVNQLASPPPPPMPQLDPYATMWKRIDALPIGSKDKVAVGNYLGRQENEGVRGFLAASCDTTLETWVYQFICDRDGV >ORGLA12G0125200.1 pep chromosome:AGI1.1:12:14430337:14430609:-1 gene:ORGLA12G0125200 transcript:ORGLA12G0125200.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFMGXCEVYLGTEIDSRXIQVGVPTDKLVNKSQALSCLKQNNPVKVQFDIYTAGYQFCPVHHDKSENITPSI >ORGLA12G0125100.1 pep chromosome:AGI1.1:12:14390315:14390812:-1 gene:ORGLA12G0125100 transcript:ORGLA12G0125100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKKLVQFGKGFFERKEESTSTDMPLGTAIHNIEITRGRGGQLARAAGAVAKLIAKEGKSATLRLPSGEVRLVSQNCLATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGKAPIGRKKPTTPWGYPALGRRTRKRKKYSDSFILRRRK >ORGLA12G0125000.1 pep chromosome:AGI1.1:12:14389669:14389987:-1 gene:ORGLA12G0125000 transcript:ORGLA12G0125000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPAMVGHTIAIHNGKEHLPIYITDRILVFSXDLEVXLSFLSRTGSKSKELEVGSFLSSFKPDSLVKAIELH >ORGLA12G0124900.1 pep chromosome:AGI1.1:12:14387193:14387606:-1 gene:ORGLA12G0124900 transcript:ORGLA12G0124900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLYVLTPKRIIWDCEVKEIIFSTNSGQIGVLPNHAPINTAVDMGPLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQQALEIAEANVSRAEGTKELVEAKLALRRAGIRVEAVNWIPPSN >ORGLA12G0124800.1 pep chromosome:AGI1.1:12:14382322:14382570:-1 gene:ORGLA12G0124800 transcript:ORGLA12G0124800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSARRSDSSWLNVTAMNTDGTFSSHGVISIFSASSQAYCQFSNEKETVKDRLTGVECSSAYRSFDNSIAKALAMKDRKKDNS >ORGLA12G0124700.1 pep chromosome:AGI1.1:12:14378023:14378782:-1 gene:ORGLA12G0124700 transcript:ORGLA12G0124700.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVMGEVSCCSLYPFKGKSYVLVFEYLAVYTPTNVKRSGRFDIPGKCTYQATLSLCFS >ORGLA12G0124600.1 pep chromosome:AGI1.1:12:14284794:14291590:-1 gene:ORGLA12G0124600 transcript:ORGLA12G0124600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39040) TAIR;Acc:AT2G39040] MAAMVRRRPAPVTTMPVVLVVVVFVGVVVGGASASAGPLKAHYYRHVCPAAEAVVRDIVTARVAADPAALPAKLLRLFFHDCFVRGCDASVLIDTVAGSGAAAAAEKDAAPNGSLGGYDVIDTAKAVLEAVCPGVVSCADIVALAARDAVSYQFGRDLWDVQLGRRDGVVSLASEALANLPAPSDNFTTLESNFAGKGLDVKDLVILSGAHTIGVGHCNLFGARLFNFTGAAAPSADPSLNAAYAAQLRAACGSPSNNATAVPMDPGSPARFDAHYFVNLKLGRGLFASDAALLADRRAAALVHGLTDQDYFLREFKNAVRKMGRVGVLTGDQGEIRKNCRAINGK >ORGLA12G0124500.1 pep chromosome:AGI1.1:12:14282085:14282657:1 gene:ORGLA12G0124500 transcript:ORGLA12G0124500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMSDFCDRSRNDAWDFSAFVRTYAAYLDDRLEYRMQAKHGGAARQGRPLREQLYASSGNRFNYDDFIMRDDEATNTEADKAMALVARETPTSEMTLEQLLAKAQLLLAPHCLLLPVAMVVVVLALEKKLLVVVLACLEEHL >ORGLA12G0124400.1 pep chromosome:AGI1.1:12:14280481:14281007:-1 gene:ORGLA12G0124400 transcript:ORGLA12G0124400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:I1R6T8] MSSAGGGGGRGKSKGSKSVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEAQVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSRLLGAVTIAAGGVLPNIHQTLLPKKGGKDKADIGSASQEF >ORGLA12G0124300.1 pep chromosome:AGI1.1:12:14276520:14278291:1 gene:ORGLA12G0124300 transcript:ORGLA12G0124300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSSAAAAANGNGHHAAADGVVVNGNGFHAEAMEVEKRGGDQKAEKLKALNSILLKEAADRRGQVAALTSRLDELSXXDAALAAAERAVAQAALAAPLRAAADEVSSLRARLAAVEVSLRSAESRGASEAAAKDEANARLEAVAEEKGQVLKLLRAKEAEVASVSHKVSKLEAMVAQLERNNSELFGEKDELAKQLEVTKEEIRMVSDQKTAVERSLGELKNTAQAYQIEMEEKVKAKVEELKVLGAKKAEMDARVMSLEAELKAAVAKRGELEADAAAKKGEFNMLKGENDRLRSEVATAVEKHRTSEAEVERLCTELGVVRKEKEAAAKVFDAEKAGIMRELGDLKQKVEEIQASKGAAEEAGREKDAQAVKLRNELKELYVSMSQLQASCDELDTKRSLLNDEKNYVQEALDAEKAEARKLKSKIEALENCNVEKDSEIGKLKVALMEKREKIDVLIKDIELLNLEVAEAHRKRKGGIWAWLYAATTTMVAAISFIYATKSR >ORGLA12G0124200.1 pep chromosome:AGI1.1:12:14269415:14269891:-1 gene:ORGLA12G0124200 transcript:ORGLA12G0124200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILPHEVSELESNLLDIHEKRRLAKESRPPLPPAMPWRGKRIVLDRYDGRLIMSQVPTKLPFTLHASCVDGRLRLSCMHPSDSVDELKVASNGKKDTEDHAKGNHGTLHTALNCNYPKSINFERKCESFFTHWILTNLVKIIVGYYINSTTCTFLYN >ORGLA12G0124100.1 pep chromosome:AGI1.1:12:14261264:14262989:1 gene:ORGLA12G0124100 transcript:ORGLA12G0124100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRTGPTAAVAAAAGGHGAHLACAGRGALLLALVAVAAAVFLPVTESSCPRDNSLVKDVSKMYQSNYGREGFSHITIAGALAHGMKEVEVWLQTFGPGQRTPIHRHSCEEIFVVLKGKGTLLLGSSSMKYPGQPQEIPVFKNSTFSVPVNDPHQVWNSDEHEDLQVLVIISRPPVKIFTYDDWSVPHTAAKLKFPYFWDEDCLPAPKDEL >ORGLA12G0124000.1 pep chromosome:AGI1.1:12:14260057:14260733:1 gene:ORGLA12G0124000 transcript:ORGLA12G0124000.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVEVCLQTFGPGQRTPIHRHSCEEVFVVLKGKGTLLLGSSSMKYPGQPQEIPVFQNSTFTIPVNDPHQVWNSDEHEDLQVIVVISRPPIKVFFYDDWNMPHTAAKLQFPIFWDEECLTAPKDEL >ORGLA12G0123900.1 pep chromosome:AGI1.1:12:14252992:14254511:1 gene:ORGLA12G0123900 transcript:ORGLA12G0123900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDWVMLDPYVFRDGPFPDDDPTAAIGHNSRGDKVGVRFLLRAPPEPSSIFLDWEAGTGDCDDFSVVAAHRDAVLFQMGYLVSLGSSNAYKAFDYLLYRAAGAGGSSPSLDLLAPFGGSVDELKARMEADGLIRLTNQHLRRLKCLDIGVLCRDGEEFAVAELQITRSEVGPELHVLRSSTSSRKWELKRPPITPANGGSLDLEKFLWDWDADTVIPFGSYLCWVDYCLGILFCDMFDENPQLHYLEFPADVRGACFGGCCQAVGVTNGVMKLVSLVPDDGLIAENYTPESGFTIVCWTLRMDEMDKMVWEKDAVLKSDHLWSMLKPDFLWPLDEFRFITTNRFPRVQFPLISIDDSSVIYLVLAQNGRVEEAGYNYDETWLLAIDMSKMTLKMSFPHIEDEMGDPSPEMLAFAEDKFWRFEPFLAADFSRHFNLRCPRLSKQICMESALLPQKRKFGCAEYLPLDGPSLAIF >ORGLA12G0123800.1 pep chromosome:AGI1.1:12:14241870:14243010:1 gene:ORGLA12G0123800 transcript:ORGLA12G0123800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYRQCAAGTFYSYDLYIDKKLGLEVEDETVPIMQFKAKNKLVFLATQSSKRQNSAKLSFGQHPLSTYEVVGSWSNVSLPFCTEVCKKLILSVIEGVKANLEDGNCFEKFDENNLVVRPSGKRGWCFTKMYEHIKFKITAAQKNMILMKVPYHEKWRTIANQNAVLHETINFIYSNYGRLPKFNEKGVLYTEIEIQLHEAEMFLDFIRHGTANRLQRNISLTPHQHELILLVMYPLLLPLLQEAILDVLGEPEFRKILLRSVLEVTMKDMKLLEMCDGV >ORGLA12G0123700.1 pep chromosome:AGI1.1:12:14240065:14241585:1 gene:ORGLA12G0123700 transcript:ORGLA12G0123700.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAEAEGDDAWRAAMREEMDAVNRNGTWELVDLPHGHRPIGLKWVYKLKKNEAGKVVKHKARLVARGFVQQPGIDFDEVFAPVARMESIRLLLAVAAQKGWRVHHMDVKSAFLNGDLAEEVYVKQPPGFVVAGEEDKVLRLRKALYGLRQAPRARNAKLDRTLKELGFDQSKHEHAMYRRNNGGFALLVGVYVDHLVITGPSTREIEQFKEEMKAKFQMSDLGLLSFYLGIEVKQGDDGISLNQGRYAQRIVESAGLKDCNPCATPMEERLKLSRDSTAPPVDATEYRRLVGSLRYLVHTRPDLAFAVGFVSRFMERPTEEHMVAVKRILRYVAGTMEYRLHYKREKEEQRLIGYSDSDLAGDIDTRRSTSGMFFLGSSLVSWQSIKQRVVALSSCEAEYVAATNAATQGIWLVRLLGELLGKQPKTIELKVDSKSALALAKNPVFHERSKHIDLRHHFIRGCLEEGSINASFITTMDQLADILTNALGRVKFQELRAKIGMVQINQN >ORGLA12G0123600.1 pep chromosome:AGI1.1:12:14234770:14236483:-1 gene:ORGLA12G0123600 transcript:ORGLA12G0123600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPQKDMSGCMRRFNPDWFKTFGNWLEYSIVEDASYCLCCYLFKNETLGHGGGDAFSTQGFRTWKFDRFKIHIGGPSSVHNQNVKRCDDLMNQQQSIRSNIVKQEKKECSTEVGAVVLKNAPKNFKLVAPEIQRDIVRACAEETLKAIIEGLGDEYFAILVDESRDEKQAKIVEEALQLGELETGRGLNQELGLKRAGDTRWGSHFNSLLNMIVMFPSVVEVIDDIAHNGSKAVDRLKAKGVLDAIQTFDFSFMLHLMKQDIVKAILYLGTTKRRLQDLRNDGWEGMFNKVIDFCIQHDIELPDMDDMHVPRGSKSKRKAQVDGISNEDYYQSVIYAVIDLLRVELNDRFSESSTVLLLGVACLDPADSFS >ORGLA12G0123500.1 pep chromosome:AGI1.1:12:14225690:14230065:1 gene:ORGLA12G0123500 transcript:ORGLA12G0123500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase [Source:UniProtKB/TrEMBL;Acc:I1R6S9] MSAAAEGRPPAAAAAAAGEMVREATAWCALHGLVVGDRADPRSGTVPGVGLVHAPFSLLPTHLPESHWRQACELAPIFNELVDRVSLDGDFLQDSLSKTKQVDDFTSRLLEIHRKMMEINKEENIRLGLHRSDYMLDSETNSLLQIELNTISASFPGLGSLVSELHRTLIDQYGHLFCLDSKRVPGNEASSQFAKALARAWNEFNVDSAVIMMIVQPEERNMYDQYWLAKHLKESYPFMLFLSSTCPLTIHYTWHNDYQENFVRDGKTVSVVYFRAGYTPNDYPSEAEWAARLLLEQSSAVKCPSISYHLVGTKKIQQELARPKVLERFLENKEEITKIRKCFAGLWSLDDEEIVKSAIQKPELFVLKPQREGGGNNIYGIDVRETLIRLQKEGGDALAAYILMQRIFPKASLSNLVRGGVCHEALTISELGIYGAYLRNNDKVVMNEQSGYLMRTKVSSSDEGGVAAGFAVLDSLYLTDKAM >ORGLA12G0123400.1 pep chromosome:AGI1.1:12:14220129:14224353:1 gene:ORGLA12G0123400 transcript:ORGLA12G0123400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT2G20790) TAIR;Acc:AT2G20790] MSGGGCSVRAIWILTPHDAVAFSRRFAVVEKRWRVEWEAEGGARAEMMPLPADYEVAAAFAERRRREGTARGSGIRTSMSSAGSDSWVDDPITRHIISLHIDKEEGEGFMLWPVVLQKRGSYYILVLPLVDPQSFKAYESLLKRSDCGSSAKEKGNLSSILLNLPCITGALMVAHVIGDIITGDTAEPEVIVSTGPSVGGLLDSLTGSIGISARPKPIAAPVAAPTASISSPVGAPQSESLKGGMRPFDKDLLRNFILGAMPFGTPQDLNYANVTSVRTTGFSADPLPTDQKQPAWKPYLYKGRQRTLFSSLETLNAALYDRDDVQDFLSVSGQVTCRAELEGLPDVSLPLSGLKAARVEVSSFHHCVQASEPTNDKQTLIFQPPLGNFVLMHYQASCNMDPPVKGFYQLSMVSENEGAFLFKLRLMEGYKSPFLMEFCMVTMPFPRRRVASYDGNPSVGTVSMTEHSIEWRIVSSGRGLSGRSIEATFSGTVRFHPITIQRVNSSFRSVPSTAFVEDSDSEQDNTKNGANLDDYLMEKMNKDLQAVNLEEPLSWQAYNYAKVSFKIVGGTLSGLTIDPKSVTIYPSVKAPVEYSMQASSGDYILWNTLGKCPSAALPKLL >ORGLA12G0123300.1 pep chromosome:AGI1.1:12:14216087:14218582:1 gene:ORGLA12G0123300 transcript:ORGLA12G0123300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LERRSMDNHGGGGGGEGDGAVRVNIEAQASDPAGVVVGMATPFEPQLSDDSPPRSSMQSVAEVVDDGERDAAAAGGVDEGVAVAAVGPERRLTMLALRLAILEKAASGLGALGFIWATVVLLGGFAITLGRVDFWSVTVILLVEGARIFSRSHELEWQHQATWSLAAAGRSSFRLVARSFRFVFHLDDGGKAAADAGGGGGGAPRMARRRWRISWSFLSRHVGRVFYWLQLASAAACVAVSAVRLAGQDFGEAEDARTNRRSALDIFYGLALAEALLFLMEKAMWEWEVSYGRLLQRVAGECHLAGEPGLVAVRRFFYDAYSRCVDGSIFDGLRMDLVSFAEELLVGGSHDEQRIGVGILVNVAANPRLGEAALRRVGTSAAVVDRLVEMLTWSGGAAEAAARASAALVVSKLASKKRNALRVAGVPGAIESVSSLLYAAGDEECNLLGLLIIKKLARDHDNCSKIGNARGLLDKIIDFSAIGGGAVITPSRAKAVKRSLQVVKMLAETTGSTGKLLRREVAEIVFTVSNIRAVLQHGAGHLELQRLGAEVLTRLAMDADAREKIGGTGGVVSLLLAMFLQPSITDEGDAARVEAGEALAMLALDSRRNCDRILRAGGGATTTTTTTTNGAATVARLVDALTDDAAGIGAARILTNLCAYAGGEWFSDLHLVTSGAATALRNVMTTKKSKLLEVSLGLVAQMARFMGPHELSHHLAGAGVAGGEEELVGRLVAVLARYGSPSIRVPRIRRFAVELAVAMMTEGRRRRGAVAVMMAAAGMGPVLRRVAETTSELECFHVFSGSAGLSRHAVSLSALVDTALELMGARGTDDQ >ORGLA12G0123200.1 pep chromosome:AGI1.1:12:14203291:14206357:-1 gene:ORGLA12G0123200 transcript:ORGLA12G0123200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATGSGSWVAQASVLGCGGGGGGVVVVRRPSWDGGAAVGGRAKGFGVVRCCVQEKKPRVRKTKEERREMVESFVNTYRVSNDGKFPSVNLTHKEVGGSYYIVREIVRDIIQENRVLGPGGLNATALSFEDCPDSVESPVTHELGQDSVEILDMSDDDQAGMDTVTDMSTQQLLGSSNLLDAGILNGALQNGNIADMACLETNSEKQDEVPCAQSAEIDPSSSEKLPPSFSHAPDSESEFEMDSRVVAHETTSSLTNGVISSGPSAVITNESLLQDHDDTTDNAVDEAVLCLQTNGSSQANETILQEHETRPESVMSNDVQTIDSQSNSRVDTFNSNTSEDTTKSIEVSEVQRLHPDEEEKAENLVSHAELDTKVFSHVEGKNGMVEEDNSELKQSISIITMEEHDSKPEHGDSTTTAISRHALCLLTLRCMLTVYNFLHASQNTTAYLXNTREAMHSHCQFXPTR >ORGLA12G0123100.1 pep chromosome:AGI1.1:12:14200051:14201686:1 gene:ORGLA12G0123100 transcript:ORGLA12G0123100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02820) TAIR;Acc:AT4G02820] MLMSRRLVPAAAAARMASSSSSAAAAAVSGGAEGGGGEGRGGDTLGRRLLKLIYPKRSAAVVLRRWAEEGRTVQKYQLNRVVRELRKYRRFKHALEICEWMRTQPEMRLLPGDHAVHLDLVAKVRGLPSAEKFFEDMPERAKGPSTCNALLHAYVQHGRRDKAEAMLGEMAKAGYLTCALPFNHMMSLYMSSGELEKVPEMIKELRRYTIPDLVTYNIWLTYCSKKNSVKAAEKVYDLMKDERVVPDWMTFSLLGSIYINAGLHVKGRDALVEMEKRASRKERAAYSSLLTLYASLSDRGNLDRVWRKMRETFRKFSDTEYKCMLTSLTRCGDIAEAESVYSEWESASGTRDSRIPNTILAFYIKNGMMEKAEGLLDHIVQKGVKPSYSTWELFVWGYLSDGRMDKVLECLKKALSCLEKWDPNPQLATAIYSQIEEKGDIEAAEKLLVMFREAGYVTTEIYNSVLRTYAKAELMPLIVDERMDQDKVSMDDETRRLLRLTSKYPIGEVSTLMS >ORGLA12G0123000.1 pep chromosome:AGI1.1:12:14197516:14199513:-1 gene:ORGLA12G0123000 transcript:ORGLA12G0123000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITCRRVCDCELGFGAAGRGRGGGWAEXXXXXXXXXXXXXVGVEEEGGVATVQVEVPPVEAAEEGEEEAGRAAVLRQAAAAEDYARRLEGGAAAAYGSQVLGLMAALIIDRRLWFWIIVHFHIVGLSKQIYIFIF >ORGLA12G0122900.1 pep chromosome:AGI1.1:12:14192483:14197428:-1 gene:ORGLA12G0122900 transcript:ORGLA12G0122900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRICFSGENEGSTKAAKMLPCKLCNKKYHRSCLKNWGEHRDLFHWSSWVCPSCRSCEVCRRPGDPNKLMFCKRCDGAYHCYCQQPSHKNVTHGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSEVIPMVCCDVCEKWVHIECDGISEEKYQQFQSDQNLQYTCGACRGECSQIRDTEDAVRELWKRRDVVDHDLMVSLRAAAALPSLEDVSPSHPNSDDEKLGAYVMKNDGRNTLKFSFKSNSTKPALDSSEQEKNAIKSSGSNKKHSKKKGNQNNKTVSEQDEIFLEKRNETKSLGSLGDQIADVTRDKSSFKNDADAFVLSSAQSAEKALKLQSAKAAAHNADMIPKVKIKGTKVPSLHFKDVGEENAAKSDTGKGTKLVIHIGSRHKSRSGSPKSEMSNSQKEQELVSMHGGKVDVTSQFKSSRSETKEKSVMKLVRETGVQQNSLLGDLGASKKHATGKRSNAIVSAMENASESGTRSRSFGQKQSVNHLTENQGNASFSVNNSPDSLKPSLLKLKFKRPIFEQPSTQSSQPEEPGTWASPQEELNVAKGQRSKRKRPSLDKMDGSESKAPAAKRHEQSTGEEAMDANWILRKLGKDAIGKRIEVQLASDGKWHQGVVSNVINGTLCLQLDNGRSENIELGKRAIRLIAQRSKGGKR >ORGLA12G0122800.1 pep chromosome:AGI1.1:12:14189563:14190635:1 gene:ORGLA12G0122800 transcript:ORGLA12G0122800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGPNKDDSQPPGHGTIFGRLKMVVIFVIRSIYDTILVTTWPDGEAEGRCESREMAPHRFDSSTVVRATSLAVRKKPRMCSSTSSASELADVINGGGASSSSSRASSPNPWVVIGTAYASVGKANRFEFEKKLAVIYNSIELVDKIKSQEKMAKIIIAVRTQ >ORGLA12G0122700.1 pep chromosome:AGI1.1:12:14181201:14181668:1 gene:ORGLA12G0122700 transcript:ORGLA12G0122700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLKLFSFSLLAVSGHCHHHRPISLVGALPAKPSRHRACLDEVNPFFLFSLSLCHPISHSSHFIYRPELSSYSRRSGHLPLPLSQPLPSPGARHSGTPPAAGVAAFQPPESRRPSSLSSLSTRARREEKRRRRRRKGKRKDTERWAPAQYYFLFN >ORGLA12G0122600.1 pep chromosome:AGI1.1:12:14141558:14143756:1 gene:ORGLA12G0122600 transcript:ORGLA12G0122600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:I1R6S0] MECETGLVDRPLNGDPLYWGKAAEGLAGSHLDEVKRMVVEYRARLVKIDGAMLSVAKVAAVAGEAARVQVVLDESARPRLEASREWVFDSTMNGTDTYGVTTGFGGAAHRRTKEFAALQKELIRRSDGYTLPTEVTRAAMLVRINTLTQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSAAVAPDGSKVDAAEAFRIAGIEHGFFALQPKEGLAIVNGTAVGSGLAAIVLFEANVLAVLAEVLSAVYCEVMAGNPEYTDHLIHALKHHPGQIEAAAIMEHILEGSSYMRLAKEQGELDRLTKLRQDRYAIRTAPQWLGPQVEVIRFATKSIEREINSVNDNPVIDVARRKALHGGNFQGTPIGVSMDNTRLAIAAIGRLMFSQFSELASSFYSNGLPSNLSGGRNPSLDYGFNGAEVAMASYCSELQFLANPVTNHVQTAEQHNQSVNSLGLISSRMTAEAVTILKLMSSTFLIALCQAVDLRQLEESIKAAVNKCVTNVAKKSLAMDDDDLLALLGAAIDRVAVFTYAEDPCRSSLPLMQKLRAVLMDHALANGDNQLAKVAEFEQQLCAVLHDEVEAARAAVESGTAPNRITQCRSYPLYRFVRKELGAEYLTGEKTRSPGEEVDKVVIAMNQHKHINPLLECLSEWKGAPLPLN >ORGLA12G0122500.1 pep chromosome:AGI1.1:12:14136475:14139550:1 gene:ORGLA12G0122500 transcript:ORGLA12G0122500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVPGLEWDDVELDVYEDPNPVKDVVGQTLVDNKEIGKSEVPRVLRSHNSKSMGDQKEKWMVSTFGGGFFWLDFTDIHAIYRWEKMDVNFVGAWCLLQYMDAEKTKQSIEYLDPTRICETQHTVTLSLNSEVNGRYRVNPEDLPRIEHRTNFDDTSITNVQWDLYLFIHRRRYHIKRRFFDLDSILATNNEYKALREWSNAMP >ORGLA12G0122400.1 pep chromosome:AGI1.1:12:14084646:14084774:1 gene:ORGLA12G0122400 transcript:ORGLA12G0122400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIKSPIAGFLLYSVKEINLLKEVYLEETKFKEDAAWQVIY >ORGLA12G0122300.1 pep chromosome:AGI1.1:12:14070731:14071836:-1 gene:ORGLA12G0122300 transcript:ORGLA12G0122300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTRSAGGSHGAGGDGQEAEEAATAKKLRWDLHLLLTELCHHAHHCRFITCGDEVNIHQHNRSGEQSDLYNKKAEAMPSSALINNKAKVQFADLPENLM >ORGLA12G0122200.1 pep chromosome:AGI1.1:12:14041969:14046301:-1 gene:ORGLA12G0122200 transcript:ORGLA12G0122200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQAWLPIRDAALLPLHLRFPHQCRGPPSATIVTVDMPRKRMAEAICMLHELKLLSVSGTGWILLVKISSVAYLQQIWWFEVVGKIDFSFPVGTYSIYFRIHLGKFYKRFGRRVXSTEHVHGWDKKPVRFQLSTSGGQHSLSQCSAPWAARKGIPRNGFHDIGIDMTLVKNINNMVTKVRDDWIFGCEPLIWHTPLNSLLLVSLSFCT >ORGLA12G0122100.1 pep chromosome:AGI1.1:12:14035444:14038195:-1 gene:ORGLA12G0122100 transcript:ORGLA12G0122100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1R6R5] MVKASMKPYFVAIIVQLIYTGMFVISKAAFNHGMNTYIFIFYRQAVGSLILLPAALLQRKSARQVMTLGVLIKLFFCALIGITLGVNLYHVSLKFTSATVASAVDSSLPAITFFLAVLLRTEYVKLRSSSGIAKVTSVALCLAGVFTIAFFAGPSISPINHHRAFASDAGSKTVVPRGVWIKWTFLMVVANMCWSLWIIFQAAVQKEYPDKMIVTLTQCLFSTVQSFVVAVVAERDFSKWKLRFDISLLAILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFVFTIFCSSFFLGEIVHLGSILGGILLVGSLYTMLWGKSKEGNETDDVTDDDIEKSTQIYPGEQQHTTTDQDKESTLIGSAALHAQEL >ORGLA12G0122000.1 pep chromosome:AGI1.1:12:14034421:14034819:1 gene:ORGLA12G0122000 transcript:ORGLA12G0122000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAAGDGCGVAAAAGVCLAPGGGVPPDSPSPFQPPLNGSGGSLGVSGGGCTVEEKGVAAATATAMTLASGGWRLGAGSAGEETGVTGSDSPGLLTRRSDGSLGGSGGGSTVEDRELRRRRHTRAEEAGEPT >ORGLA12G0121900.1 pep chromosome:AGI1.1:12:14014919:14015257:1 gene:ORGLA12G0121900 transcript:ORGLA12G0121900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIRIVMKSFMSQANKVEGVIPYAQKVGLPESRSLYTVLRSPHIDKKSREQFSMHVKKQFLVQKAETHELQKKLFWLKRLRLLGAQYELQISFKTRLDKKLLQAALSSGC >ORGLA12G0121800.1 pep chromosome:AGI1.1:12:14008594:14010685:1 gene:ORGLA12G0121800 transcript:ORGLA12G0121800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGSFFRYGARQIAAKPEDCPAMSSKLSLEDLPDALLAEIVKRIANTSDLKSISLVSKRLYTIEAEQRSSICVGSDLCPAIDALSALCSRFPNLLEVEMDYSGWKFHSNLLEKHIISLHLPVLRDLTLYIDDIRMGCLASCKNLMSLRLNSVSAIGSRGLLSVAVGCKNLTSLHIIKCNHIVSSDKWLEYIGSAGSLEELVVKNCKRISQYDLLKFGPGWMKLKKFEFKFKRSFHKYEPRDPCYVDNYQYGYDFCCESLKDVTLATVVTKPEVGLRCLLTKCKALERLCLHYVIGISDHDIITISQKCSNLRSISLSQEMLLCEIPGGTGVMARTPLTDDSLNALALRSHMLEAVELMFYGCAPDWPSEIAFTQDGLVTLLQSCPIRHLVLRGANFFDDEGMEALSSAQFLETLELMQCVAVTDVGMRFLAQSPCLKNLTLQMCYEVTDDGVCEVARARDLESLTVESCNQITVEASHGAAKSVHYKVDCPSYYD >ORGLA12G0121700.1 pep chromosome:AGI1.1:12:14002537:14003985:1 gene:ORGLA12G0121700 transcript:ORGLA12G0121700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPGAALAEIMKRITRTSDLSSLSLVSKRLYTIDAEHRSTIRVGRGLWPAKEALLTLCSRFSNLRKVEINYHDWTRGDGNQIDNQGLLTLSTCCPLLTDLILSFCYYIDDSGLAYLTDCKKLVSLRLNSAKNITSSGLLVVAIGCKNLSGLHLINCNKISGNPEWLKYLGSDGSLEELVVKNCGGISQYDLLLFGPGWMKLQRFVFEVSNLYNILRLRDPSFVANYQHSYDFCCKSLKDLRLRGIATVEEIGLRSLLGKSKSLQILCLHFVLGLTDSDMITLSQNCSNLKSISLQLEPVLGVGPQRRVFRMPLTDVSLKALALGCRMLQIVELAVYSRHTPYPEIGFSQEGLATLFQSCPIRELVLCGANIFDDEVMKALSSAQFLETLKLMDCNQITDAGMRLLANSSSLVNLTLQDCRGFTDDGVSEVVRARNLDSLIVQGCRVSWKAVKGAAKSVRYDHNCPVYGRLSRSSMISTLD >ORGLA12G0121600.1 pep chromosome:AGI1.1:12:13988805:13990253:1 gene:ORGLA12G0121600 transcript:ORGLA12G0121600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPDALIGEIVKRVSSTSDLSSLSLVSKRLYKIEAESRHTIHIGCSLRPATDAIVSLCSRFHNLSKVDINYSGWTQDHGNQLDNHGLRILSSYCLSLSDITLSFCSYIDDTGLGYLAFCKKLITLRLNSATKITSSGLLAVAVGCKNLSALHLIDCNKISGAFEWLKYLGSDGSLEELKYRYDFSCENLKQLSLRRIVTVEEIGLRSLLGKCKSLEKLCLHFVLGLTDSDMITLAQNCSNLRSISLQLEPVFCEGPEGRVCRTPLTDESLKALAGCRMLQVVELTMFGCDYAYPEIGFTQEGLMMFFQSCPIRDLVLCGANIFDDEGMKALSSAQFLQTLELMDCVYITDAGMCLLADSPSLVNLTLRQSDGFSDVGVGEVVRARKLNSLIVEGCSRVSQKAVLGTAKSVRYERYYPGYGKLRRSSLSFEQD >ORGLA12G0121500.1 pep chromosome:AGI1.1:12:13985219:13986082:1 gene:ORGLA12G0121500 transcript:ORGLA12G0121500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNGSSVMISPVVQGKEQTDIMNNMQNHNIGNSTSVPVSGSCFNGTSFLSGNSVNAPPPPQMPTYSITGIRGNATF >ORGLA12G0121400.1 pep chromosome:AGI1.1:12:13978357:13978828:1 gene:ORGLA12G0121400 transcript:ORGLA12G0121400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNGSSVMISPVVQGKFQQGIMNGMQNRDIGNSTSVPVSGSSFNGTSFLSGNSVNAPPAPEVPSYSITGIGGDATF >ORGLA12G0121300.1 pep chromosome:AGI1.1:12:13973903:13974384:1 gene:ORGLA12G0121300 transcript:ORGLA12G0121300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNGSSVMISPVVQGKMQQGVMNGMQNSNIGNSTSVPVSGSSFNGTAFLSGNYANAPAPARQVPSFSITGIGGNATF >ORGLA12G0121200.1 pep chromosome:AGI1.1:12:13961690:13964569:-1 gene:ORGLA12G0121200 transcript:ORGLA12G0121200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAPEFRVESVALESKDCLQNAIDIGDKTYVISNSDDPKSSITIKILDKLTQTWVVPTVLGAPPNPTSSHSAVLVNNEKILIIEKGVPLNDSIWFLEVDTPFVKQQSKIKGTVVVAWSKGVIGEGQKPIVISGPSGVGKGTLIAKLMKEYPSKFGFSVSHTTRAPREKEIDGVHYHFTERSKIEEEISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNSPGLFDHLLVNDDLEACYENLKKLLSLDDDHEDSNDSFIKDGKETACYSILSKTNSEILLQSETNEAEKGTTNLILLDLSSLSGGAPGRTRGLKISPVN >ORGLA12G0121100.1 pep chromosome:AGI1.1:12:13951354:13953201:1 gene:ORGLA12G0121100 transcript:ORGLA12G0121100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPGGAEAAVSARLLELAADDNAAGLGELLAAWPSLADEPAPWYTPARGAEPLTPLMVAAVYGSVGCLDALLSPPYLVDPNRASASSLSTPLHLAAAGGSASAPAAVSRLLAAGADPALLDHLQRRASDLVALPPNSLPLKNHLLSLLGARKEWPPDPSLPDIKNGAYASDDFRMYSFKVRACSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFKKGAGCRRGDMCEYAHGVFESWLHPAQYRTRLCKDGVGCARRVCFFAHTPDELRPLYVSTGSAVPSPRGALEMAAAAAAMGMGLSSPGSSSFTPPLSPSAGGGGGGGGGGAWPQQPSVPALCLPGSAGNLHLSRLRTSLSARDMAVDELLAAAAAAADYDGLVASPASIRSARGKALVPSNLDELFSAELAAAAASRSPRYADQGGAAFSPTRKAAVLNQFQQQQQQSLLSPRAAAVTPEPVSPMSSRLLAALAQREKMQQQTLRSMSSRDLGNAASLLVGSPVSSSMSKWGFPSGNPDWGADDEELGRLKRCSSFELRSGAANGNHEPDLSWVNTLVKEPTPEKMMTTTSAMDSIGILGQNTSRDHIVGGEDDTAGVISSWLEQLQLDEMVV >ORGLA12G0121000.1 pep chromosome:AGI1.1:12:13938292:13941433:1 gene:ORGLA12G0121000 transcript:ORGLA12G0121000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicarboxylate transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G12860) TAIR;Acc:AT5G12860] MATSTSRRHRPPHPATTSASASALASPPSPLRPPPPPSPSLSLSRPTPLTPSPPRHRALHASASAAPAAPPSQPPKPVLQGAAIKPLVATIGTGVLIWLVPPPAGVARNAWQLLSIFLATIVGIITQPLPLGAVALLGLGAAVLTRTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGSRVAYAFVSAFGGSSLGLGYALVFAEALLAPAIPSVSARAGGIFLPLVKSLCEACGSRAGDGTERRLGSWLMLTCFQTSVISSAMFLTAMAANPLAANLTAGTIGQGIGWTLWAKAAIVPGLLSLVFVPLILYLIYPPEVKTSPDAPRLAKERLEKMGPMSKEEKIMAGTLFLTVGLWIFGGMLNVDAVSAAILGLSVLLISGVVTWKECLGEAVAWDTLTWFAALIAMAGYLNKYGLISWFSETVVKFVGGLGLSWQLSFGVLVLLYFYSHYFFASGAAHIGAMFTAFLSVSSALGTPPLIAAMVLSFLSNIMGGLTHYGIGSAPVFYGAGYVPLAQWWGYGFVISIVNIIIWLGAGGFWWKMLGLW >ORGLA12G0120900.1 pep chromosome:AGI1.1:12:13924011:13926935:-1 gene:ORGLA12G0120900 transcript:ORGLA12G0120900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPDCGGGGGAAKGGGALGVAGGNNAAVVGGKVRKGLWSPEEDEKLVAYMLRSGQGSWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEDLIVSLHAILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKISSSSASPATTTDCASPPEHKLGAVVDLAGGGGGGGATDDVVVGTANAAMKSMWVDSSSSSSSSSSSMQSRPSIMAAAAAGRSYGGLLPLPDQVCGVDTSPPPPFFHDHSISIKQAYYGSTGAHHHHHAIAAMDGSSLIGDHHHHSSSILFGGASVPPLLDHQTILNDDDDHPNKTGSNTTAATLSSNITDNSNSNKNNSDNNNNISSSCCISLMNSSSNMIYWEGHHQQQQQQHQMLLQQQQHMSRNVMGEWDLEELMKDVSSLPFLDFQVE >ORGLA12G0120800.1 pep chromosome:AGI1.1:12:13901307:13902859:1 gene:ORGLA12G0120800 transcript:ORGLA12G0120800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRAWAGPKGRALGRDVGRWTFWPLYSQLRQVKRSEESYLTQTHEQTNSHVVKFALARGASLLHRQAVKCVLVEVQVRLGGSLLFSGHLGVSVLLFNFIARFGAGLLEFSVVVCREASGPIVGTWKLLEEERVPVLR >ORGLA12G0120700.1 pep chromosome:AGI1.1:12:13890787:13895566:1 gene:ORGLA12G0120700 transcript:ORGLA12G0120700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGDARRRKEEGEEDGFSSDGGDTTDAESSDERAGAGAGRRAVPGANPILSRLAVSRNPSPLAAATAAPGVCLLRFAWESAAGSLVGAVVGYGKGLVTTKGFKGSFADAASSAKIFAVLAGVQSLVACTLRQLRGKDDVLNAGVAGCCTGLALSFPGAPQTMIQSCLTFGTFSYIIEMLNKQEPALARPSITGTKDLKAGHRVLPPFTLPLPQEAMEGFSSFQNFLSKFQGK >ORGLA12G0120600.1 pep chromosome:AGI1.1:12:13882262:13885932:-1 gene:ORGLA12G0120600 transcript:ORGLA12G0120600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif (SAM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G07760) TAIR;Acc:AT3G07760] MSRGRSPEPLDFFIWTVEDVGLWLEEINLGSYRQVFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPSCLSVVFVRAAKRNRQSRVVSLKLEP >ORGLA12G0120500.1 pep chromosome:AGI1.1:12:13875376:13881039:-1 gene:ORGLA12G0120500 transcript:ORGLA12G0120500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT SHOCK PROTEIN 89.1 [Source:Projected from Arabidopsis thaliana (AT3G07770) TAIR;Acc:AT3G07770] MLGASRRSVCTAAAAAAAGSRRRAAAGVASAVSGDSSVSSSSAPPRSVINGEPGVPQLQKRLLSVLAAPKLTGTDNAASLKLREGSLVGRRYESSAAAVDSSDTPPVEKHEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTDPDLIKDGAGLDIRIQTDKENGIITITDTGIGMTRQELVDSLGTIASSGTAKFLKALKESQEAGVDSNLIGQFGVGFYSAFLVSDKVAVSTKSPKSDKQYVWEGEAESSSYTIREETDPEKLLPRGTRLTLYLKREDKGFAHPEKIQKLVKNYSQFVSFPIYTWQEKGYTKEVEVDEPVEAKEGDDETKTEVKKKTKTVVEKYWDWELTNETQPIWLRNPREVSTEEYNEFYKKTFNEYMDPLASSHFTTEGEVEFRSILYVPATKKEDITDRKTKNIRLYVKRVFISDDFDGELFPRYLSFVRGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDDYERFWENYGKFLKLGCMEDKENHKRIAPLLRFFSSQSNEELISLDEYVENMKPEQKDIYYIAADSLSSAKHAPFLEKLNEKEYEVLFLVDPMDEVSVTNLNSYKDKRFVDISKEDLDLGDKNEEKEKEIKQEYSQTCDWIKKRLGEKVARVDISNRLSSSPCVLVAAKFGWSANMERLMRAQSMGDMSSLDFMRSRKVFEINPEHEIIKGLNAACRANPDDPEALKAVDILFETSMISSGFTPDNPAELSGKIYEMMSTAMASKWASHAQPAEMNLQRDSPVSSEPIEAEVIEPELVDDSQKK >ORGLA12G0120400.1 pep chromosome:AGI1.1:12:13868190:13871127:-1 gene:ORGLA12G0120400 transcript:ORGLA12G0120400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGANFHQQQPQQPPPPTSSATAAAGAAAVAQGMLPPRRPTGLQTSLSLASSEQVGSPEMQEPGSNSDQGHDSATESASSRETWPAEPNHGSAAAAVAASTAASGGGGVVGGIGVGVRIVDKEKEVGNGIPKLQVIRGSSRLDRMLLREVARERVDLVAEKMKVMPEEHLEDMKNELRSILEGTGGSHHIEEFLYLQKFVQSRGDLTQTMLSMAHHVQLEILVAIKTGIQAFLHPSVTIPQNRLVEVFLYKRCRNIACQSALPAEECRCNVCSNRNGFCNLCMCVICNKFDFEVNTCRWIGCDFCSHWTHTDCAIRDGQIGMGQSIKSGTGHAEMLFRCQACQKTSELLGWVKDVFQQCAPGWDRDALLRELEFVCKIFRLSEDSKGRKLFRKCADLIERLRNSPADAINPRMILQVLQELELDSPKSTENEEIGRLITPQEACNRIAEVVHEAVKKMELVAEEKMRLYKKARIAVEACDRELDEKARELQELKAERLRKKQQVDELESIVRLKQAEAEMFQLKANEARQEADRLQSIALAKSERAEQDYASLYLKRRLEEAEAEKQFLFEKIKYQETQRPMQASGSGAGDSSQTPMLSKIHDLLKNVRSIPPKTEGHQ >ORGLA12G0120300.1 pep chromosome:AGI1.1:12:13864351:13864947:-1 gene:ORGLA12G0120300 transcript:ORGLA12G0120300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1R6P7] MAAAMGLERKAKVAEVALRCAVCALAALAAALVGTGSQTRTFFSLEKKARFTDMKALVLLVAAHGAAAVYSLLQLARCAASAAWKGGSNGGAAVVAWSVFSCDQAVAYALMAATAAALQSSVVGKRGQPELQWMPVCGLYGAFCRRVGEGLAAAVAAGLAAVLLAAVSAFNLFRLYGGGGGGRKSSAGAVSGNGANTW >ORGLA12G0120200.1 pep chromosome:AGI1.1:12:13857469:13860211:-1 gene:ORGLA12G0120200 transcript:ORGLA12G0120200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLADRKSAKFQKNITKRGSVPETTVKKGNDYPVGPMVLGFFIFVVIGSSLFQIIRTATSGGMA >ORGLA12G0120100.1 pep chromosome:AGI1.1:12:13850557:13852434:-1 gene:ORGLA12G0120100 transcript:ORGLA12G0120100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDVEAPLLAASSKPATAAAASLPRRNKYPFFCAVLASMTSVLMGYNVAVTSGAQIFMAEDLGVSDAQIEVLSGAINIYSLVGALLAGWTSDRLGRRLTIVLTNGFFLAGPLVMSLAGGYAALMAGRFVAGIGVGYALVIAPVYAAEISPASSRGLLSSLPEIFINGGVMLSYVSNFAFSGLPVHLSWRLMFAAGVVPTVFLAAGVLTMPESPRWLAMKGRRGEARVVLDRTSDTPAEAEQRLQEIEDVVAAAGSVAGNGNGGGGAWKEVATKPGVRRVLAIVLTLQFFQQASGIDSVVLYGPRVLAAAGVASNTLLLGLNVVFGVAKASSILVAMALTDRVGRRPLLLASTGGMTASLLALGSVFAAFGGARDDAALAAGAAVAVVVAFVCAFSVGIGPLAWVYSSEILPLRLRGQGAGVGTAMNRVVSGVVTMTFISLYGAITMAGAFYLYAAIAAASFVFIYACLPETRGRSLEDMEELFHTK >ORGLA12G0120000.1 pep chromosome:AGI1.1:12:13835374:13837451:-1 gene:ORGLA12G0120000 transcript:ORGLA12G0120000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFVTGCHAVAYSGKQQDLFMLCSAGHLWQAHDPCQVRMYNQQIIIELALKXCPVSASVSASFLNGNNSTIAMEVPEIAVEVPPEIIIAVEMPEAINTTIVRLPMYMQEANKGLFEPRVVSIGPYHYGQGSTLDMETHKDRFHHAFFQRLGNHVNHQDLIAQCTEGAMQCYNGNVDFRLYTLEKLMHDGCFILELLIQWEEGEHAHVDDHMRLMSNSIYYDLLIVDNQVPFFVLARLFEEFRRYNGEHPIVLVNTPLVNLISNFFNYDGQFSWVYNSNPPNEDLPNANHRHLLEIQYNLVIPRNNNCNNNDKQTHYYSCLCSLCLCSSNFCRKSPMPLGIPGANELQDYGVKFHQKENQRITDIFDVTFSHKTMSIPQFKINFGSKILLANLFAYDQIAGQQGRNNGVVVGPVTSYVALMNALINTKKDVMVLQREGILDNLLSNEEEVASFFNRLGRCALVDVSNHRYTGMFEDVNRYWRYGCCCKHFVTFRMKHCRNPWTCLSLMGAILLLFISLISMIYTILQYYNRRQ >ORGLA12G0119900.1 pep chromosome:AGI1.1:12:13818774:13819064:-1 gene:ORGLA12G0119900 transcript:ORGLA12G0119900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLTDAEMATTMLFPATAGASVGVSSLHGAILALALAGLGAAADESAGDEARDIGRAGGEAIVIGGGGGLGEQALAHVPSARIPPPPPPPTSTS >ORGLA12G0119800.1 pep chromosome:AGI1.1:12:13816696:13816881:1 gene:ORGLA12G0119800 transcript:ORGLA12G0119800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLCAYGKRSQIWKESKFGTIGYVKFLSCTRGFPKVFRTLSMSLVRGFRLPTSGINRGGA >ORGLA12G0119700.1 pep chromosome:AGI1.1:12:13782448:13783782:-1 gene:ORGLA12G0119700 transcript:ORGLA12G0119700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSPIDSRPRIILRQRSGKGAGNGRKWRSHTALELSASSSRAVKAGRRTRCAHHASAPVRPQSRLAASVLVLSASRVTQCWREESHQGVQASGVEEPPLFEAKCVHGRMETRAKKRTRERELLRIALEGAIIESPQAVPIFVRGADLESGEGSSDSVTSDAGSAKAAADDVVHIAHALCKVCAKSPKAVNEFVXRVSPATIGRSIDWDLVRDNDSSKATGLGRDPLTSAIVANDSWXESKNAPRGLRPRTPGRPQAHSPMGQLQPSLRY >ORGLA12G0119600.1 pep chromosome:AGI1.1:12:13766204:13768580:-1 gene:ORGLA12G0119600 transcript:ORGLA12G0119600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLITSLLFSLPQQWQPVVLTGLLPVIVSLLLLARKGRLKMPPGPEQVPLLRNLHQLAGPQPHRALRDLARVHGPVMRLRLGKASAVVLTSAEAAWEALRGHDLDCCTRPVSAGTRRVTYGMKNVAFAPYGAYWREVRKLLMVELLSARRVKAAWYARHEQVEKLLSTLRRAEGKPLALDEHILSLSDGIIGTVAFGNIYGSDKFSQNKNFQHVLDDVMEMLSGEGSSAEDLQLPAAVGRLVDRLTGFAARRERIFRQLDSFFEMVIEQHLDPNRAPPENGGDLVDVLIGHWKKNEPRGTFSFTKDNVKAIIFSTFVAGIDTNAATILWAMSELARKPRVLKKVQAEIRAAVRVNGRVQPDDITKLSYLRKVVKETLRLHPPTPLLLPRETMRHIQISGYDVPAKTRIYVNAWAIGRDPASWPDEPEEFNPERFEANEIDFKGDHPELMPFGTGRRICPGMAMAMANVEFTLANLLFAFQWSLPEGTTPDNVCMEEEGRLVCHRKTPLVLVPTVYRHGLE >ORGLA12G0119500.1 pep chromosome:AGI1.1:12:13747247:13750090:-1 gene:ORGLA12G0119500 transcript:ORGLA12G0119500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGGKIIYNGPIGERSSKVIEYFEKISGVLKVKSNCNPAAWMMDLTSTSMEVQHNMDFAILYDESSQHRDIVELVEKLSIPIPNSEILSFSHRFPRNGWIQLKACLWKQNLTYWRSPEYNLRRIMLTVISALVYGVLFWKRAKILNDEQDLFNVFGAMYLGSTTIGSYNHQSIIPFSTTERIVMYREKFAGMYSSWSYSFAQAAIEIPYVFIQVVLYTLIIYPSIGYYWTLHKFIWFFYTTFCSSLSYIYVGLLLVSLTPNVQVATILASFFNTMQTLFSGFILPAPQIPKWWVWLYYLTPTSWTLDALLTSQYGNIEKEVRAFGETKSVSIFLNDYFGFHKDKLSLVAAVLIAFPFVLIILFSFSIEKFNFQKR >ORGLA12G0119400.1 pep chromosome:AGI1.1:12:13744756:13745292:-1 gene:ORGLA12G0119400 transcript:ORGLA12G0119400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPFLLLPPLPSLFLSPSPLRPSAGSERAAAAGASWSGGGRGLELKRRAGVHVTPLAPPPPAPPSGRHRDVGGGRATAVAARGRRLWAAAGGRAGGWAGKGDGGSRQWEDEGNGGGREKQATAVTSKPRDRTAWPHGEAEPLEERNEEERAAEEVASRRHPPPSTLRGRPPRGEAP >ORGLA12G0119300.1 pep chromosome:AGI1.1:12:13723304:13723965:-1 gene:ORGLA12G0119300 transcript:ORGLA12G0119300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVESLKSVXVPDSVDILDIVNALDSIKGLESEMALDSVEVPDSVEVVLESVEVAPDSVEVVQCPRCGTFHAGGVFGEACFQARRRARRCARSGLLHEDYDLIARFLHNMEKFDCELYIPDVEKLQMDGETILLPEHVIKKLDEIYSMKELEDAKMKQEQETSNAFSKQGCFR >ORGLA12G0119200.1 pep chromosome:AGI1.1:12:13721576:13722149:1 gene:ORGLA12G0119200 transcript:ORGLA12G0119200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAVAGFDLNVRLEEDEDGNVPFHLNEPILEDHNVNGFDLNMPLDEFGVVDLDFLQNHAEHAVQNQAPLEGQHRRKDMTEEVTKQVYQALLKDNKNGKLGKKDKKGLLINLECTFDQFSAYGSEVK >ORGLA12G0119100.1 pep chromosome:AGI1.1:12:13718117:13720829:-1 gene:ORGLA12G0119100 transcript:ORGLA12G0119100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDDDVESPLLAAAADADHHDVDNSHPAAGSSFALACAVAASLTSIIYGYNRGVMSGAQKFVQLDLGVSDAEIEVLIGATSIYSLVGSLAAGWACDRAGRRRTIALSAAMFLAGSAATAAASGYAALMAGQLVAGVACGFGLVVAPVYIAEIAPPSSRGFLASIPEIAGNSGILLSYIADFALAGLPMSLNWRLMIGIGAVPPLFLAAAALLAMPETPRWLVLHGHHDDARQVLVRTTGGDAALAERRLQEIVSSVKESATKQQLSSAAAAAGGGASTGVWRDILVRPTPAVRRVLFAILGLQFFQQASGVAAMVLYAPRVFNHVGVTSERAVLGATVLLGATKTASIVVPLFLADRLGRRPMLLSSAGGMAVSLLVLGFSLRVSSSSGSGSEWWAAATSVAAAAAFMATFSLGFGPVIWMYGSEILPLRLRAQGTGIGTAANRVMSAAVGMSFISLYEAAGMAGTFYLFAACSAAAWVFVYACLPETKGRSLEEMEALFDAAHPSSPPPAS >ORGLA12G0119000.1 pep chromosome:AGI1.1:12:13709018:13715866:1 gene:ORGLA12G0119000 transcript:ORGLA12G0119000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSQQDGGGERLFHGGAGDGDGDGAAAAAAARQVWVPGPVIVGAGPSGLATAACLKARGVPSLVLDKDATVAASWRERTYERLRLHLPRGFCELPLAPPFPPGTPPYPTRDQFVAYLDAYARAFAVEPRLGSRVRAASYDAAIGFWRVAAVDEAGGAGETEFLSRWLVVATGENAVAAWPAEGVGAYRGAVMHTSSYKRGDEFAGKKVLVVGCGNSGMEVSLDLCNNGAATSMVVRDKIHVLPREILGISTFGLSVFLLKWFPIKWVDALLLIFSRLILGNIEKYGLRRPKIGPLQIKCSTGKTPVLDIGALKKIKNGEIKVVPAIHCFTEDGVEFVNGCRGDFDAVIFATGYKSNVPSWLKEEEFFSESDGFPRKAFPHSWRGKNGLYATGFTKRGLQGTSYDAAMIAADIARRWTKSLAGPTAAADADHHETYIAN >ORGLA12G0118900.1 pep chromosome:AGI1.1:12:13700060:13702510:-1 gene:ORGLA12G0118900 transcript:ORGLA12G0118900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAAIAFAKSSAIFVGKKVAETVISYVVNKALDRLPLENEDLKTKLKSKLSKTQAMLYGITLQEIQDNQGLVEWLWQFRDAIQEAEDALDELDFFDLEKVCNRKAESSSSLVPKFMRLQLSVSSNNSNSSRKNLKNALMRLESVFDDAANFRVVTGHGLHTSPQRNEGRIQDTTNRNETTRVLATPVFGRQKEKDEIIEWLGVEAPGRDSKLSVCAIVGGGGMGKTSLAQLVCQDKKVQDHFGDMIIWVHVPKRFEPLVLVARMLESINRNRATASSLDILQLDLTKELVTKRFLLVLDDAWEDGEIELWGQFLSPLRNIIAPMGGRILLTTRMGSVADAVKRQMPSNEYKCVVLGGLDHRDIMQILNHHVPPNEDLELRSVAEWIVHNLEGCPFVAKVIGQYLRDNTDHSNWNDFLNKKVCHLDDIAPRVMEMLRLSYEDLTSEVQLCFQYCSIFPSHYKFRMEELTEMWVSSGLILQSTKGNSGQEKIAREHFNILLKKSFFSLIPRELHPDPSTDYYVMHDLIYELSCLVSSEEFSTFKVTKCNTADVSERVRHLYIEGINSEAINVISKSKYLRTLIIANEEWPLKAGLADNLKKAMKGITSLRLLKFDGHGWFDINDAIAELKHLRYICMSATNKSNLNKLFKLFHLEVLKLLKIEGEEQASVSDLCNLANLQKLYLPKTALSRVPHIGRLTNLRELNGLSVKREDGHKISELKDLKNLRKVFVFDVENVSNCSEASSAELSNKNDMELLSLEWSNQHNRINEQILDTLVPYKRIRHLRISGYKGFLPPLWIQRKVLTKLVQLEDCWMS >ORGLA12G0118800.1 pep chromosome:AGI1.1:12:13682536:13684017:-1 gene:ORGLA12G0118800 transcript:ORGLA12G0118800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAVFVGKAVVQPAISYLVKKIVDRIPDGDLCKRLQQKLPFVEAILYAVDQQQTLSNPSLSEVVWQLRDAIQEAEDALDSLEFLDLKSSANNRSKVSLSSLLSSISRKFHVADSSRATENLKDALKNLDAVLDNAGRSLPAIYPSSCSHGKAHIQDSASIQEVTKGLRTTIFGRLKEKDAIIDWLGVLTPNIRDQKLSLCAIVGAGGMGKTTLAQFVCQDKKVQDHFANKIIWADVSKIFDPKVLVRKISGSFNRYKASADGLDTIMTDKFLLVLDDARDDAQSERWQQFLDLIRKNAPMGGKILLTTQIRPVANAVEGQMSPDTYKCLELGGLDQENTLKLFNHHAFGDLSPSDCFELQLIGEQIARKLKGCPLLARTIGRHLQGNTDHARWNTILNHDIHKVDDVATEIKKVLRLSYESLSNEVQVCFRYCSIFPPHYKFKMEEMVEMWVSSGLILQREDGINNREDIAIQYFNTLWQKSFFSLVPREL >ORGLA12G0118700.1 pep chromosome:AGI1.1:12:13670188:13674566:-1 gene:ORGLA12G0118700 transcript:ORGLA12G0118700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALDWSFCEPLLTVAISLMDRALFYFGGVKEKEKGSKKRFGGVDKEKILERLGHVRAICDEFRHLVLTNLKIPFSYNLKEIWSFRIDIEGFEHWIEEIEYRGLVGEGRHPTFNILKAKITNKFAKSPDSYAIREGLDHLMRLADIAAQIRRYLRFEISVSSSRTTTPVAVGRGREKEDIEVVSRGREKEEIQVFGREREKEQIVQWLIKQPAENSESEIFSTDHIRLFAILGVAGMGKTALAKVACQEPIVSTIFDFVVWVQVPYDFTTETIAKVIMETVTSVSLEYYSLKFLQHALTGKRLLLVLDDTWEDESVKKWEALVATLSNCKRGSSILLTTRMQSVVDMAAEAVGSPAECLELDELGKSDNLLLFMSRLPSQVHSESYYHLRLIGEQIAENTGGCPLITEKVASWLGSCMEYHHWNAVLQKGWQKLGLNAIFASSRLSYERLPSELQICFRYFSIFPKGYKFNKVELANMWISSGLIPFGLSKQDDTGLQHKKAAYLFSAEDVGEQYFAALVRKSFFCRLLETDPSNGNMKEYYVLHNLMHDCAQFVARNECARVDDNNFQDVRRTTVHLSIAHCGSLRAIPPITNLRTLIIQSEFCLDQEAELMLGEVLRKSARLRLLYLDVPSLSNALDEIPSLTQLRYLFLFSCDKSHIRSILKLCHLQVFKLKYFTGKQADLDGIRNMRFLRCLHVPDSMLSKILKIGMPTTLQELQEFEVAKNDGHMLSALSTLTNLKRLSLRNLQNVRNCKDAMEIKLKDKPDMMFLSLSWNKHSNDPEDIDHQIIDNLEPNKGIQQLHVYGYNGVQLPVWIKNSFLIHLVSLELQYCMEWRTLPSFKELSSLKYLKLEHLFQLGSVIEEQSGSIESDNAFLPPLLNTLIVRWCPNLKKLPALPCTLEKLIIRHVGLAVLPRLHQAYASTCESLSVDSRLSLLHIESCAHLTSLDGLLDQQQHLQHLKTLLVRHCAKLCHLPANGFTELHHLNFLEIVACPMLRNVKTDSNLFPTSLNNLDINPCCHIEASVLMSLPNLTYLRRLSLVSCSSVEKLPSDEVFRTLKNLNDMLIARCESLLSLGGLGAAASLRTLSILYCDKIHSSSSPQAGCSFMLWKLKVDREAMLLVEPIKSLRYTLELHIGDDYAMDSLPEEWLLQNASSLRLIEIGVAKNLQTLPTQMEKLVSLQSLHIEKAPRIQFLPNLPFSLNKLTIWGCDPRFLKLYERNVGSDWGKIENIDHVDMKAYSEETSDDDDDKIQHFDDSISYPSSQFIVID >ORGLA12G0118600.1 pep chromosome:AGI1.1:12:13666014:13668746:1 gene:ORGLA12G0118600 transcript:ORGLA12G0118600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAIAAASAAVVALAVANRVLYKLALVPLKQYPFFLAQLTTFGYVAVYFSILYARYRAGVVTRDMLALPKRRFAAIGLLEALGLAAGMSAGAMLPGPAIPILSQSFLVWQLIFSALLLGRTYSMRQIIGCFLVASGVILAVASGANEGQFLSEVKFIWLALMVASSAFQAGASILKESVFIDGAKRLKGRRPDIFVVNSLGSGFQALFVFLLLPLLSNLKGIKFAELPAYLNGGAECFLNVDDSLIDCGGAPFLPLLFILVNMAFNIALLNLVKMSSALVASLTATSAVPISIYILSLPLPYIPHGAELSSSFILGGVVLLMGLIIYNLPQSSKKQSKIE >ORGLA12G0118500.1 pep chromosome:AGI1.1:12:13660984:13663969:1 gene:ORGLA12G0118500 transcript:ORGLA12G0118500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMSLEEEETMASPTTSSCGTCKCGANDDKAKKTKTKTKKCELVGYEELPEWLKDNEFIHGYYRCEWPIRETILSIFSIHNETLNVWTHLIGFLLFLCLAIFTAMVIPSGDNLQRNSSRSRSNATAMDYYYIHGDLMVMSNMTRVLRHEALAAAACLLLHDPADLSQHEQISTSCPTNTSSYYTSSSSLSHLHNVQQQRQHAIQDAGKVTAATAIAEPITRWPVFAYLGGAMACLLASTACHLLLCHSERANYVTLRLDYAGIAALIVASFLPIVHYSFLCDPWLRRAYTAAIACAGAATVTASLVPAFQSPGLRPLRAALFSGLAASGVVPVAHKLALYGGAVREAATSARCEAAMGALYALGVAVYAARVPERWFPGRFDLVGHSHQLFHLLVVAGAYAHYLGALEYLKWRDAVKC >ORGLA12G0118400.1 pep chromosome:AGI1.1:12:13654386:13657031:-1 gene:ORGLA12G0118400 transcript:ORGLA12G0118400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT3G12350) TAIR;Acc:AT3G12350] MEALPPVAVAGTVLEDLPEDALLAILALLAPTDAAAAACACRRLAAAASSPSLPLALALRLGLPPPRPLLPASAARLLRSLHRLRRLLGLWRRLPSSSFSGSGYRSTSSSSSLAAFEWARGTLAASLLVPSARGLAVAKSPFVTLSIDETGETVAAMGDVPVSVNFVGNNHIVVEAAAASSGDDDDEAAMEGGSPPEVMYMHFANRRSPGAGRKRRSKQGRRRGRAMEAEHFVRIADAEPTEARPLQGLWKGISESRTLEFYLVTYDDIGGITCRQVSDTRGQNSGFTPIFWTTNTTFLEQPFSEKELDHYIRREHIQGVDSDHAATENRAISRILCINSSYDVVDHHLSAPLDDMRNVEGRIWLYDDGTFGFGFSGSNSIIDLKHVSSDGCILDALH >ORGLA12G0118300.1 pep chromosome:AGI1.1:12:13649538:13651152:1 gene:ORGLA12G0118300 transcript:ORGLA12G0118300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT1G10200) TAIR;Acc:AT1G10200] MATSFQGTTTKCMACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPEKTVENENAIKVSSAFAGTREKCVGCNKTVYPIERVTVNNTMYHKSCFKCCHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNFSQLENDHEKASQSGSVEDEDSEY >ORGLA12G0118200.1 pep chromosome:AGI1.1:12:13644951:13645559:-1 gene:ORGLA12G0118200 transcript:ORGLA12G0118200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRRKSSMGGGGGGGRGLRRVDSLPRSPMALSLAKTESIKKRDKNNNKGSKRARLRAGLSAALHLGGGHRRAGRRGGGGDEGAGAVSSAAAPGVAVLLRAAADDADERPAAAAANVGHGGGDHASGGRGRSWAVAVAMVLVLACVVALGRGPAICCCTCAAWWCGGRAVDPACRRRWSSIGGGGGGRHSSVPPQAGCLKH >ORGLA12G0118100.1 pep chromosome:AGI1.1:12:13604817:13606227:1 gene:ORGLA12G0118100 transcript:ORGLA12G0118100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSIEKHGCLSRGMEREYGPNWQVEKPDLDANVIYNSTGRMPHGRLAIANEAISNKDKDAIKSRKRAVTPPPSRVSAREIYQQKKIKCLERENASYRGLECVVWALAAKGGLDYDTLVRQYAPELASSTKDVGSAPDHHEAEYQHDQSDVDQEGGNDIGEDEGNDFDLEEGNEILEYEGNDFDLGEGNEIPEGEGNGDDTDRDYGMSESDHEDIDLWII >ORGLA12G0118000.1 pep chromosome:AGI1.1:12:13583890:13584078:1 gene:ORGLA12G0118000 transcript:ORGLA12G0118000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEVEAGTGGGGDEEAVEEVGKRVESTAAMGRRWAEEEPTTEAGVWVESEVGRRGGFGWS >ORGLA12G0117900.1 pep chromosome:AGI1.1:12:13577373:13578782:-1 gene:ORGLA12G0117900 transcript:ORGLA12G0117900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMAMSTLLLLAAASLLSLQLLHPPPVASAAAVRARPKVGGYSAKSRPWVSKLVAGFLKKQLRNRGNKQQQQLGGEAASGAAPPLVINITVGTPVAQTVSGLVDITSYFVWAQCAPLTYGGSAANTSGYLATDTFTFGATAVPGVVFGCSDASYGDFAGASGVIGIGRGNLSLISQLQFGKFSYQLLAPEATDDGSADSVIRFGDDAVPKTKRGRSTPLLSSTLFPDFYYVNLTGVRVDGNRLDAIPAGTFDLRANGTGGVILSSTTPVTYLEEAAYGVVRAAVASRIDLPAVNGSAALELDLCYNASSMAKVKVPKLTLVFDGGAEMDLSAANYFYIDNDTGLECLTMLPSQGGSVLGTLLQTGTNMIYDVDAGRLTFETAAAAAAAMSQMTMMLVPLVASLLLF >ORGLA12G0117800.1 pep chromosome:AGI1.1:12:13491299:13492529:1 gene:ORGLA12G0117800 transcript:ORGLA12G0117800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1R6M2] VHAVSARYVVKCGDDTFVRLDSIITEVNKVQSARSLHIGNINFHHRPLRHGKWAVTYEEWPEVYPQYANGPGYVISSDIAGAIVSEFRDQKLSVIKGHEG >ORGLA12G0117700.1 pep chromosome:AGI1.1:12:13480710:13483170:1 gene:ORGLA12G0117700 transcript:ORGLA12G0117700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:I1NSJ3] MREILHIQGGQCGNQIGAKFWEVVCDEHGIDPTGRYTGNSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEQQEADDM >ORGLA12G0117600.1 pep chromosome:AGI1.1:12:13475760:13478069:-1 gene:ORGLA12G0117600 transcript:ORGLA12G0117600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLVTEEVMVGRLVRIRRTVMQMLRDRGYLVVEHELAMGRRDFLRKYGESFHREDLLINKYKKNDPSDQIYVFFPNDDKVGMKHIKKYVEMMKAENVSRAVLVLQQNLTPFARSFLQELEPKIHLEIFQEAELLINIKEHVLVPEHQVLNNEEKKTLLERYTLKETQLPRIQITDPIARYYGLRRGQVVKIIRPSETAGRYVTYRYVV >ORGLA12G0117500.1 pep chromosome:AGI1.1:12:13466044:13471330:-1 gene:ORGLA12G0117500 transcript:ORGLA12G0117500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVIHDSGEGMQKEALAMVSSDVNFPKGHFPDYKIGPNNQIIDPEEIHEAVPLKEIVAKETAQLLEQRRRLSVRDLKEKFEKGLSGASKLSEEAKRREAASLDRQVLLKKLRDVLDTLKGRVAGRNRDDADEAISLVEALAVQLTQREGELIYEKAEVKKLASFLKQATEDARKVAEEERALALAEIEKARTAIAIVEKGLQEHDAASSSREKEEIEGLRKEVREARRIKMLHQPSKVMDMEFELKALRTLIAEKNQLCNQLMKELAMIKRLEEDSSDLYDLEGSDILGSQFCIIPRFDDAPDISSCPTQWYRVISGGNRNLILGATKPTYAPEPFDVGRLLQAEIVLSAEKVTIQTMGPINPAAGLERYVESLMKRADVEFNVVVTQMNGNDYSSNSVHAFHIGKMRIKLRKGWSTKARESYSTTMKLCGSRGGGNAAATAVFWQARKGLSYTLAFETDRDRNAAIMLARKFASNCNITLTGPGDEVHAGS >ORGLA12G0117400.1 pep chromosome:AGI1.1:12:13462007:13464393:-1 gene:ORGLA12G0117400 transcript:ORGLA12G0117400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSQNVAAAPQPPQNRGNVAALGKQKAVVAGRPDAKNRRALGEIGNVMNVRLPERTANFGAQLLKNAQANAAANKQSAVAPAAVARPAQRQARKAPVKPAPPPPEHVIEISSDSDQSMRQQSEGSASSVRKCSRKKVINTLTSVLTARSKVACGITDKPREVIKDIDKLDCDNELAVVDYIEDIYKFYKVAENECRPCDYIDTQVEINSKMRAILADWIIEVHHKFELMPETLYLSMYVIDRYLSMQQVQRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYTREQILAMEKGILNKLQWNLTVPTAYVFIMRYLKAGASADNKSDKEMEHMAFFFAELALMQYGLVASLPSKVAASAVYAARLTLKKSPLWTDTLKHHTGFTESQLLDSAKLLVTSHSTAPESKLRVVYKKYSSEQLGGVALRSPAVELCK >ORGLA12G0117300.1 pep chromosome:AGI1.1:12:13458503:13461373:1 gene:ORGLA12G0117300 transcript:ORGLA12G0117300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1R6L7] MAASTSAAAAGDEPCRCHVVAVPFPGRGHVNAMMNLSRLLAARGAATVTFVVTEEWLGLLSSSSAPPGVRLRAIPNVIPSENGRAADHAGFLDAVGARMEAPFERLLDRLRLEEKEETAVPVAAFVADFYVPWVVDVGNRRGVPVCSLFPMAAVFFSAYYHFDSLPSWLAKPPHQPVAGATTDNPDQRLEHYISSLASSSIMLSDLKPLIHSERTVEYILACISSIRKAQCLLFTTIYELEASVIDSLESLVTCPVYPIGPCIPYMTLENEHTKSNGEAPGRIDYFAWLDCQPENSVLYVSLGSFVSVSSSQLDEIALGLATSEVRFLWILREQSTRVRELVGNTNKGMILPWCDQLKVLCHPSVGGFLTHCGMNSTLEAVFAGVPMLTLPLFFDQPIDGRLIVEEWKIGVNLRDSTDKDRLIRREEIARAVKRLMASEEAEMKAIRRHALEWKEISHRAVDKGGSSHCNLASLMEMICPSR >ORGLA12G0117200.1 pep chromosome:AGI1.1:12:13454593:13457331:1 gene:ORGLA12G0117200 transcript:ORGLA12G0117200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NSI8] MASTAVSRHVVAVPYPGRGHINPMLAACRLLAAADGELTVTVVVTEEWHGLLASAGVPATLPPAGRVRLATIPNVIPSEHGRGADPAGFFEAVDAKMGVAVEQLLDRLERRPDAIVADTYLAWGVPAGAARGIPVCSLWTMAATFFWALYNIHLWPPVDDREGEQDLSRKSLEQYVPGCSSVRLSDVKIFRSWERSMKLTTEAFVNVRKAQCVLFTSFYELEPCAMDRITQAVPFPVYPVGPSISDMPLDGGASKIDDEEHRAWLDAQPERSVLYVSFGSVVSMWPSQLEEVAVALRDSAVRFFWVARDSASAGDLRRIAGGNGLVVPWCDQLGVLCHRSVGGFLSHCGWNSLLEAVFAGVPLLALPVVWDQVVDARVVADEWRIGVNLSEQRREEDDGGGVVVGRDAIRAAAARLMDPDDGESREMRRRAALLREACRGAVQDGGSSRRSLNGFVKDLADGRLNFQ >ORGLA12G0117100.1 pep chromosome:AGI1.1:12:13452581:13453652:1 gene:ORGLA12G0117100 transcript:ORGLA12G0117100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVFSPLLRALRPSTSCSGRSSATHDNSAAAVVAAGGAAPRPAPLAAVVSHRRELLLGAALGAAFLKAPLPAEAREVEVGAVLPPAASNPGFVFFRATSKDTPALRAGNVQPYEFILPPTWKQTRVANILSGNYCQPKCAEPWVEVKFEDDKQGKVQVVASPLIRLTNRPNATIEDIGSPERLIASLGPFVTGNTFDSDELVDTSVEKIDGQTYYSYVLETPLALTGSHNLAKATAKGNTVVLFVASASDKQWQSSEKVLKTIVDSFKV >ORGLA12G0117000.1 pep chromosome:AGI1.1:12:13451311:13452337:-1 gene:ORGLA12G0117000 transcript:ORGLA12G0117000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALAAPIASISPSSAGRVTAAAAGLRSARMASSPVAQPIGEAVVLRPNQMFRSVLRQRRRLVVRSSSSDETNSTTEEKTPFGYTRKDVLLIGVGVTLLGYGLKYGLELVGVDPLQAGNAVQLIIVLGMTVGWISTYMFRVANKDMTYAQQLRDYEKQVMEKRLESLTEAELQVLLEQVEEEKQRLTPVRDQGVTFTRKTEDQANAS >ORGLA12G0116900.1 pep chromosome:AGI1.1:12:13448623:13449987:1 gene:ORGLA12G0116900 transcript:ORGLA12G0116900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTRAGRCWGGAPSSPPPPTPPPPSCSSPSSSSPSATSPISLSPPTAALTPTTSHLEQQDQASCDTTSTLDCADPQLFHLMMRRAIDAFPDVHFNRFGRPVPGDPPSSSCDMAWRARSTASANYKDYRRFSVARDPVTCAYSVTSIGEYHSGPLARKPRRGGTNATAPPPPPALSRSQFAAGKYLSYLGGGDRCKPMPHYLRSLLCSIAEARYLNRTLVLDLSVCLAAAYAGGMPEEGKRLAFYIDIEHLQSVVGIVEHKRFWEDWDKWGAQGQLGVRIIEDSRVAPTKFSKSRDPLIVRKFGDVEPGNYWYNVCEGEAEHVLRPPQGAIRTAPSLMDIVDGIISRMQVDFDSVHVGGNDGNLRRRIEESLNGGGRQVYVAGEGINVVLLDALKAKYSSVHYLDAFEELWARDSKWFLEMKRLNGGVPVEFDGYMRELVDREVFLKGKKKVEVLV >ORGLA12G0116800.1 pep chromosome:AGI1.1:12:13446749:13448364:-1 gene:ORGLA12G0116800 transcript:ORGLA12G0116800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALVSPMAALSLHSGRISAAAIGGGLRSRRASPMGAAATPFLRSSFVSSSSTSSASASPAALSAAVSASLTFTSASSFAGSSLGIEFSYNRVTTGRSRILQIRAGKAALCMTKRNRSRKSLARTHGFRRRMRTTAGRKVLKRRRAKGRRVLCTKTNSPTGKKRMF >ORGLA12G0116700.1 pep chromosome:AGI1.1:12:13444634:13446137:1 gene:ORGLA12G0116700 transcript:ORGLA12G0116700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLFPLLCFLCLRHGSTRKQPRADGLKAYPIVGILPHFVRNQHRLLEWSAGVVARCPTHTMSFNFKGFGLIAGAITGNPANVEHIVKTNFQNYSKGEYVVSVMEDFLGHGIFNSDGDQWLWQRKAASYEFNKRSLRNFVVDTVRWRAKRLLDLEPERRMREALATIHGYADRIVRERRERGAAGLARKDDFLSRFAATGEHSDESLRDVVTNFVLAGRDTTSSALTWFFWLVSGQPDVEDRIAREIRAVRASSGSTDAAAFSFDELREMHYLHAAITEAMRLYPPVAMDSHCCQNDDVLPDGTFVGKGWQVTYSAYAMARLEELWGADCEEFRPERWLDEDGVFRPESPFKYPVFHGGPRMCLGKEMAYIQMKSIAACVFERFSFRFVGGEGRPGLVFSVTLRMEGGLPMRVKKRRDSVC >ORGLA12G0116600.1 pep chromosome:AGI1.1:12:13433325:13436793:-1 gene:ORGLA12G0116600 transcript:ORGLA12G0116600.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVFLKIHIEKQQKVEHIGHLVEQQNYANISLRSLGQQTDRIETILMEGYKTERPEKLNNLKVNKNINEISDNEKYDMVNKIFKPSTLTSTTRNYYPRPTYADLQFEEMPQIQNMTYYNGKEIVEWNLDGFTEYQIFTLCHQMIMYANACIANGNKEREAANMIVIGFSGQLKGWWNNYLNETQRQEILCAVKRDDQGRPLPDRDGNGNPTGNISDALATLIYNIIYHFAGNYHDIYEKNREQLINLKCKTMSDFRWYKDTFLSKLYTLPEPNQDFWKEKYISGLPPLFAEKVRNSLRKEGGGSINYHYLDIGKITQKIQLVGAELCNDLKIKDQLKKQRILGKREMGDFCYQFGFQDPYVYRKRKTHSKPMTKPNDKSKMSFQATKRKPKRIYNKNIRTQDTESKETICYKCGLKGHIANRCFKSKVKKEIQALLDSESEDVKEKLEAILNNIDNDSSSDEEKNAEINCCQDSGCSCYEPDNSEEESDENILVLTSLEEFVLDTFETIQDPEEKRRVLEKFLSRVKTDKDKLKKXXXXXXXXXXXXXFKRLDEQKKKNEKPDLISLFEDQKIMKQDLEEIRKRLYMLELKEGFHMEEKDEPIQEDDQVVGTIQKYMKQKWYTEVMYRFIDGSYFQHITLIDSGADVNCIREGIIPHKYFCKAAHRIRGADGGLLIVEYQIPEIYICISEVCIKTSFLLVKNLKQDVILGTPFLSIIRPFLVTNEDIQFEIMGKQVSLRFSSNTDEILDQLVQTKREQVVNTIYLHDNSFPSYLPKSMDLPGKTSWEDRYLLKATKNFEYICREMASQKSEWFTNMSSWRLPQLPRGHGERLNHNFRVTELQQGLLNLLWQAKNKKEKTHALNGLAYYFKNFVPSDQKITEKRAKLQD >ORGLA12G0116500.1 pep chromosome:AGI1.1:12:13431880:13432398:-1 gene:ORGLA12G0116500 transcript:ORGLA12G0116500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AASRLTDTVVASSQGTFRPDREKDELSLAIQTPEHPGRTRGKGVIPWKIGFKEDIHTYRSRMRSKRDTEANIANLEYRVSSYELSMQEEVARKVDERMAAHRSQDPQPYIHPAMVSPSGNCSSCASTGQVGSQSMDAMQTQDKITCPVDEITQRTPCELHIPFKNLSIKVCS >ORGLA12G0116400.1 pep chromosome:AGI1.1:12:13420646:13422199:1 gene:ORGLA12G0116400 transcript:ORGLA12G0116400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANKIGNLLKRATSSSPALYQSIRCMSSSKLFVGGLSYGTDEQSLRDTFANYGQVIEAKIINDRETGRSRGFGFITYASSEEASAAITALDGKDLDGRNIRVNTANERTGGFRSGGGGYGGSGYGGGGGGYGGGGYSGGGGYGGGGYSGGGGGGGGYLGGGGGYGGNNGGYGNRGGGGGGYGVAEGSADAFSGINLGGDGSFGGNPAGSFGDAGGSTGGDFSGAGGDSFGSRKNDELMDDLFKDDEPDNYANKQG >ORGLA12G0116300.1 pep chromosome:AGI1.1:12:13415807:13419180:-1 gene:ORGLA12G0116300 transcript:ORGLA12G0116300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPREKSAAATSSAAAADAAGAGGGGNRWGAAVGNLTELGANVAALQRLLAKKAVFVDEDIFSKASLAADQARTIKILDQRVQSLERELDAAISAAARARTEKRQAEAAQRAAELRAQEVTKELENTAKVFKLHMEELRAKQEEIAKKESDIKVLEAIIRTLSNKDDGGSSE >ORGLA12G0116200.1 pep chromosome:AGI1.1:12:13411817:13412564:-1 gene:ORGLA12G0116200 transcript:ORGLA12G0116200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGGGEAPKSAEKLAPVQKPASSSSAEKLKEIPAGIQSSKANNYMRAEGQNCGNFLTDRPSTKVQAAPGGGSSLDYLFSGNKDGK >ORGLA12G0116100.1 pep chromosome:AGI1.1:12:13408774:13409400:1 gene:ORGLA12G0116100 transcript:ORGLA12G0116100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPELGQLSAQQKKRRRSARVSPPATARRDGHHMHGATDRCVVADRFEVDPWRWVGGEQDLQTASCGGGNGAVGLSFETHHGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA12G0116000.1 pep chromosome:AGI1.1:12:13399062:13404593:-1 gene:ORGLA12G0116000 transcript:ORGLA12G0116000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQVRRIENEVSRQVTFSKRRPGLLKKAHEIAVLCDVDVAAIVFSAKGNLFHYASSHTTMERILEKYDRHELLSEGNNVIEEFPELEGSMSYDHIKLRGKIEALKKSQRNLMGQELDSLTLQDIQQLENQIDTSLNNIRSRKKEKLLMEKNTILEKKITELETLHTSIRASPTKAAAPPACNTADAFVPNLNICCGDSGEPETVTAPLGWTSSNNGLPWWMLQSSSNG >ORGLA12G0115900.1 pep chromosome:AGI1.1:12:13382564:13385916:-1 gene:ORGLA12G0115900 transcript:ORGLA12G0115900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSLPSFCLHRIRSDGRRGTPPSIRASSTGAAGNDGGRNDDVDDKEEEEEKEKKSEVGRKVMVVADGGGDEARTALQWALSHSVRPCDTVVLLDVVRSVGGGGKDWDDPSRGCQHLETMRSICQAKRPEVRVELSLVEGKERGPAIVEAARKQGVSLLVMGQKKRSITWRLLVMWMTGGKGGGRGTAEYCVQNAACMALAVRRKSRRGGGYLITTRRQRDFWLLA >ORGLA12G0115800.1 pep chromosome:AGI1.1:12:13374710:13375192:1 gene:ORGLA12G0115800 transcript:ORGLA12G0115800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQSSGGGHCSNPPSCGFSGRATVAISFATVPAGFCTCNVCLRDLAGVLGYRCPLCNFTVHRQGCRRRHPPAAAAAYTQRQQQHQRASSYDQAPVAASSPRASGSRRKRVKTFVIRLVEKVIGPEKNGGGGGGRKKKGKGKGRGGEDEEEEEEEEGYDD >ORGLA12G0115700.1 pep chromosome:AGI1.1:12:13354760:13359571:1 gene:ORGLA12G0115700 transcript:ORGLA12G0115700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNYIVGAFKPPCDISIAFSDARSRKQGSLRAAPPPILVGSLVCFAVVQIAVKNDNGRTVMVPVFQSLETISGEVSVVPVPGKRIEHLGVKIELLGQIELYLERGKFYDFTSLVRELDIPGEIYEKKTYPFEFSTVEMPYESYNGTNVRLRYILKVTISRNIMGMGSIVEFREFCVRNYSPAPTINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKIKNMELEIRRRESTGAGSSAYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPSYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLETPQAS >ORGLA12G0115600.1 pep chromosome:AGI1.1:12:13327262:13327909:1 gene:ORGLA12G0115600 transcript:ORGLA12G0115600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSQMAIQLTLLLISCSLYSMYSSSSSSSSASSSSSSFSTSSSYLALLVLVISTCLSLLFTNLRQMIRARSHRGKPHPSMEDQAVHQEEESIIVPQDEVADDAPEDLTGSLSESSDSPVSEECTEEGSVSDDGDDDDDESLIEISLVDGHYLGQEKKKCAWKEQDLLTEFLPDLLLDKRDFMDILSEIGEEDNLIEIDIARGSIKCSSFGIKA >ORGLA12G0115500.1 pep chromosome:AGI1.1:12:13311740:13316271:-1 gene:ORGLA12G0115500 transcript:ORGLA12G0115500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIALLLDLASRGPRYASGVHAHSAISASDAATAAAAAALSATGIPLSARHLFGFPGFTIAHCDAGAPTGLNDAPDLINGLNDKIQDSLQYPIKEYPLELKPLFSAFALKNFSLTTLRSFLLYYLPLLEPHPHTDGDDEDDLLQDESENRPPVDLVTPFYNSVKQIIRETSVVTTRRILERIAVCHVSQRTAWKLLKDASKSSKRKSVRGMPFQEYSYCVARTTFRAHALGVAAAWVVQSIVEVYRCFFGKPSNDQAMFDERDKVKLFGKKIYGITVKCGFSLVFASIGAGIGVLVHPVHGQWLGCTLGDFAGPIVAILVFEKFQLPL >ORGLA12G0115400.1 pep chromosome:AGI1.1:12:13305623:13308611:1 gene:ORGLA12G0115400 transcript:ORGLA12G0115400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases, transferring hexosyl groups [Source:Projected from Arabidopsis thaliana (AT1G11880) TAIR;Acc:AT1G11880] MASPLAGVVRLAAASRALLLALSLLSRLLFRPYDTSASLHPPCLLPSPSPNSTTTAANSIASLAVWDGVHFLRAAECGYEYEQSFAFLPLLPASLNLLARSVLAPLVPVLGYRAVLVVSGYVLNNVAFVAAAAYFYRLSVLILKSPSAAYRASVLFCFNPASVFYSSLYSESLYALFSLGGVFYVFTGANTIAMIMLALSGSARSNGALNAGYFCFQALLHAYDAAFQKKRPMLAVQVLVTGFLRSVFVFIPFFAFQVYGYLNICLHGNMEELRPWCKSKVPLLYGFIQSHYWGVGFLRYFQVKQLPNFLLASPVLSLAVYSIVHYTKMLRQLFESNSIHELIVATVEGRSIEAYKSSDVDTVLKSKVSTNVTNKAQGIAVAKRRKSVATETDSNDQIIEVNKDVCPIVVLPFILHLAFMTFTAFFVMHVQVSTRFLSASPPIYWAASHILVSPSSSKRWGNIICAYFIAYILLGSLLFSNFYPFT >ORGLA12G0115300.1 pep chromosome:AGI1.1:12:13301686:13304189:1 gene:ORGLA12G0115300 transcript:ORGLA12G0115300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFNNLTGTLPPCAGNRLPRLKVLSVDRNQLHGAIPVSLCNSSKLEVIQMMKNSFSGVIPDCLGAHLQNLWELTLDDNQLEANSDSDWRFLDSLTNCSNLKVIGLAGNKLRGLLPGSIANLSTSMEFLSIYNNMIHGQIPQGIGNLVNLDSIYMHLNNLAGTIPDSIGKLKKLSNLYLYDNNLSGQIPATIGNLTMLSRLSLNENMLTGSIPSSLGNCPLETLELQNNRLTGPIPKEVLQISTLSTSANFQRNMLTGSLPSEVGDLKNLQTLDVSGNRLTGEIPASLGNCQILQYCIMKGNFLQGEIPSSIGQLRGLLVLDLSGNNLSGCIPDLLSNMKGIERLDISFNNFEGEVPKRGIFLNASAFSVEGITGLCGGIPELKLPPCSNYISTTNKRLHKLVMAISTAFAILGIALLLALFVFFRQTRNSRKGEHALLLISDQHVRVSYTELVTSTNGFASENLVGVGSFGSVYKGTMMSNEEEVVVAVKVLNLQQRGASQSFVAECETLRCARHRNLVKILTLCSSIDSRGLDFKAIVFDFLPNGNLHQWLHPREHGNQTGLSLIQRINIAIDVASALEYLHQYRPAPIVHCDLKPSNILLDNDMVAHVGDFGLARFVDHGQHSLPDISSGWATIRGTIGYAAPEYGLGNKVSIYGDTYSFGVLLLEIFTGKRPTDADFAQDLSLHRLEFGVRRMFQQIAC >ORGLA12G0115200.1 pep chromosome:AGI1.1:12:13301339:13301470:1 gene:ORGLA12G0115200 transcript:ORGLA12G0115200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHSSSDSGAEGRIKSSGPSTTARPRRERRDNPRVKGKDWVQ >ORGLA12G0115100.1 pep chromosome:AGI1.1:12:13291986:13293977:-1 gene:ORGLA12G0115100 transcript:ORGLA12G0115100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G21430) TAIR;Acc:AT5G21430] GDPEAGTDVAGGAATSTRPPYSLISADNVQKAMRGLAITECDHYGRLGITRSASTDEVKAAYEKKCEELNSKGLEEEEINKEHDLLKESFTILWTEEERRLYDWSLARSGKPERYVWPFEVDPMELAPDPPKEPEDEFPTKLVGYFFLAWFILSVALSVTLNR >ORGLA12G0115000.1 pep chromosome:AGI1.1:12:13287381:13289472:-1 gene:ORGLA12G0115000 transcript:ORGLA12G0115000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homologue of bacterial MinE 1 [Source:Projected from Arabidopsis thaliana (AT1G69390) TAIR;Acc:AT1G69390] MAMATSISAGFGGEPGAVLAPSASVLPAPRQRNPAASSKAQFSSFPRGQSCNLMLTPKHLCIEHQSCSKSSIQTFALSRNDFSPIAQEVEGFLHNIVNMGFLDRLKLAWKIIFPAPSIKENSNANIAKQRLKMILFSDRCEVSDEAKKKIVENIVEALSEFVEIESRDNVQVDISTDAGLGTVYSVTVPVRRVKPEYQESEEQYRGKIVGVDFKDTGETSGSVDVTFDFFVPNKNY >ORGLA12G0114900.1 pep chromosome:AGI1.1:12:13282831:13286434:1 gene:ORGLA12G0114900 transcript:ORGLA12G0114900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPKRSQLRVRLRVTARRRGGDGGADGGGSGGGGGGAGGRKRRLDAPAFNSAAKLQRREIGGRQLAARGGGAASAVPERFRNMHLQEEFDTYDHNAHLFVKLQFLKKRSKIIEIVAAKDIIFALAHSGLCAAFSRVTNKRISFLNLSPDEVIRSLFYNKNNDSLITVSVYASDHFSTLKCRTTPIEYIRRNQLDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDTNVQEIKISPGIMLLIYERTPCHVPLKILSIEDGRPLKSFTHLLHRNKKIDFIEQFNEKLLVKQEDENLQILDVRSSELIEVSVTKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHQDCSTNNIYITSDQDLIISYCKSEAGVDDGTVPPIGSINMSDIMTGKCIAKIAANDPTLRIGPRKNGNSERSSIWSTIPEALEDVTALFYDEDRNEIYTGNRHGLVHVWSN >ORGLA12G0114800.1 pep chromosome:AGI1.1:12:13277511:13278498:1 gene:ORGLA12G0114800 transcript:ORGLA12G0114800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATTRLGVRRPTPAPVFDFDRLLLGSSSGGGMVGDDVVADALLGFAYDPPAHGAAALDDVLAPLPGADKTPRERGVVADGRSFRRAGAALPAPPTELVTRFVPTPPPLPPVQQQQQQRWELPDAVFVRGAGAAEAKKGGAAASHDDHDDGRHHHHHNQAVQSAAARERRRRISSKTAELSRLIPGAARMNSTAEMLQAAARHVRLLQAQVGMLALIHSSGEAKAASSMAASREHHQMMMMRALLASGGVQERLAGEGRCLVPTSLVRAIADDDAMATSNPALSRDVNRFKDSLDQQQQQ >ORGLA12G0114700.1 pep chromosome:AGI1.1:12:13272026:13272901:-1 gene:ORGLA12G0114700 transcript:ORGLA12G0114700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTSLLVTSVGMWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWMLGKNSKGRFPIWSSVLFGPFLILARVYATMKRHMRKKEAVYNMIIEGIYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVLTNEYLCVPTWDTRAPTISQIEFAARWACEKRAKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWSKYRVSQKKDK >ORGLA12G0114600.1 pep chromosome:AGI1.1:12:13269146:13269779:1 gene:ORGLA12G0114600 transcript:ORGLA12G0114600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLGDGFYHQGFDERVGLFDIDMLLFGGVPGGGGPQYNGADIYGLHPDNFNRYIVRFKGVTEDINVDMDPNVEEEYEQLVQNNHKWQFVRDYPR >ORGLA12G0114500.1 pep chromosome:AGI1.1:12:13261297:13261887:1 gene:ORGLA12G0114500 transcript:ORGLA12G0114500.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPPLPLIIPSPVGSKPIPCTCVIPKMSLKSRMPPNFDAYARSCCRHRPGVPCGRQVVSAIAVAVQGSTEEGRQDAIRLGDSFPQAVVAPLAAGACETKPHHAAPHSLLDLSIRRLKVEEEGLTLHLHRECGGAPTPNSRGFFGCAGSDGVVYIADGHDETKNALSFTGCLLADSLRRRASRRRLREKRERGVGSQN >ORGLA12G0114400.1 pep chromosome:AGI1.1:12:13257144:13259873:-1 gene:ORGLA12G0114400 transcript:ORGLA12G0114400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDASSAAAAQNPNPNPSTGPPPISAYYQTRAEHHAVVSSDWLAHAAAAASLPPSSDAADADAASDAAALLSPGSNGGGGGVIEEFNFWRRKPEAAEAVAAIMALAAVIRSSRATTMMELEIELKKASDKLKSWDATSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFIADGCTILVHGYSRVVLEVLKLAASNRKLFRVLCTEGRPDRTGLRMSNELAALGIPVKVLIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMTPAHRPIDFGVPIPAGVEVETSARDYTPPQYLTLLLTDLGVLTPSVVSDELIQLYL >ORGLA12G0114300.1 pep chromosome:AGI1.1:12:13253630:13256274:1 gene:ORGLA12G0114300 transcript:ORGLA12G0114300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51 [Source:Projected from Arabidopsis thaliana (AT5G20850) TAIR;Acc:AT5G20850] MSSSGAAAAAAATAEEAAAGGEHGPFPIEQLQASGIAALDVKKLKDAGLCTVESVVYSPRKDLLQIKGISEAKVDKIIEAASKLVPLGFTSASQLHAQRLEIIQVTTGSRELDKILDGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFAIMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGAAMFGPQIKPIGGNIMAHASTTRLFLRKGRAEERICKVVSSPCLAEAEARFQISPEGVTDVKD >ORGLA12G0114200.1 pep chromosome:AGI1.1:12:13245649:13246021:-1 gene:ORGLA12G0114200 transcript:ORGLA12G0114200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPQHFISTSVAARVDITAGNRGYRAYHGLPHGNRAYRRGTVTPAPTVWGTLVAALWSLELDCR >ORGLA12G0114100.1 pep chromosome:AGI1.1:12:13232609:13237028:-1 gene:ORGLA12G0114100 transcript:ORGLA12G0114100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPMPMPAQAPPTAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADTQPQTTISRPQMVPHGASPGLGGQYMSQVPMFPPRTPLTPQQMQEQQLQQQQAQLLSFGGQMVMRPGVVNGIPQLLQGEMHRGADHQNAGAATSEPSESHRSTGTENDGGSDFGDQS >ORGLA12G0114000.1 pep chromosome:AGI1.1:12:13227182:13228877:-1 gene:ORGLA12G0114000 transcript:ORGLA12G0114000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVKKSVLVAHESHGEVNPPEKEGGGSPKRRRTEAEEEEEDDGDEEAAAGDLIGNLPDDILRDIIARLPTREACTTQVLAKRWRHLWRSTPLRLDYRSLPLRRHNKGNALAVAVAGVISAVLSAHPGPCRCLCVPADSSRDTVDTWLRSAAVDNLQELEFLSNRGGAPPPPSPPPPPPVSLFRFSYTLHIATISRCDLQHTTVHELRFPRLKHLGLEDVTITEASLHAMIARCPLLECLLLARSVGFRRVRINSPSLRSLGVLVARRRIAALPRQTQLEEIIVEDAPLLEKLLDLAVHNNLRLSVISAPKLETIGCLTDRWFGPRIMFGTTTVFKGVSVVRLTEAVRTVRILAINMFVLNLAKVIDLMRCFPCLEKLYLKCCLSNGNNYWRCEYQTLIKILDIRLKTVELENYRGTKPQIDFAQFFVLNAKVLESMKFVVKSEDYYDGFVAKQHKMLQLDKRASRCARFKFTTDRCHHHADPECPIDIEHVQDLSFTDPFECRC >ORGLA12G0113900.1 pep chromosome:AGI1.1:12:13140341:13142628:1 gene:ORGLA12G0113900 transcript:ORGLA12G0113900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDTEMERLLDEIPMLHHGDLLGRRDAAGDDGGGGGGFDVSCLIRELAEMGVVEGDDDDGMLSSPPGFFGGGGGGGLSPTSSLCFVGQDGGFTAPSRPFSLERRRVDAPPPPPPTPPSSLFDPFAGFCLFDATAAAGADSDGWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRHAVELMVNPFGNYLMQKLLAVCDDEQRMAIVLTLTKDPFVLVRISLNVHGTRAVQKLIESLRTREEIQLVVEALRPGFLELIKDPNGNHVVQRCLQSFDANDNKPIFEAAAVHCLDIGMQCHGCCVLQRCIARSGGEQREKLVAAIASNGFELAQDAYGNYVVQYVIDLKVPTANASLTKQFQGRYIHLSMQKFSSNVVEKCLKVFKEADKATIILELLAVPHFEQLLQHPFANYVIYSAIQNSKGSLHSALTNAIRPHVELLRTSPYCKRIYSRALLKK >ORGLA12G0113800.1 pep chromosome:AGI1.1:12:13135686:13136615:-1 gene:ORGLA12G0113800 transcript:ORGLA12G0113800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSIMDGLPTDAFVEILLRLPPSARRRSRLVCRRWRDVVDARTPEGQSHRAKALVFFLNRSGHSPEPRCSAHVFDDLSPPSSSGREIWNSGTGTAAELAMVGCCNGVIALWEEGTGRLTLVNPSTGETLAIPPPPRLPPKRRRRRTPLVVSCLSFGYHPITGKYKIVHLPADDAMAAASSSSWCSPLDVVKVFTLGDVGVGDGATWREVAAPPGSSCHVRLGVVSLDGAAYWVAADNAVMSFDLEHERVVAVEAPLPAMPLGTWLGALAVVGGRLGVAVMGCADSYPTTTIVEVQISRPYKHLISVNI >ORGLA12G0113700.1 pep chromosome:AGI1.1:12:13122763:13125265:-1 gene:ORGLA12G0113700 transcript:ORGLA12G0113700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XPPDGVANIDQLDPETPAPAMDDGDDRWGDVVPTDVLXEILRRLPQISGQRRLRLMCRRWRDVVDEVEPEVQRRRANPLVFFKDGRYEPPSAFLLHDLAGDDCDVTSLSLFCKEEDGGGGDRDFFARYNNDDKVGSCNGLICLWFDRDPLYYGSIVVINPVTGESLHVPSPPMVGWWTMSRWGTPPPRRHRRRPPPAMAAAAMATGWWMSSRWGTTPPPATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCGYXRDEVTNKDGANDAPYKGFSLTRRSGDHTLLMESASXQTSIEKWLGRDLIXCTGTCHAPSRLGVFSQGQXKAHQWQSLKPKNXECTAILRLVNLXTYTRRRRTRRIRRTRRRWRNHHHHHHR >ORGLA12G0113600.1 pep chromosome:AGI1.1:12:13101851:13104367:-1 gene:ORGLA12G0113600 transcript:ORGLA12G0113600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDDRWGHVHRDIFGEILKRLPPISGRRRIRLICRRWRDGVDEMEPEMATTMRAKPLAVLKDSRSRTLSAFVVDGLPPAPRRATTRCIFQHVDDGGGGGGHHRHRYDRWPNNVGDQVVGTCNGILLLAHSRYVGSHTLVLLNSATGERLVVQPPPKAKEIMGGSAALSFAYHPLTGEYKVVHLPVSSWKRTLAVAEVLTVGDGDASWRQVPAPAGSTCYLSWGVVSVDGATSPPPPPTTATMGVDNGGCHLTEVGGRLGVAIATSQRGTTNVEVWVLHGRGDKQHWIRWCSMQGLQQNRKIGHPCFAFDKYVLTNVHHRMYSERSNLKYMCLPPPVDDDGIILMRFDEKADVQVTLLNTSFELRLFAHVETSEPLEIYKKKNVKS >ORGLA12G0113500.1 pep chromosome:AGI1.1:12:13086364:13086681:1 gene:ORGLA12G0113500 transcript:ORGLA12G0113500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEQLLTQSYPNPGKTSRGIQEPPRQSGLRRPSCLRMPSSPRSPSRLRSPAGLRRLFGLRSPLDLRRSSGLWRPXTSGCHPASESHQTSKARQASGAHQGLRSWL >ORGLA12G0113400.1 pep chromosome:AGI1.1:12:13057779:13070274:-1 gene:ORGLA12G0113400 transcript:ORGLA12G0113400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATTMLPSSICSMGQIPRISLHSYGMLPKQMSKGHPPMITRAVGGVEKGEVGGNVQSLQVMIITMLYDTAWVAMVPERSSSQAPCYPQCIEWILQNQHDDGSWGINSSSLSVNKDILLSTLACVVALKKWNAGSYHIRRGLNFVGRNFSVAMDVQNIAPVGFNITFSGLITLASGMGLQLPVWQTDIDEIFHLRKIELERDAGGTISARKAFMAYVAEGFGNLQDWDQVMAYQRKNGSLFNSPSTTAAAAIHSFNDRTLNYLDSLTNKFGGPVPAMYPQNIYSQLCTVDALERTGISQKFAREIRDILDTTYRSWLHNEEEVMLDIPTCAMAFRLLRTHGYDITSDEMAHFSEQSSFDDSIHEYLNDTKTLLELFKTSQIRFSCEDLVLENVGTWSAKLLKQQLLSNKLSTSAQSEVEYVLKFPLHSTLDRLEHRRNIEQFKVEGSKVLKSGYCGSHSNEEILALAVDYFHSSQSVYQQELKYFERWDNHAEIGFCSKNVEIVFYAVYNTYKQIGEKAVLKQNRSIMDQLVEDLVSSAKAMMVKADWTATMYIPATMEEYMSNAEVSVAFVSFVCPPLYFLGLKLSEEDVKSHEYTQLLNLTNVIGRLQNDSQTYRKEILAGKVNSVLLRALIDSGNTSPESIEAAKDMVNSDAESSMVEMRSLVFSEGGPIPRPCKDRFWEMCKIVFYFYREDDTYLTPKEMMSSARAVILNPLRLIPPASSPETLSS >ORGLA12G0113300.1 pep chromosome:AGI1.1:12:13044393:13046377:-1 gene:ORGLA12G0113300 transcript:ORGLA12G0113300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFPRASPHSHGMVSRHFRKGPPPKVTRAATGVEKRIEFEENVGSLQVMHNKELEVNIRKKLQGVELSPSLYDTAWVAMVSERGSPQAPCYPQCIESILQNQHDDGSWGINPSSSSVDKDILLSTLACVVALKRWNVGPYHIKRGLNFIGRNFSVAMDVKTIAPVGFIITFSGLISLAAGIGLRLPVIQIYIDEIFHLRKIELERLAN >ORGLA12G0113200.1 pep chromosome:AGI1.1:12:13005897:13007981:-1 gene:ORGLA12G0113200 transcript:ORGLA12G0113200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGIKCSGAILISLIRLSKQYAAYFFKARKSVRALEAATERLRERLSDVDTRLDSATRKGMQPRNEVEGWLKRAEHVCVETEKIQAKYDKRTKCMGSLSPCICVNYMIAKSAAANCQAAEKIYSEGMFEEYGVMVPQASTEVPITDVSLTGTDRYRNLAVKFIRDEAVSKVGLWGPGGVGKTHLLHQINNLFHKNPAFDVVIRVTASKGCSVAKVQDAIVGEQMLVKKDDTESQAVIIYEFLKSKNFLILLDDLWEHVDLDKVGIPNKVSSIGNYKQKLLLTTRSESVCGQMGVKNGQRIKVDCLDETDAWHLFKENVGTEIIENHPLVLKLAKEVANELAGLPLALIVVGRAMSTKRHPREWQNCIDFLQQSRLNEIEGPVCYEESVFARLKLSYEYLSDTNLKDCFTSCALWPDDYLLDRNKLSEYWMGLGLVEEEDIQRCYNAGYARIRELVDKCLLEETDDDRLVKMHDVIRDMALWIVSNEGRDKNKWVVQTLA >ORGLA12G0113100.1 pep chromosome:AGI1.1:12:12957146:12958447:1 gene:ORGLA12G0113100 transcript:ORGLA12G0113100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFYVYGFPNLNKDQVSSADLAVLNEQRHDLTDGNALFAGWMVHNGVSTGCYNLDCDGFVPVNNAPITPGDILEPTNGTLSITIKIFKKKDDGDWWLYFGHDNNNLSPVGFWSSSVLTNLADHANVIAWGGYTESTIGNP >ORGLA12G0113000.1 pep chromosome:AGI1.1:12:12939977:12941332:1 gene:ORGLA12G0113000 transcript:ORGLA12G0113000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGPRPNAFTVTSVIKCCSKVRAVHEGLQVHAFLAKAGLGCSSVHVGAALLDLYGNIGLVGDAKRVFDEMPVKNVVVGNTMVACNVRAGDMAAAQEVFDGTAERDPISWNTLMSGYLRSGDTATVRELFDEMTVRNVNSWNMMIAACSEEGSLADTVKVFNRMRATGFEPDAATMAVLMSACAQLGSLTIAGQVHGLIQKSCVEMNCHVQNSLIDMYAKCGCISQAQFLFTETYPKDAVSYNVMIIAFTQHGHARDALDLFNVMVDSGLQPDVVTFLGVLSACAHAGLVEYGKNYFELMKTTYAVQQSPDHYACVVDLYGRAGLIEEAHCFLKTMPVKPHAGVWGALLNACRKHCHVDVGEIAAKELIRIEPKNPGNYVLLRNTLARGQQWDAVEDVQLSMRGKVIDKTSGCSWVEVDSVVHEFLAGDFCHPKFDEICTILEHLYMELT >ORGLA12G0112900.1 pep chromosome:AGI1.1:12:12938057:12938802:1 gene:ORGLA12G0112900 transcript:ORGLA12G0112900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLSSPYIVMVLLVSCCFIHVSSSEPPHGLRVLQESKATPTSDATTEAIDFEESEFTRSGSMGFELDDYPGSGANNRHSPHSEGNR >ORGLA12G0112800.1 pep chromosome:AGI1.1:12:12927498:12930539:1 gene:ORGLA12G0112800 transcript:ORGLA12G0112800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRFTNMSWGAAARGLQTATGLVTSVNTWAEFFNCVSSAISSACSWINIHQKPENDFVQEEMKHLQSDLWQLQTTLPKMRNLVEILEWQIYKKPAAELLPHIKDAVLDAEDIIDEFNYYELKAKIEGRIEECLTSSGCQEFYTSVIRGSFNRVKEIQEKLDHLHRQSMDLGLHCAAQRFDKIVRPETSSFLNESKIFGRQEEEKMVLELLGVQLQANAGYKRKRSSRVEVLPIVGLGGVGKTTLAQQICKNQMVKAHFDMILWACVSDDFNTKRLTKEVIQSSKKETSFDNLDSLQSILKDIVESKRFLLVLDDIWDDVMADGGQDWQRFCAPLSNAFHGSMILITTRSQKVADKVRTMDCFPLEGLTEDVFWEFFIVQAFGTESLSKYPDLEDIGRSIILKLKGSPLAAKTIGRLLRTNLHASHWNNILQSELWKLEQERTDILPALRLSYMHLPPHLKRCFSFCAVYPKDYRFEKDTLVDIWLAEGFVEHVSSIPAVTVLHQYFEELLSRSFFQKVTCNKYVIHDLMHDMAQLVSQDECFIIRNADDLRTIPPNVRHLSIFTKRYIGCRDLMGLCRYKKLRTLLCSKAFSKGEFASVLGSWFKELQHIRVLSCSLPMIEDIPEGISNLKLVGYICFSSQRTFSILPSSFCCLYNLQTLDASTCVFRSLPCDFGNLISLRKFRAKNFSYLPGEDSRMQFLRGERIKVLKYVNQVQGSLLVNLPGLKSKKNIGLVVLKKENDLYSLHMSQLAENAIYEQEQLEVYESLHPHPDLQHLEVTGYQGENFCPSWFLPDNLPNMISFIFEECHNAKKISLHRLPCTGFRYLINLYIIECTNLSSIKQFLQPCHIPAIKMISIKGCQELSSISAERFGGFRFLEALVIRDCPRISWENGLALPPTLTSLSLVRCGDISKWIPDCLLNLSSLVRLQLVGLSGTMFIPGSIWRNNLPLLDYLEICNFQELRFTGVPEAIAEINNVLIDKCPILKELKQPFSRGDVTFLWGIPTSKWYLS >ORGLA12G0112700.1 pep chromosome:AGI1.1:12:12917711:12918880:1 gene:ORGLA12G0112700 transcript:ORGLA12G0112700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSDDLAASTIDLALEEKLAANALGGGNGGAEEEAAAWEIDLSKLSIRSVVAQGYHGTLFRADYGGHDVAVKVLDWGEDGYSTPEQIAHLRASLADLAAVWHSFEHPNVARFFGASMGTADLNIPANTTSSATAGGGGEQRNTGEKPLPDRACCVVVEFLGGGTLKKYLIEHYRSKLPYGEVVRLALSMARGLSFLHANKIVHRDVKTENMLFLGGGGGGGDLKIADFGVARVEARDPREMTGATGTVGYMAPEVLVGKPYNRKCDVYSFGICLWETYCCEMPFTFGLGVAEASAAVAQRGMRPPIPPCCPPAMARVMARCWDADPAARPEMEEVVRMLEALDTSNGGGMVAPGKMKKKKKTTTKKKNNEEKMPGCFCFFGRS >ORGLA12G0112600.1 pep chromosome:AGI1.1:12:12913298:12913846:-1 gene:ORGLA12G0112600 transcript:ORGLA12G0112600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTTPAPSPPREPRRCRPPSPSRPRRRWSSTAVAAVLRARMRPVQDPTAAPTTTPPVSYNKTLVPAATPTTPATPAPAAAPTTPALATAAPPLPRLRLSSLAPLSTILGIPVTVHAEPPPPELGQPASLACSAAHPTVRSALPEKRERGEREEENSEDEEEEREGRGMKKGVRMTCVPHHF >ORGLA12G0112500.1 pep chromosome:AGI1.1:12:12910745:12911032:1 gene:ORGLA12G0112500 transcript:ORGLA12G0112500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPSLLSSSSFVPNQWKARVPPTREWRALLVAPSSPAGGPVVRQHPLFRWSSSLSFARRHRFLPLVHSLRQAVWSLLRPSLSSTLSVELSGKG >ORGLA12G0112400.1 pep chromosome:AGI1.1:12:12908739:12910306:-1 gene:ORGLA12G0112400 transcript:ORGLA12G0112400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alba DNA/RNA-binding protein [Source:Projected from Arabidopsis thaliana (AT3G04620) TAIR;Acc:AT3G04620] MQAVREEEEQVVEEVVRAEAVAEEEEGPEEKEVAMVGEETAEAEHDEEEAEAGASAKKNRIQVSTNKKPLYFYVNLAKRYMQNYDEVELSALGMAIGTVVTVAEILKNNGLATEKKILTSTIGTKDESKGRLVRKAKIEILLCKSENFNTIMSSKKSDRPKSAEEEIKV >ORGLA12G0112300.1 pep chromosome:AGI1.1:12:12905465:12905683:1 gene:ORGLA12G0112300 transcript:ORGLA12G0112300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRDHKVRQPQQKKHGNKTFGHYAEAYIASRWLTPLVQLTRDVVGVRDFFSRLSILFPGKTIVSSVMAPLG >ORGLA12G0112200.1 pep chromosome:AGI1.1:12:12891523:12905407:1 gene:ORGLA12G0112200 transcript:ORGLA12G0112200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPIEQQQQEDEEMLVPHQELPAAAAAVVADAAQPMEVVAQTEPANAAESQPPEDPQTSRFTWTIENFTRINGKKHYSEPFVVGGFKWRVLIFPKGNNVDHFSMYLDVADSVNLPYGWNRYAQFSLAVVNQIHPKYTIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKETVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCCDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKECGIPVQFQRFWLWAKRQNHTYRPNRPLGPHEESQSVGQLREVSNKAHNAELKLFLEVETGVDLRPIRPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKATGKPSEILTKLNEMAGFAPNEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKSPVSDGETQVRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLELSKLHTYDDVVERVARQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVIHSIRLPKNSTISDVITDLKTKVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQQIQNFGDPFLLVIREGETAAEILERIQKKLRVPDEEFSKWKLAFISMNRPEYLQDVDVVSARFQRRDVYGAWEQYLGLEHTDTTPKRSYTANQNRHTFEKPVKIYN >ORGLA12G0112100.1 pep chromosome:AGI1.1:12:12868423:12872383:-1 gene:ORGLA12G0112100 transcript:ORGLA12G0112100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPARVGDCCPATEMDEVPGNQEDPRLGAYADKITDAMFADHVELGSHYAGDSSSEAGWAPMIARMQSQSQLGSNQLGVSFENGSLPDDLSSLASAFKNMSLGFRDFTVGTPANPVSVAPLGGHYPASHVISSGESTMNSFHQQEFAQDGFRPSSLNPNVAEYMKPKYGVHNVQMCTGLHGSDYVSGDPYNLPSSASPLQKQYFIDGQFRANAPYQQTGLNFMRQDFDADSHYLFQSQYAYQQMPQVAGSDVHWVRSNQHGVHSSSIPAASPYLRTPMVGQQAHSSADTYWNGAAISHGNNQLNSTFVNNCSCIIYPDCSREICEYCQMKQAEKLKHRYMFRRSSKGFLQPQIFDKVNIKCFPGKTMVKSDDINSARNIQSVFEPNGRIEMNQRINQHGHNQHLNIQGNDFLLFDRLNSQALSPVESEYGLAMKIPQMSYSSVDEVVGKIHLLAKDQNGCRFLQRIFTEGTSENVKKVFDGIIEHIGELVVDPFGNYLVQKLLEECNHDQKMHIVYEITKRPGQLIKFSCDMHGTRVVQKVIETINSPDEVSMVVCALSSGAITLMMDANGCHVALRCLQKFSHEHKAFLLNVAMEYYFELAQDRQGCCIIQKCILHANKEQKNQLLYNITSRALELSEHQYGNYVVQYILDLHISWATDEILDKLEGHFGSLSMQKSSSNVVEKCLKEASWPKRVKIIHELINDPKLLHILIDPYGNYVIQTALKECEDAAVRAVLIGAIRPHVAALRNNMFGKRILSKTYLKNRKH >ORGLA12G0112000.1 pep chromosome:AGI1.1:12:12864357:12866365:-1 gene:ORGLA12G0112000 transcript:ORGLA12G0112000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEKTNAGFLTNFEVLDFLRSRGAKTDPMGCLGAVAASECKVYEYLLKTPACNQTRESINEFVTRCESFKLTNADKLNVINWRPSSAADAYAMIEECGKRFSKDERGEACNEDERVEEFLELVKEAFPPPPPKPEAMI >ORGLA12G0111900.1 pep chromosome:AGI1.1:12:12861325:12863367:1 gene:ORGLA12G0111900 transcript:ORGLA12G0111900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSPHHYPSTPTPASAAAAANYPPLRCFIDHPPTASEADALDAQTPREHLTRLAHRVHMLERGVHPTATTTTTTPIIRVAPGSAFPRPTRAYSDADSLEFCDGEYFPDDVDCGASDRVYTVDAIHGRPLAVPEGSCTPGGSSCCGGGGVPWAEDEEMRRLSARLQALEADRETMRQAIVSMGAEKAQVVLLKEIAQQLCKEATPPLPPSAVTVGHHLYKGAAAPPAVTVKMRPPRPPVVMHRRVIDAPPAAKTSYIGAVAKWFTSIVSRYKKTPRTKYPIGQCGNNVGLLLLLDKAPRPGHGHQKMPKKI >ORGLA12G0111800.1 pep chromosome:AGI1.1:12:12852676:12857678:1 gene:ORGLA12G0111800 transcript:ORGLA12G0111800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSPHPLHSSSDPSCVGCPILCGILIAVCLQNIPAVCFSRYSTLVTPSDEVLVPPELLSSRTVGTPERKIGQYEDLVARITNFTMRIRATWCWMVMYLMFQSGRTLFIELYVGNLLNGNSEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEVPSHKTKNVVSYISQMEDTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLWLKVGGGWVAARARDGAAVGGSGKMFCRYQHVRCSRAAGVYTS >ORGLA12G0111700.1 pep chromosome:AGI1.1:12:12850689:12850949:-1 gene:ORGLA12G0111700 transcript:ORGLA12G0111700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSCMSSNCQSQLHVGEIKLHLXLIDSLAQDWTSVCISPMLNKHYLRLLVANRCAPRLLVETKXIIEMERETSSLVCGSLVHGTV >ORGLA12G0111600.1 pep chromosome:AGI1.1:12:12827110:12830953:-1 gene:ORGLA12G0111600 transcript:ORGLA12G0111600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRVGEKDRSAVSNAHGGITTRAAARSKPGTNGRGDVEEASQAGCRTETLMPFDAGEAGRRHDFILDYTGKVHRIPFSTMLLLYLVELLYDTWFYLWENYVLELEV >ORGLA12G0111500.1 pep chromosome:AGI1.1:12:12799415:12799852:1 gene:ORGLA12G0111500 transcript:ORGLA12G0111500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKKFLKIRIFRVLILSVEFSGCYTLPRGCGSDGGRRWTGLEPSVGEVADGEEGDSEEDEGLAAVSGAVLGSEVELGRRWVDAGSGGIGRSSGDPGWRCEITEGSGEGGGGGVEKDVAAVAGGGDVAERADSEENVAAVAGGGATT >ORGLA12G0111400.1 pep chromosome:AGI1.1:12:12796506:12796855:-1 gene:ORGLA12G0111400 transcript:ORGLA12G0111400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQAPPSEQEEDSPLENSGSMKDEMIHLYLNNSTMALAIPREACLLGAPRCHGIGMLVGAFGICKEQVREAILE >ORGLA12G0111300.1 pep chromosome:AGI1.1:12:12780012:12780242:1 gene:ORGLA12G0111300 transcript:ORGLA12G0111300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLKIPSLLWSAVGLAMVTISKRLQSSFWEVQMKRNENGSYSVLECCIKWEVYTGAKGEPAVGARRRLIVAGRQ >ORGLA12G0111200.1 pep chromosome:AGI1.1:12:12776223:12776847:1 gene:ORGLA12G0111200 transcript:ORGLA12G0111200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWSSLGPGALPLLSSSRAACQWRRPAGAGTDAAEVAPVVMENDGAAVLPRLWGPPPSLLLQGGAGEVENDATSIDAGFKAGTNKIKAKMPVGKLAPIASSQPASIGIFVVTEGHDGLFGNSILLRNNNYLGY >ORGLA12G0111100.1 pep chromosome:AGI1.1:12:12770824:12772103:1 gene:ORGLA12G0111100 transcript:ORGLA12G0111100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAEDRYPFSCQQTPLLPPHPLSKLPPSPRFTTMNPPNQPPWILSTRISGHPPTLSPASSCPPPRPLTISPASLSRSLSPDPKLQPPPAPATPATKSLFEVEATGSKSPITSLLGGCTLDLLRIVLANFFFLNLGLSTIVRGLDAAKDGHMGSNGHSICSTRRGFTYLGPLSLN >ORGLA12G0111000.1 pep chromosome:AGI1.1:12:12754623:12756537:1 gene:ORGLA12G0111000 transcript:ORGLA12G0111000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCVGTTCSLSKNGLLCAIWRNGSTTTSSTPSHPTISRSVPVVQPSSLSSPTSEPPPPQKQLTSTAPPRPIVISKPVRKPTQPHPRPKQPTKPPPSSSTQPQQRHQSQVKKHISSAGLQVESVLQQKAENLKDRYNLGRKLGQGLFGTTYLCVDKATRKEYACKSIAKQKLVTDDDVEFIRREIRIMHHLAGHPNIISIRGSYEDAVAVHIVMELCTGGELFDRIISKGYYTERQAAEVARIIVSVIESCHSLGVMHRDLKPENFLFVSNEEDAPLKLIDFGLSIFFRQGETFTDVVGSMYYVAPEVLRGNYGQQADVWSAGVIIYILLCGVPPFWADTKQGVFDKVLHGMLEFDADPWTNVSEGAKDLLRKVLVRDPKERLTAHQEK >ORGLA12G0110900.1 pep chromosome:AGI1.1:12:12742519:12742770:-1 gene:ORGLA12G0110900 transcript:ORGLA12G0110900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTEVVVFVVNSERFKLYHHGAAAADPRGTLLGFLRSRTRFTSAKPHHCAAIGVGHPAASPPPPTSSSPLRQSGGDGGCPP >ORGLA12G0110800.1 pep chromosome:AGI1.1:12:12736342:12741381:1 gene:ORGLA12G0110800 transcript:ORGLA12G0110800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRHCNIVWFVGYCAETSTDVVRKGRENMFVDSKEKLLCFEYLRYGSLRSHLDTAGSCVLQWQICYQIIKGICLGLHYLHERKIIHLDLKPDNVLLDDSMVPKIADFGLSRLLSXEKSRMVTERIFGTRRYMAPEYLVNGDITVKSDIYSLGLIIREMVMGPNNEGTTTENVLEIWRRRLEQDSSKMRQTPIEMRYRQIIEACIEISETCIAGNPDKRPTTGDILRRHEKEEAGNWSIVPVTPVVDWISSLSRLMESIKRMAVTSPEPRTIRGEESKALQLQPGPSQPGDRRPADKSFWLDATSNAKCYMLSSRLLKVTWGESPEHWKWITLPDSRFAECAELLNVYWLAVIGEIATEDLTPGTRYAVYLVYKLTGTAVGLRGGQTQRSSLRLYGEITVSTGKVSVDVVPAAARGMAAGVAYPVARGDGWMELKLAEFAADEKLLGEKKVIVDFREENDHVKKSGLVIEGMEFRPNK >ORGLA12G0110700.1 pep chromosome:AGI1.1:12:12728973:12730968:1 gene:ORGLA12G0110700 transcript:ORGLA12G0110700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKATASNLLGGSTILEKLSKTRGENGLDDNFEPVISSIVSKTEPMINFWERHTPSGSALSSAWLVDKPPKRQSIWPIEDVAANRAGTMATMTTGTMEATTVQTATEIMETVPPVGAKEELISGTSANSAIKEDIYTNLGIYFSLIQICGTST >ORGLA12G0110600.1 pep chromosome:AGI1.1:12:12718848:12721867:1 gene:ORGLA12G0110600 transcript:ORGLA12G0110600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCIGPRRNFAKNGLLGILRPRHAAPSSPSQPTTTSRSIPVVLPSAPSSKPPPPTQTAPPVPVVISEPPPPQPQPEPQPAAPSQPPPPQEQPSPPPPASSNTTQQPPPPQQRQQSRAKKPAHIKRISSAGLQVESVLRRKTVNLKDKYSLGRKLGQGQFGTTYLCVDKANGGEYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPNIISIRGAYEDAVAVHVVMELCAGGELFDRIVRKGHYTERQAAGLARVIVAVVESCHSLGVMHRDLKPENFLFVGNEEDAPLKTIDFGLSMFFRPGEVFTDVVGSPYYVAPEVLKKSYGQEADVWSAGVIIYILLCGVPPFWAETEQGIFEQVLHGTLDFESDPWPNVSDGAKDLLRKVLVRDPKKRLTAHEVLCHPWLQMSGSAPDKPLDSAVLSRLRQFSAMNKLKKMALRVIAENLSEEEIAGLKEMFKMMDTDNSGQINYEELKAGLERVGANMKESEIYQLMQAADIDNSGTIDYGEFIAATLHLNKVEREDHLYAAFQYFDKDGSGYITSDELQQACDEFGIEDVRLEDMIGEVDQDNDGRIDYNEFVAMMQKTTTGFGKKGGHNFSGFRDALKSHS >ORGLA12G0110500.1 pep chromosome:AGI1.1:12:12713140:12713361:1 gene:ORGLA12G0110500 transcript:ORGLA12G0110500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKECKFDTIGYVKFLSYTRGFPKMFRTLSMSLVRGFRLPTSNINRGGA >ORGLA12G0110400.1 pep chromosome:AGI1.1:12:12686635:12686814:-1 gene:ORGLA12G0110400 transcript:ORGLA12G0110400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVFEGVIMIQEWCTVVSCLCEGYCHDFPLCSAVVVLRGMATCVESCLVGTVVHLWPE >ORGLA12G0110300.1 pep chromosome:AGI1.1:12:12671624:12671845:-1 gene:ORGLA12G0110300 transcript:ORGLA12G0110300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLYAYGMRSRIWKESKFGTIGYVKFLSCTRGFRKVFRTPSMSLVRGFRLPTSCINRGRA >ORGLA12G0110200.1 pep chromosome:AGI1.1:12:12663927:12665462:1 gene:ORGLA12G0110200 transcript:ORGLA12G0110200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSTSASLLLILLLTLVYFLYLHQDPKKKPRTHGLKSYPVVGTLPHFINNKDRFLEWSTGVMKRSPTHTMSFKELGLTGGVITANPANVEHILKANFGNYPKGELAVSLLEDFLGHGIFNSDGEQWLWQRKAASYEFNKRSLRNFVVDTVRFEVVERLLPLLEYAGRHGRTLDVQDVLERFAFDNICRVAFDEDPACLTEESMAAPQSAEFMRAFNDAQNAILDRFNSPAKSLWRIKKLFNMEPERRMRDSLATIHGYAERIVRERRERREARLERRDDFLSRFAASGEHSDESLRDVVTNFILAGRDTTSSALTWFFWLLSGRPDVEDKIVREIRAVRQSSAGSEGTRGATFSLDELRDMQYLHAAITESMRLYPPVPFDTHSCKEEEFLPDGTFAGKGWLVTYCAYAMGRVEDIWGADCEEFRPERWLDEAGAFRSESTFKYPVFHAGPRMCLGKEMAYIQMKSIVACVLEQFSLRYAGDAKGHPGLVVALTLRMEGGLPMKVTIRE >ORGLA12G0110100.1 pep chromosome:AGI1.1:12:12661781:12663097:1 gene:ORGLA12G0110100 transcript:ORGLA12G0110100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFRCAQSAAAVSGPAGPNRLPPAGRRCPSPWCTTPWPPRGSAAVAGRSKLSSGLPEAPLEREHCGAVVDDGGVVGTSSGTVHGEDLLLRRASGHLADEQPHPLAVIILRISGRGRTAMMVCHDVEMPFLRGINVNRPAPATETTTARGATVARRKRSPARPPPTARSPAGSDDENCGGGGGSRVPWPGQGRKQQQ >ORGLA12G0110000.1 pep chromosome:AGI1.1:12:12650473:12652017:1 gene:ORGLA12G0110000 transcript:ORGLA12G0110000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSISASLLILPLLPLLYFLYMHQDPKKQPRAHGLKSYPVVGTLPHFVKHKNHILEWSAGVLKRSPTHTMSFKALGLTGGVFTANPANVEHMLKTNFGNYVKGEAIITMLEDFLGRGIFNSDGEKWLWQRKAASYEFSKRTLRNFVVDTVQFEVIERLLPLLERAGRDGRTLDVQSVLERFAFDNICRVVFDEDPACLAKDSVASPHIAEFMGACNDAQNAILARFNSPIKSLWRVKRLFNIESERRLREALATIHAYTDRIIRERRERGEARGDDFLSRFAAGDKHSDESLHDVITNLVLAGRETTASALTWFFWLVSGRPDVEDNIVREIRAVRRASSSNGVTSGAAFSPHELRDMHYLHAAITESMRLYPPVSLDTYVCKEENFLPDGTFVGKGWQVTYCAYAMARVEDIWGTDCEEFRPERWLDEAGVFRPESSFKYPVFHGGPRMCLGKEIAYIQMKSIVSCVFDRFTLRYTGGEGHPGLVTSLALRMEGGLPMQVLLTNRGQAVSC >ORGLA12G0109900.1 pep chromosome:AGI1.1:12:12641192:12642769:1 gene:ORGLA12G0109900 transcript:ORGLA12G0109900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAPLTHSLSRAMKFSSTSTPLFIILLPFLPLLYFLYLYQDTKKQPAGSNGLKSYPVVGTLPHFAKNRHRFLEWSTDVMKRSPTHTMTFKALGLTGGVITANVANVEHILKTNFSNYPKGELSVSLLEDLLGHGIFNSDGEQWLWQRKAASYEFNQRSLRSFVVDTVRFEVVERLLPLLEWARRDGRTLDVQDVLERFAFDNICHVVFDEDPACLAEDSMVSSQSAEFIRACSDAQNAIIARFMSPVKSLWRVKRLFNLDPERRMRDALTTIHGYADRIVRERRARGEAGLARSDDFLSRFAAGGEHSDESLRDVVTNFLIAGRDSTSSVLTWFFWLVSSRPDVEDKIVHEIRAVRSASSSGGTSSATFSFDELRDMHYLHAAITESMRLYPPVHLDTHSCKEDDFLPDGTFVGKGWLVTYCAYAMGRVEDIWGADCEEFRPERWLDEAGAFRPDSPFKYPIFHAGPRMCLGKEMAYIQMKSIVACVLEQFSLRYAGGDGHPGFVLWSTLRMEGGLPMQVTTRE >ORGLA12G0109800.1 pep chromosome:AGI1.1:12:12637528:12637980:1 gene:ORGLA12G0109800 transcript:ORGLA12G0109800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAFRPESPFKYPVFHAGPRMCLGKEMAYIQMKSIVASVLERFSLRYAGGEGHPGFVLWLTLRMKGGLPMQVTTRG >ORGLA12G0109700.1 pep chromosome:AGI1.1:12:12632258:12633109:1 gene:ORGLA12G0109700 transcript:ORGLA12G0109700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNFSHLVLFFFCVVSLLTSPSLAIRQGAFQAVNYKNHASGYHQLTKKPSLFQSGGYRNLFRQLISLDYDPTIGAPPQIHSVPSQHEQETIS >ORGLA12G0109600.1 pep chromosome:AGI1.1:12:12612256:12616010:-1 gene:ORGLA12G0109600 transcript:ORGLA12G0109600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSSEIVEFTEEFDDNAPVSCPNLMHIRVKPEEKDGRCYPVEDDLEQLLKAIDSRTFRRTLSPGQAGADALRKNGQKKSSRSGPAQAAGIGISSKPVNMKQALRRLCISQASEMAAMKRLSMSPGSSSSSEAGTIHRLYASLMVQSNGESHLHDDEKMNLIEISITPEKFSKNSSRATSEFSEDCDFETADGSAVTSLHSASSTSAEIRKIRIQDVISGDPIDSESSMVENEKLNKKVSTATDGSSPRAPILSKPITTSRLVKPVFRCKTIGKKKLKEEPPSLGTSSNSTKFCSSKESISLASTSCSSTSSITNPTSCADDEKTNLGPEKSGDKSPEWLRSEEKGECSQNSNSISEYGCSTSISDESQFGLCSYNNRPHMAKDLRWITIRELALQQGSLGLDNFKLLKRLGCGDIGTVYLAELVDSECLFALKVMDIEYLINRKKMLRAQAEREILEMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQRQPGRSXXXXXXXFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPVLLRSSSVAANHQPRKLAGPCAESSCISSSCQPSCAQTSCFMARPPLPKPRKPKSSHRKLPQLVVEPIDARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLKFPENPSVSSNAKDLIKGLLVKEPENRLGSLRGAAEIKQHPFFEGLNWALIRSAAPPETRSCDVVTLATAKKKKEGKCLEFRSNSEDLEFEVF >ORGLA12G0109500.1 pep chromosome:AGI1.1:12:12608453:12609027:1 gene:ORGLA12G0109500 transcript:ORGLA12G0109500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLISIVQPPSLLAIQFQPLQNNRFKRNNPAISARARTVRARAAELPAGVVVPREQPKLSEPFLGFTKTAEVWNSRACMIGLVGVFIVELVLSKGVLQTIGVEVGKGLDLPL >ORGLA12G0109400.1 pep chromosome:AGI1.1:12:12603495:12607360:1 gene:ORGLA12G0109400 transcript:ORGLA12G0109400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1R6D8] MASTSAAEPGVRFSDRAARRSSLGLRSMVLLMHVVFVGAVFLLDPTLDRRIREEPWYIGAYGALVLIALVQYFCTAGSSPGYVLDAMKAGSTMHATYINTATLSKQSSPNNGSLNSPLSLPQQQKLNPTTSTSTWLQRVAELYPPGSSSRDWTCTYCRVIQPPRTRHCHDCDKCVLQFDHHCVWLGTCIGKKNHCRFWWYIFEETILSIWTVALYIDSIRLDVDKAWWKDFIGVILLAVLIFILIFLLLLLIFHTYIALTNQTTYEVARRKRIFYMRGIPDKVHPFSKGICRNIYVFCFSRQKGYDLEEVPPLEELEARAAPYTCRDIICCRCC >ORGLA12G0109300.1 pep chromosome:AGI1.1:12:12597235:12602520:1 gene:ORGLA12G0109300 transcript:ORGLA12G0109300.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCILCLILFLARRGWSSRTXHLKRLKLWSSISXHIFSRLWKRATSSCYLMKSMMLHNLENIFXTFPXKLMSLSXTVSCCQHTLKSIHMIICLHLLISILSFVGALELTVQPITLSWRNWMXSYPELGAHCSELQGLIDCSQKKPQVKSKKDTKKTDEINEDLEEPELFVERIRLEKIELSVRNLMSKMTIQEPTFDRMIVVYRRAGTKTKPDRGIFVKHFKNIPMADMEIVLPEKKNPTLTPMDWVKFLISAVIGLVTLFGSLEMPKADIWVVIAILSGVIGYCAKIYFTFQQNMTIYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMEQGKATEQDLDLRCEELIKEEFGAECNFDVRDAVKKLERLGIVHRDSIGRIVCVSLKRANEILGNTTEELVMRAQQSPAAS >ORGLA12G0109200.1 pep chromosome:AGI1.1:12:12592355:12594378:1 gene:ORGLA12G0109200 transcript:ORGLA12G0109200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTAPLPLLLLLLLLYFSFPLSTLVLPAVAAAALAVPLSILILSQEDGGVTQLLAIHGADGHLTFMRTTATSRSFLTSMASGHGKRRWQDASNEVSRGGDVITSARGEIRSSPRWFNVYLRRKGYMRLEVKRHTFNEFAFIKGYIGI >ORGLA12G0109100.1 pep chromosome:AGI1.1:12:12564192:12569933:-1 gene:ORGLA12G0109100 transcript:ORGLA12G0109100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1R6D5] MAAAATAAASPVEGLTGGGGGGGGGVDGLFVELWRACAGPLVTVPAVGERVFYLPQGHIEQVEASTNQVAEQQGAPLYNLPWKIPCKVMNVELKAEPDTDEVYAQLTLLPEKQQDGNGSGNGYVSKDKVEEEEVVPPAATERPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQHPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQANIPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPSEFVVPRDLYEESLKRNHSIGMRFKMTFEGEEAAEQRFTGTIVGVGDSDPSGWADSKWRSLKVRWDEAASVPRPDRVSPWQIEPANSPSPVNPLPAPRTKRARPNVLASSPDLSAVNKEVASKVMANSQQNGLPRAFHSQENMNLRSRFGDSNELNTSQKLTTWSSGSNQEKNNVSVQRELGSQSWMQMRRPDGSSEILSGFQPLKDTRNPLSSFPSQISGNRSNTWNTINVHYPDQNVNHNMYPGTWSLMPPNTGFGVNQQNYLMTPDITLPQRSLNAKFGGNGAFTSLRAHGIDQRSSGWLGHIEPSSHIDDASSSLIKPQPLVIDHNVQKAKGSSCMLFGISLDSPAKPELLISPPSVAFDGKLQQDALEEDECSDPSKTVKPLDGAQHDSATEKHQSCPDGTKNIQSKQQNGSSRSCKKVHKQGIALGRSIDLTKFTCYDELIAELDQMFDFNGELNSSSKNWMVVYTDNEGDMMLVGDDPWNEFCNMVHKIFIYTREEVQKMNPGALNSRSEDSRSTSVERGLVGEGLQGGLSTPSLNSENC >ORGLA12G0109000.1 pep chromosome:AGI1.1:12:12540952:12541464:1 gene:ORGLA12G0109000 transcript:ORGLA12G0109000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDLRRAKEDPLIAAGRFQVRRRQICPCVVVVVVVALAIAIVVFIVFVVAKAGGGGFAAPEFGATGSGLTQLATVIVVVLAVAVIVIIIVIVADASGDGSAAPKSGTAGSTHPGPEGGRSAAAWLCRSHNHRCRGHGGGKGGGGDGRXRRGKERRREQSGVGGREERRYF >ORGLA12G0108900.1 pep chromosome:AGI1.1:12:12536254:12537465:-1 gene:ORGLA12G0108900 transcript:ORGLA12G0108900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDPSHPHRQSKDTAAAPPPPPPPQEQPQHQQPELITAPPPRDDVAHQEPSTSSSSGGGGTDAAGLSLQLGLGPSAPGSPSSSRRKRPRTDAHHEAAAGPSTSAADPQLPELRLSVLHPGPGPSSSASAVGAVVAAAPPPPAHEAGTWFVLRAAQNQRREPPLPQIPRSFLRVRDGRMTVRVVMRYLVNKLSLEDDSQLFSIRRGFESFLTRVI >ORGLA12G0108800.1 pep chromosome:AGI1.1:12:12507726:12508361:1 gene:ORGLA12G0108800 transcript:ORGLA12G0108800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAAAGPHHQRLPSSSSASPPPPQPDPGGKRQRRPSVRLSGSIPLPSHLPHPRRIPITPASRSRKPLHLQLHPKPEHEEDDNPSSPASADADADDLVLAAAFPRKPRSLEAAQGESAAAAAAAAEEEEAAEGEVVDVVEWLWGIGMGRYAAAFEAHEVDGEVLPCLTMDDLRDMGIGAVGARRKLYCAIQRLPPPPALPPPPPPPPRR >ORGLA12G0108700.1 pep chromosome:AGI1.1:12:12505164:12505459:1 gene:ORGLA12G0108700 transcript:ORGLA12G0108700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SMIAKMVGKMRKGLHPQMQWISYVTQSGRLMNIMMTKISHTGKVHRR >ORGLA12G0108600.1 pep chromosome:AGI1.1:12:12485007:12485526:-1 gene:ORGLA12G0108600 transcript:ORGLA12G0108600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VILGMTARKRVRRRCTWATTMGTVHKEVRGGTNHAHIIKEELTRLPKKCHLVIRLTRKFS >ORGLA12G0108500.1 pep chromosome:AGI1.1:12:12482887:12483723:1 gene:ORGLA12G0108500 transcript:ORGLA12G0108500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAALRQPPLLVAAAAAAATNCTTRCGDISFEYPFGVEPGCYHPGFNLTCSSNDTRLFMGDGTVQVLDISIPNSTVRVNATAMAFDPADDVQRGVINATTTWRAAAAADDDGGPFVVSRRNTIALMGCNARIDLRGGDRRHSDNLVSSCTAVCPPVGGDDDAAHDDGDGGDGHTIAIIGAWNGKCSGVGCCQANIMLGYPSYTIQIKQLQEKNLHSFDFHYIAYITDETLDFTEEIAGRSATPAALPATLDFVIRSNSSCSTTPANSTAGAECRSEHSFC >ORGLA12G0108400.1 pep chromosome:AGI1.1:12:12474801:12476423:-1 gene:ORGLA12G0108400 transcript:ORGLA12G0108400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM domain family protein [Source:Projected from Arabidopsis thaliana (AT5G13200) TAIR;Acc:AT5G13200] MDGKDSTTTPAPAPAPAPAPAAEPQTTGNAPPPPPQQQQQQPEAAKWGTRQMGPPAAPGAHPENQQAARWTAARGDQELPPYVIMGEAAAAPLRGRPERGDSPMEHILDFFNTWSRKAEELASNIWFNLKTAPSMSDAAMGKLSLGAKALSEGGFDKLYKQTFAGAGADERLRKTFACYLSTATGPVAGTLYLTDRSVAFCSDRPLSFAAPSGQTAWSYYKVMIPVAKVAAAEPVTMKESPPEKYVHVVTVDSHDFWFMGFVSYDKAVHHLVQAVSSQQRGGAAADTAAAVPGDGK >ORGLA12G0108300.1 pep chromosome:AGI1.1:12:12473171:12474392:1 gene:ORGLA12G0108300 transcript:ORGLA12G0108300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIARGCPPVFKAPSANEAERERVDVVSIMGTVPLSLAGGPWSTAIGPARGAPGETAHSRGRLFLCDGPTCEGGPSTGAAQXVARGEKMAQRAEPTQGERTAHQVEIAEKSQRLSRWRRPKERWRLREWGSCFSKPGLDSHGIRCGTRDNGRCHVSARHCLLMGKTLVKRRKWKNLPFLAPGKPPARANLEHREPECTLNLHKALRGCSCWAFGQFGLHVNNLAFMSCLQPAGCWVAEVGTSIFF >ORGLA12G0108200.1 pep chromosome:AGI1.1:12:12469078:12469910:1 gene:ORGLA12G0108200 transcript:ORGLA12G0108200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHNLGLSIHVIYIMFAAHQILGGGFHLTNQDVNMTMFGKSLQSKVGDATKSYMAMHQTYEGTYFGFVATLDVYGFEINYSQRIISSVWIVNRGPNDNLEENAIRIGWQVFPELYGDSHTHFFTYWT >ORGLA12G0108100.1 pep chromosome:AGI1.1:12:12461544:12461756:-1 gene:ORGLA12G0108100 transcript:ORGLA12G0108100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAALTAAAAAREHVERIRRERFYIGREERNPLAEDIHQAVTYLSQELYSKDLHFLMELIQVGVYLIT >ORGLA12G0108000.1 pep chromosome:AGI1.1:12:12453095:12459167:-1 gene:ORGLA12G0108000 transcript:ORGLA12G0108000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MXSRFAKCGGXXVSIRCGTCTGVCYHXERHHCYRSCLNSSCLQXXKGIFCSKRXIHLXDWXIHXERKQTSWLYWXKRYWIXKCISGIXSTTHLQQWVSDKIXXRTLRRLXYRIHCSXMGXXEAKYXXHTCGIWLFXKASYDHYHLTPEEXXDTPSEEXTIKHASXNSSVSFENXAAVCQGNKRGSKGQXAXPDFNLKXSGLXDTEGYKCRVIHSPSSYARKXKGXXRGVYLLYVEAEVCGQTRVQRXEKNGGRXMGDYLSISSWTTTKQRSTVTWCLCIPANRDGYXFALHYSSXFPSCFIPXVHPAXQPMESCYTXLRILCFCQCIXSSFEIIKQCPIICPSSNFXVFASSHFFHTCVXFSKVVNKKQHCNXRYNALRVLXYTQGFLQTQXGRXAGWCVLENSNHGQETWNXSAEFMFSWHFCPELLSXXQGIQXCIRISGSWICQPXMVWXVYXWFKSCDTGSGRYLSXNIIFYCXXLVVEVFXYKHVGCTPHKICDWSXLSVILQCKWSXHASXEDLYSIQCXXLTMDYXLEQGTLNYLXHVLSAPEHTEVSXHVLQENTDYXVASENCEAGNSEPLXICFCSLEDYLXGKFCYCLLPLPLSLTCKQIHYXGEHYQPVSCNAFGRXMWIRDKTEKCFACSCXREQLVYFDWNESLEISKVYXSISRLHVFWNLCRNYTPEGQLITFLRTYAQAMDVPFMHPPNASFPSVSSPLARENALLLLQWIKNIRSSNISLPHNFLSCIRNGKWLKTSVGYKSPSESFLSSVGWGSKLQIQFIFSGVPIVDEEFYGSKLRGYVEELRAIGVQFEFANANLHIADQPLSMENVILLLQWIKDLRSIGVQLPHNIRSYIRNGSWLKTSIGYSSPSRSFLLPAHSGNLGQIISVFSDVAIIDQEFYENKISAYKDELHGIGVQFEFADASVHIVNYLMSKSSNGALSRVNMFAMLQFIRNLTENNLTSVNFIEKIKKGCQFKTCLGNRLPVNSILFNSEWENASVISSLPFIDTLFYGEDILEYKPELELLGVHVAFKQNYQLLVDNFSLIRDQITPDVTVLMLKCLRYAETPQHFVKKLKELKWLKTCLGFRAPPGTFVVNDDWKCLLNIVDDVPLLDLKFYGDEIRVYAGELRKVSVIIGFIEASKAIACRVTKLLCSSLFTEERGVAMLECYRELSTKHGKLPVDLANCMKYERWLHTSLGFRAPQEAIIFGSEWEHVSKISNLPFIDDYYYSEYGQGKGISIYRDELMALGAKAELKHGAPFVISGLKIPHDASAITPEAVISLLKCIRSWKMLGSALPDNFMSSINLRWVKTTAGYRHPKNCLLFGPACSSLHRDDGPFVDEVFYGQEILSYESELHTLGAIVDARAGCALMAQCLKSCSNGDAISRIYSYLEALRWKPRNANDNWIWVPQGSDNGQWVSPDRCVLYDRNSLFGSQLHVLVTWYDYKLLRFFKTVFGVKGHPTIGDYCRLWIMWQNSKSTPTPKDCAAFFEFVDKNWNTEIGKYLAGSITKVPVCSEDRILLLPKQDVFIPDDLLPEDLFRMQAERPLFVWYPPASLSLLSPAKLNEIYSTVGVQKISKVVTRDESEDLKLDHSLTMVQKGTVIKPCLLRIILAFLADPALDFPVEKRHEMVSCLTNVVVYETAMPLTASYQVGLSSGRSLNVKSARIFRWEREESRIFMTRNYGSVSLENAERVQCAAYFAEEIPKGLLFERTDRVPALAELIMAGFLLDFDVPAVRFLLKFKNVRLLEDDEQFCSYLA >ORGLA12G0107900.1 pep chromosome:AGI1.1:12:12436949:12438014:-1 gene:ORGLA12G0107900 transcript:ORGLA12G0107900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFVTTADPDGIAALDDDALLPAMDVFVTTADPDKEPPLATANTVLSIYPRRGLPRRQVVQVLIDSAGSVPQLGVADGSKLIDVASVDVCLPALVYVCREKRRGHAHHRKAGAMNAPFILNLDCDHYVNNSQALRAGICFMIERGGGGAAEDAGAVAFVQFPQRVDGVDPGDRYANHNRVFFDCTELGLDGLQGPIYVGTGCLFRRAALYSVDLPRWRPRRSLGYRLLGEDERLWSRMKQMVI >ORGLA12G0107800.1 pep chromosome:AGI1.1:12:12432873:12433907:1 gene:ORGLA12G0107800 transcript:ORGLA12G0107800.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAQRETHSLIGEQNIFGRDKAKNDIVKMISEAAESNSNTLSVLPLIGMGGVGKTALAKLVFNDKSTKDKFDKMLWASVSNAFDLKHIVNIIIQSDSGESNNQLTLEALTKKLHELLRDKRYLLVLDDISNDNVNWEELINLLPSGRSGCMILITTRLSKIASELKTLEPYEVPKLPHEECGKIFVRYAFRGEKAKDRELLKIGESIVQKCDGLPLAARTLGSLLFRKDISMWQEVKENNLLSTGKGKDDILSVLKLSYDALPSDLKTCFSWLSTFPKDYDIFRELIIMYWMAMGLLNPASRTKEAIRVGEKYFNELAGRSLFQAMFLIMMAASHIAKCIALFMI >ORGLA12G0107700.1 pep chromosome:AGI1.1:12:12425644:12427464:1 gene:ORGLA12G0107700 transcript:ORGLA12G0107700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVQRETYSIVDERKIVGRDKAKNDIVKVISEAAESNSDTLSVLPLIGMGGVGKTALAKLVFNDKRTKEKFDKMLWACVANVSDLKQIVDIIIQSDSGESNKQLTLEALQKKLHELLGDKRYLLVLDDISHDNINDWEELMNLLPSGRSGSMILITTRLSKIASVLKTIEPYEVPKLSHEECMKVFLRYAFKGEKAKDTELLKIGESIVQKCDGLPLAVRTLGSLLSMEDISKWQEVKETNIPNTDILSVLKLSYDALPSDLRACFASLSTFPKDYEIFRELLIMYWMAMGLLNTASGSKEAIRMGERYFSEFAGRSLFQDYVFSHDGTISHCKMHSFVHDLAISVSPNEHATISCENFSASKRVKHLVWDQKEFSKDLKFPKQLRRARKARTFASRHNYGTVSKSFLEDLLATFTRLRILVFSEVEFEELPSSIGNLKHLRYLDLQWNMKIKYLPNSLCKLVNLQTLQLAWCKELEELPRDVKRLVSLRYLILTSKQQYLPNDALMGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA12G0107600.1 pep chromosome:AGI1.1:12:12381381:12381978:1 gene:ORGLA12G0107600 transcript:ORGLA12G0107600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSPSSKCRNARVTEKPGCDKAHVLGALRSARLKAYIHCSTHRSLILLRLGKDVCEILPDGWGDHYDFGNMDNMDARVTRTKRDLGHIDLVDVEIPHENIPEEGEPEEMP >ORGLA12G0107500.1 pep chromosome:AGI1.1:12:12377066:12378680:-1 gene:ORGLA12G0107500 transcript:ORGLA12G0107500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPCLGRAKMACFGLGHVVLGPYSHLYKRQSTASSSDNEELSKQELAKYFHYHLLAIVILPLFSFAQAQQPQTPPRRNPPRAPPSPRRAPPPSVSVEPTPAPVIAPSPPPVVAPSQPPTVAPTQPPTPSGGSQCPRENVIALNVCAQLDLSTLLNNPTKAMQDCCPPINSLSSTIAAGCLMXGCKDXLRCHRRCPLPXGSAQRVWQGXARXPRLLPL >ORGLA12G0107400.1 pep chromosome:AGI1.1:12:12374055:12374276:-1 gene:ORGLA12G0107400 transcript:ORGLA12G0107400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFLSYTRGFPKVFRTLSMSLVRGFKLPTSGINRGGA >ORGLA12G0107300.1 pep chromosome:AGI1.1:12:12365321:12365839:1 gene:ORGLA12G0107300 transcript:ORGLA12G0107300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVSTVIFLAAIILPFLSFAEAQQPXTPPRRNPPRAPPSPRRAPSPSVTAEPTPAPVIAPSPPPIVAPSQPPVVAPTQPPTPSGGSQCPRENVIALNVCGQLDLSTLLNNPTKAMQDCCPPINNLSSTIAAGCLCEAVKINLGVTADVLFLKAVLRVCGKAKLANLGCFL >ORGLA12G0107200.1 pep chromosome:AGI1.1:12:12359127:12359906:1 gene:ORGLA12G0107200 transcript:ORGLA12G0107200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQVQGHPLHLAAAAVYVRLVVDNLTTTASPRRSHRLLRLLRLRRHHDYRRLRFPAAVAAALDDLEDLYSQQGRPGGEPITMAAAAFARHGIRRERARHVAKLRAAASLRLSVAGAIRGLAVRSTATRRCVETARGLIRQTQRLLPVGERDLDGGGEATTIERVVTVVEFLATFQGMEAELEADMEAMGPEHERLLRRHDAAVGAELAEAAALEAIPELPPATEEEVQLVREACRRVLSDLVVLIGFFKAVANYLRD >ORGLA12G0107100.1 pep chromosome:AGI1.1:12:12357632:12358069:-1 gene:ORGLA12G0107100 transcript:ORGLA12G0107100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARLCPRSTSPLRMTPSAASSNAIDPTTTFPTLLQQVGLSAKKRLATSRRVWESRERYTLEAFRAKVAEFESTRHAAPPKNPTHLQLEALFLGGLCLQDFXRRVRQQHAQLRLRLLQPPLCPGWCACSARLGMVRLVRMLGEGA >ORGLA12G0107000.1 pep chromosome:AGI1.1:12:12339492:12340283:1 gene:ORGLA12G0107000 transcript:ORGLA12G0107000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTHQSKPVEEPMAAATQDHLPSSPAAAAADQPQAAAYIRLVIEQLLSRDTASDTGRIRLLLRRRPRGVVAASFPAAVTAALDDLEALYSEGSAPMAALACDAARSRRAGHEERLRRAASQRLAVEGPIRDLAAGIDAAGKRLGEEEAVVRRTQRRLLLLSGAVAEEADVGAVVSLVERLARAQGAEAALAVAMEAMKARHRRLLLQREAAEVAELTEISALEDIPQVARGRKEDDQLLREADDRLRADLTVLVECFVEPL >ORGLA12G0106900.1 pep chromosome:AGI1.1:12:12334702:12334977:1 gene:ORGLA12G0106900 transcript:ORGLA12G0106900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAASVARLVELLGHGQGAEAALEAVMEAMEADYERLLQQRDAAEASELAEMAALEEIPQLPRATEEEDQLTLEAAGRFCDDTAALVNFS >ORGLA12G0106800.1 pep chromosome:AGI1.1:12:12328385:12328630:-1 gene:ORGLA12G0106800 transcript:ORGLA12G0106800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTKMWSSWSRATRRRKRWGRSWWRRRKGRGSYRIGGNTAENNDAVLSAPHNYEEGEEVVGVKLRSAASQQACGPPVEM >ORGLA12G0106700.1 pep chromosome:AGI1.1:12:12322182:12324665:-1 gene:ORGLA12G0106700 transcript:ORGLA12G0106700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHYTMKKPRLLLLSLEVILIALLLPLHGAHCESSTQGEGGGGGAGAGAANLTVTGTVFCDACSSSSFSNHSYFLPGVKVRIDCMISVKSASKEEIKITAEKVTNTFGAYQLDIPAIDGFECATSAAGAADSFCRAAVIDNPSPLCNVPAVTTTVGHISSSSSGHDHDQPNACLYNLNSLYYRPGGAKNGGQCGGAGGGGDVPPAALNTSLFYCPPWPWPPIPFCTPRPWFPPIPFLTPPPPPFLPFPLPPIPFLTPPSPPPPPAFPFPLPPWPWTPPPAELPPPAFPFPHLPPIFSPPSPPPPPPPAFPXXXXXXXXXXXXXXXXXFYPSATSASAAAAATAAIVPVAVPAIGAAFPAISISSTVDVFSQRSEHLVVVFQTAAM >ORGLA12G0106600.1 pep chromosome:AGI1.1:12:12240570:12241328:1 gene:ORGLA12G0106600 transcript:ORGLA12G0106600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELETEVTDLTAQQLQLEEEHQAWGSEIDSLKAQLQVHGDQFQQLSDQYNDRRGMMDTLEEQLRESQEHVSQLEEQLRAATISTTGASTSTEVGRDRYFFLTPPYPPAFHALLGEASMLATESAPYLVDPTATQPPASEMVHTPLIPTPSAQLGSSLETPIQDDSETEGTDTEPEIEPDITDASEDETPVPRITFLGGPRTLSTARKSTRPPGKRPKPDPEATTSEPWGLRFARARDHPLPAPGSCGWLED >ORGLA12G0106500.1 pep chromosome:AGI1.1:12:12232117:12233640:-1 gene:ORGLA12G0106500 transcript:ORGLA12G0106500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKWVGFGSAMAGVGLLWSRMPEHVHEEARHIIGSLVPMVMSYFNPYEQITVSEYGEERFRRNKMFDAVSTYLISACLESASKLKAELGGNNSSDDPRVILDENQEVVDCFEGARMWWKLCPKVSKNRGGTIISMMPGDTDEPRCYRLVFHKRHRQLVLKTYLPGIIRQWRELTAKDRQMLLFTNHSKQGENMWTSVPYNPPATFNMLAMNHAKKVEIMDDLRAFQKGKGYHSKVGKAWKRGYLLHGPPGTGKTTMIGAMAKFLDYDVYDLDLTSVQNNADLRKLFLDTTDKSIIVIEDIDAIEVELTTNRSGKKAANGDDKHVVIEVSNKNHDKRKVTLSGLLNFIDGLWSACGSERIFVFTTNHVDHLDPALTRRGRMDMDIEMSYCQFEAFKMLAKNYLNITEHSLFSEIEGLLSETNTTPADVADKLMPRNGEIGPLLDEIDEEPVDRDSIMLRYNRKRHADERLESLVETLIMKRAKMNSATTPMEEAKEEQPNAEES >ORGLA12G0106400.1 pep chromosome:AGI1.1:12:12227614:12227889:-1 gene:ORGLA12G0106400 transcript:ORGLA12G0106400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINTSPLRRRVGTRRDESAISDLARHGFEEEGYPVVDYESDRQTAMSTTIR >ORGLA12G0106300.1 pep chromosome:AGI1.1:12:12226683:12226904:1 gene:ORGLA12G0106300 transcript:ORGLA12G0106300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAVRAQRRAGKKRERGRGGGRGGGRRGASAPAVAGEGERGGWGGGQGSVREWQQSGGARHAPALHRRRRRRVG >ORGLA12G0106200.1 pep chromosome:AGI1.1:12:12205059:12205970:1 gene:ORGLA12G0106200 transcript:ORGLA12G0106200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSCGGGYRTQTINGRKGRFVRLEQQEDQERQPAAATTMDGGGGGGRVQHVMDSYFSSAPKIRTRSVRMAAAGVMSIGGYRAERLKSIGRVFQEDLTNMSQKIFDPQDAFLVRMNRLFVMACIVSVAVDPLFFYLPAVTATDSNTCIGFDRGLATGATAVRSAIDLFYLARIALQFRTAYIAPSSRVFGRGELVIDPAAIARRYVRRFFVVDLLSVLPLPQIPIWNFLHRPKGADLLPTKNALLFIVLVQYIPRLVRFYPITSELKRTTGVFAETAFAGAAYYLLLYMLASHVRPLIFSFNL >ORGLA12G0106100.1 pep chromosome:AGI1.1:12:12174013:12175548:1 gene:ORGLA12G0106100 transcript:ORGLA12G0106100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLETWVGFGSAMAGVGLLWSRMPEHVHEEARYIISSVVPMVMSYFNPYEQITVSEYGEERFRRNKMFDAVSTYLRSACLGSATKLKAELGNNIGDDPLVILDENQEVVDCLDGARMWWRLCPKASKNKGSTIISMFPGDTDEPRCYRLVFHKRHRQLVLKTYLPGIIRRWRELTAKDRQRLLFTNHSKKGEISMWTSVPYNPPSTFDMLAMGHAKKVEIMDDLRAFQKGKEYHSKVGKAWKRGYLLYGPPGTGKTTMIGAMANFLDYDVYDLDLTSVKDNAELRKLFLDTTDKSIIVIEDIDAIEVELTTKRKGKKMDNGDEADNNHVLVELSNKTDDKSKVTLSGLLSFVDGLWSACGSERVFVFTTNHVDRLDPALIRPGRMDKHIEMSYCRLDAFKVLAKSYLDITEHSLFGEIGRLLDETDTTPADVADNLMPRGKRNGEISRLIEVIDGSPADVAGNLMLRCKRKREADECLAGLVETLKKAKMESATPPMDTIEEEANKEEQT >ORGLA12G0106000.1 pep chromosome:AGI1.1:12:12166083:12166412:-1 gene:ORGLA12G0106000 transcript:ORGLA12G0106000.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVPNSCKFFKWIESYKKMVEGLSLHAVDEAPSDVALEHMVAAPVEMKLRSVDDGKMDKLINWIQVLVMINIGLLVLCFIGVLVMIFK >ORGLA12G0105900.1 pep chromosome:AGI1.1:12:12151724:12153336:1 gene:ORGLA12G0105900 transcript:ORGLA12G0105900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLLLLIILHQPRLLEAENRNLTASATLRSPEYLTRSTRDFTFFAPEYIISSLRLTATKKSGTSHLINHIAESCPAIDGDARINFLATIKKXTGEGFVFDPKRSRELMVTYFIHADRGAIQXNXGSLFFGVGGVRAANFQSCGASNTSXXCLXLVXVNEGRLACXITXS >ORGLA12G0105800.1 pep chromosome:AGI1.1:12:12144530:12149341:-1 gene:ORGLA12G0105800 transcript:ORGLA12G0105800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNASMGVMAPLLTKLAVLLGDKYKKLKGMRKNIEFLSHELTEMNAVLEKLADMEKLDGQQKLWRNDIREMVYDIEDCIDIFMHHLGDGNNKDGLLRKTARKLRNLRARYQIADKIQELKARVMQVAERRDRYANLGVSTSSIPKVVEVDPRLPALYEDAKNLVGIDGPCMEITQWLMDEGENGSVQQLKVLSVVGFGGIGKTTLAKQVYNLLKKRFNFTSFVSVSQNPDMVKLLRNLLSDTGFQGYGILDDHQKLIDTIRGHLANKRYLVVVDDIWSTQAWSIIRCAFAQNNHGSRVIVTTRIEDVATMCCVDFHGMVYKMEPLNEFNSQKLFCKRIFDSDSIPEQYKNVSEDMLRKCKGVPLAIISIASLLSSQGMNVGKWKKIHNFMGSELETNPTLEWMRHVLNLSYLDLSHNLKTCFLYLGIYPEDHTIFKADLIRLWIAEGFIHEKPGLDLEETAESYFNELINRSMIKLDDYRSSEAISCHVHDLMLDLIISKCQEENFITIASKQPVKNDVSELPVRRLCHQLSYGNLAMERMKLSQVRSYITFHAFGCSMQPPISMFEHLRVLELRAYSTSVFLDLSDVSNLFLLRHLSIRGFKLKLPQKIGRLQCLRTLDLLDSLLVTGIPSDIISLSSLCHLTVSGDAELPNGIQKLVSLQTLLTFSSGGLPDIFTFVEKISRFNSSVIRLAKARRFNNGGLRSTNGGLRSPPASPEFPSFDDGWSTESILDHLSQHLDSPASSHSDLSSPNLVACSPHILSIASLWLQQLIIRKNIRNVPSWLWFSLMLTMLELRVEELSCRDVRFLAGLPCLVDLDLTAQATPENIIIDIITTFDFISTRLGRITRTDNFPKLQKFVLTCDLTCLTFEPGVMPQLQILKLDDKKPSNLEEGNGTYGAAQHGSTPLIGVEHLPRLEEVQVTANSSKVSAYRDAVQRHPRFQGIRATFNIYN >ORGLA12G0105700.1 pep chromosome:AGI1.1:12:12141099:12142253:1 gene:ORGLA12G0105700 transcript:ORGLA12G0105700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPDKKRRKKLAAAELTPTPAPVPRLVRVRPGNKKKRKVASSPDKQPPVYMVLAHGVEEEPTTHSVIEVAAGAGARRLLDTGSGRGRGGPRLIFPKVNPVLISISDDGSGGGGGGGGKLYALSRTPAVVRQLDFEPWFFVLDDLSHTVWRQLPSPPLFPCRLNPLEFLDPPKVRVAVYALVGSHILLSVSVQPQQQQQQDHKGTCAFDMDTEQWEMVYDSNLPFDGQALPLGSCFVAVAAAAAADVAVYRMVVGISAVTGKKELTIVELRVVVASNCRIVPGHLLCAMGKGIFSSFEFRSIAGKARIVHRTYSLVESTTDEGDDDWVVMVKKQDRQVYKLRDRHAYLAHPCRAVAVFTMESKSSEPFFASSNIFWFVLGVYRC >ORGLA12G0105600.1 pep chromosome:AGI1.1:12:12137365:12137694:-1 gene:ORGLA12G0105600 transcript:ORGLA12G0105600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNPAAADLAMGSSSATDLALGSSTTANPVGSPPCAHMDLAVGRVLATARASALTSSRPSSTKTKPVCSSLRRLWLRATNRDFAYRVMLAVLFLCSDSDTPVRHGCGN >ORGLA12G0105500.1 pep chromosome:AGI1.1:12:12128341:12134601:-1 gene:ORGLA12G0105500 transcript:ORGLA12G0105500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNISTGVMNSLLVKLEEVCRYDLKEIQFSTEFDFKNNIWHLKEELSCMNALLQKLPNMEALNIQKRELRNKVRELAYFVEDRIDRFMHSFGTVADKATLLTDTMDLMLPILFEKIHEIKDYVVEEVRRVESIYNLDESISSNPRQIEIDDISPVLCGKANRLVGINVPCEVIMQLLMEDMEGESVQHPKVVSIVGFGGLGKTTLASQVYKKIHSRFECAVFVFASRNRSTSMILNDILSQLRYDGSADGVKSLINATREKLSCKRFLVVIDDIASIETWNSISGAFVETWNSGSRIITTTRRKDVANACCSSFHGIVYKMKPLGWTDSRSLFFRRIYGSDNYSPELEELIIAIDILKKCGGVPLALVVIASLLASQEEVNKLDNWLKIKYSMGFELERNPNSKWMKHILKLSYNNLSSDLKTCFLYLHMHPENYNIMKKDLMRQWIAEGFITQKDNRDLEDIAESYFSDLINRSLIKPAQFKHGEVVSCRVVHNLFLDLIIEKSTEENFVTVIRTDQECSRRHNFLIRRLSYQSNRGNLIQACESLHQVRSVIYFGDRLHQRGESLPRLQMFEALRVLHLRMEGYFPFRAMYDLSPICNLFQLRYLKLEGNRFQFPDTSGELRYLQVVDIKCSGDLVLVGGFLSDACLPSLRHLRTPWNAELGRGINRLTSMRTLEEINFCNCSVENIRHLGMLTNLRTLGVIYNRRRGNDEDDQTDMVKFAALATSLRELGSCNLRCLDFNVALMEHRQPPIGFLYSWFPPPQFLQKFHPQMIFFFRVPYWIQ >ORGLA12G0105400.1 pep chromosome:AGI1.1:12:12121679:12122119:-1 gene:ORGLA12G0105400 transcript:ORGLA12G0105400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPNRPHQVTIIRSILHQRVSAMNDTCFAHLSHRSSSNGSELERSEAPLPHGAQKVARNNAAIGCHHHPQLNDGQLLLPRDGRDPDHHVVHRKIGGGGGDGDEAVVVAAERQGPWPSKGRLCRAPSPTARCPCRRRRCPCDLAAAAAA >ORGLA12G0105300.1 pep chromosome:AGI1.1:12:12110105:12110911:-1 gene:ORGLA12G0105300 transcript:ORGLA12G0105300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNISTGVMNSLLVKLEEVCRYDLAEFQFSTQFDFKNNIWNLKEELSCMNALLEKLPDMEALNIQERELRNKVRELAYFVEDKIDMFMHSFGTAVDKATLLTDTMELMLPNLFGKIDEIKDHAVEEVKRVERYNLDVDGSISSNPRQIDEIDDDISPVLCGEANSLVGINVPCEVITQLLMEDMEGESGQHPKVLSIVGLGGLGKSTLAIQVYNKIHGRFECAVFVFASRNRSASVILKDILSQLKYDGSADDIQSLIDATREKLACK >ORGLA12G0105200.1 pep chromosome:AGI1.1:12:12105385:12107693:-1 gene:ORGLA12G0105200 transcript:ORGLA12G0105200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLNLHNREPFVMGVTNLYXYFLWQRMFCTHAAFSHRFYLIVDLIEILTVYYLDNIMPGTCLFLRFLVIIDDISSIETWNSISGAFVENNTGSRMITTTRTKDVANACCSSFRGIVYKMKPLGWTDSKSLFFRRIYGSDNYIPEPEELIIVVDILKKCGGVPLAIVVIASLLASQGEVNKLDNWLKIKNSMGFELETNPNFKWMKHILKLSYNNLSWDLKTCFLYLHMYPENINIMKKDLVRQWIAEGFITQRDNRDLEDIAESYFRDLVNRSLIKPVQFKYGEVVSCRVVHNLLLDLIVEKSIEENFVTVISTDQDCSRRSNFLIRRLSYRSNCGNIIQASESLHQVRSITYFGNWLHQRGESLPRLRMFKALRVLHLRIEGYFSFRAIYDLSPICNLFQLRYLKLEGIFFQFPDTIGELRYLQVVDINCGTNLVLVGGFLSDACLPSLRHLRAAGSEELGRGINRLTSIRTLEGINFCNCSVENIRHLGVLTNLRTLGVIYNRRRGNDEDDLIDMVKFAALATSLRELGGCNLRCLDFRVVLEGNIRQPPISFLCSWSPPPQFLQRCHIYKAFHRVPYWIQQVETLTSLCLKVVELKGDDMRVLSRLPCLAYLDLQVFMVPGMEIIIDSVSFSVLKELKLTYGSSTSSLSIEPGAMPKLRIMHLIVFGQAEQDTKSLYGIQHLHSLEDVIITSDYNNVLVSFREALDRHPRIGSIQVCIGASSDL >ORGLA12G0105100.1 pep chromosome:AGI1.1:12:12085853:12090230:-1 gene:ORGLA12G0105100 transcript:ORGLA12G0105100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAANSTPTSSASTVAQNQLHQRQIFLMQQAQVQAQAQGQGHTPTPQQLSQQVMSFFPSNIDAHLPLPGPDSTPTSSASTVVQNQLHQRQIFLMQQAQAQAQAQGQGHTATPQQLSQQAMSFFPSNIDAHLPLPGPIRFQQPLPQQLPPPPQQQQQQIHSWGPSLLQSWASLQQQQQAAVAAVARVQSPEVEMALQDVMQVCNPDIKTPFQSVEDAVNRLLPYHVVADYEAEEDDRILDSDTTGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLMEEKQAMMGLRAEIESREKAGREAAEAKMRMAMAEQARAEAQAHSEMIGHGPLRAHAAASQGEDGPSHEMMQEQGEDGWGNAQRDDEDPSEDFLNDENEPENGNSDMQEDWRRSGELDLNSR >ORGLA12G0105000.1 pep chromosome:AGI1.1:12:12080542:12080994:1 gene:ORGLA12G0105000 transcript:ORGLA12G0105000.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRTRHLRRRAEKPEILDLQTLVPQLLLLVHPQVGDIGNIKRSQLGATVQYTQDDGLGEGVTKQGLEMDAGFHGRLKEMREIVAWTRNVVEAAFEHKLKLLEHGELLYHIGEHLT >ORGLA12G0104900.1 pep chromosome:AGI1.1:12:12075781:12076347:1 gene:ORGLA12G0104900 transcript:ORGLA12G0104900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESKPLVALLLCIMIAKGNCLCMGCMNNHVVVSQLADDRSKVGSGGGARTLFKVTVANQCCCDVGHVVVAAPGFRSAIPVDPKLFRRNPGGDRESYLVGDGGTIPANGSVTFYYAWSSMFRISVVGMTVANCL >ORGLA12G0104800.1 pep chromosome:AGI1.1:12:12063262:12063850:1 gene:ORGLA12G0104800 transcript:ORGLA12G0104800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSKPLLALLCLMIAKGNCVCLRCMNDYVVVDQQLVENDHGEVVVCGGEHTLYTFRVTVTNRCCCEVSSVVVAAPRFRSAVPVEPRLFRRIAGGEEKGYYLVGDGEAIPNNGSSVTFFYAWSTVFRMDVVSMTVSKYR >ORGLA12G0104700.1 pep chromosome:AGI1.1:12:12057225:12057769:1 gene:ORGLA12G0104700 transcript:ORGLA12G0104700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQTNLMFLIAVVVSLMLSQGESQPCGPSSIDVQQINTGKKVGTLDTVFRVTVENRCICTVKAVIVQANGFTSSIPVDPKLFRKAGDTSYVVGDGQQIASTNSVMFEYAWSHYFEITPASVQVEC >ORGLA12G0104600.1 pep chromosome:AGI1.1:12:11986456:11986914:-1 gene:ORGLA12G0104600 transcript:ORGLA12G0104600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:I1R690] MSASLFSLSPISLSPLHGKEKGERQRAVGGATCGRRAEQRGGRSKWSGAGRWAERQRTARKEVEARGRRAEGRASGESHELRVDVSNASSASVLSHAIHRGAGFPTLMLEEQFVEVPLPPKHLPFVESLKGMTLVADLDREEGSGGRVLVGL >ORGLA12G0104500.1 pep chromosome:AGI1.1:12:11980684:11984452:-1 gene:ORGLA12G0104500 transcript:ORGLA12G0104500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYRWILLLSVVVVVAVAAELPLASTTFKTLTGNAPLVIARGGFSGLFPDSSQFAFGFALSATSTDTSLWCDVQLTKDGVGFCLRDLLMQNGTTISQVYPGGKQTYRINGVPKTGWFPVDYNMSLLTNHDVFYTEHGLNMTNYILSVQKLGFVKYISSPELGFLETLSGGIDHKVKLVFRFLDKAVSDPSTNKTYDSMLSDLAFIKTIASGIMVPKSYIWPVTSENYIQLPTQIVKDAHDAGLEIYASDFSNDGNFPYNYGYDPLEEYLSFVSNGGFSVDGVLTDHPLTASEVVT >ORGLA12G0104400.1 pep chromosome:AGI1.1:12:11969621:11970040:-1 gene:ORGLA12G0104400 transcript:ORGLA12G0104400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQYELNSDRDPNRSPTGLGGPSDGSYIFVRGVTGGAWEYGEENARVGEGRAGAIDYFLYIDARYFARVPSTSQRLFPLRSASSGNDL >ORGLA12G0104300.1 pep chromosome:AGI1.1:12:11947682:11956232:1 gene:ORGLA12G0104300 transcript:ORGLA12G0104300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAYDGGSGAGGKIRRRPPSRAAAASPYARPAPASAATRLXXGGGGGGSGGWFSRLVASGASLLLPSVFRKPPPPQPEHEREREHLGEPPSLPELLEEAPSQAETLDTPPSPPPPPLEDDIPEEEEEGTRTVANNSAKDGDDILRRSDSYDVMDLEELLKQRTFTRQDQVASPAELAKAYMGSRSSKGSPLRLRLHDPSVLSKSIEANTTQITRPPTLSLLASARNHAPTTSDRLGSNYTTPNRSAIYKMSSSPYFKSAVSSRDLFGTVSPYQAPSSLHTFGRQQVLKRKSAALNESASVGPIRKMHQRYNRVSPLLQTRSGHHGYSGSHASKLDEGLEHSVQSQKRRCLDKVGDITRSGVHDRANDSSFGQAPAQSIQMAARILKQLDTIVPSQKEGTLATRQKHVDILDVEDPISQKTEVSAQGSLLKPSSSRVKESLPNNSNCAAKFTSAAKDSNTVDATSDKSAKLMPKDWLEMDNCRGSTKLSLNQGNDKTERKQSPIPENNDMSSGIINKEKPPTLPLRSHAPSNLVLSSEIDRNKILASSNGFSFPVPAALTGHSQAPPTPTLASPPVLSVEKQQLSASSSAPVTSVESIPRVFKPVSEEASVSNQRDTKSNTDKPPISQSSGQIVSFTSNPVFNVISSKPTTLSNGLADTTKSASAAVFPSNGSTKSVCSTNAGSSTPSFPKFSFQPGFRTSTSSVQSSGIQEPAITAPFSTVSSLAGGSSSPSSMIFAGATSQSSSITTSGSVPFQFSSQSSSESSLAGQDKSKAASSSAPFSFSPQFGSTSPFAGQGKSNAVSSQSTLLSGSQFSNSLSAQTSSNSNLLSSEKVNPGSSPSFAFGSSAPGSSPVFSFAVGSGTTSATPASASSPIFCNSLTSTNAPPFGSPATSPFSSTSSPVFSFTSATPTIPNASPTTPLFGTPSPTVGLSTGTDQMNGGQMAGDKNPFAFSAASPFGLPSSSPSTPTLFSTPATQFASATSASPGMFQFGQHSQSSPGGFSIGTTGGNSEKSARRILKVKRKK >ORGLA12G0104200.1 pep chromosome:AGI1.1:12:11939359:11941767:-1 gene:ORGLA12G0104200 transcript:ORGLA12G0104200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G06410) TAIR;Acc:AT5G06410] MWRRPGQLRLHLAAAAGAAGRGARRRNPLPPVPTVPSSSSSATTTTTRDLAAFARGSSSSSRSLSDRAGGGECWSCGASGAFLSCGSCGSVQPVDPAVDYFRIFGLEREYTIKDNNLEGKYKDWQKKLHPDLVHSKSEKERAFAAEQSALVIDAYRTLSKPLSRALYLLQLEGIPIDEEKTITDPELLMEMMEIREAVNDASDSQTLEKIQSQIKRKLETWSHSFQEAFERRDFDRAVKATQRMRYYERAVEETIKKL >ORGLA12G0104100.1 pep chromosome:AGI1.1:12:11932794:11936147:1 gene:ORGLA12G0104100 transcript:ORGLA12G0104100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G06400) TAIR;Acc:AT5G06400] MATRSAAARLLSSSSSAAAASPLWSRRRRRHPFLHPPSRSKTTSSSSSSSKPPRRPPPRKEGGRPRPCLFQELSGLVAPSASDDPAFQPRRDGQERCGLLGHDTAQCAEGARRIAPEGAAAASGSFTGSGPNNDALGFLPDGGIGPRSTATGGAPDSEQSIQEVGDGNAGDVENISEVVHRVTEVLRAEVPGLSVEQRLENLGVTYTPRLVSLVLNRCFKKRHLGFKFFDWVRQVPGFQHTTETYNAMLYIAGEERNFGAMEKLMDEMDKEMCLKDIKTWTIVISNYGKARQIGKMLSTFQAMGKSRHVAADSKVYRTILRALCNSAKSELALEFYKDMARNTEVGSDIFRLLLCCLARSDNAEGVFYVRDDMIKSMKYPEEYCYLEALRSFCVSGKIEEAQKIFEQMMNKSIASSSAFEILLRGLCKDGRMDKALQVMEYMKSNSSASSATFGSLIDGYLRKGERMKALEVLQEMREYGCVPLASSYTQLMQHLFAFDQHEAACRLYEEMQENGIEPDVVAITALIGGHVRNGHISEAWDAFRNINENGQKPTLKAYTVFIQELCKASRPLEALKLLKEMLESDFRPSEQTFSRIISTLCDNHYLEEASNIERMRASFNCCSPIEELQRRALDQVDYTDKFEKSSGSGPEEKERTVEFVGHPSYKDCEVSGSFPCDDTQDLEQAKDYNNEDVEQICRILSSSDCWSSIEQALEMTSISFTPDLVDAIMKRCKANSRAALQFFSWVGKRSYYMQTTKTYNTAIKLAGSAKDFKHMRHLYREMIWAECCPTVDTWNVMICQYGNAGLTEMALETFYQMKQGGFQPDKTTYSHLIMYLSRRKGRKVDAAVKIFHEMCRAGYIPDNGMVCTYLSVLCECGMIDRAESSVVLLCKHGFSIQAGYSILIRSLCRSDRMAEALSLFDNIKNYGCSRSAYMYGSLIHALLRRDRFEDASAKLAEMKNLGIAQSTHMYTSFMIYYLGKRDVSKAMDVLKEMTENGCEPTVVTYSALIRGHMAMGMVSEAWDVFQRMKLKGPVPDFETYSMFMSCLCKAGRSEDGLQLIHDMLNSGIIPSAVNFRTVVHGLNMEGKYKLADSVLQSKWQLRNRRTFSDSFIVNSSA >ORGLA12G0104000.1 pep chromosome:AGI1.1:12:11923732:11925058:1 gene:ORGLA12G0104000 transcript:ORGLA12G0104000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLIIVLNFLLTGAIVFKGVDSELGLIKIVLHNGRETFYFSLEWSKLVAEFPIASSRKGSRLERTEPKLNYKLDVKLGSSLFRAQAYIGKLARAQLVDSPVHAD >ORGLA12G0103900.1 pep chromosome:AGI1.1:12:11919798:11920148:-1 gene:ORGLA12G0103900 transcript:ORGLA12G0103900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDPATLESGGDDAGEEGCRWLQQREEELSWAKRTVGNCSCISGEEEASWANRTDCGCGRGEEEAQQWREWHLPPALERYPRTGDRGEERRLGEERRWGDGEEDTDGGGRGLGATS >ORGLA12G0103800.1 pep chromosome:AGI1.1:12:11918750:11919031:1 gene:ORGLA12G0103800 transcript:ORGLA12G0103800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGANSPTAAISVAAVFAVLVLSSSVAPAAAKMFCGSCDDICTASCIYADTIPRACAPQCDGCSPEACQSCLQALRQECLTSCGDSCRKNCT >ORGLA12G0103700.1 pep chromosome:AGI1.1:12:11913792:11914085:1 gene:ORGLA12G0103700 transcript:ORGLA12G0103700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAASPKAATVAAAAAVFAALVVLSSSLVAPAAAKMFCSNCDDICNASCVNSDTIAKLCAPQCDGCSPEACQSCLQALKQECLTGCSDYCHKNCT >ORGLA12G0103600.1 pep chromosome:AGI1.1:12:11897221:11897505:1 gene:ORGLA12G0103600 transcript:ORGLA12G0103600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRAASPKAAIVVAVCILLILSSSSVGPATAAMFCGDCDIICGASCDGSGVTSACGDKYDGQSPAEACDNCLRVTKRKCLTSCADYCSTHCT >ORGLA12G0103500.1 pep chromosome:AGI1.1:12:11888024:11888290:-1 gene:ORGLA12G0103500 transcript:ORGLA12G0103500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSSLPSSYLHLGRAWGGTSSPHGGARRVVGGLPGPAGREKAGDGWRPGGGSRVASLSLLSGGGNRAAVRQRQQRQPKFMRKWQHTV >ORGLA12G0103400.1 pep chromosome:AGI1.1:12:11885243:11885518:1 gene:ORGLA12G0103400 transcript:ORGLA12G0103400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVASPVKVAVVAAVCVVLVVLSSSPAPAAAQMICSKCDQYCNSSCAGGMGGCSGACTDPASSSCTSCKQAYYYKCMNYCGSYCRSNCVNS >ORGLA12G0103300.1 pep chromosome:AGI1.1:12:11873572:11876750:1 gene:ORGLA12G0103300 transcript:ORGLA12G0103300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GATALGVQLLALLLVDPLVHEVPGHRGAPRDARRHVRRARPDPPGPGEGEHEPPPGARHPCLHLPPLQDPVRHRPDPTFARYVERTAFERPLTSGLAYAARVTHSERAGAVRAAASXPGASERXTSPPNGRGRRSTYAPVIFAQDAYKHVVSIDMLSGAEDRGNLLRARESGKVVLTAPFQLLNKRIGVVLTYAVYKSELPLNATVHDRIQSSIGYLGGVFDIEGHVDKLLEKLAGKEPMTVNIYDTTGESMIRMYGSSNESASGMCHVSTLDFGDPLRKHEMHCRFTQGPPWPWLAVASSYGTLVISLLVGYIFHFTDKWIAKVEDGYKATDMQMPAKDEFAATERISDMERDLKEDALFFDTIKSPSLLEISRLLNHRDPAQNVLQEEQELNLPLEAQDKLKETERKLGRMSKFITKVMKLTSASIRCLSSRFHCFNKKVWSIILLGFLLFILVIGAFDQPYNNQPLSMGGEGDNNMMLKNFGFSRGRLLIDTLHGTWTKRGVQSSDTIRVDLRKMTGNSDSSGRQLKHXSSHKSSEIPAVCMFRXMESKLWSTAIXLXMRKHWXIGCQMVIPLSQASISKKLQGYKTEQTSLTCQQTSHTKQQXAPGKIVLLPVIMLVLLGSIIWRRCSDHRRRVQQEELELLGIMGPSRFQLQDLVAATGNFADENKLGQGGFGPVYKGYLRDQDLHVAIKVLSRRQSCQEQSAQGLREFKAEVKVMTQLRHRNIVKLVGWSDSKKQLLLIYELMAQGSLDKHLYDPEKILTWQQRYQIKFANLYKIVLDLGSALLYLHHDCEKCIVHGDIKPANVMLDVSHNAKLGDFGLARLVEHGGEPQTTQVVAGTPGYIDPEFINNRXPRTELDVYSFGIVLLEIACGKRPASRQLPNGASSLLAWVRDLYDQGKILDAVDQRLNGEFNQQQMERVIVMGLCCSHQDPIQRSSIVQAMDVL >ORGLA12G0103200.1 pep chromosome:AGI1.1:12:11869636:11870028:-1 gene:ORGLA12G0103200 transcript:ORGLA12G0103200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTQEQLTGDKVRETQAVVEEYICTQVVAEESSSSSSSEPDSGSYHSPSDPHPSPAKTRKGGSDNEVDSDYNPPEPVKNAPKGKSKPQPKLKLQLPCAP >ORGLA12G0103100.1 pep chromosome:AGI1.1:12:11855460:11857164:1 gene:ORGLA12G0103100 transcript:ORGLA12G0103100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTAATAAVVVAVAVVAAMAMPAAGQGAPSGSPAPPYKNHTVAGADGWFFNATSNTTSGNYSGWAAGETFYLGDYLIFKTDDSSSVVQTLNATAYSLCDAEGPETLIYSPGHGDAASASPRAATIAVPLTVEGANYFFSEAGDGAQCEEGMRFEIKVAHGRGLPPDLAHPPPPPKPRVLAPPPDGTSMSPGVAGAGAGAAGDLTEGKSGGSRAGVGLLGVAVGVGLAVLVAA >ORGLA12G0103000.1 pep chromosome:AGI1.1:12:11848187:11848822:1 gene:ORGLA12G0103000 transcript:ORGLA12G0103000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQAILKTIWSPLTAVFRTKNCAATSSNLVVVNAGGEIIAGGGREDAIVTRKSRSSLEDLLKIESSSNPGTMAADEPLPDHMASPKNSSMESELQVVLAGFPENVHGGVIAGRPPASLDGGGGAIVVRAQTVRVKVNRLVVLVPSALRARSRAAKMVDAAALPAKRGSYWRIAGRGRGGDKSELFYQRPIPLGRRCRVQHLEEVTLSDN >ORGLA12G0102900.1 pep chromosome:AGI1.1:12:11846857:11847165:-1 gene:ORGLA12G0102900 transcript:ORGLA12G0102900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WIQRWAVKDRGGHGGGGGSGDREKGGGGSVGGRGARGDGDGGWDGSGGGKGSRRCIHGREGHGPEGRKRGEGRELQEATVTMEWTDPVAGDEGEGLSRWRRWI >ORGLA12G0102800.1 pep chromosome:AGI1.1:12:11842265:11842486:-1 gene:ORGLA12G0102800 transcript:ORGLA12G0102800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFGTFGYVNFLSCTRGFPKVFRTLSMSLVHGFRLPTSCINREGV >ORGLA12G0102700.1 pep chromosome:AGI1.1:12:11741182:11742489:1 gene:ORGLA12G0102700 transcript:ORGLA12G0102700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLHTFYMEASKKGLGNITARSPADCFGKEGYVWLDFSDLHAIYRPDKMDVNYVGVWCINHYIYLLIHPKDGTMVVLDPLDYRHQSYKEFLTILQYAYQYYKFKGGEQTRTREKLLLPRLECRTSFDDTGIKNVQRDLCHFIHHECCHVKGDFFDPEGALATSDEFKDLREWNSAMP >ORGLA12G0102600.1 pep chromosome:AGI1.1:12:11727113:11727334:-1 gene:ORGLA12G0102600 transcript:ORGLA12G0102600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVSCAYGMRSRIWKESKFGTIGYVKFLSCTREFSKVFRTLSMILVCGFRLPTSCINRGGA >ORGLA12G0102500.1 pep chromosome:AGI1.1:12:11689371:11689592:-1 gene:ORGLA12G0102500 transcript:ORGLA12G0102500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWDTMEVLCAYGMRSRIWKESKFGTISYVKFLSCTRGFPKVFRTLSMSLVRGFRLPTSGINRGRA >ORGLA12G0102400.1 pep chromosome:AGI1.1:12:11642961:11653706:-1 gene:ORGLA12G0102400 transcript:ORGLA12G0102400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPFLECLNWRSDPFAGWFPPWCDRPLCDCGDGCILKSSLMIQTRGRRFFQCANFDQTYRLMCNFIEWVDMENPQNDGTRAYPRSETRSDYLRRKDEHERRIAAEALEWHGNPATCGFTRWIDNVTPSYHGQKITESETQVEYQRLKDHENAMHIDRARRGR >ORGLA12G0102300.1 pep chromosome:AGI1.1:12:11613721:11617324:1 gene:ORGLA12G0102300 transcript:ORGLA12G0102300.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYXYETTYWNSSLLSTCHVRGNTTSKSTSKESYRGFRFWQLAKDXQNTYLSGLVQXDCKKLRQGEESIQYXWYVRNDDTRXCRLFAWTAIERRXDIXGTKNNKPELYNLYKKEGQTTINLEALREAIINSSSYDDHFIQRFILFSIGSFICPTTQRYVRSEYLNLVDEVDKMRELNWSSLTLNQLRKGIXKFREKETNIEGNVCLLQFWYWEKVQIDKLDATIYHSGRQRPLIQYWDKIKEKKQLSYLFGKGKVVDDIRGTIHCKEIPNEKAHDNDSETRTDEDVVCTSEEVHSITSTEQSADITLQERIQESIQTLQDNLNDFTKDFWPRMRSLILDCMENDSKCPERKDTTHVFEDVEQEQIDPREHVSNHNEESYINQNENMTCETKDNSNQINQSRKRLTGPIDRTYKPTNRTDFIYETRGKKKDIIRTQAQTKKTIVYIEKEDLTQQIIDKSPPKNTLRGQKKKKTNGQTPLKNSEELTKKEDPFITYINNTEDNKVMVHIEEVEVKRIKMKVLTQPDFLNDDVMDAYIQCLRYKEKGIRGDGKAFLEMAIKTGLLNVEGVHVEASKPRDKRWIRNMTRDYLAFDMIFLLINIKDTHWYLAILNAKRREVQILDSLAKPISKDRPDLRCVKEVKTFQQDLAGILINSELNNIKDRPLLPTTT >ORGLA12G0102200.1 pep chromosome:AGI1.1:12:11611949:11612206:1 gene:ORGLA12G0102200 transcript:ORGLA12G0102200.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFKEISKDDYFAKNKEFATWSKDENGKFFSDLSSESARDLFEKFVKTWKKGKLPSQYSEGITRGPRSAHRWNIKASYNQRTSAFC >ORGLA12G0102100.1 pep chromosome:AGI1.1:12:11609671:11610735:1 gene:ORGLA12G0102100 transcript:ORGLA12G0102100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENMSRHLHKPKLDELRKLIYETMDEEFEGRWADFKENGGTGNGQWITLMYRLREKWAAAYTDEKYLLGMRSNQRSESLNSKLHTLLKRNMSLMCLVKHVKLCIQRLHKKEAQLDAKSTNSVPFCRIDADPLEKDAARIYTEVVFKKVRAQIRLITGLEVISGTNQDGSSLYVVGLKDDNEVWDEVRVTFRGQSLEGVEYHCRKMECEDIPCSHIFVVLKFLGLDTIHRCCVVDRWTMGAKAAFRSYRNTDPNVWSEHMVRYRSLRNVGSDAFFEAAGNPEQTERAMDFLKGILDKGSSSHENIVAGEFGPVPTHFLSSNQPLEKRVLDPDEIRGKGAPSKRRRPFRETLHSNNK >ORGLA12G0102000.1 pep chromosome:AGI1.1:12:11593508:11595085:-1 gene:ORGLA12G0102000 transcript:ORGLA12G0102000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRLPASTRPTTHCSATFSPSSISLEFHIPDDWICEKDEAVEHQEGMAEILRHTKKAPATKGVLLSFPPSPEKSGIVVDGSFILVFECRKLTSDGPAFHFLLKFTLQKQMEGKFAEVTL >ORGLA12G0101900.1 pep chromosome:AGI1.1:12:11524605:11530008:1 gene:ORGLA12G0101900 transcript:ORGLA12G0101900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dihydrofolate reductase-thymidylate synthase [Source:UniProtKB/TrEMBL;Acc:I1R663] MGIGKDGTLPWKLPGDLKFFKDITVTTSDPSKKNAVVMGRKTWESIPLKFRPLPGRLNVILTRSGSFDFATAENVVICGSLDSALQLLATTPYCLTVEKTFIIGGGEILRQSLNAPACEAIHLTDIESSIECDTFIPPIDLSIFHPWYSSFPVVENGIKHSFISFVRVTKSIAEANDSSGKELTGNDSKKVKFQIENFSFLPKMIFERHEEYQYLNLVQDIIRNGAKKNDRTGTGTVSKFGCQMRFNLRRNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASRQYLDSIGLTQREEGDLGPVYGFQWRHFGAEYTDMHADYVGKGFDQLMDVIDKIKNNPDDRRIILSAWNPTDLKKMALPPCHMFAQFYVEDGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCDLSPGDFIHVIGDAHVYRTHVEALEEQMRKQPKPFPILKINPVKKDIDSFVTSDFKLVRYDPHQKIEMKMAV >ORGLA12G0101800.1 pep chromosome:AGI1.1:12:11517985:11519990:-1 gene:ORGLA12G0101800 transcript:ORGLA12G0101800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCETCQLKELELEQDEIKDVLRCILHTIFFHRTLTLVRPKDVDCDLFEITYVQCGLPDLEKEVDEKINQFIAWVEKHPNRRSQVCLSFFDEKNKNPSWFTSKTERIYWEQWFINLHVISPKGHGKSRSSKASTSIRGKALEEASSKRAALGLLIQEVLFQIINFANEKKDHIPPISDRIFNHEISIPSSSDSVFGWNADVLRRVLNS >ORGLA12G0101700.1 pep chromosome:AGI1.1:12:11493889:11494946:-1 gene:ORGLA12G0101700 transcript:ORGLA12G0101700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQVGVTRKISHPNTKAVATDRTIRNLVCHEDLRLTCRNHLSHQKYRPSLLGETPRRSRRAKTKGFVTKNRAKPGDEDQWVRHQAW >ORGLA12G0101600.1 pep chromosome:AGI1.1:12:11484745:11491283:-1 gene:ORGLA12G0101600 transcript:ORGLA12G0101600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGGGGGGRKSSSPAGKPAEAARAGSLLAGLPSRGNFVADSIASSMGGLPVYVCLHDTAPPEGQVIDTDTTNILIRSLQLSKQKNEAKDVGSRTPGESSKGKRSASRLLDGKNPSKRANTGSTAGSSAHGELGSVFSEQTLQSFTVEKLRILLKERGLSPKGKKDELIARLIESSE >ORGLA12G0101500.1 pep chromosome:AGI1.1:12:11473484:11476313:-1 gene:ORGLA12G0101500 transcript:ORGLA12G0101500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRQGALRGPSDYSQEPTRHPSLRINAKEPFNAEATRQDLVASYITPVDLFLKRNHGPIPILHDIDSYYVTVAGLIERPAKLYLNDIKKLPKYNVTATLQCAGNRRTEMSKSRKVRGVGWDVCALGNATWGGAKLSDVLQLIGVPYHTEVTPSGGKHVEFTSVDQCPEEKGGPYKASIPLGHATNPAADVLVAYEMNGEVLKRDHGYPLRVVVPGVIGARSVKWLDRIDIIEEECQGFFMQKDYKMFPPSVDWDNIVWSTRKPQMDYPVQFQSAICSLEDTNAIIPGEVTVTGYALSGGGRGIERVDISTDGGKTWFGAVRYQKEGAPYVAGDISSDKWAWVLFKTVIDVKGDTEVIVKAVDSSANVQPESVETIWNLRGILNTCWHRVRLLTIHNLRSLL >ORGLA12G0101400.1 pep chromosome:AGI1.1:12:11453082:11456291:-1 gene:ORGLA12G0101400 transcript:ORGLA12G0101400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILEALQQTSIPVTGSSSIAVSPENFIKCLKKFYHHWKEDGSNLWGSSTAIAIATPPPSYDIRYKKSLALSMWFFNHELPETIMVFTEKQIHVLCKQKGCDALKPLKMPVSKAVSIDIVLHNLAKGDNGSSLMDEILHAVSSHFESKSAVIGHLAREKPEGKILEVWSEKLNGSRLRLSDVSSGISDLLSVKDATEIMYVKKAAYLTASVMKKYVVPKLEKIIADEMKVQHSKLTDLMEKILLSPTKIDVKLKAENVDICYPPIFQSGSMYDLRPAAASNNDNLYYDSGSLIVCAMGAKYSNYCSNVARTFLIDCAGEKCNAYKVLCQAHDAAIAALVPGSKASDSYQAAVSLVRDKAPDLLPFFTKSAGTGIGIEFRETWLSLNEKNDRILKEGMVFNVSLGFQNLPEKTGDYKNKEFSLLLADSVLVCKEKPHVLTAFVSKADGDVFYSFDEEKTGSPSVKPSLNAKVMVPKKPVPKAELMLPLKENLRSRSRTPKEDLRKQLQAEILQKRTAEIAMNSNASNQKLLEGQGLRAMHEPVAYKSTRDIPCSNRLEIQVDKQNEAILLPIYGVIVPFHVCTVKKAEIRGDSNRGVYVCITFNVPGTASNLQDPCLKTNANRIFLKAVTFISKDRKHADEVIQLMRIIQRGVTERAKRASLVSQERLQLCDRMTRDRIQLMDLWIRPTFAGRGRKSPGILVLHVNGFQYSASKSEKIEIMFCNVKHAFFQPAEKEMITLLHFHLYNDIMVGNKKTRDVQFYIEVMDTVDLVGLRRRTAWDPDEIEEEQRERARRSGINRQFELFVKRVNSIWSQPKFEQLGLQFETPSQKLGFNGVHGRTTCFIVPTPSCLVQLVESPFLVTSLREVDIVCLERVALGQKSFDMVFVFQDLKRDVIRIEVIPMTSIDGIKDWLNDCNLKYYESKLNLSWRKVLKEVMNNPESDENNRWEFLNPDASDSDSESSQTEDDQYEPSDADSCSESDDEDSDSESVVDSGEDDGAMDGSEDDGGDAAESWDEMERKARDADMEMGSESDSEDERRRRREKALAKSRRPSHPQAKGAAHKRQRIN >ORGLA12G0101300.1 pep chromosome:AGI1.1:12:11447877:11448215:1 gene:ORGLA12G0101300 transcript:ORGLA12G0101300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSNNDTEVASMVKTIKDKFDKYWLKSYKYLCIPVIFYPRFKFKFVEFRLGQTFGENAKERIDKVKKRLNMLFNEYLYKLKDSNANSLRQAEHVMAILENDPMADWVQHITKQI >ORGLA12G0101200.1 pep chromosome:AGI1.1:12:11426821:11429738:-1 gene:ORGLA12G0101200 transcript:ORGLA12G0101200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGIADAIHHHGGAATLPQIVTRVTSLHPSKIPCLRRLMRVLTLTGVFAVEKPAPVAATDEPPVYALTPASRLLLVGSGNHHQQAPIMSFLLHPSFIAPFLRISDWLQRELPGPCIFEHTHGRSMWEMADDDAAFNKVVNDAMVSDSRLVMDVVVREHGEVFRGISSLVDVAGGHGTAARAIAEAFPEVRCTVLDLPHVVAGAPVGAGPGVEYVAGDMFESVPPANAVFLKWIMHDWGDDECVKILKNCKKAIPSRDAGGKVIIVDMVVGVGPPDQKRLEMQTMFDAYMMLINGVERDEQEWKKVFVEAGFSDYKIMPVLGSRSIIEVYP >ORGLA12G0101100.1 pep chromosome:AGI1.1:12:11390284:11391941:-1 gene:ORGLA12G0101100 transcript:ORGLA12G0101100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQSNGQHATSSLDALSELYGNTFSVIKSMALKAALDLGVADAIHHHGGAATMAQIATRVTLHPSKIPCLRRLMRVLALSGVFAVQKPAHGGAAAAIDDDPPPVYTLTPVSRLLIGAGNQRHMMSMLLHPSIIAPFLRISDWLQLELPEPCMFKHTHGQSFWEMTNEDAAFNAVVNDGMASDSAFMMDILVRKHGEVFRGISSLVDVAGGNGAAARAIAKAFPEVKCSVMDLAHVVADAPRGTGVEFIAGDMFDSIPAANAVFLKWIMHDWSDNDCVKILRNCKKAIPSRDAGGKVIIMDIVVGVGPSDQKHRDVQILFDALIMFVNGVERDEQEWKKLFVEAGFSSYKIMPVMGFRSIIEVYP >ORGLA12G0101000.1 pep chromosome:AGI1.1:12:11377870:11378034:1 gene:ORGLA12G0101000 transcript:ORGLA12G0101000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMDDLSGQKRICVIRDVYGHHRLGFASGSGLLCCWAAGLWESEKMKKALGVD >ORGLA12G0100900.1 pep chromosome:AGI1.1:12:11339572:11341898:-1 gene:ORGLA12G0100900 transcript:ORGLA12G0100900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQSNDHGAGLLDAQLELYANTLAVVKSMALKTAMDLGIADAIHHHGGAATLPQILTRVTLHPSKIPCLRRLMRVLTLTGVFAVEKPTAADEPPVYALTPVSRLLVSSGNLQQAPIMSLLLHPSCITPFLRIGDWLQRELPGPSIFEHTHGRSLWEVADGDAAFSKVFNDAMVSDSRLVMDVVVREHGEVFRGISSLVDVAGGHGTAAQAIARAFPEVKCSVMDLAHVVAKAPAGGTGVEYIAGDMFESIPPANAVFLKWIMHDWGDDECVKVLKNAKKAIPSKDAGGKVIIIDVVVGAGPPDQKHIELQALFGAYMMLINGVERDEKEWKKVFIEAGFSGYKIIPVLGFRSIIEVYP >ORGLA12G0100800.1 pep chromosome:AGI1.1:12:11336660:11336932:1 gene:ORGLA12G0100800 transcript:ORGLA12G0100800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACERVQSTTLRPGDSSMLPPPALDLAGMVSLVLLPCLSAALGAGTGGPELKGAGSGCPELKGTGSGCPELAPNKSRAEGKRSIGWRQRQ >ORGLA12G0100700.1 pep chromosome:AGI1.1:12:11327031:11328263:-1 gene:ORGLA12G0100700 transcript:ORGLA12G0100700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEMSRDQNGQYKNVKKSERFCAGIRTELLSSHRRDRAQQGELGVARNKATRSRMGNRLKAEKKEASSPRKGVIVKLTLSISLPR >ORGLA12G0100600.1 pep chromosome:AGI1.1:12:11283204:11283893:1 gene:ORGLA12G0100600 transcript:ORGLA12G0100600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYSLLGICSTVAVSWSSSMGGWWTVMCVDFPLPIALVEISGRCKTNDHGKKNYLSFLVLNLGDERTYGLVWVLETGGVAERLMMNVVFFMGDGDLVVLVFDTEFLDK >ORGLA12G0100500.1 pep chromosome:AGI1.1:12:11272874:11273198:1 gene:ORGLA12G0100500 transcript:ORGLA12G0100500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRRGLCLVEGRRQAPAATPSPPPDPVAGSGLPRPAPVGDGARVGSSADLGLVCFFYLVHVLSFNLSHMCTEKELLLLT >ORGLA12G0100400.1 pep chromosome:AGI1.1:12:11256529:11256750:-1 gene:ORGLA12G0100400 transcript:ORGLA12G0100400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMWSRIWKESKFGTIGYVKFIYCTRGFPKVFRTLSMSLVRGFRLAISCINRGGA >ORGLA12G0100300.1 pep chromosome:AGI1.1:12:11249133:11252659:1 gene:ORGLA12G0100300 transcript:ORGLA12G0100300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKRLNIVHSSCHHGNGEGSSSGQSLPQVEPPLPYEDEVIHVEQQDDEPLNMPVQDEEVKKRKGTTLRYVWDLPPGKRIVVKCNRLGQPIGDEGGLLGQFLGTLARNGAYCPLDKMTWRKIKADEGDLTILQFVQTKFLYPPSCVQWILKSIGRDWRRYKAALKDKYFNPKKKRSALYKLCPDDVEKDQWIPLIKYWKSKKGKALNAKNKRSRSMLQNPHSAGTKSYARWSEDLVTLENLIDEQLELAQNDQGRVAWEGNALNKVLGKEKLGQVHGMGLLPVPKQVYGRTSHHLKNINITTVNDSSSDEETHVRGEVGELKKLVKTLGQRIEELENKGTSNGNSEPTMAISQRTFDDGIEEGVVRTNRKNKRRCEEQQNMHHDNILDLCGKKHQEADNNIGSPCQDDSSSQPHLAHDLRREMNKKKHRNLEKFAKTTEKQDTQKKTAHHMAQNRVHSSSMKVGTTIILVTAKYPNKETVAYATYLSSNPRDKVDGVEIGNEFTKVVVNHPLKEDEELVQKING >ORGLA12G0100200.1 pep chromosome:AGI1.1:12:11242825:11245098:-1 gene:ORGLA12G0100200 transcript:ORGLA12G0100200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNNIKKLLLFPRPSDEYLAGIDGFLQFAYREKSLEDNIRCPCKECVNKWLLTRDEVYDHLVCHGMLLGYSPWGCHGETTSFISANSRGTESQSRGMDGNMCQLVQDAFGNTNNDPPVNEYDVQNSLNSGPDHETKAFYDLLRDAHDPLWEGCELTRLSFLVLLFHIKSVNKWSNKSLNDLLAILQQAIPNGKNLPGTFAEAKKIIGKLGLNYVKIHVCPNNCQLYGKAKANDDFCSKCGTSRWKNKEDKTTLTKKERRRVTPRKVLRYFPIKPRLKRLFMHKETAIALRWHDEGRTKDGVLRHPADSEAWKSIDSRNPQFASDSRNIRFAMASDGFNPFGTLSSTYSCWPVVLIPYNLPPWLCMKASSIMLALIIPGPSYPGKDFHLFMEPVYEELFDLFEVGTPTYDASQNEMFQLRAIVLFTISDYPEIGIFAGYSVNGVFACITCREETCSKRLKHGHKYCFMGHRRFLPLDHELQYNENSFDGTEEHRVEPLAYSETSVLQKIEKINDFEKSKTWKCRSGLFSLPYWDLNVLHHNLDVMHIEKNVCDNIYGTLLGLEGKSKDNLQARLDLQEMNIRPDLHPIRKANNKYYLPPASYTMSKREKQQFCKVLHDIKVPDGYAGNISKCINVSQGKISGLKSHDCHILMQELLPVALRGVLPDNVTSVLFDLCGYFREQSSKVLYIDVLDKLEEQIKMTLCRLEMIFPPGFFTIMVHLVVHLATEAKLGGPVCYRCMYFVERYISLTNIISFVI >ORGLA12G0100100.1 pep chromosome:AGI1.1:12:11227048:11227269:-1 gene:ORGLA12G0100100 transcript:ORGLA12G0100100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFIYCTRGFPKVFRTLSMSLVRGFRLAISCINRGGA >ORGLA12G0100000.1 pep chromosome:AGI1.1:12:11222172:11222568:-1 gene:ORGLA12G0100000 transcript:ORGLA12G0100000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAKEVGLLNGVIPLLVDDGVSILQYADDTIIFLEHDLQQEFRCILGSFLIKIGNLLKRELRKSLVVGKASIYLLVVD >ORGLA12G0099900.1 pep chromosome:AGI1.1:12:11220925:11221644:-1 gene:ORGLA12G0099900 transcript:ORGLA12G0099900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVPLNVSFRRALVGQNLVCWHQLCASIVHIQLNDLSDSFRWNFHQNGLFSVIFKATHWLRFWAQLQRCDEDEEFLKVACRKLETTVMQLFANY >ORGLA12G0099800.1 pep chromosome:AGI1.1:12:11217660:11220137:1 gene:ORGLA12G0099800 transcript:ORGLA12G0099800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGGLLAGKLGEDGNDARGMMTMACHREFPSPIKTRGWGLPIAVCLAAPEAPRPPQAIATPEAPQPSPAIAVQVRWKPAAAAYLTTQGRGKCSRRHTGDLSSTRAQLLPRPSPHALPDRTAAHAGIDTIAAVPALATHVGTATVAEHTEAVAGRRLNTTELGEGMGLGPYPFTQGYNSKLNPFSVSICIFLTQSHGCHLTGVKSEGKRRVRFQKEGAKMQTLKSRGKTAVDIQNEGMDAIAP >ORGLA12G0099700.1 pep chromosome:AGI1.1:12:11133257:11133547:-1 gene:ORGLA12G0099700 transcript:ORGLA12G0099700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKLAEMLMQLLLVASAVAAFVVGYAMADFQLMLLVYAGGVVLTALVTVPNWPFFNRHPLKWLDAAEADRHPRPQVSAAPSTVGKKKAGKNK >ORGLA12G0099600.1 pep chromosome:AGI1.1:12:11123044:11123403:-1 gene:ORGLA12G0099600 transcript:ORGLA12G0099600.1 gene_biotype:protein_coding transcript_biotype:protein_coding NYNVYLGITASSKSNLQFIEHRGMRHRRECRCHMVVVKVVLAVAFIDIVVFVVGGLGGSSPPADGQAAALVKALPRGFIYIDGNGDDDGDGGRAANNTRGDGQNGRANSWITAPRNEEC >ORGLA12G0099500.1 pep chromosome:AGI1.1:12:11116344:11118899:-1 gene:ORGLA12G0099500 transcript:ORGLA12G0099500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:I1R639] MLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKIIGSICAVSSGLDLGKEGPLVHIGACLANLLSQGGSGRHRLRWRWLRYFDNDRDRRDLITCGASSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRNGRCGLFGEGGLILFDVGDVAVRYHAGDLLPVTIVGVLGGVLGALYNHVLHKVLRVYNLINEKGRAAKLALALAVCALTSALLYVTPFAVPCTPCDPAFGGACPTLGKSGNFKRFNCPEGHYNDLATLLHATNVDATRNIFSTGTAGEFRLDSLLIFFAVYCVLGLFTFGIAVPSGLFLPIILMGSAYGRVTALVLSRFARIDHGLYAVLGAAALMSGSMRMTVSLVVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEAKPEPWMKDLTVGELAAAKPRAVALQVVERVSTVVEALRATRHNGFPVLDRPRPGVSELHGLVLRSHLVAALRKRWFLPERRRTEEWEAREMFSSAELADKCGGVDELEISPEEMGMYVDLHPLTNTTPYTVVETMSVAKAVVLFRSVALRHMLIMPKFQGPEISPIVGILTRQDLIAHNILGAFPHLASKRKTH >ORGLA12G0099400.1 pep chromosome:AGI1.1:12:11087978:11090683:1 gene:ORGLA12G0099400 transcript:ORGLA12G0099400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGRGKSSKAKKGSSTPLQSKEKIAANVETVAAGSNNRQVAPDDNMPLGEAGYASSRDEVFFEACPWLESDCEDEFYSINGDGTPARSFRTNSSNHAIQPEPRKLPTLGAILKAEPLRPPPPPQETPPTPPSPATTMRLADLLRERQESFTCYDGPACAISRTGSSCGAGNGEQWSHCCIPSFVPLTSVSYAKGRRKRR >ORGLA12G0099300.1 pep chromosome:AGI1.1:12:11084456:11085994:1 gene:ORGLA12G0099300 transcript:ORGLA12G0099300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIFSAIVGDVISRVISLVVSNFNGDHSTEVKLQRICRMLIKIHSVVEEAKGRQITNHGTLEWLSELIDGAYQGRYLLDTIGCGEPDLDDKNRDEVDPKPFSLSKFNPAKRVRVAAFTVRNILSRHDIGVDEIDRVVESLQSMCGDLKEFMMLLQACQPIHRPLATNIFIEGQMFGRHVDKEMIINFLLHEDDLPRGKLGVLPILGDIGVGKTTLVQHACDDARVRSHFTTILLFNFSHTYKMEMCEPKPVLRPKHVIGDVGNSDDPLHELEQSFFNKRFLIVFEDVDIHRKNMLEELLKSLNCGKQGSKIIVTTSNKHVTTIGTVQPIKLKFLPCPEYWFFFKAHAFAGTDVQENPRLVAAGKSIAAKLNGSFFGAKIIGAILKENPDPKFWCTVLQRDIGGLSLLGDGLGYIADLVEILLPSRLSVKEVFVSKNPLSSETELARLQGLCLPCPSSAPLATHSSELSLAKATSYEIVLLCKAVLPFYSLYYTAKCAVDSENCYSKFSVV >ORGLA12G0099200.1 pep chromosome:AGI1.1:12:11082310:11082798:-1 gene:ORGLA12G0099200 transcript:ORGLA12G0099200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQICAQVWLATSLLADGLAVAGQALLANAFAKKDHXRWRXPPPACCSLPSSSASGXRPSSPPACGLAPAFSPATPPSSAPSTKAFRSSLARRR >ORGLA12G0099100.1 pep chromosome:AGI1.1:12:11071337:11071675:-1 gene:ORGLA12G0099100 transcript:ORGLA12G0099100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAREVDSRKRRGHSLIGIGKTDQSSSSLFSFDLAAACVKVGRMVALNLKTDLGDYYDSIFDVEGVKM >ORGLA12G0099000.1 pep chromosome:AGI1.1:12:11069535:11069976:-1 gene:ORGLA12G0099000 transcript:ORGLA12G0099000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCRRRMTSPLSSLVAVLLIVVAVQSRAWALDQLDDMVPMKTAKPVVVAIAGGGGSGVVGTLAAPLCLQCRCCSKANPSNCQLTSCSSTFNCDPAGKCTLVQQRCGC >ORGLA12G0098900.1 pep chromosome:AGI1.1:12:11063130:11063740:-1 gene:ORGLA12G0098900 transcript:ORGLA12G0098900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:I1R633] MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAGSSKASHADDDDN >ORGLA12G0098800.1 pep chromosome:AGI1.1:12:11055211:11056446:1 gene:ORGLA12G0098800 transcript:ORGLA12G0098800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRGRGEGMARRWARRWGARSALRGSSDGRRRRQIWSPRLAGVIGDGLGRRRRHSADLAGGWLAAAMVADVAATKLAKTAADCGTCRDAGPRQEPRRHEGGAAPSSMLRLXTPCPXHLPPXCRRPALRAARPIRATPVGSGRLASGGASXRRWRLDGGGXTGVSVAVAVAVAMVADGGSWRRWWLWQWRWRLSTMVPMAAMVEGHHPADGNRGRKPCRAIWPADNGDAVWRRSPPWRRCFSIPLSFPYHILRVKTLLRFRTSGGGDPRHILLGGTALEKSLRARILSLVYALASNFSPRL >ORGLA12G0098700.1 pep chromosome:AGI1.1:12:11052322:11052540:-1 gene:ORGLA12G0098700 transcript:ORGLA12G0098700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAEPECAGGKTSWPEVVGLSIEEAKKVILKDKPDADIVVLPFGTAVPEDFRFNRVRIFVDTVADTPRVG >ORGLA12G0098600.1 pep chromosome:AGI1.1:12:11033674:11033967:1 gene:ORGLA12G0098600 transcript:ORGLA12G0098600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAQESDGLGDGARLLERRLEAVKLGFALARYFQRSSASGKWRPVFLSFLGTQWRQRRPKATTDDDERRGWSGAGAWREKASAGRAFPATAEDGT >ORGLA12G0098500.1 pep chromosome:AGI1.1:12:10965226:10966956:-1 gene:ORGLA12G0098500 transcript:ORGLA12G0098500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPIAAMTFAHPANVMTLASRQPKSKRSHISPATTAHRNLQTRLAHHHHATPASLPMAICNTVDKVINRFIDLPEQRPTVDPRRVLSGNFAPVDELPPTSCHVIRGSIPSCLAGGVYIRNGPNPQHRLPRRTHHLFDGDGMLHSLLLPSASSTPLSEPVLCSRYVHTYKYLLEHETGGPVLPNFFAGFHGVAGLARAAVMIARVLAGQINLNKGFGLANTSITLFADCLYALCESDLPYSMHINPANGEVTTLGRCDFGGDLSFRMTAHPKKDPVTMELFAFRYNVFQPFITYFWFDRAGSKVADVPILSLQKPSVMHDFAITERYAIFPESQLIVNPMDMVMRGSSLVGLDRTMVPRIGVLPRYAKNELDMRWFEVPGFNMLHTTNAWEEADGEEIVLVAPNILSIEHMLGNMELMRARVDMVRINLCTGDVSSTTLSPESLEFGVIHQGYVGCKNRYGYFGVSGPLPKIKGIRKLDFDLVGSGDCTVGRRDFGLGCFAGEPFFVPDNIDGYGNEDSGYVVCYTHEEDTGESWFVVMDAKSPELDIVAEVQLPSRIPYGFHGIFVKQAELLAQQ >ORGLA12G0098400.1 pep chromosome:AGI1.1:12:10844755:10845036:-1 gene:ORGLA12G0098400 transcript:ORGLA12G0098400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLFGVNRRWTQSELLGIKLDWWTTTQGISSSLVPTCQNKRRSSQEGLANNQWIADIKHNLIVKLVKESFEIFYQVWNIDIVLREGVEDATN >ORGLA12G0098300.1 pep chromosome:AGI1.1:12:10832285:10837251:1 gene:ORGLA12G0098300 transcript:ORGLA12G0098300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVVDPPQNMHRLLLEGRSVLPPRTWTSPSGEGDILAVLVTETDVSKATSSSSRFTNAAAALAKLDGELGGLLSEASAEEEFAGRAGQSVALRLPAAPGLHGFKRVCLVGVGNNMPSSAAACRSTGETIAAVAKSAQARSAAVALASPPPGWVQGEDLRLNAAAAVASGVVLGLHEDRRYKSDSKKVHLKQVDLIGFGSGQEMGRKLQYANHVSSAVIFAKELVNSPANVLTPAVLAEEASNIASSYSDVLTATILDEEKCRELKMGSYLAVAAASANPPHFIHLCYKPPGGNVKRKLAIVGKGLTFDRFYLSLHNLLIVTKFVCSGGYNIKIGAVTTIELMKKDMGGSAALFGAAKALGQIKPPGVEVHFISAACENMISGTGMRPGDIVTASNGKTIEVDNTDAEGRLTLTDALVYACKLGVDKIIDLATLTGYCRIALGPSIAGILTPSDELDKEVAAAYEASGEKFWRLPLEESYWEQMKSSVADMLNTGSPLGGAITAGLFLKQFVDEKVQWMHVDMAGPVWNYKKQEATGFGVSTLVEWVLINSS >ORGLA12G0098200.1 pep chromosome:AGI1.1:12:10829584:10830617:-1 gene:ORGLA12G0098200 transcript:ORGLA12G0098200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQCSARSMAGRQWQCRCGEARPGGSTKRRRGGXDGSRRHSLGPGVTTATMASALAPFGALDECRRWWWVQIGADEEGCPAANYDIFGVSSGKFTSLGCPTANYTFFELL >ORGLA12G0098100.1 pep chromosome:AGI1.1:12:10811644:10812825:-1 gene:ORGLA12G0098100 transcript:ORGLA12G0098100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHHHRPPPPPSPRRLLRPVSVPAPPHPRRRPRPPLASLQPPPPPLPPLPGKRSSDPVEANRESAAAAAVVLEEETGAREEEEGYVASVGAGAYPAAGLPAHLRAGVGDPVFFLLAFVAVATSAAFTSMVAVAIPTMLLVSYTQDGLIMTVEKKIRRKVL >ORGLA12G0098000.1 pep chromosome:AGI1.1:12:10810365:10811239:-1 gene:ORGLA12G0098000 transcript:ORGLA12G0098000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAANSFTLLADAALEELPSTMSAVRLSGMEISDLTLELSDLSQEIADGVNKSAKVAQAVETGLGQMRDLAMQQATSMIEERANLQTIPNSAKKSNGSSTRQRRQEKGKDHSTNRMEP >ORGLA12G0097900.1 pep chromosome:AGI1.1:12:10800500:10801194:-1 gene:ORGLA12G0097900 transcript:ORGLA12G0097900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHLVFVPLMAQGHLIPAVDTALLLATHGALCTIIATAATVRTHRRLGPAVRLEAVLEEKSGELAFPRMRQVFMLNNTHAIVRRAVRSNLAMFLPPGWARAREERMEGYVKSYLDVSWAPIVSRDFSLLFNRKLLF >ORGLA12G0097800.1 pep chromosome:AGI1.1:12:10778790:10779011:1 gene:ORGLA12G0097800 transcript:ORGLA12G0097800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMVDGDSIWHTMEVLCAYRMRSRIWKESKFGMIGYVKFVSCTKGFTKVFRTPSMSLVCGFRLPTSGINRGGA >ORGLA12G0097700.1 pep chromosome:AGI1.1:12:10764636:10767241:1 gene:ORGLA12G0097700 transcript:ORGLA12G0097700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCNDKSSASSMEKQLFGLQTYAQNKYGGITPKKPLISKDPERAYFDSADWVLGKQAANGSARAAIESLKPKLKVKLECSLASKSQGIEDGNSNLKLAG >ORGLA12G0097600.1 pep chromosome:AGI1.1:12:10747780:10755935:-1 gene:ORGLA12G0097600 transcript:ORGLA12G0097600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSAHPGCCSGGGSRSPGLCEPSGWVVMGIEDYHVIVLVGEGSFGKVYMGRRKYTRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDSFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALHYLHSNRIIHRDMKPQNILIGKGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPENMSAHFKSFLKGLLNKSPQSRLTWPALLEHPFVKDDSVEPAADNGTVPYEVKGSEATWKADEIQTSRKQPPVTDPQSRNTVENREIVYDKQNNKKVEGPKHAAEDHHGSATGPGSDISILSECSALDKLEKTSQTVKGANAIIEDSEVLSTALSPIKIWLSNTSTSPRELNIDDANQSLRIVKNLIEAGSYQSFAAIDDIICMFLECTSVIIKTKISDAYSFAVKCLAIGRKLLDTSEGPVLQSYDRHWSSLYELYSQILVSTIDPSGRISRESTACLALMLSRVISGLKTSISSESPKPMEESLIKIINHARSSQLLELLCECLIASGSDIVSGSTNMVPAACEACKAIWYLAHAVDIMSLGTHNFSFPLANSWRQVHAKLDGKMQDQGSLPASQKKDSLSDIDSTHLINIFVKSFLASKPMQVAVYHCLHNGLESAIHASLQLISRACLLDASFCAMICGPINPSSNVNEVEHGGDGTIVSDMFSLLSLCGSYLNKESKQNSNQKCRLSNPHALVVHCCLALATIAACLKSEGNSSASIILTSSQKKQRSRLSVLAHLSSVDDTVKSCLQPHCASAMLALSSLISLENGGQSRSSLCETALALFPRMATIHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGCVGLLETRLKWGGPLAIEQACSVGIPQLLIRLLTDGFSKEPSDE >ORGLA12G0097500.1 pep chromosome:AGI1.1:12:10747252:10747452:1 gene:ORGLA12G0097500 transcript:ORGLA12G0097500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGKPRRYSKSKGISSKGISGKLQRLRYDEFGIKEAQQASPSLLGPFAIDQAQPIIPLKAHMCK >ORGLA12G0097400.1 pep chromosome:AGI1.1:12:10735043:10735597:1 gene:ORGLA12G0097400 transcript:ORGLA12G0097400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRRRSNGAWEINLVRRSPATARTDRCSRLLLLWSGFVGVVVVLYLFVGHVWASVATAVLLAAAGWFTWYYFGAAPAPPVLPDHQQPAAPVEARGLSQEDIEAIPAFEYRRGSSGGAVAQCAVCIAAVKDGDTVRRLPACGHAFHAPCVDGWLRDHATCPMCRADVVKVAGETTPATEEPPV >ORGLA12G0097300.1 pep chromosome:AGI1.1:12:10702007:10702975:-1 gene:ORGLA12G0097300 transcript:ORGLA12G0097300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATASSVVISRFVKLDELASGGCGVVYRARDRRSGEIVAMKCIRSYRDDCGELVDRSDFDREVAAMEACRGHPYIVQPRAHGRCDDGEAVLVMEFVGPTLRQVLRRERGGRTRRSELEVRVAMWQLLSGAKRMHDAGFIHRDLKPDNVLVDARGNLKICDLGLTQSTASPPPYSNPIGTRWYCAPEILLGSTDYDERVDAWSLGCIMAELLARKPLFRGSSDREQLGEIVDVLGVNDIKRWRGYKGQRLLGGCGPDSFLRGFFPSPADARMLHRPPLSEAGFEVLSGLLTCNPEKRMTVAQALQHRWFKEADSASLRHRR >ORGLA12G0097200.1 pep chromosome:AGI1.1:12:10699186:10700981:1 gene:ORGLA12G0097200 transcript:ORGLA12G0097200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNDDEKPATTPIPNGGSKQGLVTMNIPTYSKKDVALTADSVTAVVEIKATSSTAVREGLDLVAVVDVSGSMRGHKIESVKKALQFVIMKLTPVDRLSIVTFQSSAERLTKLRPMTQDFRGELDGIVKSLIANGGTDIKAGLDLGLAVLADRVFTESRTANIFLMSDGKLEGKTSGDPTQVNPGEVSVYTFGFGHGTDHQLLTDIAKNSPGGTYSTVPDGTNLSAPFATLLGGLVTVVAQDVRLTLTPKTADGDLDKMEVADGTDYTQTTDAKGEITIKFGTLFSGETRKVAVNFTLNESPDTEEYNATLAVARHSYVAQEAPQPAQNIVRLRKPEPTTPGSDDGIEERSVQAEVVRRRHADLIGKASELAHGQKLGDARETIMDAQNALGDILLDDGDRMVNALQAELLRLLEYMETQALYDKLGHPYALATIISHRRQRAAGRGDEEVISLYVTPRMIAYLAQAKKFEENPEAPVPSADEDVKQEMAANPLAAISAPLGFYLENAIQALQAIQKIIAANTI >ORGLA12G0097100.1 pep chromosome:AGI1.1:12:10673644:10675974:-1 gene:ORGLA12G0097100 transcript:ORGLA12G0097100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRGSIAFFGTYRPPVPLDIFSCPANPPPQSAKDELLLTDGESYNQNGQPIPAAALKELLTFLGKKNPKLASECGATPEDAEKGRVTGLVFVSERDRGLETLHVALRFVAGGKFKVLSLGNIYGADTFGGVRMEDSGCVAGGFKVGRTTVGHSLVYVSTKAPVKARRTPWTVVYRTDLADGKTERLTPLGQYDLSPAVSPSGKMVAVANFQQNRWNGEIENLKTDIVVMNVDKRAQGRSDRRVLIKDGGWPTWGSDNVIFFHRGFDTTPPSNTARWGVFRFDIAAGKEERVTDESIDAMTPAAISETQVAVATVREKSKQVLMKVERVVTQYRHIEIFDTASKTSVAITQKTRPEGDHYNPFVLDGGTRVGYHRCRTDKLLKVQNQKSTPTTSIQRRFDKVQPPESHADVGLFRVTGVFPSVSKNGKKLAFVDNEFKAVWLADGRGLRVVYKVRATKSVFSTSWNQNDDLDTLYVCEGPAFSIDKPVQIMRIPNVSREDYENMETFPLTDEEYNCAFPSTNAEGTKLVFRSSRNRVAGGERQHKNLYIIDAEKGEAAGVVPLTDGPWTDTHCSWSPREGCDWIVFSSTGRPEKDIVKGKDEPEKDHGLDPGYFAVYLVNAKDIKKGEVPVPVRVIHSAPTIAGHINHPVFSPDMKSIVFAADLAAVSADPISMPHFTHSVRPYGDIFSVNLRDTTDMAKNRDIQEFHRITHSRYEYSTPTWTGIADDEEDPNAKWKMLESLPNFTPWCPYARGEAGEKEGWHMTGHLTIKKRCC >ORGLA12G0097000.1 pep chromosome:AGI1.1:12:10663925:10665469:1 gene:ORGLA12G0097000 transcript:ORGLA12G0097000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNVEMWGAVWSALASLVFLWPMLQNHVPAGLRHWLTAMADKLASHLSPYLHITISEYGDHRFRRSDFFLAVEAYLSHACARRARRLKADLGRDARSVQVSVDDHQEVTDSFRGATLWWYPSSKSNKSSVISFYPGEDERRFYRLVFHRRHRDLVLDGCLPHVLAEGRAVTVRNRQRRLFTNNASTSWNPYRRGKGVWSHVPFEHPVSFDTLAMDPGDKDAIVDDLVAFRDGKDYYARVGKPWKRGYLLYGPPGTGKSTMIAAMANFLDYDVCDLELTAVKNNTELRKLYIETTGKSIIVIEDIDCSIDLTGKRKKASGDNKASDGGGEGSDDKPKLPTEADRDDGGSKVTLSGLLNFIDGLWSACGGDRIIIFTTNHKEKQDPALIRRGRMVVHIEMSYCGFEAFKVLASNYLGVEQHELLGDIRRLLEEADMSPADVAENLMPMSKRKKRDPDACLAGLVEALNMAKEEAQANKAAKEKEEMKAKEQATTNGEDEGKDKMPSEEYKANGDI >ORGLA12G0096900.1 pep chromosome:AGI1.1:12:10600921:10601313:-1 gene:ORGLA12G0096900 transcript:ORGLA12G0096900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRPPPPFAPQNPTPAPNPAASSLPASFSNLHVSRAPPPFAPPGGNGPVPSSIRAPQAPPPGARPFPGSPPPPSQPPPPFARPAAPVQQQPPPFGGPPGVMPSQPLQQQQQQQRPAFGGPPSGAPPAQ >ORGLA12G0096800.1 pep chromosome:AGI1.1:12:10588745:10600675:-1 gene:ORGLA12G0096800 transcript:ORGLA12G0096800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAAAGAHWWVEDAVWRATGAIAAGSVWWSTTVARNTASAFWGSGCAVVPATSVHGGARECSAIQASGLARASTTNRGRGAMSAGMQPMPGGMLPNALGQGMPSTPSMPYSPHAGAQVSTPSKIDPNQIPRPMPETSVVIFETRQGGQAAVPPAASSEFIVKDTGNCNPRLMRCTLNQIPCTGDILTTSAMPLALMVQPFALPHPSEEPIQLVDFGGMGPIRCSRCKAYINPFMRFIDQGKHFVCNLCGFSNDTPREYLCNLGPDGRRRDADDRPELCRGTVEFIASKEFLVRDPMPAVYFFLIDVSMNAIQTGATAAACSAISQALSDLPEGPRTMVGIATFDSAIHFYSLKRDQQQPLMLIVPDIQDVYTPLQTDLILPISECRENLEQLLESIPNMFENNRVADSAFGAAMKASFLAMKSTGGKLLMFQSVLPSVGIGSLSAREAEGRSNISTGDKEAHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDISSISVVPSTTGGRVYHYYPFSALSDPAKLFNDLRWNFSRPQGFEAVMRVRCSQGLQVQDYSGNFCRRVPTDIDLPAIDSDKTIMVTFKHDDKLQENSECAFQCALLYTTVYGQRRIRVINLSLSCTNVLSNLFRYADLETQFTYVVKQAANAIPSTPLSQVRDQVTSTCINILQSYRKHCASVSSSGQLILPEALKLLPLYTLALIKCVGLRTDGRLDDRSYWVSTVSSISVLLAIPLVFPRMIALHDLASR >ORGLA12G0096700.1 pep chromosome:AGI1.1:12:10546992:10572697:-1 gene:ORGLA12G0096700 transcript:ORGLA12G0096700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:I1R611] MAASRGPPLLGFRALALALLLAILLLLGCSAPAAYAGAEGVLRQVVGRRGDDGGGGNFFEPFNVTYDHRAVLIGGKRRMLVSAGLHYPRATSEMWPSLIAKCKEGGADVIETYVFWNGHEPAKGQYYFEERFDLVKFAKLVAAEGLFLFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNEPFKAEMQTFVTKIVTLMKEEKLYSWQGGPIILQQIENEYGNIQGNYGQAGKRYMQWAAQMAIGLDTGIPWVMCRQTDAPEEIIDTCNAFYCDGFKPNSYNKPTIWTEDWDGWYADWGGALPHRSAEDSAFAVARFYQRGGSLQNYYMYFGGTNFARTAGGPLQITSYDYDAPIDEYGILRQPKWGHLKDLHTAIKLCEPALIAVDGSPQYIKLGSMQEAHVYSTGEVHTNGSMAGNAQICSAFLANIDEHKYASVWIFGKSYSLPPWSVSILPDCENVAFNTARIGAQTSVFTVESGSPSRSSRHKPSILSLTSGGPYLSSTWWTSKETIGTWGGNNFAVQGILEHLNVTKDISDYLWYTTRVNISDADIAFWSSKGVLPSLTIDKIRDVARVFVNGKLAGSQVGHWVSLKQPIQLVEGLNELTLLSEIVGLQNYGAFLEKDGAGFRGQVTLTGLSDGDVDLTNSLWTYQVGLKGEFSMIYAPEKQGCAGWSRMQDSVQPFTWYKTMFSTPKGTDPVAIDLGSMGKGQAWVNGHLIGRYWSLVAPESGCSSSCYYPGAYNERKCQSNCGMPTQNWYHIPREWLKESDNLLVLFEETGGDPSLISLEAHYAKTVCSRISENYYPPLSAWSHLSSGRASVNAATPELRLQCDDGHVISEITFASYGTPSGGCLNFSKGNCHASSTLDLVTEACVGNTKCAISVSNDVFGDPCRGVLKDLAVEAKCSPPSTTKEPRGEM >ORGLA12G0096600.1 pep chromosome:AGI1.1:12:10533656:10534627:1 gene:ORGLA12G0096600 transcript:ORGLA12G0096600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRWRRPAPPSXSAASSKLDELASGGCGVVYRARDRRSGEIVAMKCIRSYRDDCGELVDRSDFDREVAAMEACRGHPYIVQLRAHGRCNDGEAVLVMEFVGPTLRHVLRRERRGRTRRSELEVRVAMRQLLSGAKRMHDAGLMHRDLKPDNVLVDARGNLKICDLGLSQSTAEPPPYSNPIGTRWYGAPEILLGSTDYDELVDAWSLGCIMAELLARKPLFRGSSDREQLGEIVDVLGVNDIKRWRGYKGQRLLGGCGPDSFLRGFFPSPADARMLRRPPLSEAGFEVLSGLLTCNPEKRMTVAQALRHRWFKEADSASLRHRR >ORGLA12G0096500.1 pep chromosome:AGI1.1:12:10510168:10511242:-1 gene:ORGLA12G0096500 transcript:ORGLA12G0096500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTGALVVTPHARAHEVIYVHGYTRYYMTGKEYFPCPSPVGMPVYPSKDQFKGKRAKLPSLGKKHQSRSRSVVVFGRRVATSTKVEAFCGVDCIRLRAVAYSHGSAAPSPAAWTTICTSGKAEILLKTHLTSLN >ORGLA12G0096400.1 pep chromosome:AGI1.1:12:10508421:10508669:1 gene:ORGLA12G0096400 transcript:ORGLA12G0096400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRAPVGATVPPQVRAVRRSRRRCSHPHSFFTCGDGGGGGRTNAVSVGAVAGTPFLLLLLGPAEAVVARGGRVCCRYRHIQ >ORGLA12G0096300.1 pep chromosome:AGI1.1:12:10492475:10507126:1 gene:ORGLA12G0096300 transcript:ORGLA12G0096300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMAAHRASFPLRLQQILSGSRAVSPSIKVESEPPAKVKAFIDRVISIPLHDIAIPLSGFRWEFNKGNFHHWKPLFMHFDTYFKTQISSRKDLLLSDDMAEGDPLPKNTILQILRVMQIVLENCQNKTSFAGLEHFRLLLASSDPEIVVAALETLAALVKINPSKLHMNGKLINCGAINSHLLSLAQGWGSKEEGLGLYSCVVANERNQQEGLCLFPADMENKYDGTQHRLGSTLHFEYNLAPAQDPDQSSDKAKPSNLCVIHIPDLHLQKEDDLSILKQCVDKFNVPSEHRFSLFTRIRYAHAFNSPRTCRLYSRISLLAFIVLVQSSDAHDELTSFFTNEPEYINELIRLVRSEEFVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAISSLSSPNDTSSPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDNDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGVDSHNSMVTSDALKSEEDHLYSQKRLIKALLKALGSATYSPANPARSQSSNDNSLPISLSLIFQNVDKFGGDIYFSAVTVMSEIIHKDPTCFPSLKELGLPDAFLSSVSAGVIPSCKALICVPNGLGAICLNNQGLEAVRETSALRFLVDTFTSRKYLIPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLSSPREDKSNEPAASSDERTEMETDAEGRDLVSAMDSSEDGTNDEQFSHLSIFHVMVLVHRTMENSETCRLFVEKGGLQALLTLLLRPSITQSSGGMPIALHSTMVFKGFTQHHSTPLARAFCSSLKEHLKNALQELDTVASSGEVAKLEKGAIPSLFVVEFLLFLAASKDNRWMNALLSEFGDSSRDVLEDIGRVHREVLWQISLFEEKKVEPETSSPLANDSQQDAAVGDVDDNRYTSFRQYLDPLLRRRGSGWNIESQVSDLINIYRDIGRAAGDSQRYPSAGLPSSSSQDQPPSSSDASASTKSEEDKKRSEHSSCCDMMRSLSYHINHLFMELGKAMLLTSRRENSPVNLSASIVSVASNIASIVLEHLNFEGHTISSERETTVSTKCRYLGKVVEFIDGILLDRPESCNPIMLNSFYCRGVIQAILTTFEATSELLFSMNRLPSSPMETDSKSVKEDRETDSSWIYGPLSSYGAILDHLVTSSFILSSSTRQLLEQPIFSGNIRFPQDAEKFMKLLQSRVLKTVLPIWTHPQFPECNVELISSVTSIMRHVYSGVEVKNTAINTGARLAGPPPDENAISLIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPQEDDELARALAMSLGNSDTSAQEEDGKSNDLELEEETVQLPPIDEVLSSCLRLLQTKESLAFPVRDMLLTMSSQNDGQNRVKVLTYLIDHLKNCLMSSDPLKSTALSALFHVLALILHGDTAAREVASKAGLVKVALNLLCSWELEPRQGEISDVPNWVTSCFLSIDRMLQLDPKLPDVTELDVLKKDNSNTQTSVVIDDSKKKDSEASSSTGLLDLEDQKQLLKICCKCIQKQLPSATMHAILQLCATLTKLHAAAICFLESGGLHALLSLPTSSLFSGFNSVASTIIRHILEDPHTLQQAMELEIRHSLVTAANRHANPRVTPRNFVQNLAFVVYRDPVIFMKAAQAVCQIEMVGDRPYVVLLKDREKEKNKEKEKDKPADKDKTSGAATKMTSGDMALGSPVSSQGKQTDLNTKNVKSNRKPPQSFVTVIEYLLDLVMSFIPPPRAEDRPDGESSTASSTDMDIDNSAKGKGKAVAVTPEESKHAIQEVTASLAKSAFVLKLLTDVLLTYASSIQVVLRHDADLSNARGPNRIGISSGGVFSHILQHFLPHSTKQKKERKADGDWRYKLATRANQFLVASSIRSAEGRKRIFSEICSIFVDFTDSPAGCKPPILRMNAYVDLLNDILSARSPTGSSLSAESAVTFVEVGLVQYLSKTLQVIDLDHPDSAKIVTAIVKALEVVTKEHVHSADLNAKGENSSKVVSDQSNLDPSSNRFQALDTTQPTEMVTDHREAFNAVQTSQSSDSVADEMDHDRDLDGGFARDGEDDFMHEIAEDGTPNESTMEIRFEIPRNREDDMADDDEDSDEDMSADDGEEVDEDEDEDEDEENNNLEEDDAHQMSHPDTDQEDREMDEEEFDEDLLEEDDDEDEDEEGVILRLEEGINGINVFDHIEVFGGSNNLSGDTLRVMPLDIFGTRRQGRSTSIYNLLGRAGDHGVFDHPLLEEPSSVLHLPQQRQQENLVEMAFSDRNHDNSSSRLDAIFRSLRSGRSGHRFNMWLDDSPQRTGSAAPAVPEGIEELLVSQLRRPTPEQPDEQSTPAGGAEENDQSNQQHLHQSETEAGGDAPTEQNENNDNAVTPAARSELDGSESADPAPPSNALQREVSGASEHATEMQYERSDAVVRDVEAVSQASSGSGATLGESLRSLEVEIGSVEGHDDGDRHGTSDRLPLGDLQAASRSRRPPGSVVLGSSRDISLESVSEVPQNQNQESDQNADEGDQEPNRAADTDSIDPTFLEALPEDLRAEVLSSRQNQVTQTSNEQPQNDGDIDPEFLAALPPDIREEVLAQQRAQRLQQSQELEGQPVEMDAVSIIATFPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSGSLFGMNSRGRRGESSRRGDIIGSGLDRNAGDSSRQPTSKPIETEGSPLVDKDALKALIRLLRVVQPLYKGQLQRLLLNLCAHRESRKSLVQILVDMLMLDLQGSSKKSIDATEPPFRLYGCHANITYSRPQSTDGVPPLVSRRVLETLTYLARNHPNVAKLLLFLEFPCPPTCHAETPDQRRGKAVLMEGDSEQNAYALVLLLTLLNQPLYMRSVAHLEQLLNLLEVVMLNAENEITQAKLEAASEKPSGPENATQDAQEGANAAGSSGSKSNAEDSSKLPPVDGESSLQKVLQSLPQAELRLLCTLLAHDGLSDNAYLLVAEVLKKIVALAPFFCCHFINELAHSMQNLTLCAMKELHLYEDSEKALLSTSSANGTAILRVVQALSSLVTTLQEKKDPDHPAEKDHSDALSQISEINTALDALWLELSNCISKIESSSEYASNLSPASANAATLTTGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPDAIQEASTSDMEDASTSSGGQKSSGSHANLDEKHNAFVKFSEKHRRLLNAFIRQNPGLLEKSFSLMLKIPRLIEFDNKRAYFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPAYYKNLKWMLENDISDVLDLSFSMDADEEKRILYEKAEVTDYELIPGGRNIKVTEENKHEYVNRVAEHRLTTAIRPQITSFMEGFNELIPEELISIFNDKELELLISGLPDIDLDDLKANTEYSGYSIASPVIQWFWEIVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEGFGFG >ORGLA12G0096200.1 pep chromosome:AGI1.1:12:10473652:10474289:1 gene:ORGLA12G0096200 transcript:ORGLA12G0096200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GATAMAWRPWWRPAEGGGRPLGGGATAAAWQPVVTAWRLQRWWHGIGVAAGVAPAVVQWPEWRRRGDREAGRRWWHQHGRHWWCGGSAQAQGNDGCAKGAGGGETQAFDETSSSSGFSFCQNQRGGQRVAGRRRPGLAFRGGGKVQHLVWKLIGGGAPVWWGGGLMLPLPVRWFLS >ORGLA12G0096100.1 pep chromosome:AGI1.1:12:10466401:10470857:1 gene:ORGLA12G0096100 transcript:ORGLA12G0096100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILHTIFFHRTLSLVRPKDVDCDFFEITYVQCGLPELEKEVDEKINQFVAWVEKHPNRSSQVCLSFFDEKNKLPSWFGNKTERIYWEQWFINLHVISPKRHSKSHSSKALTNIGGQALEESSSRRAALESSIHEVLFQIINFANEKKDHIPPIPDRIFNHEISIPR >ORGLA12G0096000.1 pep chromosome:AGI1.1:12:10461408:10461704:-1 gene:ORGLA12G0096000 transcript:ORGLA12G0096000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSHVKLFDNNRCARFAVEQDPDPESLSCENLKCGDDIGIGYIVAIVRVIFVHDRVLVIVGDLEGVKVFRLDKMTFTTATSCHPLVQCLIEWSWMMA >ORGLA12G0095900.1 pep chromosome:AGI1.1:12:10457536:10458201:1 gene:ORGLA12G0095900 transcript:ORGLA12G0095900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRGGGGDGGGGGDCSKQEETERVMRSVDAEEACALLSSGRHQYLDVRMWEDFDKGHVAGARNVPYYLSVTPRAKEKNPHFVQQVAALYHAHDHIIVGCRSGVRSKLATADLVAAGFKNMRNLEGGYLSLLRAANQQ >ORGLA12G0095800.1 pep chromosome:AGI1.1:12:10423134:10425035:-1 gene:ORGLA12G0095800 transcript:ORGLA12G0095800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQTLLLSFLFVSILHVHTTSSTGTENFDASRLDTYIVRVRPPPNFSVDMSNIKLEKWYRSFLPPRMTSSNTCQAFIYTYKTTIFGFAVNITEAEKDYVMKNNGVLKVYKDSLLPLLTTHTPDFLGLRLREGSWKKTGMGEGVIIGVLDTGIDFTHTSFDDDGMQEPPTKWRGSCKSSLMKCNKKLIGGSSFIRGQKSAPPTDDSGHGTHTASTAAGGFVDGASVFGNGNGTAAGMAPRAHLAIYKVCSDKGCHVSDILAGMEAAIADGVDIMSMSLGGPAKPFYNDIIATASFSAMRKGIFVGLAAGNSGPSSSTLSNEAPWVLTVGASTIDRQMEALVKLGDGDLFVGESAYQPHNLDPLELVYPQTSGQNYCFFLKDVAGKIVACEHTTSSDIIGRFVKDAGASGLILLGQEDSGHITFADPNVLPVSYVDFPDATVIRQYINSSNSPTASIIFNGTSLGKTQAPVVAFFSSRGPSTASPGILKPDIIGPGVNVIAAWPFMEGQDANNDKHRTFNCLSGTSMSTPHLSGIAALIKGTHPDWSSAAIKSAIMTTAYVVDNQKKAILDERYNIAGHFAVGAGHVSPSEAIDPGLIYDIDEAQYISYLCGLGYTDVQIEIIANQKMPAKEAK >ORGLA12G0095700.1 pep chromosome:AGI1.1:12:10419338:10419619:1 gene:ORGLA12G0095700 transcript:ORGLA12G0095700.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTGDGGRDRTRGRGGAHLVIPSXPSLPPISAAMRARSSKLPTTKGGIRGVGRPLSSHDTNATAAVAASTKRATCAELQLEVAAAAEKDSPEDE >ORGLA12G0095600.1 pep chromosome:AGI1.1:12:10395293:10396408:1 gene:ORGLA12G0095600 transcript:ORGLA12G0095600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVKRPARRKPTASAHRQYRYWERPHPPAAADGAALPPRSAPSPPASVEAPLGDPIGGRYQRMSRIGSGTYGHVYRAVEISTGKVVAVKCLRRKDDDPDGLVLAGEVRALEACRGHPHIVQLIDHGRGAGAATGQEDYIVMELVGPSLDLTIRQRGDDAAARRYAERDVRLLMRQLISGVRGMHEVGLMHRDLKPDNVLVDGSGNLKICDLGFARTMTKDKEESAPPYSNPIAALAYRPPEVILGSTTYDETVDSWGLGCIMAELLAGERLFVGTTDEEMLVRIADVLGMDDISGWSGYEDCMIPKILTKIRRRRSRLRQMFALPGRGGGPGRRPELSKAGYQVLSGLLRCSPEKRMKAAQALQHRWFDV >ORGLA12G0095500.1 pep chromosome:AGI1.1:12:10383142:10384389:1 gene:ORGLA12G0095500 transcript:ORGLA12G0095500.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFIQSIIYSAESSPDGSRLDXSTSRALRXPPYKCNAHNGRPSSKCLFREFNDPYGIVLESDLTDEVRALGRMHVLGLMHRDLKPSNVLVDGRGVLKLCDLGMAFAMEESIPPYSNPVGSLPYNAPELLLRSSIYDETIDMWALGCIMAQLLGGQLLFRGMSREDMLIRIIQVLSVDDIAGWRGYDDSMIPKTLRSGRRRHSRVLRIFSFLRVAVGAGLPEPVKRARRRSRLHRFFSIPGKADGRTGLPELSEAGFEVLSGLLTCNPEKRMTAAQALQHRWFTV >ORGLA12G0095400.1 pep chromosome:AGI1.1:12:10372804:10374075:-1 gene:ORGLA12G0095400 transcript:ORGLA12G0095400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADSGYRRLLPCACAGAATEEPEEEEQQRPTCKARLFELYGMNVVGVESMTGRFELIGLTGVGVFGAVYKAWDNCCRTVVAVKRLSGRGRRVRHGGGGDEPFSLVHTGVRDLAREAMSLYACRGKRGVAHLRPNGVYAEVSGSCDSFLVMDYAGGFNLKDLMKRRRKEPGGGRPFSENEVRRIMRRLLVGVNAIVEAGLLHRDIRPENVVVDDGTEDLKQKPTAAATTGKKKAQSKKRKMEYTICDLGMSVPAVDSPDYSPDGVLTSIYNAPEILLGSCQYDRRVDTWGLGCIMAELLDGPGEPLFDGETNLAIMGSVLQVIGAEGVKSWPGLKRLADEPQALVRGFRDFSRLREKFPGAREARVARRPALSQAGFDVLSGLLEGNPEKRLTAIAALHMPWFDGSGGLRRVIGSCAGTSF >ORGLA12G0095300.1 pep chromosome:AGI1.1:12:10371725:10372075:-1 gene:ORGLA12G0095300 transcript:ORGLA12G0095300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGHRDECHGAICLSRVIPSGVAAAAQQAVKANMRHRPDADGEKINKATTLKDVVGDAAEVLPANKVATREDADKVATAAAQNDQSRLEIQSRIVRMRFSFPRGKQSPMVGTKRVXE >ORGLA12G0095200.1 pep chromosome:AGI1.1:12:10366672:10367018:-1 gene:ORGLA12G0095200 transcript:ORGLA12G0095200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDCTWHELVTGLVEEPALSLSVLEPGVGVGGGGGGGEGDNGGVFFVSPAGVVEQVGEPAGSPVLDVPYVATNVV >ORGLA12G0095100.1 pep chromosome:AGI1.1:12:10364065:10364747:-1 gene:ORGLA12G0095100 transcript:ORGLA12G0095100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVLDAPLPKKIAGRNIMLRIKSCLESGKSKSKADTSNTEPGKRLLVRGKRRKKKPRRNTTKYWTSSDVPSPKSPCVQQIIEESPGRVTRNQLAMLMMGGQAATASQPQAATTSQPEEATSSSTQPTNSYSQPSRSLRRKLTPKKKLQI >ORGLA12G0095000.1 pep chromosome:AGI1.1:12:10298988:10299731:1 gene:ORGLA12G0095000 transcript:ORGLA12G0095000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGADELPFHDWQVVDPASMAYIRHLVESLEHLTFDDACMLQLDGGENASDLFNLHRPVITGVPHDVASALNTLEEILSRGSPTLDAYQREDIRETRVLQEEKVRTTMAEVRYIDGLVDEHMDAVEGTRVRLHAARDTKQQLVEMITAVAADGGEQTVDVASLELELSEAEESEAALLAEFMNQWQSVLAVHKHRGVAKSRFEDEVVALMAIPQLPGHSEDQHLVGDAEERYEDSVLLDEFLDMQY >ORGLA12G0094900.1 pep chromosome:AGI1.1:12:10287818:10288018:1 gene:ORGLA12G0094900 transcript:ORGLA12G0094900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAGTTVTSIRICHALLYARVAFRPVHNDAVLFSVGSCTEPQIVLVRIRENPSITTLYREQFAQVAS >ORGLA12G0094800.1 pep chromosome:AGI1.1:12:10283560:10285073:1 gene:ORGLA12G0094800 transcript:ORGLA12G0094800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSRRRHGGPPPPAFDDLGEPSEPRDFATGANAWGNPEDVAARLRWRYVRGLQVILQRQLEALVERHRVGSLAASLAGTIWLRWVAASKVFDEMWVHKMLAIAASVEEGHSASKDKQSELEGDAQKSQSSYEFLFLRSLRMMLPVYSTLAVCFLACHVARETILPTDICRWAMEGKLPYVAAFTQVDKLLGSSLNDCPLSSRQLFRPTRVIGAWQLEAAAGSIAQKIGLLLPSVNFYLIAQRFLKELSLPIEKILPHACRIYEWAMPAELWLSSNPGRVPSRVCVMAILIVALRVLYGINGQGIWESIAQTENAVGSDPEASAPHSIEPDSNNSEEFDARELLCTLAASYDKIDVGHGNFG >ORGLA12G0094700.1 pep chromosome:AGI1.1:12:10271785:10273793:-1 gene:ORGLA12G0094700 transcript:ORGLA12G0094700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNRMLKILQISHESSHSIGFNEGVTDYLANIRVHFLRSHHSTVGKVSKMEGKSSAMSNIAPVAPTRITRYDKDDKHKPVIVCALAALPIPSQPPKASQERKRQGRTFWTKCATCKEKNKFPITNLACQVVCLACTETFTAIEVARPRNTSLYCKEKLESSSSVAANSSLQSTAVTPIADVAYHPPNIQGKRKDGEVKISEAFLSLLLRNFCKQG >ORGLA12G0094600.1 pep chromosome:AGI1.1:12:10254611:10255275:-1 gene:ORGLA12G0094600 transcript:ORGLA12G0094600.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGHAGGRPHCSLALKSWTKFPEAAVDGGQRPALKRLVDEVEKDCMKVVRFCGSYCDHGPAADIKKLFRISTDRHPRHGHRQRSSPAANMKGRGDAEMSTWDERAATNDWLRWQEI >ORGLA12G0094500.1 pep chromosome:AGI1.1:12:10235112:10241833:1 gene:ORGLA12G0094500 transcript:ORGLA12G0094500.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLNPHKIPAPRRQTPHPASRIPPDRRRRRRRRRRRASSPPASNAQRRGGEAWLRRGGGAGKSPPRMCVAVAVLVAGTVWLCASSAGFMGGPYGGYRVQSMQWKFKDVDVNKLWTTAGSNGWRASSAPRSYWPPPPAETNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWRDKSGFPGIYDVPHFLKTLKHDVHIVTSLPGIMSKGKTKKLKAHKIVPPRDAPLSWYTTLALEEMKKYGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKISNEIVNKLRSEGHFMSIHLRFEMDMLAFAGCIDIFTPEEQKILIEYREKNFAKKILVYKDRRIIGKCPLTPEEVGLILRAIGFDNSTRIYLASGEIFGGDRFMSPFRAMFPRLDNHSSVGPEKLEENTRGLAGSAVDYMVCLLSDIFMPTYDGPSNFANNIMGHRLYYGFQTTITPNRKALAPIFMDREEGHVTGFEDRVRKVMFNTHFGGPHKRIHPESFYTNSWPECFCHMNPMNPSDQCPSDDPHDVLGGQLQNEEIEDVDLKTTDKI >ORGLA12G0094400.1 pep chromosome:AGI1.1:12:10230534:10230854:1 gene:ORGLA12G0094400 transcript:ORGLA12G0094400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPYAHRPLAIRCRLASIAVSIGCRPLPRRRLLSLLDQGRQPPSGVIHCHGRPPPNQAGQHPISNACKPCR >ORGLA12G0094300.1 pep chromosome:AGI1.1:12:10216546:10216737:1 gene:ORGLA12G0094300 transcript:ORGLA12G0094300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPAPNQVAMPIPAATNPCPVLEISTPAAPSQIAAPSLAIILVPAATSATRPAAASSPTKVL >ORGLA12G0094200.1 pep chromosome:AGI1.1:12:10202909:10203778:1 gene:ORGLA12G0094200 transcript:ORGLA12G0094200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITREPSLCAATAAASGNDAAAIAVVRSARFRKERARASDATQAAERKKRRALHQAARTLAPAHKQAATPIPATTRRPSVVVATSTPTAPSRTTAPSLATILVPATTGAPKPATASSPTKVPTLSVNPILSIIGIPSPTTTSSLATTTTPTAVLFPRSTLSSASTPSFTTTSSPVTAPKSSTKYTVATEIVLSPVFPPSCQTTSPCTGGMPISANHNVAFKKEGSSICATTHATEHKKRIVLQRTFVLPNQATTPNAATMPAAVNRADVISLPNTPSPDVMPTIAATG >ORGLA12G0094100.1 pep chromosome:AGI1.1:12:10198528:10199511:1 gene:ORGLA12G0094100 transcript:ORGLA12G0094100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYECLGKIGEGAAGVVHVARDRTTGETVAVKRLLGGIGCGEEEWLREARCLQACRGHPHLVELRAAHREMRRGGGGACCYVVMEYVDGPSLSRVVREERRGRPFPEAEARRLMRQLLDGVAAMHAAGVMHRDLKPDNVVVGPRGDLKICDFGMSRVTAAGAPPYTSPVVTLWYRAPELILGSQEYDSLVDTWSLGCIMAELLAGAPLFPGRSEMDQLNRVFDTVGMQDMKSWPGFARLPRAESALCSRARPPSRLREMFPKLSAAGFDVLSGLLACRPDRRLTAADALRCAWFTEADTPPDATPVTCGSARFTPCVSGVADAIVV >ORGLA12G0094000.1 pep chromosome:AGI1.1:12:10192326:10193331:-1 gene:ORGLA12G0094000 transcript:ORGLA12G0094000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCLWQDKSIEEIDKLRCAFFWKGEKSVKGGQCLVAWKTVLLQKQQQGLGIKDLRAHNTALVLKLAPPQPLLPSRIRAGNTVAHPTRHHTHPGELPKKSESALTFIQAVMDRNHSTSGIEPVESIST >ORGLA12G0093900.1 pep chromosome:AGI1.1:12:10188571:10189770:1 gene:ORGLA12G0093900 transcript:ORGLA12G0093900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGESPPWAELVPDIVREIGRHLLCEIDRHHADGLCRSWRDALLQLRPPPPPLPRLVLPEADGPAFYCVPSGCRPHPFVLPPAALRARCFGSYDGAWIFQAVDQAANHVLLNLITHQQLNLPNLLRFHSFMLPALIFDFEVAFVAATLSSRPTDQGCVGAGIISFNRVPHDPRHIAFWCMGDEAFSWSIQMTRNIGGALELDVVDLLYSSHGAGAFLFLTRREDIHVFRQPIFPQGDVMQSTPLYFERRGDDDDDDGRPVLDRYLVESRGKLLMVVRLGDREPARLPTTTFRVFEREDELFNNYWNKLPDLGGRMLFVGRGCSRSYEAADGYPGMEGVYFLDDRSFHDPMVVHMNAADRQYPCSDNGRWSGAQPPAEVERCFPEQGRSNYSPPVWILP >ORGLA12G0093800.1 pep chromosome:AGI1.1:12:10159693:10162092:1 gene:ORGLA12G0093800 transcript:ORGLA12G0093800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFPPPGPPHPAPMADGGEPPPPWADLPIHIVRGIASRLLCKIDRRFGEGVCRSWREAFLQVGPPPPPLPLLVVANAGEHAFHCVPSNWRTHPIPIPMPRFAHHRYFGSYDGGWLFLSISQSSRHGLHNINKNVNSKRFRFSLPDQRLYQIRPTTAEADKFIVIVAATLSCQPTEPGCVAAGIIDLHSFPDHPSGHGAGAFLFLTNGEHIREFPQPIFPPPGTAKRVRNELYFKPRGDDGGGGRPVLARYLVESRDELLMVVRLGTLEHSWIKLADLGGRMLFVGRGCSRSYEAADGYPGMEGVYFLDDRSFYDRTTVFKNDDKRKYHRSDIGKWSGSPPQVRHCLPEQVLNHVHNEADRVADREFDGIALNIEVLGSNAKLTKSSMNINGMHGASYVDFTNL >ORGLA12G0093700.1 pep chromosome:AGI1.1:12:10107422:10107859:-1 gene:ORGLA12G0093700 transcript:ORGLA12G0093700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRVGEDAQCHCGGAALWRVADAVTMRLLVASVVVCVSGGARLAHGHGCGAIARLYRSFRHSGACEIVRGAEARFGAIWHAGGMDVGGIQYKRCTVHGRYTTLRAWSVLVGLTGCMQDEMDRSVRPPCGVATRRGRLASSAY >ORGLA12G0093600.1 pep chromosome:AGI1.1:12:10101870:10102276:1 gene:ORGLA12G0093600 transcript:ORGLA12G0093600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQNKEDEASAANIDPKLPISESDEARAHAANVATDKGECFRANRCLSLGLVVFLSATCVFFAFTDSVLYKGKVYYGFALPTRLNLFNLNKKEEQKLFKDLEPELD >ORGLA12G0093500.1 pep chromosome:AGI1.1:12:10098588:10099333:-1 gene:ORGLA12G0093500 transcript:ORGLA12G0093500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTKATSAKVAFYVDFVIMFLGDGHDGDLSGDGEPALFLYSKNLKESHGQARFGGQELTATRSSGPEFAANKSNLSMPLGGGGEVDEDMVQY >ORGLA12G0093400.1 pep chromosome:AGI1.1:12:10080672:10081702:1 gene:ORGLA12G0093400 transcript:ORGLA12G0093400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLDLHDNKDKQKAMKAVSSVIGIDAISMDMASRKMTVIGTVDPVDVVSKLRKASWAAYIESVGPAKEPEKKEEKKEEAKKDAGGGGGDGKKEGGDGKKEEGGDGKKEGEGKKEGDGGGDKKEGEGKKEGDGGGDKKDGDGDGKKEGDAKAAKKEEGGGGGDGGGKKPAAVAPIPMPMPLHHLPPQYFNMEYMNQYHRPPPPPPAYPYVPPPQYYYVRNMSMEENPNSCAIC >ORGLA12G0093300.1 pep chromosome:AGI1.1:12:10066215:10068007:1 gene:ORGLA12G0093300 transcript:ORGLA12G0093300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRRWLLSPLTVEVDNGHGGGSKPSRDEVASTTARREGGRWRHDSGGFRALSPWRWTWFPPETLAKRRYLGSALRRVTVFKGVIVAAPVQHWARLLPRTPYNIDRARATVCPSQAVNPPLIIGPLPHGTNNPPQANFQSYYQQDRCCALLLAPFSMLRNHQFG >ORGLA12G0093200.1 pep chromosome:AGI1.1:12:10045905:10046690:-1 gene:ORGLA12G0093200 transcript:ORGLA12G0093200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLPPPQWRAAASPRRCWFDASLFDWLGCDCERGGTGNNATSSASRWENNGEVEVEGAVALEDEEDEVGGRTEVSVVVEEDTIGEAELRSGVMRRCEGREQIKVACRDEVTVGDGDKVSTAEDGGH >ORGLA12G0093100.1 pep chromosome:AGI1.1:12:10040962:10042563:1 gene:ORGLA12G0093100 transcript:ORGLA12G0093100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit l [Source:Projected from Arabidopsis thaliana (AT4G12800) TAIR;Acc:AT4G12800] MATAYAPMASQLMKSSLVCSKPRGLSGASLTRRPRFTVKAIQSEKPTYQVVQPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAAGLVAILSVCLTMYGVASFGEGEPSTAPTLTLTGRKKEADKLQTADGWAKFTGGFFFGGISGVLWAYFLLYVLDLPYFFK >ORGLA12G0093000.1 pep chromosome:AGI1.1:12:10036552:10039713:1 gene:ORGLA12G0093000 transcript:ORGLA12G0093000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEQFDESAAEKADAAMVVAPPSNFGMVDTGVYRSGFPDPASFGFLRGLGLRSVVYLCPEPYMETNAEFLKAEGIRLXXXXXXXXXLCLTTGEEDPNVSIPVDAIMGALRVILDVRNHPVLIHCKRGKHRTGCLVGCFRKLQNWCLSSVFEEYHRYAAGKSRLSDLKFIESFDVNCMTDCLLRLIYHYHGCLQKSKRLAYSER >ORGLA12G0092900.1 pep chromosome:AGI1.1:12:10029235:10031493:1 gene:ORGLA12G0092900 transcript:ORGLA12G0092900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast RNA binding [Source:Projected from Arabidopsis thaliana (AT1G09340) TAIR;Acc:AT1G09340] MAATASLKSSLLLPSPISDFSSAALSISTQARRRSWQPRGARMQVAAAADSKNILVMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQQLPGESDAEFAEFSSKVLHLKGDRQDFDFVKTSLAAKGFDVVYDINGREAVEVAPILDALPNLEQYIYCSSAGVYLKSDLLPHFETDAVDPKSRHKGKLETESLLETRDVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPVPGAGNQITQLGHVKDLATAFVLTLGNPKASKQVFNISGAKYVTFDGLARACAKAGGFPEPEIVHYNPKDFDFGKKKAFPFRDQHFFASIEKATLELGWKPEYDLVEGLTDSYNLDFGRGTFRKAADFTTDDMILGKKLVSV >ORGLA12G0092800.1 pep chromosome:AGI1.1:12:10024188:10027968:1 gene:ORGLA12G0092800 transcript:ORGLA12G0092800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPLLLLIAIVVVSLSRGNGEQTDLTRETFPAGFVFGTASSAYQVEGNALQYGRGPCIWDTFLMQPGVTPDNSTANVTVDEYHRYMDDVDNMVRVGFDAYRFSISWSRIFPSGLGKINKDGVDYYHRLIDYMLANNIIPYVVLYHYDLPQVLHDQYKGWLHPRIVRDFVRFADFCFKTYGHKVKNWFTINEPRMMANHGYGDGFFPPGRCTGCQPGGNSATEPYIAAHNLLLSHAAAVRTYRDKYQAIQKGKIGILLDFVWYEPLTDKEEDHEAAHRAREFTLGWYLHPITYGHYPETMQNAVKERLPNFTREQSEMIKGSADYIAINHYTTYYVSHHVNKTSISYLNDWDVKISYERNGVPIGKQAYSNWLYVVPWGIYKAVMHVKEKYKDPIIIIGENGIDQPGNETLPGALYDFFRIQYFDQYLHELKRAIKDGARVTGYFAWSLLDNFEWRLGFTSKFGIVYVDRSTFTRYPKDSTRWFRKMIKSEV >ORGLA12G0092700.1 pep chromosome:AGI1.1:12:9965555:9966951:1 gene:ORGLA12G0092700 transcript:ORGLA12G0092700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDLLLKLGFRFNPSQEEVITYYLPRLIAGHPPKDTEGYIHRADVYGADEPRDLAGKYAPVARSPNGDRFFFTGCKRVKGKFSRSAGGGTWVSQSSKDLKNREGIKIGEVKNFRFKKGGNNTDWLMEEYHLCGKEAGGVVEPVVCRIYVSPRAAPDSVAHQESAALPPPQELVPPPQELAPPPYSAAQAAPQAPAPPRQVPVITQQQAPPQKRPAAPVAEPPCATKKMKGAVSAKPMAPQSSVTASAAPPRCAVAPSQHHPPFQTYPTDPFEPPAPAASVTQPSVPATPEQGPAYVPDPADIGMEMDELMSFLDSTPVDGILPSQLFEYDELAKELEDALQGGGEEDGNDNPPRRRGRGGCDKQSQGGYRVLLKDMGDDQIDQQWLKVYLKDYHHLMKSCKL >ORGLA12G0092600.1 pep chromosome:AGI1.1:12:9943315:9944574:1 gene:ORGLA12G0092600 transcript:ORGLA12G0092600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKLDFLLELGFRFNPSPEHVVTYYLPCLVAGQQPKDTEGCIHSADVYGADEPRDLAGKYAPVARSSNGHRFFFTGCRRMKGKFSRSAGGGTWVSQSSKDLKNREGIKIGEVKNFRFKKDGKNTDWLMEEYHLCGQESGDVVEPVVCRIYVSPRAAPDSVAHQESAVLQPQEPAPLPVPAAPAPPRQVPVVTQQAPPPPPPLVPVITQDAPPLKRPAPVAAPPCAKKMRGDVSAFPVVRQSCVAAPRCAPRVVAPPPRHPPIQTYPTDPFESAPLDPFEPPPAAASVTGGHHTPQPSVPVPATPEQGLSLAASNSPELDPANIGIDMDELMRYLGNTPLDGVLPSQLFVLPTNDDEDVELAKVLHRNTRRRRKGRQWQSTAVCDSTSSSSATGILARHGATAASSTHPDLSKDPFEPN >ORGLA12G0092500.1 pep chromosome:AGI1.1:12:9934625:9935187:1 gene:ORGLA12G0092500 transcript:ORGLA12G0092500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARRRPRRVPAFGEWNYYHGGDELPSAAAAGGAPDDQEASSDVWFRYSPAPRKPAPKKARRRAADNRQKPVGGGNKRRPARTSSSDSGAATAASNTPAKLQQAAATAKVAVVRRPPAVDADLYQVPPPDFLPGEPIRRVLTYADATRLPFLLCFLAIN >ORGLA12G0092400.1 pep chromosome:AGI1.1:12:9912045:9912236:1 gene:ORGLA12G0092400 transcript:ORGLA12G0092400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSIHAAASSIAILRRRRLFHREAPCPNPPRRPAVRDWSADIXFAVAARRRGDGHGARWSS >ORGLA12G0092300.1 pep chromosome:AGI1.1:12:9889841:9891245:1 gene:ORGLA12G0092300 transcript:ORGLA12G0092300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVVGVLVSLLVNGCYGSHEHDWIVVLQKGRRRHVVPAFGEWNYYYHHQQYDDENHHQAPPEVMRSAPAVAVAAAAADDEWYAYGGGAAAEACSDVWFRYSPPPRRPTPKKARRPEGRVAPEKAAPYDDGGGKGRQQQQAARAARAYHSGGVAVARTPARGGATCRVVKRPVDADLYQVPPPEFVSRRPRRKGSQLDEDEVTSVCVCACVTAILQCHFLMPDLK >ORGLA12G0092200.1 pep chromosome:AGI1.1:12:9887498:9887716:1 gene:ORGLA12G0092200 transcript:ORGLA12G0092200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVDLVAVWGVVGESVAGKDVGVRSTAWRGAGSRQRRRRAVAVEEAYLVADFQFVFAPGIVFAGRYLSIVTS >ORGLA12G0092100.1 pep chromosome:AGI1.1:12:9884743:9885584:1 gene:ORGLA12G0092100 transcript:ORGLA12G0092100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQSAMYIGAEIPATSAGDRLGRHTGTGSAPMYLALLCITSAPITYFINRPFQKFIPGIDPFVGTSDHGTEVQCLGVNDIGTDTCTTLAADWCQ >ORGLA12G0092000.1 pep chromosome:AGI1.1:12:9877741:9878130:-1 gene:ORGLA12G0092000 transcript:ORGLA12G0092000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLLPQTSGVFRRCSRLGVVRRRALLGVIAARLGVVHRRARFQGPPRPRSSATAPASEVVRHVAVKACLRVAADQPPDLAVGEGVMVIVPVGSGEEGSQGRRLWKDEREPWSPPRRLWKGNGWCDRV >ORGLA12G0091900.1 pep chromosome:AGI1.1:12:9852588:9853732:1 gene:ORGLA12G0091900 transcript:ORGLA12G0091900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGRRRHIPAFGEWNQQCEELPITQYFDSAMEHGLVVTAGHYYHATAGELLFKVAGSPPPQKPPKKVRSTKNGMENQAARRRDGPSVVVDGGVVVHGSRRPRVVRAVDEDLYKVPSELLRKKAKGRKHVRSLWMGCVGLNCVA >ORGLA12G0091800.1 pep chromosome:AGI1.1:12:9851250:9851549:1 gene:ORGLA12G0091800 transcript:ORGLA12G0091800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSNGAGQEMARSRSGASSLPTDVGDGKGEDGGGEVMAVLGRTEEDPAGEWIWRRLGATNPSPPAALGGSSAWEGRRGADPPMAIHTHPPSSSLTV >ORGLA12G0091700.1 pep chromosome:AGI1.1:12:9841153:9842124:-1 gene:ORGLA12G0091700 transcript:ORGLA12G0091700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLHDWECRFTPRKLASLNKWSSRAGLSPMELQSCAEEVTAIAWGGRIRYLHLAFGGSSCWRYVVGLREGNKNVGAIVRGFHDGVAMGVFSLVDSREAAEAPTTSHGDGLESQCGGSHAAVQGEHNLEPWAEACLCAIAF >ORGLA12G0091600.1 pep chromosome:AGI1.1:12:9834113:9834847:1 gene:ORGLA12G0091600 transcript:ORGLA12G0091600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKAENIESWHPAGGQLEVALLQIMQRHHHESLRQRKQTERAKMDAVRSVARVADLLVATVDGGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINCLEGNWRF >ORGLA12G0091500.1 pep chromosome:AGI1.1:12:9825222:9825943:-1 gene:ORGLA12G0091500 transcript:ORGLA12G0091500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVPPAAAGGDGTGGAPPGAGAGGGAPGAGAANNNISGGNSASQSSGGPFSGYILLLFPLLALSLYCHVRYVPVPDLHDASSMLLSYCNVPVPDIHDAHRKENGAFNTRKRRAFSAASLYVRPCSGMLRPPLSSELGGGGSEEEEGEPRGERE >ORGLA12G0091400.1 pep chromosome:AGI1.1:12:9791063:9791473:-1 gene:ORGLA12G0091400 transcript:ORGLA12G0091400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTAKKSTAGNVPRKLLVMKVARKSAPTMAGLKKPHRFKPGTVALREIRTYQKSTELLIRKLPFQRLVQEIAQDVRSYVRFQSSAVAALQEAAETYLVGLFEDTNLCVIHAKRVTIMPKDIQLARRIRGEKA >ORGLA12G0091300.1 pep chromosome:AGI1.1:12:9777025:9777435:-1 gene:ORGLA12G0091300 transcript:ORGLA12G0091300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTAKKSTAGNVPRKLLVMKVARKSAPMMAGLKKPHRFNPWIVALREIRTYQKSTELLIRKLPFQRLVQEITQDIRSYVRFQSSAMAALQEAAETYLVGLFEDTNLCVIHAKRVTIMPKDIQLTHRIGGEKA >ORGLA12G0091200.1 pep chromosome:AGI1.1:12:9764867:9768662:1 gene:ORGLA12G0091200 transcript:ORGLA12G0091200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLLSSSPWPRPSSPPPTPPPRLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVVLRHLHSRQPRPLPRLRRLRQLRDPWRSTATPSPKPRPQLVPTSVDPPARASTSSGCGAGRPPTERKWSCAACTLDNPGHSRACEACGNSRPMEVVAVDDDDEDALDLGALAGASFLPLQRRSMKRERAASPEVVGVCADEGDGAKGGEDKPAKKKACAEIILDKKTFKIMTYNVWFHEDLELCRRMDALGDLIKNHNPDLICFQEVTPNIYLLLQKSDWWQEYRCSLSNSMAMQRKYYCMQMSKLPVESFDCTPFSNSIMGRELCVAHVKTGGAVKLVLATSHLESPMPGPPTWDQMYSTERVAQANESLKILGSFRNVIFCGDMNWDDKGDGPFPLPAGWTDAWIELKPGEDGWTYDTKANSMLSANRKLQKRLDRFVCKLADFKINSIQMIGKDAIPGLSYVKEKKVRKEVRKLELPVLPSDHFGLVLSITLES >ORGLA12G0091100.1 pep chromosome:AGI1.1:12:9748362:9751021:-1 gene:ORGLA12G0091100 transcript:ORGLA12G0091100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:asparaginyl-tRNA synthetase 2 [Source:Projected from Arabidopsis thaliana (AT3G07420) TAIR;Acc:AT3G07420] MAASSSSTSFSSSSSHEGDAGSSYIECFKYSRRATLRSVVGRPDGGAGLVGERAVVGGWVRSSAVVRARRAAAGPASPSRKPEVEATGLTCTEVLMSRVPLIRCIARLMAGGITAAASAGGSARRPAVGTALVRINDGSCVADLQIVVDSALLPLDQITATGACVLVEGKIEQVEGTLPQYVVQMKVEKILHIGPVDSEKYPRSNAHSSPDLVRGYPHLAARTATVASTARVRSELVHAVHAFFQSNGFFHVNTPTITTTTATSAGNHGKMLRLTRLFSKSDNGNRITPEAVRAAIKEKTKQVEALKRSESNREALEAAEQDLQRANALSRQLEQGASAESSQDEFFHRPAYLTPCHTLHLETYACALSSVYTFSPVFQAESESLDSDRSLAERWTVDVELAFAELEDAISCAEDCVKSLCSTVSKDCSDELKFLSSNQAGDATSSVIEAAVSSPWQKIKYTEAVNTLLQVTDKTFESKLELGMPLSREHLSYLADDLYKKPVIIYDYQKQLKPFYARLKEDLKTVSAFDLVVPKVGIVACGAQKEERMDNLTSRIEESGLQVEQLEWYLDTRRHGTVKHSGFSIDLESLILFVTGLKDVRDAIPFHRTKGHAKC >ORGLA12G0091000.1 pep chromosome:AGI1.1:12:9685660:9686342:-1 gene:ORGLA12G0091000 transcript:ORGLA12G0091000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSGSVSSSPAERGEVQIRAAAAARPGGEESRRRRSEEGRAGSARPRGRCHRRSRYLATRAAVPPSRSSPSGPNKERRGRAVRRRAPPWSRVRESLIVVHMLRSASPTSVSPGSSLPCRFGMRRRHCPRRRSSLAR >ORGLA12G0090900.1 pep chromosome:AGI1.1:12:9630378:9631530:-1 gene:ORGLA12G0090900 transcript:ORGLA12G0090900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDAGVPHMRKCHNHVGRPAESGLLLVPGCLQLNPMFGIHTIADLSEPEVEDDLPDINTEVEQVLDEEVVNEEIDDAANGSADEEPALLLRKYIYSRLHCIKTTGHSTSFPHWQTSSEHAPSHSIRLSIAITPMKAQPQTAAPRILNITDIFSFDVRQFIDDSEDDIMSKGYLPTSRHYQKRSPRHYQPAKCIA >ORGLA12G0090800.1 pep chromosome:AGI1.1:12:9581431:9597704:-1 gene:ORGLA12G0090800 transcript:ORGLA12G0090800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPLPRWAPTPSPSRPLWRWGWGGGATPDARADGGVTGWTSSFFAAVFPWTRRRRVDDRWAPSAGGGVGTFDGVEVPLPAAQAVSLPRAAADVVDDPAVFLTWEDVRVTVPGRTRGSPPARILDGITGHARPGEVLAIMGPSGCGKTTLLDTLAGRLGPEMNQTGVILINGRQEKLAFGTSAYVTQDNVLMSTLSVREAVYYSAHLQLPDTMLASEKRAHAERVIREMGLSDNMDTRIGGRITKGISGGQRKRMSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHITRVAAREGMTVIAAVHQPSGDVFDLFHGLLLLAYGRMVFFGTVSNATEFFTQSGFPCPHLRNPSDHFLRTINKDFDEGTMESSKANRKTAAEATNILTNAYHSSTYSEKTANEIVEMKGMGGTPFRRKEQASFLTKLLVLTRRSFLNMHRDIGYYWMRLGIYLGIGICLGTIFYQVGYSYSSIQSRCEVIMYTTALVTFMAIGGFPSFVEDIKLFRRERLSGHYGVMEFVISNTLSATPYLAVIAVIPGAMMYYLTGLTRGAEHFAYFVATLCMCTLLVESMMMIIAVIVPDFLMGIIIGAGIQGMMMLNGGFFRLPNELPKPVWKYPCYYISFHKYAVQGFYKNEFIGLSFPSDQLIEANATISGLQVLKERLQVEMGYSKWVNLAILFGMMVTYRMIFFVIVKIAEELRLKLRGIRFRRLK >ORGLA12G0090700.1 pep chromosome:AGI1.1:12:9551548:9552087:1 gene:ORGLA12G0090700 transcript:ORGLA12G0090700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHFVVKRRLYIFAPRDPTLVTLIREREDFKRPNPNLTVTSLSPTPSLVSPSLLTHAITTVTGYGNDNKLFCATSCFLDSTLGYSTLIPIFSSSDAPFKCSNVEKMEDTTPLAWRRGSIDPLAEQLFFVEATTSKELDLVTTWCTPLMRRMPASLLVIPYILEGRGQHWGDGNGHAHA >ORGLA12G0090600.1 pep chromosome:AGI1.1:12:9499021:9500577:-1 gene:ORGLA12G0090600 transcript:ORGLA12G0090600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLWPNGGSTGSSVDVSLTSGRRRPTSAGSGSGSGGGGRAEVYFADVEEVRPAPADACREDPAVYLTWEDVCVTASGAGSRATPARILEGISGHARPGEVLAIMGPSGCGKTTLLDALAGRLGPGMSKTGLILINGRQEKLAFGTSVNILSVI >ORGLA12G0090500.1 pep chromosome:AGI1.1:12:9466851:9470355:-1 gene:ORGLA12G0090500 transcript:ORGLA12G0090500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:I1R5U9] MAMASHHLAQPPTRAALSSRPTYPLSSHHHSSRLQLPLVSGARRSRLSPVVATSPVAAPAMDAVADWGLTTLEEADPEVYDLVEREKRRQRAGVELIASENFTSLAVMEALGSPLTNKYSEGMPGSRYYGGNEVIDEVEELCRARALAAFHLDPEAWGVNVQPYSGSPANFAAYTGLLQPHERIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSSETGYVDYDKLEEKAMDFRPKLIICGGSAYPRDWDYARFRAIADKCGAMLLCDMAHISGLVAAQEAANPFQYSDVVTTTTHKSLRGPRSGMIFYRKGPKPPKKGQPEGALYDYEDRINFAVFPSLQGGPHNHQIAALAVGLKQTMSPGFKSYIKQVKANAVALGNHLMSKGYKLVTDGTENHLVLWDLRPLGLTGNKVEKVCDLCSITLNKNAVLGDSSAMSPGGVRIGTPAMTSRGLVEEDFVQIAEFLHQAVTICLDVQKERGKLLKYFNEGLENNKDIEDLRAEVEKFATSFEMPGFRVSDMKYKD >ORGLA12G0090400.1 pep chromosome:AGI1.1:12:9452856:9458719:1 gene:ORGLA12G0090400 transcript:ORGLA12G0090400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISMGGDGGLSAAARERGRGGIRVWGGGAGRAIRPSGWGGARGSGWGEFGALDRSEVVSLVVRSWFFYVLVMLENPNSDSGISIENQTHWWQMSLRTGKGSSPFHRKDLLKRSILLKTHFGTXRIVKRNAIVKWFXXTHSVVKRLPCLQHRSACVVVGQKLHSHIGHPTVFTCVVRILWNLKGGCCLSVLQNPLNLMVVGSSSSGELTVGENRAALVELDDSELSNKSWFLGPVQSFRARIKGHRVYNFAYKPQWDGEDIEKNHHYHVHYHDLLKKKFRYLTVDEFSSGHSWVDLGGVLVTRCPKTQA >ORGLA12G0090300.1 pep chromosome:AGI1.1:12:9445987:9451381:-1 gene:ORGLA12G0090300 transcript:ORGLA12G0090300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAIYESMIIYPCYGYNVLHLNQDLAYIFRQEVYGEAAVRSQVPPLIGNGCKHRQIRFTEKFFLGDTVVHKATLELEKKSGIARALQGRTSEKELLSISLVPILFGLGNYKKLIRKGKQLILHGLNEVIPRKFMDLSYIQESEAVRLIKRRLLYLGPETIEEGSLLVLKVSPHSVRERASCFDPAINKNKRQENRASVLTALAKTFPNLFLELSDDIFELSGHLASNSLQHQPPSPTMSTSTDPSSAPTANYVEFPA >ORGLA12G0090200.1 pep chromosome:AGI1.1:12:9430298:9430963:-1 gene:ORGLA12G0090200 transcript:ORGLA12G0090200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGILGVGMRSTPWDLLATHQSWMTWRMAWLGTRSSSQSGAWVTGHTARPVSSHASIPSLSYVIPIVAITRSRIRSSEIGHRKCAGIASSAIAPPLFCSSDACAFTITAFRDLRASSNIPNSRSITRDRVAGAAAEEEAAAATAAAAAVEEDVTAVAAAAATVEEPVADGAAAEERGREEEEDANIFAVRAREGKMTEIGNKIRSPEVRYDISGVGNQIY >ORGLA12G0090100.1 pep chromosome:AGI1.1:12:9424599:9426834:-1 gene:ORGLA12G0090100 transcript:ORGLA12G0090100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLPYMHFGPSNQLQAASHVMQPREIARLLLGFWSEWATQIIVVLSLIQQFFPLFFSGIRRRQGRNKRRAVLWLAYQFANITATYALGRLSLSAAQRSHRLVPFWAPFLLLHLAGPDNITAYSLKDSKIAGRHALTLFVQGLGAVFVLVKHVGSSRTLLLPGAIMVTTVAVFKMFEKTWALWIANFKVILSSVEREDGEEEPRQLYRVYLEEDELPRGGFKGKEVDEEEFLMRRAHAVFLVCKSAMVDSSMYDPDRYFLRILAYLRENRVDLWTLMEMELSLMYDILYTKAAVIHTWTGYCIRIVSSLTVAASFLLFQLYGKEGQSSRADITITYVLLSSSLLMEMASLLSALWSTWTFSFLCATRWTSLRHAALCSKKWHCLRNMVLSFRRLAYSTGIWSYLSLSRRWSGTLGQYNMLDACTARPPLLGKLVVRLVFSRLSKKLGFSRLAEMLGFGRLAEELSYNVVTADIPKGLKDMVIEYIKFMIKDRTVNTLGIFREQWGKVAIKRWLEDKQVDDEYKEYLEKRLGAELHKGIIVWHIATDIFIAQRKAWCVKEAVKALSNYMMFLLVKQPGMLPGLAQNKMYQWTKESLAKEWEEAGVPAYVSGLHPSQKLANMLHDKEVTQDLISNRLFFATQLAKRLLERDDTMKLVYGVWVDFLIYASNRCSRESHAKRLNNDGEFTTIVWLTAEHLYQLQIYDAQEKHH >ORGLA12G0090000.1 pep chromosome:AGI1.1:12:9419493:9420872:1 gene:ORGLA12G0090000 transcript:ORGLA12G0090000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G15980) TAIR;Acc:AT2G15980] MGGDPTADPSSAYFIDADHPYAAAAASALTSHRSRSKWSHLSSLAVPDPLPASAVAAVPLLLRRRPHVALSFHLFATRRLLPSGSPPPLLLSVSAAHVAAASRLRRAAISLLSSAARHYPHSQIFAALAATYRRFASAPFVFDLLLLAYLRSRRDALAAASVARRILAAGARPLPSTSAALLRSLPSAAAALDMYREIYTRPSSRINSQLLPTVHTFNSLLLAFYREGKCDVFKVVLQEMDRYSCVHNVCTYNIRMAAYCDSKEVEKARGLWDEMVEGGIQPDVPAYNTMISGYCGVGEVGMAEEMFKDMEMGGIDPSVTTFEWLVRGHCRVGDIDAAMLVRADMSRRGFQMAAEVVEEVVNVLCQKKRVKEALGVLKEEMRKEEFVPSRECYEVLIRELCEQGEVEVAMRLQAEMAGMGFKAGSEVYCAFVCAYEKAEDYEMAEKLRKELSVISIQDG >ORGLA12G0089900.1 pep chromosome:AGI1.1:12:9397637:9404929:-1 gene:ORGLA12G0089900 transcript:ORGLA12G0089900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTEN 2 [Source:Projected from Arabidopsis thaliana (AT3G19420) TAIR;Acc:AT3G19420] MEEQQVKPSDSHPPPATPVDSQDSTAPPVRTPDPVSQATSNDSATQFASTVHVAPSPAPVPNAVPIQDAAGREAQSSIFSTSGLSSWAKNLKIPQPSSGQESPTGKNTFSRLTSGLGLRLSPKGPQQDESAEGSTTPTTAQSGVFGSFTKGIVDSSKNAVKAVQVKARHMVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDLSSGLFGYFEGFYRNHMEEVIRFFEMHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPIQLVISFCHSAYSWLKEDIENVVVVHCKAGKARTGLMISSLLLYLKFFPTAEESIEYYNQKRCVDGKGLILPSQIRYVKYFERILTYFNGENQPPRRCMLRGFRLHRCPYWIRPSITVSNHNGVLFTTKKHPRTKELMPEDFWFSAPKKGIMVFALPGEPGLTEVAGDFKIQFHDRQGDFYCWLNTTMMENRVTLNPTDLDDFDKRKLPSPGFQVEVVLVDYDGSQPPKPKPDAASTDQKSEADSSTGTVAKGNNASSAEVSNKESARNDKDDVFSDSEAEDGSSKGRREKVSRNVEGTTNAAKETSSVQKEASAAASRIEKVSITSEQGSARTPDAAPLKSGVSSKSSSTTAPPPPPAAADSSMSEFKAIAADASVFSFGDEDDYESE >ORGLA12G0089800.1 pep chromosome:AGI1.1:12:9395206:9395955:-1 gene:ORGLA12G0089800 transcript:ORGLA12G0089800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLGRTSKGRQHIDNKERRQVTFTKRRGGLFKKASELALLAGASIAVVVFSETNLAYAFGDPSVDAVLLSYGPVPGEDAEPAPVHSGGLGKDVDLEMLRRNNCASSLQFVLVSLSFIM >ORGLA12G0089700.1 pep chromosome:AGI1.1:12:9391913:9394039:-1 gene:ORGLA12G0089700 transcript:ORGLA12G0089700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase, type II family protein [Source:Projected from Arabidopsis thaliana (AT1G30010) TAIR;Acc:AT1G30010] MTARGALRRLIPSHLQPVSRRAPPPPPSAADEGPFPDPYALLVHDPIDLLSSLWRRAFAHPLPAPFPNLSGYASRLDLWFLSYQRACAHATGSFPPRHAVQLPTLHSLLRLRAAALRRHPAFPWGASTHLLIRSPADPPSTVPISRRKLEARLANAPPPFQDRVVQELLLLLLEPVFEPRFSPKSHAFRPGRGPHTAIRSVRSHFAAYLWFISADLTGVVDALSPDTILSCVQKAVSDRKVLSLLKSALNAPVRPGSVPPREKELDGLAKKRLKRKVLRKSRKKKVLNENEPKPDPYWLRLFFGFAPEQACHAPNYGHCGILSPLLANVCLNELDWWLEERIHEYFRPSSHDSIWKEAGDEGCHNPAWPEFVPSSGKEKTRKMDYLRFGSHVLIGIRGPREDAVEIRRQLMEFCESTFGLRPENSMVEIEHITRGIEFLDHVITRRVIYPTLRYTASGGNIVSEKGIGTLLSVTASLQRCIRHFRKLELVKGDRDPEPLPCSPMLYSGQAHTNSQMNKFLETMADWYRYADNRKKIVGFCAYVIRSSLAKLYAARYRLKSRAKVYKIASRDLSRPLRESTRNDAPEYSDLLRMGLVDIIEGVQFARMSSIPSCDYTPFPRNWVPHHELVLREYIKLQDPKFFCELHKTIKRKEINSPQDDVSRMVWYYKVYGVYDAKRSLQKLNDWKNTDEAANKENQILLGSVSMVH >ORGLA12G0089600.1 pep chromosome:AGI1.1:12:9385331:9385915:-1 gene:ORGLA12G0089600 transcript:ORGLA12G0089600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPLGRTSMGRQRIEIRRIDNKERRQVTFTKRRGGLFKKASELALLTGASVAVVVFSPAKHVYAFGHPSVDAVLRSYASVPGEAAAVAPVPVHGGSGGEDVDLLGLRLAADDTGAQVAAEHARMRDVAARIVQAKAGRRFWWEADVDALGEAELLEFVTALKKLRDNVGRHANTLLAPQPPPLPLQQKQRRRR >ORGLA12G0089500.1 pep chromosome:AGI1.1:12:9361575:9361966:-1 gene:ORGLA12G0089500 transcript:ORGLA12G0089500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQKPDDPNLKVNALMVILMITPAQGGWGFVVRDTNGQVVEADAGMEAYLTALFYASEVGRGVLP >ORGLA12G0089400.1 pep chromosome:AGI1.1:12:9355769:9359232:-1 gene:ORGLA12G0089400 transcript:ORGLA12G0089400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:I1R5T8] MAVGKNKRISKGKKGSKKKTVDPFAKKDWYDIKAPSVFNVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKIRLRAEDVQGKNVLTNFWGMSFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMVNQASSCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFVRKVKILKAPKFDLGKLMEVHGDYKEDVGTKLERPAEDEVVVGQEVTAE >ORGLA12G0089300.1 pep chromosome:AGI1.1:12:9352338:9355421:-1 gene:ORGLA12G0089300 transcript:ORGLA12G0089300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICLTQVRLYAFACLPYSVACINLIGNFLIQWKKLYTDYNLHRALVVLCARHLVNGTCKGSSRLAAAAAAWPVARQAHTTHIKHGGHLLPHKTTKPPTTTPHHIPAAHRQYRCPVVSPPSSGQPSPHARTRQLLDGLTPDLSPTTTNYFAALQAARGREERRGPLPAAPATAGATTISLSLQGVGGVWITARIIGGCRGLIRLLPRSHKSSEKHPMPLILLPCYSGSTCHEFPFLIAPTVCFLI >ORGLA12G0089200.1 pep chromosome:AGI1.1:12:9349933:9352239:-1 gene:ORGLA12G0089200 transcript:ORGLA12G0089200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSKQPKALAFRCYAASHRSLTLAVWSLAALVVVVNFHLLIIHKEDESTSTHEINRSIVSELEEVEEEKFRVSPPRSRRNPRAVRRKGEQKPPSVVDEFLDESSAVHDMFFPERNMAIDPINGGNDSMYFYYPGRVWLDTDGNPIQAHGGGVLYDEKTETYFWYGENKDGKTYKAHSKGADRVDIVGVSCYSSKDLWTWRNEGVVLRGEKKNVTHDLHKSNVLERPKVIYNDRTGKYVMWMHIDDTNYTKASVGVAISDSPTGPFSYLYSKQPHDCESRDMTIFKDDNGKAYLIYSSEDNSELHIGQLTDDYLDVTDNMRRLLIAQHREAPALFKYEGTYYMITSGCTGWAPNTALAHAATAIMGPWETLGNPCVGGNDIFRSTTFFSQSTFVLAIPGLSGSFIFMADRWSPSELRDSRYVWLPLTVGGLPDEAADYSFMFPLWSRVSIYWHRRWRLPEGWRDS >ORGLA12G0089100.1 pep chromosome:AGI1.1:12:9339845:9340120:-1 gene:ORGLA12G0089100 transcript:ORGLA12G0089100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSAAISGISGVKFEIQYISGIAKGIFDAVLKGHIDFQSVLKISDSAKDLIEKKKLSHCSSERLKDHEVLSIFDIFLHLNLLALCFIACLYS >ORGLA12G0089000.1 pep chromosome:AGI1.1:12:9327428:9329434:1 gene:ORGLA12G0089000 transcript:ORGLA12G0089000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRKGKKKLISSRRLPEPLAASSRAPAGPPGAAASSERLPAGAAPPTPPPPRARPTLALPCRCRLLAAAGEPGLPSAGAFSTAAAASSRAASGDLGSPSAGSSSRAPARELWWVTALRSIDSRLRHREATIQSRRDGMGSQLKGALAAATVGRT >ORGLA12G0088900.1 pep chromosome:AGI1.1:12:9325895:9326249:-1 gene:ORGLA12G0088900 transcript:ORGLA12G0088900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QCFVWILTPPLPRARFDLCLKSLIWAIGCSSELLELHLMIAHNKDGICNPNLPRSMSSRQALSLCTQSLIHVFCT >ORGLA12G0088800.1 pep chromosome:AGI1.1:12:9319122:9322067:1 gene:ORGLA12G0088800 transcript:ORGLA12G0088800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-zeta-carotene isomerase [Source:Projected from Arabidopsis thaliana (AT1G10830) TAIR;Acc:AT1G10830] MASHLLLRLPAPPPLLLHFPQRRVTLPQSRVSPTAPLLGARLVFSPASAVRPARARGSSIGGAGEDDSDGEVDGAPRLVGEDSAAFRLGDQRVASWVYFGGILAVVLWGLNVLWIDPATGVGTRFLEAVAAVSDNHEVTMLLLTIIFAVVHSGMASLRETGEKIIGERAYRVMFAGISLPLAVSTVVYFINHRYDGIQLWQVQGISGIHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKFHMWETGIMRITRHPQMVGQVIWCLAHTLWIGNSVAVAASVGLIGHHLFGVWNGDRRLASRYGEAFEVLKKRTSVIPFAAVIDGRQKLPKDYYREFIRLPYLAITALTLGAYFVHPLMQASSYQLPW >ORGLA12G0088700.1 pep chromosome:AGI1.1:12:9310164:9316947:1 gene:ORGLA12G0088700 transcript:ORGLA12G0088700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGAGGGGGEGDSNGGGTSPGGVSAAAPAIGPHHLGVAAAEEAMWQMTLGGGESMESTPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPPNRKLAVAAARMNGEYPYRVGQPECQYYLKTGTCKFGATCKFHHPREKAALANRVQLNVLGYPMRPNEKECAYYLRTGQCKFASTCKFHHPQPSNTMVAVRNSMYSPGQSATSPGQHTYPGAVTNWTLSRSASFIASPRWPGHSGYAQVIVPQGLVQVPGWNPYAAQMGSSSPDDQQRTPVTTQYYGSRQSETGGMGDHGMYQSYQGGSVPVGVYTVQGENIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERLVPAPNCALNSLGLPLRPGEPVCTFYSRYGICKFGPNCKFDHPMGTLMYGSATSPRGDVSSMHYQLSPSPGHPGILLDGGSGRSHRVPQSDSQQIPSGDGNAEREAS >ORGLA12G0088600.1 pep chromosome:AGI1.1:12:9304091:9304357:1 gene:ORGLA12G0088600 transcript:ORGLA12G0088600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARKVVLSMAAAAALMILGEGGGGRCVSRGGERGGGRGWAWSGRTPCRPTAAEQSRKAMGQCWRVLTAISAGKVREEHVEEAGTFN >ORGLA12G0088500.1 pep chromosome:AGI1.1:12:9292985:9293649:1 gene:ORGLA12G0088500 transcript:ORGLA12G0088500.1 gene_biotype:protein_coding transcript_biotype:protein_coding IANQTNPIDLPAREPREHDQIGWFTGTKDHAQEQNLATSFFLPCSGNNEQQQDVSATLGSAGKTLDTNTQTKTNPPPVNRDRPIKSFFCIKPDAGIIDFLSSIWLTQTCKELSAKDIFALATSTTTPTIEIEPNVPLR >ORGLA12G0088400.1 pep chromosome:AGI1.1:12:9278154:9278528:1 gene:ORGLA12G0088400 transcript:ORGLA12G0088400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTEASTDQRQSRAAASADRRGRRQSRTSASADRRQSMAAASDWRQSRAAAVKDGGVGGAIVEDGGVGGSAASWLAWKVAAWADQRRRDSRGRELSGSGEEREGGGRWIARWEVGAILSVGISPR >ORGLA12G0088300.1 pep chromosome:AGI1.1:12:9088092:9100570:1 gene:ORGLA12G0088300 transcript:ORGLA12G0088300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G07750) TAIR;Acc:AT3G07750] MVGLSEGEKHFIRGGIAQDLRTDGRRRLQFRAISVETGVIPQANGSARVRLGATEVIATVKAELGKPSILHPDKGKVSIFVDCSPTAAPMFEGRGSEEFSAELCVALQRCLLGGKSGAGAAIDLSSLIVVDGKVCWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLSATTDEEPEVNVSDEEFLQFDTSSVPVIVTLTKVGKHYIVDATSEEESQMSSAVSVSVNRHGQIRGLTKRGGAGLDPSVIFDMISVAKHVSRQFISVLDSEILAAEAAE >ORGLA12G0088200.1 pep chromosome:AGI1.1:12:9077402:9077683:-1 gene:ORGLA12G0088200 transcript:ORGLA12G0088200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIQREEDSRGVDSDCRVAPTSDGRHNFKRTPIWACKYFMESLSSLLSNGSSRMSISVLERPQLSFYSRLFSVHGAASPLLAQWAVYPSWAH >ORGLA12G0088100.1 pep chromosome:AGI1.1:12:9070849:9074046:-1 gene:ORGLA12G0088100 transcript:ORGLA12G0088100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGISPSRTSGISHWDWILPPRFGLVSDHRXXXXXXXXXXGCTPXNLSKISSSSFIFTYGGMEVLIGTVSTHYGFLKRKILGLKVGRKSKLISNGVIRPGVSFANVFSSEPTRSRAAVLIKKVFDTIKDSILDPNRPSVLPVRKLVFDCLDFPRRNVVQVSGSNLLHDGSSSKAGSLGSFKCSKCLATGHSRSDCRFRVCFKACFAYGHKARYCLAQIRSPLVRDTLVEAFGFQYSKFHTVHFRHHDRGSNWRAAHTNRRGWVMFLGYPLDFRNQHYINKEVSLFGRLVDWQERDPIPGRVMLRAVFDDIDAVPRVFLLKELPLRGGLGQSWTFGVFVLNTEFADIHLGDEDLPPLMVHLQEPTQQHNDHMDVQPDAPPQHDQPWGNWDQQGENNPENTGNSGISAGPNQNLAMSILENEASPVFFVLDSVQGKIQEVVLRNQVLRQLSNXIQGPPTILLVHAPFISLVLPRRNVAFDSLPLVYHSSQLPLVVVQPLGHDEDMDHDMGSPLGHDEIFDVQPLAISEPLDQAQPKSPPRIGPVPLLLEPPRASVKKRDGKTVMFDPDRRQSSRLRSSSQELTQPDPRMGIGKPRGKSAKKLKELVGISNILTGNSSLSASDFHSDLDEECFSTSDSSPSDCSISLLVSLVPFPXIK >ORGLA12G0088000.1 pep chromosome:AGI1.1:12:8980088:8986159:1 gene:ORGLA12G0088000 transcript:ORGLA12G0088000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRSTSTSSKRGCSATRTATAGSIAGVDHNTYHCLIVLVLFETPSGFAMFGMSGDRLIQPNALQEIWANFGVDYRVSKFIWLKEFREIKDKSSAINHDTGVSCDLAEMIMKWHHPGQKMAVGKPEYKEIIERSLSVPCMFDEIVMEVMWGLKNLMHVLVPQEKMKLSKDDYLPMSQGLYMLLNRYGLDVKPEMVTDSIIKLACFLLDCEYCDEKNSKHLRWTGEYIEKRSGIKCLDWDLMKLATGIKIICYPTERSTAEEAMFTQDELSKLVKDAHKYEGKIRKRSFMNAYNEMVEARQLIPMAQKQLEDLVKEAKDACEAEQST >ORGLA12G0087900.1 pep chromosome:AGI1.1:12:8924250:8942163:-1 gene:ORGLA12G0087900 transcript:ORGLA12G0087900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics;carbohydrate kinases;phosphoglucan, water dikinases [Source:Projected from Arabidopsis thaliana (AT5G26570) TAIR;Acc:AT5G26570] MTSLRPLETSLSIGGRPRRGLVLPPPGVGAGVLLRRGAMALPGRRGFACRGGSAASAAESTKEKKRRDSSKQPLVHLQVCLEHQVKFGEHVGIIGSTKELGSWEEQVELEWTTNGWVCQLKLPGETLVEFKFVIFLVGGKDKIWEDGNNRVVELPKDGKFDIVCHWNRTEEPLELLGTPKFELVGEAEKNTGEDASASVTFAPEKVQDISVVENGDPAPEAESSKFGGQWQGSKTVFMRSNEHLNKEADRMWDTTGLDGIALKLVEGDKASRNWWRKLEVVRGILSESFDDQSRLGALVYSAIYLKWIYTGQISCFEDGGHHRPNKHAEISRQIFRELEMMYYGKTTSAKDVLVIRKIHPFLPSFKSEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLIATEVMLARITKTPGEYSETFVEQFTIFYSELKDFFNAGSLFEQLESIKESLNESGLEVLSSFVETKRSLDQVDHAEDLDKNDTIQILMTTLQSLSSLRSVLMKGLESGLRNDAPDNAIAMRQKWRLCEISLEDYSFVLLSRFINTLEALGGSASLAKDVARNTTLWDTTLDALVIGINQVSFSGWKTDECIAIGNEILSWKQKGLSESEGCEDGKYIRSLRLKATLDRARRLTEEYSEALLSIFPEKVMVIGKALGIPDNSVRTYTEAEIRAGIVFQVSKLCTVLQKAIREVLGSTGWDVLVPGVAHGTLMRVERILPGSLPSSVKEPVVLIVNKADGDEEVKAAGDNIVGVILLQELPHLSHLGVRARQEKVVFVTCEYDDTVTDVYLLEGKYIRLEASSINVNLSIVSEKNDNAISTEPNSTGNPFQQKLQNEFSLPSDIEMPLQMSKQKSKSGVNGSFAALELSEASVESAGAKAAACRTLSVLASLSNKVYSDQGVPAAFRVPSGAVIPFGSMEDALKKSGSLESYTSLLEKIETAKVENGEVDSLALELQAIISHLSPSEETIIFLKRIFPQDVRLIVRSSANVEDLAGMSAAGLYDSIPNVSLMDPCAFGAAVGKVWASLYTRRAILSRRAAGVYQRDATMAVLVQEILQPDLSFVLHTVCPADHDPKVVQAEVAPGLGETLASGTRGTPWRLSCNKFDGKVATLAFSNFSEEMVVHNSGPANGEVIRLTVDYSKKPLSVDTTFRKQFGQRLAAIGQYLEQKFGSAQDVEGCLVGKDIFIVQSRPQP >ORGLA12G0087800.1 pep chromosome:AGI1.1:12:8915084:8915575:1 gene:ORGLA12G0087800 transcript:ORGLA12G0087800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLTPPRTPHLVQPISGNATASTRNTVALWTPLLRLCSSPSFHEAREYTVDDDRSSRAVAEPVHRRQDFAEPRLILGEPPSSP >ORGLA12G0087700.1 pep chromosome:AGI1.1:12:8911834:8912169:-1 gene:ORGLA12G0087700 transcript:ORGLA12G0087700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDLELTGDKRWLVWGGHRSNAGGESERRMFSGGDACASTIMYWLIGCMHVCSIGFWVLTEMLDKAGDVCRVIATVKLHSGMAQLQG >ORGLA12G0087600.1 pep chromosome:AGI1.1:12:8900120:8900395:1 gene:ORGLA12G0087600 transcript:ORGLA12G0087600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLAAVGEAGSDGQALKAVGSYGGEFMAGQSCGLTDDGGDGGDGAVGRNPSPCYQHPFATSLYLLLLRYTSRCRRRALPPSQHLPGRSRW >ORGLA12G0087500.1 pep chromosome:AGI1.1:12:8880143:8880498:-1 gene:ORGLA12G0087500 transcript:ORGLA12G0087500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIENVAADMGDEPTNVTNEDEDASNIPEDKSSKASEQPPPLPRRRRPTPSKNKNHISFHSSATNSSTNTSSIGKFSLNSPSFILINTINSNI >ORGLA12G0087400.1 pep chromosome:AGI1.1:12:8867940:8868455:-1 gene:ORGLA12G0087400 transcript:ORGLA12G0087400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGGVRVEGRRGRGRPCRCDAGGGGGAADGARVEEGPTGEIPARRMERPARHGGVPAKPRSGRGRRRCRGIEGGDGGVDRRTGDEQEPAGDGVVAATPLNAGGNASPVLSALNGGRPGGEEAAARLLVATARPDGAPARRERRLEAAGGTGERGGRWGEVVRPRESAGGG >ORGLA12G0087300.1 pep chromosome:AGI1.1:12:8821500:8822111:-1 gene:ORGLA12G0087300 transcript:ORGLA12G0087300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPQVQEFLARLNRIARNEAPRHPYFYPMKGILDKIDFLCKAKGIMQTLIQGKPIPAALRMSNHFSTLLLPRKSIFDSSPSVGQHEPEWALQPFESISPPQPKRVIEDVLPINVRPPSSPISVASPISVAPVALLPPKAPAKRKDGKAILYSPYRRQSSRLLQGNATKELQMDPRMGIGKPRGKSVKKIKEFAGITKLFIDSSM >ORGLA12G0087200.1 pep chromosome:AGI1.1:12:8810743:8811003:1 gene:ORGLA12G0087200 transcript:ORGLA12G0087200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEYEIPDLNLDPGVQEVLQHEGDGIPDLNLDPAVQGEDAFQYEDEELPDNQCFGAHEDGHPDPAMQVVELSNGWSAQEICHLNM >ORGLA12G0087100.1 pep chromosome:AGI1.1:12:8807120:8807725:-1 gene:ORGLA12G0087100 transcript:ORGLA12G0087100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKIEPTKPQELPSPSKESTIRGQSMADQDVAEPWGLSAWPPLTSLLLRAMRKRRTWAALFLAVYASLLCSSWRLVEAVRAWYYSAAGGAGAVAAWPAALYASVMYGAVFGLLSMGAALAVAAPAMLITWITVLVLLAFAGKPRRSLVAEGRRATADIARLALRVLLREGNAVAALCAAASFAALLLGRRDDDPAEGSGS >ORGLA12G0087000.1 pep chromosome:AGI1.1:12:8794475:8794757:1 gene:ORGLA12G0087000 transcript:ORGLA12G0087000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYNQFSLNTPGTLELLSLLIMLRSERMGTRVHGTGKAYIYCSMNIIKTIRIAVLAVIEHWDSQWHLG >ORGLA12G0086900.1 pep chromosome:AGI1.1:12:8744037:8744543:1 gene:ORGLA12G0086900 transcript:ORGLA12G0086900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCSRRLLCSLFLAAAALCGVTSAAAPRLGHHLPVHVPGLRPQAGRHHQRPHAEPHHQRRLEGQYRHQHQELVADGERRHPLAQHPADQQAVGGRHGGHHPATLSRSALSTPPQPLCHSGCAVAAVSMPTSRGGWRAARSTAPTWGSPLHLRRRHEEDILIVGPTLD >ORGLA12G0086800.1 pep chromosome:AGI1.1:12:8732736:8741172:1 gene:ORGLA12G0086800 transcript:ORGLA12G0086800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G47790) TAIR;Acc:AT2G47790] MEGGGEDTAAAMAMEVEIEAEAVLCMGTSSPATSNSSSPSPNSGISSRRLGLKNSIQTNFGDDYVFQIASCQENSTLAVSLSTNALKLYSPATGQFLGECTGHSGTIHEISFSAPSSPQVICSCSSDGTIRAWDTRSFKQISLLRGSQELFSFSFGGSSGNLLAAGSNSQVLLWDWRNSKQLACLEESHMDDVTQVKFAPHQHSKLISAAVDGLICVFDTDGDMNEDNHLLSVMNVETSVAKVGFFGNTYQKIWCLSHIETLSTWDWNDGSRELNIDDARSLATDRWNLDHVDYFVDCHYSLPDDKLWVIGGTTAGTIGYFPVRAGLEGAIGSAEAILEGGHTDVVRTVYPAANTHTGQNRGIFGWTGGEDGRLCCWRSGEIAEMNKSWISSSLVSRVQKKAKCRQQPY >ORGLA12G0086700.1 pep chromosome:AGI1.1:12:8726280:8726633:1 gene:ORGLA12G0086700 transcript:ORGLA12G0086700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFPSPPPWGWSTGFITTPLTMERLPSQHLDPALPKLFWFTPTLPTYPTVAKQFWDTKRTSPDGNLKVADLPSFAISFATAPAALANCPPLPLVIPMLCMAVPKGISVEVDSSFLS >ORGLA12G0086600.1 pep chromosome:AGI1.1:12:8722312:8723940:1 gene:ORGLA12G0086600 transcript:ORGLA12G0086600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maturase K [Source:UniProtKB/TrEMBL;Acc:I1R5R0] MQHPVLTILYYVSPFDKPRNISLSLIQVEIKMEKFEGYSEKLKFPRQYFVYPLLFQEYIYVFAHDYGLNGSELVEIIGSNNKKFSSLLVKRLMIRMYQQNFWINLVNHPKQDRLLDYNNFFYSEFYSQILFEGFAIVVEIPFSLREQSCPEEKEIPKFQNLRSIHSIFPFLEDKFLHLHYLAHIEIPYPIHLDILLQLLQYWIQDVPSLYLLRFFLNYYSNWNSFITSMKSIFILKKENKRLFRFLYNSYVSEYEFFLLFLRKQSSCLRLTSSGTFLERIIFSRKMEHFGLMYPAFFRKTIWFVMDPLMHYVRYQGKAILASKGTLLLKKKWKCYLVRLXQYSFSFWTQPQRIHLNQLANSCFDFLGYFSSVPINSLLVRNQMLENSFLIDTQMKKFDTKVPVTPLIGSLAKAQFCTRSGHPISKPIWTXFIGLGYSXSVWSDMXKSFSLSQWIFKKEDFVSTKVYTSTFMRXNFSSXTXKHGTSFYAMVGFGIFRRFFYGRRASFFFDVHQNNLLFFLWIAQXAYLVFEYSPYQXSGESSXL >ORGLA12G0086500.1 pep chromosome:AGI1.1:12:8678787:8693165:-1 gene:ORGLA12G0086500 transcript:ORGLA12G0086500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWRRRRVAPGGQVPPELRLAYGARALTLGRAVFSLLPSPRHRESPCPACRGRVASGCLACRRWEHLLRDGDPVAYRRLITRAVCAIAADDLSAPPPPRYTPGNSGHSQARLVREMMKSIVADQSHGTKNVLCNGLHEGGQSICISDLVSSSSWSILLHRIGDLLMCYLLRCTSIFLPVKKNDYFQVSGVPLNVVLRNPIFASTVARKHQPQTTKAKCHTCYLWKSANMAENISICHDSSNSGVNSSFSSTCKIVTQQSCETCDSIRRAESKDPSEGCNCPKFPSDGRSGECCNCYTHNTRKRKRLYSWQRRSKKKQVCSVDDSSAEWSKLNGSNFNMSNGPSENLAGKMNDQAQSVELTVDNTSLARSNDDSSSEIKVINATILSSEKSPCSVFDIRGSQGLSCHYSLSEVQYQSTCPQVGPSSYLHLNSCSICFNCIISNASKHLSLDSLISRNGIFYNRRTTYSVFHCKHILSKRKRPDALSLVKHIFGINSCCASLLKYNCHESTIRKSNCLCCWLPKSIKNLIRNSKRCQYKKLFLKHCSVKCKVAPDVTKNDGKAHYSPGGKAAYYDRSFSRLEAYSTHQQVASFVWAVLKRIVPKPLLGNSFGKRSLRTNIWKFIKLRRFETFQLSDCIGDLKVSHYSWLSNIEFSNCFCSAIIGKQTGSSTSAEEQKQKNILHCWISWLFSDIVIPVVRTYFYVTERESKRYDVFYYPKSVWRDLTSNAIASLNKKNFRILRGEPRKAVRHLNCSSRVRFLPKAKDMRPLVNLRAKSKDANLNKCHLIMKKLRDEKPEMFGSSVFDYNNVHQNLSQFISSKRSQLMKKLKVYIVVADVSKAFDCVSHDMVLKMIDDAFKCDEYTVRKCSKVICNRSKNSLYRFDSNASIGNGNSIYDLSIQLSSGGGIFVDQGTICRILKEQFHHLLYEQIKCNILKIGQKYYLQQVGIAQGSKLSPNLCSLYYGHLENSVLSKFLHDSKLNAGEAFSEPEYLLMRFIDDFIFISFSLEHAQKFLNRMRRGFVFYNCYMNDSKYGFNFCAGNSEPSSNRLYRGDDGVSFMPWSGLLINCETLEIQADYTRYLDITIISTITVKMHSSTKYIHSKLCHYMRPKCHPIFYDSNINSPGTIRVNIYQAFLLCAMKFHCYIRSISDANVSKLELLQVIKRTFRYMHSLIVRRMQDVELHYNVRPVLKLRRKETIWLGLTAYIRVLQQKQSRYKDMLTLLTAELGRYCHLGHECDTLRYAVDDSHSSMFWKFKF >ORGLA12G0086400.1 pep chromosome:AGI1.1:12:8665764:8671471:1 gene:ORGLA12G0086400 transcript:ORGLA12G0086400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast sensor kinase [Source:Projected from Arabidopsis thaliana (AT1G67840) TAIR;Acc:AT1G67840] MPLLDTPYLALRVRLPRFPTPHNPRPAVAIEAQHSFPHGLLARAACQPLRHVAAPAEGEGEEVEDLGTPSAAAVAEAIRRASSASPVRFRRVHREENEKLRGEGGFTEPSADFRRLCGEQLEMFRVVVSRDAVLSVYVRPAGSYIMDQLELRRVALYPETNVSKGDTVILVGNFTISAGLRAAEAFLVKHQMEIITEFGAVVLPMVKHPFVVGFLVAELPELVGCTKNSETSDIQIPSHSFLDKSSDITPYTKGEAWDFQTSGDQANSYAQLVTEWKNSALMISRTLAMAYVMDQVHSSQKAYLLQQASWQNNIRMSGLVEQIRGPLANIRALAKMLSVHTKRNEISYDIVEDVMIQGDHLKDALQQIQDAVYLTKVNIVRYNEETLKKIQGSPSSRTLPHYQSDPKNSSQKVDSLSSHDSDNGDMVIPMPPLWLAPLQPQDARQTM >ORGLA12G0086300.1 pep chromosome:AGI1.1:12:8636409:8637039:1 gene:ORGLA12G0086300 transcript:ORGLA12G0086300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:I1R5Q7] MAPSVMASSATSVAPFQGLKSTAGLPVNRRSSSSSFGNVSNGGRIRCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >ORGLA12G0086200.1 pep chromosome:AGI1.1:12:8613997:8614447:-1 gene:ORGLA12G0086200 transcript:ORGLA12G0086200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQLDEEKKEQAVKMKGEEEDGVFSTPLSPEVGARPWVCCGAKEAPKVSDKWAQVMAVYEGERGGLNRE >ORGLA12G0086100.1 pep chromosome:AGI1.1:12:8601840:8602484:1 gene:ORGLA12G0086100 transcript:ORGLA12G0086100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:I1R5Q5] MAPTVMASSATSVAPFQGLKSTAGLPVSRRSNGAGLGSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >ORGLA12G0086000.1 pep chromosome:AGI1.1:12:8587076:8587714:1 gene:ORGLA12G0086000 transcript:ORGLA12G0086000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:I1R5Q4] MAPTVMASSATSVAPFQGLKSTAGLPVSRRSTNSGFGNVSNGGRIKCMQIFTLFIQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >ORGLA12G0085900.1 pep chromosome:AGI1.1:12:8566853:8584715:1 gene:ORGLA12G0085900 transcript:ORGLA12G0085900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:helicases;ATP-dependent helicases;nucleic acid binding;ATP binding;DNA-directed DNA polymerases;DNA binding [Source:Projected from Arabidopsis thaliana (AT4G32700) TAIR;Acc:AT4G32700] MASGSSRANIDQFFPAKKRRPSSRKDEPPSGSPRGAKGSLEGYLVRSPSTAAAASLDSPRGGGDAAGARRSLSAAMDVDVGHPATAVATDEGDFELKRFAMEFLSLSHYCSVIPSVMGGASNGEAGKQQKRSAIQSFLAPCSNASAKKQRVAHSGDLEALKVVDVGHKTPFREKCGTQYGGPEALEELGEGTKLSSEGFVALQRCSFTPNTGQKRVGFSTAPGDGETPMSVSTNSLISPGEEFWNAAIEFADGISARAEKGPGRPECDADDKSSCAVALCSKILPRSGNGGSDHENTVGSNETKQMDRSSYKEEPVAANNHHVNSSPLPVKHLDFFHEDEIQVPGLKIEEKGGAVDLSQKSQMKNRENLTHSVDNVNKSTFDMHIDSSATIHDECLSKLTTEGKNHPTRVGDSGSCLTRRDLNQLIYSEDKLLTAYSDHGKPSKDCTNKFASQEMEANTPTSSVPQKDHSKLSSWLPPELCAIYMKKGIPELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEILMLRRILFSGKMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEEGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGEISGSSCGKMDATHGLQIIGMSATMPNVAAVADWLQKDEEFTAQAALYQTEFRPVPLEEFIKVGNQVFDKDMNVVRVLPKVADLGGKDPDHIVELCNEVVQQGHSVLLFCSSRKGCESTARHVAKFLKVASVGSSDVGSEFPDATFAVEALKRSPSGLDPVLEETLPSGVAYHHAGLTVEEREIVETCYRKGLVRVLAATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYKQMSGRAGRTGIDTKGESILVCKPEEVKRITGILRSNCTPLESCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFEDVVKSAQDSLRWLCHKRFLEWNNETKIYSTTPLGLAAFGSSLNPEESLVVLDDLSRAREGFVLACDLHLVYLVTPINVDVEPDWELYYERFMQLTSLEQSVGNRVGVIEPFLMHMAHGAAMPVRGKPQHAGIRNRSPTRGSGGNALINEQALRVSKRFYVALMLSRLAQEIPVTDVCEAFKVARGMIQALQENAGRFASMVSVFCQRLGWHDLEGLVAKFQNRVCFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQGDSGLRRMQLGIAKKIKNGARKIVLEEAEAARVAAFSAFKLLGVQVPQFTTPLLPTIDEPPTRDSMVSVGRGQASDDTNNCFSYGSQRASTERTLGNDMHPGSSIQITESARIVNNANIVVQVASPFSIETKLSSRNVADKGPVNAYNFPGGFDSFLDQWSTVSEFSFDLHYVKKSIKSSSTYFDILGLAVCWENSPVYYCNFPKDLMMAGSNDSIEMWDELTRRWNRVIEIMRQNSVKKMTWNLKFQIQALKYPCVSCQRLSRLHLDYKMLKNVEVLDNLYVFLQPVSVHSGLDICLVAWVLWPDEESKTVPNLEKLVKRRLHNEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALCSVLRKLLVSQNLNDLLETIEGPLVNVLADMELWGIGADMDACLRARHIIIRKLKELEKEAYKLAGKSFSLNATADIADVLYTHLKLPVPKGCGKGKLHPSTDKQSLDHLRDQHPIISVIKEHRTLAKLLNGTLGSICSRAQLCSKSQRYIIQGNWLQTSTATGRLSMEEPNLQCVEHLVDFTTLKNDHDSTSMSMVDHHEINPRDFFIPTQENWLLVTADYSQIELRLMAHFSKDTALIELLSKPDGDVFTMIASRWTGKAESLICSKERETTKRFIYGILYGMGANSLAEQLECSPEDAAQKIQSFKRFFPGVSSWLHEAVASCRHKGYVETLMGRRRFLSKITAGNSKEKAKAQRQAVNSICQGSAADIIKVAMIKVHSAITNGSTVGATVDSIDVAMQNFSEIRGRCHLILQVHDELVLEVDPCMVAEAVRLLQTVMENSASLLGPSVSFLLLRATLNLYKTGYIASVTESIVENED >ORGLA12G0085800.1 pep chromosome:AGI1.1:12:8540390:8554139:-1 gene:ORGLA12G0085800 transcript:ORGLA12G0085800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGGGGGGGAGGGGGGGGADIASLLDKAKELDQLRKEQDEVVTEINKMHKKILSSPEMVDKQVDATLIRLRALYTRAKELCESEVSASSALVGLLDGLLQSGTSAAQRKKIEVGEQKKKRMKSDTDTTRFSSASMRSQLDQATNLKGEQVAAKVKSDEEKDEWFVVKVIHFDKETKEYEVLDEEPGDDEESAQKKYKLPMSDIIPFPKRGDPSSAPDFGQGRQVLAVYPSTTALYRATVASNRKRKSDDYLLLFDDDEEDGNLPQRAVPFYRVVPLPEGHRQ >ORGLA12G0085700.1 pep chromosome:AGI1.1:12:8527022:8527402:1 gene:ORGLA12G0085700 transcript:ORGLA12G0085700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPDLLAAFCGAVDTYAWVLEEAKHHDDDDVVVGLGLRRGWLLLLLLAQSQPTISLLSHFFCSCYFGRHSDIGVSEDSVGDGASRDELRATGPGRRVAALVPPQLFVDGRYSGSRRGGHAPPLP >ORGLA12G0085600.1 pep chromosome:AGI1.1:12:8515728:8526387:-1 gene:ORGLA12G0085600 transcript:ORGLA12G0085600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSLAAAAPSLVAPPLHHKPHLAFPPHHPSPARGSIGVRCAHSPSPHPLRPSAATADEEVSLPPSLRVRRLAEEFRVSPDAADRARRLLARAAALPRLGEADRVAANRVMGCVAQVWLVGRCDGAGRMRFAADSDSELSRGYCACLVSALDGARPEEVLDVDPADLAPLGGAAAGTGARSRASTWHNVLIGMQKRARAAIAAREGRPAGEPFPSLIIGRDGAIRAQGTYAEAQAMFLSPNESKISELVKSLREKKIGIVAHFYMDPEVQGILTASKKHWPHIHISDSLVMADSAVKMAEAGCEYITVLGVDFMSENVRAILDQAGYSKVGVYRMSSDQIGCSLADAASSSAYTHFLKEASRSPPSLHVIYINTSLETKAHAHELVPTITCTSSNVVATILQAFAQIPGLSVWYGPDSYMGANIADLFQRMAVMSDEEIAEIHPSHNKKSINALLPRLHYYQDGNCIVHDMFGHEVVDKIKEQYCDAFLTAHFEVPGEMFSLSMEAKTRGMGVVGSTQNILDFIKNHLMEALDRNINDHLQFVLGTESGMITSIVAAVRELFDSYKTSQQSANIEVEIVFPVSSDAVSNTSVNGSHHLDSSTVTDLDNVSVVPGVSSGEGCSIHGGCASCPYMKMNSLRSLLKVCHQLPDRDNRLVAYQASRFNAKTPLGKLVAEVGCEPILHMRHFQATKRLPDKLVHHVIHGKGEPTS >ORGLA12G0085500.1 pep chromosome:AGI1.1:12:8506407:8512661:1 gene:ORGLA12G0085500 transcript:ORGLA12G0085500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKDRGKPASPTAARKDGAAAMAEDGEEAISSTTATKVAAAKQFIENHYKDQMRSLEERKERRRMLESKLADPDVSEEEQNNILKDFENREREIMRSRRHKMGVDDFELLTIIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSAYIVKLYCSFQDEEFLYLVMEYLPGGDMMTLLMRKDTLTDDESRFYVAETILAIESIHKHNYIHRDIKPDNLLLDRTGHLKLSDFGLCKPLDSSSFPNLSEFDYAVGKNINPSMDGDKLLSSSAAPRRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDLWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNADLRLGTKGAHEIKAHPWFKGLEWEKLYQMEAAFIPEVNDELDTQNFEKFEEIAPMQTSSKAGPWRKMLSSKDTNFLNFTYKNLELSDDPEHPGIAQVKKKNNKPTRRTFKSILHEFADTEEEPQSSSLNSTPSQLDQLPESLEPSPHSSISSEDSQSRHR >ORGLA12G0085400.1 pep chromosome:AGI1.1:12:8496471:8496713:1 gene:ORGLA12G0085400 transcript:ORGLA12G0085400.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTHYEHFQRELDAAKGLHEELVKSVEPTLKLFFPSRCKGKDLVQLATELVPEGPTAVRRLSEGGGRECGGRREGDSVSFHL >ORGLA12G0085300.1 pep chromosome:AGI1.1:12:8493993:8494686:1 gene:ORGLA12G0085300 transcript:ORGLA12G0085300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSPSRHSLPRASPLWTSLPCASPPSSQTSPHHRPGKEPSAAWFQGGKEEAMKVGFDDAADKADSMAMYEAGLVSPRENKIVDRSNAKREALQSIFDNVTEIECSGSSSSSGRRRRRSGTSGEGAMGLLMKNPRSADGARSM >ORGLA12G0085200.1 pep chromosome:AGI1.1:12:8487474:8490676:-1 gene:ORGLA12G0085200 transcript:ORGLA12G0085200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLTASAVFRSNQELTSLARSGQLAAARRLFEEMPRRNVVSYNAMVSALAHHGRLAEARRLFDEMPRRNPVSWNTMMVACSQHGRVEDARELFDAMPARNEYSWTIMVSCYVRAGELTLARELLDRMPGEKCAACYNTMISGYAKNGRFEDAIALLQEMPAPDIVSWNSVLGGLIRNEEISRSVQFFDEMPDKDLVSWNLMLEGYVRAGDLDVASAFFSRIPSPNVISWVNLVNGYCQAGRMGEARELFDRMPERNVVAWNVLLSGYVQFSQVEAAYNLFIEMPEKNSISWTTMVSGFVRSGKLQEAKDVLSKMPSDNVGAKTALMHGYLKSNLIDDARQLFDGIVVRDAVCWNTMISGYVQCGMLDEAMVLFQQMPNKDMISWNTMIAGCAQGGQIRKAASIFRKMKRRNTVSWNSIISGFVQNGLFVEALQHFMLMRRDAKSADWCTYACCLSASANLATLHIGRQFHSLLVRTGFISDSSPGNALISAYAKCGRMLEARQVFDEMVVQDIVSSNALIDGYASNGNGSEVIAVFREMEANGVRPDEITLVVVLSACSHAGLIDEGLHFFNSMIKLYSLKPVAEHYTCMVDLLGRAGRLREAFELVQGMQIQPNAGVWGALLGACRVHKNHEIAWLAAEKLFELEPCKASNYVLLSNICVEAGKWDDADKVRVLMKESILRSCNIHISNKMLDNSGYRCYGASWKPRHCLWKWQEQKDFKALLELSLEFGSKKDDAVQQYDDHGLMDGRVSH >ORGLA12G0085100.1 pep chromosome:AGI1.1:12:8459518:8463143:1 gene:ORGLA12G0085100 transcript:ORGLA12G0085100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMRRHSVDVPLSRTLVQLKRVRSLRDPATNSLSKYASPSDNMIWETASSNGVAMDLGRSAHHQLIEEDGDLEAEATLGSERSFRAPNARTASYRKSSVVKIRGLNPPRNKQAHRARQDGHRKSVDSSHSNHSSIRQLANTMVNNVAEEKEEEEEVNSYERSVPTPPAKTDEEVKMPGFSKFRNKSSAAMSRVGSPCMSASEARSVRSRRTEETQVRSNDVVGSNFSGCGISYCWSGASKYRELYYDSDGPEQPLLSPEGTDAAFQENVPYTETPRCLSQKFRPRSFSELIGLNVVVQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCLSLEEQRPCGFCKECVILFSGRSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIVDECHLLPEDAWSAILKSLDEPYRHAVYIMITSDIDSLPRTSITHCQKFHFPKIKVADIVYRLERICIEEGLEFDHDGLYFISAKSNGSLRDAEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMASAIDPLQLVSQLANLIMDILSGRCQSAVTEVSKSFLGRYALAEVGIKKLRHALKILSETEKQLRTSRNQATWVTVALLQFGTNESNLIAESNDMHAHSAIGYTDDWVSKVNSSSNFCHACNSNKSNCSERHCRRLKLENIWRRAIGKCQSRSAKNFLRKEGFLSSVHVTEELAIAEVGFSHPDHISRAEKMQSLIESALQNVLGCNVEVKFKLVPRLVRKDARSKRQSFSLLSCSGRKQELSDSAVTDEDETVRHGARETPSKGYSSSQQQSPFIMQRTDSKPTVHGCEDDARSTLTSNRSMTDDMTRTCRSETNYSKGVSEQGRFDSIQEPDLQPNCFSRTLKLQKRFFSSDAAHTICLKIQPHNKMDFLPKKEFDTYFCAYEPYEQCSRSNSHATCSSRDDNLWNKNSRFGSHLLCWRGPKQAI >ORGLA12G0085000.1 pep chromosome:AGI1.1:12:8455783:8456097:1 gene:ORGLA12G0085000 transcript:ORGLA12G0085000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYGTEIASVERDVAEITNMELIGVEWDGRNSLAWIWWWTTSSSSPSAAHPTAGVALPPPVDAALSSTDDASHTLSLPNRWRRLVGERVRRWTGDDDDKQRHRI >ORGLA12G0084900.1 pep chromosome:AGI1.1:12:8442338:8442577:1 gene:ORGLA12G0084900 transcript:ORGLA12G0084900.1 gene_biotype:protein_coding transcript_biotype:protein_coding TELGANSPRVMFSRGEEGREVSLELLEGVRVEALEHMRKYATGTSATYNKKVRPTELLPGHLVLRKKANPIAVGKLESK >ORGLA12G0084800.1 pep chromosome:AGI1.1:12:8440969:8442234:1 gene:ORGLA12G0084800 transcript:ORGLA12G0084800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAELAAAEERRRATAQTAAAAARAARLAAAELAAARAEAEAEAAEDAARAAEVEVETLRSSINGSTAGDITADRELEELARGRARERAERWAAAHPHGGGGGPRDRAPADGNLDGRWRAGGSPEPARGPRRQRGSPSPDRRHGHHGVQTVVRDFGPGGGWPTLTKTNYIEWAAVMRVRLQVRHMWEAVRYGDVDYDEDRRALDALIAAVPPEMQFSLSQKRTAKEAWDAIAAARIGSDRARKSTLQALRKEWENLAFKPGEDVDDFALRLNTLLQKMVQYGDDTYDEERAVEKLFRCVPEKYRQIARSIESLLDLSTMSIEEALGRLKVVDGDEPQPLSGPITIGGKLHLTREQWEASQSDGRKGESSPSTGGRKPRKGHGGVQLRWARRRAEGGARRGAQGSAAGNHKPARDDACRNCGKL >ORGLA12G0084700.1 pep chromosome:AGI1.1:12:8426722:8438906:-1 gene:ORGLA12G0084700 transcript:ORGLA12G0084700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIEYKKDDDSNQQEGVQYNHQNVVNAASHYNTRNDDITLKRKCQDGERGSPGTSKKKKLKVANTGKHTGCSNEDKAHQDQDHSGSKKLKSPCIDDAKKVMLAEADVTLEKEQISKRDNQTKLNSETKEDDCNTQSDIKKVSRSARRKKLKRQLRQKAKEQLKEKEHCQEQPTVADCPSSNNRDVLLSPSSNQNNSSLPFVRHEADEEESDTSDDIVPVVVRPGHIRFESAGGESDKSPVKEIQTTFQWSGTTSKKKGQKWGMDNSNKKSSDISYHGRITGTDTEVNHHVAGNSKTSDNDFGLASNQKVGESSHVGSASEKIVAEKGKSSSEPLDFESLYPLTRLPKEGDLIVYRLVELSSSWCPELSSYRVGKVLIYDPISLRIILLPVPEYPFTAGEKNGEDESEMLVDMSPYKEDGSLEIEYSSLLDIRLLKDTDSVQPAVSTPLTETGIKGGSHAQKPANLDNHKGKIHSEKLPNNTKDPEATQEKTQNTVWEENGEVANDEPAVQENGWGTWTPNASTSAWSYRALRSSALGPTLAHLRGKNTKRGRPYNRKYGK >ORGLA12G0084600.1 pep chromosome:AGI1.1:12:8417342:8422376:-1 gene:ORGLA12G0084600 transcript:ORGLA12G0084600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLAVVGKVGTFLLWLLFLVLQTATKIVGSLLAAGPPPADAQEAAAAAAARRRSPPASPHSGGGATDPYQPPQLWDPPPPSSPYSAGHAADEYSSSSSYRRRGASAPPAEDVVVSSSYSRPAAAVASAPQARTVSSAPPLRAVETRSPAPSRAAAAVGGKRPKLERKYSKIVDQYRSLDEVIEALAQAGLESSNLIIGIDFTKSNEWTGKRSFNGMSLHHIGDSPNPYEQAITIIGQTLSAFDEDNLIPCYGFGDASTHDQDVFAFYPDERPCNGFQEALARYREIVPHLRLSGPTSFSPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVDTEFGQLSTQEQMTVDAIVQASEFPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQFVNFTSIMSKKISQSKKETEFALSALMEIPLQYKATLELGILGRRFAKSPERVPLPPPFASYNTISRAAPSRANSFRSMPSHPRDEAPVDSAITASVTSPPSVDARAAEPQLCPVCLSKPRDMAFGCGHQTCAECGPQVEHCPICRRPIDTRVKLY >ORGLA12G0084500.1 pep chromosome:AGI1.1:12:8390491:8393082:-1 gene:ORGLA12G0084500 transcript:ORGLA12G0084500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1R5N9] MTPKTKPWMAERLLLPLSMVLVQLFTIGALLLAKLSFNSGMAPFVLLAYRNLIGTVTMLPFAFWFERQMMKKVNYKVLGWIFFNALFGIVLAMGLHYYGLRATNAGYTVNFLNLVPVVTFIIAAIFRLEKLKVKTRSGMIKVIGTVICVVGTMVVSLYRGKLLHLWPTHLLKPAQLRAIGGSDDSTFPTHHNMLIGTLFLCGSCLSYAFWFIVQAKVNKEFPSKYFSTMLACLMGTIQAVVIGIAVDRDRSAWALHWDLQLITIIYSGVFNTAATFCLITWAVSRRGPTYPSMFNSLALIITVVLDSMLLGSDISVGSLLGAFMIIIGLYSFLWGKGKEIKEQQQQVPTNTDADQSKITYPKSNGEVRIMLDT >ORGLA12G0084400.1 pep chromosome:AGI1.1:12:8369695:8370240:1 gene:ORGLA12G0084400 transcript:ORGLA12G0084400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFVDNSVNTNLNQGVPASTMLVWTQVGEIVFPVYTTMPISAGPSMTGSENTVATTQDDSMSKDPPAEAENGTLTTSEPEKDPSAAKPCLSDKNHESTRMTSEVTRSWCPIHKTRKHTLQACWVFLNVHSEIRACKERGIQRTSPTRDVYCPIHKTKNHDLSSCMVFLSAMKTSPPKIQ >ORGLA12G0084300.1 pep chromosome:AGI1.1:12:8328849:8329076:-1 gene:ORGLA12G0084300 transcript:ORGLA12G0084300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSASCASSERRGWPALAAGDGLAGATSARVQQRWPSPALIRHRRWEFEAELTSTAVGRPDWSPAMEAWWRIVRP >ORGLA12G0084200.1 pep chromosome:AGI1.1:12:8323360:8325380:-1 gene:ORGLA12G0084200 transcript:ORGLA12G0084200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHLLANGPKDPKSMTIDISENKKIVITPWKVKTVLGVPLGGEPLQLPDQDIMSDALADLAIELDLPPKSDITASRLIEEIKNRPKDPSMVRYFIMVIVNKMLLPSTGLYIRPKDAWIGSDTQKVARINWSKAVFDALHDSLVLWHKNKTGPRQQTYIRCCVAFLVLLYIDNLKVPKDSLTVDRCQTPRIQLYTKQLVEDISQEDRVTDSSGNYVFGNLPQGKRTTWGQLWHPLADELVSAVEISFPSMFDTVGPHLSGLQDEHKQRVLDALGEYDRYVSDHIVSIIRGESRNQPPLGPQPQPASHSQPDSQHGPVASPTSEEAQDHHIHNTPDISPTNSPAPQPCRIITPDAAFNPTPQITSTEPHPHLPGELFPTMDKTAIADENQALTPQPNADFQGGCDVGIPLQGIIDFTMTFEGTCTTQSHSADGFEGHHDLPDVDDEHGIETDTSMQG >ORGLA12G0084100.1 pep chromosome:AGI1.1:12:8307048:8317746:-1 gene:ORGLA12G0084100 transcript:ORGLA12G0084100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplastic NIFS-like cysteine desulfurase [Source:Projected from Arabidopsis thaliana (AT1G08490) TAIR;Acc:AT1G08490] MVSAAAAASSLRCFPPSLGNRGPGAATARGGLVRLSSRRARSTAGVAAPSREAESAASLGDLTRVDFPILDQEFDGSKLVYFDNGATSQKPSCVMKTLDEYYRFYNSNVHRGIHVLSAKATDAYESARTKVANFVNAANSREIVFTRNATEAINLVAYSWGMSNLKQGDEIVLTIAEHHSAIVPWQFVSQKTGATLKYVGLTKEGFPDIEQLKGLLSNKTKIVVVHHVSNVLGSMLPIEDIVTWSNRIGAKVLVDACQSVPHMPVDVQRLGADFLVASSHKMCGPTGVGFLHGKFDLLSSMEPFLGGGEMIADVFQDKSTYAEPPSRFEAGTPAIGEAIGLGTAIDYLSQIGMQKIHEYENELATYLYESLIAVPNVRIYGPAPCQTVHRAPLCSFNVENVHPTDIAEILDFQHGVAIRSGHHCAQILHRTLGINASARASLHFYNTKEEVDVFVDALKDTIDFLTSEH >ORGLA12G0084000.1 pep chromosome:AGI1.1:12:8302972:8305447:1 gene:ORGLA12G0084000 transcript:ORGLA12G0084000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mago nashi family protein [Source:Projected from Arabidopsis thaliana (AT1G02140) TAIR;Acc:AT1G02140] MATGGGAGEDVPGGGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRIIQESEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQTSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >ORGLA12G0083900.1 pep chromosome:AGI1.1:12:8277146:8283277:-1 gene:ORGLA12G0083900 transcript:ORGLA12G0083900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKMAFFPPTPPSYALVDDPAAGVTTMTGQPHRENVEVLRLRTRRGNTVAAVYVRHPDAATTLLYSHGNAADLGHLYQLFLHLSFNLRVNVLGYDYSGYGQSSGKPSEHNTYADIEAAYKCLIENFGAKEEEIILYGQSVGSGPTVDLASRLHRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPQVTCPVLIIHGTADEVVDWSHGKQLWELCKEKYEPLWLKGGKHCDLELFPEYLRHLKKFVNTVEKSPSPRNAWRESLDRIEPSRKSVDCFEPSRRSVDFFEPSRKSTDRREKSRPTRDRMRGVEHRYSNVEKTDKLKFSFDHMEKSRRSIDIFERPKKNIEQLDCGRKSVDRLDRIWAS >ORGLA12G0083800.1 pep chromosome:AGI1.1:12:8246121:8254035:-1 gene:ORGLA12G0083800 transcript:ORGLA12G0083800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYPDSIMRIIGADSIITTLGSSHKYIKNMVFRLFGPENLRRDMIKDMQKTAEASLLSWLHHPSIELKEAASSVCLIDTFDLELTQADWFKLTRGRKNVMKMLKEMMDERKKATGRQESIDFFDVLLEELKEEKHAMSENVALDLIFLLLFVSFETTASRITAILCFLSDNPKALQELTEEHDYIQKKRPDLNSEITWEEYKSMKFTCHVIHEALRLTNIAPVMFRKATEDVHIKVEVCAHSCPGYCXTSWWRLQGFHGLWRGTALVCWCRFRQAADGDLPPLLSHQVXMESDQGRENGAWSWTTIPXRFSYPTSSKRL >ORGLA12G0083700.1 pep chromosome:AGI1.1:12:8243275:8244878:-1 gene:ORGLA12G0083700 transcript:ORGLA12G0083700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRSKCSSVGRTLMGGLGNNLFGAVNSSVETVTRPSHCDAICQVMFSFSFQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKRGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >ORGLA12G0083600.1 pep chromosome:AGI1.1:12:8237706:8238074:-1 gene:ORGLA12G0083600 transcript:ORGLA12G0083600.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRQQRRRRLRQRRPDGRHDGRHLRAVHREGRAAGPDRARLVAPLLPAATRAQRRQVLEQREQARAAREELRRGVARSRELTRKIRRLKRMANADVSGYPAARREAHERKTRRLAREIFGSDA >ORGLA12G0083500.1 pep chromosome:AGI1.1:12:8220070:8220717:1 gene:ORGLA12G0083500 transcript:ORGLA12G0083500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLSLSSPSLSLSHFSPLGRPAGGWGGRQGEAGEEVRRSPTGHAAAATREKGRAAAAVPAGLRRARTRRAAPTRVELGPASPCRRRRSEPRPPAHGEEELALPRVPHPQRLERRGRAPAAAAVDRDERLDAGDGLGREPERPVQERLGAVLRRRAAVIAAVDLPNSWCGKATCGERHTPRTS >ORGLA12G0083400.1 pep chromosome:AGI1.1:12:8209586:8217700:-1 gene:ORGLA12G0083400 transcript:ORGLA12G0083400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 1D [Source:Projected from Arabidopsis thaliana (AT1G13170) TAIR;Acc:AT1G13170] MNPLCCIAPVSLDSAVEHHHQPPRILAAAAAAPTSPTPPAAAGAAVAAQVVLDAAQAAVAGVLHKWVNYGKGWRLRWFVLEGGVLSYYKLRVGGGGDSAASPAVAARVIGEGGALRRAREEAAAAGKQWKPFGEIHLKVSSIRASKSDDKRLYIFSGTKTLHLRCETEEDRNAWIQALQAAKDRFPRSLTSNDFSPMSDVLLSTEKLRVRLLQEGLNETVVKECESIMMTELLELHNQMKSQQQQYSILIDRLRQLETEKVELERTVVHETKEREAHGYANGRYSDFYSVLSGGSASESDPDTASQVADVETDEDEVMYFDTRDFLSAESLRSASCRRRELLTNGCNGLEHIDPAANVVKTTQYPSVKRRDKLPEPKEKENPVGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDHALQWGKQGDSLMRTLHVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGRGWKFWGDSNLKGKFWGRSIQLDPIGVLTLQFDDGETFQWSKVTTSIYNIIIGKIYCDHYGTMRIKGSGDYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGQKVAMLIGKWDEAMYYVLGDPSAKPKGYDPMSEAVLLWERDKSLNQTRYNLSPFAISLNELTPNLAKKLPPTDSRLRPDQRHLENGEYEMANAEKLRLEQLQRQARRLQEKGWQPRWFSKDDDDSYRYIGGYWEAREKGNWDGIPDIFGQRSASPGWS >ORGLA12G0083300.1 pep chromosome:AGI1.1:12:8198016:8205849:1 gene:ORGLA12G0083300 transcript:ORGLA12G0083300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C78, ubiquitin fold modifier-specific peptidase 1/ 2 [Source:Projected from Arabidopsis thaliana (AT3G48380) TAIR;Acc:AT3G48380] MEATAGGSRRRPTLLRLLCPKKSLVSPPTPSLRWLLGSPRFLPPLTVAAALRSLPDGASSPDLQREAEEIRGLLVRGFDIVGAVHVGSADAGGALELARAVRERLYGERASHGMVGGCVELGTGEIRFVVSEGDGVEAVEVTEVVWEDDPGRLLWEKGCLLRCELLLKLPLYVPSDDTSGIEARFYSLIESTASKLRDPHVSYLIEGPRTTPGESHYSIILHGNDLNSVPHLSRNGSTEEYDANIVSCSKFFPAKRSLSLTRENADAIQITILSNQSFNSSKASTPAVEYFPAPALASLRAINLKLDILCYTSVDFPVAAAVSELIIPGLADQLSIMKKAIVSELTTQQPQLSPYHFVPPGLLIPVTTIYDTRYGEIEEKQSELRRNLHLRLQLPLDRPLLRISNALNFSIGGTDKKASKSGSSLLRDVHREIPSSGVSGGIISLIDGSYEYYHYLHDGIDDNGWGCAYRSLQTIMSWYRLQQYSSINVPSHREIQQVLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKVINVRSGDELPEKCRELAIHFETQGTPVMIGGGVLAYTLLGVDYNEASGDCAFLILDPHYTGADDLKKIVNGGWCGWKKSIDSKGRSFFLKDKFYNLLLPQRPNMV >ORGLA12G0083200.1 pep chromosome:AGI1.1:12:8175271:8185781:1 gene:ORGLA12G0083200 transcript:ORGLA12G0083200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLWAAPPLSSPLSYPVARGGGAWPTHPAAELGCLAEEGSMSGAGQSRGHRLGLHIDSDWPEVLLINDYAVFMGYLSMVVTGTGFLVLTWSTVILLGGFVSMLSNKDFWSLTVITLVQTRIFDVFLNGKVSHIGYSLKRLCKAARFIALPHNHKKVGFRGAVRVLVFTIVLCPLFLLYMFGLFVSPWISLWRLIQQDYGVTAGDSSSKAHLQPALVVLYSLALFQGVLFYYRAISAWEEQKLVKDVADKYMFDTVSRSSVSDYLHEIKVGCENDPSFARGRNLITYAVKLMESTSPDGYLSGARILDTLIKFNRDDASGSELPGQSMQIYNMIGSASSSPILHNLVQMLDFKSAYDGEIRLRAARIVDHFAGEVRLDKILQGIRCVSSLLELEQKGFQNDHHSSFQEDDGDQLSFEEEDDHQISVKEKDYYPKDYKQMQLTGMQILLKLSYDKNNLFLMSNTDDPALINKIVALITSKGSLHKKQHNEWSRMAELGVKILSRFMRFMYGPTKSNNILWHEISTSSKAIGTLESILECDQCDSVLKKHAIRILKRIFMDTSSAMGEGDRERFIGSLMDMSLHNSNGDFQNLAGVDLALKKQGLSILKEIYLNPSSIMGEGDRERFIGSLMDMFLDNSKGDFGNLPGEDLDLKKQELSILKEICMDPSSFMGEGDREKFIGTLMDMFLHNSKGDLFEKLAGDDLVQICRRSGSSATIILRKYGHDIVDCIADTRSSVYSSMHRKIAAKILNHLCSPYSTDEEHIQNLKEAIIDLIPKVLREALGWGLTGEEILRVAVSGLEGTQDDDWKLQEALASLCATVFNRIVSKDADLTARFNNIAAGICDQTTKPRMTFADLINEAVKVHRIEFKKPEKPKPAARPELYEFMPAKYPPPHFMYLGEEDPNACCIS >ORGLA12G0083100.1 pep chromosome:AGI1.1:12:8156960:8157419:1 gene:ORGLA12G0083100 transcript:ORGLA12G0083100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPPVSVTECARSPHIQERATRASPHVDGRRPAEPQPIAGNTAALSVVLEHALRAAAKTSCPSPSIWSKPGRATAP >ORGLA12G0083000.1 pep chromosome:AGI1.1:12:8152402:8154921:1 gene:ORGLA12G0083000 transcript:ORGLA12G0083000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSLHRVAPYPHRARVQGCRSGAGVHHHAMPTVPAIHVWAVRFSLDFAVASNLSGLRTISAYEENKLVRNVADAYGFDEASRGSVLDYLHEIKVGCEKDPSFAGGWNMITYAAQLMKSTSPNRYLSGVRILDTLIRFNSGAIGSGFPGQSMLISNTIGSASSGSILHNLVQMLDSKSPYDEEIRLRAVRIVEHFVIDIRLDKILQGIQCISSLLDLKPFHQPDEPLEEYGHRISVGEERQMQVRGIQILLKLSDDENNLRIMSNTDDLVSKIVALINDKQLHLRKHDKWSRDIVDPGVKLIKRFMSATTRSNNILWHKISTSLEAISALESIIDCDKCDEEVKKQAIRVLAQICWDTSSVMGDQNRERFIGSLIDMFLHKSKGSQFENLAGEELAQLSFGFGSCATIILEKYGPNMIDCVGKTDSGLYNSIHRKIAADVLKHLYGNYSIDDEHFQNLKEAMIDLLPKVLREVLGWGLTETHIQRVPSYTAPAGSGLAATQDNDGRLQEALASLCATVYNRMVNTDADLADRFEDIAARMCDLAAEPLKTFSDLIQEAMQRQPPYYPPEHAMRSNDENPNECCIS >ORGLA12G0082900.1 pep chromosome:AGI1.1:12:8134120:8134590:-1 gene:ORGLA12G0082900 transcript:ORGLA12G0082900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPELKALPPPPLLALSQPQVDGAVRPWNLRQLTWRRPAISLSWAAAVPMLSSFRRRKRAPFLVALTAEEIEEDIYAPLPPSLPSVPLSSLPSRACLRHGGSVARRVERRLMEKEMEARLWSSRCSGCRREKKGRREKKKGEEGREKEGRREKK >ORGLA12G0082800.1 pep chromosome:AGI1.1:12:8129087:8129709:1 gene:ORGLA12G0082800 transcript:ORGLA12G0082800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGAGQVLAKQVTSLDSVTRDYFVIFPIMVNRMSGGQSRAHRLGHIDGNWPEVKLINDYAMFMGYLSMAVTGTGFLVLTWSTVVLLGGFVSTLSTKDFWSLTVITLVQTRSARHLNQILVFQVN >ORGLA12G0082700.1 pep chromosome:AGI1.1:12:8123512:8123781:-1 gene:ORGLA12G0082700 transcript:ORGLA12G0082700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSPLVFASSKPQAARRRQEIGNAPGGGVRSSSQALDGGAYIRVMLLPAAVRILMKSQEANIRGTAKLSAVVVTSSVMPPGYAHGLLG >ORGLA12G0082600.1 pep chromosome:AGI1.1:12:8118785:8121463:1 gene:ORGLA12G0082600 transcript:ORGLA12G0082600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSSGASSSLPLHLIIDDALALVSPLQQSFQRSQRHCFGGSAPGEFPLAANPSIVLHVLTSCNLEPDDLAHLEATCSFFRKPANFPPDFQLSMSELAALDMCQKRAIFKPMTQQEREMFKQCCGGSWKLVLRFIMAGEACCRREKSQAIAGPGHSIAVTTSGAVYTFGSNSSGQLGHGSLEEEWRPRIIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYAAQGSRVVTTPQLVESLKDIYIVQAAIGNFFTAVLSREGHVYTFSWGNDMKLGHQTEPNDVQPHLLAGPLENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQALNIQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEECESVPKVVESLVNVRAVHVATGDYTTFVVSDKGDVYSFGCGESSSLGHNTITEGNNRHTNVLSPELVTSLKRTNERVAQISLTNSIYWNAHTFALTDSGKLYAFGAGDKGQLGTELVAQQSERGTPERVEIDLS >ORGLA12G0082500.1 pep chromosome:AGI1.1:12:8113079:8115200:1 gene:ORGLA12G0082500 transcript:ORGLA12G0082500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLAVFHPASATAAAAARRHHPPRPPHLHLHLPLHHQPSSPRRRFAAEFAVGGADGGTGGGGGRRARGGGDVDVAAVGAALRDARTADEVETLVKGFLDDGGGGEEHLPLQVYTSVIRGLGKERRLDAAFAVVEHLKRGSGSGGGGGGVNQFVYNCLLGAVKNSGEFGRIHDVLADMEAQGVPPNIVTFNTLMSIYVEQGKIDEVFRVFDTIEGSGLVPTAATYSTVMSAYKKAGDAFAALKFITKLREMYNKGELAVNREDWDREFVKFEKLTARVCYMAMRRSLVGGENPVGEVLKVLLGMDEAGVKPDRRDYERLVWACTGEEHYTIAKELYQRIRERGDGVISLSVCNHLIWLMGKAKKWWAALEIYEDLLDKGPKPNNLSYELIMSHFNILLNAAKRRGIWRWGVRLLDKMQQKGLKPGSREWNAVLLACSRAAETSAAVDIFKRMIDQGLTPDVVSYGALLSALEKGKLYDEALRVWEHMCKVGVKPNLHAYTILVSIYIGKGNHAMVDSVLRGMLSAKIEPTVVTFNAIISACVRNNKGGSAFEWFHRMKVQNIEPNEITYQMLIEALVQDGKPRLAYEMYMRACNQGLELPAKSYDTVMEACQDYGSLIDLNSLGPRPVKKVEPIRIENKFSSSYYVGDLPSSTKHFGSTGTSSLYRYRTERWIM >ORGLA12G0082400.1 pep chromosome:AGI1.1:12:8103115:8108925:-1 gene:ORGLA12G0082400 transcript:ORGLA12G0082400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3411) [Source:Projected from Arabidopsis thaliana (AT5G24690) TAIR;Acc:AT5G24690] MKGGKQYGSFGAVTLERKVDLSKGRKKITPELATGGGGGDIGKRIGHGGGDGGDDDGDDDDYFDDFDDGDEEEGGLFRRRIVIQELFNREFVDAVMQEWCKTMSNLPAGLRQAYEMGLVSSAQMVRYLAIFARPTHSRSFSRALPGWLSRGLVGRTLADPSFPHKIAFEFMATFFSSVWWEMNIRKERFEQEWDLAVVNALTASCCNVMVLGLLAPCRSYGSTSRFDFQNAIEKLPNNIFEKSYPLRQFDLQKRISAFFYKAAELSLLGVVAGSIQGGLSKALSARKERRLSVTIPSVSTNALGYGAFLGLYANLRYQLLCGLDQYMVRRFDVLGVAIFFSTALRLTNIQIGESSRRTWLGEEADPQYSDRLLRAYKRPVEVAGDQQDSRWFISKDAIVSGLGLLGIKQGGTEAALSKPRRKRVVRKKVAST >ORGLA12G0082300.1 pep chromosome:AGI1.1:12:8101570:8101944:1 gene:ORGLA12G0082300 transcript:ORGLA12G0082300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTSYQTMTPPLDPLLVSAGIRGHIGIAQEILIHCPDAPYCTKTGWTCLHGAVPADQVEYVKFILSTPQLRKLTGMRDSHGKTALRVAVHKGNPKMVAALLSHRGTDVTMLDNHGNCNAPIFVRD >ORGLA12G0082200.1 pep chromosome:AGI1.1:12:8100565:8101323:1 gene:ORGLA12G0082200 transcript:ORGLA12G0082200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPRLRAGPARPDGWWPFLGGRRSPWAGTARHEVPIGPCRPDGWWAVPGPGRAGRAIYIDRQLLEAAKSGDSTTLKEDMAAREADVLLRTTKNGSNCLHIACIHGHLKFCKDALEINQSSLLAAVNSYGETPLLAAVTSGHTALASELLRCCSESGLGDVILKQDGSGCNALHHAIRCGHKDLALELIAKEPALSRAVNKDNESPMFIAMMRDFADIFEKLLAIPDSSDVGCKGFNALHAAVRSGNAGEIL >ORGLA12G0082100.1 pep chromosome:AGI1.1:12:8095206:8095721:-1 gene:ORGLA12G0082100 transcript:ORGLA12G0082100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTRGSTVLMGNGSHASVHSVGTVDLKFTSKKIVQLKNVQHIPSIDRNLVSGSRLTRDGFKLVFESNKVVVSKHGYFIGKGYECGGLFRFSLSDFCNKSVNHICGSVDDEANVWHSRLCHINFGLMSRLSSMCLIPNFSIVKGSKCHSCVQSKQPRKPHKAAEERNLAPLELL >ORGLA12G0082000.1 pep chromosome:AGI1.1:12:8093451:8094383:-1 gene:ORGLA12G0082000 transcript:ORGLA12G0082000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSKRQRTAKSFGDDFTVYLVDDTPKSISEAYASLDADYWKEVVRSEMDSIIANGTWEVTERPYGCKPVGCKWVFKKKLRPDGTIEKYKARLVAKGYTQKEGEDFFDTYSPVARLTTIRVLLSLAASHGLLVHQMDVKTTFLNGELDEEIYMDQPDGFVVEGQEGKVCKLLKSLYGLKQAPKQWHEKFDKTLTSAGFAVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLEVINEVKSFLSQNFDMKDLGVADVILNIKLIRGENGIILLQSHYVEKILNRFGYIDSKSSPTPYDPSSLLCKNKRIARN >ORGLA12G0081900.1 pep chromosome:AGI1.1:12:8085946:8088564:-1 gene:ORGLA12G0081900 transcript:ORGLA12G0081900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANREEPYSTTGGTAAKAPPVAKDAKLLMAECPEDRKLLKELVHKEDASKMMVVLASTTNQPAAEKPAAARAVMNPLLLAAASFGACEVFYFLFCREDAREPLPTMTARAFHAMLAGDASGADGRWPSTHQQALDEVEEGGAGAAVAVGHQSTRRLPPPDAPLLEGITVEGDTALHVVATHGNAANFLECAEIICNRARRLLLATNDKGDTALHCAARARRLEMASRLIALAKAREDHEVERGQAASFGKVLLRTENERNETALHDAVRAGDGDMVRRLMDEDPDLALFPVQGTSPLYLAISLRNGTIAEILHEKSNGNISYSGPHGQNALHAAVLLRHTAVLELLLKWNSNLTKQGDENGSTPVHFAASFPLWHRKFHWRHPWIIRVSHSLSMLLNTGEVDPFYQSDKNXMFPVHIAVAVGAELTVVFLLDKFPNSAGLRDAKGRTFLHVAVEKQNLAVVRFACRTTSLQWILNMQDKDGNTALHLAIQANHLRLFCALLGNPQVNLDLTNHSGHTPLDLSRSMLPRGMKYTANTEELIYLTLKQVGSEHYHDRWDHIEEIYSRRVVSKEDLAEELDRMQESTQTLGIGSVLIVTVTFGAMFALPGGYRADDHPYGGTPTFSR >ORGLA12G0081800.1 pep chromosome:AGI1.1:12:8082913:8083323:1 gene:ORGLA12G0081800 transcript:ORGLA12G0081800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITTQSNGTPVRREGLPEEEDDDGVLHCSPVRTSFRLGEGDAGDEHDEAQPMEAIARAGGARLDGEGLPKVEDDDVLLDVGVDGAPAGLGRNAGEVGEDEVAAMPREVVARSGAARHDGEGWPELAGVVEREEDSRR >ORGLA12G0081700.1 pep chromosome:AGI1.1:12:8077662:8078348:1 gene:ORGLA12G0081700 transcript:ORGLA12G0081700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAPSAMVLIVLLVLVVLPSSTLCSRAGPSSKHGHGGGHAKRVPPPASVPPPPPPPPAPAALVRATCNSTSYYDLCVAELSADPSSATADVRGLSSIAVSAAAANASGAVQAASALANATDAGTTAGVAGDGGGAVVQRLLATCAAKYGDARDALTAAKGSIAQQDYDMASVHVSAAAEYPQVCRTLFGRQSPGDYPPELAATEVALRQLCSVALDIIALLSSSSN >ORGLA12G0081600.1 pep chromosome:AGI1.1:12:8069849:8070259:1 gene:ORGLA12G0081600 transcript:ORGLA12G0081600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLTRKSSSPRLTGWSRRPAPPSTGCSTSSATVSGSSMLRLLRGGRMHGNQGDEGIEGERMCSTAAQCENRSKINRFRFSTINRLFIQWSCLISLVLHLLNWSMD >ORGLA12G0081500.1 pep chromosome:AGI1.1:12:8061150:8066612:-1 gene:ORGLA12G0081500 transcript:ORGLA12G0081500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCEAGGSGGRSPPSGSGGGGGGGGGRRKTLAEVMATRAPRPASIRPEATRAAEAAAREVLLRVAPTEEAERRRQDVVGYLRRLLGTALGCEVIAFGSVPLKSYLPDGDVDITVLGNTALDGTCISDVHSILESEEQDSGAELEIKGLHFIDAEVKLIKCVIENIVVDISFNQIGGVSTLCFLELADRKVGKNHLFKRSIMLIKAWCYHESRILGAHHGLLSTYALETLVLYIFNIFHKSLHGPLEALYKFLEYFSKFDWDKYCISLNGPVLLSSLPNLAVEPSSIQDDLLFGKKTLPEVSDGSNINFCLKHLNIIDPLKWSNNLGRSVSRGSFYRIRGALSFGAQKLGQILMLHSDLIPTEIFGFFANTLKRHGRGERSDVGNNDSIESLLDPEHALEKDAPDFTNSDRNQDENRNPNLQRTSNRYFRDAKDRPWNKIWFTNFDIQYYNMVSGASLMSHSTSSTENGNHNIKQRCRSLMEQQNYANNQPHILTPSTHKNTLDVINSCRAELNRSDLHEEKVILSPFSPSNLLDLSGDLDLHLRCLQKIQYHLESMFDGLVQLIQEAFLSGLLDEDSFKIPTTSFSNSTDERPTGLLPVASVDTGTRNLCPVSYFPSTGDVSHKSHTEDQVNAVCQKNVVLSSGTCIISNGFASSPSPPADLESYPVSWFHNTQEIMAHGTGLQTLKNVSLLPGTDVLSNVVGQLPFLAADSQNYSFSRSHNTREKRVMRGTGTFLPRMSYYTYKERILSEKGRRERERLPDRPWKIKTNPTSYLHQHTSPEMGCSGASTGGITIDQNSSQQDYSRSSVPAEGGVFQKRALINHVSEQIQTSLPWDVHNNQHGYVYSDMNMVDNQNPGTTSEGLVGHNSESRELPIHHPPEVQFSETMASSPCILLPHCIGNGQGNLLQESNTCQPFPPATDVFHSTKTKQDENLEFESFGPIPFSPPCAKFGEAFPLPTSKGPAETKSRPDGLYQLRDEADFPPLQTRSC >ORGLA12G0081400.1 pep chromosome:AGI1.1:12:8059883:8060200:1 gene:ORGLA12G0081400 transcript:ORGLA12G0081400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYFSVLYATTSYAQLFLPRTPVRVYDNIFDVGTWGGFFIICIMVCVVLGLRVEDARVLVACTCVVAAFVVGVIVVWVWLARTYGGDEDEDEASSESTSARLPV >ORGLA12G0081300.1 pep chromosome:AGI1.1:12:8042519:8050821:1 gene:ORGLA12G0081300 transcript:ORGLA12G0081300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVSASQGVIMRSLTSKLDSLLLQPPEPPPPAQPSSLRKGERKKILLLRGDLRHLLDDHYLLVEPPSDTAPPPDSTVACWAKEVRELSYDVDDFLDELTTQLLHHRGGGDGSSTAGAKKMISSMIARLRGELNRRRWIADEVTLFRARVKEAIRRHESYDLGRRTSSSRPREEDDDDDREDSAGNERRRFLSLTFGMDDAAVHGQLVGRDISMQKLVRWLADGEPKLKVASVVGSGGVGKTTLATEFYRLHGRRLDAPFDCRAFVRTPRKPDMTKILTDMLSQLRPQHQHQSSDVWEVDRLLETIRTHLQDKKYFIIIEDLWASSMWDIVSRGLPDNNSCSRILITTEIEPVALACCGYNSEHIIKIDPLGDDVSSQLFFSGVVGQGNEFPGYLTEVSHDIIKKCGGLPLAITITARHFKSQLLDGMQQWNHIQKSLTTSNLKKNPTLQGMRQVLNLIYNNLPHCLKACLLYLSIYKEDYIIRKANLVRQWMAEGFINSIENKVMEEVAGNYFDELVGRGLVQPVDVNCKNEVLSCVVHHMVLNFIRCKSIEENFSITLDHSQTTVRHSDKVRRLSLHFSNAHDTTPLAGLRLSQVRSMAFFGQVKCMPSIADYRLLRVLILCFWADQEKTSYDLTSISELIQLRYLKITGNITVKLPEKIQGLQHLQTLEADARATAVLLDIVHTQCLLHLRLVLLDLLPHCHRYIFNSIPKWTGKLNNLCILNIAVMQISQDDLDTLKGLGSLTALSLLVRTAPAQRIVAANEGFGSLKYFMFVCTAPCMTFVEGAMPSVQRLNLRFNANEFKQYDSKETGFEHLVALAEISARIGGTDDDESNKTEVESALRTAIRKHPTPSTLMVDIQWVDWIFGAEGRDLDEDLAQQDDNGFCMLPESSSRLQSRDISIEEDMPTGAEGTVIVPHTMEQFALHMSQAKQSHKLVVIQFTTSRCPASRYIAPAFTEYAKEFAGAVFIKVNVDSDELESVTDWYDIEGIVPTFFFVKDGEKIDKIPGANKELLRAKIQRHTASPYFLR >ORGLA12G0081200.1 pep chromosome:AGI1.1:12:8022493:8029514:-1 gene:ORGLA12G0081200 transcript:ORGLA12G0081200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSAATAFTKCVVGKLLEVLDTRYKMLRDLSHETASMQNDLLLLAAFMDDQLRRSSSSPAAERPTAVLRAYTKLMRELTHDMEDSIERFLHRVAPRDDHGGAGAPSWPRRATRWVATLRTRLRFAAEIRQLKKRLEDETKRLRNAVEAAAATGGGGHSSAAPALAAAAPRGGHVEPNPVGMEKPIEHLVQLLDEAGAGGGPQQLRVIAIVGFRGSGKTTLARAVYSRSGRQFRERAWVDASRWTDVGDLLADIVRQVRLGEYDVSESHEENLRNRLKNKRYLIVLDDIGLEQWNAVESIFENNGRGSRVIVTTAILSVANSCTAYKSGANGGCCIRRHGCVYKMQTLGEAHAKELALGGGDQRPPELEHGSATLMAKCDGLPLALVSVANHLRCLGNLTGRHCADLCHLLGSLLLDERNVPRLAGTAAAADSFARLRRVLMDSYAGLPDYAARTCLLYLAVFPNDGRRLKRSVLVRRWLAEGYARGGEDVLGNSTDVDVADGHFRSFIDQSIIVAHPADDDDDDDHRTRRCRTHGIVHEFVLHKSIAESFIFSSRAPPRRKRVRHLSIQGGGGNTTTAALSTTDLSCVRSLTVFGDGGDAVSNLRKCKLLRVLDLEQCATALSNDHLADICKLWNLRYLSIGMSSNITMLPDKIRRLKLLETIHLSKTKVTMLPLQVVGLPCLAHLVGKFKLLLPDQRGKKTVVISNELEKLAKKSNLQTLAGFVADESQQAFPQLMRHMRKLMKVKIWCEFGGEANGVSTTATDHLADAIRSYIEAPKVEETDARSLSIDMEQCSKQLIRSCHGESKLLHSLKPPCRSYLTSLKLHGDLFRLHGLISMLKNLYELCLSSTTTTLTRDLVSTIGGLPLLLRLKLVANHVEHFAIGAGEFRSLQHLLLVVHRRNPILPKIEEGALPQLVSLELLCKHLRGLSGIQIRHLQRLKEVALDSRVGEDTRREWEAEARRHPNRPSILLLKNRYSTVLSDDTDRLDDQMDGELARDAAATEKSAPDDAAGIQEQIAEVESESSAFQLANATTNNSVTGKESTAHDADEEGLGSTTAVPMEQIIVETTTAYVERRGYSTVVEKTKCIKF >ORGLA12G0081100.1 pep chromosome:AGI1.1:12:8003140:8004045:-1 gene:ORGLA12G0081100 transcript:ORGLA12G0081100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKFPEISLDDYECVMAQVERQYNIRCYNLYRTYRTTKVRPIHVAPEDWQWLIDNLWSDEQFQKRSKQNSINKSKQEMKSLVGTINIETYEVTLVRNPETGAWPSAVDVWRAIYMKANGTWSIPNGAEILNNLEEAAETHKERIAAAPIPLAEHFALVLGRKPNHSRGVGIGAVNQGAQERYRIRARAEAADQRASDAQNQAAALLEEVERLT >ORGLA12G0081000.1 pep chromosome:AGI1.1:12:7977779:7978895:1 gene:ORGLA12G0081000 transcript:ORGLA12G0081000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWQARCHRVRCILVXTFTRIAPVFAEYAKEFAGVVFLKFDIDELEEIADSYDVDGVVPTFTFVKAGQKIDMIQGARRRSRPGLTDEDVGILRGRECDIPSQGLRGLIEYSYQQVATYFSEADLEKTLRLLPGFIRTFLILSGCXSVSYXSCQMXXGPASSVGRHTRSLRSKIQMHTASPYFLGSXELSYCLCAMKCNSXXLLFCGRDL >ORGLA12G0080900.1 pep chromosome:AGI1.1:12:7970815:7976336:-1 gene:ORGLA12G0080900 transcript:ORGLA12G0080900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARHVASYMGRFAPRCGYAGNTTCTAAAWTRPQLPHAPSGPLVILRRGIAMIPGDHSPGPADISPDCFDPEAPDDPATCFAYDEKDLESEEAVWALYQRWCSFHDIERDRDDMVRRFVYFKDRAHKIIEFNKSGKSNTWGLNIFGDMTPEEQSELERPQLLRSREGF >ORGLA12G0080800.1 pep chromosome:AGI1.1:12:7943118:7943537:1 gene:ORGLA12G0080800 transcript:ORGLA12G0080800.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDPNRSPTGLGGPSDGSYIFMRGVTGGAWEYGEENARGGEGRASAIDYFLYIDARYFARVPLTSQCLLLLHSASSGNDL >ORGLA12G0080700.1 pep chromosome:AGI1.1:12:7911275:7919874:-1 gene:ORGLA12G0080700 transcript:ORGLA12G0080700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48425) TAIR;Acc:AT3G48425] MKRFFQPVPKDGSPAKKRPAASASSDSDSLGGDAPALSPAAAACAIGEGDSPPAPREEEPRRFVTWNANSLLLRMKSDWPAFCQFVSRVDPDVICVQEVRMPAAGSKGAPKNPGQLKDDTSSSRDEKQVVLRALSSPPFKDYRVWWSLSDSKYAGTAMIIKKKFEPKKVSFNLDRTSSKHEPDGRVIIAEFESFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQQVDKPLIWCGDLNVSHEEIDVSHPDFFSSAKLNGYIPPNKEDCGQPGFTLSERRRFGNILSQGKLVDAYRYLHKEKDMDCGFSWSGHPIGKYRGKRMRIDYFLVSEKLKDQIVSCDIHGRGIELEGFYGSDHCPVSLELSEEVEAPKPKSSN >ORGLA12G0080600.1 pep chromosome:AGI1.1:12:7904573:7906193:1 gene:ORGLA12G0080600 transcript:ORGLA12G0080600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGAGSVVAVELALEEEIHAGGRLIEHKLLQLPCIEGHGGPGDREKRLRASPLLPRRAARCRLWAPLLPPRASLPRQEGCGGHDGSVARSSVVHSGRNDDDIMAAVLGACSRADNCMAAFPLLQWMK >ORGLA12G0080500.1 pep chromosome:AGI1.1:12:7887115:7897221:-1 gene:ORGLA12G0080500 transcript:ORGLA12G0080500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPPAVEPPEWLRTLPVAPEYHPTLAEFADPIAYILRIEPEASRYGICKIVPPLPRPPEDDTFRRLQDAFAAAASSNGAGGDPSPTFPTRLQQVGLSARNRRAASRRVWESGERYTLEAFRAKAAEFEPPRHAAPPRNPTHLQLEALFWAACASRPFSVEYGNDMPGSAFASPDELPDAANATDVGETEWNMRVAPRARGSLLRAMARDVAGVTTPMLYVAMLYSWFAWHVEDHELHSLNFLHFGKAKTWYGVPRDAMLAFEETVRVHGYADDLNAIMAFQTLNEKTTVLSPEVLLSAGVPCCRLVQKAGEFVITFPGAYHSGFSHGFNCGEASNIATPHWLQVAKEAAIRRASTNCGPMVSHYQLLYELALSLRPREPKNFYSVPRSSRLRDKNKNEGDIMVKENFVGSVTENNNLLSVLLDKNYCIIVPNTDFFVPSFPVALESEATVKQRFTAGPCSISQQGAENMAVDHVAVDKVTDIQDMSGSLYPCETSLVGCSNRKLYETKYGQRDAAALCLSTSEIQSRGIDKARSHPAGGILDQGRLPCVQCGILSFACVAIIQPREAAVQFIMSKECISSSAKQGGIGASDDTSNWIDQSHEISPPPGPASGTDDNVKHTVSLAHVSDRCRELYASNTDGCTSALGLLASAYDSSDSDDETTEDVLKHSKKNDSVNQSMDTQILETSASCSSTVRCQKTNSHSHEEECEARATSLMKPVSHNSRPISQSNRDTDIDQFIELGKSGTQCSGYLDLVDDLTTSVLKSSSDTCVSAAKASMDPDVLTMLRYNKDSCRMHVFCLEHALETWTQLQQIGGANIMLLCHPEYPRAESAAKVIAEELGIKHDWKDITFKEATEEDVKKIRLALQDEDAEPTGSDWAVKMGINIYYSAKQSKSPLYSKQIPYNSIIYKAFGQENPDSLTDYGCQKSGSTKKKVAGWWCGKVWMSNQVHPLLAREREEQNSSVVYGKAMFTTISHGKVQDEASTRCNTSNRTPSKRTSRRKKGVSAEKSKPKNKRSTASDEVSMHCSGLGMNSGVIHDQTENSDDYDKHGNGDEIEEGTNPQKYQQRKLQNVTRKSSSKKRKDEKRTDSFHELYDEDNGVDYWLNMGSGHDATLGNSQQQSPDPVKVKSGGKLQGKRKSSKYKSNDDLLNEENKLQKMNKKSSSKKQKNDKINRQLQEDQTEDDHMDHLVDVAVADEVTLDNEDKITEDKIDDVKVKSRGKSQNGKRKGSKHQASDGLRTGNKVAKFPCDIEGCDMSFSTQQDLLLHKRDICPVKGCKKKFFCHKYLLQHRKVHIDERPLKCTWKGCKKAFKWPWARTEHMRVHTGVRPYECQEPGCGQTFRFVSDFSRHKRKTGHSSDKRRKNST >ORGLA12G0080400.1 pep chromosome:AGI1.1:12:7877124:7884751:1 gene:ORGLA12G0080400 transcript:ORGLA12G0080400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein [Source:Projected from Arabidopsis thaliana (AT1G59760) TAIR;Acc:AT1G59760] MATLKRKADSAAAELASPPPKAPRGSEALAESPALAGEPVACVHDVSYPEGYDPSAPATHLLNGGAGAEGAGPAKTFPFQLDPFQAEAIRCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWIIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDEKSKFREDSFQKALNALVPASENDKKRENGKWQKGLLTGKPSEDSDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNDDDEKANIETIFWSAMDLLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMMLKGSADSLNSAFHLSYNMLLNQIRCEDGDPEKLLRHSFYQFQADRALPDLEKQVKELELERNSMIIEEEENLKSYYDLLQQYKNLKKDVRDIVHSPKYVLPFLQPGRLARVQYSTDEQSTFSIDENITWGVTINFEKVKTHSEDRRPEDSDYTVDVLTRCSVSKDKSGKKTMKIIPLKDRGEPVVISLPLSQIDGLSSIRMHIPKDLLPVEARENTLRKVDEVISRFAKDGIPLLDPEEDMKVQSSSFRKASRRIEALESLFEKHDVHNSPHIKQKLKVLHAKQELSAKIKAIKKTMRSSTALAFKDELKARKRVLRRLGYITSEDVVEVKGKVACEISSADELTLTELMFSGTLKDATVEQMVALLSCFVWQEKLQDAPKPREELDLLFFQLQETARRVANLQLECKIQIDVESFVNSFRPDIMEAVYSWAKGSKFYQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETQLEAKLEEAVSKIKRDIVFAASLYL >ORGLA12G0080300.1 pep chromosome:AGI1.1:12:7875681:7875986:-1 gene:ORGLA12G0080300 transcript:ORGLA12G0080300.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIITFFLYLPIDDPATCFAHDEKDLESNETVWALYERWCSFHSAEHDHDDMVRWFGCFKDRARRIIEFNKSSKPYTWGVGTWGLNIFGDMTPEELFEFGNN >ORGLA12G0080200.1 pep chromosome:AGI1.1:12:7873153:7873927:-1 gene:ORGLA12G0080200 transcript:ORGLA12G0080200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSETKTVRLYRSISINFGNIIGSRGKETPSRILQLGGTAYSAALCCLVDTGFDLCNDHIREKYYLIDNQEVLGFQIKFRSLLKKKTT >ORGLA12G0080100.1 pep chromosome:AGI1.1:12:7855212:7862710:-1 gene:ORGLA12G0080100 transcript:ORGLA12G0080100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADARVPPKSDPGATPIGLISPSSAAPAAGEDEVEVEVEVEEQLAGLAIADQGEELLLPKPTGWEDGPVVAGDEVSGGEKLPGEVAAAVGVEGAAADSRPRFPRRPGEPDCTYYVKFGSCRFGMKCKFNHPARKKKSRVKGSNGGSGSGGSNSSSNKASSPDDEQQAPKEEYGSYVPDISPEVDSLGFADKGSASNLENFKKYSYEIIDVKKGRVEPKELKVAKEKRKEFISEGSSQEECKYYSTPGGCKFGKACKYLHRDGKEGKTDAEKVDLNFLGLPLRPGEKECPYYMRTGSCKYATNCKFHHPDPSNVASKDPQLEHENGDAPQQDVQGSSSQPNASIWPDQRTVNEHHVPFIAPSPSYSAGMLPPQGMYPPPEWNGYHQVPLNPYYPPGVPFQHFPAAPINHPMYKAPEIPGHQQVPSEEYPERPGQPECQHFVKSGFCKFRMKCKYHHPRSPVPPAGALSPLGLPIKPDQPVCTYYGRYGVCKFGPACAYNHPFNFSPVPAAGPPLLPAQYPTPGNYTL >ORGLA12G0080000.1 pep chromosome:AGI1.1:12:7840128:7845251:-1 gene:ORGLA12G0080000 transcript:ORGLA12G0080000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein [Source:Projected from Arabidopsis thaliana (AT5G40670) TAIR;Acc:AT5G40670] MSSWNSVGMEVLYQVLGWVAFFAWSFSFYPQVFLNFKRKSVVGLNFDFLVLNLTKHSSYLIYNAALFFSPFIQRQYHETYGDKEMIPVAANDVAFSVHAVALTAFTLFQVFIYERGNQKISKVCISITAIVWTAAIVCLIVAWPKSNWLWLIDVFNSIQVGMTAIKYIPQAIMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLEVVFFDILFIIQHYVLYPVKRDENGKAIISERVAPLIRPSDKPEEDSV >ORGLA12G0079900.1 pep chromosome:AGI1.1:12:7809092:7810378:-1 gene:ORGLA12G0079900 transcript:ORGLA12G0079900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPKKQPELVDGPGGDIQVVQDPVWQQEEFEEAFALAEHEQANAEQAHVLAEQHNPVVEQQDDALAKQNNNPVVEQQGDALAEQNNNPVVEQQEQNNNPVVEQQDDDQARLSLETLIGENRWEEALRRLERMVRDGEANNGPVPTAPSHDIYRAHPELVLLLRGEEYLRIKRLPNAAADATRFYHKHIQNLYRSGTTGSSFVDTGVLKDIQDWENGSRATPSGHQSEIHMQETRQAVNDYLKLYFPAYRIYPCIVSTVLSTIEFA >ORGLA12G0079800.1 pep chromosome:AGI1.1:12:7791215:7791418:1 gene:ORGLA12G0079800 transcript:ORGLA12G0079800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGEHIEGLAAVAVDGEGLAADSGWDEGLVTPTMMTTRNWKYHGNMMRPWRKYVVEMRNPMRKGK >ORGLA12G0079700.1 pep chromosome:AGI1.1:12:7789209:7789442:1 gene:ORGLA12G0079700 transcript:ORGLA12G0079700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSHSLIVESEGENIEGFVVVSVDREGLAEVAGNTKYHGARMRPMRPWRKYDVEIQSDGKRQGGPGASVARDVRYR >ORGLA12G0079600.1 pep chromosome:AGI1.1:12:7785664:7786686:-1 gene:ORGLA12G0079600 transcript:ORGLA12G0079600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVGRERDPTGARTALRIVREAFAETRGKRWRLLLVAFVMLCVNFVLMILWVKMASQQAVNLDALRPFYEVEENSTKSAAAGGGEGKELASSLWELDVAGELLWDVSTVMAIFLFSKAMFFLQGGGQHRRGIRSLLKECLSVAVAIVVWEVMGNFVLGTLQANGFQDLSRKFDAAFGYGYLLTAVVISQEDVHYFSAVERAWELAGQKLKNVYVVGVMIILVRAALEIVYHLLLKYRLVYHQHHAVTTAVSRHDDTTADVVRFSLVAALLHVIMQSFVCTMVLALYRETRNNNRQDIRRNDAAAHND >ORGLA12G0079500.1 pep chromosome:AGI1.1:12:7780597:7781058:-1 gene:ORGLA12G0079500 transcript:ORGLA12G0079500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPTGVRAALRIVRRAFGMVCDQWSSLLPVVVGIFLLNLALTLFMVVNLASPLADLHVMRPFYDIDQANLTAGVTTAAADTVTCSMVAAPGGGAHGHGAASPMWELHLTGELFWSLSMAVAMFSFSRVCRLQRTPLHCLNTLLPISGCVEN >ORGLA12G0079400.1 pep chromosome:AGI1.1:12:7777541:7778307:-1 gene:ORGLA12G0079400 transcript:ORGLA12G0079400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLQHARTWRDYCSIALAVLGWQTISYYAFGAMQAVDREDLLREFDAIFGCGYLLVIVVVSRENIHGFLAIEKAWGLVFQKFKVVSCISIGFLITLASMDHIYNKEIKTGLSQYHARKAILSSEAVKEDTTAEILTFSLVAALLDVIMQLVVCPVILVLYRDLNPIHAVLIIHELILVGCMQLIE >ORGLA12G0079300.1 pep chromosome:AGI1.1:12:7767459:7771428:1 gene:ORGLA12G0079300 transcript:ORGLA12G0079300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISTASLLRSFSSQGRVRRAKNGRAQRLVVRADAKDIAFDQKSRAALQAGVEKLANAVGVTLGPRGRNVVLDEYGSPKVVNDGVTIARAIELYDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSLKKGIDKTVHGLIEELEKKARPVKGSGDIKAVASISAGNDELIGSMIADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNLEKSIVEFENAKVLITDQKITSIKEILPILEKTTQLRAPLFIIAEDITGEALATLVVNKLRGILNVAAIKAPSFGERRKAVLQDIAIVTGAEFLAKDLGLLVENATEEQLGTARKVTIHQTTTTLIADAASKDEIQARVAQLKKELSETDSIYDTEKLAERIAKLSGGVAVIKVGAATETELEDRQLRIEDAKNATFAAIEEGIVPGGGTAYVHLSTTVPAIKETIEDHDERLGADIIQKALVAPASLIAHNAGVEGEVVVEKIKDGEWEVGYNAMNDKYENLIEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPKAPVAEPAEGTLTV >ORGLA12G0079200.1 pep chromosome:AGI1.1:12:7754559:7758522:-1 gene:ORGLA12G0079200 transcript:ORGLA12G0079200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1R5I6] MAEGQDGYFDSSTDSLRVEPIYESFLCPLSKQVMRDPVTIESGATFEREAILKWFKDNGSGGRRLVCPVTNKELSSTELNPSIALRNTIDEWMHRNEAAKLDVARKSLTSDCSEGDILQALEYVAEICQRSRSSRHLVRKVGLISLITDLLKNSSPKVRQKALGSLRFVAKNDNDNKNEIAAGDNIRTIVKFLNHGHSQEKEQAVSLLYELSEYKPLSEKIGSVPGAILILVGLSSSKVENLLTVDRAEKTLENLESCEKNVRQMAENGRLQPLLRLLLEGSSDTQLSMAAHLGELVLSNDVKVLVAQTAGSTLVNIMKSGNREAREAALKALNQISSYDTSAKILIEAGILPPLITDLFTVGSNQLPMRLKEVSATILANIVASGASFESVPLDHNRQNLVSEEIVHNLLHLISNTGPAIECKLLQVLVGLTTSATTVQSIVDAIKSSGATVSLIQFVEAPQREVRLASIKLLNNISPFMGQELAEAFRGNFSQLSSLVRVIADNNGISEEQAAAAGLIADLPPRDSVLTRRLLQDGAFSTIITKVTMVRQGDIRGGRFVNPFLEGLVRVVSRITFILDDDPDIIAVARDYNLTPLFTDLLQMNGLDTVQIVSATALENLSRQSKLLTRIVPAPNPGFCFSIFPCLSQKSVATGACRVHVGICSARESFCLLEGKAVEKLVACLDHNNEKVVEAALAALSTLLEDGVDIEQGVMVLCDAEGVNPILEVLCENRNEALRQRAVWAVERILRIDEIAYEISGNQNVGTALVEAFRHGDSRTRQIAERALKHVDKLPNFSGIFSKMGAA >ORGLA12G0079100.1 pep chromosome:AGI1.1:12:7736358:7737149:-1 gene:ORGLA12G0079100 transcript:ORGLA12G0079100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CXGTRYRCPNKIASPPPPSVARVQGTRAEAWRGGGGERWWWPELESEGRGGGGGRSRGAGGWSQRAEGWGGSGGRSQRAEGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGREGQRWWYRIQGMGGGGGGASPEPEEGAAVVAGAREEGALGRQWSSASMSGVYRVIPGWYRVIPDRYHLIHHKYHLIGIT >ORGLA12G0079000.1 pep chromosome:AGI1.1:12:7732072:7735061:-1 gene:ORGLA12G0079000 transcript:ORGLA12G0079000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1R5I4] MAQRRQGSQRQEQAWWVAEGGRDWCGEGSDDGEEGEEEEEDGVLSAFLCPITMEVMRDPVVVETGHAFEREAIARWFSECASLGAAPRCPVTMEVVEGADVKPVVALRAAIEEWTSRRETAALRRACRWLTKAASEKEALRGLDAVMRGWKLARVGKRVVRRDGMVPMVAAMLRNGSARVRLKALQALREFAREDDEYRDSVSEGDTIRTIVKFIDFEDCQERELAVSLLCELSKSEMVCEKISELNGAILILGKVACSKSQNPALAEEAEMTLENLEKCEKNVLQMAENGRLEPLLNLLIEGSPEKQLRIASSLEKIVLSNDLKNLVAQRVGLLFAGVVENGTLPAKEVAFKVLDHISTNTESAKVLIEDGILLPLFRVLSVDGVKFLPPRLQEAAAAVLSNLVACGIDFGTVPLDGNRTIVSEDIVHSLLHLISNTSPPIQCKLLEIFVMLSSSTTTVLSIISAIRSSGAITNLVQFVESDHQESRAASIKLLCKISFDMDHEIAQVLRSSPTLLGCLVRIVSENDANADEQDAALQILANLPKRDRRLTMELMEQGAFKYIARKVLNICRRGTANNIVDKTMLEGLVKVLARITYILREEPRCVALAREYNLASLFTSLLRLNGLDGVQLLSAKALVNLSVESRYMTGTPNFDEHEQKSGLTWFGKKPPGIQLCRVHSGICSIRDNFCILEGKAVERLVVCLSHQNKKVVEASLAALCTLLGDGVEITEGVSVLYRANAVEPIFEILKGNPTGTLQQRVTWAVERILRTESIAKAASSDRGLSSALVHAFQNGDSRTRRIAEASLKHINKLPTFSQIIDKHPSRRGSSIGSMEHYFRSDR >ORGLA12G0078900.1 pep chromosome:AGI1.1:12:7724161:7724382:1 gene:ORGLA12G0078900 transcript:ORGLA12G0078900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRNRIWKESKFGMIGYVKFVSCTRGFPKVFRTSSMSLVRGFRLPTSGINRGGE >ORGLA12G0078800.1 pep chromosome:AGI1.1:12:7713137:7713358:-1 gene:ORGLA12G0078800 transcript:ORGLA12G0078800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVDGDSIWHTMEVLCAYGMRSRIWKESKFGMIGYVKFVSCTRGFPKMFRTSSMSLVRGFRLPTSGINRGGA >ORGLA12G0078700.1 pep chromosome:AGI1.1:12:7691802:7692695:-1 gene:ORGLA12G0078700 transcript:ORGLA12G0078700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTLETVAGRRFTVEIWFFSTVRRIKEYVLRQEGIPVESQRLFFAGAELDDDGDTERYSILQGSTVLLLLPEDGAAPPSSGGGGGGAGTKAMVRVVVNAPAALAGKGGAVTVEVDASACTVAGLKERVQEGTDGALPAARVALMFGKVEMEDGRAVAEYVQPGAAADGTATVVVSAVVRPPPPPTPTAASPVAVSKKRPPTPTPQPPQQPRVTVNVKWGAKAVAVEVSDMLAVKDLRAELGGGGGGAAAHLPLPKDGGYFFIYKQNVMEEDRTLRWHDVKNGDTIEIFNGRVTGGA >ORGLA12G0078600.1 pep chromosome:AGI1.1:12:7689232:7691320:-1 gene:ORGLA12G0078600 transcript:ORGLA12G0078600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAAAVAARLPPAAACRARLEATTPSSPFAVAAPCRVMAPTRRPRLGTRLVVVSAQSNFSRVVQTAWKVGRDAVEAGTALVPGSVPRPVARIGVTFVALSIALFLLKSVVSTAFFVLAMMGLIYLGFLAMNPKEGSKVDEGANSSEDPVEEARRIMEKYK >ORGLA12G0078500.1 pep chromosome:AGI1.1:12:7687405:7687686:-1 gene:ORGLA12G0078500 transcript:ORGLA12G0078500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTFQMWAGGALLGAKKGRSFTAEIGSTSSLQRMKTAVMNQEGIPVVHQRLFFGGVELQEKGDDTTREYSIVKGSTIDLLIPYRYRGAAADR >ORGLA12G0078400.1 pep chromosome:AGI1.1:12:7665124:7666853:-1 gene:ORGLA12G0078400 transcript:ORGLA12G0078400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGNRQPAAAVDVRYGKDGRNHWREDVGCGMGFTFACVDWSAGVEVLVLKQHQNRHLFDFHISAVFVMPVGNLTYLAPMLDSQLAPKTFSVVVFEFKVLSKLSLLR >ORGLA12G0078300.1 pep chromosome:AGI1.1:12:7633093:7634436:-1 gene:ORGLA12G0078300 transcript:ORGLA12G0078300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILEKALHSDIPHDVIRCNILPRLPFKLATRLKVISKKYFGILTNNATLSARQARLCPPCPTLIHMGLLDRHLGAYTSKVEAIDVLSSTPDIVGIPSGFDFLGCCLENGILSLLASTNGLVCILYTPTNFISHSRAPTLFIANPATQKAQSIPGTTKHITRFDKGIGLAFDPADNFQENTVSKFMIVKAVGARTIEDNGTMFCFATFSSNTGCWAMSSTTVYVDTKINCNNKKVAYGSGIMYXDYQEIVLWFDIATDMAGIMKMPWIQLGVEVKGPVHHDIDTSANGMLVCTTIDKGGLIVYHLVGVNTDFSWEIKHERRWIDMMKDSISAFGFCHSMQLRSGLQPERLTERRLVRPIGMVDGRFVYIGVRQEWKTKDKIMCYNMVTGQTYDTGNELGNRYSKNPFYVYRNSMANIPHIAVPVQGKICEGSTGGCICAMYTGEG >ORGLA12G0078200.1 pep chromosome:AGI1.1:12:7605402:7606021:-1 gene:ORGLA12G0078200 transcript:ORGLA12G0078200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:I1R5H6] MAPSVMASSATTVAPFQGLKSTAGMPIARRSGNSSFGNVSNGGRIRCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >ORGLA12G0078100.1 pep chromosome:AGI1.1:12:7596368:7602974:1 gene:ORGLA12G0078100 transcript:ORGLA12G0078100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHCYAVAAXSIQRAIHGFISLDCGGDGDFTDDIGIQWTSDDKFVYGGKTANLSVQNDLPKQLKTVRYFPVDDRKYCYTMNVSERTRYLVRATFLYGNFENSNIFPKFDLSLGATPWTTVVVYDDTTPTVVEAIILASAPTLSVCLSNASTGQAPFISTLELRQLNGSLYETDYENQFFLKLSARINFGAESNASVRYPDDPFDRIWRSDLVRRANYLVDVAPGTERISTKRHISIRTDGEEPPEEVMRTAVVGQNGSLTYRLNLDETPGNSWAYAYFAEIEDLAPNETRKFKLAIPEMPEYSTPTVNVEENAPGKYRAYEAGYMNMSLPFVFKPVFQKMDDSSKGPILNAVEIYKYIQLTMGSQDANNMAILVSRYPQESWAQEGGDPCLPASWSWIQCSTEKAPRVLSITLSGKNITGSIPVELTKLPGLVELRLDGNSFSGPIPDFIGCQDLQYIHLEDNQLTGALPSSLGDLPNLKQLYIQNNKLSGEIPQALFRKGIIFNFSGNSNLHVAHNTITHPVIIIVCVVIGAFVLLVAAVGCYLFAYNRKKKPSDGTVFVSAPAKQLSSPLSEVTTESVHRFALSEIEDATDRFGRRIGYGGFGIVYYGKLADGREIAVKLLINDSYQGTREFLNEVTLLSKIHHRNLVSFLGYSQQDGKNILVYEFMHEGTLKEHIRGGPAYVKVTSWVKRLEIAEDAAKGIEYLHTGCSPTIIHRDLKSSNILLDKNMRAKVADFGISKPVVSGSHVSTMVRGTFGYLDPEYYGSQQLTEKSDIYSFGVILLELISGQEPISDDHFGPHCRSIVAWATSHIESGNIHAIIDQSLDTGYDLQSVWKVVEVAIMCLKPTGRQRPSMSEVLKEIQDAIALERGGRELVPSIQHPVSKCSPSVNMDSVVLEQNSRFDELLELPGLR >ORGLA12G0078000.1 pep chromosome:AGI1.1:12:7579332:7579750:1 gene:ORGLA12G0078000 transcript:ORGLA12G0078000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDPNRSPTGLGGPSDRSYIFVRGVTGRAWEYGEENARGGEGRAGAINYFLYIDARYFARVPSTSQRLLPLHSASSGNDL >ORGLA12G0077900.1 pep chromosome:AGI1.1:12:7573204:7575425:-1 gene:ORGLA12G0077900 transcript:ORGLA12G0077900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33811) TAIR;Acc:AT1G33811] MAASPALVALAAAAAAQQQQLVPCMYIFGDSLVDSGNNNNILSLARANYQPYGIDFTGAAPPGRFTNGLTVVDMLADMLGLRPPLIPAYAMAQPGDFARGLNFASGAAGIRPETGNNLGRHYPFSEQVEHFRAAVRQMGPNAGSPERLGRCIFYVGMGSNDYLNNYFMPNYYTTAQSYDPAAYAADLLQEYSRQLAALHALGARKFVLAAVGDIGCIPYELARIDNNQDDDDAAPSSDSGTGISISLGGVGLTVGGGGGGGGSTRAANASRSGGNGGGACNEEINSAIAIYNRGLLSMVKRLNGGGGGGRMAGATVVYLDTVRTGRSVAASAAAHGFEVLDRGCCGVGRNNGQITCLPMQQPCGDRSKYVFWDAFHPTEAANRIYAARAFNSSAAAGDAYPINVSQLAAI >ORGLA12G0077800.1 pep chromosome:AGI1.1:12:7571823:7572119:1 gene:ORGLA12G0077800 transcript:ORGLA12G0077800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDDVAPAHGGGGSGRGRRVRKTDAGEEGGGVRPQRGGDRGEVEEERKRRSMMAGEVALQQRRNGEIWXVADGRGTKKRSIVGGRGXGXALINLAWFL >ORGLA12G0077700.1 pep chromosome:AGI1.1:12:7563137:7570606:-1 gene:ORGLA12G0077700 transcript:ORGLA12G0077700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQLRETQHQTKIKKQETTIRAHILKPNTSNQQQQQQQQQQQDLSKKNNKKKKPHRDGRWVGMEEAVPGIQICASPALXRWNYALCSSSVALKREPTFQITDYXHKDCAXSTKFTERTSRNVLRNNIXXSIVSTQISXGMLPTFSRICWPPKRFHGMFWPTSVXQRRIPRRLQEFSXKYCSMSYQITLEFANSIRDXAILKXKIILIQSFXWIIRRTQDSGSISSPPSVLVVSLRRXESTXRPCLQCNNRSQNQVLMRVVETQKKKKNRMAEPRFPPPETLKIALLCLLLSLFPPATASIPSAASTSSDRSCIADERAALLAMKATFFDPNSRLASWQGEDCCSWWGVRCSNRTGHVIKLRLRGNTDDCLSFYGDKLRGEMSYSLVSLQKLRYLDLSCNNFNWSQIPVFLGSLPSLRYLNLSHGFFYGSIPPQLGNISKLSYLDLTSYNYPYNQLYSVDLSWLSHLSSLKYLVMNYVNLTTAMDWVDEINMLPALKVLYLQQCGLRKTVPFLRRSNLTVLEVLDISWNNFRTTIAPNWFWNITSLTFLNIRPCGFYGSIPDEIGRMASLEEVYFQGNNLMSTMIPSSFRNLCNLKVLGLQGTNTSGDIRELIERLPNCPWNKLQQLGLSHNNIGGTLPNWSEPLANLTVLLLSNNNITGAIPSWIWTLTKLNFLDLSSNKLNGIVKEDQLGNLTDLLFLGLGNTHLQIKVSSNWIPPFKLQFSGNLPLWMGKKFLPILSLLRLRSNMFSGHIPTELTKIDQLQFLDLAENYFSGSIPDSLVNLSAMARTSGYSVLLDEIVLTGQVKSLNFSWNLINGEIPETIGQLKQLESLDLSHNELSGEIPSSMQDLNALGTMNLTYNNLSGRIPRGNTMGSYDASSYIGNIGLCGPPLTRNCSGNATSKDLPGNHVDLEHISLYLGMAIGFVLSLWVVLCLLLFKTSWRKSYFMFVDRQQKKISVSVKIRCAVLKRKLGANNR >ORGLA12G0077600.1 pep chromosome:AGI1.1:12:7557174:7558577:1 gene:ORGLA12G0077600 transcript:ORGLA12G0077600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAISKPLLLAILCCIVCLYSSSGGAIVAAARELGGGAAMAARHERWMAQHGRVYKDAAEKARRFEVFKANVAFIESFNAGGKNRYWLGVNQFADLTSEEFKATMTNNKGFSTPNGVRVSTGFKYENVSADALPASVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKLSTGKLISLSEQELVDCDVDGNDHGCEGGEMDGAFQFILSNGGLTAEANYPYTAEDGQCKTTAAASVAASIRGYEDVPANDEPSLMKAVAGQPVSVAVDASKFQFYGGGVMAGECGTSLDHGVTVIGYGAASDGTKYWLVKNSWGTTWGEAGYLRMEKDIDDKRGMCGLAMQPSYPTA >ORGLA12G0077500.1 pep chromosome:AGI1.1:12:7552762:7556411:1 gene:ORGLA12G0077500 transcript:ORGLA12G0077500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLDFSPPPPPEPALSPEPEILAPDHQSWKAEMMSALGESVSFGRFLAEPLEWGRWSAFAHNRYLEEAAHQSRPGSVAQKKAFFEAHYARKRKTDADADATGSDVDPDEANAAAAAAVSSARSSSSSCMTDEPAAEETTSCVVGSGVVAAGPVEEMVELDVITDGGVGSYCGVNADEAAHHKQDGALVGESRDVLQAMERQKGTTHDPCADNSVPADADDKQPLKESSIVNQGSAESVKRRRLPSLLQKPAKFSSPSSGSKGPASSAKRRSRLHSSKENSSPPNNESDQQATSSVPQNRSILEAFQKSKNFGRCETGNAASSSKNLGTTIAARISQLESATGPVKHTDSALSQVKPPIEAFPKDVSEITSRTSQLEEQRSSHVTRVKEKLFGFTSQSAHQKANTPRKEKGKTQNESFKARPLPNFYRRNKQAKDSSHQSSQGVNNSQAHPTSKEASKDKQICCFPLRRLG >ORGLA12G0077400.1 pep chromosome:AGI1.1:12:7532092:7536658:-1 gene:ORGLA12G0077400 transcript:ORGLA12G0077400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDGAAVSVATGVMGSLLAKLSALLGQERGLLKGVKGDIRFLRDELSTMNVFLTKMASVEDSLDVQVKDWRNKVRELSYDIEDCIDLFMHKLNRGDDKVNIVLKMAKKIRMLWSRHQIANQIQELKARVKEESERRLRYYFSEYNAHVDRTKIDPRLPALYVEEEKLVGIHGPVEEIIELLKKDDGSGQKLKVVSIVGFGGLGKTTLANQVYNKIQDQFDCSAFVSVSQSPNIKKILFDMLKDVTSRDNSDDYKQIKVVGVKGDKSDDERQLIDKLRVFLENKRYFIIVDDIWSASAWEHVRLALPENSLCSRIITTTRNVNVAKSCCSGFQGSVYNIQPLNEQDSKKLFLKRLFHSDSNFPTHLEEVSHAIIKKCHGFPLAIICLASLLASKSDTKDQWEQVHNSISSAFSSQGMSDILLLSYYDLPYHLKTCLLYLSVFPEDYKIDRDELIWRWIAEGFITEVKGQTLDQVGGHYFNELINRNMIQPVDVIYDGTASACRVHDMVLNLIISISAEENFLTVVDGQGYKYLGNKIRRLSFQSNSVENDVNVVQKIMDNLSQLPNQVGNLHYLQTLDIRGSGIKKFPPTIVHLHNLARLLVGNNVQLPDGIGYLQSLQVLSSARLYKPLKLVEDLRRLTKLRTLEIVLHGSDTLGAHEMGRYEEALESSLTVLGKHKIQSLEISCCDYLRDKLLDLLCCTVPNIQKLVISGNCISRPSQQMLSLVNLAHLDIYFQRIKQEDLSVLGSISTLLYLRKLHFVPDERLCISSQQFQSLMEFRFIYYEGSGLRMLFQQEAMAKLRRLQIRFRAEEMESNAGFEFSFHHLSSLEDLHATISCYRATRSSVEAAEAAIRNAASIHPGHLKVSIIREWESRMVGLREM >ORGLA12G0077300.1 pep chromosome:AGI1.1:12:7525674:7529354:-1 gene:ORGLA12G0077300 transcript:ORGLA12G0077300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVSVSTGALSTLLPKLSLLIQGEYKLLKGVKGGISFLKDELTSMHTLLVKLANNEENLDEQVKDWRNKVRELSYAIEDCIDLFLHKVSSSNAKAGLVRKTAAKIRKLCHVQIDPRLPALYVEAEKLVGIDGPREKIIEWLEKDESQKLKVVCIVGFGGLGKTTLANQVYHKMKGQFDCSSFMPVSRNPNMTKILADLLKELGSRVDTSDDERQLICKLRTFLQRKRYLVIVDDIWSAKAWEVVKCALPENNLCSRVISTTRNADVATSCCSCLAGYIHNMQPLNEQDSQKLFFKSIFGDKSACPPYLEQVSHGIISKCHGLPLAIISIASLLAGKLHMKEQWEQVHNSIGFVFSQQGIRDILLLSYYDLPINLKTCLLYLSVFPEDYNIDREELIWRWIAEEFISEVKGQTLDQIAENYFNDLVNRSMIQPFDIKYDGRADACKLHDMLTYLSIASRGITDLPEQIGGLQNLLTLDIRGSSVEKLPSTIGCLKNLVRLLVNEAVKLPNEVGDLQALQQLSFAGNYNSIVFVEQLKRLANLRAISIRLHDSARLGHHDMARYMEALKSSLAVMDKQGLQSLDISCFDTVIGEKLMDLLCYTPCLQKLVIHGCCIGLLPKQMAVLVNLRHLEIWVRNIKQDDLCVLGSIPTLLFFRLFVVCGPDERLAISSHQFRCLKQFIFVSYGGGLEMLFMQGAMPELRWLSHEFRAHESDCKMGFEFSLKHLASLEHLKVTIFCHVATRSRVEAAEASVRNAASAHPGCPIF >ORGLA12G0077200.1 pep chromosome:AGI1.1:12:7503904:7506540:1 gene:ORGLA12G0077200 transcript:ORGLA12G0077200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVGGLDGDGDRGRGELLLLLPLPRGLVLQRSRRRLVAAGRDELLPLPLPRAWRRLGAAGRDGLVLAARSRKRTTCHSESGFDKMPPPTIQRIKCHFNRLLSRYFVTLEDFKIKIPLDVDKEEEGGAGKVEINGTHASRRRAWGVGCDGRTGRGRR >ORGLA12G0077100.1 pep chromosome:AGI1.1:12:7494248:7494529:1 gene:ORGLA12G0077100 transcript:ORGLA12G0077100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQHRPAQTQRWRCTEELMEQTRGLSGGTATGAVSTGRAALPAARIVTADIMCKAIAPSSPQMSACPLSSRFPPTLGDARNVFLDSKESILTR >ORGLA12G0077000.1 pep chromosome:AGI1.1:12:7476885:7478345:-1 gene:ORGLA12G0077000 transcript:ORGLA12G0077000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1R5G4] MAAPSKPQHGAIGPVPFKDVVGDAMAQRSSPEEEEYAGVVSSLPSYPKLRLRHYQGMWLMEYTLPGIMAIQRSFVPRRHGDVVLASPGKCGTTWLKALAFAVLARGAYSPASDRHPLLRLNPHDCVPFMEGAISEGWGGKIDELPSPRLMSTHMQHAALPKSIADEPGCKVVYICREPKDILVSAWHFFRIIEPDLSFQELFEAACDGKFLTGAIWDHIIGYWNACKANPEKVLFLVYEDLLRDPANIVRKLADFLGQPFSSTEEEAGLVTDIVRLCSFENLKSLEVNKMGEASFAFPNASYFRKGKAGDWKIHMTPEMVECFDTIVKEKMHGSGLVFA >ORGLA12G0076900.1 pep chromosome:AGI1.1:12:7459085:7466890:-1 gene:ORGLA12G0076900 transcript:ORGLA12G0076900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVSASVGVLNPLLTKLSSLIEGEYKLLKSVKKDIIFLRNELSSISVLLEHLSNKEDKLDGPTMEWRNNMLELAYDIEDCIDLFIHKLSCGDANANFVRKIGSKIKKLWGKHQITECIQELKNRVMEEDQRRKRYQIDDFISEPSMVEIDPRLLALYEEVERLVGIDGPREKIVKWIMHKDWSSEQRKVVSIVGGKLNPKSAREPYNGVERQGGLVELNGGRPAIGVSSATAGKAALGRQRARRPWGDGGRGGLDATTGAAALMRRRARRPCCDDGHGGLGARQPRCNGGALAGCHSPESSAMVAAAIRREMYPEDYQIDRQDLIWRWIAEGFIVEVKNQTQEQVGENYFNELINRSLIQPVSIQYDGNAKYCRVHDIVLDLIISLSTGQNFVAIVDEQQHWSSFKKIRRTWFPSNGTDNRIVKKITNNCSQVRSLLFFENPKPKQIPQFKKCHALRLKYLKVFGVNVTELPKDIGRLQQLETLTIHAGDVDEINIPSSVCRLQKLQRLNFNYPMRLPDEIGVLQSFGGDVARFQRYKEAFYFTLNELVACIGCCLNLELCPKLQRLCFSLYAREAASNLGLEQSYVHLSSLQHLTFYLCCTNATITDVKAVEAAIRNVISIHTRRPTLVLRRYLQDRMVKEDEEEQLKDSTDGCGVEEHHIQ >ORGLA12G0076800.1 pep chromosome:AGI1.1:12:7437938:7441152:-1 gene:ORGLA12G0076800 transcript:ORGLA12G0076800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFVSASVGVLNPLLTKLSALVEGEYKLLKSVKKDIIFLRNELSSISVLLEHLSTKEDKLDGPTKEWRNNMLELAYDIEDCIDLFIHKLSCGDANANFVQKIGSKIKKLWGKHQITECIQELKNRVMEDQRRKRNQIDDFISEPSVVEIDPRLPALYEEVERLVGIDGPREKIIKWIMTKGKPLEQRKVVSIVGLGGLGKTTLANEVYKTIQGDFKCITFVSISRTPNIRKLLVDMLKGLGSNGDVSEDEQNLISHLRGFLKDKRYLIVVDDIWDIGAWKVVNCAFPENNLGSIIITTTRNTAVAEACSRTTSEGYLHSMQPLEEQDSQRLFYRRAFNSESCCPPHLEDISHAIISKCRGLPLAIISIASLLSIKPDTEDQWMQVHNSIGVTLNSDVEVRKILMLSYYDLPYPLKNCLLYLSMYPEDYVIDRQELIWRWIAEGFIIVAKGKTREQVGENYFNELINRSLIQPVYIQYDGRASCCRVHDIVLDLIISLSTGQNFVTIVHEQQHXSSFKKIRRTWFPSNGTDNRIVKEITNNCSHVRSLFFDSPKPEQIPQFKKCHALRVLVLDGCMSLESQPAYXQPNIPFPVEVSEAQCCKCYRDAQGYWTAAATRNTNYSWRRTCQRNKHSVKCLXTAKTRAPNCXLPNEIARXNWIFASFGDAISFLQHRVQHKMFTRAPSADQTKVSAHKDAFWRXCSKVREVQGRLLHESRXVGXKXPSIITCTCYNQVFRYIDGFMLXXCSRSQRAFYFWRWNIKTFGADGFPFXSCLSCDLLXYXKQXSERYQPPWVYTQASLSXSNIRPRLGGWTHCRLWWISLSKGVDVQAXSPALVVVXTWRYAKTPKAFYXVVCTKSSIQPWVXAELCAPFQPPASNRRSXLFXCHYKGRESFGRCH >ORGLA12G0076700.1 pep chromosome:AGI1.1:12:7435876:7436298:1 gene:ORGLA12G0076700 transcript:ORGLA12G0076700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYKIAAHAADLAKCHPYAQAWDDTLSKARFEFRWLDQFALYLDPVTTMSFHDETLPSEGAKVAHFCSMCGPKSCSMKITEDIRKYADEHGYRIVEEAVIPGMNAMSAEFLAARKTISGEQHGEAGGEIYVPESYTARK >ORGLA12G0076600.1 pep chromosome:AGI1.1:12:7432592:7434364:1 gene:ORGLA12G0076600 transcript:ORGLA12G0076600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G34160) TAIR;Acc:AT1G34160] MSVSAAAAAARHLESLLPRLASLRHYLQFHARLLTSGHLGAHPGLRARFLDRLALSPHPAALPHALLLLRSLPTPATNDLNAALRGLAASPHPARSLLLLAGRLLPALLPRPDALSLSFALKASARCSDAHTTVQLHALVLRLGVAADVRLLTTLLDSYAKCGDLASARKVFDEMTVRDVATWNSLLAGLAQGTEPNLALALFHRLANSFQELPSREEPNEVTIVAALSACAQIGLLKDGMYVHEFAKRFGLDRNVRVCNSLIDMYSKCGSLSRALDVFHSIKPEDRTLVSYNAAIQAHSMHGHGGDALRLFDEMPTRIEPDGVTYLAVLCGCNHSGLVDDGLRVFNSMRVAPNMKHYGTIVDLLGRAGRLTEAYDTVISMPFPADIVLWQTLLGAAKMHGVVELAELAANKLAELGSNVDGDYVLLSNVYASKARWMDVGRVRDTMRSNDVRKVPGFSYTEIDGVMHKFINGDKEHLRWQEIYRALEDIVSRISELGYEPETSNVLHDIGEEEKQYALCYHSEKLAIAFGLIATPPGETLRVIKNLRICGDCHVVAKLISKAYGRVIVIRDRARFHRFEDGQCSCRDYW >ORGLA12G0076500.1 pep chromosome:AGI1.1:12:7423831:7427297:-1 gene:ORGLA12G0076500 transcript:ORGLA12G0076500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63290) TAIR;Acc:AT5G63290] MLRSTFPLVSHLPSRKPPPIRPRPPPVRPYASSAASPPPPPPPPASAYVHLPFCRKRCHYCDFPIVALGTSSPPTSPHGGDGDDPRIADYVRLLLREVAATRPVSDDGVPLDTVFFGGGTPSLVPPRLVAAVLDALRARFGLSASPEVSIEMDPGTFDAAKLRELVGLGVNRVSLGVQAFQEDLLRACGRAHGLREVHDAVGIVTACEGLQNWSMDLISSLPNQTEEMWEESLRCTVDARPTHVSVYDLQIEQGTKFGQLYTPGVYPLPNERDSANFYKIASKRLSEAGYNHYEISSYCKTGYECKHNVTYWQNRSFYAFGLGSASYINGIRFSRPRRMKEYAEWVQKLENGVWSHESGNSGIKDMAMDVVMLSLRTAWGLDVQSFSKTFGRSLTESLCNTFRPFVESGLVIAMDMERRALQPSEFELDLQHDGENGSRVAFIRLSDPDGFLLSNELISLAFGIISP >ORGLA12G0076400.1 pep chromosome:AGI1.1:12:7405940:7406140:1 gene:ORGLA12G0076400 transcript:ORGLA12G0076400.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYTTRNMTFCEEFLVTSELFVISTFHFMTISMRWSGLVTKKMCSSLPTRNRHKLFQWDIVTNINLS >ORGLA12G0076300.1 pep chromosome:AGI1.1:12:7385855:7386310:1 gene:ORGLA12G0076300 transcript:ORGLA12G0076300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGEEATTNAGGFGRRGGDAEDDVEHTTAIPEEETATSASARATNSSRPETGRYWTPTRRPLRRASGEEEVMPEMRTASRRRGRWWRRRPVQRRGGTGGWRRSSGGNTTVAGGDAHPRVSARNGGDAGGGEAAATPREETARPTGTRARR >ORGLA12G0076200.1 pep chromosome:AGI1.1:12:7340889:7342298:-1 gene:ORGLA12G0076200 transcript:ORGLA12G0076200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYFNFSDEASEICKQFLTNIKNAQSNYISMGCFLATISDSVAATDDAVATTAALPPSCGATRSATRSSFRRVHDMYSSILRGIKSSHRKVARKLKVVRAIRKISQECLVVACGAAAAASVALAAHRLFFGLLVGCPTRSSQPHSGRRHSSPPLRCRHHSAPSLRLERGRERMREKGNEDDRVAVGERREERGSGVGGEEEAGAPAARAERRGRRRHGGAAREDGRRGGERGARRQGKEGCRGRCSERPASARPFPAGRRPEGGQAASGGWGRRSGGWGRR >ORGLA12G0076100.1 pep chromosome:AGI1.1:12:7318645:7320312:-1 gene:ORGLA12G0076100 transcript:ORGLA12G0076100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTMALTMSLALLVLSAAYVLVALRRSRSSSSKPRRLPPSPPGWPVIGHLHLMSGMPHHALAELARTMRAPLFRMRLGSVPAVVISKPDLARAALTTNDAALASRPHLLSGQFLSFGCSDVTFAPAGPYHRMARRVVVSELLSARRVATYGAVRVKELRRLLAHLTKNTSPAKPVDLSECFLNLANDVLCRVAFGRRFPHGEGDKLGAVLAEAQDLFAGFTIGDFFPELEPVASTVTGLRRRLKKCLADLREACDVIVDEHISGNRQRIPGDRDEDFVDVLLRVQKSPDLEVPLTDDNLKALVLDMFVAGTDTTFATLEWVMTELVRHPRILKKAQEEVRRVVGDSGRVEESHLGELHYMRAIIKETFRLHPAVPLLVPRESVAPCTLGGYDIPARTRVFINTFAMGRDPEIWDNPLEYSPERFESAGGGGEIDLKDPDYKLLPFGGGRRGCPGYTFALATVQVSLASLLYHFEWALPAGVRAEDVNLDETFGLATRKKEPLFVAVRKSEAYEFKGEELSEV >ORGLA12G0076000.1 pep chromosome:AGI1.1:12:7305779:7308786:1 gene:ORGLA12G0076000 transcript:ORGLA12G0076000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAREAAESPNPRSAPRRAAAAAAAKDASSFLSPRFRSAAAQAGWDEESLLHAALVVEDTPVRESRRRRRASTTSSSAGGGGSAGSNTRKRRSWRQPPGSIPPVVFLLDDDEKKPDTTADGKKEVKEEEKKTVVVGEKEACSEKAAATSELPCMDRLREELSCAICLEICFEPSTTPCGHSFCMKCLKHAAAKCGKRCPKCRQLISNSRSCTVNTVLWNTIQLLFPSETEARRTSIASSSETNDDLAQQISQRSNSMAQGGIRSSSSNGIGYITQRSTRSSATNNRSFTTTGSRRSTFVAQEGSSTATGRGFVRASQLVPSARVVSVRSHQSDDAALAYRLQQEEFMTAFESESERQPPRSSSSTVSAARANLRAMASRAIRLRARGWPV >ORGLA12G0075900.1 pep chromosome:AGI1.1:12:7303974:7304318:-1 gene:ORGLA12G0075900 transcript:ORGLA12G0075900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSDSVQAEITCWRIIGKRSALRMRREYLKAVLRQEIGFFDTEVSTGEVMHSISGDVAQIQEVMGEKVAHTQILPQIHTMLKAATAPSPLNSGRRSTTATAPPHPPAGERRGRERI >ORGLA12G0075800.1 pep chromosome:AGI1.1:12:7295233:7297473:1 gene:ORGLA12G0075800 transcript:ORGLA12G0075800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRVVEARGVGRLNGRRSSPTASPIDEAAPSDWFKGTENPPLQEHYKGVCFSAQKGGQDLQSLVSGILWIQFGNLFFTSGTAAGAIAGQDIFLRRELV >ORGLA12G0075700.1 pep chromosome:AGI1.1:12:7286860:7287291:1 gene:ORGLA12G0075700 transcript:ORGLA12G0075700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLVPASSAASGHTSPSATATRSRRRIRREATTMGVSFDEDLAAAAATKIGASVVPSPFAAASCFAEARGGADDGRRAEKGVEPRNPGGCDPQRRWVGETTLVTRRARVRDADQWFDASSEFDAAVPHSIDAALGRQQRWR >ORGLA12G0075600.1 pep chromosome:AGI1.1:12:7282963:7283210:1 gene:ORGLA12G0075600 transcript:ORGLA12G0075600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPWETVACILRNVWALLDGWIAVCCLAADELAGLLRSALGSSVALSFLLWLIIA >ORGLA12G0075500.1 pep chromosome:AGI1.1:12:7272690:7279975:1 gene:ORGLA12G0075500 transcript:ORGLA12G0075500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSPNMMQWFADLGANMERSDMSFSVRTQLDAGGECEWASGNGISGLLAKRSNALSPSFWRMISETLKFKRDALRYLEDCENNLDLEQSETLGQFVQSHGYCQFFQEAYLFPICGWMWSCPSQRVLGFSASSVLSFFHKHNLLQLFSRTQPLIVNGRSQSYFNKVREDLESRSCRIKTNCHVKSISSFDRGYRVLEVDGSEEMYDRIIVGIHAPDALKLLGAEATHEESRILGAFQYVSSNLYLHCDESFMPCNSSTWSACNITRTRSGSVCVTYWLNLLQNIESTRTFLVTLNPSYVPDHVLLKWNTNHFVPTVAASKASLELDQIQGKRGIWFCGAYQGSGFHEDGFQAGKAAAQSLLGNKIDPLTNPKQMVLSWTETGARLLVLRFLKQYISVGNLILFEEGGTMFSFGEACEKCNKKSVLQVQDPLFYWQVATEADLGLADAYINGCFSFVDKREGLLNLFLILIASRDAHRSSCRNSSRRGWWTPLLFTAGVASAKYFLRHISRKNSVTQTRQNVSQHYDLSNDFFSLFLDKSMTYSSAIFKDEEESLEEAQLRKINLLIHKAKVGQDDEVLEIGSGWGSLAMEVVKQTGCKYTGVTQSVEQLKYAQRRVKEAGLEDRITFLLCDYREIPCHKYDRIICCEMIEEVGHEYMDEFFGCCESLLAENGIFVTQFTSIPEERYDEYRRSSDFIKEYIFPGGCLPSLTRITSAMSAASRLCIEHVENIGYHYYTTLIRWRDNFMANKDKILALGFDEKFIRTWEYYFIYCAAGFKSRTLGDYQIVFSRPGNTKMGSGF >ORGLA12G0075400.1 pep chromosome:AGI1.1:12:7268598:7269350:1 gene:ORGLA12G0075400 transcript:ORGLA12G0075400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGVSGLAAAHELATSCGGGVDVTVYEKEDSLGGSFARTVGVDGGAGGEVVHLHLGFMPFNSVMGDGRGSHTMGDK >ORGLA12G0075300.1 pep chromosome:AGI1.1:12:7244708:7244911:1 gene:ORGLA12G0075300 transcript:ORGLA12G0075300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASVWCPLCSRAGIYPSFSSIWFSLLIYLSVNLPTFLTQFWNRKFYRLSKPIFWMNLSRINVLELI >ORGLA12G0075200.1 pep chromosome:AGI1.1:12:7219263:7222329:-1 gene:ORGLA12G0075200 transcript:ORGLA12G0075200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAMEESRVLVVGGTGFVGRRIVAASLAAGHPTYVLLRPEIGLDIDKLQMLLAFKARGARLLEASLDDHDGLVAAVRQADVVVSAMSGVHFRSHNLMLQLKLVEAIKDAGNVKRFLPSEFGMDPSRMGDALEPGRISFDEKMVIRRAIEDANIPHTYVSANCFAAYFCPNLCQMKTLLPPKERVGVYGDGNVKVFFVDENDVGTYAIKSIDDPRTLNKTIYIRPQDNCLTQNELISKWETLTGKSLEKFHIPGDEFLASMKDLDFASQVGIGHYYHIFYEGCLANFEIGDNGAEATQLYPEVQYTRMDEYLKRYI >ORGLA12G0075100.1 pep chromosome:AGI1.1:12:7207790:7208131:1 gene:ORGLA12G0075100 transcript:ORGLA12G0075100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAWRQGGAAAAAVQGRGGAASRRSGGGGSGMRRSSGSWCSGDGRLRGGRRGGAATTSGR >ORGLA12G0075000.1 pep chromosome:AGI1.1:12:7189546:7202199:1 gene:ORGLA12G0075000 transcript:ORGLA12G0075000.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLLPPYYSVSVRSPASIEEVEAAPDANGGGEEANRPRVVQSNESSAKVDEPVATENSDAAPAEASVDAVNGKIPPTELIPQHPLEGVTLTERTVRITGNKKQIEAAKDIIKQAMSQVLVEANGVTRTLVLNRPKQLNALSSEMITCFLRCFTAYEEDDGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVVTDSTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGITGVRLDAAEMLACGLATHFVSSDRLSLLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKKTVEEIIASLEQEAPNVADEWIASAIQSMRKASPTSMKISLRSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDRDRNPKWMPPRLEEVHDEVVEKYFSKVDDPEWEDLDLPPRRSHGRRLVPKL >ORGLA12G0074900.1 pep chromosome:AGI1.1:12:7165148:7168849:-1 gene:ORGLA12G0074900 transcript:ORGLA12G0074900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNMLFVDEDDVGTYTIKSIDDPRTLNKTIYIRPQDNCLTQNELIAMWGKLSGKSLTKFHIHGNEFLASMKDTDFAHQVGVTHFYHIFYDGCLTNFDIGDNGAEATLLYPDVQYTRINEFLKRYL >ORGLA12G0074800.1 pep chromosome:AGI1.1:12:7130947:7131525:1 gene:ORGLA12G0074800 transcript:ORGLA12G0074800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRNCSHPEGSIIESYTTEEVIDFCVDYMSETSSIGLPRSHHEGRLDGVGTVGRKTIRLDRKVYDKAHFTVLQHMTEVVPYVDEHLAVIRQENPGRSESWVRNKHMSSFNEWLKNRIARLQNLSSETLQWLSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA12G0074700.1 pep chromosome:AGI1.1:12:7125561:7127512:-1 gene:ORGLA12G0074700 transcript:ORGLA12G0074700.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDKLHSHAKFVYHELFFVDEDDVGTYTIKSIDDPRTLNKTIYIRPQDNCLTQNELIAMWEKLSGKSLTKFHIHGDEFLASMKDTDFAHQVGVTHFYHIFYEGCLTNFDIGDNGAEATLLYPDVQYTRINEVLKRYLXRSIXKFYKYLCWFTVWQFASLSQILSRYENSIPPCLFEYMYXYCSQPSSNILCFTNYYQVDQVRGKFKXVNYHVHVQTFLRTLLNFLIFLXYATSTLLXGGHRPPPSRCVGVAPPRGCHQPLPSHRMGRQPPPLPPRGRL >ORGLA12G0074600.1 pep chromosome:AGI1.1:12:7090976:7095388:-1 gene:ORGLA12G0074600 transcript:ORGLA12G0074600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKSRVLIVGGTGYIGRRIVAASLAEGHPTFVLLRPEIGLDIDKLQILLAFKAQGARLLEASLDDHDGLVAAVRQVDVVVSAMSGVHLRSHNLMLQLKLVEAIKEAGNVKRFLPSEFGMDPSRMGNALEPGRVTFDEKMEIRRAIEDAKIPHTYVSSNCFAAYFCPNLSQLTSFLPPKERVNVYGDGNIKAFFVDEDDVGTYTIKSIDDPRTLNKTIYIRPQDNCLTHNELIAMWEKLSGKSLTKFHIPAEEFLAPMKDMQFAFQVGITHFYHIFYEGCLTNFDIGDNGAEATILYPEVQYTRIDEFLKRYL >ORGLA12G0074500.1 pep chromosome:AGI1.1:12:7086880:7089442:-1 gene:ORGLA12G0074500 transcript:ORGLA12G0074500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT4G01730) TAIR;Acc:AT4G01730] MGRGESTAAAAAATMAWPRWRRRHGWQLPLHPLQLVGMAVFAVLVAAFYVVLGPYLGSTVAGNTLLALFFSSAAGAAALYVRCTAVDPSDRTHAKKMKRRRQLARARAGRRGGGGGRLPRLRYGYILWRYVVRLLRRVEVRVMNRWVRRSYLEQWNSSVQLDPMLPFAFTSLDDIVSPHAAAGHDISYCPVCDCEVKLRSKHCKTCDRCVDGFDHHCRWLNNCIGRRNYASFILLMFFVLLMLVIEGGTAIAIFVRCFTDSKGLKMEMEHRLHIRLPKGAHAALSMVFVIFTLYSTVALGQLFFFHVVLIRKGMRTYDYILAMREAAQAFDPFDDSDSSSDESIDFDSPERPSFLSRIFCRKDELSESTRKLSIRIENEPSDGTRRKDDIQINPWTLIKMSKEKAMAAAERARERIRNKLPSTTTSPMKPLPVETKRGPLNQERKHIMTGKEIVPVFTKSWLSGSPTARLSSPRRRFSGSPSPKPQRHRSNFDLRLAEVSRELESHISKQVLCSVVMKGVEDEDSPS >ORGLA12G0074400.1 pep chromosome:AGI1.1:12:7082312:7082632:-1 gene:ORGLA12G0074400 transcript:ORGLA12G0074400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSGAHPPSFASSLGRCRVLPVVVVRRPGGAARPSPLLAPARCAAAVGTAAPKVEGGGRRSSEQGQLAVAPARLVDELVEEALVWSSQHGLVVGDKNHPVK >ORGLA12G0074300.1 pep chromosome:AGI1.1:12:7076606:7079549:-1 gene:ORGLA12G0074300 transcript:ORGLA12G0074300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase [Source:UniProtKB/TrEMBL;Acc:I1R5D7] MSFSKVYWDQAVELAPLFNELVDRVSLDGDFLQETLSRTKEVDSFTGRLLDIHAKMMKLNKKEDVRLGLTRSDYMVDGATGQLLQVELNTISTSSNGLACGVCELHRNLIRQHERELGLDPESVVGNTAIAQHAEALAGAWAEFNNQSSVVLVVVQPEERYMYDQYWITVALREIYGVTTIRKTMAAIDAEGELRPDGTLTIDGLPVAVVYFRAGYTPNDYPSEAEWRARLLIECSSAIKCPSIAHHLVGTKKIQQELAKENVLERFLDNKADIEKVRKCFAGLWSLENDSIVMSAIESPELFVLKPQREGGGNNIYGDNLRETLISLKKDGSNELAAYILMQRIFPPASLCYLVRDGTCIRENAVSEFGIFGAYLRNKDRVIINDQCGYLMRTKAASLNEGGVVAGYAFLNSVFLT >ORGLA12G0074200.1 pep chromosome:AGI1.1:12:7067543:7068896:1 gene:ORGLA12G0074200 transcript:ORGLA12G0074200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAMCGSSVGHRQRGVTGQWQCDMLGCKARITRWHQGEHATLARQPPHRSVLPWCVHGAWEVAIVRAHCHSMAQGRRPGAGVAADRGRGGLTWMWQCGGVGMARRDGNLSTGAGCAIRPRPRCGPRARSGVAAQQWRHGRTVASEGRQSVELIGALFFYFAFLPLLHLLLSFPLSALIAAKRACYRVHGMATGYCHGCRCQRCSSRPSRDRDGVAWAPLQHAAGEAPPMQRRFMDISDTFAQVEGPTMNFTLDRELNDLPQNLYGIRKLKKIRLIGIGNLE >ORGLA12G0074100.1 pep chromosome:AGI1.1:12:7060547:7064007:1 gene:ORGLA12G0074100 transcript:ORGLA12G0074100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGFKYHEEHVTNKRGMKLFACQWSPLDHEPKALIFLCHGYAMECSISMRGTGVRLAKAGFTVHGLDYEGHGKSEGLQGYINSFDDVVVDCSNYFASVCERGECKGKKKFLLGESMGGAIVLMLHRKEPTNWDGAILVAPMCKIVEDMKPRPIVITILSKLSNVIPTWKIIPTEDVIDKAIKSEEWRQEVRNNPYCYKGRPRLKTGYELFMASLDIESTLDKVTLPFIIVHGGDDAVTDPSVSEELYTSAQSKDKTLKLYPGMCHALTSGEPASNIDIVFLDIIKWLDERVSVS >ORGLA12G0074000.1 pep chromosome:AGI1.1:12:7048331:7049518:-1 gene:ORGLA12G0074000 transcript:ORGLA12G0074000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSRVICRVYIYKEAGSLNLESMYHSWGSNGSGSGSGSSTSSSSSSRPFNSVRMDGAVLPVSSRQPPPSSDHSPTEEELPRVTAAVGRYSAEERQERIEKYRSKRNHRNFERKITYACRKTLADSRPRVKGRFARNSTTGDAADGSQSTGLAEVVSSPLATTNAMCNDDDDGGSGDLPEWWPAMKEALARQEDDDEDLLAAYLGVSSINLYSPRGHSS >ORGLA12G0073900.1 pep chromosome:AGI1.1:12:7027924:7029855:1 gene:ORGLA12G0073900 transcript:ORGLA12G0073900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHAGAVASGESAGDEDDGSGEHETHPTSSAPSANSRPRKRSRLTAAADESTTVDDCSAGGSGSGSGSGDGGGGGGESVGAWPYDESAARDELVTLIVSQDLPVGIAGSASFEAMVRQAFCPQFERIDNAVTIRDILVFHEDHVAGLKEEFTGGDLSFALSCEIWGTSYHRMSYLCVAAHYVDGDHILNKRVIGFKLIDGAPTAEAMARRILDVAMEYGIENRIVSVTLGDGLADAETMDALAPLLGWYTGGFVFLQRCIFSILNDIVRAGLAEMAGPLDAIRSATAYVSSSEANFAEFEKCCVERGQKARKLWPDSKVRWDSTYDMLKEVLPYKEVLTDFVNGSMQQEFLSEHNWSLLSIFHKFLTKFYKAATKLSVVYSQTSSLTLIYICDMAVLFRSYRTDPVFSAILKPMEAVFVKYFQRIPRLYCYALILDPRLKLHGLEVALKMLGEALNIDYSSTFTQIADEFLEVLSMYEEKFGSTLRPPPSPPSSLVFRLFAARLKELELKELELQKDSSPSSSVHQRKAKNEFNKKYIETPCSLRLNSRGPDPNIIMWWKDNKLFHVVLAQLARDVLASPVSTVSSADAFNINGRVVEDQKSCLAPDILEAIMCLKDFENAATREQDRMVNAEFASVVCLDM >ORGLA12G0073800.1 pep chromosome:AGI1.1:12:7000371:7010027:1 gene:ORGLA12G0073800 transcript:ORGLA12G0073800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNVDDDSQWVEVVMINSYALFMGYLSMAIRGMGFLVVLWTTVILLGGFVSMLEKKDFWSLTVITLAQTTGVFDVFLNEKLTYFRKSFFGLVGTISAMLVKVEKGSFCRMEGVRLMLARVLLVLQLVVLVVILSPLAVFYLFGLLVTAGLSLWRLLQRDYGAGEEAANLAPALNVLYSLALIQGALFFYYFTSRLLGRRLANLVAGVYSFGGEGEEEDDGGRASVVDYMRQTRNGCEKDPSSVRGRNIVTFAVTMMKESSSSSLSSGDYSSGARILDKLLSQAWLREQHELIRQLVGSSTELMEKLLQTLRCTGTRDRGVREHAARIVAHLAGEITLARFPQGIRNQQQDDDNDDDDDDSAQSSDHYKKLMVQGLVILHKLAAAEHNRRIIINSTQGRQLLSMAMAPVSADLLHRIDHEAWNDIVACSLQLMCRLVTAPGETGDKLRSQVLNDKDAIGTMERILNCDGCNEKRLYILAINIVTQLPMAAKNKVVDEASSMSVESRRKFTKLLLLIYTDEEKDAFMRQMAGEALAMLSERSKSDATIILKASDSTLKDLTAMLLDVNSNRGYRICAAEILEHLYIRYTEQDGYLNNLTEAMKDVLPKVKEENEKVKEENEKVKEQDEKVKEQTVDMKLYAALLSLSEAIFQRLVNDDKDLAELTDKIAPGGGTAFSFAGKLKEMVEGNSEQATANCLRMLKITTRMIISLINLNGAKVGADLESLMHSLLKASEKMLELEGFMIFSSSDRTESTNPANILASLVKEAQELLEKKRQAQTTPAPSMETS >ORGLA12G0073700.1 pep chromosome:AGI1.1:12:6998334:6998591:1 gene:ORGLA12G0073700 transcript:ORGLA12G0073700.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFCNSSAASTSPSSLPMRRGTGRLVCRTFRSLFARGKNSSGRRMAGPLPKRAFWSNWRRQRRQIGAKERARQAKRLEAMPRITQRC >ORGLA12G0073600.1 pep chromosome:AGI1.1:12:6938020:6938270:1 gene:ORGLA12G0073600 transcript:ORGLA12G0073600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSALTLWTALKKRFEKLKYTILPQAGLGSPEVRQLQERLRVQIRLSRIWIRQRSPSSTLALC >ORGLA12G0073500.1 pep chromosome:AGI1.1:12:6934541:6935633:-1 gene:ORGLA12G0073500 transcript:ORGLA12G0073500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVTQLLRETTKRVIGTKTTSRADLTLSCFSTVSNLQRLAGKVAVITGAASGIGKATAAEFIKNGAKVILADIQDDLGRSVASELGPDAAYTRCDVADEAQVAAAVDLAVRLHGRLDVFHSNAGIPGRIPQDDALSVDLAGFDRVMAVNARPALAAIKHAARAMAPRRTGCVICTASGGGVVPMPALAMYSISKATVIAVVRAMAEPLARHGLRVNAISPGATRTPMMLNEIPRLAVVSPGLSGELRRMVEEGASDAVKVLEPEDIARAAVYLASDEARYVNGHNIVVDAGYSVHKGAENSPAR >ORGLA12G0073400.1 pep chromosome:AGI1.1:12:6917262:6919563:1 gene:ORGLA12G0073400 transcript:ORGLA12G0073400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCELHRNTKESAHNTEEWIEEDQKRSQAKGQARGAQPSNCCVSHSMFEVKCYNGIFVRLRIPVTIYIPGPFLAQLLGCMPGSMEEKSIRNIVPIQSMNTTSEAPAIFPYPLQESVIHALAAREATALHRHAATSQHVTRCNHQTIA >ORGLA12G0073300.1 pep chromosome:AGI1.1:12:6886100:6886300:-1 gene:ORGLA12G0073300 transcript:ORGLA12G0073300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRWSSAAAKWRTGFLCSVRTRWRRRTAAATATVAAQRGRRIAGNGGGSTGDGGAREMGQTKEGD >ORGLA12G0073200.1 pep chromosome:AGI1.1:12:6878435:6881797:1 gene:ORGLA12G0073200 transcript:ORGLA12G0073200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:I1R5C6] MSLHWSLLLFIAIALVSSVAQAAVVEHTFNVGNFSISQLCQPPLIITAVNGQLPGPTIYAREGDTVVVHLVNTSPYSMTLHWHGVLQRGTPWADGPAMVTQCPVQPGGNYTYRFNVDGQEGTLWWHAHVSFHRATVYGALVIRPRGGAKAYPFPKPDKEHVVILGEWWNATVYDMERMAFLTGIPAPHAEAYTINGKPGDFYNCSAPNQTAKFEVRQNGTYLLRIINAGMNTPLFFKVAKHRLTVVGADACYTKPYKTDVVVVSPGQTVDALMVASAAVGRYYMAASPYDSAIPQGPPFSDTTATAILQYAGARRKTVRWRPPVLPRRPPVNDTATAHRFFSGMTALLRHGKPSAVPLAVDTHMYVTVGLGVSLCQPEQLLCNRSAPPVFSSSMNNASFVVPKNTSLLEAHFRREPAGVYTRDFPDTPPVVFDYTGDESDNATMQFTTKSTKVKTLRYNETVEMVLQNTRLIAKESHPMHIHGFNFFILAQGFGNYDKRRAERRFNLVDPQERNTIAVPTGGWAVIRFVADNPGMWYMHCHFDAHISLGLAMVLEVLDGPTPETSVPPPPADLPRCS >ORGLA12G0073100.1 pep chromosome:AGI1.1:12:6852358:6855272:-1 gene:ORGLA12G0073100 transcript:ORGLA12G0073100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVPPPEDGDGRPIAMEGQAAEDLVPSALAAQGLVTAPGDLGFDDLAVASALAAARTLLPNAPLPAAMEFNLPVLQVQRSQVDRVENMERIDWNNIHIVETHDDEGRIALTSESQICELLGVIEEDTTNKPAHGFDCRMYDQGHDSELGQNYDGAAIPTSDEVPGEMVISYDKNSPSMALGTMYPTMEEFKLAVRQFAIKEKFDLGVEKSCKTRYRAYCKSGDEDCPCTWRINGTKQKGQSTVEIIIVVDKHTCVSSMRKITTTPTLKWVASKAVSILRDDPNIGAKRLQNRLQTDHKCEAAYGREIKPMTDKTQWPQVDLPFLVGAPLAKLPFGRRRKLRRKGWMEGGHKKNGSKDDPFTNEGEGEKGGDNDTAPTNGKGKKMIRGPMTCKKCGEKGHRQASAKWPLNETAKKRKRRQPRKNVTKAAAAEPSTPRRPTREEILQDSPGRLTRSKLAMLLREGTSSQSDTTSPVRMPTAAAPKKMTLKRKLHIG >ORGLA12G0073000.1 pep chromosome:AGI1.1:12:6850185:6850454:1 gene:ORGLA12G0073000 transcript:ORGLA12G0073000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEVSNKRVILKRYLTACEIGLLGDEMEVVTAEAVPLSVPARSSVVLVKNLYISCDPYLRNRMIRHEVPTYISDFPRRGNDLYIFFR >ORGLA12G0072900.1 pep chromosome:AGI1.1:12:6836107:6836388:-1 gene:ORGLA12G0072900 transcript:ORGLA12G0072900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIQRGEDSRGVDSDCRAVPTSDGCHDFMRTPIWACKYFMESLSSLLSNGSSYMSISVLERPQLSFYSRLFSVYGAVSPLLAQWAVYPSWAH >ORGLA12G0072800.1 pep chromosome:AGI1.1:12:6826767:6829561:1 gene:ORGLA12G0072800 transcript:ORGLA12G0072800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTVSNKRVILKRYVTGFPSEEDMEVVTAEAPLMAVPAGSEAVVVKNLYISCDPYMRNRMTHHEVPSYVADYVPGEVLTNYGVMTVISSGHPDFKAGDLVWGITGWEEYTMIDNPESLSKINHPDLPLSYYTGVLGLPGLTAYAGFFEICKPKKGDYVFISAASGAVGQIVGQLAKITGCYVIGSAGSDEKVNLLKTKFGFDDAFNYKKELDLDAALKRYFPKGIDIYFENVGGATLDAVLPNMRLRGRIAACGMISQYNLDNPDGVHNLFYIVTKRLRMEGFLVFDYNEMYHRFEEEMAAYLKEGKIIYVEDVVVGLDAAPAALIGLFTGRNVGKQLVAVSQE >ORGLA12G0072700.1 pep chromosome:AGI1.1:12:6809793:6815631:1 gene:ORGLA12G0072700 transcript:ORGLA12G0072700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEGWRRLMRRCSSYRGCSSSTNGGRGRGVGGCVFLAQKATAYARVTRVGDDGMDETLLSNLPYNSDHGYSSGGNSCSTSERPARPAVQVSRAGPEPAKACGSNLAARNRLGFTGTIPSFLTLYVCKINSKYLSGLSSNKKMVGKETTGQIALDDITPMDMAL >ORGLA12G0072600.1 pep chromosome:AGI1.1:12:6789016:6792520:1 gene:ORGLA12G0072600 transcript:ORGLA12G0072600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:I1R5C0] MARSWSLLLLPFALALVASVAQAAVVEYTFNVGNLSISQLCQQEMIITAVNGQLPGPTIVATEGDTVVVHMVNESPYNMTIHWHGIFQRGTPWADGPAMVTQCPVRPGGNYTYRFNVTGQEGTLWWHSHFSFLRATVYGALIIKPRGGAKAYPFPVPDEEVVVILGEWWKRNVYDLQQEALHTGIPAAHADAYTINGKPGGFYDNCSAPNQTHKFELKQNKTYMLRIINAALNTPLFFKVANHSFNVVAADACYTKPYKTDVVVISPGQTVDALLVPDAGVAAAVGGRYYMAVIPYNSAVNAINASVLYSLTNGTAIVEYAGGPATSPPMLPEMPEYNDTATAHRFLSNMTALVPNRVPLAVDTHMFVTVSMGDTFCGPEQTMECNKNRTIFASSMNNASFILPNTTSMLEAMYKGSIDGVYTRDFPDTPPIVFDYTADASEQNATLKHTFKSTKVKTLKYNSTVQMVLQNTRLVSKESHPMHLHGFNFFVLAQGLGNYNETTDPAKFNLVDPQERNTVAVPTGGWAVIRFIADNPGVWFMHCHFDAHLEFGLGMVFEVQNGPTPETSLPPPPSDLPQC >ORGLA12G0072500.1 pep chromosome:AGI1.1:12:6765809:6775142:-1 gene:ORGLA12G0072500 transcript:ORGLA12G0072500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G61770) TAIR;Acc:AT1G61770] MSQIGSASEGSNSMAAAASPRLLLLVLLLLLVPVSNAIYCDEDDCYDLLGVKQDANVSEIKKAYYKLSLKHHPDKNPDPESRKLFVKIANAYEILKDESTRGQYDYAIAHPEEVFYNTAQYYRAYYGHKTDPRAVLIGLLLIISVFQYLNQFGRYSKAIETVKQTPAYKNRLKALEFERTGGISSKKKGHKQMDKKVEEVLSNEVELQIQGVEKPSVWRLYGVQFILLPYSIGKVLSWKFCWFWRYRIKKLPYAWEDACYLTRTSLKIPANTWQNIDDYRKENLVMKRLWEKNNMERYIAEMRKESKRRR >ORGLA12G0072400.1 pep chromosome:AGI1.1:12:6739124:6740470:1 gene:ORGLA12G0072400 transcript:ORGLA12G0072400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMSTLSHQHHHVLVLQLILLLPLLHLVVPGTPAAAVKFSDVLASGRNVSDGDVLVSPGGSFTLGFFSPAGATTRRRYLGIWFSVSPDAAVHWVANRDHALNDTSGTLTLTDAGVLLLLDGSGKVVWSSSTTAPPSATTSAAARLLDSGNLVVHGQGSGTALWQSFDYPTNTLLPGMKIGKNRWTGAEWYLLSWRSPADPSPGSYRYVTDGDEALPENVVLDGNGTEVYRTGVWNGRRFNGVPEMASFADMFSFQLTVSPGEVTYGYVAKAGAPFSRVVVTDDGVVRRLVWDAATRAWKTFFQAPGDSCDSYAKCGAFGLCDSNAGATSICRCVKGFSPASPAEWSMREYSGGCRRDVALDCSTDGFAVLRGVKLPDTRNASVDMGVKLDECRARCVANCSCVAYAAADLSGGGCIMWTKPFVDLRFIDNGQDIYQRLAKSETGNLT >ORGLA12G0072300.1 pep chromosome:AGI1.1:12:6729672:6731614:1 gene:ORGLA12G0072300 transcript:ORGLA12G0072300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:I1R5B7] VGNLSISRLCQPEMIITAVNGQLPGPTINVTEGDTVVVHLVNESPYNMTIHWHGVFQRGSQWADGPSMITQCPVGPSGNYTYRFNVSDQEGTLWWHAHISFLRATVYGAIVLNPGAAAPFPAKPDAEHVVLLGEWWNANVVDLERMAFLTGIPARNADAYTINGKPGDLYNCTAANQTEVFRVRRNETHLLRIINAALNTPLFVKVAGHGFTVVAVDASYTTPYATDVVVIAPGQTVDALMVADANATASPGGRFYMAATPYDSAVPSGPPFSQTTATAVVEYVGEADDAVPPVLPARPDYNDTATAHRFWSNLTALVLPGKPTVPLAVDTHMFVTVGLGVSDCQTAQLLCNRSAPPVFSSSMNNASFVAPTAISLLEAHFSNASASVYTRDFPDTPPVVFDYTGDESDNATMQFTTKSTKVKTLRYNETVEMVLQNTRLIAKESHPMHIHGLNFFVLAQGFGNYDEATAAPLFNLVNPQERNTIAVPTGGWAVIRFVANNPGMWYMHCHFEAHIEFGLAMVFEVLDGPTPETSLPPPPADLPRC >ORGLA12G0072200.1 pep chromosome:AGI1.1:12:6718198:6718917:1 gene:ORGLA12G0072200 transcript:ORGLA12G0072200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPPPSLPPASPLPLPTWQPDPSPAVLPWPDLLAGAAAATRRLIAAHSRHFLALSSLLLLPLSLLLLSLPAPFLPPVSPPSRSAPRRRRRRRSPPAPRRRRAPLPRRLRRRRRQRARGVLRPLSQAARLAALRARVPPPPPPHGAPGRAAVASPAPPAPVPADRRPRRSWPPPVSPLLVPRRRRRRRRVQRRCVPAAAELPVAQWRAPRRALCVLGVRRRDRGHAMGIWWGGRGDL >ORGLA12G0072100.1 pep chromosome:AGI1.1:12:6645096:6649987:-1 gene:ORGLA12G0072100 transcript:ORGLA12G0072100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1R5B5] MARRGRRSPASPAVAIALIVFLAYGGGGGGVCEAAPASAVVKSVPGFDGALPSKHYAGYVTVEEQHGRNLFYYLVESERDPAKDPLVLWLNGGPGCSSFDGFVYEHGPFNFESGGSAKSLPKLHLNPYSWSKVSSVIYLDSPAGVGLSYSKNTSDYNTGDLKTAADSHTFLLKWFQLYPEFLSNPFYIAGESYAGVYVPTLSHEVVKGLHDGVKPTINFKGYMVGNGVCDTVFDGNALVPFAHGMALISDDIYQEAQTACHGNYWNTTTDKCENALYKVDTSINDLNIYDILEPCYHSKTIKKVTPANTKLPKSFQHLGTTTKPLAVRTRMHGRAWPLRAPVRAGRVPSWQEFARGSRPSGVPCMSDEVATAWLNNDDVRAAIHAQPVSSIGSWLICTNVLDFIHDAGSMISYHKNLTGQGYRAFIYSGDHDMCVPYTGTEAWTRSLGYGVIDSWRPWRLNGQVSGYTQGYEHGLTFATIKGAGHTVPEYKPQESLAFYSRWLAGSKL >ORGLA12G0072000.1 pep chromosome:AGI1.1:12:6638982:6641258:-1 gene:ORGLA12G0072000 transcript:ORGLA12G0072000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRGGLALRRAHAASLVSGALATSLPLAGALLLSYAALSDLASARLVLRHHPFRLRSAFLWNSLSRALSSASLPSEALRVYNLMLRSAVSPDDRTFPFALHAAAAAVASAEDKGLELHASALRRGHLADVFTGNTLVAFYAACGKACDARRVFDEMPARDVVSWNSLVSAFLVNGMFHDARRALVSMMRSGFPLNVASLVSVVPACGMEQEEKFGLSIHALAVKVGLNTMVNLANALVDMYGKFGDVEASMRVFDGMLEQNEVSWNSAIGCFLNAGLYGDVLRMFRKMSEHNVMPDSITLSSLLPALVELGSIDLGREVHGYSIKRAMDLDIFVANSLVDMYAKFGSLEKASTIFEQMKDRNVVSWNAMIANLVQNGAETEAFRLVSEMQKSGECPNSITLVNVLPACARMASLKMGKQIHAWSIRRGLMFDLFISNALIDMYSKCGQLSLARNIFERSEKDDVSYNTLILGYSQSPWCFESLLLFQQMRSVGIDYDAVSFMGALSACTNLSVFKHGKEIHCVLVRRLLSGHPFLSNSLLDLYTKGGMLVTASKIFNKITKKDVASWNTMILGYGMHGQIDIAFELFELMKGDGLDYDHVSYIAVLAACSHGGLVDKGKKYFSQMVAQNIEPQQMHYACMVDLLGRAGQLSECAEIIRDMPFPANSDVWGALLGACRIHGNIELAQWAAEHLFELKPEHSGYYTLMINMYAETGRWNEANKIRKLMKSRKVQKNPAYSWVQDQDGNKLQAFLVGDG >ORGLA12G0071900.1 pep chromosome:AGI1.1:12:6620229:6624217:1 gene:ORGLA12G0071900 transcript:ORGLA12G0071900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSPAASPPRRHRHRHDDSPPRRRRRRHDDDSPRHRRDDRKRRASPSPSPSPSPSDRDADRHRGKSRASPPRRPRDSDPAESNGGGAPKPGGDNGGDRSPRRARVPDADAEEGGRRRRRRSTDSDDERGDRDRHRRRHRRRSPSSESSDGGRSRRHRRDESSRRQRDERRRDRGGREEHRRSPDRKEPTPPLPPPPPLLPEMIPGRTGGIYIPPFRMAQMMREVEDKSSPEYQRLSWDALKKSINGLVNKVNATNIKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLNVFRANPNFAEDEKAYENLKRSILGAESSDDEEGSDDASDEDAEEESDDEEDEEQMEIRDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNEKLNDPNMQDSFDSIFPKDHPKNTRFSINFFTSIGLGGITETLREYLKNMPRLIMQQQKPASSESGSSDSGSASDSSSSESESSSDESEKKRSKRRKR >ORGLA12G0071800.1 pep chromosome:AGI1.1:12:6617910:6618875:-1 gene:ORGLA12G0071800 transcript:ORGLA12G0071800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFVDNSVNTNFNQGVPASTMLVWTQVGEIVFPVYTTVPISAGPSMTGSENAVATTQDDSMSKDPPAEAENGTLATSEPEKDSSAAKPCLSDKNHEPTRMTSEVTRSWCPIHKTRKHTLQACWVFLNVHAEIHACKERGIQRTSPTHDVYCPIHKTKNHDLSSCKVFLSAMKTSPPKVQQSRIPLRDEDKEQGATLISDRFVGVIDIDPREPSVLHLLEDYGSSSTSTPREVLAIDGTSTSACANAEAEDQVTTPAQHIRAVNAILRETLYDPVLNDDLTRWTEQLRESVTNLSNVFEEAVAAAHPEQPPTGGANGENPE >ORGLA12G0071700.1 pep chromosome:AGI1.1:12:6601169:6605378:1 gene:ORGLA12G0071700 transcript:ORGLA12G0071700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAIQLYSLLFKLILRRRLSSLSASASSSSFGVSSRAAADHHHPSPPSNPSFSSAAGADAVATKDLHPDPLSSLHLRLFLPNPHHSATPAAPAAGANAPPPLRRNSFPQPAHDAGSPASAVGQELSRRASASFSGVSPSAAPCYGGYLPTARSGRRLPVIVQFHGGAFATGAADSAANDAFCRRVARLCDAIVVAVGYRLAPESRYPAAFEDGVTVLKWIAKQANLAACGRTMARGAGSGGADSFGAALVEPWLAAHADPSRCVLLGVSCGANIADYVARKAVEAGKLLDPIKVVAQVLMYPFFMGTSPTQSELKLANSYFYDKSTCLLAWKLFLPEGEFSLDHPAANPLVPGKGPPLKLMPPTLTVVAELDWMKDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDVLLKTPLAQACTEDIAIWVKKYISLRGHELSY >ORGLA12G0071600.1 pep chromosome:AGI1.1:12:6585830:6586666:-1 gene:ORGLA12G0071600 transcript:ORGLA12G0071600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGVSAKKRHAGAGFTLGCGCKDAKSVSVSASAAGTPSTTATRRRSAGMNPSRSTTTDTLTMTSASLSFLWERSVVEFDHDDGGDCGPESFSSFLRELSELEQSVASWGRKSHHQNHDKKHSPSSSSPLPSQEDRKEKNGGNGDATDKPGDCRDGDDGIGVGLDGSVAVVKQSDDPLGDFRQSERARRRRGPSTPRRVPLRRRTDAATRSWLGPRXRRRELPCSHAVVVAAAALLPCSRVVVAVAASAATSPAAGPPLPHHPVGRRRRSAQSTKREE >ORGLA12G0071500.1 pep chromosome:AGI1.1:12:6560585:6560806:-1 gene:ORGLA12G0071500 transcript:ORGLA12G0071500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWNTMELLCAYGMRSRIWKESKFGTFGYVKFLSYTRGFPKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA12G0071400.1 pep chromosome:AGI1.1:12:6535796:6540286:-1 gene:ORGLA12G0071400 transcript:ORGLA12G0071400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRRTLARIGRRRPSAPRIRRRRPPHAWIQRRRPPPAWIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRLQITFGQRDQGXLTLCCAHDAPACRGXHDPPRLFRLNTATVLGSSAHVQRAQLACAARRNSQCGHTACLRPACAPSWLPLLEPAAPSPTSPPSAPTSSTTTIRKRMGVGLVIHIMGIISMTERHRLAVDSKGTTTASNGSGPVHNVSSLSIGTVVKREHCLCP >ORGLA12G0071300.1 pep chromosome:AGI1.1:12:6523055:6523345:1 gene:ORGLA12G0071300 transcript:ORGLA12G0071300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLARPHAKTVIFPDLFVQTGHPTAFEDGFWPPTKILSIIVALTVGGLFARGSDRLSRPQPRTIWCCLVDYEAAAVPSARCSPPWEPQPFPTLSHC >ORGLA12G0071200.1 pep chromosome:AGI1.1:12:6483559:6493489:-1 gene:ORGLA12G0071200 transcript:ORGLA12G0071200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVSSLKHITQMALKIKEAVDSVRRNKEDCLQIRRRVVRVSDILSQLQETGNIMSNPAMSAALEDLSETLRHAHTLVVSCQEKNVVCLLCAATALSKKLRRVNDDISDQMMVGIFVTTVHTTIALSQIQGDAQHDVMYALPRTEITDDIEATLPKKEEPKPPSPPREAEPEPEPPLPPPEEPTPLVRNEPSPAPTPEKPEATQVIECHPSPERKSTYTAPPEKPEATLVVECHPSPERKSTYPAPPEKPEATLVLECYPSSEEEPTPPLSPQLGELRAQDSGFYYHAARHGRPVRGPVRGRSYSYSRTSSASSMASSRPISGKQKFQKRTLVKLSFSEMEIATHHFATRIGQGGSATFYKGVLRDGLEVAFRKYENAHPNRYDDKPEMHRLVHLCSMLEHKNIVKVLGYCDENRGVDLSNENTPKEVVAAKEEFLLLVEEYMANGNLSNFIYGEQLDWSSRFQIIQGITQGIIYLHTHSGKPTIVHLDLKPDNILLDSDMNPKIGDFGLAKVLEDDEINASVRGTLGYMPPEYIVEGVISVKNDVYGFGVTLLETISGMSKSGRDTRHQASIEWAWGKRNSGVMNKLFDPSLCDNSQLKEIKRCIEIGLLCTQKKPTDRPTMPDVLQMLQGTKKVPTPKQPGYIKRVREAERYKQV >ORGLA12G0071100.1 pep chromosome:AGI1.1:12:6463346:6464013:-1 gene:ORGLA12G0071100 transcript:ORGLA12G0071100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLGSVRHIAELALKIRQAVETVRQNKQECVQIRRRVVRVSSILSQLEDTVIIRSNPAMAAALEELDATLRHAHTLIAACQESNIVCLFCAATALSKKLRRVQDDISDQMMQGMLATSVHVTIVLARIQDDVDYTRRPPRLIMD >ORGLA12G0071000.1 pep chromosome:AGI1.1:12:6406769:6409801:-1 gene:ORGLA12G0071000 transcript:ORGLA12G0071000.1 gene_biotype:protein_coding transcript_biotype:protein_coding NAERKCHKWVSAKEEFLLLVEEYMTNGNLGNLIYGGLLDWSSRLKIIEGITQGIVYLHTHSEKPIVHLDLKPDNILLDSNMNPKIGDFGLSKELQDDDINASVSGTLGFMPPEYIIEGTISLKNDVYGFGVTLLETISGMGESGRGARHQASIEWAWNVRLSGGMNKLFDPRLCDESQLKEIKRCMDIGLLCSQNKATERPTMQDVLKMIQGKKKVPTPKQPGYIKRARAAGPLYSSIAFLCNPKVGQVFYCDCCQMTDYRGFSLCGIKKDGVCLCATKKFEPPSLPSSNFIALSTPFRPFFQYYQWEDEMVDTGVQTPHAPTPIHAVPLMAVAPPGGFPTAAPLAIMQEGSQAARIVGNAQVGNRVMQ >ORGLA12G0070900.1 pep chromosome:AGI1.1:12:6396122:6397837:1 gene:ORGLA12G0070900 transcript:ORGLA12G0070900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGVREMRRFERMTPERRAEYLLGMAGVLTLVTSIVLLLSGSTYGYGPKVCTGRGVFFSPTIALGLQLMAAFILGTCGQRYGDECLFGCYLLGLLITFPLLLAFIIFGYVAVGGMDLGGGSVREYNLEEXSGWLRGRVADPHYWETTSTCLRDGNVCSGMTRLVRDPDTGIFVPELSPYERWLKEHGIKKGVHVMSPIESGCCKPPTTCGFTYVNGTTWIPTPAAAGAPAAATNVDCSRWSNNQQTLCFQCDSCKAGFLDDIKKAWSFDALYPILALVGAFLSCFAGVKYWRPRLDTGYSLIRERAVA >ORGLA12G0070800.1 pep chromosome:AGI1.1:12:6386644:6387401:1 gene:ORGLA12G0070800 transcript:ORGLA12G0070800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLVPLDPTCWEGGEKRLRARCFSVGARGGGNAISGLGVDVGQAGSGSVGARGGDDGVAWLAGDVGARRGREEKEKNRPWEKRDGRQGLHVSEVETDILGNTKLQCPLTAHLISPQIPPPSPFANFFASFSVDLLHKSQISPIIGCLNLQYDMNRC >ORGLA12G0070700.1 pep chromosome:AGI1.1:12:6381580:6381888:1 gene:ORGLA12G0070700 transcript:ORGLA12G0070700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPRCGGCGLALHGPVSLICTGVGGERGEAATGVWEPWSSAVRERGRALRAISSLRPLVGDQGGAPPAIAALLESVAGKFSAPTTRFLRNGEGDEKQKSEK >ORGLA12G0070600.1 pep chromosome:AGI1.1:12:6350429:6351123:1 gene:ORGLA12G0070600 transcript:ORGLA12G0070600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAFPLSLFRRPPTMEPPTTSPSSASTALVSRRSPSPTPAPSAASATASSCLLLALEEGVGAPALRSADVDLGQERMGSTSRRQRLEEVVVAAEAEVEADKGVGEEGRGLEGAGGTARTWXRRHRLQRRDERSRHQDRRAVLRVVELPRGRSLCGSGTVLGRKGGENEEEERVEADMRGSTVIRLE >ORGLA12G0070500.1 pep chromosome:AGI1.1:12:6348035:6349432:1 gene:ORGLA12G0070500 transcript:ORGLA12G0070500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGATILAAGNWRSXGKLDITRLWGVEDGEDEIPKGALWSKVEGADEGMKEQMKSLKVLYERPKCVEGRRLRRRQMMSGLGDGQFVAVLAVLLILWSRDTQQCWLGTDNDKDAHELRNDVAPRVKDVLAVLAVCHEALRPDLPKPCNQWGFKPKTSSLSGRSPHGKDYEAKTAKGGGSRWYPTRLKASWAKTVWRRMYGEGRWLRHNKFNLKEAQEAI >ORGLA12G0070400.1 pep chromosome:AGI1.1:12:6334275:6338099:-1 gene:ORGLA12G0070400 transcript:ORGLA12G0070400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGIAKMKEDQAEEAGFEARAAGGRREPRQPDLFYAFSSSPNLLLXRPKLLSRCSRLARTPQPKQNFRPSPHHLSSSSPRFHAREVPDEPLRARARRHSCKEAGDRRGIGRQSESPFELELARHQCEESRCERVREWLMMSPSELELARPSCGGGRDNRRGWGHDWEQLDCSSRFRIIQGITQGIIYLHTHSGKPTIVHLDLKPDNILLDSDMNPKIGDFGLAKVLKDDEVNASVRGTLGYMPPEYIVEGVISVKNDVYGFGVTLLETISGMSDSGRDARHQASIEWAWNVRLSGGIHKLFYPSLCDESQLKEIKRCMEIGLLCTQNKPSDRPTMPDVLEMLHGKKKVPTPKQPGYIKRVRAAGRHKQV >ORGLA12G0070300.1 pep chromosome:AGI1.1:12:6323750:6324966:-1 gene:ORGLA12G0070300 transcript:ORGLA12G0070300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGKERDTSANAPRREKYAQCVDQARLSPASHLIYTCINCVNLVFNCRQQTPLLRCPSPANLYLPQGSSSPATLEHPPLCCHPRKGVAAPHHTKPVARCIVSTMARRQAFMVTLRAARSMAMHRLQGLFPLQHLDAPPFIPSRHQPSCLMSRVPTIATG >ORGLA12G0070200.1 pep chromosome:AGI1.1:12:6317072:6317539:1 gene:ORGLA12G0070200 transcript:ORGLA12G0070200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDKLTYFSQFFWLYLFLFSFYIFLLNNNNGIFGISRILKLRNQLLSHRRNKIRFKDPKNLEDILRKGFSTGLSYIYSSLSEVSQWCKTVDYLGKRRKISLISDFGEISGSRGMERQILYLILKSSYNTSSSQITCCKKIMLTHVPHGQGSII >ORGLA12G0070100.1 pep chromosome:AGI1.1:12:6312094:6313027:-1 gene:ORGLA12G0070100 transcript:ORGLA12G0070100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPATLQYLAPYKALPEYFMYWHTLIIYDHLSKQAQAYRQMSLLLRRPPGCETFPGDVFYLHSRLLERAAKLNSLLGEESMTALPIVETQSGDISAYIPTNVICITVGQIFLSADLFKAGIRPAINVGISVSRVGSAAQIKAMKQVAGKSKLELAQFAELQAFAQFASALDKISXNQLARGRRLRELLKQSQSNPLPVEEQIATIYTGTGGYLDSLEIGQADLLKGPQKKIYFRKRAS >ORGLA12G0070000.1 pep chromosome:AGI1.1:12:6309602:6311029:1 gene:ORGLA12G0070000 transcript:ORGLA12G0070000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1R594] MADPSKLQITPCGMLVQGNQINFTKLYLHHTPAGPKPNQSTVTSNDKKTGLGSIVVNNWPVYDGIGSDAKLVAYAKGLHVYAGAWHNSFSLVFEDERLKGSTLQVMGLIVEEGDWAIVGGTGQFAMATGVILKKMQEQKQDGNIIELTIHGFCPLLKGSQMQCLVTKIGPWGSSHEGTVQDITESPKRLESITLYLGWSVDSISFTYLDHAGEKHKAGPWGGPGGDPIMIEFGSSEFLKEVSGTFGPYEGSTVIRSINFITNKQTYGPFGRQEGTPFSVPAQNNSSVVGFFGRSGKYINAVGVYVQPI >ORGLA12G0069900.1 pep chromosome:AGI1.1:12:6294139:6296514:1 gene:ORGLA12G0069900 transcript:ORGLA12G0069900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVFGILCKIGSILGSHLTQALVSHLGKEVSVLIEVESIVKQIRSEFRLMQSFLQDGQEKESSSRQAETFLQEVQQIAFEVEDILDEFVYYFGRKETPSVELLKNFFRKSESVMPLRRIAAELKEVQNRLQNIRNLKLQYNIDLSEESASSIRYEDSKGHTLHHIMHNKKLVGFVNERQKLQELLMANERSCSIISIWGMGGSGKTTLVKTVSESKASKNRFDCQIWVTVSQTYDITEIMRKIIQCALKDTCSADLGSMSSEGVVLMLQETLQGRTYMMVLDDVWDTNVWFSLEGFLDESSIRSKVVITTRINDVASLAEDKRRLQLRGLDEAESWDLFCMWAFRHGEDQTCPPAMDRVARQIVGRCEGLPLAITAVGNLLSFKRLDLMEWEKFYNQLNWELHNRLDNQGLSMVTRLLGLSYKHLPVHLKNCFLLCSTFPEDYMIRGKRLCKLLVVEGLVEPRKNMTLEEIAMEYIEKLVDRCLLQVARRNKLGRVWELQMHDIIRELAISISEKEGFCMIHNKAQRSVVECEPRRLSIHENSVRVQLSINASRVRSFYQFDIDCSSVSKVQWVSRTARYLKVLELGSVPIRKLPRDIGNLFNLHYLGLRRTKIKQLPESIDRLQNLRTLDIFLTEIASLPRGVTRLRMLRHLIAGKAVASYFGLEDVFTGVKVPNGLWRSLDLNVLTGISASSNLVEQLASFTQLRSLKLTDVKNIHYTKLFASIRKMQLLKNLLIGTANSDEYVSLEALDPAPQNLEILFVKGRLHDRVICSDLFEANRLTLMEFS >ORGLA12G0069800.1 pep chromosome:AGI1.1:12:6286345:6286512:1 gene:ORGLA12G0069800 transcript:ORGLA12G0069800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKPQGERSCPSRLQEIFLFGSWDQLGIVILEMTHLNNVLQPNLNNFWGCHVR >ORGLA12G0069700.1 pep chromosome:AGI1.1:12:6242412:6243739:-1 gene:ORGLA12G0069700 transcript:ORGLA12G0069700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEQNVCNADFSPKVITKLAPKGWQSGTTSTGSDYPYPCPRGNSCPRVYPXLHDEQGTLPMPVAHRRHVPTGMPVYPSQTHSSTFQPQISTSRETLQQFKERVQLYQVQQYKHYKFINTRWWHLY >ORGLA12G0069600.1 pep chromosome:AGI1.1:12:6237758:6237919:1 gene:ORGLA12G0069600 transcript:ORGLA12G0069600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKEPVHSHLTVGLASLALLSDGRAAAALLTVGFAAVPVSCPWVASPLLFSP >ORGLA12G0069500.1 pep chromosome:AGI1.1:12:6235316:6235564:1 gene:ORGLA12G0069500 transcript:ORGLA12G0069500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRAAADGARRRLRRQLSMPGSGRPQQQTKEASAALVRLPCNKGKASRFKRSCFSEEDDAASAAMLLLACVVCAPSLPLIN >ORGLA12G0069400.1 pep chromosome:AGI1.1:12:6232565:6232985:1 gene:ORGLA12G0069400 transcript:ORGLA12G0069400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAGSRASRQTSCARXQAHDSGRMWKVMVTGPNLDPVEAEAPTRIWRRRPSSARISVDPTAGTAGTAVAADGRARARAGAAAGVLWGGVAMPANPATATTRALVSASKGVPPARQNSDFR >ORGLA12G0069300.1 pep chromosome:AGI1.1:12:6197228:6202040:1 gene:ORGLA12G0069300 transcript:ORGLA12G0069300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGSLLDQARHAMRSWVLKNIEKLAGHYGAEFNSLRAFEDRVFNETSSIDDYISKISTKMAILERPQSSSGIQHQGQMGNQTRLPTTVMQEQPQPQQQPHLMSNNHHQTHGGWQSNVARVQMITGVGEVNWREEMFQKISVLKNSFFSELTDFDRLLHNCQKTEEQLQSLPKKQADQYKRITKLKDAVRSALDLLQLQKSSIDEGMKVKFCKYESSIHSLLRFYRETKAKINEMNANRHNNQQEQPAGLPRQRITDRTPSSARRQNRTDNVIGQSEDKLRCRVESVVAKKKPIDRLINALRHSVEDDRTDVKRQKTRHVNSALANEIDAMNAKLIDTVVRIAGEKDGGTEIEFSYTAVSLAPDMKQLFAAYGTSPVKPVKLFVPADYPRSSPVVSNNNDDGDEQRRGMFGEISGMVSAAFHCALRELPPSMSVKQMASEWNSCVQMIMKKFAIRHGGGTFSSRHGQWMDCTVE >ORGLA12G0069200.1 pep chromosome:AGI1.1:12:6193973:6194350:1 gene:ORGLA12G0069200 transcript:ORGLA12G0069200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLTGRDGGGGSAGHRRCHRSLVVDGGNSGLDSDGGCGSGGRRRDDEAWRGGDASRHWLRPLDWLGFCGWSWRRQRTSYHVPSRPPPSIYMAQGDGGPPANGLGAPDQDAGQGPLVPLGSRGGRSI >ORGLA12G0069100.1 pep chromosome:AGI1.1:12:6177830:6181143:-1 gene:ORGLA12G0069100 transcript:ORGLA12G0069100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAFRTGSPKKHELKSKQKLEKKLSFYTKVKDAVTSLNATKTICKKSKQRSRQKKLKAYDLSMLSEFLPETDASNLHTEAKLNCKSKQALVQREAAQLNAVLTNPQFQLDPFAAIHQHLLSTQPPSARKESNSAKQGKDPKDKKRKKNKKKNASSASEAMDI >ORGLA12G0069000.1 pep chromosome:AGI1.1:12:6174422:6177016:1 gene:ORGLA12G0069000 transcript:ORGLA12G0069000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSAMNTSRAEEKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPFAMSELGWGPGVAAMIMSWVITLYTLWQMVEMHECVPGRRFDRYHELGQHAFGDKLGLWIVVPQQLVVEVGVCIVYMVTGGKSLKKFHDLVAPPSAPPIRTSYFIVIFGCLHLVLSQLPNFNSISGVSLAAAVMSLSYSTIAWAASLHHHNHNNGAAAGGVDYSLTAATPAGRTFNFLSALGDVAFAYAGHNVVLEIQATIPSTAERPSKGPMWRGVVLAYGVVAVCYLPVAFAGYYVFGNAVDDNVLITLERPAWLIAAANMFVVVHVVGSYQIYAMPVFDMLETFLVKKLRFKPGMPLRLIARSLYVLFTMFVAIAVPFFGGLLGFFGGFAFAPTTYFLPCIMWLSIMKPKRFGLSWCINWFCIIIGVLLSVFAPIGGLRSIIVNAQSYKFFS >ORGLA12G0068900.1 pep chromosome:AGI1.1:12:6172324:6173654:1 gene:ORGLA12G0068900 transcript:ORGLA12G0068900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRIEGDARRQRRDEGNDKNYGEPAPLTALAGQRTXGTAANREGSADKHGGTVSGVSTCRSGIGERRRSEWGCGARLREKSGDTQDRLQREAALLKTVVTDFYNTRFG >ORGLA12G0068800.1 pep chromosome:AGI1.1:12:6170071:6170463:-1 gene:ORGLA12G0068800 transcript:ORGLA12G0068800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRRVLKHGVIPRDAAQVVGIRGFAIASKAKKGGKGAADAAKTPVLSKELKSTTVFGANILKEGSDPKLQPDSEYPEWLWHLLDKRPMLSELRRKDAKTLPYEDLKRFVKLVNRARIKEQNALTAKN >ORGLA12G0068700.1 pep chromosome:AGI1.1:12:6163113:6168475:1 gene:ORGLA12G0068700 transcript:ORGLA12G0068700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTSQLGAMACGAAPSTSPLAARRSGQLFVGRKPAAASVQMRVPRAGRARGVAMRVACEKVVGIDLGTTNSAVAAMEGGKPTVITNAEGQRTTPSVVAYTKGGERLVGQIAKRQAVVNPENTFFSVKRFIGRKMAEVDDEAKQVSYHVVRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKITKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKKVVDWLASNFKKDEGIDLLKDKQALQRLTEAAEKAKMELSTLTQTNISLPFITATADGPKHIETTLSRAKFEELCSDLIDRLKTPVTNALRDAKLSVDNLDEVILVGGSTRIPSVQELVKKITGKDPNVTVNPDEVVSLGAAVQGGVLAGDVKDVVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAIDKGTGKKQDITITGASTLPKDEVERMVEEADKFAQEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAPVKEKVDAKLNELKEAIAGGSTQSMKDAMAALNEEVMQIGQAMYNQQPNAGTAGPTPGADAGPTSSGGKGPNDGDVIDADFTDSN >ORGLA12G0068600.1 pep chromosome:AGI1.1:12:6121896:6122276:1 gene:ORGLA12G0068600 transcript:ORGLA12G0068600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWRVAAAGGLLLILLVAQQASAQAAEKVISVSAVVQPNTKKKPPHQQPKIRKCTEAQKQDILHECRGYVTAGSHIILPDLHSACCDAARSVQNLDMDCIVDLLTSEERSRYNANKIKHLNEMCRP >ORGLA12G0068500.1 pep chromosome:AGI1.1:12:6113267:6113560:-1 gene:ORGLA12G0068500 transcript:ORGLA12G0068500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVYKLTVIYIFFSLDVTFIICICILSAITFRIFLFFLFLFKQIIFRMCYWFCFNGFTFDSIFTENRREVTIINCSVIQCSRDMLILLACFRTRLI >ORGLA12G0068400.1 pep chromosome:AGI1.1:12:6097627:6102311:-1 gene:ORGLA12G0068400 transcript:ORGLA12G0068400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha 2 [Source:Projected from Arabidopsis thaliana (AT1G67630) TAIR;Acc:AT1G67630] MEEEIRAEFESNGFSIGGADPGAAAEILSTLLTYCINYKMSPADLVSNWEVYYLNRQLNGLKLESSYLDGFLSHLQNEVKDRIIKEETSLHIYSSNDVDMLLSSTHTDEERFLDTPSTKQEKPNGESCNSELTPLTTDRPSSSRLAKTNGDRITPFSQRVNKFTQQYVLNSDNVASVPSKDEIESTEDEVIRRVQPSKRCTLQVQRSQPEPGCRFMYDRIEDRFNYLEDRIRRSGSLFSASGLCGEPADATLASEESMFSVGMVICDGEGHLNEKSILLQGSVEHSRGQRVRLDLKDVDRFSLFPGQVVGIQGHNPSGHCFVVSKLNDSIPNFVDAQLPRAKKQAVDSEGNQSSDVVSRVLSSVIAAGPYTTSDNLLFEPLQELISYASRKQPQLLILMGPFIDSDHPEIKKGAVDQSFQDIFLFEILRKLQDFTQYLGHNVRVILIPSVRDAHHDFVFPQPAFDLNLPEDITHQITCLANPSLFSCNEIHFGCCTMDILKHLSGEEISRKPPGEKHGDRIGRICTHILKQQSYYPLYPPPAGVPLDFSLANGALEIASAPDVLLLPSDLAPFVKVLSLDESSEEPKRFICMNPGRLAKGIGGGTFVELNYNEDTDKTSASLIRI >ORGLA12G0068300.1 pep chromosome:AGI1.1:12:6095312:6096088:1 gene:ORGLA12G0068300 transcript:ORGLA12G0068300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAEAAAESMFLPFLAMFAAIYLVGYLVVFRRWGGAQRAEASSCFASLFHGTPAAALALRAVLSNPRGCCVAAGDLAAPNTRADELALDFSTAYFAVDLAHYLVLLPGERLFVAHHLATLYVLATCRAAARRGACALLAMEVLAEATSLAQNLWTLAGMRRAGSPAAAAAHAWLSLPFYAAYTAMRAVLGPAWFVRMVRFYYASDGGGGGEALPAWARASWTVVIGAGIVVSVLWVSNLWLEFFRENKRKESSKEQ >ORGLA12G0068200.1 pep chromosome:AGI1.1:12:6091368:6094294:1 gene:ORGLA12G0068200 transcript:ORGLA12G0068200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAYYAGLVAGTPPPSCRPGRLRWCQPPANNLVSSGRRSHQAIRVTNGVNMDSRAKLAAPVAVVTGASRGIGRAIAVALGKAGCKVIVNYAKSGMEAEEVCREIEESGGTAITFSADVSIEAEVESMMRAAIDTWGTLDVLVNNAGITRDALLMRMKRTQWQEVVDVNLTGVYLCAQAAAGVMMMKKKGRIINITSVSGIIGNIGQANYCAAKAGVIGLTKAMAREYGSRNINVNAVAPGWVTSDMTTKLGDNVERKALETIPLGRFGKPEEIAGLVEFLAVHPAASYITGQVLPVDGGLSI >ORGLA12G0068100.1 pep chromosome:AGI1.1:12:6084849:6085217:-1 gene:ORGLA12G0068100 transcript:ORGLA12G0068100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHPPGRRLLLPPRRVLPLCPRRARRCVPAPRPAGLGEEARCGCRRRLPPGGAPRRGAPRQRRQVRRRAVIRQGGRGDGRGVPPLPRRQHPAIGDAPRARPHRVRRLLPLRRHCAPAADHAAAV >ORGLA12G0068000.1 pep chromosome:AGI1.1:12:6075116:6076132:1 gene:ORGLA12G0068000 transcript:ORGLA12G0068000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGGCCLAPRYGAASAGAGGQAAMAWQMGRIMLKFRPIAPKPAAMAPAPTPAPVAGVGAGKGKRKAVSGSGGGRRGRKPKKAATVATLAAAHAPAPTPSVAGKTVPKVVGHCKEMEREKEKEKSLSSPSSSSSGMTSVESSPPPPPSAMLPLLPVRPLDTTMTTQAAAPGEQLPPPVAPAHAAAQSVVVAPPPRALLPAAAVVTVEDVTSVWRDGGSGAARAGDDGDGAPAFVSDQWGRVTWKNAAFHRAVAPDAAAPDQARVALAAKDGDAAAAVPAWGTCAGFTCRVRVHPSPSSPRRGSVVAPCDVWRLDAGGCYLWRLDLQAALSLSLGALP >ORGLA12G0067900.1 pep chromosome:AGI1.1:12:6063420:6063908:1 gene:ORGLA12G0067900 transcript:ORGLA12G0067900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKKTNLGCRNRLAEKGLRGAVDLEQEAEVTEDGGAVPDLDREAEDRQLVEAYNALADGVARSGWEGRSVGARGRGPGQRRRIATGRGRRRRRRRGRGRRKAAAGGGRRSSHRAPTAVGSPTKKTLFYCPWAKPHSELQCPAHRNPYFIWPNPTLPLFLPI >ORGLA12G0067800.1 pep chromosome:AGI1.1:12:6007042:6007551:-1 gene:ORGLA12G0067800 transcript:ORGLA12G0067800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVIALAFLLLLTISLSKSNAARVIKYNGGGSGGGGGGGGGGGGAGGGNGSGSGSGYGYDYGRGGEQSGGGQGSGGGGGGGGGGGGGGNGSGSGSGYGYGYGQGNGGAQGQGSSGGGGGGGGGGGGGSGQGSGSGYGYGYGKGGGGGGGGGGGRGGGGGSGYVGKHE >ORGLA12G0067700.1 pep chromosome:AGI1.1:12:5992543:5996961:-1 gene:ORGLA12G0067700 transcript:ORGLA12G0067700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lojap-related protein [Source:Projected from Arabidopsis thaliana (AT1G67620) TAIR;Acc:AT1G67620] MLSAARSGALARWHPRETLLPRLLSSSSAAAGAASLPARQAALLELPEVEKVLRDVRAGDVRVFPVGEGGLHGGSCADYMVVATGRSDWHVRNIAQALLYKIKQKQKGSDRILMPSVEGQQAGKWIVIDSGSIIIHALEERAREYYDLESIWTKEVSPNISVQELETSLVKTRRRDHSQKPMKSI >ORGLA12G0067600.1 pep chromosome:AGI1.1:12:5956267:5960999:-1 gene:ORGLA12G0067600 transcript:ORGLA12G0067600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRVSHRHETINAGGGDDDDQACMYALELLGGSVVSMTLKAAIELGLVDELLAAAGAAVTAEELAARLRLPAAAAGAAVDRMLRLLASYGVVRCATEAGPDGKARRSYAAAPVCKWLAAGSSGEGSMAPLGLLNLDKVFMENWYYLKEAVSEGGTAFDKAYGMPMFQYLAQDGNEPSNTLFNQAMASHSVVITNKLLQFFRGFDDGAGVDVLVDVGGGTGATLRMITARHPHLRGVNYDLPHVIAQAPPVEGVEHVGGSMFDHVPSGSAILLKWILHLWGDEECVKILKNCYKALPAKGKVILVEYVLPASPEATLAAQEAFRLDVMMLNRLAGGKERTQQEFTDLAVDAGFSGDCKPTYIFTNVWALEFTK >ORGLA12G0067500.1 pep chromosome:AGI1.1:12:5932343:5932828:-1 gene:ORGLA12G0067500 transcript:ORGLA12G0067500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNGGGVDPPQWPEEEEDEEDAAAGSSCRVTATNGHHHQQQLVVSGELEGGGGGGREKEDDELKRKWAAIERLPTADRLRLSLLSSTRGGGSNGDVSEGGGGAASSELEVVDVRGLGAAERRAVVQRLVADVKHDHVRMLRKQRERMERXRSNTYIFFS >ORGLA12G0067400.1 pep chromosome:AGI1.1:12:5911627:5923385:-1 gene:ORGLA12G0067400 transcript:ORGLA12G0067400.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVQILENVSGIIKPSRITLLLGPPGCGKTTLLKALTGRLNKSLKETGEIEYNGVKLDQFVPAKTSAYVSQYDLHVADMTVRETLDFSARFQGVGSRAEIMKEVIKKEKEAGITPDPDIDAYMKAISMEGLQRSMQTDYIMKIMGLDKCADVKVGNAMRRGISGGEMKRLTTGEMIVGPCKVLLMDEISTGLDSSTTFQIVSCLQQLAHISEYTILVSLLQPAPETYDLFDDIILMGEGKVVYHGPKNLIMTFFESCGFKCPERKGPADFLQEVLSKKDQQQYWSRSEQWYNFITVDQFCDKFKASQVGQSLAEDLSKLYEKSKANKNALSCSIYSLSKWHLLKACFDRELLLMKRNAFLHITKAVQLGLLAIITGTVFFHTHKNFDIVSANYYMGSLFYALILLMVNGIPELVMSISRLPVFYKHRDHYLYPGWAYAIPAFILKIPASLVAALSWTSISYYLIGYTPEAPRYFRQLLVLFLVHTGALSLYRCVGSYCQTIAVGPIAATMSLLVILLFGGFLIPRPSMPNWLKWGFWLSPLSYAEIGLTGNEFLTPRWLKITISGVTIGRRILIDRGLDFSVYFYWISVAALIGFILLYNIGFAIGLTIKQWASQAIISNDKIRICHGRDQEKSKDIKIGMRRMALPFTPLTISFRDVNYYIDTPPEMRKKGYMGRKLQLLRNITGAFQPGILSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKVQQTFSRISGYCEQNDVHSPQITVGESVAYSAWLRLPAEIDTKTRKEFVDEVLEIIELDEIRDALVGTPGVNGLSREQRKRLTIAVELVSNPSIVFMDEPTSGLDARAAAIAMRAVKNVAETGRTVVCTIHQPSIEIFEAFDELMLIKRGGELIYAGPLGQHSCMVIQYFQSIPGVPKIKDNYNPSTWMLEVTSTSMEAQLGVDFAQIYTGSSICKDKDELIKGFSMPPPGTSDLHFPTRFPQKFLEQFKACLWKQFLSHWRTPSYNLVRIVFMAFSSIIFGVLYWQQGNIRHINDQQGLFTILGCMYGITIFTGINNSQSAMPFVAVERSVMYRERFAGMYSPWAYSFAQVAMEIPYVLMLALLFMLIAYPTIGYAWTAAKFCWFFYTMFWTLLYFVYFGMLIVSITPNLQVASIYASSFYMTQHLLSGFVVPPSQIPKWWIWLYYISPMSWTLNLLFTTQFGFADSSNILVFGETKPIAAFVRDYFGFHRELLPLSAIILAAYPVLFAILYGYSISRFNFQKR >ORGLA12G0067300.1 pep chromosome:AGI1.1:12:5909085:5909528:1 gene:ORGLA12G0067300 transcript:ORGLA12G0067300.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLNPSFCGRRTKWRMQRLPQVVGTSSWAAHRQGLSMQLKAGELEEDDGPPT >ORGLA12G0067200.1 pep chromosome:AGI1.1:12:5904449:5904649:1 gene:ORGLA12G0067200 transcript:ORGLA12G0067200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGKPRRYSESKGILGEGISGELQRLCHDEFGIKEAQQATPGLLGPFAIDQAQPISPLKAYMCK >ORGLA12G0067100.1 pep chromosome:AGI1.1:12:5870956:5879142:-1 gene:ORGLA12G0067100 transcript:ORGLA12G0067100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSTRRSPSRETFLRRVNSFGNALPAKPKDEDLTLFADMQKIENDNFLLEPSEDFDESISKLSYFPDVKLGVNIPTRRESHDLLDVDGDKNDYEWLLTPPETPLFRSLDDEEEQSAGQDSRGRTKSKPIRISGSSTVDNTQRSSRSSASPIRLSPSPRSMSRTRPSSAASRSSPPFALQPPTPSRRPSTPPSAKTLTPPRRSPSPASTLTPPRRSPSPASRRMSTGSTPALNRTRGPSPVKTNRISSSPKLQGWHSNVPGFSHDAPANLRTSLPDRPVSHSRGGSPSPPISGRDMGSRGRRQSLSPTPSRRASSSHSIERDRLSSYSKASATSSGEDDLDSMQSLPVAYSTSPAVKKSLSMMKTRTIASSKKPSTTFSTSSVPKRSFDSAVWLMDHRKAPNDMFRPLLSSVPTTTFNAGKGNVVQRPMFSHNSYMTTSSNASSEHSASFGPYVDNDQEQHDQISEWDDNHQVHGDIFMFDKLDELNEETSHEETTKFVESDRQDIDMEKGWAASQTSGTNSSHVGYGEMATCTRCGNVFKVMDVDRQGDYCEECGLLLSICSAGPVTQTLQEAHQQDEITANCKSYAESGTSIASDCVEYREEASLGHQFNDEPPADCIKACSPLQSMVDTNEEMLLAHEVMNHTKNIKPYHVDDSLRNNNDISFHPFKVSDNQQASAEHEHFRDQINSHSESLPQCLPELNRQHNDSISQTASGDNYQLGSTAYASPKVENTDATGISVLLLQKSSSNKWPVVEGRTLSATNILCSEPYYTRDSISAMRRSFGRDSSSATSSIDLGSSRQSDVRFERLRSGKRGDFEKARMSSTMSHQSVASVSDMSISGSSASLCPQSDVIGDTCFPIDTLERSASRTTVSIEEHDSSCMDALSSGMECSSAVQPIINDEILVDLNTSGFHRLSETEDILIKNHNMEMVADNDHLSTNLCLSDIEMPSDALESSAAEESYIPKTEEDTSTKAHCYTISTLEHPSDENNFDDLQMQSEAVQSSNEENKSNGCCTLAVSEDDVLVSGTDTNIKELPNDAESPEAVEGSRKEIQRCFTLEEATDTILLCSSIVHDLAYKAATIALDHEQERVHAEPTRPSVTIVGKSIPKEDGLLKLTHRRTPNRKVKRKRLEGETTITENAEKKDDISTDHSPVRSSSGITRTSESMKPPKLESKCNCIIM >ORGLA12G0067000.1 pep chromosome:AGI1.1:12:5860400:5866373:-1 gene:ORGLA12G0067000 transcript:ORGLA12G0067000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSLRRSPSKEISHRRGHSFGSTEPAKPKDDELTLFNDMRKNEEDNFLLESSDNFDETISKLSYFPDLKLGVNIARREESRDFLNTDGDKNDYDWLLTPPETPLFRSLDDDEDKLAGPAPRGRAQTKPISISRSSTMENAQRSSRSSASPNRLSLSPRSSSSNTALTRTRSTNSSSRCSPPLSLQSSTPSRRSPTPPGNKTLTPPRRSPSPASRRMSATSSGPTLNGTRGASPVKTNRRSSSPKFQGWQSSDPGFSFDAPPNLRTSMSDRPLSRSRGGSPSSFSGLNMVSRGRRQSMSPTPSRRTISSHSTERDRFSSYSKASATSSGEDDLDSMQSVPIDYSSSPAVKKSLAVMKTRTIASSQKPSKSFSPSSAPKRSFDSAVWLMDHRKAPQSMFRPLLSSVPTTTFVAGKGNVVHRPTFSHISSVTTSSNASSEHGATFSPCVDIDHERHDLVGQWETNDSSRIHEEIFMFDKSDELNEGSNCHQHSLSTTCSGLENSSGRVNCVESTKEGLDMKSRRTADQISCGFASSSEVGDGEMATCTRCGKVFNVMYLSGDNYCEECDFGDGIFSAGSKIQTTEGLHQKDHKFTHSKVCIPSEDRRPIALDCVEDSSDVSLDHQLVSNEPPADYLQRYSTESVVHTNEEKMLGKHLMNLKENISPHDIGDSSMGNSNDISPHTCSVSDYQEAEAAHVTEYKLFRLQKGNQNHEMAQCLSESDCQHNGFISGMVASGSHELGSTGPPSLKVENTEGNGISVLLLEETRSKKWPIVEGRTLVTTNIHCLEPYYTADSVSLMKRSIGRDSSSAASSIDLGPSGQSDVRFERLRSGKKGDFEKSQISCQSIASVSDMSISGSSASHYPQSYVNGDGCHPIYSLETSALTAAVFTEEHDGSCKEALSSAIECWSVAQAIVNDDCEVEDEVTQNQDKERMACDDNLGVDMCSSYTEVPSDMPQSPAADGSFIEKTENDSQEVPAIADYSVATPEHPCDENNSDIPRILSETVAASDDESKLDDCGVSSVPEEGALVSARDETKDEGRRKQFQRCFTLEEATDTILFCSSIVHDLAYKAATVGLEREQEAEFAHAPRPTVTMVEKFIPREDGLLRGPQRRTTRRKVERKIPEGDGESITDTARTEVITKEPAPVRSSSEITTFDSLKPPKMESKCNCTIM >ORGLA12G0066900.1 pep chromosome:AGI1.1:12:5846473:5850894:1 gene:ORGLA12G0066900 transcript:ORGLA12G0066900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGGLWRPRVESRGTFRRRLLTVTAGCFLFFIVFLLSSRHDAIVLLDTRLGVGRPTAASLSSPAAADTLPDAGSAGRRNHEAPSSPAFSSGVRETVTGVETNDDGAERGAEPAERDNAAPAAAAAISGGDQEAQPGTAAVDAAPGHDKSLRTAAATGTSPPRHEQPGETTTRADSVDQPRHPLCDFSDHRTDVCDLAGDIRMDANASAFVVVVDPAVGADGPTYKVRPYPRKGDATSMGRVTEITVRTTAAAAPPPRCTTTHAAPAVVFSISGYTGNLFHDFTDVIVPLYNTAARYRGDVQLVVTDGNAATRRWLARYGAVLRGLSRHAPLDLAAEAAAGGGEVHCFGHTVVGLRAHGELIIDRERSPDGLGMPDFTRFLRRALSLPRDAPTRPGGGHGDATKPQPLPRLLIISRRGTRLLLNTDAVARAAEEVGFEAVASELDMAGADHDDVARVARLVNSFDAVVGVHGAGLTNMVFLPPGAAAVQIVPWGGLRWLAQADFGEPAVAMGLRYIQYEVAAGESTLKDKYPRDHEIFTNPTALHKKGFTFMRHTFLNGQDIIVDIDRFKPVLLRALNSLAR >ORGLA12G0066800.1 pep chromosome:AGI1.1:12:5844695:5845280:-1 gene:ORGLA12G0066800 transcript:ORGLA12G0066800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLPRQCSDRCVPEAQKWWICMPKTQQTVVPSARGPKASDQVLPRQHSDRSACPRPKNGGSACPRPDGRIPLTSPSSRPSSLLLLSLSLRSMSLWPSPTTASRQAY >ORGLA12G0066700.1 pep chromosome:AGI1.1:12:5838523:5839211:1 gene:ORGLA12G0066700 transcript:ORGLA12G0066700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGGDTDARCPQEVLGTGSMAVSIVAKNGALLASSRKPSVIVDQALGTQAGAVAFQLDGVIGALPGGSLEANALAADRRASDGGRVSEHGDSAELRVRIVLVMQQVGVLAFCGTGSDYYGTGCQAGPCDVSTTNDVSVASIVTPEFFTALVA >ORGLA12G0066600.1 pep chromosome:AGI1.1:12:5836727:5837008:-1 gene:ORGLA12G0066600 transcript:ORGLA12G0066600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFITELSTTITCSSAVVFKGAVMAVVLLLRLVQLPGYLGCLLLRSIRAAVEGAMAAATGDAVAAAADARRGGGTPRRRTAPQRWHSCRPP >ORGLA12G0066500.1 pep chromosome:AGI1.1:12:5819453:5832603:-1 gene:ORGLA12G0066500 transcript:ORGLA12G0066500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:exocyst complex component sec10 [Source:Projected from Arabidopsis thaliana (AT5G12370) TAIR;Acc:AT5G12370] MPSATDPPAALPLTLDLDDFKGDFSFDALFGTLVDELLPEFRGDDAPGAPPPPPPVLGAAPPVFPAVDELLGLFKHSCKELVDLRRQIDKRLQNLKKEVATQDAKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSAESQRETASQTIDLIKYLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVPSAVGSANASRGLEVAVANLQEYCNELENRLLARFDTASQRREMSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVDIMSIDIQVVLGEEGPQADHICIAEGLSVLYKEIADTVRREATTIMAVFPSPNEVMSILVQRVLEQRVTAILDKLLIKPSLANLPPIEEGGLLHYLRVLAVAYDKTKELAKELQSIGCGDLDIEGLTESIFVSHKDEYTEFEQASLRQQYQSKMAELRAEAKQQSESTGTIGRSNGAAVTTSLQQQISVTVVTEFVRWNEEAISRCTLLFSQPATVAANVRSIFACLLDQVSQYLTEGLDRARESLNHAATQRDRYVIGTSVSRRVATAAANAAEAAAAAGESSFRSFMIAVQRCASSVAILQQYFSNTISRLLLPVDGAHPSACEDMGSAVSVVEAAAHKGLLQCIDTVMSEVERLLSSEQKATDYRTPDDGAAPDHRPTNACIRIVAYLSRVLEVAFSALEGLNKQSFLTELGNRLHKGLLNHWQKFTFSPSGGLRLKRDITEYGEFVRSFNAPSIDEKFELLGIMANVFIVAPESLASLFEGTPSIRKDALRFIQLRDDYKTAKIASMLNSIMAE >ORGLA12G0066400.1 pep chromosome:AGI1.1:12:5810491:5813348:1 gene:ORGLA12G0066400 transcript:ORGLA12G0066400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDHENLLSQNMRGYSLDKNKRGLRNSVRLSTCTGRRQSSFWERDCSASVLHGIVFFLYIDSLLCFVYFHYFNISIGGGAGGGGGKDTGGGENVNINGGNNTNNASSSTKAFSGTPFQLVTILFFKQRSPLACPVPMEDLDFQQNSKLPNELYVIVVTYNKGEWRIGRVYDPL >ORGLA12G0066300.1 pep chromosome:AGI1.1:12:5801395:5808792:-1 gene:ORGLA12G0066300 transcript:ORGLA12G0066300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVMMTSDNGKAPEKGGEASGPSSAPQEGEISNEPQRRRPLSGRTTGPTRRSTKGNWTPEEDAILSRAVQTYKGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDDIIVQMVNKLGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINKEAWTQEEEITLIHAHRMYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKVDSYMSSGLLTQVSCLPLNEYSAHCNSSPALTQQNSEDSGSYAVREVENSSVCSQSSLAKVSCSQVHNANVALGCDLQVNANVDNNEAHDSQSSVGHEACYTSVGAVATAIPEVHYHVSSSNFDPDQHLQEEFAQGLNLHMSMDEVPSNSSFADNPTICSIENHERSLEPYDVAMEMPLSMLPSDSGAEQKLHFMSEADFNSPNCLKSELWQDISLQSLLSGPDAVETDSFSRSNHQSDVYSSQADNEFLAPPYLLQTSNSSSVMEATYGQSPQMSVPPSLICSNVMTDVPSDNRSEPKEMTVSQAEMVTQSSSSSGDAEMSANPGSSNGSDIPSMMERIPECADQHVTNAEEPEASIEKEPSVTLSATADEKQDEGALFYEPPRFPSMDVPFVSCDLVTSGDLQEYSPLGIRQLMRSTMNVCTPMRLWGSPTHDESPDILLKSAAKSFICTPSILKKRHRDLVSPIPDKRIEKKSGTEKDCGVSDTSSIGIQTCFINATKDDAVITKSILRIERSASSKPLEKKLEFSDENKENLDNTIEQAKDGQSAGNYKHIDEQARGERRTATNITTTYDDLPGNLQPAGILIEHNGDDLVSPDYGKNTMKQKQNTNMESLSVCKEGVSAKKPAELIVEKSSAYINVDYEYVNILADTPGIKRGLESPSAWKSPWFVDMQFQGSYFVSPANGSYDALGLVKQINVQTAAALAEAREVLASGGQSENINSDKENLENPDAKKEPGATTKLQAKIKTEGKILDFNECATPIRSSDKKAGSSLGRSLSSPIPSSHLLKSFR >ORGLA12G0066200.1 pep chromosome:AGI1.1:12:5799669:5799995:1 gene:ORGLA12G0066200 transcript:ORGLA12G0066200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAHRAPRNTAVVLLMASVGEAGLIAVTTIGVNTEGEGGRVGSLVTSSLLLPAALLIVVIVRVDAEREGWRIKSLITLMFLPSIAAVGEVGFVTVALEIDFKREG >ORGLA12G0066100.1 pep chromosome:AGI1.1:12:5791610:5795583:1 gene:ORGLA12G0066100 transcript:ORGLA12G0066100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMVVSAYKGVIDSVLAKLRELMGGDMCANLIGVSSRDILFLRDELPAMSALLEKLDDAEELDSEAKNWRNQVREMTYDIEDCIDDFSNNITSVDAKTGFLYKASQFLKTCRAHLEAAWQIKELKTRLQEINERRKRYKVGHYISNTTSVTVDPRISAFYKETAGLVGIDSPKRELTKLVMDEETQLKVMSIVGFGGLGKTTLASQVYREVGVQFNCKAFVSVSQKPDMVRLLTSLLLQLKQHPSHACGVQELIDNLREYLMDKRYFIVVDDLWDVPSWNIITCAFPQNNQHSRVIITTRHGDVARTCSSDHGSINNMKPLSAQNSRELFFNRIFGSKDYCPSYLEEVSCKILKKCGGLPLAIVTVASILACQPTRLKEEWEYIQSSLATNKFARKSTLEDMMQILELSYKSLPHHLKACFLYLGAYPEDCVISKVDLIKRWVAEGFVSHSPGQDAWVVAESYFNELVNRSMIQLPYQGYYNEVSHCKVHDMMLDMILMRCKEDNFISVIQDPRAAIEVQDKIRRLTIDLNGAMGDTMDMNITRKVSQVRSLGLFGGSKWIPPLLEFKFLRVLFLEFFLREMIIDLTGINQLSQLRYLKVECKECLMDGDIPSQLSIVLPSQIRRLQHLETLELPWVSECSIPSISGIIDLPRLTHLVLRQHKGGLPDGIGKLKSLRTLHGFNLPVSSLENIDALGELTSLADLSLHCGKQDTKSTTPGWMTALSCSIEKLGNLKGLYVRSNSPSCCADAMSSWFSPPFLNLEKLDLLDWTFSKVPRWIGQLHSLRELALGGKKILQEDVSMIGTMLPFLTHLSLRIVTCNIAVKESRIMIEGSIGFAALRFFCFDSNRMSHLEFGVGAMPQLKRLLLALDPWEWDEATPVGLKHLLYLEEIRVLTASTAVASAGSESMNGKSALVKGVFHDAANALQSRPAFTVLPRIRSLSDHVNCCKINMETVACK >ORGLA12G0066000.1 pep chromosome:AGI1.1:12:5788545:5788814:1 gene:ORGLA12G0066000 transcript:ORGLA12G0066000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAVGGMRASDPAVGRYSGGGSSGXEARGWAGGAWLRVWAGNEAQTAADPVAGRLAVASGLVELGHDYVYGRGLRVRVLRHVGHSKDN >ORGLA12G0065900.1 pep chromosome:AGI1.1:12:5782539:5783156:1 gene:ORGLA12G0065900 transcript:ORGLA12G0065900.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVFLATTTISPSPSSDTPATTIELKAFASYSSVVLETPMSQLGAQGTGNSESTKAAVGEVVYSDEVSTQLVKLATTKVLSTEVAVQLAGTHEVVTNGKEQQQEPVSIAQELQHVSASLLSPVEHVLDCNVQSELFPENSRLAGDGRVASTKNLMAVLATNEDQLSASRAATSKVQDSAQAVGARSLTRRRPRRPVDPIPTRQSER >ORGLA12G0065800.1 pep chromosome:AGI1.1:12:5774658:5774822:1 gene:ORGLA12G0065800 transcript:ORGLA12G0065800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASQLTETWRGQKRDEAGMGDKGDSGIPLISRLNKGVDEDSEDEGGETSDDL >ORGLA12G0065700.1 pep chromosome:AGI1.1:12:5753266:5754139:-1 gene:ORGLA12G0065700 transcript:ORGLA12G0065700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRKQNLISLLCLIDVNFLLKNLFIHEFRMIDRRTFIACAGELCRADVLLNAYGKMLREQCDKLAPGIGTIIKDHAKYANVMVMILTPQMMCFPADVVRKIEEADAAADDAREKVDCSLYYSINRKADKLEELSRLKAGALRKLKSLVCDCSGGQKRSLTEVDDGVLGKKKVKGTPRLIGGAKAKMRSLRQRAPRHCRXCC >ORGLA12G0065600.1 pep chromosome:AGI1.1:12:5738113:5742517:1 gene:ORGLA12G0065600 transcript:ORGLA12G0065600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G14260) TAIR;Acc:AT5G14260] MASSASVSPAAASHHRLLLPCSPRRLPRPRPRPRPRLLRSARPRLVACHADTLLPSSSPAAAAAAACASTASANGFSDWLREHGLPPGKVAILDRPVPCFREGKDLPLHYVAAGQDLEAGDVAFEVPMSLVVTLERVLGDESVAELLTTNKLSELACLALYLMYEKKQGQDSFWYPYIKELDRQRGRGQLAVESPLLWTESELNYLKGSPIKDEVVARDEGIRREYNELDTLWFMAGSLFQQYPFDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYKSNCKAMLTAVGDSVRLVVDRPYKAGEPIIVWCGPQPNSRLLLNYGFIDEDNPYDRIVIEASLNIEDPQFQEKRMVAQRNGKLAIQNFHVCVGKEKETIAEMLPYLRLGYISDPDEMQSILSSEGDTCPVSPCTERAVLDQLVGYLESRLADYPTTLDEDDAMLADGNLEPKKEVATRLVRLEKKLLHGCLQAANEFINDLPDHTVSPCPAPFAPELK >ORGLA12G0065500.1 pep chromosome:AGI1.1:12:5727265:5728123:-1 gene:ORGLA12G0065500 transcript:ORGLA12G0065500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFHVFLSGFWCCCWFHWFMPSTSAARAHHKAVIRRTPSSSHHVNLRSCLVFLAPIALQTKLWSNVEKADRASKTKQHTNHHIQLSLNKHTGSSSTGPNTLHTNCNAIHQYFFPY >ORGLA12G0065400.1 pep chromosome:AGI1.1:12:5715751:5722465:1 gene:ORGLA12G0065400 transcript:ORGLA12G0065400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMCHSCESEDATMDGVDDGLICSTSCYKMCGHADKSSIIDGEIGCPSMEISPCNTPYGTPLFSRESSCSSFASCFSSLNDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQRTVGSVQVEECQISHAAVVDDDSSISIPTNQNISSGQQQLEIHLDATNENSVPSNVIIDANVTDPHQDVISNGGLIEACYGVPLDDIDLKQSNILDGEEITSLPMADNEMTPLNDQIMDQIDDMKEISTIVYNNTISAEQHANSGSEFEKGNESSENLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNDSWVQSSFNISFDAKRNKTSCEDQLQKAMSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWHAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVITKCKPDAILVEKAVSRNVNEYIHKQGVTVVSDMNIRRLERIARCTGSPILLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDRQLLVPCTAAPSSKFCSDIAQNSDPTQHALNILASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDMSLDFAKFASCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTGTRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHNCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGVYPKTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASLDSTIQIKTPENKPKNSEITSVHGDTLSLTNVGMERQEARIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHCEDGGSYEVDKYAHISDSFCLEKLNDLPVKNNELPELARGNEMYPVAKPSKCFDIFLNLFDFLSNDARIWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLVQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVPDA >ORGLA12G0065300.1 pep chromosome:AGI1.1:12:5705291:5705551:1 gene:ORGLA12G0065300 transcript:ORGLA12G0065300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIMLRINLILIYLLGDFLDLSKIGSIEYMFVLLHLCTCNTLKYFNSVLLRVMHWFRNSIYVVSKFLNADNVSLMVQINCSHMSCK >ORGLA12G0065200.1 pep chromosome:AGI1.1:12:5694249:5694722:1 gene:ORGLA12G0065200 transcript:ORGLA12G0065200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRKDLASGAPVREIGRWRGGGRHGRKERESLESSAVEGERATAEVGSERRRDTWLWWRRRGEVDDGAGFVLPLAEKGGAHTRRPWQRWAVEVEEYGTTLQLGKTAAAAAGPWRRRDAVGGGVPMAPVAAPTGEEERGGWLGRLGWASAQLGRQPKKE >ORGLA12G0065100.1 pep chromosome:AGI1.1:12:5687404:5688525:1 gene:ORGLA12G0065100 transcript:ORGLA12G0065100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREASSPPPPPQPPAVVHGAGSSLSGQKRKAAMDDGSGEDDNVPPWLKLSLGPVAYGVATGVVDDDSSSCAPAVTTSIEVRPPVATGVVSGSAAQPSIEHVPADNAVVTPSFVASAAGVLFTGCASGLIPNGAVSVFPCFNFFGPSMSSSSLSHLHQQFSSTRCQSNASMARSSRTRGEDNDMAPSNIAAPNVTNGGGNNNNDGNALPDPPYPWATNEPAKHHSLAELARRDITTIQGDARCRRCDARWMNPVVPNCDDCGHEKCLRPVIAAEKERINWLFLLLGETLGLCTLDQLKYFCVHTNRHRTGAKDRVLFSTYEELCNQLVPGLITRRDQLRMR >ORGLA12G0065000.1 pep chromosome:AGI1.1:12:5674911:5680073:-1 gene:ORGLA12G0065000 transcript:ORGLA12G0065000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60160) TAIR;Acc:AT5G60160] MASAAVAVAPVVSDLVDFLNASPTAFHAVDEAKRRLKAAGFSQLSEREEWAGLQPGRKYFFTRNHSTIVAFAIGAKYAAGNGFHIIGAHTDSPCLKLKPVSKVTKGGYLEVGVQTYGGGLWYTWFDRDLTVAGRVIVREKKDGAVSYAHKLVRVQEPVMRIPTLAIHLDRNISSEGLKINNQNHLVPVLATSVKALIESTSSEESLAHESGVRMVALFDHEEVGSDSAQGAGSPAMLDALSRITGSFNSSNSKLLEKAIQRSFLVSADMAHALHPNYMDKHEENHQPKLHGGLVIKHNANQRYATNAVTAFIFREIAERHHLPIQDFVVRNDMGCGSTIGPILASGVGIRTVDIGAPQLSMHSIREMCAVDDIKHSYEHFKAYFEEFTELDSKVKVDC >ORGLA12G0064900.1 pep chromosome:AGI1.1:12:5667025:5670476:1 gene:ORGLA12G0064900 transcript:ORGLA12G0064900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLEDVPSMELMTELLRRMKCSSKPDKRVILVGPPGCGKGTQSPLIKDEFCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKTSCQKGFILDGFPRTVVQAQKLDEMLAKQGTKIDKVLNFAIDDAILEERITGRWIHPSSGRSYHTKFAPPKTPGLDDVTGEPLIQRKDDTAAVLKSRLEAFHVQTKPVIDYYTKKGIVANLHAEKPPKEVTVEVQKALS >ORGLA12G0064800.1 pep chromosome:AGI1.1:12:5658301:5658998:-1 gene:ORGLA12G0064800 transcript:ORGLA12G0064800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIPVPIPAAAIPVPSHAPFRVPVGSRRDDNSSCRKLYEPRLVAIRPYHRGRDELHAMEQHKWRFLQRAPTVLLSDFVDAMLPMAAISSPLPCGDDTSELSAESKCAMDSAAAGTIITICSAATAHGGIIIVVPYAATAGSNAPAPVPAPDEEVKEEK >ORGLA12G0064700.1 pep chromosome:AGI1.1:12:5650766:5652211:-1 gene:ORGLA12G0064700 transcript:ORGLA12G0064700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVDFEEGWRLLATSLAKQRSIIDGSMSKSSSEDDNMQLYMYVLPSMRQINGETLLKGLVARWRNHKKIVISETRFFFYLDRYYISQKSLVPLEQLNLCSFRDQVYSELKDKITRTVVDMINDERDDKVIDRDLLKDVLDVYVQIGLGMECYEVDFENAFRESTRNYYSNKAQTLILECNGADSPEYMLKAVECLQAELERVSHYLHSSTEPKLMQDLQSELMITPVETHTEEAD >ORGLA12G0064600.1 pep chromosome:AGI1.1:12:5644797:5646150:-1 gene:ORGLA12G0064600 transcript:ORGLA12G0064600.1 gene_biotype:protein_coding transcript_biotype:protein_coding KATLKLQKATFHKTISSSLRRRRKGKNSMVLTSSCSRSICSQALPVVFLSYFVFGSFVCRECVWPIGP >ORGLA12G0064500.1 pep chromosome:AGI1.1:12:5624722:5629429:-1 gene:ORGLA12G0064500 transcript:ORGLA12G0064500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRTKTRKDASFHVDFNIFIQEISPWPPSESLKSLKSVVLFWENGERNSGKTNAVAPSIGSGTTVGKVEFNEFINLQAVFQKEGSSKSGKWQKNLLELNLYEPRRDKLKGQHLGTATLDLAEHAMFHEDTSVPVPLNSKRSLRNNGQPMVYLRIQPLDGDNSSVSSRDALSKEASVDRDSKELMSEYTEDTEFASFTDDEEEEAPYPYRSDGNLRAGSNRSQESLKGKDVRTVGNEGSRSPFDSQREMPSSSTKVRSEEVEKYPIQVQKANGHPGNLSLLSDLPGEQTPSFPAQNALRAGRKMSFAYGMSDSNQRNFGERTYSTLTSDRAKNMRYSMRVPDFSGSVINKKVDSQKEEVKEVDSQDIAVSNDTRTDTYDGAQAQVPIRISNNRNDTKVRELELRVELLEAELRETAAAEIGLYSVIAEHGSSSNKVHTPARRLSRHFIHALKNWSRDKMGSAARSASSGLVLVAKACGYDVARLSFWLSNCVVLRAIVSETSKQSNGNAINNGSKTGPRRNSASMWESLNRKKGKLLSPEFDNWEDVDTFIAALKKIESWIFSRIVESIWWQAFTPHMQSANISSEPMPSSNAKKCYGRITVVGNQQQATLSIDIWKKAFKEASEKLCPVRAAGHECGCLPLLAKLVMEQCIARLDVAMFNAILRESDDEIPTDPMSDPITDPKVLPVPSGKFSFGAGVQLKNAIGSWSRCLSDSFGMDMDDYPEVESGDGDNGAAELRKPFYLLNALSDLLMIPKDVLMETSTRKELCPTFSSSIIKRILDGFVPDDFCPDPVQHSLLEALESEDHLEGNTKGIRAVPCNASPILYPYPASGAILSMIGDPRKSGSAILRKSNTSDDELDELSSPLTFISKASSNPLAKLKQIGNPNSARYRLLHEVWKLDDQ >ORGLA12G0064400.1 pep chromosome:AGI1.1:12:5602686:5610224:1 gene:ORGLA12G0064400 transcript:ORGLA12G0064400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginosuccinate synthase family [Source:Projected from Arabidopsis thaliana (AT4G24830) TAIR;Acc:AT4G24830] MAHALGCGAATAPAGVGLLHHHEKAGALFSAAGSVVRVQQLSGKGSKSQAIRCAMPSASEHGGISTATASSSDQKKGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGDIELEGLEKKAKASGASQLVVKDLKEEFVSEYIYPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFYALNPELKVVAPWREWDITGREDAIEYAKKHNVPIPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSVAPENAPSKPEYLEIGIIAGVPVSINGKDLSPASLLAKLNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMAAAVRELESLTLDRETMQWKDMLALKYAELVYAGRWFDLLRQSIDAFMENITATTTGSVTLKLYKGSVNVASRKSPYSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLEKGI >ORGLA12G0064300.1 pep chromosome:AGI1.1:12:5581755:5582058:1 gene:ORGLA12G0064300 transcript:ORGLA12G0064300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNSGLRKTCFSVSPCTDNTIILPPQHTNLAMLPPASACPWLPAYKKKEYSFPVSVMHLAKKVGGQ >ORGLA12G0064200.1 pep chromosome:AGI1.1:12:5580447:5581059:1 gene:ORGLA12G0064200 transcript:ORGLA12G0064200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGSPPPRLLTRATAVAAPSYCRRHPPLGEGRPAITLSTLLLLRPAPLQRRPRGSREATGGCSLLFSLLSPLFLCRSAEACNNNGRAGEQSRRPGITRSGRTAARFGEGATRSVSLRLIVSVDGGRSFTVIQGRWVC >ORGLA12G0064100.1 pep chromosome:AGI1.1:12:5570009:5571074:-1 gene:ORGLA12G0064100 transcript:ORGLA12G0064100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGVEDPNWRSDPCVYLLPPWCQRPLCLCGDRCQLMASRNPDIRGRRFFRCPNYVRETRTTACAYIEWVDTENPVLDLTTCLQESHWYFASESTEQYLQRKAAYKRQCREQQSDWRVLTIALPPWEARPRCRCGDRCQVLRSINPTTLGRRFFVCPNILDDDFMEPPRRCQYREWIDIRRVLTPPSRVVQLELPEQYRVTKTRFERGEGSSRRDYDRPI >ORGLA12G0064000.1 pep chromosome:AGI1.1:12:5565024:5569148:-1 gene:ORGLA12G0064000 transcript:ORGLA12G0064000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDEQDLCGINVSERGKMNLELELLMKQCRNMAEHYHELEKDLKLHDVLLLLQILPAVRQDLGWIRLYINNAADQDNTQLLHQQWNAQLAINPPAKDLQHILFLTYLPRGPGNQQKVLRETFNNHKWELKETIKSNNTSHVDIRKLLYAKKNKSQKDDVDATFKLNMIELSLSEDPNSLPCIALCLVYQSNKSPMLYLIQSLVHRLQIQVRPSAQIEQKKIVKNSTLIINWHTLSDADNGKYSEDEDDYKRSQKYLMKGKDKVVDEHSNNNIQSAITLRDTIVRRLDTWLQQHQDIASTIEALLPFQNDLDDFILEKMNDMLSVVHRTLVKRKGTLKGSQVFEENKRACLRPGACY >ORGLA12G0063900.1 pep chromosome:AGI1.1:12:5551353:5552046:-1 gene:ORGLA12G0063900 transcript:ORGLA12G0063900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDPWIGVLIVNPIGNLGSMNLDVVDHCHVVVDPDRCHPEFTAHGGCHHAGFGDPAGSGLRWDGLLGYRHYCVEVENGADVDERRPILVVPAGARSSGRVDCNYLGVVGSADEALKARCCGGYDRDLGSDVHWGPRWLQRCRRRAPLLLEQEVKGDPEPVVQSSPQCAVEIHHYGS >ORGLA12G0063800.1 pep chromosome:AGI1.1:12:5528120:5529409:1 gene:ORGLA12G0063800 transcript:ORGLA12G0063800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQDLKLVGMKSHDCHVLITQLLPIAIRNILPPKVQHTIQRLCSFFHAIGQKIIDPEGLDELQAKLVRTLCHLEMYFRPTFFDIMEHLPLHLVRQTKFCGPAFMTQMYPCERYLGILKGYVRNRSHPEGSIIESYTTKEVIDFCVDYMSETSSIGLPRSHHEGRLDGVGTVGRKTVRLDRKVYDKAHFTVLQHMTEVVPYVDEHLAVLRQENPGRLESWVRNKHMSSFNEWLKNRIARLQNLSSETLQWLSQGSEWSVTTWQGYDINGYTFHTVKQDSKCTVQNSGLRIETASDSGRRDQYYGRVEQILELDYLKFKVSLFRCRWVDLRNVKVDNEAFTTVNLANNTYKDEPFVLAKQVVQVFYIVDPCNKKLHVVREGKRRIVGLDNIADEDDYNQHVHGIGQEIPLEEEEEEDDVQYARVDXXXXXXXX >ORGLA12G0063700.1 pep chromosome:AGI1.1:12:5527470:5527982:1 gene:ORGLA12G0063700 transcript:ORGLA12G0063700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACSECMEETRSKWLKHSHKTVYMGHRRFLPRYHPYRNMKKNFNGHRDIAGPPVELTGIGVHNLVMGITNEFGKKRKVGKTKKKSTSKEKIEEHVEKQKTKERSMWKKKSIFWRLPYWKDLEVHHCIDLMHVEKNVCESLMGLLLNPGTIKDGLNARRDLEEMGVRSELHP >ORGLA12G0063600.1 pep chromosome:AGI1.1:12:5523730:5523999:-1 gene:ORGLA12G0063600 transcript:ORGLA12G0063600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNFDFGGMYLILSFCFLVKKSRIYPYVFFPAVLPTLCYKLHQAYRCSPTISNKGLGILIFLLDPKSHERVCICVLYLTMCDSLKIES >ORGLA12G0063500.1 pep chromosome:AGI1.1:12:5513164:5517133:-1 gene:ORGLA12G0063500 transcript:ORGLA12G0063500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGADAPTKTSKASAPQEQQPPASSSTATPAVYPDWANFQGYPPIPPHGFFPSPVASSPQGHPYMWGAQPMIPPYGTPPPPYVMYPPGVYAHPSMPPGAHPFTPYAMASPNGNADPTGTTTTAAAAAAGGETDGKSSEGKEKSPIKRSKGSLGSLNMITGKNSTEHGKTSGASANGAISQSGESGSESSSEGSEANSQNDSHHKESGQEQDGEVRSSQNGVSRSPSQAKLNQTMAIMPMTSSGPVPAPTTNLNIGMDYWANTASSTPAIHGKATPTAAPGSMVPGEQWVQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRAEVLKQENASLRDEVNRIRKEYDELLSKNSSLKEKLEDKQHKTDEAGVDNKLQHSGDDSQKKGN >ORGLA12G0063400.1 pep chromosome:AGI1.1:12:5511114:5511845:1 gene:ORGLA12G0063400 transcript:ORGLA12G0063400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLAASLLIALVVSGSNVAATPSPLAVVGNGDIASTAQEMQRARYFTFVMLIRMVQEKIPHNTTFLMPNDRMLSTATIPESQVMEFLSRHSIPAPLMFDDLIKLPNATIVPTAHSSQTITITNVKHQKIYFNNIELISPDVCRVGDLFRCHGINGVIRPIVPRGKGSACPGHLAPATAAPGPASGANRSLETSSLTSPNMSSATSPSLQPAAESPQSSDTSTSQIASSYTTLILVLVFSIF >ORGLA12G0063300.1 pep chromosome:AGI1.1:12:5496094:5508022:1 gene:ORGLA12G0063300 transcript:ORGLA12G0063300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Secretory pathway Sec39 (InterPro:IPR013244); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G24350) TAIR;Acc:AT5G24350] MAGEDEALYEIRRLASGSHEIPREGEITGTSGAAGGVLSYLSLQGVSKLKERWTRNSALGWSKKRSRINAALLFVSRNADYVGVAVGNRITVLRKGDGYASPCGVYTNNDRMAFFTNGAWLEAQGILGVVDDLNTLHLIKENGEALTRRTSNQLKLSYPIVNIVVHDGSSSERPGFYIFTSDGMVHKFDYMQDHEANLQKVAILIQDAVSAKTPQLPHSVSCVDYHQDHSLVVLIGNPNAFLSSNGSSGACFLYVLHFNGNLEFSLSFPSLQLEGTFFPPKDQATFASSAKVRISPQSKHIATLDLNGSVNIFVLANDKRSASLHPPRNGTQLSDVKDISWWTDNILMVVKEKGSINMYSISGNRVVSEDGHVLSTPQLEKARAVEGYTFILQSSRYEGNTTFEEVDSNSMPNLQNVSRNNQRSEMDKIIWSLISFSKITVPEKYSILIRGNRYKEALDFACKHNLDKDEVLKAQWLSSDGDVHDIDTYLANIKDQVFVLSECLNKVGPTEIALKALLSFGLRITDRFKFSKLDNSIDTSAWDSRIIRLLLLRYNDLLETFLGINMGRFSAVEYRKFRLMPLVETAVALAESGKIGALNLLFKRHPYTISFDILHILSAIPETVSVQSYSQLLPGKSPPSVVILRDGDWVECEQMASFINTCSDQLEKNGEFKTELLLKHSAGFSWPSIAELCEWYKSRARDIDCLSGQLENCLAMIELGCQKGIVELEPFFDDIKYLYEVVYSDELSEFIMNLAMWEDLPNYEKFKIILKGAKEGTVVQRLEEKAIPFMKKRSHLICLSNEEKHRESYLIRWLKEVASQNELSICLAVFENGCGDSPIHGLFKDIAEMIETAVHCIYLCSATNQWNTMSSILSKLHHKMKREKSMLASEEDYNFKDAKQALGTCVVSFDDMQYVCTRILSGLSSPGDSYSHDSINYQLDNIKSLDMLEKKLKVAEGHVEVGRLFAYYQVPKPIHFFLSTHLDEKNAKQIIRLLLSKFGRRQPVRSDNEWANMWRDLKHFQEKAFPFLDSEFMLVEFIRGLLKAGKFSLARNYLGGTSAVSLSIEKAENLVVQAAREYFFSASTLSCNEIWKARECLNLLPNSISVQAETDIIDALTVRLPYLGVTILPVQFRQIKDPMEIIRMVITSQTGAYLHFEEITDVAKLLGLKNEEEIAAVEEAIAREAVVNGDLQLAFDICLTLTKKGHGAVWDLCAAIARGPQLDNLDTSTRGKLLGFSLSHCDEESVGELLNAWKELDVHDKFEQLMISTGTNPPNFSTDGSSITPLPVQSVQDILDLREDISDDRGIDHVGIVKQMLSKVCTDLSNEDAYRWESSLAESRKLFSFSALELPWLLKLSNDEEHDGKKQSLKTDHPIRRYQFSTKVKAINCIIHWLAVSGFSPNDDLVMSLAKSVIEPPVDEEDYVLGCSILLNLMDPFNGVKIIEEELKKRECFQEISSIMNLGMTYSSLNSLKKECSTPEQRRNLLLEKFHEKFTSVESDELDQIDEANATFWREWKAKLEEERRLADQAMMLKQVLPDVDTSRFLSGDVNYIKNVLFSFIDSVKLEKKHILKEAVKIAETYGLRRTEVLLRFLGCALLSESWDNNDILSEISEFRDDIVNSAKGVIDMIHSDVYPEINGYNKQRLSYIYDILSACHSYLKRSSEIELTYPEHVHTHKFEPFQYYKVLAEECKKVSFIDGLNYKNIAGLDNLNFEHFNEEVCKNIHASTVRALADMVQALVSMYVDVLAKGLISRQGVYKHYVLGLLASLEGRTEARSDNIDSEKVQAVLSEIELNYDSCKEYIQVLPATDISCIIIRYCMLCFHCDLTRNHPQEPSWKKPLDVLVMLWIKLIDDIPVESMDACPYGRAEYLDSNRLSHCMRVFRQLLVDDKITVCQGWDAISMYVKIGLGDEIPMEISYFCRSMILSGCAFESVAQVYHGGQEQLENESVDPSNPLDLLELYSATLDDCLSDLIKSPSESQILLHKLLSSLSRSTEKHAGTLEMIRSGVWGKLISFSENMQLDSQLRVYALQLMQCITGRNLKSLPNELVSQVEPWELWYEPGTGSSVADDNNSPSSSITGTLVALRSTQMITTVLPNANITPDNLGTLDSAVSCFLHLSESASSVETIAVMEAVLEEWEQLFSSKEEYVPPQESPKETNDWSDDWDDGWEALPEELESPTKKHGRTSLSVDPLHTCWMEIIRKLVELGEPHKVIELLDRASSRNSMLIEDDEANRLLELISAMEPLMALKIMLLLPYETTRLRCLQMVEAKMREGTVSTSSNADDHELLALVLSSGVLQRIVTEVEYSKLFSHICHLVGHLARSSQNDLLVKWNDEANAPGTSKTNKSLLFARVLFPSFISELVLRGQYLLAGFVISRWMHTHPSLGLMDVTEASVRRYLNGQIVQAQQLGGTDVFLTDNKLSVSHALSTLRSNLVSLVQAALATLPNQDL >ORGLA12G0063200.1 pep chromosome:AGI1.1:12:5494747:5495647:1 gene:ORGLA12G0063200 transcript:ORGLA12G0063200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGERWATSSRPRAMVAGGGMHGRRRAFGGGGGGRGSVPGFGRPAAKSEAPALNLADGGTTTTASAAEPDNREAVAFACRLARNDGAIRLIVIPTTSVVDHIGIYGNDGGGGEEEVLSIVVHDDDPDNSRGLVPGDNLTAQQEYEVTSSLLSRYGAGEDPRPPPHATSTSAAAAAPCDAYLVLRSSSLYVSVISISNLLNVTAAAVIESNAVDLVAADPLVLAPVVGDLLPTRWLAYPRGEK >ORGLA12G0063100.1 pep chromosome:AGI1.1:12:5487404:5491205:1 gene:ORGLA12G0063100 transcript:ORGLA12G0063100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRREGGRSRSAAYLVLFASCLLAVAAASHQEFHEAAGSRTLLMSHEHTNQVHCSRERSRAAWKAIDEYLMPFVEKEKYELPSKCRLHPGNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFHNRHNNLVDNSQGRCLADLCGALHCDLMLEFKKPKSKCSATAAARNRHLCESLADSCFPINQGQSASRLHEFFLRQFCDAHTCKNGSKPFPKGGRKQTNRFYLALCALTIVLLPLFYLIVFLHQREMKKGGQNLRRISKVVQKKKPS >ORGLA12G0063000.1 pep chromosome:AGI1.1:12:5483707:5486195:1 gene:ORGLA12G0063000 transcript:ORGLA12G0063000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHLSTLLQALLQHEICLLECEITSIVYPYSFANFTKPLRLSYAERETTVIMVVLPDVGVVLMLTVVASGHRGRANAGGRESRRGESIPRGMGGAVW >ORGLA12G0062900.1 pep chromosome:AGI1.1:12:5478232:5482717:1 gene:ORGLA12G0062900 transcript:ORGLA12G0062900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPASSGAPVQERRLGVLLSHLRPCAPPAARRGNHHHHHHDLRVREAEGTGGLAASPCAADGSGETSGGQRCVFCEIVKGNKPAYKLYEDDVCLCILDTKPLSTGHSLIIPKRHFPSLQATPPSVIAAICCKLPLLSSAIVKATQCDAFNVLVNNGKVAGQVIFHTHVHIIPRRKGDNLWSSETYERNSIKHNQETKNLVSGIKELLFPPQDDSAEGSTIPKEL >ORGLA12G0062800.1 pep chromosome:AGI1.1:12:5472993:5475518:-1 gene:ORGLA12G0062800 transcript:ORGLA12G0062800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPVPLPNPAGSAAAAVLPRPAWNTNRNLVVTHPLLSLLESCASFRRLLQLHALLTVTGLAAHRFPASRLLAFCALSTPPRLAHAAAILARASPGPNAYMLGTMMRGFLRARLPARALGLFRRVVRDRLPADARTFVFAVKAAAAAAESEHGGTPSGGEAIHCAALKCGFVGESVLVGNALVHFYANHKSLDDAGKMFDEMPERDVVSWTTLVDGYARAGLADEAWRLFCRMVVVGGMRPNAVTLVAAVSAIGQMGLLAFGIMLHKYVTEGGVARSVNLDNALVDMFGKCGCVRYAREVFDGMEVKDVYSWTSMVNAYAKCGDLESAEQLFEDMPRRNVVSWSCMIAAYSQLNQPEEAVWLFREMIAAGVDPIDATLVSVLSACAQLGCLDLGRWIYENYIVSNKIGLTVNLGNALIDMFAKCGDVGEASKLFDEMAERNVVSWNTMIMAHAVHGQSEEAIRLFEQLKGENIVPDQITFLGLLASCSHSGLVSEGRRYFKEMEMFYRIEPRVEHYACMIDLLGKVGLLEEAFEVARGMPMEADEAGWGALLNACRMHGNVEIGACVADKLVELDPSDSGIYVLMSQIYASKNKWDQVKMLRMTMRDRGVKKNPGCSSIEVEGKFHDFLVADVSHACSEEIYSALKNIYFHLKQEDMSWQGTIGNLIEPRFLSFPFSYKQTTVLDGIATELLLGEPDPLQSP >ORGLA12G0062700.1 pep chromosome:AGI1.1:12:5469860:5471092:1 gene:ORGLA12G0062700 transcript:ORGLA12G0062700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFLRVEKYLSVKRMELQPELSLGPTWPAPGFVSSTTKSTKSSSSESDGSSRKKRKHFTWEEPVSHANLELQLNDPLPLDWEQCLDLQSGRMYYLNRKTLKKSWIRPKEQSVNLELNISTTQPTVVVVPTIIDGGSTGAAATPVAVVAEETKKGGTIVSSGPGGNMVAVPCVNCHLLVMLCKSSPSCPNCKFVQPLAPPPPAMPHRKLDAVKPLETLSLLH >ORGLA12G0062600.1 pep chromosome:AGI1.1:12:5460587:5461091:1 gene:ORGLA12G0062600 transcript:ORGLA12G0062600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVAGLLDDALGAPESVEAAPGERDSNCGAVEMVEAADGGDGGLIWIDQLGGMLGALSNAEMATRARAVLAGDGGRAGEVGDVGGGYPVRLCLSFNGALGAPVIATMTWTRASMADEAKVSGRKARPQCDLVMS >ORGLA12G0062500.1 pep chromosome:AGI1.1:12:5440067:5442019:1 gene:ORGLA12G0062500 transcript:ORGLA12G0062500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSRDPLVVGSIVGDVVDHFGASALLRLFYNHREMTSGSELRPSQVAGEPAVQITGGRDGRALYTLVMVDPDAPSPSNASKREYLHWLVTDVPEGGDTSKGTEVVAYESPRPTAGIHRLVFIVFRQTVRQSIYAPGWRSNFNTRDFAACYSLGSPVAAAYFNCQREGGCGGRRYRS >ORGLA12G0062400.1 pep chromosome:AGI1.1:12:5390324:5391112:-1 gene:ORGLA12G0062400 transcript:ORGLA12G0062400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVPDILYEADDEDSMASDSMDEASEEELVVSDMHVVVDSMDVMAGKEVHLVADSMDDEVGKEVLLLVADSMDDAAGEEVHVVADSMDDEVSEEVLLVVADSMDDEAGEEALLVVADSMDDAAGEEMHMVADSMDDAAGEEVVMVADSMDDVAGEEVVVVADSMDDEAAEVVEVEQNKKVHSDHEFDEIKIEMWKLLLPWYFAKEECVTTGAGDNNDEVIEEERDLHNQKVHSDYEFDEIRTEMLKLLLPSYFPKKRNDSS >ORGLA12G0062300.1 pep chromosome:AGI1.1:12:5383989:5384538:1 gene:ORGLA12G0062300 transcript:ORGLA12G0062300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRAREASRSLGGSPSLKQPAVAHPLVVADLKASLLLTVQYNATTTVAIVTAWATDEEEDSGAEVRKMVEIL >ORGLA12G0062200.1 pep chromosome:AGI1.1:12:5376142:5381873:-1 gene:ORGLA12G0062200 transcript:ORGLA12G0062200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGNGAADGGGEHLELDFFPSPLLDNFDVLELLDLSVLGDVDDDGAPPAPAPVQMSVDGAGGGRINKPGSSVDDLVEWSTAFGNNCSKIDGEYGGASTSSSAAPAPAPPQEDYCSGCQVLREVVHSNGLEITKLCIHGGVASGEFYHAILDVYRVSASAPAPALAHHSIINFRGRGYDWVKQYLTEYALRRAGGGFAVVQDSLSAFHDALCTTMAPCSSHVGDDDAHRRASSSAAAAAEERTIGNGDHGQLVVHNAAVLPMLESSRCLVAADQAATTNNNGSGDRRLVVLDTTAIQPPASGCILHMAFPSKSYQSSRPTTLPSRYQECTCNPVAYEMDGVRSSLAPICWPELLGYNVTNREKTKQLQLSDIAPYFELPIAKAAKKLDICATALKGICRKHGVLRWPYRKVRSIDRQIATLRRSGNGDATRNEIETLIASRRRIVAGLDQ >ORGLA12G0062100.1 pep chromosome:AGI1.1:12:5365876:5370249:-1 gene:ORGLA12G0062100 transcript:ORGLA12G0062100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGHAADKPTPPPPRPVLLAGASGDAKLCRAAALSREEVIRRRRRGLLQLHSLYRAQLWALADELSARHAEYWWEHGSSPDAGNAVGGGAPPPPHPAVNGGGAAVEIAAARAGCSAANCGAKAMPFAAYCFDHILFDPKQLLYKPCAFVTNRSDMQNGVETCGKPVLTGITPSRCSDHDPKSQRLVIEALKKVGIELHLTSNGVPKLNLLICETVRQIQRKRKMQLNGAKNAPFHRSSD >ORGLA12G0062000.1 pep chromosome:AGI1.1:12:5358966:5363735:1 gene:ORGLA12G0062000 transcript:ORGLA12G0062000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQGLVDWRGRPVDPRRHGGLKAVMFIYVLIVMTNMGNIPTMLNIVSYLHGTMHMGIADASTTAANFYGAICVFSFLGAFISDSYIKRFYTILIFAPIEILGYMLLACQAHFPSLHPPPCDAAAGQCAAVSGRNLSLLRLGLYVIPLGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPAMSVFWLTPQFFLLGVMDVTSFVGLLEFFYSEASAGMKSIGGAVFFCILGVASWLGGALIQAVNRATAGGAGHGGWLDGADLDASHLDRFYWLLAVFELVAFFLYLYSAWRYTYRHHPRVQPSMEDAKVSATATTTTKKAEV >ORGLA12G0061900.1 pep chromosome:AGI1.1:12:5339561:5340037:1 gene:ORGLA12G0061900 transcript:ORGLA12G0061900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPAESHRDDLPMQDKRHRADESPPRPTGEESRLGSGGSSRLGSRQAARKQVDDAPQVVEVDEDADFAPKTKEWLDNLSITDIPLDQATVDLGLTMHGCNASASLDDRWCRRP >ORGLA12G0061800.1 pep chromosome:AGI1.1:12:5332549:5333393:1 gene:ORGLA12G0061800 transcript:ORGLA12G0061800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSDSEADLAAAAAMTTTTTTSSSSSSSPSSSPPPPRRRHRHHRRAARRTHPVEAEAAEEVWRGAQWEAAWPRRTKPVVVAEDEPPSGGVIVGACTAGGGEDGGGVGRARSLTDDDLEELKGCVDLGFGFSYDEIPELCGTLPALELCYSMSQRFLDDHPQSHHSPPPPPPPMPSPSIPNWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >ORGLA12G0061700.1 pep chromosome:AGI1.1:12:5318434:5321380:1 gene:ORGLA12G0061700 transcript:ORGLA12G0061700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVSRPSGADKRRRCGSSTAPHTRGSRRVIGAANMRCLSTVSSVSDAARAVMSNEPATVLGNSGSSGNGGVMAAEEMLRRYEIGEELGRGEFGVTRRCRDAVTGERLACKSISKRKLRSSVDVEDVRREVAIMRSLPAHANVVRLREAFEDADAVHLVMEVCEGGELFDRIVARGHYTERAAAAVMRTIMDVVQHCHKNGVMHRDLKPENFLYANASENSPLKVIDFGLSVCFKPGARFNEIVGSPYYMAPEVLKRNYGQEIDIWSAGVILYILLCGVPPFWAETDEGIAQAIIRSHIDFQREPWPKVSDNAKDLVRRMLDPNPYTRLTAQQVLEHPWIQNASAAPNIPLGEAVRSRLKQFTVMNKFKKKALLVVAEYLPTEELDAIRELFNMLDTKKKGHLTLEELRKGLQVIGHNIHDTDVDMLMEAADIDGNGILDCKEFVTVSIHLKKIRSEEHLPKVFSFFDKNGSGYIEIEELKEALSPRGDQKSIDDIFLDVDIDKDGKISYEEFELMMSAGMDWRNASRQYSRAVYNTLSRKIFKEVSLKLDHSGPLVAAGK >ORGLA12G0061600.1 pep chromosome:AGI1.1:12:5308624:5309889:-1 gene:ORGLA12G0061600 transcript:ORGLA12G0061600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKEDKNVYSKGIATLLMVEEKVSEELTSETENPYAVSDFSKLSPTANPASPPECGRSEFEHNMTSLHNHVMAHLSLCSLNMKEVTTSTDREVPSAILNCPLKGVNKDANMELVIEWRLGMGNFPLVLSECGDSSCDSSVSEQSSVTSSPCTSFTAHSDTRSEDLDGVDIWVSSLDLDEEDSDLLQEKEQDLGFLSCDFPSPSFSAVRRSLQFGPSSSSPATSHGKQANDSEEPIFWPFEHASYYSPEFDKFLLVSPRRVTMDVGSAEFRRLNPIVQRLHKNKLSSARKNVGPHHGSVSLGAKGTKSSQDKVQNAAAVPSWLSRTTKTSAKTSSSKHQQLSNCEKRKPSHLKISPPRKDRFPQLQSGHTVQELEASDHRKLAVEKILIEQFIGLDEFDGHEGISSDSSDNQLSLFLSPR >ORGLA12G0061500.1 pep chromosome:AGI1.1:12:5300909:5305640:-1 gene:ORGLA12G0061500 transcript:ORGLA12G0061500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLLQPVALGTTFAGRVSGQRWKSHGTRRPPSMLAMSLSRPVKMAAFVGLRSVHSFSVTPVTNFRSTVASYRSRRGRRARFVTRSMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGNGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMIGETTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISTGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVRVPEPTVDETIEILRGLRERYEIHHKLRYTDDALISAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELDKELKQITKDKNEAVRSQDFEKAGELRDREMELKAQITALIDKSKEMSKAETESGETGPLVNEADIQHIVSSWTGIPVEKVSSDESDKLLKMEETLHQRVIGQDEAVKAISRSIRRARVGLKNPNRPIASFIFAGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDSSYSRIKSLVVEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKEIAEIMLKEVFDRLKAKDIDLQVTEKFKERIVDEGFNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGQSGLPELSTPAVTV >ORGLA12G0061400.1 pep chromosome:AGI1.1:12:5292136:5296552:-1 gene:ORGLA12G0061400 transcript:ORGLA12G0061400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20210) TAIR;Acc:AT2G20210] MAAAAEDAAVPSPPPSLLSLCLEAVASHLTAGAAGGGQAGGRRGRVHLDGEGGGDTMITPEEVAEALPWELLHRLASLLPPAALEALHHAAHDRCCFSAATAAVGFAGPDGDRRGIKRSRCEDFNPEWQALFRLRWPRCDNAGHDGLLTVDWQRQYWEKHLQECLDEAAESALLPSFYGSIDELTIPAKIVSCILHSKDISQQYSRLSYHCSRFGRYARCLRLQSVLCTAEISGLLQCSKLEKLMFVRIISDLEVNGVCMLLSCHAETLLSLEFIHCQLSPAVMDKICSSVLQKGSVNHGIQNFSIKSSRICESNPLNISAGLLDFLSMGKSLQLLSLNDTKMQPLFAKTIVHTLLGSSSGIRTLEISENNIAGWLKTMDKRFACFSSALESNISLNSLTLLSMRGNNLNKGDIEDLCKILVKMPNLRDLDISDNPIMDEGIRLLICFISRTLRKEKSLSRLRAENCDLTNIGVTELLECLSSVSEPLNLLSIADNHLGSSVAVALAKFLGSGVRELNIEDIGLGPLGFQILEEALPADVALSHINVSKNRGGIRAARFVSRLIKQAPGLLSVNAGSNLLPPESMKVICDVLKQKNTCNLERLDLMGNMHLSDAAFPAALEFRKHGKQILIVPSQPGACAPYDDDP >ORGLA12G0061300.1 pep chromosome:AGI1.1:12:5289803:5290324:-1 gene:ORGLA12G0061300 transcript:ORGLA12G0061300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTELRKVFKMFDKNGDGRITKKELGESFKNFGIFIPDDELDATMDKIDANGDGCVDVEEFGLLYRSILGDDAASRAPRMAAAEAIGGEGGAADDEDEGMREAFNVFDQNGDGFITVDELRSVLSSLGLKHGRTADDCRRMISMVDADGDGRVDFKEFKQMMRGGGFAALGG >ORGLA12G0061200.1 pep chromosome:AGI1.1:12:5281397:5282766:1 gene:ORGLA12G0061200 transcript:ORGLA12G0061200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1R506] MAGINCSIVPCSALMEGKEFNFSNLYLHHTYGGPKPNQSTIINNNGSTGLGMTAVNNWAVLRGSTLQVMGVPVEGGEWAIVGGTGEFIMASGVIYKKVHERRSEGNIIELTIHGFCPNLKGTKCLATKVGPWGGNGGTPQDITETPKRLESITIRSGEVVDSISFSYFDQAGQKRVAGPWGGPGGNPNTIELASSEFLKEVSGTFGTYYGSNVITSIKFVTNVKTYGPFGKQNGTPFNIPVQNNSSVV >ORGLA12G0061100.1 pep chromosome:AGI1.1:12:5266383:5268379:1 gene:ORGLA12G0061100 transcript:ORGLA12G0061100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVTNRRVILKRYVQTGLPSEDDMEVVTAKTTLAVPAGSEAVMVKNLYVSCDPYMRGRMTRHEVPSYVPDYVPGEVITNCGVMKVVSSGHPDFKAGDLVWGVTGWEEYTLVNNPKPYLHKINYPEFPLSYYTGVLGIAGLTAYGGFFEVSKPKKGDYVFVSAASGAVGQIVGQLAKITGCYVVGSAGSDEKVKLLKTKFGFHDAFNYKKELDLEGALKRCFPEGIDIYFDNVGGAMLDAVLPNMRIGGKITACGMISQYNLERPDGVRNLFYFVSKSLRMEGFLVSNYIAIYHRYEKEMAGYLREGKVVYVEDIVEGLEAAPAALMGLFTGRNVGKQLVAIAWE >ORGLA12G0061000.1 pep chromosome:AGI1.1:12:5262848:5263192:1 gene:ORGLA12G0061000 transcript:ORGLA12G0061000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVDMPSRGLSFLSYWKKEPPYAIDAHALVNLAEVLAYSPSILQQDLNHGVQCRATRLCSVLFCRRRWRVAGAPRHLPRPPPSHLCPVLAVSRCTVHPAVAWLEVEEDRREK >ORGLA12G0060900.1 pep chromosome:AGI1.1:12:5249222:5249443:1 gene:ORGLA12G0060900 transcript:ORGLA12G0060900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGEDSIWHTMEVLCAYGMRSQIWKESKFGTIGYVKFVSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA12G0060800.1 pep chromosome:AGI1.1:12:5242036:5242257:1 gene:ORGLA12G0060800 transcript:ORGLA12G0060800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGEDSIWYTMEVLCAYGMRSRIWKESKFGTIGYVKFVSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA12G0060700.1 pep chromosome:AGI1.1:12:5233398:5235560:-1 gene:ORGLA12G0060700 transcript:ORGLA12G0060700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDERGDGDELIADYVDCLMSLDTNSRSCQNDALILEGAPDDVDDDAAAAAAAAAEQDVMRDFAPADGDGDGDADADAENDPKEPVLGMTFESEETAKMFYNEYARWLGFPFRVGRSRRSKGMEEVVIMKRFVCSREGAHKKKQPSTSGEATSKRERASMREGCNAMMEVVRDKDHWVVSKLEKAHNHSLGIGTRYGYLRARGLPDVSNKIAAMGSDGTASLRQNFLGETGDGQGLLDYLKKKQANDPRCSHAIQVDKNGCLMNVFWADSRAKEAYRHFGDAVTFDTSYKKTKYMMPFVTFSGVNHHLQTVIFGCAFLMEETESSFSWLFETWLAAMGGKAPGSLVTDQNRAMKAAIGKVFPDTCHRFCKWYILSRTKQKLCHAYSEHPDLRDEIESCVIESETISTFETSWMSILEKYDLRKHAWLQAIYNIRQKWVPLYMMGTFFAEISPTQKLETMNDFYKKYFNTKTTLEVFLNQFDSTMTSRYENEAQADIDTRLNEATTITASPIEKQAASTYTKAVFSRFQEEFTESLGYIIQKTEEGRVSKFSITKDEDDPSDTFCVTYNASSKMAKCSCKYFKFSGILCRHILGVFIIVDPRTLPPDYFLKRWTRNARHDELLEDNSNNHKDATCQSITSRYNVLCADAIRCADKGSASKAVYKAAKDILQKAYEEIIAYERNPGRGLQRDAININEDITIDDTMNDQSLPDFWTKGMLI >ORGLA12G0060600.1 pep chromosome:AGI1.1:12:5226557:5230335:1 gene:ORGLA12G0060600 transcript:ORGLA12G0060600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALLSAPPPPPPMDEDASAGAHHAFPGPAPVPSPEGAPPPPRRRRPAVRVTSEFDSDARLFSHRLSCRVMDGLAKLRVRVHHGVGGAGAAAVEPPPPEVALLARNFSVAVDTVARSAMLRGAADLAGSLRLSAKHDTKEQKGEVAVRANLGDSPCKIELSSVVPPDGLPRTTFIFPNGEVSVKQKYLDEGDRILSVNGIVRSHVLNGVCTALYSDNEMNIKYRYKDEELSFIPSLSLPSNSLSFAFKRQFTPSDKLSYRYQFDTNYWSAIYKHKASKHVKWKAGYESDQRLGWASLWVGDAGGKTKEVPMKAKVQLTLKVPQDNVKNSAIMFNVKKRWDF >ORGLA12G0060500.1 pep chromosome:AGI1.1:12:5215535:5218354:-1 gene:ORGLA12G0060500 transcript:ORGLA12G0060500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFANKLHAFVEYETLEDAEKAILELNDEKNWRNGLRVRLLNTCMTKGAGKGKKGVHEADGNGEEDVSTSNQSNEKQLEEPSQLSDMLPDHLFDDSSNDKEGPRRGRGRGRGGRGRGRGYHQHNNNHFNNQNYHNGQHHNHHANNHHHQGGNRGGAHHVGTPPNQQQTKPEQHPQLPIGATKQPPGPRMPDGTRGFTLGRGKPQPLLPVLCAAVEP >ORGLA12G0060400.1 pep chromosome:AGI1.1:12:5198352:5198648:-1 gene:ORGLA12G0060400 transcript:ORGLA12G0060400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPRIRRWRTRIRRRRGGRRRPEHGGSPRLPLASSGAPLGVSRRWWRGRRPDLAPCPDPAHPQVGTGWLESGRRAGGVNIAGILGVQVTFGGSRRGCYG >ORGLA12G0060300.1 pep chromosome:AGI1.1:12:5159462:5161949:-1 gene:ORGLA12G0060300 transcript:ORGLA12G0060300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRRPGSDRRYPPLRFRKRKRSSSDSRKSKLNNKPGSDKWEDVCSICDDGGDFLCCEGRCLRSFHATEKHITEINQCTTLGLTEEQWKIFRQNDEKSEPLYICKNCKYNQHQCFSCGLLGSSDLSSGAEVFQCEDEKCGHFYHPKCLARLLYPDSSMQPLNFEEEVARGLKFLCPVHKCHVCKGGENKNDMENQFAICRRCPTVYHRKCLPSDIVFEDDESNGIVQRAWDDVLRDQILIYCMKHEIDPELGTPARNHIIFPDCKNLLTRGPSKPKGQEDAPAVLDIPEEDMSPDHSSEPSQPPPQPAAETDQTDQDLSNGFNSFAPKALFPLPYPGSCGWLDD >ORGLA12G0060200.1 pep chromosome:AGI1.1:12:5154216:5155881:-1 gene:ORGLA12G0060200 transcript:ORGLA12G0060200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANYPKYVLYGLLIVGSWLLSCLLHFQVFHLSLFPYPSYLLSRRVVLPLALDARFLPPPPDVAGDDDGGIVRRRSSLPAKAAAEASCDGRYVYVLEVPRRFQMLTECVEGPKVFDDPYHVCVVMSNSGLGPVIPPAAAGNATVDGDIIPNTGWYNTDQYALEVIFHNRMRRYECLTGDMAAATAVYVAFYPALELNRHKCGSSATERNEPPREFLRWLTSQPSWAALGGRDHFMVAARTTWMFRRGGAGDSLGCGNGFLSRPESGNMTVLTYESNIWERRDFAVPYPSYFHPSSAREVSAWQATARAARRPWLFAFAGARRANGTLAIRDHIIDECTASPPGRCGMLDCSHGLEGSITCRSPRRLVALFASARFCLQPPGDSFMRRSSIDTVLAGCIPVFFHEASTFKKQYQWHERDADADNDNATVDRRRYSVVIDPDDVVEGRVRIEEVLRRFSDDEVAAMREEVIRMIPRFVYKDPRVRFEGDMRDAFDITFDEIMARMRRIKNGEILGWKLDGDDDVVAKDS >ORGLA12G0060100.1 pep chromosome:AGI1.1:12:5135652:5139135:-1 gene:ORGLA12G0060100 transcript:ORGLA12G0060100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:diacylglycerol kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G63770) TAIR;Acc:AT5G63770] MDLVGSLLVNMTHLVDTSGLQFFGWLITAGSFGLAALIYALLRLQRAASLYWIKAAAREKKAAWKSLRCPSSGHTWTEDYFRGGQPSTCCVCLSSLTTAQGVGSRGADAVVVHRCSVCGVAAHSGCSRGAEKDCKCVSQHGASTLLHHWSERWVELDDNPEMSSFCYYCDEPCGVPFLGVSPIWRCLWCQRQIHVDCHAKLLKETGNTCDLGLLRRLIVPPLSVKEVAQGPAITGMLNSIKEGLVTSSVRGRIKRRGNKKRMNNHPGGKTSPVPTNSSILDSVLEGFARLQNLNGKYALANHKSSGDSVKQTHGYGSPNGGKKKYEIIDLPQDSRPLLVFINGKSGGRNGPSLRRRLNMLLNPIQIFELSASQGPEVGLQFFHNVKHFRILVCGGDGTVAWVLDAIEKQNYESPPPVSILPLGTGNDLSRVMRWGGGLSSVEGQGGICALLNDVDHAAVTVLDRWNVAIKEKNGAEDQCTKQVKFMTNYIGVGCDAKVAYDFHTTREEKPDKFCSQFVNKLIYAREGAKDIMDRSCSDLPWHVSLEVDGKNVEIPEDAEGVIVLNIPSYMGGVDLWQNDNEHDDDFGLQSMHDKMLEVVCISGTWHLGKLQVGLSRAHRLAQGKVIRLHLHSSFPVQVDGEPWIQPPGCLEISHRGQMFMLRRTSEEPTGHAAAIMSEVLVNAECNGVIDAAQKRLLLHEIALRLSS >ORGLA12G0060000.1 pep chromosome:AGI1.1:12:5127513:5129050:1 gene:ORGLA12G0060000 transcript:ORGLA12G0060000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFKKDGTAVLFVMSLMVIAMVLSSCQAAIHFNLHCADLPKCTEHECMADXHRRGFQVGVGLVDCMDGRPDQCCCRHGLLHHPDDKLTTN >ORGLA12G0059900.1 pep chromosome:AGI1.1:12:5121779:5122431:1 gene:ORGLA12G0059900 transcript:ORGLA12G0059900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKKDGTTVLFVILTWLNYACKYTAFHYKLSCANLPKCTEHACVADCNRRGFQVGVGLVHCMDGRPDQCCCVHGGLLHPDDKLTTN >ORGLA12G0059800.1 pep chromosome:AGI1.1:12:5090766:5091050:1 gene:ORGLA12G0059800 transcript:ORGLA12G0059800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRASARGAGLPAPFIVVVSVLLLGSLPLHEVVAAEDEDQIGGGGGLEHGARGPLWRGCCNQYKERGEPGGSYTGRGRFGTCIPTQPCPKPIP >ORGLA12G0059700.1 pep chromosome:AGI1.1:12:5081698:5081904:-1 gene:ORGLA12G0059700 transcript:ORGLA12G0059700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRATGGRRLAAVLVLMLFVLAAALLEAPVMASAARVLLQSGLLPTPYPTCNPGQYSCPPPTTVP >ORGLA12G0059600.1 pep chromosome:AGI1.1:12:5079804:5080010:-1 gene:ORGLA12G0059600 transcript:ORGLA12G0059600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRTTVDRRLAAVLVMMLFVLAAALLEAPVMASAARVLLQSGQLPTPYPTCNPGQYPCPSRTTVP >ORGLA12G0059500.1 pep chromosome:AGI1.1:12:5073074:5073280:-1 gene:ORGLA12G0059500 transcript:ORGLA12G0059500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRNVITGVGRRLVLLVLTLLVLAAVDEAPPMIMASAARVLRQQYPPTYGPPSCSPPYCAPRHARR >ORGLA12G0059400.1 pep chromosome:AGI1.1:12:5048310:5048567:1 gene:ORGLA12G0059400 transcript:ORGLA12G0059400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAAALVLAAVLMITMIACGAARKKDAVSMPRRLHAVTTPPSQNRRALQVRPSPVVEPSGCCSGHRSEAVASWCPNPTPCHDP >ORGLA12G0059300.1 pep chromosome:AGI1.1:12:5031716:5032054:1 gene:ORGLA12G0059300 transcript:ORGLA12G0059300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLAHRLYNFTAVGDADPSLDCNYAAKLMTRCTNHDGILSEIDPCSRMTFVSRANTHRCATYAHARERGSTLRPRLASAACSRRAAASSTALHPTTRPRAAAHLHAPGRCP >ORGLA12G0059200.1 pep chromosome:AGI1.1:12:5013409:5016310:-1 gene:ORGLA12G0059200 transcript:ORGLA12G0059200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAYHLMPPVAMLLILGLADHASSTEAPAACLPDQASALLQLKRSFNATIGDYPAAFRSWVAGADCCHWDGVRCGGAGGRVTSLDLSHRDLQASSGLDDALFSLTSLEYLDLSSNDFSKSKLPATGFEKLTGLTHLDLSNTNFAGLVPAGIGRLTSLNYLDLSTTFFVEELDDEYSITYYYSDTMAQLSEPETLLANLTNLEELRLGMVMVNMSSNYGTARWCDAMARSSPKLRVISMPYCSLSGPICHSLSALRSLSLIELHYNHLSGPVPEFLAALPNLSVLQLANNMFQGVFPPIIFQHEKLTTINLTKNLGISGNLPTSFSGDSSLQSLSVSNTNFSGTIPGSISNLRSLKELALGASGFSGVLPSSIGQLKSLSLLEVSGLELVGSIPSWISNLTSLTVLKFFSCGLSGPIPASIGNLKKLTKLALWHSGAIIHLHEITFLDLSYNQIQGAIPLWAWKTLNLGFALFNLSHNKFTSIGSDHPLLPVYIEFFDLSFNNIEGVIPIPKEGSVTLDYSNNRFSSLPLNFSTYLSNTVLFKASNNSISGNIPPSICDRIKSLQLIDLSNNNLTGLIPSCLMEDADALQVLSLKDNHLTGELPDNIKEGCALSALDFSGNSIQGQLPRSLVACRNLEILDIGNNKISDSFPCWMSKLPQLQVLVLKSNKFIGQILDPSYTGGGNNCQFTKLQFADMSSNNLSGTLPEEWFKMLKSMIMDTCDNDMLMREQHLYYRGKMQSYQFTAGISYKGSGLTISKTLRTLVLIDVSNNAFHGRIPRSIGELVLLRALNMSHNALTGPIPVQFANLKQLELLDLSSNELSGEIL >ORGLA12G0059100.1 pep chromosome:AGI1.1:12:5006268:5009249:1 gene:ORGLA12G0059100 transcript:ORGLA12G0059100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILLQVQATTNTARTVVPPVRCHPDQASALLRLKHSFNATAGDYSTAFQSWVAGTDCCRWDGVGCGGADGRVTSLDLGGHQLQAGSVDPALFRLTSLKHLNLSGNDFSMSQLPVITGFEQLTELVHLDLSDTNITGEVPGSIGRLTNLVYLDLSTSFYIVEYNDDEQLTFNSDSVWQLSAPNMETLIENLSNLEELHMGMVDLSGNGERWCDNIAKYTPKLQVLSLPYCSLSGPICASFSALQALTMIELHYNHLSGSVPEFLAGFSNLTVLQLSKNKFQGSFPPIIFQHKKLRTINLSKNPGISGNLPNFSQDTSLENLFLNNTNFTGTIPGSIINLISVKKLDLGASGFSGSLPSSLGSLKYLDMLQLSGLQLVGTIPSWISNLTSLTVLRISNCGLSGPVPSSIGNLRELTTLALYNCNFSGTVHPQILNLTRLQTLLLHSNNFAGTVDLTSFSKLKNLTFLNLSNNKLLVVEGKNSSSLVLFPKLQLLSLASCSMTTFPNILRDLPDITSLDLSNNQIQGAIPQWAWKTWKGLQFIVLNISHNNFTSLGSDPFLPLYVEYFDLSFNSIEGPIPIPQEGSSTLDYSSNQFSSMPLRYSTYLGETVTFKASKNKLSGNVPPLICTTARKLQLIDLSYNNLSGSIPSCLLESFSELQVLSLKANKFVGKLPDIIKEGCALEALDLSDNSIEGKIPRSLVSCRNLEILDIGSNQISDSFPCWLSQLPKLQVLVLKSNKLTGQVMDPSYTGRQISCEFPALRIADMASNNLNGMLMEGWFKMLKSMMARSDNDTLVMENQYYHGQTYQFTATVTYKGNDRTISKILRSLVLIDVSGNAFHGAIPDTIGELVLLRGLNLSHNALTGPITSQFGRLDQLESLDLSFNELSGEIPKELASLNFLSTLNLSNNTLVGRIPDSYQFSTFSNSSFLGNTGLCGPPLSRQCDNPEEPIAIPYTSEKSIDAVLLLFTALGFGISFAMTILIVWGSHMRKXH >ORGLA12G0059000.1 pep chromosome:AGI1.1:12:4947789:4948007:-1 gene:ORGLA12G0059000 transcript:ORGLA12G0059000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGWTSTASTGGGRQLVVPVLLLLVLTLLMVMASAAGRGANVNKVCVPRPSPEGCPIPPCGSPTYVPCPPP >ORGLA12G0058900.1 pep chromosome:AGI1.1:12:4934255:4934683:-1 gene:ORGLA12G0058900 transcript:ORGLA12G0058900.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPTLDDFAMSSSTKRLVRPHHLAKPLLTMLHILLQVQAIAALADDATAPVIQCLPDQASALLRLKNSFNKTAGGYSTAFRSWITSTDCCHWDGVDCGGGEDGRVTSLVLGGHNLQAGSISPALFRLTSLRYLDLSGNNFSMSQ >ORGLA12G0058800.1 pep chromosome:AGI1.1:12:4920966:4923805:1 gene:ORGLA12G0058800 transcript:ORGLA12G0058800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPKAAKNRRPTTRRPRAAASSADIEDLASPSGCRAAVGPTTAAAAVRAELLRWYDANRRDLPWRRATEPPAGCGRGRGEEQRAYAVWVSEVMLQQTRVPVLVDYYSRWMARWPTVDSLAAATQEEVNEMWAGLGYYRRARFLLEGAKQIVEKGKFPLTASALREVRGIGDYTAGAIASIAFNEVVPVVDGNVVRVISRLYAIPDNPKESSTVKRFWQLTGELVDPSRPGDFNQAMMELGATLCSKTKPGCSQCPVSSHCQALALSNQNASVKVTDYPRVVPKAKPRSDFAAVCVVQIAQCLDECIADATGNDNLFLLIKRPEEGLLAGLWEFPSVLVNEGKTDALNRRKEMDKYLKQLLGINVKRRSSVILREDVGQHVHIFSHIRLTMFVELMILNLKDDGDELCMEGRDSNKLRFIDENAVDSMGLTSGIRKVYNMVKAFKEKRLKEQSQVPTRKSRRLK >ORGLA12G0058700.1 pep chromosome:AGI1.1:12:4894560:4894751:-1 gene:ORGLA12G0058700 transcript:ORGLA12G0058700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALIRITGGRHLLLVLLTLLILTTALQEGHLMASAARLLHWCSNKEPGIICGHPYQHGGGRP >ORGLA12G0058600.1 pep chromosome:AGI1.1:12:4883937:4886984:-1 gene:ORGLA12G0058600 transcript:ORGLA12G0058600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSKRVPHHVASLLAMMLILQLVQATTLDDLTTTSSETTPAMCLPDQASVLLRLKHSFNATAGDYSTTFRSWVPGADCCRWEGVHCDGADGRVTSLDLGGHNLQAGGLDHALFRLTSLKHLNLSGNNFTMSQLPVTGFEQLTELTHLDLSDTDIAGKVPAGIGRLVSLVYLDLSTSFVIVSYDDENSITQYAVDSIGQLSAPNMETLLTNLTNLEELHMGMVDMSNNGEQWCDHIAKYTPKLQVLSLPYCSLSGPICASFAAMRSLTTIELHYNSLSGSVPEFLAGFSNLTVLQLSTNKFQGWFPPMIFQHKKLRTIDLSKNPDISGNLPNFSQDSSLENLSVSRTNFTGMIPSSISNLRSLKKLGIGASGFSGTLPSSLGSFLYLDLLEVSGFQIVGSMPSWISNLTSLTVLQFSNCGLSGHVPSSIGNLRELIKLALYNCKFSGKVPPQILNLTHLETLVLHSNNFDGTIELTSFSKLKNLSALSLSNNKLLVVDGENSSSLVSFPNLEFLSLASCSISTFPNILKHLDKMFSLDISHNQIQGAIPQWAWKTWKGLEFLLLNMSHNNFTSLGSDPLLPLHIEFLDLSFNSIEGPIPIPQEGSSTLDYSSNQFSFIPLHYLTYLGETLTFKASRNKLSGDIPPSICTAARNLQLFDLSYNNLSGSIPSCLMEDATDLQVLSLKENKLVGNLPDSIKEGCSLEAIDLSGNLIDGKIPRSLVACRNLEILDVGNNQISDSFPCWMSKLRKLQVLVLKSNKFTGQVMDPSYTVDRNSCAFTQLRIADMASNNFNGTLPEAWFKMLKSMIAMTQNDTLVMENKYYHGQTYQFTASVTYKGSDTTISKILRTLMLIDFSNNAFHGTIPETVGGLVLLHGLNMSHNALTGSIPTQFGRLNQLESLDLSSNELTGGIPKELASLNFLSTLNLSYNMLVGRIPDSYQFSTFSNNSFLGNIGLCGPPLSKQCDNPKEPIVMTYTSEKSTDVVLVLFTALGFGVSYAMTILILWGRCTRKQR >ORGLA12G0058500.1 pep chromosome:AGI1.1:12:4877305:4879931:1 gene:ORGLA12G0058500 transcript:ORGLA12G0058500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPKAAKNRRPTTRRPRAVAATVTASASADIEDLASPSRCRAVVGPTTAAAEVRAELLRWYDANRRDLPWRRAAEPAGSGSGRGEEQRAYAVWVSEVMLQQTRVPVVVDYYSRWMARWPTVDSLAAATQEEVNEMWAGLGYYRRARFLLEGAKQIVEKGEFPRTASTLREVRGIGDYTAGAIASIAFNEVVPVVDGNVVRVISRFYAIPDNPKESSTVKRFWQLTGELVDPSRPGDFNQAMMELGATLCSKTKPGCSQCPVSSHCQALALSSQNASVKVTDFPRVVPKAKPRSDFAAVCVVQISQGFGEGIAEAEGKDNLFLLIKRPEEGLLAGLWEFPSVLVNEGKTDTLNRRKEMDKYLKQLLSIDVTRRSSVILREDVGQYVHIFSHIRLTMFVELMILNLKDDGEELCKEGPDSTKLRLINENAVQSMGLTSGIRKVYNMVKVFKEKRLKEQSQVPTRKRSRRLK >ORGLA12G0058400.1 pep chromosome:AGI1.1:12:4850816:4851196:1 gene:ORGLA12G0058400 transcript:ORGLA12G0058400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLNGHVALLKALQALAKRKAESCTALLIALLKILPHLLSRRKINKIHSNGDLSAHAQEGHHTLIITLPSCNFLLIFLLMRWIIIQVRGHTIRPELHTSRGSPLTVILAIEFYIQRQKNDIPKWI >ORGLA12G0058300.1 pep chromosome:AGI1.1:12:4849457:4850259:-1 gene:ORGLA12G0058300 transcript:ORGLA12G0058300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINLMDQLLWPLHQDRQTRALVGTGHRHNSSPGKLYVLDHLSLPPSSFGSTTTSSAALGYASSATFPQWHHRLGHLCGSRLSTLIRQGVLGHVSIDTTFDCTGCKLGKQIQLPYPISNSRTSCPFDLVHSDIWGPAPFASKGGHKYYVIFIDDYSRYTWIYFMKHRSQLLSIY >ORGLA12G0058200.1 pep chromosome:AGI1.1:12:4838759:4844847:1 gene:ORGLA12G0058200 transcript:ORGLA12G0058200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDCRRPASDANEEAAVASRPSSRKRKAIEDLEEEEEVDLQPEEESRPPAPPAKGLSCLPAACHEDGIIPAFVIPGSKHRDGSIYRKDAHYWHGLYHLDDTSETRLEPMTPSYSEQDCRPCVTDCQWHIGCSMMQIFSLELAEISNFATGAAGAGAIQLYGFMAARDLLDPLRNYVFNRTRDDPFTIRDVSYPFIQMTGPKRGITMNSRVMIEYDLRIKRGENEQDDLVLIDGAATFSEITNFIPYIYRIHGDCGMAVDITLAHFILAIEATLQVRIYELKDGCGSLNLTMTCRVSRMTPQIKLFQGPIDKLRDQNRFVVVATLNTLIITEFKLTHQHGSISRRFESRVVPHGSMSHCAKFADLATIGVEIFWSILPTSLQEGFXAFYFVTFYLFPGKKSPTCKXLRAMQVFYXDLCHIYCHMNQNQPRLHVYVNCRANLAIXAKHIFFSFNXFYGCXICTEIGISIRTSTFSSDYDSFEGTSCEXNVGMSWELLEGSISAGAVSNFKLYDFCGEERCLSEMDAALLIREFDVTELDKWSRAMHKGEEARAESMAVKILEVTHV >ORGLA12G0058100.1 pep chromosome:AGI1.1:12:4829062:4829874:1 gene:ORGLA12G0058100 transcript:ORGLA12G0058100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSYSEQDCKPCVADCQWHIGGSMMQIFSLKLAEISNFATRAPGGGAIQLYGFMAVRDLLDPLRNYVFNRTRDNPFTIGDVSYPFIQMTGPKRGIAMNARVMIEYDMRIKMGESEQDDLVLVDGAATFSEITNVIPYIYRINGDCGMAVDIRLAHFSRAIEATAQVRVYKLKDGCGSLNLTITCHVSYMPPQIKLFQGPIDKLGDQNRFVVSAKLNTLMITEFKLVHQHSSISQRFESMVMPHGSKFHCAKLADLATIGVEIFWSILPI >ORGLA12G0058000.1 pep chromosome:AGI1.1:12:4814762:4821856:1 gene:ORGLA12G0058000 transcript:ORGLA12G0058000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSVALYGQLKAAQPFFLLAGPNVIESEEHVLKMAKHIKGITTKLGLPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKATYDLPVVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKIRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWLREANCPVVADVTHALQQPAGKKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPLNAPCDGPTQWPLRNLEELLEELIAIARVTKGKKPLKIDLTPFKE >ORGLA12G0057900.1 pep chromosome:AGI1.1:12:4791897:4792280:-1 gene:ORGLA12G0057900 transcript:ORGLA12G0057900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEHLMITMEDQMFREQQMQRGGRHHQHHTTREQEQQQKQQQRRRRLMNNAANGGGGGDGGRSYFSTEAILVLACVTVSLLVLPLILPPLPPPPTLLLLLPVCLLALLVVLAFMPTDMRTMASSYL >ORGLA12G0057800.1 pep chromosome:AGI1.1:12:4782743:4789138:1 gene:ORGLA12G0057800 transcript:ORGLA12G0057800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSPWNIIFLLIVLVQVFVASADTNAQDTSGLNGIAGSWGNKPSNWAGNDPCGDKWIGIICTGNRVTSIRLSSFGLFGTLSGDIQSLTELQYLDLSYNKNLNGPLPSTIGTLSKLQNLILVGCGFTGEIPKEIGQLSNLIFLSLNSNKFTGSIPPSLGGLSKLYWFDLADNQLTGGLPISNATSPGLDNLTSTKHFHFGINQLSGSIPSQIFNSNMKLIHLLLDNNKFSGSIPSTLGLLKTLEVLRFDNNPQLTGPVPTNLKNLTSLAEFHLANCNLTGPLPDLTGMTSLSFVDMSNNSFSPSDVPTWFTASLSLTSLYLENLRIGGEVPQGLFSLPSIQTLRLRGNHLNGTLSILDFSSQLQLVDLRDNDITTVTSAGMTYKKTLMLSGNPYCNQGNDDAHCKASGQSNPALPPYKTTSNCPALPPQCLSTQQLSPNCICSVPYRGTLFFRSPGFSDLGNSSYFIQLEGTMKTKFLSLSLPVDSIAIHDPFVDANNNLEMSLEVYPSGKDQFSEQDISGIGFILSNQTYKPPSNFGPYYFLGQTYSFANGALQTSKSNTNHIPLIVGASVGGAAVIAALLALIICIARRKRSPKQTEDRSQSYVSWDIKSTSTSTAPQVRGARMFSFDELKKVTNNFSEANDIGTGGYGKVYRGTLPTGQLVAVKRSQQGSLQGNLEFRTEIELLSRVHHKNVVSLVGFCFDQGEQMLVYEYVPNGTLKESLTGKSGVRLDWKRRLRVVLGAAKGIAYLHELADPPIIHRDIKSSNVLLDERLNAKVSDFGLSKLLGEDGRGQITTQVKGTMGYLDPEYYMTQQLTDRSDVYSFGVLLLEVITARKPLERGRYVVREVKEAVDRRKDMYGLHELLDPALGASSALAGLEPYVDLALRCVEESGADRPSMGEAVAEIERIAKVAGAGGAAAAESAASDSMSYAASRTPRHPYGGGGGDSASEYSGGGLPSMRVEPK >ORGLA12G0057700.1 pep chromosome:AGI1.1:12:4776890:4779689:1 gene:ORGLA12G0057700 transcript:ORGLA12G0057700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPILYGAWISSCSHRIRIVLNLKGVDYEYKSVNPRTDPDYEKINPIKYIPALVDGDLVVSDSLAIALYLEDKYPQHALLPKDLKKKALNLQIANIVCSSIQPLQGYAVIGLHEGKLSPDESLQIVQHYIDKGFKAIEKLLEGSNFKYATGDEVQLGDVFLAPQIHAGINRFQIDITKYPILARLHDTYMEIPAFQAALPKNQPDAPSC >ORGLA12G0057600.1 pep chromosome:AGI1.1:12:4767153:4775574:1 gene:ORGLA12G0057600 transcript:ORGLA12G0057600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEKKPVLYSEWMSSCSYRVRIALNLKGIDYEYRAVTQGDPDYGKINPIKYVPALVDGDFTVSDSLAIILYLEDKYPQHPLLPQDLKKKALNMQIANIVCSSIQPLQCYAVIALADGKMSANESLLIVQHYTNKGFRAIEKLLEGCDSKYATGDKVQLADVFLAPQIHAGITRFQIDMSKYPILARFYKAYMELPAFQAAVPENQPDAPSS >ORGLA12G0057500.1 pep chromosome:AGI1.1:12:4753600:4757892:-1 gene:ORGLA12G0057500 transcript:ORGLA12G0057500.1 gene_biotype:protein_coding transcript_biotype:protein_coding THDSWIITYCIXPXFDLXNRPGQLXLFFLYNTLKNKHSSAQTATTNXIXNFTQSISKRKXFEHHLFPXQPAVLQAAMATSAAVVFAGKSVAAPVIKEIITRALNYLDGYLSAKSMEEMKNKLEEGMLQIQAVLDVVNPDQFKEHSVALDRWFWKLRDAVEEAEDAIDELEYYELKEKAKDYKISDWGSPLAKWKHKVVKSIKDVSVLDKSVNQFTHRGTLKRLKKAMDGLDKAAAGTTKFLEIVRCLDGGTSSSQKLEHLASSNDRQTGSMLTADKFVGRESEKKRIIEWLTKDTSVKESEIVPSANYVPIFSVVGHGGMGKTTLAQSICQQEEVVKHFQVIWITVSTSFDATSVTRKILESATRKEPSNKHLEPLQQDLKEKLNSVKFLLIMDDAWEEGKRDEWEKLFAPLRSGKNGSKILLTTRMASVADMAAKAMGVARDCLTLQGLEEGENIELFNHHVFSGLNLQDYGHFKKTGEKIARKLGGCPLVIKVTCGHLQGNMTVEYWENFLHIHLEHFKGSDIDIMKVLKLSYQHLPTELQICFRFCSLFAEDHEFDKEDLVHMWMCSGLISQAPSETLNFEDIGERILADLTRKSFFDVKSRVYTYGLDHKEYYVMHDLMHELARNVSFGECARITNPIRFKDIQDTVRHICISCIPQFSIDVVKKISQFKNLRSIVIDTLSVIDTISVVDKDTMYTLQNIIESTKSLRLFHSRLRIRFDFSSKFGRLKHLRYINISGMSSKGIYHVAKLYHLLVLSISSPTMVFPCCRSLLCVAKQERFMVNLYRLRHVAYGFNDSDTYNFSGILPISRLEXIRRLSIYHVKESRDNKVSSIRNLHCLRELNVLGLENIENHEEAINAKLNEKQHLCSLSLHWSPHTGEHGSIDELVLHHLKPHANIRKLRICGYEGRVVPFWIENLSVRKLVSIKLESCINWEQLPSLGELILLRYLLLRNLPKLQQIGRHSHMSSSSSMELLLPPNFLSLEIEQCPELQELPLLPPSLLSFQIKKVNWTKLPRMGKLCIESDRPGYQMFPGRQLFXAEAAHGSSKKPAHQQLYTSGVCIDTIXSNEFTHISRYLGLSEIEGIERCWRKVPAIITSTSIDKIMWRLXAYIVWVTARASTHQSLHVVSEELLEPGIHPLCRRFQXSHLATGHHHRGMXESVILGWAXIPSIPLHVEFEXLLEPGIPPLCRSFQXSHLVEEYLYRGMXESVNLGGAWIPSIPLXINYQMCXTHKIWFIRKSICICLXRRASGGFKKLPVYQPSYNXSSIPVACXSSQESLPHXMLSNXRCVTNGEFTXLFATTEQFIPXILNHMQSQDLGIAPTEYARPNLPPVFEAIRCXPTPRFTSRIAHLPVGAXYLAMWFRVGEEIXKTWNPXKKQDCPHPXSENRYLHLQHSHSCLLXSVLAXCH >ORGLA12G0057400.1 pep chromosome:AGI1.1:12:4743758:4750867:1 gene:ORGLA12G0057400 transcript:ORGLA12G0057400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHMDVDGESSGARALGDMGDLETYAFENESCGICRDIVIDRGVLDCCQHWFCYTCIDNWSAITNRCPLCKSEFQRITCTPVYDTTGVNNEDEYSLTSGDDDWLQGESNTLSFPSYYIDAEAVVCLDDGDCKIRSGLVSVEDDSTFDTSIACDSCDLCGDCSTGSDRTTIDASFSGRVSVSVADEGETALVVSMVGVHSEIRDGLKTEQKGLNSNSYPSYSKDDLLNKTVADAKILRNSDGFSMSHNRYSELNLVHTVSSEATERPLEFSPIRESAHTLFRPEQGNMSNVQAPSCSFSQTSKVAENSGEENALFRNNARSTVIESPQLSSPAGNVARSNDIDMIDAGEVQQMRSAPVGQLTSMHDGESISDMEEVKYKESGDEIGHPAKRARSEVPEQEINMIGNSGISPTDDRTTSSAAKAAIGDTPEFLRSDKSVPDIMSIVEGEDYSRDPGRELARPVGRRAGDKPGLRVKKIFRKEEGKKSTAVVQKLQQEIREVVRDTGTTILEKENAFDEKLLTAFRAAIGKPADEPAGRANLSLIKSRRALLQKGKIRDNLTKKLYGSSAGRRRSAWHRDWEVEFWKHRCSSGINPEKIETLQSVLQLLKKSSEMDKEIAQDKKGVNTDSILSRVYLADASVVPRKDDVKPLSAVAGCPPNNSKAPNKPTPGTEITKISSPNSTGRVLSSSIISKEASGRRENKNSQAAPNQQNQSAGDIKHDKRKWALEVLARKNASSITSKDKSEVADDLKGNYPLLAQLPVDMRPQLTTGRHNKVPLSVRQAQLYRIAEHYLQKANLSVIRRCADTELAIADAVNVEKDISERSSSKSVYVNLCSQATRQPAKGKSENDASDLIEKSESENGPLPQQVQTENTNICNSDTEESLNRTGHSDLPASPIQTIKGEIGGDLVPEKTVGFSNVEEALKMAGLLDSPPNSPERKNTTIEGGCIVDTDSEPSKKLQSTSDSIVRDISSHKDADDSSMLIDIHDENGQNLHSVTSSQQSKHTDDEHQKLILGEESTDVTANKIVSVNLDEAGCSAEHGNSNGSNKEIPAYTNTPDEGAGYVGDAKEVKVPASDSCNQTCQANSSPTKSKKDRKIPILDSTEERSCCNNAELNNGLPNRNKLSTDLAQSGDDSKKSARDPDNHKPDSSGSIHKKVEMFVKENIRPLCKSGVITVEQYRWAVAKTTDKVMKYHSDAKNANFLIKEGDKVKKLALQYVEAAQQKVT >ORGLA12G0057300.1 pep chromosome:AGI1.1:12:4718935:4722167:-1 gene:ORGLA12G0057300 transcript:ORGLA12G0057300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIKDIAATIKHIETQHASSKTKNSGTKKSHGEGSDTSEYLPEDDEQGVQCDDDSYLEAEQPIPIMIEYPRQTRRKKCATKKKPTLKMPPGVRISKRVRAGAPTEMPPGVSTRSAKRQLIAEQGKGNGHEELPPETENNGDEDVGSGHLLQDNDVNRPPSPVMDWSYGHDANHEEQPAQQTNSDVEVPIRRGTRKSRPPTAGIMLDKMTKAMGRMPIAVAEGKKRPDEPVQAAKFSSEAGVIIRTKVPVFPHWKQYKDDEGYINNFMGKLSVRLAINQKHQPTRDACADVLQKGIRQTRYNLKKAYFNGVPANEIRTTSPISSMTDEQWLELVAKWSNPKNMQISEQNKQNRLNVRFHQATGSRSYAAHLHAYKEKNKVVELDAVDAFEDCHTSRKKGLSDAAKDAISSMKAIMEEPVPDGETPRTSAEVVSKVLSRDNSNTTFLKNAGLQMSSKKSVTPTEAALQEELAAEKQSSAILHAEVVELKEQANLANEALAKTQKELAEFKQQQEENNLLLRRILSLSQGNLNLS >ORGLA12G0057200.1 pep chromosome:AGI1.1:12:4706432:4711588:-1 gene:ORGLA12G0057200 transcript:ORGLA12G0057200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMVEGCVGPSELHLRKELTALRKARFLRDPETSSTWRSPLDSRPLAAASNIVHNSNGVGDSIAPKHTESPTPSKGEKKQKKVYLYNWRQYSNKSSESGMKLDEDINLESPHNSNGVGLKSNACQDDPVNIYNFQSSTSCTPIKRVARRSRVLLSKKGTARDPAVSKLLDLHVNSTEQTEDTENSEVGYFSRPTSPLFAACGCVSASNTSRLLKVGRREGSSFSCTPISTRSYYKHGRVNSSTIGSWDGRTATSFDGDESNQSTLWRSRRSHVPGYSSKRNKCKGSKRSYSPSLSDILRRKGSGLLCGSQTLHRKKRSFGSLKWGHSKTSAHGMSLLDGSCDFGSSSFDSSSDELSTNVGELDLEALSRLDGRRWSSCKSQDGVDPAVHGADLAMADRRSLSQKYRPKLFSEIVGQHIVAQSLSNAITREKIAPAYLFQGPRGTGKTSTARIFSMGLSCLAPGENKPCGICKECTDFLSGNGTNLIEVDASNRKSINRIKNLLENIPPSATSSRYKLFVVDECHMVSSKLWSAFMKFLDEPLPRVVFVFITIDPDNLPRAVISRCQKYVFSKIKDIDIVCRLRRICVKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDTAETVKRSRELMDSGIDPMALMSQLAGLIMDIIAGTYKLADSTANDMAVGGRSLTGGELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLSCGHSSEMNQARSSTTECHKSMNDAVTEAGRESSSSRAASHSISAFGVSKKLLDPKATSLHSSPQALASHSSRSRLNGNFAYGGECMSADRFLQDSTQRSNYSEQKVLVNGNLESLAHIWMRCIENCHSKTLQQLLFDHGKLVCVRQCEGCVLAFIAFEDSSIRSRAERFLSSITNSIETVLRCTVEVRMGLLPDFIASGLTSEMGPKVRRAESDVLSCSSNSDRLKGTLNTSSRNFDYSDEVKKGLEQFKFASAGDERLQSASVTSVNSGKTKATHMSKISMIDEQRLESAWLQAAEKHTPGMVNQTRPDRHQVVPQIVSPYERRSSMVLVVPSGQEDEDLSHGIKAMKIVDSYGVQKDQNGRNVNRNVVSPSKLHSDDYYMENCDKESIVLNHSYRLKFIVARKLDDLVALAFSTVGKLKDQRG >ORGLA12G0057100.1 pep chromosome:AGI1.1:12:4703252:4705698:1 gene:ORGLA12G0057100 transcript:ORGLA12G0057100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGCDACEQAEAAVLCCADEAALCRRCDAAVHSANRLAGKHTRVALLLPSSSAAAGDDDHHPTCDICQEKTGYFFCLEDRALLCRSCDVAVHTATAHAAAHRRFLITGVRIGGSVDAAAAADVIVSPTSSSIAPAGSASRNHAVAGAGAAGNNNGRSPAPVRFSGGDGGVEPEQQWPWSDVFAADDDDDVSAAMEQCYYHGISEPHSSSLTG >ORGLA12G0057000.1 pep chromosome:AGI1.1:12:4697451:4699445:1 gene:ORGLA12G0057000 transcript:ORGLA12G0057000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTRTRKLVKGMAKPAPAWLKAMEQAPPPTFPRTDGKIKKIELPEDVYVKRFFKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKKDGVNEDDAMAVADMEYAAEKKAKKKAYKELKEIARIEGKRPPPNPYPSAIKEIQAEEKKYVRERFHNPKILEIVKKMKEDKELFFKDREASRAGQ >ORGLA12G0056900.1 pep chromosome:AGI1.1:12:4688260:4693293:-1 gene:ORGLA12G0056900 transcript:ORGLA12G0056900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33290) TAIR;Acc:AT1G33290] MAPSPTRLLHLPLLAPKPSPPRCLVSRRSRPRPAGDAARCGCATEAGGGGGGGGSVVVEDDLYELLQVLPRDLRDNLQNEPRKDQLLEVILDLGRRPEARFLGDSGGQYLRDSEISQQELEEAQRAVGEFGGDNRAGIEGTLHRISAIRSRKGMVVGLTCRVGRAVTGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVAQPSMQHTVMIEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGEHLANIIKNPTLSDLIGGVETVTLGDEEARARRSQKSILERKAPPTFPFLIEMRERHYWVTHRTERSVDMLLHGKKPLVERVVGIDSKDLSSYITATPAKELVLFIEKMK >ORGLA12G0056800.1 pep chromosome:AGI1.1:12:4677649:4679869:1 gene:ORGLA12G0056800 transcript:ORGLA12G0056800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGAQGELPLPMHAAASPYLGLHHDHHHHHGGGGGGGGMNGRHMSPPTPPAAAEESKAVVVVSSSATAAARYRECLKNHAAAIGGSATDGCGEFMPGGEEGSLDALRCSACGCHRNFHRKELDAAAAPPLHHHHHQLLGVGAHPRGHGHHHHHLLVAALPPPTRMVMPLSAMHTSESDDAAARPGGGAAARKRFRTKFTAEQKARMLGFAEEVGWRLQKLEDAVVQRFCQEVGVKRRVLKWGGGGGPVXERGDVFFLGEEGGGVVIHXEIKXKYTWPLHLHLSGCRXHRKXNKL >ORGLA12G0056700.1 pep chromosome:AGI1.1:12:4646247:4649717:1 gene:ORGLA12G0056700 transcript:ORGLA12G0056700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G47830) TAIR;Acc:AT1G47830] MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSMCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKRAIIERMGELEKLE >ORGLA12G0056600.1 pep chromosome:AGI1.1:12:4641657:4642621:1 gene:ORGLA12G0056600 transcript:ORGLA12G0056600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAAEWNDENTKIICELYAEQVRAGNRPSTHLNSIGYSQVASKFQQRTHLLYTKRQLKNKWDKLRNEYTIWKKLLIRGSGLGWDSTKGTIAADENWWNKTNTELPGAKKFRKAGMKNLDHLRVMFDDIASNGVDHTPVPATSSPSTPESPVNVANLDGLDNDMEDNDDTQLEEESPLNRNKKRPRHANNANKNKSSRTEIALLMQAQLKGMADLAEKAQATFENFTSLVGSLGSSIQYVMTLVQECGARSGSDEHFIATELFVSREQREMFLTLSTAEERLEWLRRKYKAKYGA >ORGLA12G0056500.1 pep chromosome:AGI1.1:12:4635008:4638794:1 gene:ORGLA12G0056500 transcript:ORGLA12G0056500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDADVALIVFSSRGRLYEYSNNNNVKATIDRYKKAHACGSTSGAPLIEVNAQQYYQQESAKLRHQIQMLQNTNKHLVGDNVSNLSLKELKQLESRLEKGIAKIRARKNELLASEINYMAKREIELQNDNMDLRTKIAEEEQQLQQVTVARSAAMELQAAAAAQQQQQNPFAVAAAQLDMKCFFPLNLFEAAAQVQAVAAQRQQIIPTELNLGYHHHLAIPGAAAADAPPPHF >ORGLA12G0056400.1 pep chromosome:AGI1.1:12:4623287:4623889:-1 gene:ORGLA12G0056400 transcript:ORGLA12G0056400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGLAMGTREVSRWLVEARDDAAAMEDEWGIGDDRSATXARDQAAAMTMEGGGGGKWEEELTLRGSRGAGRTKDMEKGGSKQGYGEGSSSGLVGHVVEE >ORGLA12G0056300.1 pep chromosome:AGI1.1:12:4614956:4618349:-1 gene:ORGLA12G0056300 transcript:ORGLA12G0056300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMRRIENPVHRQVTFCKRRGGLLKKARELSVLCDADVGVIIFSSQGKLHELATNGNMHNLVERYQSNVAGGQMEPGALQRQQVAEQGIFLLREEIDLLQRGLRSTYGGGAGEMTLDKLHALEKGLELWIYQIRTTKMQMMQQEIQFLRNKEGILKEANEMLQEKVKEQQKLYMSLLDLHSQQPTQPMTYGNRFFSI >ORGLA12G0056200.1 pep chromosome:AGI1.1:12:4614172:4614609:1 gene:ORGLA12G0056200 transcript:ORGLA12G0056200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGTLAPLFLLPLRRRPPLPHPISLMPTSPSTLRPRHRRRLAVALAANGGGGGDGDGGPPAEEGEEKRRKGPSLPALSEIRWGELLTPEPANAAAVALSAALAWAGASLLLQLALISFAIFTAAVKYSFVAALLLFVLIALL >ORGLA12G0056100.1 pep chromosome:AGI1.1:12:4605316:4607278:-1 gene:ORGLA12G0056100 transcript:ORGLA12G0056100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLVFAGKSVATPAISFFVNKAFSYLNKYHKSEGLGDMKKRLKMNIPKIQSVIDVVDPDYIKERSEDLDTWLWQLRDAVEKAEDAIDELEYCELKEKQKDLKVSHLGSSFAKMNHKFFQSVKHVKMLGKTSDSPLKRLKKAMEDLDEAAKGVENFLTVVDQITRPNLNNPQRHHSISSYRETGRMLTADRVFGRENEKERIVGWLTSTSSEENEVVMNNNPVPIMSIVGHGGIGKTTLAQLITKDNRIKEHFKTVIWVSVSTNFHAETXXVKXYNLLHYQNLVSIPTMHYKNIWQGHLRPLNIFSFXMMSGKIRKSLSGKKICLFENRSVWEEDFVDNPNAISGRFSISYPQKFEELQEAGEKIAKKLRGCPLVTKVIAGHLREDMTVQFWNKFLDEQLGYFDGGMEDIMKVLKVSYYHLPPGLQVCFRYCSIFPXDHEFKKEELVQMWIASGLISQTTGECARIFDANGSKKVKRTVRHLSVVGINSFPADIIKSFSRFKNLRTIVFEDCHDIQDNTVCSVEEVVETLKALRVVKAHLFSRCHFPGKFANLKHLRYVSVSFN >ORGLA12G0056000.1 pep chromosome:AGI1.1:12:4600967:4601989:1 gene:ORGLA12G0056000 transcript:ORGLA12G0056000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRRRRRRPDDAPPPPQASRLGPVTATPFCEGAPLHHTQHQPSSKSQLQRQRRRNGHACALLILLCSESITQYASFSTRHELGHQKHGRREEEKLLAKLVALVSAAAGDADAAVNSMAPRNQFCCCLLQSFYTLPNPLPRSSRRGASLRRRDEEDDSDDDDRKEEAVELEMLRSERRAVRLPRSHSTGQSLVASAAAAAESGDHDRFTLRLPQHVRKGQNGHKNNYLDEKLIFCK >ORGLA12G0055900.1 pep chromosome:AGI1.1:12:4583861:4585006:-1 gene:ORGLA12G0055900 transcript:ORGLA12G0055900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAWTIVPGIIVSLIVLVVTKLWDPIAQVYGYPFDAERRVQKLVDEFSKLQDQLGELGILDPKPSSAVLSGWLQRAAGCKDKVEEIKRRHESVKSVGVQGLLPRINVVRHLCAIGRDADLELEKVKDLIAKGEGHLKEAGAAPHPIPIPIPLLPPPAAEFDNGQLAQSILDTAAAGTWGVGIQAMKPHLTSVLDFVREDGGGAPGVLGVWGMGGAGKTTLLKLARDPRVQTLDHIVLAEAGKCCDIAKLQDSIAQGTSLVLPPSLSVTNRATVLCNHLRNKEFLLLLDDLWNYIDLEAVGIPLPLGRGNQRKVVLTSRSEAVCVSMARQGVTIRMGCLDQQDAFKLFEDKVGSATINADTRIPELARQVMKYSLFYLFLV >ORGLA12G0055800.1 pep chromosome:AGI1.1:12:4577851:4579865:-1 gene:ORGLA12G0055800 transcript:ORGLA12G0055800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISTISKCVAEMCGGLPLVLCVIGRSMCTKKNYKLWVDAVNRLEKSKVHNNLVGDDDIFNILRYSFDGLRDDEARGCFLACTLFPPFYIEKKRLIRWCMGLGFLDPANGFEGGESVIDSLQGASLLESAGSYSVDMHDIIRDMALWIVRGPGGEKWSVLNRAWVQDATIRKMNNGYWTREEWPPKDTWPELEMLAMESNHSYLDPWMVSSIGQMTNITFLELVSLDTFPMEICELHKLEYLCIKGGSMSRLPIELGKLSKLKQLHLRQSCSLGEIPTGLISQLVNLQVLDLFCSSIDYPYRPKSAAGGLYNFLGELAEARASEKLKILGICLDATRDNRAFLKQLMQKQVRIRSLCLSFINPISPGHDQPQPATSRYMIAELQPFSNDLGELAISSSDILQELVATSDGKELIQNLEHLCLENLNVLERVIWLNAARNLRRVDIKKCAKLTHATWVLQLGYLEELGIHDCPQFKRLIDHKELAENPPDHVIFPRLTYLDLSDLPELSDICELPCEFKSSLALLVENCDKLMNISFHYPPGHDQKNIRVFCDNEWFNRLECKPNIMKSYLSQNMRFSAI >ORGLA12G0055700.1 pep chromosome:AGI1.1:12:4570588:4575078:1 gene:ORGLA12G0055700 transcript:ORGLA12G0055700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLVFAGKSVASPTISFFANKAFNYLQNQYRKAQGIEDMKIRLQRNIPKIQSVIDIIDTDYIKESSEDLDTWLWQLRDAVEEAEDVIDELEYYELKEKEKDQKVSHQGSSFTKMKRKCLQSIKHISVFGKTSDCPLKRLKNAMGGLDEAVKGVDNFLALVDQIKRTTLDNSQRVDEMNRTNMAFSVLVFAGKTVATPAISLLVNKLRDAVEEAEDAIDELEYYKLKEKEKDQKVSHQGSSFTKMKSKCFQSVKHISVFSKTFDCPLKRLKDACGSYLDPKEFEDIREAGEKITKKLRGCPLVTKVIAGHLREHMTVQFWDRFLHEHLGYFDGRMENIMKVLKLSYFHLPPGAQVCFRYCSIFPQNHEFKKGELVQMWIASGLIPQTTGEAKRAQDVGEEYLIQLTRKSFVDLKLRNFHFGRNEGHEYYVMHDLIYDLATWVSSSECARIAYVNGSERVKRTLCHLSVVGINSFPVEAIKSFCQFKYLRTIIFEDSHDIQDDAVRAVEEVLESLKALRVVQCKVQ >ORGLA12G0055600.1 pep chromosome:AGI1.1:12:4551957:4554065:-1 gene:ORGLA12G0055600 transcript:ORGLA12G0055600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMECTLSGRSVTAMFIVNLIDKALPYLDEDRNSDELSQPLMMNKLCEDWLMIQPMFDALTTNQSGETRSLGDLDVWLWNLRDAIEELEDAIDEHEYYKPREKAKEQEVCELGSYFSKMKQVVTNFLTHSDSTLERLRKAIEDLEIVTSADAARLMGRTTYRQQLDTHVLPQDRETGFTPVVPNKMFGRDKEMEMIVQWLINPLDGNAETQVSSTNPMHVPVMSLVGPCGMGKTALAHLVCTDASVRNHFDVIAWVCVSTSFDAERVIDEIVEQITCSPYKCAHGEETHYILXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXELLRLKKLRTIIVEDCGHVQEEVVSAMAEVVQNSKSLRLLECSLFKRWHFPDGLSGLKHLRYVKISML >ORGLA12G0055500.1 pep chromosome:AGI1.1:12:4550275:4550496:-1 gene:ORGLA12G0055500 transcript:ORGLA12G0055500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRVGGVCGEGGKERQEARRRVKRKRGNGGSAHGRSSGARDEVGMLRGIQPNWRVGGEGGSSASGDGQGLP >ORGLA12G0055400.1 pep chromosome:AGI1.1:12:4543197:4545151:1 gene:ORGLA12G0055400 transcript:ORGLA12G0055400.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVLVFAGKSLATPAISFFVNKAFSYLNEYRKAEGLEAVKNRLEENIPKIQSVIDVADPDYIKDKSEALDAWLWQLRDAVEEAEDAIDELEVERDQNKVSHQAGSSSFTRMKHKFVQSVKHVRVLGTTRSQNFLTLVQIHQNTRSNLNNPEQDDISFRAKGKDLNADRVFGRENEKEHIVGWLTNTSSEDNQDAKNNNHVPIMSIVGHGGIGKTTLAQLISHDSRIKKHFDTVIWVAVSMSFDAKTLFDKIIQSVTLSKPSVDTFEALQQHLKKEIETIKYLLILDDVWEDKDISKWENLFSSLRTGVCGRKILLTTRMQSVADLASAVMRCEREFFPLCGLEEDENLRLFNHHAFINPDPQEFEDFQQVGEEIAKKLRGCPLVTKVVAGHLRAHMKVQFWNTFLHEHLDNFDGSMEDVVKVLKLSYYHLVPGLQVCFRYCSIFPKNHEFKKEELVKMWIAAGLISQTTGEAERAQDAAEEYLDLLNRKSFFELKLRNFRFGRNECHEYFVMHDLMHDLATWVSSGECARIADVASSKKLKPTVRHLSVAGIDGFPADAIKSLSQFKNLRTIIIEDCHDIQDDTSREVEKVIESLKALRVVQYHMFSRSRFPGKEANLKHLRYVSVSMN >ORGLA12G0055300.1 pep chromosome:AGI1.1:12:4538066:4539559:-1 gene:ORGLA12G0055300 transcript:ORGLA12G0055300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFAGQSATDEVIANLIDKASSYLGSNPDRLQESMRMLRLKLPIMCDTLVPAQSRESYSGLGVLLDESLWRLRDAVEELEDAVDEHAYHEKKTKEREVSDGDLGSSFSKMKQRVIKSLANGRTLERRLRKAIEALDMVIVDIAKRLPSDVRLTHEQLDEHRPQRYRMTAGFPFCEERVLGREKETDVIVRWLIDPLNDDDAETQVSPNNHHVSVMSIVGHGGVGKTTLAQLVCNDPRVRDHFDMVAWLYVTSSFDVGRIMREIVECVTCSPCSSDSLENMQHILQDKINSTKAFLLVLDDVWEENLHEWEKLFSVLRGINTRIKILLTTRTQSVATLVESVTGCEDQHLRLHELEENGNLQIFCQLALADLKVGSEGYAELQSIGAKIVKKLGGTPVAIKIAAYQLRLCPTLEFWRRFLHRMDKEAVPNIMDVLKISYYRLSAELLSLLQLISKKSSIQKGGVGEDLDLVRIDISSIREKGRRVILGSIDCKFVP >ORGLA12G0055200.1 pep chromosome:AGI1.1:12:4526989:4527876:-1 gene:ORGLA12G0055200 transcript:ORGLA12G0055200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMHVRKAIHFVSMKAKLQSFGGLRLLLVGCLAALLLLFAVRTLSFTTSSATATAAREAAEAGCGKLPAAVAQAMVHYATANVTPQQTAAEIGVSLRVLQRRAPCNFLVFGLGLDSAMWAALNHGGRTVFLEEDASWIASVKAGHPGLESYHVAYDTRVTDADELIALRHEPACTSQPDLAAAAAASCRLALRGLPPVFHEVEWDLIMVDAPTGWTPESPGRMGAIYTAGMAARARTPGAGATEVFVHDVDRHVEDTFSKAFLCDGYLVEQVGRIRRFVIPSHRDKDGTPFCP >ORGLA12G0055100.1 pep chromosome:AGI1.1:12:4482379:4490370:1 gene:ORGLA12G0055100 transcript:ORGLA12G0055100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALAPAPKLEPDERSNLLSLLAAASRPLADVAADFLARFPLERRLRVGAALGFLLEDKKMLHPTGRLIAFAILHQSYSSEPTNPYVPLLLNAACDETSEKSERAFVQLLLTSASGNNNIEVLKQSAVDYINGSDSASQALLPREQLEKLFSSNSVQSQPQTSSFSAGTVRCAIPDPDVPQSCVNSSEPSISLPGTKPKSASDDRDFALAGLLQEKSWGRLGPQWIRPTPPRLHILDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPSQQEDLVEHNPLIAVEILSKLMNSPDIDGYLDVLVHMEMSLHSMEVVNRLTTAVELPTEFVHEYISNCIQSCQNVKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >ORGLA12G0055000.1 pep chromosome:AGI1.1:12:4466314:4471252:-1 gene:ORGLA12G0055000 transcript:ORGLA12G0055000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRRGGGGRPGSGSSSNGGGSGYSWVEKKSKKSEKSVGKGQCAPCTSSNAAPKPATAWQAWSGNGSLHPPGNGRVQHSDHRPAARGSPRSLPQNKHTETKLQAPCPVVTAPLANGLQWVPKSRSSGSESNMDDAPTSGSDPEMDNGATHPVVTATLANGLQWVPRSRSSDSQSNKDDARTASSDPETDNVAPHPVVSAHVANGLQWVPRSHSSGSEMDNGEDYDSYDDDSDDDMVDDTNGDFDSKAAEKNFETRKRHKLLKNIFELLEKLSVEQINEKTRQWHCPACKNVRGGVTWYKGLQPLMNHARTKGSKRVKLHRELAALLEEELYRMGVSMAPSGEFFGIWKGLRENTDRPIVWPPVVIVMNTRLEQDKDGKWKGMGNQELLSYFSKYHVKEXXXXXXXXXXXGMSALIFEGSAVAYKEAERLHYHFVDQRTDRYAWLNHRIVIPGGKRQLYGFLAEKEDLEAFNRHHGKDYLKYEMKSYNEMVVTQLKQMSEDNQQLNYVKNEMVKTEQHSKEVEEALGVETQKLQGAIEDNIILKRKTKEMLSECVEQMEFNAKFYHEQIERLRKDTEEKENEFERLLQEELARAIECDVDSETTENCRLREERVQRIIDCQVKDAEEFDAEQDELIKAHEEKKANVKMEYMAKDVELEEELYAALTSLMEKHKPDIFQPSST >ORGLA12G0054900.1 pep chromosome:AGI1.1:12:4459547:4464868:-1 gene:ORGLA12G0054900 transcript:ORGLA12G0054900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGDRRGGGGPPGSGDDTGGGWETVEKRVKKPAQQVGKGQWGQWNSPNAAPAPTAPWSGSGAFHHSGNTLVRHSDRRPARGTPRPPPQNRSTGAELQAPRGVVTAPLANGWQWGARSCPPGTESKEGGLPLSGCDPETDNAEGDDTSDDDNDDDMSDDLSDDYDSDASEKSFETRKNHKLFKGFFEVLDVLSVEQLNEPTRQWHCPACKNGPGAIDWYKGLQPLMTHAKTKGSIKVKRHRELASLLEEELSRRGTSVVPSGEQFRKWKGLREGTDREIVWPPMVVVMNTVLEQDEDDKWKGMGNQELIDYFSEYAASKARHAYGPNGHRGMSVLIFDSSAVGYMEAERLHDHFVRQRTDRNTWNSAHKVTFLPGGKRQLYGFLATKDDMETFNKHCHGKSRLKYEMRSYNEMVVTQMKQMSEDNQQLNYLKNKMVKKEQHSKLVEDTLSVVTQKLRETMEENTIVRNKAKEKHLEYEKEMKYQEEFFHDQIEKIHKATEEKEIKFEKLLQEERAKARQSDVDSGSTEDRRQRKEKIQNFIDCQVKDVEEFEAERDKLIKLHEEKKVKLKKEYLAKEFELEKELDTALTALMDKHKPDIFKSSTSPST >ORGLA12G0054800.1 pep chromosome:AGI1.1:12:4455403:4458473:1 gene:ORGLA12G0054800 transcript:ORGLA12G0054800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Avirulence induced gene (AIG1) family protein [Source:Projected from Arabidopsis thaliana (AT5G20300) TAIR;Acc:AT5G20300] MMSFRDWISYRLGSSVLSARPFSLSSHHDGAAAQPRGDGDGMMHNEIVETVSANRFPSNDIRTSDSEVTSSASYEPDHDNTKSDPLKHVEALQIKFLRLVHRTGVPPTTDVVAQVLYRLHLANLIKAGESDSKRTNLAINKARVIAAEQEAPGGPDLDLPLRILLLGKTGVGKSATINSIFDEPKVATNALAPATERIRRIDGTIKGIRVTVIDTPGLLPHYHRQRKNRKILHAVKRFIKRSPPDIVLYFERLDHINSRYCEYPLLKLITDILGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYDSYARYCKNVVQRHIQVAASNTQMENPVVLVDNHPMCRRNTKGERVLPNGKVWVSELLLLCGATKLLAEANSLLKFQESFLLSQANTRLPSLPHLLSSLLKPHPSSRSEDVGIDMTEVSDDEDESDQLPPFRVLKKSEYEKLSKEQRSAYLDELDYRETLYLKKQWKEGIRRQKLVEAQNVDASNAVGDDYEESVSPEVVHMSDMEIPSSFDSDYPVHRYRYLITDDMVFRPVLDPQGWDHDIGFDGINFEVSQDLEKNTTASIAGQMRKDKEDLYIQSECSVSYSGKNGRSLIGSMNMQTANKDLVCTVNGDARFHNLPWNTTGGGISITKFGSKYFTGAKLEDSITVGQRVQLVGNAGRMVGCGQVAHGGGLEMTFRGKDYPVREESITVAATALSFEKETVISTNLQSDFRMGRGSKVSVSANLNSRNLGRFCVKTSTSDHSEIALVAAVTLIQFFLRRRTASTDKGEQQFEFDTYSDE >ORGLA12G0054700.1 pep chromosome:AGI1.1:12:4437639:4440871:-1 gene:ORGLA12G0054700 transcript:ORGLA12G0054700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAASSVKDSLNVGGAKAFSNNLFRDYLKSSVSYPVSEISSPIRAQHVAYGSNLIVRGSKSRDFSSKLASTNGSSKVSEERVTVLVIGGGGREHALCYALERSPSCDAVFCAPGNSGIAQSGDATCIPDLDISNSDAVISFCRNWGVGLVVVGPEAPLVAGLANELVKAGIPTFGPSSEAAALEGSKDFMKKLCDKYHIPTAKYQTFTDPSDAKKYVEEQGAPIVVKADGLAAGKGVVVAMTLEKAFEAIDSMLVEGSFGSAGSRVIIEEYLEGEEVSFFALVDGETALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEKLKCKVMESIIVPTVKGMAAEGCKFVGVLYAGIMIEKKSGLPKLIEYNVRFGDPECQVLMMRLQSDLAQVLLAACRGELGSVSLTWSPELATVVVMASEGYPGVYKKGTVIKNIDEAEQVSPAVKIFHAGTALDRDGNFVAAGGRVLGVTAKGKDIEEARAKAYDALDVVDWPEGFFRHDIGWRAQKYRRMVAH >ORGLA12G0054600.1 pep chromosome:AGI1.1:12:4427086:4428475:-1 gene:ORGLA12G0054600 transcript:ORGLA12G0054600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAKERLSLERAINDMEHKLLSKILTIQEELTEIKMHDNLPTRVSNLEADYTDDYIITKXDEEVLHFVRNSYIWATVAVIADIPLAINFLLPNVNGGWLYDTVIDAYGYIANIANDNVGVVTTFQSNLLFDEFEDFDSRFDHPWVSHVGKICVMRHMVHFTHLMN >ORGLA12G0054500.1 pep chromosome:AGI1.1:12:4416524:4417408:-1 gene:ORGLA12G0054500 transcript:ORGLA12G0054500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFIGCICSLALLLLCSHVFQLLSDARRRLPPGLRPLPVIGNLLDVAGELPHRSLSRVAERYGPLVTLRLGTTLAVVASSPATAREVLHRHGASITDRGTPDAWRTEGHESNSIFALPTRHHRWRALRRLGAEQLFSPRRVEKQRPLRRDAVRGLLRHVSELAAASGGGGGTAVVDVGRAAFAAMASLLFGSLFSVGIDAATSCQFRDAAREFALLTLTPNVSEFFPVVAMADLQGLRRRTARHITWMYQLIDGHVERRMRGRETAGAHGEKEKDLLDVMLDISEKQEQNDDSL >ORGLA12G0054400.1 pep chromosome:AGI1.1:12:4395099:4395955:-1 gene:ORGLA12G0054400 transcript:ORGLA12G0054400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAPHICVAHLRSHTTLDFSSLIHVSVNFWHLWTNGLAHFRRPTPSSFDNAYFTDLLSHRVLLRSDLELYGSGAGNGTTDVFVRVFAANATTFEDDFAAAMERPGNLSPLNGKNGERXGPDQLPASELIMNM >ORGLA12G0054300.1 pep chromosome:AGI1.1:12:4394476:4395021:-1 gene:ORGLA12G0054300 transcript:ORGLA12G0054300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLPCHSLWATREAKNPYAQGLPRRRRRLPPSSSPLLPPLFSLPSSFSLDLGLPAARASPPHRAPAASVLDQQRRPRQGLAVAYQTGGLLLWPDLGRRWVASGSGDLLPAYGTVAASAGGGGVRGTAGPGPFRSRSSASSVGVMWGRAPSSGLTSVARRSLGGGAVSPAPPLGVVRPLCR >ORGLA12G0054200.1 pep chromosome:AGI1.1:12:4391863:4392213:-1 gene:ORGLA12G0054200 transcript:ORGLA12G0054200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRKKRKLEVDATCHICGHGYKDGFHAIISFTRAAACDRWCVRFGSCQMKAICSGQDQIGFSSSWTQSMWRAGQNFSYSFGEPGFSEMIAFMARVKLLSSEARFSFRAIGILVND >ORGLA12G0054100.1 pep chromosome:AGI1.1:12:4364341:4365952:1 gene:ORGLA12G0054100 transcript:ORGLA12G0054100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERAGCSTSGPAAGEWRLSPSTLSPSLAYSSYTAILSTLSRHGRPREALSCCRRASDFLPARAAHTYGIQTAPMLAFYASVSPALVALYAMCWKGRRRLLAGEEAGGARERVRAAAGAAVPPRLRRPVRGRRVPRPSEGAGAQWAGREVVTTEAAGVPFNFLNGLDIDQRTSDIYFTNSSSTYRRRPMVNLFLSKSNYYPSPKFLFSWADSHEPTLLKPKSNIPTPQNTVSVLDLPMDPFQQNVSPALPPKPKRRAGKVILMDSERRRSTSINKLSDGFMSPDPNLGCGKAKGKG >ORGLA12G0054000.1 pep chromosome:AGI1.1:12:4352758:4353468:-1 gene:ORGLA12G0054000 transcript:ORGLA12G0054000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TCASIDVTKDFTKILITREGGNHALARRGGAGAAAGRVGGWDAAHAGAIATRQTEQPTGSGGYGSGTGRRSKGIDTVGMLVWLTPTTSPSPGHTEPMILLGRCPCVTYNGIRLDYKLKISKPLPPTFFFKLL >ORGLA12G0053900.1 pep chromosome:AGI1.1:12:4347416:4348822:-1 gene:ORGLA12G0053900 transcript:ORGLA12G0053900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIEPLEVSLEAGNQADSALLDDDGRPRRTGTFWTASAHIITAVIGSGVLSLPWATAQLGWVAGPAVMVVFGGVTYFTATLQAECYRTGDEETGARNYTYIGAVRAILGGANAKLCGIIQYANLVGTAIGYTIAASISMQAIKRAGCFHANGHNVPCHISSTPYMLIFGAFEIVFSQIPDFHEIWWLSIVAAVMSFTYSGVGLGLGIAQTVADGGFRGTIAGVTNVTATQKAWRSLQALGNIAFAFAFSNVYTEIQDTIKAPPPSEAKVMKQASLLSIVATSVFYALCGWMGYAAFGNAAPDNLLTGFGFFEPFWLVDAANVAIAVHLIGAYQVYCQPVFAFVERKASRRWPDSGFVNSELRVGPFAISAFRLAWRSVFVCFTTVVAMALPFFGVIVGLLGAISFWPLTVYLPTEMYIAQRGVRRGSALWIGLRALAVAGFIVSAAATTGAVANFVGDFMKFRPFSG >ORGLA12G0053800.1 pep chromosome:AGI1.1:12:4345186:4345665:1 gene:ORGLA12G0053800 transcript:ORGLA12G0053800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALTRSTSLCTHLVAPILSLSSLANHGKITAKETVKRTIGKAAGDRLDVDERMGTVSASWRKTASRGRPGGSRPAAEAEIEGADPHGRGGRAGLVGAGGGPGGRGDDGDGRTGPPVPCCVQRRRRQPCTRGSVGHAGGAPWRSGEDEVLAATQDRRLL >ORGLA12G0053700.1 pep chromosome:AGI1.1:12:4344279:4344791:-1 gene:ORGLA12G0053700 transcript:ORGLA12G0053700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCNCVVLSDHSFAAFVVFIAVRALTTSSSVLVIVSRSGSSSSTLSIAAASPSCHCRHSRPVVQLPLHGYRRRHPGRWSHYFAFVFVQHDSSPASSYLPRLHFAFLRQPRAAPAILPLRRSRAATILEVFSASLLRHWRMIHGGPLSRPRGIGNTGARVRPELSRGLASPV >ORGLA12G0053600.1 pep chromosome:AGI1.1:12:4334619:4338064:1 gene:ORGLA12G0053600 transcript:ORGLA12G0053600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAVVYDAEAVDDHERQGTVWTATSHIVAAVVGSGVLALAWTVAQLGWVVGPLVLVGFSCVTYYTSTLLANCYRYPDPVTGTANREYIDAVRCYLGPKNVMLCGCAQYVNLWGTLVGYTITASASMIAVKRVNCFHREGYGAGDCGASGSTYMVVFGVFQLLLSQLPSLHNIAWLSVVAVATSFGYSFISLGLCAAKWASHGGAVRGTLAGADLDFPRDKAFNVLLALGNIAFSYTFADVLIEIQDTLRSPPAENKTMKRASFYGLSMTTVFYLLLGCTGYAAFGNDAPGNILTGFAFYEPFWLVDIANICVIVHLIGAYQVFAQPIFARLESYVACQWPDAKFINATYYVRVPGRWWPAATVAVAPLKLVLRTIIIMFTTLVAMLLPFFNAVLGLIGALGFWPLSVYFPVSMHVARLGIRRGEPRWWSLQAMSFVCLLISIAASIGSVQDIVHNLKAAAPFKTVN >ORGLA12G0053500.1 pep chromosome:AGI1.1:12:4316380:4327027:1 gene:ORGLA12G0053500 transcript:ORGLA12G0053500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:I1R4S9] MSAATPDSAAAAKRPIDPSHADPSPAAKLQRSSPPDPSPPAEAQGDATDGGGEVAGVRSGEMAGARNPQAQRYLVAVEYVGTRFSGSQQQLNQRTVVGVLEEAFHKFIGQPVSIFCSSRTDTGVHALSNVCHVDVERISKRKPGEVLPPHEPGVVKRAVNHFLQKNEGDIMVTDVRCVAPDFHARYKALERTYHYRLLSGSEPLSVFEKTSAWHIPEDLNVQAMKKACSILVGHHDFSSFRATGCQANSPMRTLDELSVTEVFPSMFFPSSMERSEMESLDGHLVYSRTSVVESSGKGSDASSTSEQSRFENGEEFGKRLRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGDLTTEDVERILNLKAVTAAPPMAPACGLYLANVKYDLSV >ORGLA12G0053400.1 pep chromosome:AGI1.1:12:4294209:4296637:-1 gene:ORGLA12G0053400 transcript:ORGLA12G0053400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSVTDSTASTFSIVEEDHTLANSVRFVLNQDPRVAFCGYSIPHPADNKVNIRVQTTGDPAKDVLKDSLQDLMVMCQHVRGTFDTAVTQFRQNNPTGMNIDQNKKK >ORGLA12G0053300.1 pep chromosome:AGI1.1:12:4288496:4292836:1 gene:ORGLA12G0053300 transcript:ORGLA12G0053300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANARFQKQALLPPRSPFPAAVGAAPSPSPHAELGPIARPRDAPHRHGHQRTSSESVLIDEQPSWLEDLLDEPEAAARPHGRPGHRRSSSDSFTLFDGGASAAAAGMYDNVFDGMRGRGGGGQQVGSWGGVPEFFPEQSSFGRPQGQGGRPWDSRLMLRQGGGGGGGMPVPMREMNGGHHGPPNAFGDHGHGSLPNGVDRKGPGDSAHDQRMGAERKEGAHLRHSQSEADTKRAKQQYAQRSRVRKLQYIAELERRVQALQTEGVEVSAEMDFLGQQNIMLDLENKALKQRLESLSQEHLIKRFQQEMFEREIGRLRSLFQQQQQQQHIPQQQGPTHSRSNSRDLDSQFANMSLKHGDPNSGRDAVPGLRI >ORGLA12G0053200.1 pep chromosome:AGI1.1:12:4275543:4279357:-1 gene:ORGLA12G0053200 transcript:ORGLA12G0053200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGVMNSHLTKLATLIREECYSKLKGVRNEVVSLEGELRSMEALLEKLACMDELDVQVKEWRDQVREMSYDIEDCIDDFVHRLGKYDVRSGLIKKTTELPRKLRARHQIAKKIEEIKNHVKEVNERRMRYKLDEYTSKSSCEPIDPRVVTIYANTADLVGIDIPRDEVVKLLMGDDEQQLKVASIVGFGGLGKTTLANEVYRKLDGHFHCRAFVSVSQRPDITRLMSKVLSELTGQYNLHIGELDNLLKVIREYLQDKRYLFMCRYFIVIDDLWDSSAWNVIRCAFPENNHGSRVLTTTRIYSVAISCCSNKKEYNMSPLGEVDSRRLLFSRIFGTGEACSEVFEEISGDILKRCGGLPLAIMSISSLLAGQSKTKWEYVRNSLGSMFERNPTLEDMKHILDFSYRNLPQHLKTCLLYLSIYPEDHTIERNGLVRQWMAECFVSRTHGLDSEDVAQSYFNELINRSMIQPVQVDYNDEVLSCRVHDIMLDFIRSKSAEENFIVVLDHPQVVVGAHKKIHRVSVQYDADEEHGIISTTILGSLSQVRSIAVFRSSFRPSLLELKHLRVLHLELPMREVMDLTGICGLSLLRYLQIRGYYACFKLGMKIRQLLHLETFDLGESFVPRIAIPSDIVHLPCLLHLVIPCGTTLPDGIGSLKSLRTLTSLDLALNSVNNIECLGELTNLRHLGVHLGDIGLLADADVARRLDALCSSLESLSRSSSCLRSLDLDSHFILVSFDRLSDLCPSPRHLRRLNLYGCRLPRIPRWISQLHDLYSLLPSLVQLDLGIRECPEERIVVSGAGTAFRALRDLTFSCPKPRRAFLVGAMPRLQRLDLRFYVNGWEQQGGTCLPVGIENLPSSGLKVHLVNVFTDSGSQDVISAKSALRRTFEVHQPSADLIFHF >ORGLA12G0053100.1 pep chromosome:AGI1.1:12:4255461:4257061:-1 gene:ORGLA12G0053100 transcript:ORGLA12G0053100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVIGPAPEISELDERAALYRDKRKAMDYVSNWICPGCRKEYEPIKNMLVDLPPFGCVDCGLKNNAAYEWKITQCTLNGISLDFKTYDQKLEPHCTLYAITAVIDCTRRLEGAQKGFVFSAPFDINEMVETYNKRTGFKLGNEPQDKLYETYDNCPIVMEVLKSDGIAISIGASDVTTTPVPRLKIRSYFRVDPKDVLYITRLLAGGFPLVAGIRHGFLFNYLSDGQYYCAPTCENTSDAHAVALIGCGVGSNGNKTETFYKVRNSHGIKAHSHYQKREFGGDFIVWSSDVTDVWGLYLAQHEC >ORGLA12G0053000.1 pep chromosome:AGI1.1:12:4237085:4240042:1 gene:ORGLA12G0053000 transcript:ORGLA12G0053000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKIAKSTASRGSRSGVKSPNPRSRAANPSRTGGFFSDELRLGRWTCYHGEEEEKPWLPPADKSSESIREYVAEAARRLPLEEMPELLHCVGAVGYCFGLADPVTNIILNAITHLASDDLEEHLPPLPPPKKRTRRTYNHGWGYISLNSFCGLLAFMKMKIALRIAAVQADHPAPDKLVQTMTAQYPSHLLSPIMDKLRGSELLTTHDVRAIMDLLLARQCLPANMDFLCCPNGDACAHRATNHGTLQVATCIVGGAFARISTEIVTPDHIRPQQLQYISNLTFDDSSAMEMKLSKCSSGGCQLDYDFSSPCEYILSLKMCLLDAIHGFYIRALAVLPTGDDSMRRGRLLRALLVSGHCYGPLDPVSNIILNAVLYDAAYPPQPHEGDGKAELPHDIFDTRAMRDMASCSLDGLVALLCTITTTTTGAPLSKHEAVEYLWSWQCDLTEKLQQTVMAKNPYAAAAMASKHPQHTMLAALLVSFSSEKLDRLRYFLRSISDGSGCVISGDDWEQLNKMIKTQLTTIITTREVLPFNPQALSASLRVSAYVNSHSFARSKLEELLLRYSRQHPWEPRYKLDLICGVEEPRSARCGCYHANFLASAHGSVLKFNGETTVAPTTVRRLFFAEFWDSQPGRFYESHAKPMCCPVQDSSPCFGRCIFCGEASTIVHPPCAARSHLDDDDYAPILDYDVQAAIRMWFPKAKSGKRKN >ORGLA12G0052900.1 pep chromosome:AGI1.1:12:4223979:4225098:1 gene:ORGLA12G0052900 transcript:ORGLA12G0052900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVPGVQISASPASWRPDPAASAVGGGVANTIFCHSAWDVFINIMTKPEIDPTNILPISLEDLDEEQPREIEQHLKATQEVLLAGCFTKTRQGVVRKPGSTPKVTVNEFSYDRFVVYFCCKY >ORGLA12G0052800.1 pep chromosome:AGI1.1:12:4216499:4219853:1 gene:ORGLA12G0052800 transcript:ORGLA12G0052800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGQPGCPVVQLPDSPRRTGGLPTACLGVGVKPHHGVDEDNDGSFHSAAANESDESGPVQGKGARSRVPIGPNHQAKLPDCTFGKKDGNVAEDSADSLSCLSLGSDRTENISNNQAPVRSSVLHPTDSMPTDAMPLLLTPTLGPPIDAPQPETTAVVHESAGEASTTSFDWSKLTEMGYRVVELIQTWSSEVKRLKTIFVEEKDRNEHLKTMLGEEKDKNEHYKTMLAKEKDKAEHHKNMLEEEKDNNKRLKTMLVEEKDKNKHHKIILEEEKDKNERLKTMLSEEKVKNEHLKSMLAEEKDKNERLQLRLHGEEKDKNELLKTMLAEKKDKNDRLQLSFPKNEHLPLGLQRVHTRLDECIALIDRITQKDTVFLEML >ORGLA12G0052700.1 pep chromosome:AGI1.1:12:4210741:4211488:1 gene:ORGLA12G0052700 transcript:ORGLA12G0052700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSECSSTSSHPTNRAPIPPHVGPFEYHPAVMCKGGAKAAWWISWGVDNPSRRYHKCHNQREKAELEHFVDELRTKEEEQCAEIIAARHELAALRLVASKDEAKIIGLKPTICLI >ORGLA12G0052600.1 pep chromosome:AGI1.1:12:4205630:4206849:1 gene:ORGLA12G0052600 transcript:ORGLA12G0052600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1R4S0] MSASKLQFTPCSTPIQGNEINFSKLYLHHTPAGPRPNQSGVTSTNKETGLGSLVVNNWQVYDGIRCDTKVVAHAQGLHVYAGNWHNSFTLVFEDERFKGSTLEVMGIVVEQGEWAIVGGTGQFGNIMELTIKGFCPILKGSRSQGLVTKIGPWGGIDGGRAQDITATPKRLESITIHSGWTIDSISFIYFDQAGEKHRAGPWGGPGGDPCTIEFGSSEFLKEVSGTFGPYEG >ORGLA12G0052500.1 pep chromosome:AGI1.1:12:4194038:4198518:-1 gene:ORGLA12G0052500 transcript:ORGLA12G0052500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDVVLSSVGPAVADEVARFLGVPKEVQFIRNELEMMQAFIKTASSSLHPDAAAAAGGGDNDILRTWVKQVRDLAYDIEDCLLDFALYAARISSSPTGSSWLRPGPLAARRRIADRIRELKASVEELNQLRLRYHIVVDDHHHPSRTYHERVVAMLPGGHGSSSDELAFQESEIIGRAGEKEQLKDLNSRCSGSPSPSVVAVWGMGGMGKSSLVRMVHNNPAVLDVFDCSAWVTVPHPLDGADEFRRRLRKQLGLGLGAAAGDDQNVIQDYLREKRYIIMVDDLLSQEEWDQIWQVLKPLNNKGSVVIVTTRRKDVAGHCAGLAPEEHGHVYELKRLDDKQSKDLLCRKVYRTPNYTLPEDMKPHISRILKGCWGLPLAISTIGGLLANRPKTGMEWKKLHEHLGVELESDQLQDITKVLVSSYHGLPYHLKPIFLYLSIFPENNEIRRTRLLRRWIAEGYIANNRDMPVEVVGERFFNELINRSMIQSSKVSHGLKVDRCRVHGMMLHIILSKSIDENQLFVIKKHCNEVPQSKIRHLVVNRWKKRDEKLENINLSLIRSLTVFGECPASLITPEMRMLRVLDLEDTANLKNEDLKHIGKLRHLRYLGLRGTDISKLPSSLQNLLYLETLDIQDTQVTQLPDGIAKLEKLRYLLAGVNFSRDLLQKMPQFGMENHNSNLLGNLASCLYCYNAQSCEISGMDQFSVMVPEGIEKLRNLHMLSVVNVRKSKDVAGKLERLTNLQRLGVTGLGQEEGKELWNSIKNLNRLQRLEVRSESLDFLDAAPPKYLVSLRLCGLLKKLPVWIKSLNDLTKVKLIGTQLKQDDIHHLKDLRNLASLGLWEKSYKEKSLIFNDGTFRKLIFLDIDGLEIIETVNIEKGAMPELQQLWVNRCQKLSDDDNGLSGVLHLLNLNELVLKKCGPKEKLVQLLQSQLSTHVKRPKFLVGKSRPPTSSEASMSTATQTG >ORGLA12G0052400.1 pep chromosome:AGI1.1:12:4188027:4190042:1 gene:ORGLA12G0052400 transcript:ORGLA12G0052400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1R4R8] MSASNKLQFTPRSSLFQGNEINFSKLYLHHTPAGPRPDQSGLTGNNRETGLGPLVVNNWPVYDGIGRDAKVVARAQGLHIYAGNWHNSFSLVFEDERFKGSTLEVMGIVVERGEWAIVGGTGQFAMANGVIFKKFHEQKQEGNIMELTIQGFCPVLKGSQSLVTKIGPWGGIDGGRAQDITAIPKRLESITIHSGWTVDSISFIYLDQAGQKHRAGPWGGPGGDPYMIEFGSSELLKEVSGTYGLYEGWKVIRSIKFVTNKKPYGPFGRQEGTPFSVPVQNNSSIVGFFGRSGKYLDAVGTYVHPI >ORGLA12G0052300.1 pep chromosome:AGI1.1:12:4174042:4174930:-1 gene:ORGLA12G0052300 transcript:ORGLA12G0052300.1 gene_biotype:protein_coding transcript_biotype:protein_coding YITGVEHIAGDMYESVPNGDAILLQWMLLMFSDEDCIKILKNCHQALPEGGKVIIVEGLLPETPNTTPAARDSFTMDMILFVLFKVGKHRTEEEFAKLAKESGFTGTFRSTYIFLNFYALEFSKXLYT >ORGLA12G0052200.1 pep chromosome:AGI1.1:12:4168668:4173008:1 gene:ORGLA12G0052200 transcript:ORGLA12G0052200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFAGKAVATAAISFWINKAFTYLKEYKVEGIEDIKNRLLQSMPKIQVVLDIVNPRYVKEQSSALDAWLWQLRYAVEEAEDVIDVLEYYKLKEMAKDHKVSDWGSSFSKVKHKVIKSVKHVSSLDKNLKQFTHRGTLKRLREAVEGLDKVASDIMSILTVTEHLKEVASCSQQQEYSMNDDRATGLTLTPPKFVGREKEKEKIVRWLTKASTDASGNLMSTNHIPILSLIGHGGMGKTTLAQRVCEEMVRKNFKVIWVCVSDSFDVTSVTSKILESATGAKPNANCLETLQQNLIHKLKYSTDFLLVLDDVWEDKKIDKWEKLFAPLRTGKSGSKILLTTRMQSVAVLAAEAMGIETEFLTIEGLEEGENLELFSHSVFSGQNPQGFPNLKPVSKQIVKKLGGCPLVTKVVGGHLRSKMSFQHWNNFLQEGLEHFKGSEDDIMEVLRLSYYCLPTELQICFRYCSIFPQDYAFKMKDLVLMWIGSGLISQAGNKPPKRLEDIGEQILAQLAGKSFFETNIKVDPYSQRKEKCYIMHDLMHELARYVSSGECARIISPGMLENEKDTVRHLCISGIDYLSTEEVKKILHFKNVRTVIIEGPGLIDSNLFHAVENVMEQSKSLRLLQSNLENTFHLPKIAHLKHLRYIDLPRISPDTISGLVRLYHLLLVKCFNGSREEPKQVRYLGNIDHLRYVNYGKTLEFPIGRLTSLQELHNYRIQGGKGNKISTISNLSTLRELEVLGLENVESHEEADNVKLNNKKYITLLSLAWSARAAVENGKDELLLNHLEPHANIETLRISGYGGVKSPIWIENLRVKNLVSLELARCLYWEKLPSLGELLSLKHLWLECLPSLQQIGQSSDVSISGCIDLSLPPNLDTMIVRRCKELRALPILPTTLVHFETSNVGLTKLPRIGKECNENLETKSSRLLVVVVEECKCLNSLEESLLVQGDYIKSIHVLRIADCEDLEAAPLAFEQMNELRELDIRNCPKLRTSRGVGDTFLPLTLQKLNVNYCGELELPLIGALQGLTRLSELVLEKCKYLESLPSDDVFKSLKSLKFLEIIGCENLSSLGGLGSLQYLIKLKISACSKLMAIGSSQTPDASSSGEEPVVVTTSTLQIDYLEIDLPDILHLEPLKGLCHTKGLVIRGGTQLESLPEEWLLRNRGKLQSLKIYSASSLESLPLHMRDLCSLNFLLLYGAGKLQSLPDFPSSLQKLDITCCCKELEEKVGVNESPEWNNISHIARVHIGDSYFMDGKKCSEETLDRQQ >ORGLA12G0052100.1 pep chromosome:AGI1.1:12:4162061:4164115:-1 gene:ORGLA12G0052100 transcript:ORGLA12G0052100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71460) TAIR;Acc:AT1G71460] MAMASSSLAAANHPLLPSKPLSSPKPLTRARPLSRALHAGAAAAARGASSSSSQAELRPDSKNAPALSAELRRLARVGRLPSALALLDHLSHRGVPATASAFAALLTACRSLAHARQVHAHLRVHGLDSNEFLLARLVELYLALGAREDARKVLDGLPRASSFSWNALLHGHVRLGRGQAGGAVADGFAEMRAAGADANEYTYGCVLKSISGSAAPSMAMATATHAMLIKNAYAGAPRMLMTGLMDLYFKCGKVKPAVMVFEEMPERDVVAWGAVIAGFAHKGMKREALEHFRWMVEDGIKVNSVVLTSVVPVIGELRARNLGREIHGFVLKKFQHRKDVGNIQAGLVDMYCKCGDMVSGRRVFYSAKKRNVVSWTALMSGYACNGRPDQALRCILWMQQEGIRPDLIAVGTVLPVCTKLKALREGKELHAYALRRWFLPNVSLCTSLITMYGTCSHSDYSQRVFHVMDKKTVQAWTALVDAYLKNGDPSTAVDVFRSMLLSNRRPDAVAISRMLSACRDIGASKLGKELHGQALKLRMEPLPLVAAGLVSMYGTCGDLKAAQRVFNRIESKGSLTCTAIIEAYAINQRHKEALELFAWMLSNRFVPNNGTFDVLLRICEAAGLHDEALQIFNSMVQEYNLEASEHNFDCIIRLLTAADRTSEAHRFADLKATLFNLSTPSLNC >ORGLA12G0052000.1 pep chromosome:AGI1.1:12:4157663:4160587:-1 gene:ORGLA12G0052000 transcript:ORGLA12G0052000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLCSKGAKDDTDATSENKTPSRNSAAASAKTATGTNDGNSAVIDGQLKENASKLQLGDGEKAIVALDVRTGSGNNAELKGLSGEHVAAGWPAWLANVAPKAVEGWVPRRADSFEKLDKIGQGTYSIVYKARDLETGNIVALKKVRFVNMDPESVRFMAREIKILRTLDHPNVIKLQGIVTSRVSQSLYLVFEYMEHDLSGLIATPGLKLSEPQIKCFVQQLLHGLDHCHKNGVLHRDIKGSNLLIDNNGVLKIADFGLAISYDPKNPQPLTSRVVTLWYRPPELLLGATEYGVAVDMWSTGCIVAELFAGKPIMPGRTEVEQIHKIFKLCGSPMDDYCKKSKVPETAMFKPQHQYRRCVAETFKVFPTSAVVLIDSLLSLDPEARGTAASALQSDFFTKEPFACDPSSLPKLPPSKEYDVRLRQEEARRQKTAALAGQGAESVRPENENRVTNHTISGVNGELKQQTHTSSKSNSEAFNQEDSVPGFRVESRERPTAVQLPGYSSTWNNMEGDNDQRTVPGRGCCSVRVANPCGIRKKGSSHSLIPQFGATDLRSTVEATDHNDSPDRHDENKNPEVKDAMNHGRKYRRIHHSGPLMPPGGNVEDMLKVHERQIQEAVRKARVSKPGR >ORGLA12G0051900.1 pep chromosome:AGI1.1:12:4153577:4154712:1 gene:ORGLA12G0051900 transcript:ORGLA12G0051900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLAAATARRHLLHLPRHRWAYLSSSAATTEGLDGGDGSGGGRVKIFDRDLKRRHRDRAAWAMGETDALADAVADNLLDRLEDCRKAFPSALCLGGSAGAVRRSLRGRGGIEKLIMMDMSADMVRKWQEMENATDDGLETHFVVGDEEYLPMKESSQDTIISCLGLHWTNDLPGAMIQVGIEA >ORGLA12G0051800.1 pep chromosome:AGI1.1:12:4126624:4137073:-1 gene:ORGLA12G0051800 transcript:ORGLA12G0051800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPSPEEDDQSYEEHADFSQSEHAESAVEIMRREREERRRKLKREQHDDGPRLNRQPIRNDHMNQNKIIRHGRIKEPPQGWLDCPGSGEPIDRIVPSKVPLDETFNESVPAGKRYSSKQVVNKQRKAGRDIGLVIDLTNTTRYYSPTEWTRQGTKYVKIACKGRDAVPDNESVNTFVYEVMAFLDRQKQSRNPKYILVHCTHGHNRTGFMIVHYLMRTQLSSVTEALNIFAQRRPPGIYKNDYIQAIYSFYHEIPENIVCPPTPEWKRPSDLDLNGEAKQDDDDDGNVDRAPSPNHEDDKVITNDDILGDAVPYDQQDFLRSICFRLLELVPSGRANAQFPGSHPVSLNSENLQILRQRYYYATWKADGTRYMMLITRDGCFLIDRNFCFRRVQMRFPLRNSNEGFHHYTLIDGEMIVDTVPDLGLKRRYLAYDLMSINSQSVVKLPFSERWKLLDDEIIRPRYHDKGRSPSYKYDMELFSVRRKDFWQLSAVNKILKEFIPKLCHESDGLILQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEIGSENRQFIFLYERGRKKLMDGARVVFPDEVDPPSISGKIVECSWNKEEDCWSCMRIRTDKSTPNDINTYRKVMRSITDNITEDKLLEEIYEIMNLPMYADRKAKAHARSMAQQRRR >ORGLA12G0051700.1 pep chromosome:AGI1.1:12:4119058:4121831:-1 gene:ORGLA12G0051700 transcript:ORGLA12G0051700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRDRLPRRFIEDGRGYPDIHVVDERRGYPDIRVVEDRRGYHGIRVIEDHRGYPDIHEGLVMRVAPRSHTAMLEEEIEIQEAEFRRLMADHRALAEERLALHRELQAGKDEVRHLNTIIADISAKKETYIGELVDKRRKLEAELRANESLRDEIVQLRGEIDKHLVVRKELSAKSASIMHELTREQSNKQQIPMLKAEIDALRQELVHARSACELEQKGNFQLVEQKKAMEKNMISMAQEIEQMRAELANSEGRPWAPGATYGMKLGSPEVTFPTPYGDNYNIHVGGSEKGHSHLPESSSWGTYDNNRLQYR >ORGLA12G0051600.1 pep chromosome:AGI1.1:12:4111368:4111622:-1 gene:ORGLA12G0051600 transcript:ORGLA12G0051600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGAAAAAGGGVVVSPSAAKDSWPELVGVSSEAAKTKIGEERPELDVQVVPADAFVTTDYNAGRVRVFVDSDDKVARPPKIG >ORGLA12G0051500.1 pep chromosome:AGI1.1:12:4109772:4110898:1 gene:ORGLA12G0051500 transcript:ORGLA12G0051500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCFHGGGGGGGGRLAKSFNVISDFTKILIGRRGGDHALARRRRMRRFKDAAPAAAAAAAAAVASASAKIAPEEEGEGGGGGKEEEFCDKCCSALSGGGGAEEEAAAEGEREWVAEPEPGVLLTLAPRADGVSNRLRRIRFREEVFDAWAAQCWWADNHDRIAELYCLVKPDDDDEEEEAIAAAEAAMLPATPCQSEAEDDDDDDGAAGAESSSRSPSTSTFSGGPSSGSGGGSTGTLGSPILGLVTAPNTTGGGEHDAVRDQHQPTAATWREWVEEYEPGVFITVGAYPGHRLQLRCVELSREKFGEVKARVWWEENKARLHHLYSF >ORGLA12G0051400.1 pep chromosome:AGI1.1:12:4105290:4105970:1 gene:ORGLA12G0051400 transcript:ORGLA12G0051400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWILPSCFFPRRSAAAIAAAVVPVATKEELLELERRLWDIAPAAAYELQKRRHWTPEQVAREAEKNRWIAEKKRRIEKESKRQQRRRNSRDSAAATTTVLLDGAGVNLDKVLGEDFERKRFYEEIRLQAETRRRATPEEEPSTAAVVVTEEDDEESDDDDVPARGEEGYLERRREILGRYCLTPAHDPAGSRADIKIGEEDGGSWSPFLIARNLGRRITLRAAD >ORGLA12G0051300.1 pep chromosome:AGI1.1:12:4097326:4100599:-1 gene:ORGLA12G0051300 transcript:ORGLA12G0051300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRAKDEMYAVGWCHADIDNTTCKSCVTDALRKVQVFFFMVRTKCLLYAELVKGKNIIEGSNDLGGENKRIIEGSGDSGCENDTCKTQCTSTLVSFDEITSNAQGKKIALFLDYDGTLSPIVNNPEMAFMSPEMRETVRDAAKIFPTAIVTGRSRRKVFEFVKLVERYYAGCHRLDIMVLEVRPEDVADKGKVIKYLIGTLGLNDSNVLPIYIGDDETDEYAFRVLREQKNGYGIDTIFVLVIINVPSKLVSLDQGRNRK >ORGLA12G0051200.1 pep chromosome:AGI1.1:12:4094780:4095337:1 gene:ORGLA12G0051200 transcript:ORGLA12G0051200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKRQHNQHGTLKMFLKEENLDMEGFIWKSMLRHVTRAFGRACRNTSSSSWRSIKRAKHLLERRPKPWSNIARRSAKGEAKDPRPKGDEEKGLCQ >ORGLA12G0051100.1 pep chromosome:AGI1.1:12:4076389:4076905:1 gene:ORGLA12G0051100 transcript:ORGLA12G0051100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILPGFFRRRRSSAVVPAATKEEMLELEIAPAAAYELEPVAREAEKQRWIAEEKRRIEKETKRQQQRRSSGFAVVVVVDLDKELGEEFERTRFYEELRLQQAEARRAAASKVVTTTDEEDDDDDVPARGDEGYLERRRELLGRYRLT >ORGLA12G0051000.1 pep chromosome:AGI1.1:12:4070843:4074912:1 gene:ORGLA12G0051000 transcript:ORGLA12G0051000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPQQSVHPSLPSSTSTLRLLISSSPRRPPPPPPRARRYNRFAASASAAREMPWPHVLTVAGSDSGGGAGIQADIKACAALGAYCSSVVTAVTAQNTAGVQGIHVVPEEFIREQLNSVLSDMSVDVVKTGMLPSIGVVRVLCESLKKFPVKALVVDPVMVSTSGDTLSESSTLSVYRDELFAMADIVTPNVKEASRLLGGVSLRTVSDMRNAAESIYKFGPKHVLVKGGDMLESSDATDVFFDGKEFIELHAHRIKTHNTHGTGCTLASCIASELAKGATMLHAVQVAKNFVESALHHSKDLVIGNGPQGPFDHLFKLKCLPYNVGSQPSFKPDQLFLYAVTDSGMNKKWGRSIKEAVQAAIEGGATIVQLREKDSETREFLEAAKACMEICKSSGVPLLINDRVDIALACNADGVHVGQSDMSAHEVRELLGLGKIIGVSCKTPAQAQQAWNDGADYIGCGGVFPTSTKANNPTLGFDGLKTVCLASKLPVVAIGGINASNAGSVMELGLPNLKGVAVVSALFDRPSVVAETRNMKSILTNTSRT >ORGLA12G0050900.1 pep chromosome:AGI1.1:12:4066218:4066484:1 gene:ORGLA12G0050900 transcript:ORGLA12G0050900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTSRSLLPFFLAESPVARTVAVEGEAAMGKGLGEVATPSASSVRATTTYVAXWLRGRGSCMRRPRTARSIRCSGRRVGERRSPMRRR >ORGLA12G0050800.1 pep chromosome:AGI1.1:12:4059315:4060706:-1 gene:ORGLA12G0050800 transcript:ORGLA12G0050800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRFIPSWPLSSSSSMVMSPSGLELATLEGDLLNKAEAISRINQWIQCIRRRAIYSMNTLVAVVRIYLVLGQEKPCEVFLEFGGYRQSDILLRKSKTWRYKLQRFHLTMYC >ORGLA12G0050700.1 pep chromosome:AGI1.1:12:4035765:4036004:-1 gene:ORGLA12G0050700 transcript:ORGLA12G0050700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLDCFGVLERKRSKPSPKQQEAEDAKMQKGAVEVEGGSSKAGEKMEEKEKSKKGKECRGAPLLVPHFPCRSTHGLL >ORGLA12G0050600.1 pep chromosome:AGI1.1:12:4032347:4033593:-1 gene:ORGLA12G0050600 transcript:ORGLA12G0050600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1R4Q0] GHPGAHGGNGVLAADGGNERVTRPASGRVLLRLLPRRRGHRTAAVQDAAGSDPTILPALLRLQFHDCFVRGCDASVLIRSARNDAEVNNNKHQGLRGQAVVDAAKAELEDQCPGVVSCADIIALAARDAIAMTGGPSFDVPTGRRDGLVSNLRDADVLPDVVDSIQVLRSRFSASGLDDRDLVLLTAAHTIGTTACFFVKDRLYNYRLRGGGVGSDPSIPAAFLAELKARCAPGDFNTRVALDRGSERDFDDSILRNIRSGLAVIASDAALDASNATRGLVTAYLGAASRRFERDFVAAMVKMGTIGALTGDDGEVRDVCSQFNTD >ORGLA12G0050500.1 pep chromosome:AGI1.1:12:4023544:4028306:1 gene:ORGLA12G0050500 transcript:ORGLA12G0050500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQTAAAEAAVDEAVVAGKGGAMPTSTQDLLLRPCPTTTLLTLLKRDTPEKHAAAAEQKMEWLRSQLVGKDVEFDTPFGRRLLTYADHTASSRSLRYVEDYLLNEVLPFYGNTHTEDSHVGRKTTRLMHRAARYVKRCMGGGAGDALLFCGAGTTAAIKRLQEVMGVAAPAAAPLRARLAAGLRREERWVVFVGPYEHHSNLLSWRRSLADVVEIGLDGDGLVDVAALRRALADPEHADRPLLGSFSACSNVTGIVVDTREIARVLHQHGAFACFDFAASGPHVKIDMKSGEIDGYDAVFLSPHKFIGGPGTPGILLMNKSLYRLNSQPPSTCGGGTVNYVNGFNEEDTLYYDDIEEREDAGTPAIIQKIRASLAFWVNEYIGYDTMDLHEQIYTEMAMKRLVDNPNVKVLGNTSANRLPIFSFLIYPPMVDSVFHGDDRLAIVRRKRLPLHGRFVTKLLNDLFGIQARGGCACAGPYGNILLEINNELSLRIRSALVEGYLGLKPGWTRLSFAYYISKEEFKFILDAIEFLAAYGHRFLSLYKFDWRSSNWTFSKQAAKELSAATGVLLGEDLQFKAEDKSDNNKPEPNHTKFATYLENAKRIALSLPDINQQIISIPQGVDPDIIIFHVIGNGLIPPRIIALIEAVGYFVLSRDGVTKRDRHKDSRKKRPMHACR >ORGLA12G0050400.1 pep chromosome:AGI1.1:12:3987895:3988944:1 gene:ORGLA12G0050400 transcript:ORGLA12G0050400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDGFSDNKLLDDFAVGRSNPTREQLSTRVGYGRVHRIIENDLDKCRVVMPNLPTKTDDLSHSTDHSWTTREKVVNG >ORGLA12G0050300.1 pep chromosome:AGI1.1:12:3980630:3980833:-1 gene:ORGLA12G0050300 transcript:ORGLA12G0050300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVADLTTATRMGGGSDEGKVTMYPPCAARGRLPRRHGGESRLPDRILSEDGGILPATMTTTTASN >ORGLA12G0050200.1 pep chromosome:AGI1.1:12:3972829:3976361:-1 gene:ORGLA12G0050200 transcript:ORGLA12G0050200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLQEAAAVDEAVSSMMSLLGAAMSSEKKGSAAAAAEQRVEWLRSQLIGKDAEFDTPFGRRLLTYADHTASGRSLRYIEDYLLNEVLPFYGNTHTEDSHVGSKTTRLVHKAARYVKRCMGGGAGDALLFCGAGTTAAIRRLQEVIGVAAPSAAPLRARLAAGLRREERWVVFVGPYEHHSNLLSWRQSLAEVVEVGVDGDGLVDVAALRRALASPRYADRPMLGSFSACSNVTGIAVDTRELARVLHQHGAFACFDFAASGPYVKIDMKSGEVDGYDAVFLSPHKFVGGPGTPGILLMNKSLYRLNSQPPSMCGGGTVAYVNGFNEEDTLYYDDIEEREDAGTPPIVQKIRASLAFWVKEYIGYETMELHERVYSEMAMKRLLDNPNIKVLGNTTVDRLPIFSFLIYPPVEDSLFLRVEPGCYNSLENKTNKRLPLHGRFVTKLLNDLFGIQARGGCACAGPYGHILLDVNNELSLRIRSAILEGYSGLKPGWTRLSFAYYLSKEEFKFILSAIEFIAAYGHRFLRLYKFDWITGNWTFREQAIKYHVLREELSLATTVQYAENIKSRIAKNLDKKPEPNHMRFETYLENARKIARSLPNINQQIVSIPKGVDPDMVLFHI >ORGLA12G0050100.1 pep chromosome:AGI1.1:12:3966987:3967577:-1 gene:ORGLA12G0050100 transcript:ORGLA12G0050100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WQGKGARTLMTAKPASPRPKLATPCPGSNKLGAAWSRCKWPMEVKVEMVSESELEPRDIVNNSVDGGLVDRKGSLHHVQTFPGRLGLLPFHGASPLLLTSLLPDSGRGEFHGKR >ORGLA12G0050000.1 pep chromosome:AGI1.1:12:3959948:3961608:-1 gene:ORGLA12G0050000 transcript:ORGLA12G0050000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT1G77090) TAIR;Acc:AT1G77090] MLLAEMMNSSVLFLPSSSLFLTKQLVPATKGRASAAAAVRCSSGPNLSEAHEEEDGVASLMGRRHAMASAAAACGVSVFGFAGESMAVKQGLLAGRIPGLSDPDEKGWRTYRRPDDKSGGHGVGWSPIIPYSFKVRDGWEEVPVSIADLGGTEIDLRFANSKQGRLFVVVAPVRRFAELDDATIEKIGTPEKVIDAFGPEVIGENVEGKVLSMATAEYSGRTYYQFELEPPHIFITATAAGNRLYLFNVTANGLQWKRNYNDLKQIAESFRVV >ORGLA12G0049900.1 pep chromosome:AGI1.1:12:3954805:3958884:1 gene:ORGLA12G0049900 transcript:ORGLA12G0049900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G64600) TAIR;Acc:AT5G64600] MANPRGGGGGGARYHLPLRPRRLLRSPISRCAGLLAASAALLLVLSLRQVHRVGLPPRDHPPAQEPNEQLWGSDGYGSHACVTPTSRYMAPKGSERYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPRFIKALEGDVSIVNDLPQSLQSVPRARKHFTSWSGASYYEEVKQLWKDHKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDPIENLGKKLLERLRSRGKFIALHLRYEKDMLAFTGCTYGLSESEADELRIMREKTSHWKLKDINSTEQRSGGNCPLTPEEVGIFLRAMGYPESTWIYLAAGEIYGGDKYISKLRSYFPNLVSKEVLATKEELGKFNDHASQVAALDYIVSVESDVFIPSHSGNMARAVEGHRRFLGHRKTVTPDRRGLVELFDLLQKGELMEGPKFSSLVTEMHKNRQGAPRKRYGSLPGSKGRARLRTEESFYENPFPECICLNGKH >ORGLA12G0049800.1 pep chromosome:AGI1.1:12:3936958:3940373:-1 gene:ORGLA12G0049800 transcript:ORGLA12G0049800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLTIKRVPTVVSNYQEDAAATAGERPRAGCGRDCLGDCCLPDSKLPLYAFKASPKKPSSQEDASNDEFFVNLLLGLWEDRMARGLFRYDVTACETKVIPGNLGFVAQLNEGRHLKKRPTEFRVDRVLQPFDAAKFNFTKVGQEEVLFQFENGGGDDSFFVESSPISVADRAPNVVAINVSPIEYGHVLLIPRVLDRLPQRIDQESFLLALYMAAEAASPYFRLGYNSLGAFATINHLHFQAYYLTVPFPVEKAATKRIFLAEGTMNSGVKVSKLMNYPVRGLVFEGGNSLSDLANVVSSACIWLQDNNVPYNVLISDCGKKIFLFPQCYAEKQALGEVSQELLDTQVNPAVWEISGHIVLKRRSDYEEASEASAWRLLAEVSLSEERFEEVKAYIFDAAGLVQSDEEEVSEDEDATYTPVSIAPPAVAEGCLVLQ >ORGLA12G0049700.1 pep chromosome:AGI1.1:12:3932299:3936136:1 gene:ORGLA12G0049700 transcript:ORGLA12G0049700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:las1-like family protein [Source:Projected from Arabidopsis thaliana (AT5G12220) TAIR;Acc:AT5G12220] MDAAAPETTGGFCGGGGGGGGLSTGRKLVPWSSWAEWRHVRDGLFSASPAAALRRIAAWRSRGTLPVPVDVTAAFVEIRLRDPFFRSVMAVDDALESEEMLAMLYSMAIMRLVNGFVENPHKKTGYSISELAEAVGIPRVLVDIRHESSHRNLPSLRLLRLAAIKAFDWLKCIYWDSQTNAIPDVQVEVRSKLHEINNFMKGKDSMKAKSGSKRKRSEKMISRNIKYVRRLYYACPSEVAFVILDFFQRGAPESSENSDVLETDKDVDQSSDIHSEISNNDMRTIITKLSEKEPRLLLGILKSVIETIETMEDLENKGEYNASLPAKVELLSSHVLWLVTKLKELKDSGCIGVVHEIGVLSSDRNAVPRFCLAKLLRKLLSLSIIGERCIIDAVLVLIEMATNNVQEKLRKLPMLSLGKVARDSTLPEPTKETESVEEATEKLEMFKSRLKQKDLRLAENDTGASLNTIMPEKRNRWSTAKSWTPCPIGMIPCSFSSVAVLPTLDVVDHESRDEILEQHVSVEPDDHTERIGYYSDPEKQLDAERIPELSRPSPEECEISDMPELAFPLKGRLLVGGVWKMVSEEELLFIKSKMKILL >ORGLA12G0049600.1 pep chromosome:AGI1.1:12:3925817:3926494:1 gene:ORGLA12G0049600 transcript:ORGLA12G0049600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPVTPASSAAGAPPPPPPPTTTCSTSCRFVLPPTRRHLLASSASSLLLAAAAAAAPRAASSEDDDAVTSYDPVTAAERAASASVSRRVGEAVRLLDLGRDLQARGEFPAALASFTAVATEYGDLSLSGYARVGRALVLYEVGDRDDAIAEMEDASVALRGYPEIHAALAAALYADKHAALLAENQFAIATLLDPHYTDLAYVRDTKHWPPSLVDSLRHFITLS >ORGLA12G0049500.1 pep chromosome:AGI1.1:12:3911526:3920568:-1 gene:ORGLA12G0049500 transcript:ORGLA12G0049500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALVLIVGAGPSGLATAACLGQLFIPYVIIEREDCTASLWRKHTYDRLKLHLAKEFCEMPHMPYPEDTPTYIPKIQFLRYMDDYVEHFNICPKFNSSVESCLYDDVQKYWVVTTHDQVNGMVSKYAARFLVVASGENSAGNIPSIPGLEDFSGHVIHSSSFRSADSYAAQRVLVVGCGNSGMEIAYDLSSHGANTSIVIRSPLHVMTKELIHMGMKLASWSLPVKFVDFILVVLAYLWFGNLSKYGIVRPNKGPLLLKANTGRSAVIDVGTVELIKKGDIKVFGTISCIKGNVVEFDDGKESYFDAIVFATGYTSTANNWLKNGEDMMNKEGMPKKDFPNHWKGSNGLYCVGFARRGLSGIAHDAKNVANDIKWMEEDLTPKPAVGSSKNSQPSLITSMDGERYFALVAEQTVSIGGN >ORGLA12G0049400.1 pep chromosome:AGI1.1:12:3909657:3910388:1 gene:ORGLA12G0049400 transcript:ORGLA12G0049400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSTSVVGLKPAAAVPQSAASPAPKRVHVAVPPKEEQGGGRRAALLGLAAVFAATAAGQAKAGVFDEYLEKSKANKELNDKKRLATSGANFARAYTVEFGSCQFPYNFTGCQDLAKQKKVPFISDDLEIECEGKEKYKCGSNVFWKW >ORGLA12G0049300.1 pep chromosome:AGI1.1:12:3902463:3906071:1 gene:ORGLA12G0049300 transcript:ORGLA12G0049300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNGANNGNGHHGHGNGNGAAAAGRSTSVSRKIESEGAVLMPGVYDALSAAIVQKTGFYAGFISGYAVSGSFLGTPDVGLLTPPEMAEVARRICASAPNTLFIADADTGGGNALNVKRTVQDLMAAGAAGCFLEDQAWPKKCGHMHGKQVIPAEEHAVKIAAAREVVGDRDFFIVARTDARSVTGLDDAIRRANLYIDAGADACFVEAPRSDEELMEICRRTKGYRVCNMLEGGKTPLHTRQELMEMGFHLIKSPLTTVYAAARALVDVLAALKRAETTRDELHRLTTFAEFNNLVGLDSWLDIEARFSVNSSVPKPLPAPETTTTTEMEKAKAKAAAVVAGNGATNGATNGVH >ORGLA12G0049200.1 pep chromosome:AGI1.1:12:3881768:3882202:-1 gene:ORGLA12G0049200 transcript:ORGLA12G0049200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIPSLLLWLPIPPVMLGLEEAAALRKLKEIGGMNSPAMGVAHQGVNVNRNGGVIEAECLVEWAGWEARTIVRAAPP >ORGLA12G0049100.1 pep chromosome:AGI1.1:12:3872383:3877501:1 gene:ORGLA12G0049100 transcript:ORGLA12G0049100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25800) TAIR;Acc:AT5G25800] MVYLHKSPLHHRGNTNISPSTTLSRSWVRPPQIRGLPCIILPPPRQSPRSAADAHMAAPSGSGEAAPASSTYYDVYGPDAKPDVVFKEATSNSTLNLQDVQGLVTWVIGDGMLPSWVFVKNKPLIPKVVLLYVPGLDAALYMSQSRHLSSLKEFCGNPKPVLASSCIPDERHTIDALLTCRVKRKRALKTTDQSHESDGQEKLSSLDDLKDIPFPIKYYTLSEKDLEDNGYNFSLEGFVPTVSAPPGSSPYAILALDCEMCVTAAGFELTRVTLVDIKGEVVLDKLVKPANPITDYNTRFSGITAEMLADVTTTLQEIQEEFVGLVHKETVLVGHSLENDLMALRISHDLIIDTAVLYKHNRGHRFKIALRVLAKKFLNREIQNTGSGHDSVEDAKAALELALLKIKYGPDFGSPPSTSRRKLASILHESGKKCSLIDDASVLERYSDASCNSIAVFSDDDALSRSMKEVKNDKVSFVWTQFSKLISYLRTRAQDPDKVKSCVAEAIALQTCDRKTAQKRKKHQTCPELKEILIGLDKKIRKLYSVLPDNAMLIICSGHGDTPLVQRLRKMLKQEEETVESRESIVKALEDIQAQAEVALCFCCVKH >ORGLA12G0049000.1 pep chromosome:AGI1.1:12:3864614:3865213:-1 gene:ORGLA12G0049000 transcript:ORGLA12G0049000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETCFRAWATLHAPQPPSSGGSRDRLLLSGAGSSQSKPRLSVASPSQLRPASRFACQCSNAVDEVVVADEKNWDSMVLGSEAPVLVEFWAPWCGPCRMIAPVIDELAKEYVGKIKCCKVNTDDSPNIATNYGIRSIPTVLMFKNGEKKESVIGAVPKTTLATIIDKYVSS >ORGLA12G0048900.1 pep chromosome:AGI1.1:12:3850196:3853567:1 gene:ORGLA12G0048900 transcript:ORGLA12G0048900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPGSSPAATAAAHRHRRSRRRHPQGPPPRQPWCCSFALDPLSAVHRSPAGTTTAAPPPPHPHHRRRSKHAATTAPPLSRRMRSPGRVSPVDDAAAYGGAAASASACSSARLSSVSECPPPPPPPPPRPRPPPPAVEALRIRLVSKGVILEVAEVERVRRECRVVGRILGGGGGEVAVEGKVEVEAIREAVEMMLEDADEAAAMRRLSRAGVARAIAVLEVSFSLMFDRGVTNCLKYLEAVPWSEPEEERIKSLLSQYPCNKTVSQDLLARLQPQEPSSSAELVVELMDSITKGTNNNARKDLRTLVDGILSRTSIYIKSDKELDMMNIYSICHTCLNCLVELFGEASDLGPSGQTTISVGKGPHERICKQVENLTWLLQILIDRQMGEEFVDLWASQNTLSTMHERFSPMVRYELSRISATIFIAMGSGKLHCTSTSRLGIFEAWFRPLLVDFGWLRRCPKGLNMATLEDGIGQALLTLTLKHQQVLFMEWFETFSGQGRECPNLMRAFQVWWRRSFVRSLGSSS >ORGLA12G0048800.1 pep chromosome:AGI1.1:12:3842442:3842682:1 gene:ORGLA12G0048800 transcript:ORGLA12G0048800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINTRPPEATTYKPTRYESTISDLARHGFEEEDYPIVDYESDLQTDMSTTVR >ORGLA12G0048700.1 pep chromosome:AGI1.1:12:3833514:3833981:1 gene:ORGLA12G0048700 transcript:ORGLA12G0048700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGRAPVTARCGGDREVLRRRSWLTEGGAEETAVATVGESVLAPLPSVVFLVAEPPLLRRQRRAASSPVVFLVAELPLLRRHRQRRTASSPSHRRSSTTLRIRRQRRPFVSRRRAAAPPAALLIAKPPLLRSRRSSATSASSPSCRRSSASRR >ORGLA12G0048600.1 pep chromosome:AGI1.1:12:3810259:3812736:-1 gene:ORGLA12G0048600 transcript:ORGLA12G0048600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEMVADEKAKVEATGRMPSIEMEPKTLTLDQLKFAREAALYVLSTKPAEEAIRIFTEGLKPVHLAGGGGGVRKSSTVAADSSSDDDLDIGCFHDDNSGKSYCRHHGGGRRRRRRSSSAVEKDVATAPF >ORGLA12G0048500.1 pep chromosome:AGI1.1:12:3802425:3804410:1 gene:ORGLA12G0048500 transcript:ORGLA12G0048500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRAAAAGGTRGGVQRAQPRPAQPAGRRRPGLQRRHGTGVVQDVLHFFQQPRPRRLRRDHLIPHAPECDSMGGEERFDDAGDDASSFSWRPRECGGDDGDFLEIQLVRLVPVVLEDRVGEVEVLKVVEVDEEVALFRQLVRVLCENRELQFEKVYFDSLNYGPMLVAAFSVGMVLRCRSHVVGAKLLAHGEVAPRWLEDKRERDDAGGVDLNGHGDG >ORGLA12G0048400.1 pep chromosome:AGI1.1:12:3798165:3800297:1 gene:ORGLA12G0048400 transcript:ORGLA12G0048400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALIYPQAAIPPRCFKRNKNPEWNETFAFSFQHDKIPSPTVDIVVNDKDLVRDDFVGKLHLDLKDIPKRSLDDVPLEPTWYPLLDQDGTKLAQASLLLAIWIGSQADEAYRHVGLSGYIPKVYENPNLWCLRVTVVEVQGVTVGDDEQEDMAGCNTGTDTGVFCRARLGKQVQRTRALGKPFFEDDLELHVIVANPGKDEVVIGQLTVPLSSIVKGGDEHNHFDVMPSKWFDLKNPDKPQFDSSVDDGNDNSSRMRICLKNMLDGRYRIVHDSKGYMDDTRPADRKLWRPPVGRVHLGILRATGLPLRMGKSTVNPYCVAKYGDKWVRTRTILDGPEHVFNEQHTWSVYDITTVLTVGVFDHFPHTCEAHREIGKVQIHLSCLETDRVYAHSYPLIILNRRGFKKAGELQIAVKLSSESFISLLGMYARSTLPKMHYEHPLTVMEEDKFRSEVAEVMALRFSRVEPPLRSEIVAYMCNATGGTSCWSMRKSKVNFFRLMQVASPFIHLFQSVTSWKNPAVALISHVIFVLALCFHKLVLSMVIIYFVLVALWNYRFRPRKPPFFDHKVSCLGSVHPDEIDEEFDSVESSCSIDLVRMRYDRLRSVAGRVQTVVGDVATQGERIQSLLCWRDPRATAIFQFIIVMVSIVVYFVPKKVLVGIAGFYIMRHPRFRKKNNTPSIVENFFRRLPDKQ >ORGLA12G0048300.1 pep chromosome:AGI1.1:12:3772953:3775143:1 gene:ORGLA12G0048300 transcript:ORGLA12G0048300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHLSVAQNVTRAATAWAASPVGLLVRVEALVTASCALLATLVFLGSGRRTSRSAAFRFVVWLALMLSYPAVSYTIGLMQSGSFRNDMVVVWACFLLGCADGIAACSLDGADQQARTMISQATQVFYVMLLLISYLGSLQLQLKVLLSLLWLLNVAKLVLRLRGLLAAGRDRVLTADNWLISKYMAHEKVSSIWDFDPATMRGYRYVVTGDDKKNVQYQYGAAEYKVDDELVTVEKAWEQHDGSLLSDDDKLKDLCLSFSLFKLLRQRLNLNGKPFHEPKDIRTLVFVRRGLAGGDSCEDHDRMYRVIEVELGFLFDFYYARYPSPKQTLVPETATFMAAAALSLSTLFSPALLHHHHHHPLPPGGGAVDYTTTSVDIWLARLVISLFLVLELSQYLSLVLSDWHRVKMLCRYVRHRPWWQGHPILEKFLWLTCRATLTRSYWSNSVGQYSLLHSCLENQSSCLLTRVPLHRWVKDQLATTRAVTRRSLPVAVKRQIHRLLRSEWLSNVKYGDRTLQRNDMLQVFDWSTSSSPSCLPPPLARHGHAADSREVATVLSNYCAYLLLQAPELVTDEVHDERLLMEAVQEAIQNYLRNKGCRRSKDAMFASLREFMPADEANFTGEAVLVDGAQLGYQLLSTMADEAALWNVVAEMWVELLLAVAPSENVTGHVKKLATGGELITHLWALLTHGGIIRRREKPYYDSR >ORGLA12G0048200.1 pep chromosome:AGI1.1:12:3769216:3770030:1 gene:ORGLA12G0048200 transcript:ORGLA12G0048200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLEVTEAQDNMGPMWLQEDVTGHWLTFPIIGRLQFPRLQILEVYQLQMMHLDFVHFYDMFVSISSSMELFYWYFTVWHARDASTSTGAPSWTSSHIVEA >ORGLA12G0048100.1 pep chromosome:AGI1.1:12:3767011:3767797:-1 gene:ORGLA12G0048100 transcript:ORGLA12G0048100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGQPIRIYCKGDTTLNVAVRGNELRLVRDDPNDESQVCDGVGKLTDDEERPAFALVNRTTGHALVNGGDLELGLAPYSGHVAVELSVLWSLGHPRADGFMEIRTLRDVRYTLDGVHGFVDGGYRLNGIHGIPEHGTLVAIYHSQPTADYAVWKIAPVGHQEPHSHSESDDALES >ORGLA12G0048000.1 pep chromosome:AGI1.1:12:3742187:3742555:-1 gene:ORGLA12G0048000 transcript:ORGLA12G0048000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAYEQHWIQDYSVGRVTDDQGRRAFALVNNLGGTRRAVLISTKNNRQLEMAPYGDCVKLSMLXSQGVQLPGGYSEVRVLSDLSMTLNGINGFVKEGTVVGIYNAEPHSIHAIWKFDPINK >ORGLA12G0047900.1 pep chromosome:AGI1.1:12:3739760:3741800:1 gene:ORGLA12G0047900 transcript:ORGLA12G0047900.1 gene_biotype:protein_coding transcript_biotype:protein_coding CQSDKRKKEWDPPSSLSMLPGLQELEGGGRMAWMKVRRVRTKXYGRSAMEAELRPRRRGGTASTALPCRRDDGDLQGHRADTGRAVVARAHLRLRHAVGGHAPAEERFCTTPGCKAYLVVSGGGHYPCKEAAAVLKWPKVVCKERRFKIFDLAVGALSGPSHSEVLVLQAVYSSMLGIIRMHNPSVIVAVANVDAKIKDAFRMAADSAINHIAFVLLPRNSISKVLWMATLHPTSLPNWNRMRISGNIITQNRAKSLRRLLASLRNMYYVSDEVPISFNMDSRVDAATLNTVNSFDWPHGGKTLRRRIIQGGLIRTQQAGGQQLRPPRRLTPFSLSVCARRPVRKDVGREREEASVAPSPVVHSSVDREEFEVRITKVIGSIMPGFTLLADVANKSAWDHTPSHFSGXHYLLLSSQYHPRQPARGWPPHFLCAPRMEAMGDRAGDNGSGLVLLHAEVWARRRQ >ORGLA12G0047800.1 pep chromosome:AGI1.1:12:3736527:3738183:-1 gene:ORGLA12G0047800 transcript:ORGLA12G0047800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRRTGGVGCRNTEGANADASDAAAGKECGEVGGEAHLTENMVCTLGAACSCHRTVAKDIPRGSARSPGSWRWQSGRRRPTTRCGGVIQAWCAAHSFERFPTPHPPVDSCRVAALVDEGTTMLGGGGRQRQLAALREIKAIATESDRNKRCVEATPGAVKFLVSVVVQSHAAASTYTSARSDDDLLDSVIDSHMSTSSPEEEALGVLYSLKPSEPTLRRILGKDNGGFLDTLASVLLLKAMTSAMPPERLGCRPRRRSIRSRTPLR >ORGLA12G0047700.1 pep chromosome:AGI1.1:12:3732664:3735257:-1 gene:ORGLA12G0047700 transcript:ORGLA12G0047700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAAGNPYAAELAAAKKAVTLAARLCQAVQEDILQSGVQSKADQSPVTVADYGSQILVSLVLKMEAPASSSFSMVAEEDSEELRKEGAEEILENITELVNETIVDDGTYSIYFSKEGILSAIDDGKSEGGPSGRHWVLDPIDGTKGFLRGDQYAIALALLDEGKVVLGVLACPNLSLGSIGNLNGGSSGDQVGALFSATIGCGAEVESLQGSPAQKISVCSIDNPVEASFFESYEGAHSLRDLTGSIAEILGVQAPPVRIDSQAKYGALARGDGAIYLRFPHKGYREKIWDHAAGSIVVTEAGGLVTDASGNDLDFSKGRFLDLDTGIIATNKQLMPSLLKAVQDAIKEQNQAASPL >ORGLA12G0047600.1 pep chromosome:AGI1.1:12:3729034:3732191:-1 gene:ORGLA12G0047600 transcript:ORGLA12G0047600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELPSPPPAAAGNQYGAEHAAAKKAVALAARLCKKVQQDLLKLDVQTKADRTPVTVADYGSQVLVSVVLKIELPSNSFSMVAEEDSEDLRKDGAQEMLGHITKLVNETIINDGSYSITLSKEDVLVAIDGGKSEGGPSGRYWILDPIDGTKGFIRGDQYAIGLALLDEGKVVLGAMACPNLPFKSIDHNGGSSGDQVGALFSATIGCGSTVESLEGSQPQKISVCSISNPVDASFFESYERKHCMRDCTSSIAEKLGIQAPPVRIDSQAKYGAVAQGDGAIYWRFPHKRSKEAVWDHAAGSIIVTEAGGLVKDASGNDLDFSKGRYLDRDAGIIATNKYLMPLVVKAAQEAMKEEGILG >ORGLA12G0047500.1 pep chromosome:AGI1.1:12:3725205:3728757:1 gene:ORGLA12G0047500 transcript:ORGLA12G0047500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWRAAAMASSQLARRAARRLLSSQHRRHCAAPACPWLLGSAPPVLAPSPVAAAAAGDRRGFCSVRRFTGESNAAAAAAVEEAENGLVAGGDQQAIDFPGGKVSFVAEMNFLPESQRERINCYRVLDDDGRTISGSRFQEVSKELALKMYSEMVTLQVMDTIFFEAQRQGRISFYLTSHGEEAINIASAAALTIDDIVLPQYREPGVLLWRGFTLQEFANQCFGNKLDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTSEQFRSDGAVIRGQAYGMRSIRVDGNDALAVYSAVHTAREMAIKEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNGWWCDEDESELRNNVRQELLKAIQVAERMPKPPLAELFTDVYDEVPSNLREQERLLRDTIKKHPADYPADVHI >ORGLA12G0047400.1 pep chromosome:AGI1.1:12:3721832:3722281:-1 gene:ORGLA12G0047400 transcript:ORGLA12G0047400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTRLMALTGLPLDMDVETKSASAIHACAIDLRKGPLFATPSASLVLXELVHEQANDLNDDDMDTTEAGIGDENEAFIDDENENYMDFEYVRHQLLAQGQKHVIRTQCKSKENHVKENIKQYKVNLEKMNXEPVTLVPCKXPNSNTT >ORGLA12G0047300.1 pep chromosome:AGI1.1:12:3717116:3720863:-1 gene:ORGLA12G0047300 transcript:ORGLA12G0047300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPKLTAKELKSQRARERYTALSVEEKAALVQRNRENRERKNSASTSGTDVAAVVCDVGPVDHYANFPNSVRKHILFVIFVLMDAEVRNSLFKEPVLHDAIKIGVNFRNQELLQPAEQNNAPGEPEVVIVEDDEVVIEPLPKKKRTGNKG >ORGLA12G0047200.1 pep chromosome:AGI1.1:12:3713850:3715653:1 gene:ORGLA12G0047200 transcript:ORGLA12G0047200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEELRTSFSDLVVGSSSRTDSQVESSGDPSSEGGVQVTCFTEDLHDVILHFQIIRFSKQIYAWVGCNTAKFGHLYAAASTRPGNGVSVTSVLGGTSDNTGSGMARRLVLKTGLNIVLACNIPKDSPMLEAAAERKLIEKLRGLGYVRPRAGEANTSTAQ >ORGLA12G0047100.1 pep chromosome:AGI1.1:12:3707599:3711826:1 gene:ORGLA12G0047100 transcript:ORGLA12G0047100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone deacetylase 14 [Source:Projected from Arabidopsis thaliana (AT4G33470) TAIR;Acc:AT4G33470] MEQLWVPSLPILGGRILPMLRHYCGFGSHHPLTWRSLQITGRKQKHNGCWIAYCLPSDNGTSISDTNGVRKDLALPDNLLRDAHILYCTSPAMGHNKEAHPETNKRVPAIVDALEKLELTSKHRGSQVLEIQDFQPASLDDIALVHSRSYITGLEKAMSRASDEGLIFIEGTGPTYATQTTFQECLLSAGAGITLVDSVVAASKLGPKPPLGFALVRPPGHHAVPEGPMGFCVFGNIAVAARYAQNQHGLKRVMIIDFDVHHGNGTCDAFYDDPDIFFLSTHQLGSYPGTGKIHQVGQGNGEGTTLNLPLPGGSGDYAMRCAFDEVIAPAAQRFKPDIILVSAGYDAHALDPLAGLQFTTGTFYMLAARIREVAAELCGGRCVFFLEGGYNLESLSSSVADTFRAFLGEPSLAARFDDPAMLYEEPTRKIREAIDKAKHLHSL >ORGLA12G0047000.1 pep chromosome:AGI1.1:12:3705634:3707077:-1 gene:ORGLA12G0047000 transcript:ORGLA12G0047000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSKEPCKKEACDIQACLSKNMFDSKKCVRVIQLLQSCCEQCEYKSTHCGSLTGLLKNISK >ORGLA12G0046900.1 pep chromosome:AGI1.1:12:3702581:3703153:1 gene:ORGLA12G0046900 transcript:ORGLA12G0046900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFSFAAMEEFTFPSVAPERCNAGKKPPFSPHFATPAPWFGGGGGVVVDAVVYDHRRSFSAVEKGEEEDTVRGGWYYCYDDGGCGNVSARFAGGEETKTMDMLWEDFNEELSRAAAAPPCPLSKEWTKEAWLARDGTPEMRRHAAAAAAVASGSVVRRRRLSLLMMLKLLKKLFLAHKSNAASRKAPPI >ORGLA12G0046800.1 pep chromosome:AGI1.1:12:3692197:3695563:-1 gene:ORGLA12G0046800 transcript:ORGLA12G0046800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQEQVAMAERRPCFGRNNGFLLLLVQVLLFLFLFFDSSMVATAASAPAPLNTTQVSIMKELSGLVTASAKWNTSDSNPCRWDGVSCSSSSNSISVVTNLTLSGYGLSNSTIFATICSLDTLQILDLSKNSFTNSVEQFFTSSCSMKAGLRSLNLSNSQLSMPLSNFSGFPLLEVLDLSFNSFIGDVSTQLSSLLKLRSLNLSSTNLAGDVPTSMTPSLEELVLSINNFSGSIPIALFSYRNLTVLDLSQNKLTGDVPDEFFKLPKLRTLLLSGNMLIGTIPVTLSNVTSLSRFAANQNHFTGFIPRDITKNVKMLDLSYNNLSGVIPSDILSPRGLETVDLTSNKLEGPIPSNLSLTLYRLRLGGNILDGTIPGTIGDALALAYLELDSNQLTGSIPSELGKCKNLSLLNLASNKFQGPVPDAISSLDKLVVLKLQMNNLSGPIPSAFFGLASLSTMNLSQNSFTGEIGELSKQTKLSVLNLQGNKISGSIPNSISLLTSLIELSLGNNSLTGTIPTMPAKLSAVLNLSHNHLSGFIPSNIGSLSDLEVLDLSYNDLSGEVPASLVNMPSLTQLVLSYNHLSGTIPKFPQHVDITTDGNPDLTNGTGNSDNTPTSGKRRTHNTVIIVVAIVGALVGLCLLAAIVTISYSKRIYRVEDEGPSTEEVVARIINGHLITMNSIHTSAIDFAKAMEAVSNHSNIFLKTRFCTYYKAVMPNGSTYSVKQINCSDKIFQIGSQGKVAHELEVLGKLSNSNVMVPLAYVLTEDNAYIIYEHVHKGTVFDFLHAGRSDVLDWPSRYSIAFGLAQGLTFLHGCTQPVLLLDLSTRTVHLKSMNEPQIGDVELYKIVDTLKSSGSLSTIAGTVGYIPPEYAYTMRLTMAGNVYSFGVILLELLTGKPSVSDGIELAKWALSLSGSPDQREQILDTRVSRTSAAVHSQMLSVLNIALACVAFSPDARPKMRSVLRMLFNAK >ORGLA12G0046700.1 pep chromosome:AGI1.1:12:3685896:3690375:-1 gene:ORGLA12G0046700 transcript:ORGLA12G0046700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoacid dehydrogenases acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G34430) TAIR;Acc:AT1G34430] MAALLHLQSSAAAAPSFLLLRRRGGGVAPAGSSRRRRACFRVEAKIREIFMPALSSTMTEGKIVSWTASEGDRLAKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGESAPVGSAIALLAESEDEIPAAQSKAASLSSSSSSSSPPPPPPQESTPPPPPPPPPAPVAAAVSAPAPPSPASQGGLRVVASPYARKLAKDLNVDLNSITGSGPGGRIVAKDVEAAAAAPKKAAPVAAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTFTTDALDALYKKIKPKGVTMSALLAKATAMALVQHPVINSSCRDGKSFTYNSSINIAVAVAIDGGLITPVLPDADKLDIYSLSRKWKELVDKARAKQLQPHEYNSGTFTISNLGMFGVDRFDAILPPGTGAIMAVGSSQPTLVGTKGGSIGIKNQMQVNVTADHRVIYGADLAAFLQTLSKIIEDPKDLTF >ORGLA12G0046600.1 pep chromosome:AGI1.1:12:3683841:3684995:-1 gene:ORGLA12G0046600 transcript:ORGLA12G0046600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASPERARAEVDTSSAFRSVKEAVAVFGERILVGENRNGGGGYGGGDRRAGREGRTRSNTLAIAASFAKLEGGGGGGDGVRVSNHSKPNAIGVNAKLPVASDAAPPAMYLVPSSSPPFFASSPSLANDDDGVSAASASDAMVMGSIRKVEEEAARARQEVVQLKRRLAETELAMATLSAKLHRALSKLAHMEADRAAAERARIQRRDGRDMALAVWAASGGGDRRXXXXTAAAHAAATARRQPLGELLRLGEADVVGGGGGGGGEMV >ORGLA12G0046500.1 pep chromosome:AGI1.1:12:3682115:3682678:-1 gene:ORGLA12G0046500 transcript:ORGLA12G0046500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQRQAAADQAGRAAGGACVWAVAAVLFLAVLAGGGCLVFYLALPPAEVPEWLPVAGLSLVALPWAFWIATCAYRLCCCCCSSSSSPEQANAAAERQPSSSTRPAAVAPLPSSTNLKSAVRSAMGSYSHSGTRRVHFGDSTVLGEKAAGEPAVVEEVEEEEEKECSSATSSHESEAPIAQSMPSSR >ORGLA12G0046400.1 pep chromosome:AGI1.1:12:3678079:3679833:1 gene:ORGLA12G0046400 transcript:ORGLA12G0046400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33760) TAIR;Acc:AT2G33760] MDPPPPRRRHSPEFDSLLVAGPRLGPLKQAHARLVVAGHGGSLPLVTKLATLAVAAGAAPYAHLLAASHPACDSFLLSSLARAAAHRGLPGEAIAFYGRLLAAALPFSSFAFTAAAKACADLSALRTGMAVHAHSVLLGFGSDRFVQTALVVLYSKCGQLPVARKLFDAIRDRSVVAWNAMISGYEQNGLAERAIEVYREMQVAQVVPDSATFVTTLSACAQAGALDLGREVERHIVSDQMDVSVFLGSALVNMYARCGLVSKARDWFDRLQERNVVTWTSMVAGYGMHGHGCEAIKLFHLMKLEGPTPNDVTFVAVLAACAHAGLVNEGRSAFDSMKRVYGLVPRAEHYCSMVDMYGRAGLLDDAMQFIRDSIPGEPGPEVWTAMLGACKMHKNFNLGVEVAERLIALEPENPSHRVLLSNIYALSGKMNHVEKVRNVMIKRRLKKQIGYSLIELGGTSHLFRMGEKSHQQTREIYQYLEELIHRISDAGYVPETDSVLHELEEEEREVALRYHSEKLAVAYGLMMSSGSTTPIRVIKNLRICGDCHLAIKFMSSVESREIIVRDKHRFHHFKDGKCSCLEYW >ORGLA12G0046300.1 pep chromosome:AGI1.1:12:3644105:3644535:-1 gene:ORGLA12G0046300 transcript:ORGLA12G0046300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLGTSPPCTLALRWDLASSAMAQPSKPSGWLAGWDVSASHNGASPGHLSAKAPGAEVGLSRLGHGPAQQAFWMAGLGAQRQGIWRGPGVCQRHFAWR >ORGLA12G0046200.1 pep chromosome:AGI1.1:12:3625383:3626559:-1 gene:ORGLA12G0046200 transcript:ORGLA12G0046200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVAEPGPVRSEGLLLQCPYCDSEAMHKLAQLLLPGLAAVCIDCTTGDLFRKPSVVAVDMRKEMVDYVTQRSETFISDSLIESEASQDEEKEMPEDPFEVVSIFMDDFSSTKRNIIGHVSGWLMSDSREDKIDDFVQEMEMTRFWPLERREAIAEVLLKNVDLKTKYHCPEKYENEQRLADHKAQCSFRPVTCPNDGCRAKVSVRCMTDHDSACLFKILTCEQSCEKRLMRRDMDRHCVTVCPMRPMKCPFGCDSSFPERNLEQHCSEFLQPHLLKVLKAIHKKGFTDEGFKDHALLLEKQDIDGKLGKSREVRSLTNVVKNLEAKMKNGGSS >ORGLA12G0046100.1 pep chromosome:AGI1.1:12:3615202:3616668:-1 gene:ORGLA12G0046100 transcript:ORGLA12G0046100.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSGIRAARFVGFLVIEVLGRQQCKVEEELGKGGVQESSAHNLFDRMTSQHKVFKDDERIAEPVPIKSTMNKEGISMDEALDRILEKFELMEANRRQEEKFNQILQKLEEVEARRSKAAEETIASIRATTAILKATSPTAPMAPPTLAPTKCLTECPNNNLTWATASSSHISEDTATTVPWELGDNKDKGHAPCIITKDSPEVTPTMCSTKCSGPTVEPDLTVAAVVTSATTAAASMELVAAGNTIGATYINNLDHPKVTHAKCLMLDLGSNSGADQTVVTFQTLVDMTKGVFAPDATIEGSSPRKIVEIDLVIVMPTGCSMLFFDKGASELLPVRGHVMWQLLLEQCKRNPWSPPNSVYQVNGIWELWHVPWLDFNYFRTRLSLMPPWPPSTQIGTIMLWLVANSWLRMVELKPWPDPQSSQCSTGGRWTELKVPWSAPDCECSMGDDFCSANCIRNEALSVALSCAPKGDLNHEKIDGSQKDTLVALL >ORGLA12G0046000.1 pep chromosome:AGI1.1:12:3614490:3614946:1 gene:ORGLA12G0046000 transcript:ORGLA12G0046000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LMLLLXCSQCDKKNAQPVSHESRLDPMFWPKDRIPYRYVRSLINHPSFLRSTDMIHMADLTLVKNHLKSLCNVITIQIASDI >ORGLA12G0045900.1 pep chromosome:AGI1.1:12:3613186:3614039:-1 gene:ORGLA12G0045900 transcript:ORGLA12G0045900.1 gene_biotype:protein_coding transcript_biotype:protein_coding TCVIRDAQLCDFGLALWAAEAASPVTGDDVAGKFGSATRSIVYAFSVVLLELVSGRKPVSSGGGKGKESLVMWANTIIQGGKLTDLVDPSLPTDGGDVAGEVERMTLPAALCIRRSPQRRPSIANVLKLLDGDGDAVRWASSQAGLSAGDDTDDGDGASPEKKDIQSYINLALLDVDDDSASVSSGGADFTAANVSLEEYMKGRWSRSSSFD >ORGLA12G0045800.1 pep chromosome:AGI1.1:12:3602941:3604988:1 gene:ORGLA12G0045800 transcript:ORGLA12G0045800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGNRFALLEHGWDLSGDMAVDNILASQNVGTQLRAYPPPIKYFEALLRQEHAELLEYVDDVDRVVALLKPLPPPKTVRYPVLFERAWGFGRLFPCDVTDESPQYHAYLQEFYRRNCSAPPSVAAAISLCLKNERILRSEWQNRGVQVHPYNLSLSDNILECASNLVDKMNAESATVAYAALCVAKEAELMVQRLRLGDRIYVHYSDRNLSTRIRHEALGLMAGTGPTSSSVTDPMVHSALLGIAREAEWSWKIISHKDVGPLGDRRSEAIRGSALCIIKDELDEYAAAAATAADLSSEPFVVWQRNMIAVIEGGYPNWGTTVKKLDSAVYVAEDYSKDSLDNIFDEDMMSKYINGSHKRKMKKPRGKNSLKEELKYFKKDIL >ORGLA12G0045700.1 pep chromosome:AGI1.1:12:3599819:3600773:-1 gene:ORGLA12G0045700 transcript:ORGLA12G0045700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGGVIDSCPIARSRSVPAAYGGGEGIFKYIEGLPLGHRSATAEELMSTDTREQEITWLARSCSSSGSDPNKKISEHQSPKVNLGEKIMALQQIMSPFGKTDTASVLLETITYIKFLHGTEVSIKLYGVEDTAMIQSALQLSDSL >ORGLA12G0045600.1 pep chromosome:AGI1.1:12:3595614:3597149:-1 gene:ORGLA12G0045600 transcript:ORGLA12G0045600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHNAFNVFIWIDAGAESTAFLDGGSIEEAPCISARLPSCERSGKREKKKSKAAQHLALGQRQQQNSSSRHSRAAALQYIAAL >ORGLA12G0045500.1 pep chromosome:AGI1.1:12:3591696:3592103:-1 gene:ORGLA12G0045500 transcript:ORGLA12G0045500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSWLYVLAAKGVQELVLIFLPIWPVRVDLPTDLRVASFRHLYLGLLRLFPDIEHTFSPTPTSFLTSSSSLWSSPRASSGSSYGTRCPTPVVSVVIFDVWWPGAMASDSANEGVDDAWLLATLVGPEGERNEGL >ORGLA12G0045400.1 pep chromosome:AGI1.1:12:3582192:3591004:1 gene:ORGLA12G0045400 transcript:ORGLA12G0045400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQLVPYQSSLPVGEEHSGMPPQIHAIAYCPTPMSFDFYNQRRSLLAVSTRLGIQIWEAVFESSSLGWTKKFEAYCPGVKHMEWMPNKLGLAYGDERGLITLLQYNKEQGCFKSKIIHDKPSRGETTSISWSGNSSYLASSFHPRATEDETSNSMIIIHKRASASRTNEVQSIEMEGRPNLIAKFHPVHNCLFIAKRRWGLDIKIFSNTITGFEKESDKWVQVASLVDNIISFYKYDHYSGSEWTPTAKQRVSFQYKVNTGNRFKKILSMGCYIDSTIAIGYTDGSIEIFTKKRGKYVSALNKYWEHHWSKAKCWASTIPCLSWQYNTEKTPKVLAVGGEHGFVLFYDFIEAGPYTEIPNRDYEWETNYYKCPSEPDEEVEEEEEEEPTHDVTQEFNSQEEVEPVKETKFAICQQIFCSRTVNMEDIKAIGFKMEYTLINYKVTFENLAYVEAKKRLVNGKYPEKILEWNYNSEHMIRGLIIDKKKGNIIKVDHYNNVKMSYHGMNEMPYEESKNVYGSTFMFEEPEFAAMDTTFSCCEGYLFAQLVDLMDTNPQEVPAPTYMTLYKHLREAFDQGYKDGTLKQSVANDLARYIDKDPSLLPMLQNIKNKGISTFLITDSLWDYTDIVMDYALHQDKVNKHSWLQYFDIVFTGSRSFFSEESHVELFDVEIETGKISNPKEYQLGRSKTNQAPKEIQKVYQIDCNLMPLMPCTVNVHMTQTPHNSPTHTHSYRVTPPRAVYMHAKPPPVKSKDEKCLVWIVEGWNDRFRLGGDVGHLQRLLPNTNDPKQVLYVTHHITKEMLNNKAQGWKTMQIIPELEKELQIIREQGSIRQTFSPTEWFSICLHATMIKGDSDVTSEKKGMDSERETAEKRLASKFNDKWGPVMKAGNKNSRFAREVERSAWLYTSRASNLAAERCYEQSDQLMAHDSDLLSFHLGKD >ORGLA12G0045300.1 pep chromosome:AGI1.1:12:3573285:3575569:1 gene:ORGLA12G0045300 transcript:ORGLA12G0045300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDLYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKTETAG >ORGLA12G0045200.1 pep chromosome:AGI1.1:12:3570689:3572008:1 gene:ORGLA12G0045200 transcript:ORGLA12G0045200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALTRMKFPKRYVIVLLTFICTNVCYIERVGFSIAYTVAADAIGVNQANKGMILSMFYYGYVLSQIPGGWAAQRIGGRRVLLLSFVLWSLICGLIPLDPKREVILVLSRLFVGVAQGFIFPAIHTVLAQWVPPQERSRSVSLTTSGMYLGAAGGMLFFPSLVKHMGAQSVFFVEAVLGVAWSVIWLKFSSEPPRTDLPKVSMPKVASREKIKAQAGGVVAPRTVKIPWRRIIFSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQLSLQDMGSSKMLPYFNMFIFSNIGGVVADHLITRRILSITKTRKLLNTIGFVVSAVALMALPLFRTPSGTVLCSSISLGFLALGRAGFAVNHMDVAPKFAGIVMGVSNTAGTLAGIVGVGLTGSILEGAKASNMDLTNSETWKTVFFVPGYLCIFSSIIFLIFSTGEKIFE >ORGLA12G0045100.1 pep chromosome:AGI1.1:12:3559122:3562722:-1 gene:ORGLA12G0045100 transcript:ORGLA12G0045100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MAAGAHASRASAPIIAGLTGALRAAYKGFSPQLCNFHASVNNGLLHRRKIQLHFICSFRSIQARYNHSSAVAPKDYCETYIQFLRDKQIVPDSDPPSAKDVDLLYRFIDQSKKLMVLTGAGMSTESGIPDYRSPNGAYSSDFKPLTHQEFVRSIRARRRYWARSYAGWRRFRRAQPNSAHYALASLERIGRVHSMVTQNVDRLHHRAGSKPVELHGSVYEVACLDCGTSIDRESFQEQVKDLNPKWALAIDSLEVGQPGSDKSFGMQQRPDGDIEIDEKFWEQDFDIPSCNQCGGVLKPDAVLFSDNVSLTLYKIPSF >ORGLA12G0045000.1 pep chromosome:AGI1.1:12:3546626:3548035:1 gene:ORGLA12G0045000 transcript:ORGLA12G0045000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIDEMPDLRSWNPDDEEEEEEKEEMPAVVDYSPHHRRRPSTFTRCGVIFDTDLIVRKPVEGAATGGRASHRTSTGFPFSVSLNLAVPPALSSIYLHCAEAVMPPTLEHGYFGRSSLVAADATHLLLLVVVPVKIQGMYDHEYPEEYFVYTADALRPSLADALCPTLTRLPRFPDNRQRLAGDIGILNHAAAAGDGFSFTVASLQTFMEWQSGEGSAAILHLQEMAKLSVLQCSVGSDLDEDNTKNNDSRWVVKNLAMPFDSQGDFGPRQWKSNIAFAYAGKLYWADYDIGLIYCDVLESSPKLQLIKFPVPVRKFELGVSGPDDNCGNSESFRTAGVSNGKIKFVDVDNCRAQSFAVIIRTWTLQMPQMVWKLDDVLNVKELWGSASFKKYDLHQWVPEYPVVSLLDPHIVHFVLHKHMHHDQVWMIAVDMRAKSVKSCKNYPKGEKEDGYKGLSFNIDFICSMLSK >ORGLA12G0044900.1 pep chromosome:AGI1.1:12:3538649:3539854:1 gene:ORGLA12G0044900 transcript:ORGLA12G0044900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNCGGDAQWLPNAGTRSGCGAPKGSETGADLAPHLHSGPGAGGEVGGQGRGRSNPTRPRPIPFPSLREIEKHYKEVKIKVKAGQLEDLDDFFLQSQRPETSMAGGVEEGYETPYADSDEDDSVDEMGSDGEVGTTKSKHPSMHACPPKRDNRMVTSVRIAEKYGNFISANPSWPLAHMKATVQEEMFAEASYAKLKRAKWLFMKKMDATVGQYQKLYNYQLELLRSNPGSTVVVNKEIGLDPPVFKRI >ORGLA12G0044800.1 pep chromosome:AGI1.1:12:3528438:3534343:-1 gene:ORGLA12G0044800 transcript:ORGLA12G0044800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVSGVPLLGAVAISAKKMYPGPDDRTEYKKRYIHLGSYTYPSVREYISRFLRLSSPSPARVSSLDLAVLVLLCSGAGALFHFLPPSLPPSMAPSPLAFPPQLTNPFDPIAGVRSVTRRSETRRCRRCLWSTRLQKTELAHTICVTSQTVYASAYTYEDMEALLSDLQSKMEKEPFKMLIINSVTTLFNTELCNGCAFDGCQIKTLEMMYCLRMIANKFHIGVYVTNHEEMGLTDAKIKRSLKQGLYFMEVKDLRKLNNAVQVTTGNSSLGDMHQGGDMHEDVFLGLQDFRTKIRVSYPNSWFYLDASPDDKIKQLSQMIEKRISLSRESFYLTYLGRKLEPESTLRELGLVVSLITFELHVRLRGGCPDKGNQSLREFIASNSVCWITMSHGKNLYSNRLVREVLVRYPGQKGMRQVVKLVMQPLAIRICNGILHHVHKAHDADKSWNGSISLETFRMVDGCMEVSQHAVKDLDETSVCEDYKKISKLFLDMLELNKGHPLYLSHLCSKMKSANVTSAKSNTFQLFLRVHPSLMTYSTRSSLLWEMKREIDGLLPPNAKNIQSAIDQILMNRDWTLLAKQEAAFSPTYNRSYKKSSSECFKFIRNWLTHGIENFNTSDPRRFTTEDMDYLLEIVFKDFLADIVWTLLQNNIGNLNRWCLPGPYNFCETVYKLEYLILFPFQGSPLGGGGGGGSDHQIRTAPARGAPWAAAAVTAKSAPLRLDLAGGGGDGDGDGGGDGDSSGRGEGWHGRRLAEGMATVARMVMAAGMATARRIRRMEEDLAP >ORGLA12G0044700.1 pep chromosome:AGI1.1:12:3523703:3525011:1 gene:ORGLA12G0044700 transcript:ORGLA12G0044700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CPGKMSTGTKTKEDMPARPAPPAPTQRQADGVRPAAIGSRSHLLLCVVVPVKTRYYSYDHPEEFFVYTAASALTPTLTRLPAFPDGRQRSSGDIGILTHGGGGFTVSSLQMWMVGEGTITVKELEEGTAIIEEFAKLTLLHCSGGDRDNNSSSSSWVVKKLALPPFDSDYGGHSGLISKWSSQIAFSHGGKVYWASYNIGLIFCDVLESLPKLQLIKFPFPESEIQLLFHVKTDNCGPTASFRTVGVSDGKIKFVDVDKCRSRPSAIVIRAWTLQMPQMVWKLDDVLDVNDLWGSASFKKYGLHQWVPEYPVVSLLDPHIVHFVLRQPMYHEQVWMITVDMRAKSVVSCKNYPNGEKGYEYKGLLFNPYYISSELSK >ORGLA12G0044600.1 pep chromosome:AGI1.1:12:3512804:3520238:-1 gene:ORGLA12G0044600 transcript:ORGLA12G0044600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19720) TAIR;Acc:AT3G19720] MATPPESLSPAAAAVEDDWEEARGLLYEAYNELQGLAAELGGAAAPAPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPVALHLRFNPRCHAPRCRLLAGSGAGDDEYEEAGVAGRAMPLADIQAYIEAENMRLENDPSQFSEKEIIIRIEYKHCPNLTIIDTPGLILPAPGRKNRVLQSQACAVETLVRAKIKHKETIILCLEDCSDWSNATTRRVVMQVDPDLARTVLVSTKLDTKILQFARASDVEVFLHPPTCALDGSLLGDYPFFTSVPSGRVGSCHEAVFRSNEEFKKAISLRELEDVASLEDKLGRGLTKEEKNRIGVSNLRLFLEELLRKRYIESVPLIIPLLEKEHRGATRKLREVNQEISDLDEAKMKEKARLFHDSFLSKLSLLLKGMVVAPPDRFGETLINERINGGTFTGSENFLIPNKLMPNAGMRLYGGAQYHRAMAEFRLVVGSIRCPPITREEIVNACGVEDIHDGTNYSRTACVLAVAKARDTFEPYLHQLGFRLLYIMKRLIPISAFLLEKDGENCSSHDVLVKRVKAAFDRFAESTEQSCRERCMEDLVSTTRYVTWSLHNKNRAGLRHFFDSFVAQEQLSVNTLTAHSTGLHEQSFGLNDNKQDRPKGDFKSSNSSEANSPTVASETRLVDLLDSTLWNRRLAPSSERLVYALVHQIFHGIKEHFLVTTELKFNCFLLMPIVDKLPALLREDLESAFEDDLDSIFDVTQLRHSLGQRKRDLEIELKRIKRLKEKFAEINKKLNSLQVRQ >ORGLA12G0044500.1 pep chromosome:AGI1.1:12:3508765:3511541:-1 gene:ORGLA12G0044500 transcript:ORGLA12G0044500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQRPAKPITRRRSDGCLAARDGGSSGKPPPPSSPSVRRVRSLPARHAGCDDVSLVEKFRNAMAKRDLPTAAVPPTTPPPAAAAAAAAPVDKGRTDDGGGKDRDDATKNQESSKEVAVVAAPKDAAPASNTQTGVQLGLEEIEKFIGNTPIVKHLMRRGQSQHHSGQLASPSGGAPPKAEKPAGGKKKGGWLKNIKSVAIGFIDSGGNSKSTTSTTTSSAGANATSSSSSSASSTERLKVHQSGKSCKELTGLYMCQEIMAHEGSIWSIKFSTDGRWLASAGEDHVVRIWQVVEANSPACLPNDGHSGPLPPHPPGAAPADGTSSSSTPALSQLSKKSVKGKSGRDTLPEHLVVPDKVFALADQPACVLEGHQDDVLDLTWSKTDQLLSSSMDKTVRLWDTTTKACLKVFAHNDYVTCIQFNPADDRFFISGSLDAKVRLWSIPDRQVVDWTDLNEMVTAASYTPDGQGAIIGSHKGSCRFYKTTDCKLDQEAQIDIETKKRKSQAKKITGFQFAPGNPSEVLVTSADSQIRVFDGVTMVQKFRGFKNTSSQISAAYTSDGRYVVCPSEDSHVYLWRAARGAPAAAAAIGGIGMKPKTWCTIRSFENFYCKDVSAAVPWPLAPSSGGDGSTSGSSPSRRQGGVSCTDDVCSMPAKSGELGSAGTPLTHSGQLGSPAPGGGKGGADGNAWGLVVVTASLQGEIRVYQNFGMPFRIRGQGNLFY >ORGLA12G0044400.1 pep chromosome:AGI1.1:12:3499923:3500720:1 gene:ORGLA12G0044400 transcript:ORGLA12G0044400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1R4I8] MVSPDLIRNVVGIVGNVISFGLFLSLVPTFWXIIKNKNVQNFKPNSILVVTINGIGLVIEAVYLTIFFLFSDKKNKKKMGVVLATEALFMAAVALGVLLGAHTHQRRSLIVGILCVIFGTIMYSSPLTIMSQVVKTKSVEYMPLLLSVVSFLNGLCWTSYALIRFDIFITIPNGLGVLFAIMQLILYAIYYRTTPKKQDKNLELPTVAPIAKDTSIVAPVSNDDDVNSSTASHVTINITIEP >ORGLA12G0044300.1 pep chromosome:AGI1.1:12:3492239:3495893:1 gene:ORGLA12G0044300 transcript:ORGLA12G0044300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFDRDRYQRLDGNGGGGGGRRSSPSSCSTATIVLFVALCLVGAWMMSSTGNVPMAVSPEDKPPAAVKEDDASSIDVTDGVKGRGGGGDGGDTPRTTDEAADDVGKKVQDAGDTAKTTDDVGDTGVKGGGVDTQTATDAVAKTTTGGTGAGESGKPAGDKVGDGETTTTSKNQTFSDENGKTEGGEVVSPEDPDKQSADDAPTDGKDTGDQASGDADEAPSTDTKGKKNSTAEEPRDTKDAGENADEASTETKADKSSDDAPTDAKATGDGGTPSKNQTSFDDENGKMDGVETVAEDGKVTEKSSEQVPTNGDDGGGGGGEAQTTDDDTATGASSNNQTISDMNDDSNTTTTTTLAAVDSSNGTVSQTTEDDAPANSAAAAAAATEKINPAAEQELLPSGQAELLNETASAVAQNGSFPTQASESSAEKKARDRNKNKSNGSDTAAAVAHEWKLCNVSTGEDYIPCLDNEAAIKKLKTTKHYEHRERHCPAAAPTCLVPLPGGYRRPIPWPYSRDKIWYHNVPHTKLASYKGHQNWVKVSGEHLTFPGGGTQFINGAAHYIDLIEEAVPAVAWGRRSRVVLDVGCGVASFGGFLFDRDALTMSLAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGGAYDAVHCARCRVPWHIWGGKLLLEVNRLLRPGGLFVWSATPVYRKTPEDVQIWHDMAALTKSMCWKMVKKTNDTVDETAMVIFKKPTSNGCYSNREKPEPPLCDADDDPDAAWNITLRACMHRLPTNKSVRGARWPELWPERMSAAPYWLSHSQVGVYGKPAPDDFAADEEHWNHVVNSSYLAGVGIDWSNVRNVMDMRAVYGGFAAALKDMNVWVMNVVPVDSADTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKLKKRCKLLPVMVEVDRILRPEGKLIVRDGRDTAAEVESILRSLHWEVRMTVSKQGEVMLCAEKTMWRPKEVEKAATTAS >ORGLA12G0044200.1 pep chromosome:AGI1.1:12:3485679:3488800:-1 gene:ORGLA12G0044200 transcript:ORGLA12G0044200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHRILRRGLSAASPLPSLRGLLLVSPQELGRRPASSSSSAAAAAGDVEAELRAAREDVRQLLKSNPCHPILVRLGWHDAGTYDKNITEWPKCGGANGSLRFGVELVHAANKGLLKALFLVIPIKSKYAGVTYADIFQLASATAIEEAGGPKIPMIYGRADVADGEECPPEGRLPAADPPSPAEHLREVFYRMGLSDKEIVALSGAHTLGRARPERSGWGKPETKYTENGPGAPGGQSWTSEWLKFDNSYFKEIKERRDEDLLVLPTDAVLFEDSSFKIYAEKYAEDQDAFFEDYAEAHAKLSNLGAKFDPPKGISLE >ORGLA12G0044100.1 pep chromosome:AGI1.1:12:3480461:3483740:-1 gene:ORGLA12G0044100 transcript:ORGLA12G0044100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHRLLRRGLSAASPLPSLQEIGRRPASSSAAAGDAAAELRGAREDVKQLLNSTSCHPILVRLGWHDAGTYDKNITEWPKCGGANGSLRFEIELKHAANAGLVNALKLIQPIKEKHAGVTYADLFQLASATAIEEAGGPKIPMIYGRVDVAAPEQCPPEGRLPAAGPPSPAEHLREVFYRMGLSDKEIVALSGAHTLGRARPERSGWGKPETKYTKNGPGAPGGQSWTSQWLKFDNSYFKDIKERQDEDLLVLPTDAVLFKDSSFKIYAEKYAADQDAFFEDYAEAHAKLSNLGAKFDPPKGISLE >ORGLA12G0044000.1 pep chromosome:AGI1.1:12:3471105:3479886:1 gene:ORGLA12G0044000 transcript:ORGLA12G0044000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1R4I4] MAAARSVGMEAEVAALRGRFAAGGTRGAEWRAAQLRGILRMAAEAEAEVCRALHADLAKPYTESYVHEIALVKSSCKFALKNLKKWMKPQKVTAPLMTFPSTARVAAEPLGVVLIISAWNYPFLLSIDPIIGAIAAGNAVVLKPSEVAPATSSLLAELLPRYVDGSCIKVVEGGVAETTTLLEQKWDKIFYTGNGKVGRIVMASAAKHLTPVVLELGGKCPVVVDSNVNLHVTAKRIAAGKWGCNNGQACISPDFIITTKSFAPKLLEALEKVLEKFYGRDPLRSSDLSRIVNSNHFNRLKKLMDDETVSDKIVFGGQRDEHQLKIAPTIFMDVPLDSGIMKEEIFGPLLPIITVDKIHESFALINSMTKPLAAYLFTKDSKLQEQYEAAISAGGMLVNDTAVHLTNQYLPFGGVGESGMGAYHGRFSFEAFSHKKAVLVRRFAGEAAARYPPYSAAKLKILRGVLKGNLGAMIKAILGFPRGK >ORGLA12G0043900.1 pep chromosome:AGI1.1:12:3464625:3467667:1 gene:ORGLA12G0043900 transcript:ORGLA12G0043900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1R4I3] MPKSKSFVPVAGHANYLHKGSSLSAKHASDVLRSTDGTFSFGFYNLSSTVFTLSIWFTNSADKTIAWSANQDRPVHESGSKVMLNKDGSMVLTDYDGTVVWQISSSAEAVHAELMDSRNLVVKDQGGSILWQSFDHPTNTLLPMQPVTATAKLVSTDPSHPTSYYTLRFDDRYVLSLAYDGPDIFNLYWPNPDQSSWTNYRISYNRSRSGVLDKLGKFMASDNTTFYASDWGLEIKRRLTLDYDGNLRLYSLNESDGSWYNSWMAFSQPCEIHGLCGWNGICAYTPKIGCSCPPGYVVSDPGDWSRGCKPAFNLTCSNDGQKMSFVRIPQTDFWGFDMNYVMSTSLHACRAMCLASCSCVAFVYKVYPNGCFLKSDLFNGKTVPGYPGAAYIKVPQSFLSRSQAHVSELANRHVYNASKTQTFNYATQSNKGTGMMWYYYYCFLAAFFLVELCFIAFGWWFMAKTHSARSAIWAAEEGYRVVTDHFRRFTYKELRRATRNFKDELGRGRYGSVYKGILDDNRIVAIKKLKDVKQGEAEFQTEVSVIGSIYHMNLVRVMGVCSEGSHRLLVYEYVENGSLAMFLFGSKGLLQWQHRYKIAVGVAKGLAYLHHECMDWIIHCDVKPENILLDQDFEPKISDFGFAKLLQRNQTDPNMSKIRGTRGYIAPEWVSGVPITEKVDVYSYGVVLLELVMGLRMSELPANGSADEGAALRQLVWTVTEKIKTGDQTLIDGVVDPRLNGNFVRSEVLLVLEFAVLCLEKERNQRPNMNHVVQKFLSYE >ORGLA12G0043800.1 pep chromosome:AGI1.1:12:3460297:3462003:-1 gene:ORGLA12G0043800 transcript:ORGLA12G0043800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDPPPPLPPPPPPPPPPATPQQNTAVELPPGVYFNPTREEAMHHYLNRWIAGKTIPEMEAGFVAGADVYGDGPDALRRRHRPGYWCNYVYKWFFLCHRKRQSLRRTTGNKRAERVVAAGGRWKVEQGKKVLGGGGGGGERDSLGFYSSNSTKKTSWIMEEYTSSAADGAAAAEDRMEPVLCKIYLSPRAPAGEKRALFGEDGVAVGPDGRKRNARVAVLATLFDDVAALLGQPVAAAALPAPGDQLGHGHFDDVAARFGQVAVAALPASGDLGHGYFDDDAAALLGQVAMAPAPAPGHHQQGYVVAEAPLPESGYLGHYHHDGHLAQAAAAPEQDHYLGYHSHDAHVADGEATPEQGYHDDAHVAVAPAPEQGDLGHDQGHLAAALTPEECGEIVGAYEFHPEMVQMLSMGFAVPDEQLVPQLLDPTAGGYDMASSAIASVGDVKAYAAAAPTPRIRPNAAEAMAATATAETMPPPLDAVAAELSAPPRGLPPELAFSALPSVQQEPSCDGDDDSNFGELVAEVMPPLIGENADVDAGSDEPLPDLAGITPELDFGHDFFSNQHRE >ORGLA12G0043700.1 pep chromosome:AGI1.1:12:3455376:3458318:1 gene:ORGLA12G0043700 transcript:ORGLA12G0043700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWARPAGFALLVVVVLAAAASADRPARGGLSVTAGAEESSAVFPLYGDVYPHGLYYVAMSIGNPPRPYFLDVDTGSDLTWLQCDAPCVSCSKVPHPLYRPTKNKLVPCVDQMCAALHCGLTGRHKCDSPKQQCDYEIKYADQGSSLGVLVTDSFALRLANSSIVHPGLAFGCGYDQQVGSSTEVSATDGVLGLGSGSVSLLSQLKQHGITKNVVGHCLSTRGGGFLFFGDDIVPYSRATWAPMARSTSWNYYSPGSANLYFGGRPLGVRPMEVVFDSGSSFTYFSAQPYQALVDAIKGDLSKNLKEVPDHSLPLCWKGKKPFKSVLDVKKEFKSVVLSFSNGKKALMEIPPENYLIVTKYGNACLGILNGSEVGLKDLNIVGDITMQDQMVIYDNERGQIGWIRAPCDRIPNDNTIHGFEDGYCWPQFPNIIGYQNEQSAVCYSSITK >ORGLA12G0043600.1 pep chromosome:AGI1.1:12:3423632:3424081:1 gene:ORGLA12G0043600 transcript:ORGLA12G0043600.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASNSGDHSDHPCGGRTRRIFHLRAWVAAVSWFLGRMDHGGGGGGGGAAPSSSNSGGGSGGGGGGGGRENHPHHPFYYSGPAAAAAAAAAAQQQQQTFMGALAITPVVAEQPQGSSGGGEKKVVAPTTPAAAGAAATTTLAKRPSKDRHTK >ORGLA12G0043500.1 pep chromosome:AGI1.1:12:3418972:3421719:-1 gene:ORGLA12G0043500 transcript:ORGLA12G0043500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFGADGSKEVIPQEYCGLEVLSGEAAWSKNVLVPSSPIKKYPVHSFFSGDYVAVDVSGYVSITFVPALPRTSEFHKDARNVNWHQKVQNKTTSVKLAADISRPTIEFDCLAGFAYSSKPILTDEFGLAPHSPEYTERYGRTDDRHVRGTPPPKTLVSPKEICHKPCNSKPVSPGLSGQEDMELSPRLTYYIEEGIVPESPMLEVGHKHLETDSAANADFVQQKVDFSKSHSEGAKANELKSRNGPLNFEGKGQYFSEISRLAVSPGENALDQTQANKEERMHPSNVKIHSPAAHTPMANLLCDSFSDDWQLRSGGDTPGSVREAPKYKRLCKYADKIKRVSSMSLDDRYDIAAGGNHNFATKNKRRAKMCLDTFIDDEAVSEDADVSADEGNDHSEDNYEDSFINDQATPTGQFTQSVHRGENSGDMMAFYRSLLTQTPIVLPSRYQDVPDNSASRSGSASASCSSENLHNSMETPQGIHQPHHTIGPSPLGDQQSFVARASSMKEQGETSLAHCESSTTLDCRKRKLSFQQAASIPVINLEPELAPAPAPQPSSHITTGVNNNFVWDDDDFFESLDLDAIEAQATELWRLKKEQSTQRSFGN >ORGLA12G0043400.1 pep chromosome:AGI1.1:12:3411217:3414538:-1 gene:ORGLA12G0043400 transcript:ORGLA12G0043400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGLMKQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKEDSPHCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQEGAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIISLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >ORGLA12G0043300.1 pep chromosome:AGI1.1:12:3404920:3410416:1 gene:ORGLA12G0043300 transcript:ORGLA12G0043300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKATSSADARAKWRKRKRNANTSAADHSDDSDSAAAAAAAAANDDNDDDDAALHAATAAANGGGGTLGGGDDDPVVDLREAEVHPTAIERVSAFPPAFRRVVNRLHPSVLAVMAAERAAAAAGAGAGGGGAAVPALENISHGQLQVLSSVLPDHPSLSNDPDKPSSYVCTPPLLMECRGVAKQFDGKLLMVPKHSDWFSPMTVHRLERQVLPQFFSGKSPGHTPEKYIMLRNRVITTYLERPARRLAFSECQGLVTSTPELYDLSRIVRFLDAWGIINYLAAGSVQRGLRMAATLIREEPTGELHLMSAPLKSIDGLILFDRPKCSVRAEDIASGASISSSPGMENGDAGFDEKTLLERLSESFCSFCAQPLPSLHYESQKEADIALCSDCFHDARFVTGHSSLDFQRVDGKKDGLDNDGDSWTDQETFLLLEGIDKYKENWNAVAEHVGTKSKIQCLHHFLRLPVEDGLLENIKVPEASFSSKFWLMQNASGSVFKLPQLFALGSLPQSGEAGDLPFINTANPVMSLISIMSLALLFRDDLQIAFLASSLGPRVAASCASEALIVLTGGDSRISSIGNDVMGHAARPNCGDCLIPKINSSLAVSSENVRHAARCGLSAAATKCKLFADQEEREIQRLSATIINHQLKRLELKLKQFADIETYLLRDSEQSERMRQGLQAQRIRMMSGLRLASPRGNTMASNPSSQANIRPPGIPGSMPQAGTPAFYSNNMQVHPQMAFLQQQMQQQQQKQQQQQQMQLQQQQQQMQLQQQQQRQAFLQQQQQQMQQQQQQRQLQMLSFGGRLPLSAMNAPSTSAAPNVMFDNPDMPGPSNQG >ORGLA12G0043200.1 pep chromosome:AGI1.1:12:3398863:3402473:1 gene:ORGLA12G0043200 transcript:ORGLA12G0043200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) [Source:Projected from Arabidopsis thaliana (AT1G21690) TAIR;Acc:AT1G21690] MAPLVPSSQPWVEKYRPRQVKDVAHQEEVVRVLTTTLQTADLPHMLFYGPPGTGKTTTALAIAYQLYGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGSARKGGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEVMSNRILHICNEEGLSLDAQALATLSTISNGDLRRAITYLQSAARLFGSSISSTDLISVSGAIPEDVVKSLLASCKSGEFDVANKEVNNIIADGYPVSQLISQFLDVIVNADDIPDEQKARICKKLGEADKCLVDGADEYLQLLDVASETIRALFDMPQTLVF >ORGLA12G0043100.1 pep chromosome:AGI1.1:12:3396575:3396751:-1 gene:ORGLA12G0043100 transcript:ORGLA12G0043100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKTRGTGNAAAAAEKRRGHGSTALFVAVDYAFLLAFAGFLSYLVVSHLLPSVASF >ORGLA12G0043000.1 pep chromosome:AGI1.1:12:3393159:3394915:1 gene:ORGLA12G0043000 transcript:ORGLA12G0043000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTTVPMAAAAAVAPSTTTSSSASFKVAAYAWSSCRSSSSPATRLVAAPNHQGPPLVVGAIAGLDPVTAVQLPLTAGNVESVLDQVRPYLTADGGDVALHEIAGNVVRLKLQGACGSCPSSLITIKRGIERRLMEKIPDVAAVEPVTDKETGLELNEENVEKVLNEIRPYLAGTGGGGLQFLMIKGPIVKVRLTGPAAVVRTVRIAVSKKLREKIPSIQIVQLLS >ORGLA12G0042900.1 pep chromosome:AGI1.1:12:3389621:3390037:-1 gene:ORGLA12G0042900 transcript:ORGLA12G0042900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELAMVTAARAIAEWDHPAADITHLVISTNTGAHTPGADERLAALLDLCPTCHPLHARLLRLAKDIADNTRGVRVLVACAKVFLIAPVAPDEAHLDTLIAASLFGRNASAVIVGTDPRAPVENPVFHMVSNWIGSES >ORGLA12G0042800.1 pep chromosome:AGI1.1:12:3387044:3388231:-1 gene:ORGLA12G0042800 transcript:ORGLA12G0042800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGFFDLSILPDDSKSTTTNTSVVARALDLGYSAVALDHPHRGLLTDSHAPIASSLRVSPSASLHRHRHPFLQYTRITLSLDSAAACASALAPSAARLLRTYDIVAARPLTQAAFDHLCQATFDHLDIVSIDFSHKLSFRLKLPMLKLALQKGLHLEIAYSPIIADAASRRQAIAEAKLLVEWTKGKNLIISSAAHTASEIRGPYDAINLSSYLLGLSTQRAKAALSVNCRSLVSKALRKKHFYKETIRIDRLLPNKQLNSADFKLADWISWNPMPHEVDLLSLELPAYKGEPQSLDINPEPSANEDD >ORGLA12G0042700.1 pep chromosome:AGI1.1:12:3381323:3385957:1 gene:ORGLA12G0042700 transcript:ORGLA12G0042700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:I1R4H1] MLLPASACRGSGRALLLALAAALLALATPRPASASESDHKYKVEEPVKLWVNKVGPYNNPQETYNYHSLPFCQPSENPAHKWGGLGEVLGGNELIDSQIDIKFLRNEERGSICTLELDSKKVQQFSDAIDNSYWFEFFMDDLPLWGFVGETDKNNENKRYLYTHKSILVKYNDNRIIHVNLTQESPKLLEAGKKLDMTYSVKWLQTDVTFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRSLAFLSAVVGIGTQLAALILLVIVLAIVGMLYVGRGSIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMILTASLFPFLCFAIGFVLNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFLSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAIGYLGSTLFVRRIYRNIKCD >ORGLA12G0042600.1 pep chromosome:AGI1.1:12:3375173:3378818:-1 gene:ORGLA12G0042600 transcript:ORGLA12G0042600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAX-interacting protein 2 [Source:Projected from Arabidopsis thaliana (AT2G38270) TAIR;Acc:AT2G38270] MATSSAAAALRLPASQLPLSARPSSSSTLRFPPRRPARREGLAVSAFTKLSEASPVAIPPEPAQPLPDEEALPPKPGVYGVYDPAGELQFVGISRNVRASVEGHRRKVPADLCGSVKVSIADEETPDRTVLTNAWKSWLEEHITATGKAPPGNVAGNHTWVGPPQRPPDLRLTPGRHVQLTVPLEQLIDRLVKDNKVVAFIKGSRSAPQCGFSQRVVGILESHGVDFVTVDVLDEEHNHGLRETLKTYSNWPTFPQVFVGGELVGGCDIVSSMAEKGELAALFKK >ORGLA12G0042500.1 pep chromosome:AGI1.1:12:3366399:3366891:1 gene:ORGLA12G0042500 transcript:ORGLA12G0042500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAHINQHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTDEEDELIIKLHELLGNKWSXXXXXXXXXXXXX >ORGLA12G0042400.1 pep chromosome:AGI1.1:12:3338959:3342484:-1 gene:ORGLA12G0042400 transcript:ORGLA12G0042400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKRLNIVHSSCHHGNGEGSSSGQSLPQVEPPLPYEDEVIHVEQQDDEPLNMPVQDEEVKKRKGTTLRYVWDLPPGKRIVVKCNRLGQPIGDEGGLLGQFLGTLARNGAYCPLDKMTWRKIKADEGDLTILQFVQTKFLYPPSCVQWILKSIGRDWRRYKAALKDKYFNPKKKRSALYKLCPDDVEKDQWIPLIKYWKSKKGKALSAKNKRSRSMLQNPHSAGTKSYARWSEDLVTLENLIDEQPELAQNDQGRVAWEGDALNKVLGKEKPGQVHGMGLLPIPKQAYGRTSHHLKNINITTVNDSSSDEETHVRGEVGELKKLVKTLGQRIEELENKGTSNGNSEPTMATSQRTFDDGIEEGVVRTNRKNKRRCEEQQNMHHDNILDLCGKKHQEANNNIGSPCQDDSSSQPHLAHDLRREMNKKKHRNLEKFAKTIEKQDTQKKTAHHMAQNRVHSSSMKVGTTIILVTAKYPNKETVAYATYLSSNPRDKVDGVEIGNEFTKVVVNHPLKEDEELVQKING >ORGLA12G0042300.1 pep chromosome:AGI1.1:12:3325194:3327026:-1 gene:ORGLA12G0042300 transcript:ORGLA12G0042300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSCFNPQPAISHGIPFDSFLLQDELHHHADLDHPFEAEGITVHGSELEGGSVLPFATLHDLDHEFFRRGSRKDFIDNASSIFLLNPKTEVSHLVRDVQVGAFGAYEMNGRLISRNKASRKGIKKADAVKGHWTVEEDRKLVKLVEQFGLKKWSLIGGMLPGRVGKQCRERWFNHLRPNIKKDTWSEEEDMVLIQIHKEVGNRWAEIAKRLPGRTENSIKNHWNATKRRQFARRRNRSTSKSGSTVLQNYIKSLAISPQESQMNNERSESNPSDMMITQGTPCCFDGNNCSQSHTSEENIVPSCGDFAAEMWSGLFDTKEDEEDEAQYLLYDMDTHVDMNCIFSNMDYGINIEPGLASVVKAECSAESWAVNLKETD >ORGLA12G0042200.1 pep chromosome:AGI1.1:12:3317767:3322479:-1 gene:ORGLA12G0042200 transcript:ORGLA12G0042200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein tyrosine phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT1G71860) TAIR;Acc:AT1G71860] MAAARFAGVPRRAAQSSSSSSQAPLDEPPPPALTPEQVGLCREALEYFEGMCGRPEAMSDEFRRLQDTRHELMRSSNEARNAANREKNRYIDVIPFDTTRVRLKRSTTSQTSSNDYINASFIKVTEDNRVAKFISTQGPLAKTFDDFWEMVYEYQCPVIVMLTQFDSLKCDEYLPLRKQREAYGKYNVKITNAKRDSHQLWLRDVMVQCNESSRVHSVRHIEYPDWPDHGVPTNTDAVRQIRKWLQNTPMEHPIVVHCSAGIGRTGAYITIHSTIERLLLGDKSSYHLDETVKTLRTQRVGMVQTEKQYMFCYRAIADELKDLLESNR >ORGLA12G0042100.1 pep chromosome:AGI1.1:12:3315080:3315613:1 gene:ORGLA12G0042100 transcript:ORGLA12G0042100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1R4G5] MAAMPISRRIQLVLVVAAVVAVHAAAETAATTHIKVYWHDVVSGPSPTAVQVARAATTNSSASFFGAVVVIDDPLTSGPDLNASSPVGRAQGTYVSAGKDTVALLMNMNFVFQSGRYNGSTVAIMGRNEVFAAVREMAVVGGTGVFRWARGYAQARTHTLDMKTGDATVEYNLYINH >ORGLA12G0042000.1 pep chromosome:AGI1.1:12:3304985:3305722:1 gene:ORGLA12G0042000 transcript:ORGLA12G0042000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPLPPPPTTTTTMPLPLPPPPLPLPSPSSPSSSTTVPRRRHHHHRRLASSSSTSSSSTASIFSPSPSRSRRSSSSRAAATSDVTTAASVVVVPFSWELHPGIPKNGLAALSSAAAGEPALPLPPPIRASPPPRRRRQHHRRRRSDGVPARSGASDPFTAAFAECTREEEEDDATDDLPGRRRSSAAASSEHRWRWLAGGSGFVGFLDLYGCKSAMAVADAAILARRRPVVARSKPSRATRRDK >ORGLA12G0041900.1 pep chromosome:AGI1.1:12:3289191:3289532:-1 gene:ORGLA12G0041900 transcript:ORGLA12G0041900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAPLLPSWRAAAAAWVALCLVPVVLSLAVLWLPLLCCAVAVVRFRRVRMMRSSAATGGRRRCGGGGGGRWPEKGEGEDSGGDRGMLLQKYLEDQMELVGEFAADPPPVES >ORGLA12G0041800.1 pep chromosome:AGI1.1:12:3280722:3285375:1 gene:ORGLA12G0041800 transcript:ORGLA12G0041800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVALYARGGGVGCGAKAAAAARRPGRGGGGGRRRAAAVLLLLLALAYVAGLLVFVLAGVGGVGGRVEVGREVGVMTVASLRRRRAAAAAAAQPGSVYRSHLVFERLWPDIRDDASSASAAASSLSSTSWRRSMLMTSHYQNPGELWMPCVNRKLIRPELPPSNGYLMIEANGGLNQQRLSICDAVAVASLLNATLVIPAFHFNSVWRDHSKFGDIFDEDHFIETLKQHVRVVKELPVDVLTRFDHNISSIPNMRTKAYSSPNHYMQKVLPELLELGAVRIAPFSNRLAQSVPSNLQALRCFVNYQALRFAGPIRVLAEDMVERMVKRSTLTGGKFVSVHLRFEEDMVAFSCCTYDGGLKEKTEMENARERSWRGKFHRHGRVINPEANRRDGKCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNAEKYMAPLRQMFPLLATKDTLALPEELAEFEGHSSRLAALDYSVCLPSEVFVTTQGGNFPHFLMGHRRYLFGGNAKTIKPDKRKLVLSFDDPNIRWNRFKRHMQDILHHSDMRGTALRKPNDSIYTFPMPECMCQQDGMM >ORGLA12G0041700.1 pep chromosome:AGI1.1:12:3270980:3276338:1 gene:ORGLA12G0041700 transcript:ORGLA12G0041700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGGGGGGGGGGGGGGRGGRGGHARRDARPRDDRGRDAPRDDRVRHGDRRPHDYRPRRTPSPGRDHRIRRTPSPYRDRRRIPDYHPRRTPSPHRDRDRDRHAAGRPRGRGDDDRTRDPPRGRSPPPPPPPYRGDDRGRYDGPPDYMLPDHPSSLRPARKDGEFFGGRSGNKDSEFFGEPGMTLRVCSTEMGRTSSLYLDRRSPPLPLPPPPPPVRVASPPLYTLVPPAETGFLTGGSAMKAGENFGMGSSRSLLDGGEFQYRDRLDDPYVERSREREIDRLYAGRSLHLERDGEMDRLYPSKGVLGADLAPSAYAGSSSSLLAKERPYRVHDGPSYEPTNGYAMEELGRLSHDTLGHVSGHAHRFSGSPLEHDSGRDGKILLDITRQKHSNHSPRDASVEYGRRDPGNAYLPPENLRGNGSQVSSPSVRRMFGSSSLTGRKDERIDHQVRLPLRMAEDEDTFHGMHDGMERDVQHSYHGDALTRHRRTRNSDLRYSRSPETERLKHGRHPVQHGYSSFDDTHEFSDQEVSPVVSRGRPRKAMYRDHVTEHYQADDSPLGRECYDDDVDSYDLSPQRMAEPYDMVDDQDKYDARYDLPNNRNVFSRITLRDDTNDGWTDEDQENNHQSNSITYGHSQNKPISQRLSRPTGHSLFGGFPMHGRGRGKSAKKRLRSALPQFHVGSTQGGDGFVRPNKRFKLTEVNHDDPEMSHEDAPEDEDISMQKDPPEGSEEFTKQVHQAFLKYTKLLNESPTVQKRYREAAKGSLSCCVCGSVPRKFPDIDALISHAYDTCKMGLKTKHLGFHKALCVMMGWNWHVAPDTTKTYHSMPDEEVNAMKDDLMLWPPVVIIHNSSIATKAKATDAKIVSKEEIEGVLTEIGVICDKAKISHGRPANQSVFLVKFLPTISGFQEAMRIHEHFTAKSHGKEEFQQIKGGKGKKDAPVDELEELLYAHIAVAEDLGYLDEETKKRCLVRSKNDIEAKADATLNLDS >ORGLA12G0041600.1 pep chromosome:AGI1.1:12:3257326:3257583:1 gene:ORGLA12G0041600 transcript:ORGLA12G0041600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec61 subunit beta [Source:UniProtKB/TrEMBL;Acc:I1R4G0] MPANGDGPARGSAAAAASLRRRRTTGGAGAGAGARGGTSTMLQFYTEEAAGCKMSPNAVLIMSIGFFAVVALLHVFGKLYRTSSN >ORGLA12G0041500.1 pep chromosome:AGI1.1:12:3246938:3248128:1 gene:ORGLA12G0041500 transcript:ORGLA12G0041500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSSLNISIRSGAASASSASNPNRASPFPALALHPHHQQHDVSAMLGYHHHLLPPPQQQQESPQDPGGAGAFMRKRYREDLFKEDDDRQDPSAPKAREQQPTTPQAAAAAAAAAMWAVAPNTAAPGGAFWMLPVSASSAAAARPTEQPMWSFAAGGGGAATVQAPLQFMSTRVNYPGSAGAGMSDTNLGMLAALNAYNRGGAGDQQPQQQPEMDQQGRNDDDDDDGDDSGDEDNGGANNNNNNNSSQ >ORGLA12G0041400.1 pep chromosome:AGI1.1:12:3237062:3241179:1 gene:ORGLA12G0041400 transcript:ORGLA12G0041400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTAATGDDENDDEDGDGAIKYKNHDSSPQQVFTTDSVILTISNINDDLTCRKGGPGATASSIPEFPTQPPGETRHEIDAEFTGKAL >ORGLA12G0041300.1 pep chromosome:AGI1.1:12:3217758:3223651:-1 gene:ORGLA12G0041300 transcript:ORGLA12G0041300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANSSASAAGAGGGSAAAAGLKTYFKTPEGRYKLQYEKTHSAAVLHYNHGGKTVSQLTVAYLKEKPAGQGSTPSTPSSSSGMRSAAARLLGSGNGNRTLSFVGGNGVSRAVSGSSRIGGGLGTSGGIGSSQAVANYDGKGTYIIFNTADTLFISDLNSQDKDPIKSIHFSNSNPLCHAFDPEAKEGHDLIIGMGSGDVYSMSLRQQLQDPGRKPVAAQHYNKGDKDGTSTGSRCTSVAWVPEREGIFVVSHSDGNLYVYDKCKDGNTECTFPAIKDPAQLMISHAKSSKSNPIARWHVCQGSINAISFSPDGAYLATVGRDGYLRVFDFSKEQLIFGGKSYYGALLCCTWSSDGKYLLTGGEDDLVQVWSMDDRKIVAWGEGHNSWVSGVSFDSYWSPPNSDGTGENTVYRFGSVGQDTQLLLWDLALDEIAVPLRHPSSGSPTFSSGSPSAHWDNACLPTGVLQPSPRMRDVPKLSPLVAHRVHVDPLSGLVFTNESILTICREGLIKIWVRPDQNENNHQSNSSELVLGNPVSKDRVITTSNKATGSSFKQSSAVVFT >ORGLA12G0041200.1 pep chromosome:AGI1.1:12:3208606:3210217:-1 gene:ORGLA12G0041200 transcript:ORGLA12G0041200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTDRPVPPYYLPISMMQFFSVKVIEIMGGLQWPLHVYGIVATRDSQDNKRNFLFRCDREHCQTLASPQDSCLRLTRPSRAIVMVNPVVVEVDLKVKGSRGPPSEDKVLSEHAFVHNHTGHRIKPGFPHRQLESTEDSTMEFVFAHLQSAVEATITVGVVEGSPDFRARFTALTAGIDEDVLLLDFQDGTKVVVDDDGFVVLRRRVVSVEGTGKLILRMEATNHGGDDTAVVKEEIFCATKTSRSQSYFMLGFCKLSIIIAWSLLP >ORGLA12G0041100.1 pep chromosome:AGI1.1:12:3197638:3204633:1 gene:ORGLA12G0041100 transcript:ORGLA12G0041100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide adenylyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G22660) TAIR;Acc:AT1G22660] MSLRLRGLHLLPLLRRRSCSPLLLRRRRLLRGWPPPLVSAIPSRAFGSSGIPARACASSGFSGMAAVSPPTPQQQQQRCVVEVREGVELTEKEERIFRRLLDVVRHFGLGTQLRVAGGWVRDKLLGKDSTDIDIALDNMTGQNFCEKVNEYSELLGEEQKGIGVIQCNPDQSKHLETARMLIFDIWIDFVNLRSEKYAENSRIPTVEIGTAKEDAFRRDLTINSLFFNINTNTVEDLTGRGIEDLKKGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLTEDLKDAASDEKVKSELGSKISKERIGHEVDLMMSDKHPVNAMCYIRDLGLFYVVFAFPEKPDPPVPDKCDRLCFSHIEVAWNLAHSIGCSVFSGGSDSKSQDEHRRLCFYSALFTPVRNTIYLDKKSKKIPVTNYIIRDSLKLKASDADTVVNVHAASEKFAELINLLESNENLTTVKEKLDDEYLEIPTDSVKRVLTGLILREIKDFWRVALFICTLTYPEVSSGGDSLGQPDELHQRKEKYTRAERLITELELDGVWKMKPLLDGKAIMGIMQVKGGPLIGKWQQRLLKWQLAYPRGTTEECIEWMKQSQSKRQKV >ORGLA12G0041000.1 pep chromosome:AGI1.1:12:3191248:3195407:1 gene:ORGLA12G0041000 transcript:ORGLA12G0041000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSVQVMQLLVVCVPAQPRLCCPPAMVAGREALACLPIAQVLSKCDLCAGCGSAIMMAWRLIFFSLQLCKITDKLIRLYIKII >ORGLA12G0040900.1 pep chromosome:AGI1.1:12:3175132:3177270:1 gene:ORGLA12G0040900 transcript:ORGLA12G0040900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSWWVVAACVVLAAAAASSPAAADPRDGFTAVSLGDGNFQLQWPYDVESSSRYSFDGTVRRLWVFSDDKPFKPQSGTKPRTEIRMTGYDYSSGVWQFEGTGYVPSGTTGVSIMQVFGGGTATTLMLHVYGGDLWYYHQQVVETNIYDRWFRLNVIHDVAASQLTVFIDGRERLRVAGKGGDSHYFKFGVYMQTNPSNRMESRWKGVSILNKT >ORGLA12G0040800.1 pep chromosome:AGI1.1:12:3160640:3169603:1 gene:ORGLA12G0040800 transcript:ORGLA12G0040800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA cytidine acetyltransferase [Source:UniProtKB/TrEMBL;Acc:I1R4F2] MRKKVDERIRTLIENGVRERQRSMFVIVGDKSRDQIVNLNYMLAKSRVKSRPSVLWCYRDKLEISSHKKKRAKQIKKLMQRGLMDPEKADPFSLFLETSDITYCLYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLRSLSSLTSLYTMVMDVHERFRTESHTQAAARFNERFLLSIASCKSCVVMDDELNILPISSHMKFIQPVTNNEDSEGLSERERELKDLKDQFREDFPVGPLIGKCFTMDQGKAVINFLDSILDKSLRSTVALLAARGRGKSAALGLAIAGAIAAGYSNIFVTAPSPENLKTLFDFVCKGMNALEYKEHLHYDVVKSADPELKKATIQINVYKQHRQTIQYLKPHDHGKLSQVELLVIDEAAAIPLPIVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLESQSQPSAPNNGPNSSRLFKKIELNESIRYASGDPIESWLNDLLCLDLANSIPNISRLPHPKECDLYYVNRDTLFSYHKESEIFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVVQVCLEGQISRKSAMKSLSEGRSPSGDQIPWKFCEQFQDNVFPSLSGARIVRIAVHPSAVRLGYGSAAVDLLTRYYEGQMTLFAEDEEENEEPEVRITEAAEKASLLEETVKPRANLPPLLVHLRERRPEKLHYLGVSFGLTQELFRFWRKHNFYPFYVGQIPSAVTGEHTCMVLRPLNSDDIEVNESSKCGFLDPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDFSDHEPSDYYTNITSKILGDMLSPHDMKRLEAYSNNLVDYHLILDLVPILAHQYFSEKLPVTLHGAQAAVLFCMGLQDKDIGATKEELGIEREQVLSNFIKTMKKLYGYLHNIAGKEIEATLPRLKEIDTSPLKSLDEDLDEAAREVKEQRRAIDEDDVDPKFLQKYAIDADDDEIEKALNGGKISASGVISVKSNKTKADKQEKRKEMKKSKRKGNDGEKSESKKKRS >ORGLA12G0040700.1 pep chromosome:AGI1.1:12:3155975:3159322:1 gene:ORGLA12G0040700 transcript:ORGLA12G0040700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPKRINYMAPMLASAVILLLLVSGYFELPSISSYSAAPAPPLFATALDAVGTRERSPFTSLLSAFADWDAAVGCPRIRAKLDAVGAPGYGANSTAAAAASITGGAGWGGGGGGGRCEGVRTRHVGVLVKGWTWIPDALDGVYTCRCEVSCVWSKSAAAVDRPDALLFEGATPPPQRMKGLPLRVYLDLEAARKPTGFEDIFIGYHAKDDVQVTYAGKSFHTSRSYHVSTEKRNDALIYWSSSRCLPHRDKVAKDFLSLVPHHSFGKCLNNVDGPDMALSMYPVCSTNDNGKPHWWDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALEAGSVPIYFGAPNVWDFIPPNSIIDASKFSSLRELASYVKAVANDPVAYAEYHAWRRCGTLGNFGRSREMSLDTLPCRLCELVSKRGGRNADAL >ORGLA12G0040600.1 pep chromosome:AGI1.1:12:3153651:3155048:1 gene:ORGLA12G0040600 transcript:ORGLA12G0040600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDQMMNTMRDQAANLTSMNPLFYPFMADDALLGMAPPPPQQLLPSASIQHMDWSPDTMLDNLTFIEEKIRQVKDVIRSMAGRRASSSSAATPEQQLVNADLTCLIVQLISTAGSLLPSLKNSSFLSRTTPPPAAAAGAAQAVSLAAGESSSSARNNEMNREDEEEQMGSPDYDELFKGWTNGGAMDECVGAAGDEQDARENPAAAAEEEKYEVLQLEEDEILAPHTHFCGICGKGFKRDANLRMHMRGHGDEYKSAAALAKPPPPPEGEEQPPQPERRYSCPHAGCKRNRMHASFQPLKTILCVKNHYKRSHCEKRHVCGRCGAKRFSVMADLKTHEKHCGRDRWLCSCGTSFSRKDKLFAHVALFQGHAPALPPPPPPPTSGRRRHKQEEPEFTWGGGGGDEFLDVKGIAGVGSGSGGGDEFFSAGSFGAMDFGFGQLDASLAMLLPSEQFAGDHQEENGDK >ORGLA12G0040500.1 pep chromosome:AGI1.1:12:3146073:3149372:-1 gene:ORGLA12G0040500 transcript:ORGLA12G0040500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLPSSSSSPPLRLLPATRLRATSRPASPRRGLSYSYPRRVAAVLRQCRAAAPPPPAAPDAVPRWHAALAAAAGLYPAYVTAGAAVAVARPDAFRWFVALAPGSYTFALGLIMLAMGLTLELREFLALLRERPLSILFGCAAQYTIMPAFGAIVSRVLGLSPPISVGLILLGCCPGGTASNVVTLVAKGDVPLSIVMTVCSTLGAVFLTPLLTKILAGAYVPVDAVKLSLSTLQVVVAPILLGSSIQSAFPSVVKFVTPFAPLLAVLTSSLLACSVFSENFVRLRSTIADASYGNGGLFSGDIGVVMLSVFLLHCAGFVVGYTTAAIGGFKERQRRAISIEVGMQNSSLGVVLATAHFSSSLVALPAALSAVIMNIMGSTLGLVWQFMTPPDSKNENR >ORGLA12G0040400.1 pep chromosome:AGI1.1:12:3139669:3143520:-1 gene:ORGLA12G0040400 transcript:ORGLA12G0040400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAGNPLRVRAHQALSARGCANLEVILNADAKNVEEHHEGDCQKLDCCHQPKPLVCQPSFSSGRFMWSRGFSSQAGASSGDKQDELEEGFSDLEVPPEAHKKDLDLTSDESSDEDTIDAIGLSEVEADAKPEEPIKKSQSTLLKALLESPRVDVAGALKKWLNDGNTLDRSELFYVLLILRRRKLYIKALQLLEYVEESKLIDLGERDYASRVDLVAKTHSIYKAEKYIENVPASHRGEIVYRTLLANCVAIANVKKAEQVFNKMKDLGFPVSVFSCNQLLLLYKRVDKKKLGDVLTMMEKENVKPSLFTYKLLVDTKGAARDIEDMEKVIQAMQADGIEPDLLFQATIARHYIFGGYREKAEAILEQMVGDDINENRSACKFVLPLYAFLGKNDDVERIWKVCEANARLDECMSAIEAFGKLGDVEKAEEIFDNMFKTWKTLSSKYYNAMLKVYANKKLFDKGKELAKRMGDDGCRLGPYTLDSLVKLYSDAGEVEKADSILHKLSYKNKIKPMYTTYLMLLDSYSKKGDVHNAEKLFSKVRQMGYTGRIRQYQLLLEAYLNAKTPPYGFKERMKADDIFPNRAVASLLAATDPFNRKNAMSELLD >ORGLA12G0040300.1 pep chromosome:AGI1.1:12:3138331:3138877:1 gene:ORGLA12G0040300 transcript:ORGLA12G0040300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADASINLLQNHRVEHIGAISKQCQHISPSDQTIYIACKQGTEEVISDVLGVEVFRQTIAGNILVGSFCSPADAINRGSEVVVAGVAVNDWAAFCGADTTATELSVVAWWRECSET >ORGLA12G0040200.1 pep chromosome:AGI1.1:12:3124574:3129526:-1 gene:ORGLA12G0040200 transcript:ORGLA12G0040200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPQPHGRGREKAAGAGPRLPPPVTAPSVGRPASVLPHKTANVRDHYRIGKKLGQGQFGTTYLCVGKPDGGEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYTERAAALLIRTIVGVVEGCHSLGVMHRDLKPENFLFASTAEDAPLKTTDFGLSVFYKPGDKFSDVVGSPYYVAPEVLQKIYGPEADVWSAGVILYILLCGVPPFWAETESGIFRQILRGKLDLESDPWPSISDSAKDLVRNMLIRDPTKRFTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITYDELKNGLKRVGSDLMEPEIQALMDAADIDNSGTIDYGEFLAATLHMNKLEREENLVSAFAFFDKDGSGFITIDELSQACEQFGLSDVHLEDMIKDVDQNNDGQIDYSEFAAMMRKGNAGGANAGGVTSTGGTGRRTMRNSLRVNLGDILKPSEN >ORGLA12G0040100.1 pep chromosome:AGI1.1:12:3120462:3123376:1 gene:ORGLA12G0040100 transcript:ORGLA12G0040100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYTVGKWIRGTGQAMDRLGSTIQGGLRVEEQLSRHRTIMNIFEKEPRIHKDVFVAPSAAVIGDVEIGHGSSIWYGSILRGDVNSIHIGSGSNIQDNSLVHVAKANISGKVLPTIIGNNVTIGHSAVLHACTVEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEIAFIAQSATNYINLAQVHAAENSKTFDEIELEKMLRKKYAHKDEEYDSMLGVVREIPPELILPDNILPNKAQKAVAH >ORGLA12G0040000.1 pep chromosome:AGI1.1:12:3100937:3103207:-1 gene:ORGLA12G0040000 transcript:ORGLA12G0040000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPAPTKCLTECPNNNLTWATAISSHITEDTAPTVAWELGNNKDKGHASCVVTKDSPKVTPTMCSMKCSGPIVEPDLTVAAVVTSATTAAASMELVAAGNAIGATYINNLDHPKVTHAKCSMSGSGVKRGTEQVVLAFPLMASPVEFTKSLVEPSPPTGLKLGAAICVGDQVPMKCSMKCTESDNKPLMEHPKRNPWPLAWLGWKKWYVSWTAVNYSEMRFYFIPPWPPPLKVGWLALIFSKFGAAHTDMMDIMLHWTDMKPWPPPNQNLRSIMVHLFAWKHWKVSVEVSLFAWNTKQYMNSVLLITVGTKWLIHSAVKDCFLQGKPFKLVDPLELMQVILVLLVRDPDAEMFQNGSYSLQPENYQLTNCLVARLLKQGNLKKVLDSVDQSKNIKKSDVDVGEDNPGNISTAAKMFIDGLGIKEDSEMLCPSAQYIDNXPTKLLDEIRNGCNIYLLVALIDDELNPWCFLIDKRWYDILVLLFFTGATWKVESYALPIKDVIHMVVYFVQPLQGILLQTRQGKIEHPIILDITSATQTVKFLLCYKSTIKIHPSCSSMVQISASKFRACGKENICYMLLLLVLNIGDCTSLRSTSYMLHASSACAEYWCLHFSEVVQHAICIGWIINWAILFWMEQAVCSPRIILQMPWDPVVVSFSXLQAVGLGTSRIQRKGYCXELGRLHYDPTQXVAQSLAQASPTESXKGRDAALSGKGTEQRSNRHQRLRRRRLGSGXTLSIFISSSTTSLATISTAAT >ORGLA12G0039900.1 pep chromosome:AGI1.1:12:3088479:3093025:-1 gene:ORGLA12G0039900 transcript:ORGLA12G0039900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASATAPPSRRTRSRPPSASSRKSDDPSAAAANGNGKASSKPTSPGQLTGERTVRKLRLSKALTIPEGTTVSEACRRMAARRVDAVLLTDAQGLLSGIVTDKDVATRVVAEGLRVEQTIMSKIMTRNPTYAMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSAIAAAVEGVERQLGGNFSAPYAFIETLRERMFKPSLSTIVTEGTKVAIVSPSDPVYVATQKMREFRVNSVVVATGNTLQGIFTSKDLLMRVVAQNLSPELTLVEKVMTVNPDFATLDTTILDALHIMHDGKFLHIPVLDREGQIAACLDVLQLTHAAIQLVEGGNDTVNDVANTVMQRFWDSTLALESPDEECDSRSEVSLLLASETGDGKSSIYPPVIGNSFAFKLQDQKGRVHRFTCGSESLNELASSIKQRLSITDEEGIMQLLYEDDEGDRVLLTTDADLAGAVLHAKSSGLKVLKLHIDLSESSTEVTKPSQQLAAARRSRLSPVRVGLMAGVVALSGAAVMVYLKRAKV >ORGLA12G0039800.1 pep chromosome:AGI1.1:12:3078236:3083228:-1 gene:ORGLA12G0039800 transcript:ORGLA12G0039800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDRTSWLWRRKPSDKSPGGAENTVSVSSHSEHYSDDQEVLRPVSNNASPHLGQSPGMPSRVRDDGTQETGVTKPSNEKLALGFKLNDSSPRHGQSSEPQSSSNVRDEDVKENLKSLNDKLAAAFLTINAKEELVRQHAKVTEEAVLGWEQAESEVAALKKLLEASAQKNGSLEVQVSHLAEKNASLEVQVSRLDEALKECVRQLHLAREDQAEKVHDVVTKSQELESENSKLQNRITELKKQLETTKLEASNMSIDHDLQEKFQAIKKENMDLKSKLLVQSKDLKILSLERDLSNQAAETASKQHLENVKKIARLEAECRRLHHLTRKATLINDSRPLANNTCVESLTDSQSDSAERMAAVDNELRNSDSWASALVAEFDQFKNGNADEKNLVNNPVVIDLMDDFLEMERLAALPESDRTSSTFDMETDSDKAVTRNSSSKLETEELRNQVADLHAQVEKIESEKKELEMALMEARNQLDISCNALVAAKNRLVEMQMELDLANDSKHDALRDFEGLNSEKKALEFQLESKSVRVEELLQVVASLEENTDKKELESQLELLSAEAKELRLTVTSLEEKIEAERSLSVQHQAEAVAACNAKESLEEQLYSANTEVERLHVIVKELEDEVEKEKMRQEELVAELEMKMETAVEAIKESLEAQLCAANTEVERLNGIVQALENDIEKEKALHKELTAQLEVKFEEEKARSVQTVKESMEAQLCSSNTEVLKLRDIVKALENEVEKEKALHEDLSAQLEAKIEAERTFSVEAIKESFQSELQSVNSEVVELRGMVTALEHEVVKEKTFSAELQMQLEALEAIKRVLESEIESAHQDNRKLNDKVKSFEAKLKKQVSSAADFTAKEEAMQSERRAMKQQLEAAKMEVGKLTNKVSLLQGEVLQERLLSEEFEQEYHKLEARLSRDSRDAKLWRLANSNGGLKAKQEKELANAAGKLAECQKTIASLGRQLKSLTDIDNTIVEPERLEPREIREMPLDFRNSDADFAVFADELYDFDLPKVNSSCFSPLPSIQPSSPPSEMSVFAGGLSSLSSFRSKRRK >ORGLA12G0039700.1 pep chromosome:AGI1.1:12:3066181:3071984:-1 gene:ORGLA12G0039700 transcript:ORGLA12G0039700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVYKPAAEVNLGPDSDEFYISPNVKAPRVAGLLVKIFVWILEMPIIGSMVLYILKKDNLINKLVQDAEIPEPPLFTSTHSWEDIPEQNVCLTKPDLSPPERVQEAVSCLPASLESTLAGSPPSSPKRWTIRDFNRAYSSGEVTPVQVAKRFLAAVKECSGPGLNMAFFISYSPEDIIRQAEESTLRYQRGTPLSAMDGILVAVKDEIDCLPYPTTGGTRWLGRARACAADAAAVAQLRACGAVLAGKTNMHELGAGTSGINPHHGSTRNPHNPGRVSGGSSSGSAAAVCAGLCPVALGVDGGGSVRMPAALCGVVGFKPTAGRLSNAGVLPLNWTVGMPGILAGTVEDAAVAYSAIVDQSQPSYLRPELNLPLLKSSLSIKNIKLAKYVKWFNDSSEDIRSCCDKSLQMLHAHYGWETLDVTIPEIEEMRLAHYVTIGSECTASLAKYLDKLKRSEIGWDVRVALGVYGSFSSRAYLNSQRLRNRQMYFHKEIFKTADVIVSPMTGVTAYKLQDDALKSGELDYINGAALVRYSIAGNFLGLPAITVMVGYDKAGLPIGLQFIGRPWSEATLLHIAFAMQEACKKHYRKPEVFYDLLKKD >ORGLA12G0039600.1 pep chromosome:AGI1.1:12:3062569:3064729:-1 gene:ORGLA12G0039600 transcript:ORGLA12G0039600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:I1R4E0] MSSVFSGDETAPFFGFLGAASALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >ORGLA12G0039500.1 pep chromosome:AGI1.1:12:3058815:3060178:1 gene:ORGLA12G0039500 transcript:ORGLA12G0039500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEGGIGEPPGLARERRPRLRRSVAATGDMDGDGDVGGGGGGGGGGGVRYVLALPAMASLAVLIAHLDAAVPVPRRPRSYLPRAVPMAWWAFRLPVFRPPPPPPPPPAKNPVKEEEGVARVVVVVAPPPPVDPGEEEAGKRAAKRARRCLNCDAVETPQWRSGPMGRSTLCNACGVRLRAVGSLPEHRAPAARTTTAAPASPPDSPIWTPGHKPPSSSPDIYLVRRTPKLPVTRPPRTKQAPPTAPAPAPPPPPPQPASPKTKTKAKAKKPKRKRSCVHCGSTETPQWREGPTGRGTLCNACGVRYRQGRLLPEYRPKGSPTFSPSVHAANHRQVLELRRQQRQSTNPSTPPPPPVSAAEPIPDEQKEEVVSVPVAAAAPATDGSAASSLDALLLDGPSAPLIVDGDDFLVS >ORGLA12G0039400.1 pep chromosome:AGI1.1:12:3047359:3051375:1 gene:ORGLA12G0039400 transcript:ORGLA12G0039400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFVGLLVPIAVSLLLRKRRMVGKMRALPVDVGGEPGYAIRNYRFKQPVETHWEGVTTLAELFEQSCKDYVNMPLLGTRKLISREQESSLDGRSFEKLHLGEYDWKCYAEVFKSVCNFASGLIRLGHQKTERVAIFAETRAEWQIALQACFRQNITVVTIYASLGEEALCHSLNETEVTTVVCGQKELKKMIDISGQLDTVKRVIYINEEGISAEVSLAQKSTSWIIEPFEDVGRLGDTAPVDANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPEIGKKDVYLAYLPLAHILELAAEALIAAVGASIGYGSPLTLTDTSNKIKKGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDTKGGVAKQLFDVAYNRRLAAVNGSWLGAWGLEKLLWDMLVFKKVRAVLGGKIRFVLSGGAPLSGDTQRFINICLGVPIGQGYGLTETCAGGTFSEYDDPSVGRVGAPLPCSYIKLIDWSEGGYLTSDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDEKGMRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVENIMIHADPFHSYCVALVVAAHNELENWASQQGVTYTDFVDLCQKPEAVKEVLGSLSKAAKQARLEKFEIPAKIKLISEPWTPESGLVTAALKLKREVLRKTYEDDLAKLYA >ORGLA12G0039300.1 pep chromosome:AGI1.1:12:3041720:3045033:-1 gene:ORGLA12G0039300 transcript:ORGLA12G0039300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQQRAGKKRGRGEEAGTAAGGVERARQRWRESGREAVGMAGAVGRAAGESGSGAAALVMLQLFTAGVFVVLVDLHECSRRSSGRRRVAGNYDGAGGLRKNMVRQHLLQTIFVLWLIVNTTRGHNMSYRVVTWAFWSLSVFKAAAMVAEFLVGRSNDVASRRRMGVEVIARYMEIEESLAAGDQPANPRTMKGYKYIFHGEATVAPMSRDGDILAQISSGKSVVTIDRVYPWIDDQVGYSEVEKDLARDICLAFTLYKLLKLRLYGYIHAAAGSQKAKDLIFARAFPGGYRLQQQLDDVHQDRVRLRALWWKEYMAPPKMNYWEDNLGEYVLLEGFNHRPWVWNLLSWLTLCLVEPRRQGQKRGRTKHLTREVSGAVLLSFKSSSGRLTNGISTLRRHGLSSRLGWACTFPNLTDQILVWHVVTTRCDWASGRGRSRRDDDHQNRLVARRLSNYCAYLVAFVPEMLPDPSYIAQQIFDTTVQQARDHFDGCRTTSSVLARLQEIQDKERCGARVRETSQLHHHREGGAARRPAQDGYGQRGAAVADAGRVLG >ORGLA12G0039200.1 pep chromosome:AGI1.1:12:3016842:3024718:1 gene:ORGLA12G0039200 transcript:ORGLA12G0039200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAASRLAAAATSSSTLEMFSRHLAAASSAWGIASSRAPYPGRERRSASWWCPTRSFHATRGMNARDYYDVLGVNKDASASDIKKAYYLLAKKFHPDTNKEDADAEKKFQEVQRAYEVLKDDDKRETYDQLGAEAYERQASGGGPDDFSGSHPFGDIFGDMFDNPFAMRGGRDVKVPIELSFMEAVQGCRKTIAYEADVFCDTCNGSGVPPGTVPQTCKACRGAGVIFMQRGIMSIETTCSRCGGSGKIVKNFCKTCKGEQLVKGKKSVKLDIMAGIDDNDTMKVFGKGGADVERNKPGDLYVTIKIREDPVFRREGNHVHVDAIISIAQAVLGGTVTVPTLTGNVSVKVRQGTQPGEKVVLRGKGIKARNSPAYGNQYIHFNVRVPTDVTQRQRELMEEFDKEECNEGERVAAASG >ORGLA12G0039100.1 pep chromosome:AGI1.1:12:3011742:3015124:-1 gene:ORGLA12G0039100 transcript:ORGLA12G0039100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ssu72-like family protein [Source:Projected from Arabidopsis thaliana (AT1G73820) TAIR;Acc:AT1G73820] MGMEARRKMRFAMVCSSNMNRSMEAHSLLGRAGLDVASYGTGTHVKLPGPSLHEPNVYDFGTPYNVIYDDLRRKDPDLYKRNGLLPMLKRNTSVKLAPQRWQDNAGDGLFDVIFSFEERVFDLIVDDMHNREQRMLKNALIINMDVKDNHEEAGVGAKLALDLCQKLEGADEDWEEIIDDLIITFEKQHKRKLTYNMAFY >ORGLA12G0039000.1 pep chromosome:AGI1.1:12:2999362:3001296:-1 gene:ORGLA12G0039000 transcript:ORGLA12G0039000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCTGDEVAGPGEQRRRPLDADAGGDEGAVATTSSSLLAAPMLSRRRQAEEMAAMVSALASVVAGGGGTSLPAKRPAEREPEEGAAVEGVWWSYCSELAAAAAAPSPAAPFPAGTLRAIAGATLACVGGGRRRGGAPVAVLGRLRRRRRRHGEEAVQRGAAAAVGEVGGGDPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA12G0038900.1 pep chromosome:AGI1.1:12:2993486:2997066:1 gene:ORGLA12G0038900 transcript:ORGLA12G0038900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G76730) TAIR;Acc:AT1G76730] MPLLPASPPPLSLSRSLAAAAAASRRGRAVTCAAARGAASSSSASFDAAGFEAERLRLDAEARAGMASAAAVAGAEAADPKAWKWAIRKRVWDALEAEDVARDPRPVHHRIPNFDGAAAAADSLGRLDVFQDAQCVKVNPDSPQKQVRFLTLSGDKKLLTPQPRLRTGFFSVLESHMIPIGCIPEACTSVGAAKYGRPIGLDEKIKVDLIVIGSVAVDPSTGARLGKGEGFAELEYGMLRYMGSISDSTMIVTTVHDKQLVNDIPVEKLLVHDVPVDIICTPTQVIFTNTTIAKPQGIYWEKLSPEKLGQIRILQELKRHIESETGTTLPCGPSEKLPPTAQRRRRKRRR >ORGLA12G0038800.1 pep chromosome:AGI1.1:12:2972358:2978049:-1 gene:ORGLA12G0038800 transcript:ORGLA12G0038800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDFESMKRRELQALCKRHGLSAGGSNAALVARLAATLSGAATEEKAVGVVVWKGCMKRSGGGSEGFGAAKTVTFALEEEEEVMETRGRGRRGRRPRVMWSPVAAKTRGRQKAGEVVTDSEAGSGGGGKQRRGARVGGDAAAEGEPAAEAPRRRSRRNAVNSSDADGAEGDGAKEGEAVDNKRKQRQKQKQVAGDVGEEITVSVQDGVSGRSTRSSCLLTAVTVVQSPVVEKKRGRKRKGDVNEQICLEVQEHCAEVQDDGRKLRSGSTSVTTVSPPVVDCRRSRRKAADPIVEKAAKVNVSTRSTRSSSVVTDIASPIVLENKRQKKEHIHLDVEPPTVPMVQANVAPSTRSLRNRVVQVKDNVMMETEVCKKLENKSLSCRPSTQYQTSAFVEEEDEQMVHDPSIGPKLRRSSRRQSVANELLLINSISESNNVSRAQTDAKGLKTAQPLMHNAAKTSMKNVVVRKDENAGLTKRNTGRGRDKIAKSVGKVSLSESSGEALPTEMQQEVIEPLKRSRRKSVVSTLLEEGTKCMHGSVRGDVLVKQPTEKRPVRRSTRKSVVSAMLDKESNGLTTEMMPEAHIRRSKCKSFLPNMLNDEKMDDCEMVRDEEHKHSKGNDPGKKLAIKEPARRTTRKSVVPIMFDRETKGLAAEMNPEVHVRRSTRKSVVPNKPNSENKYHDELVRTVVGVAVAKQLEAKKPVRSNGGGFKLGKRRRTSMEMSCSSGNNTKVSDRQKSRKQQKVQTPASEGPCAKESTTDALQEIISFEESNADADDMVVRESTQDGNEGCHEYCNDSSASTQEVNRDNATVEETHREQWVNSEVHGSDSSDTAHEYVDLRTESIIHLSGISSEELGQSSSITELVSRAGISSENKVLLDDAEADLGAAVAQTLNANSNEEVLEDLDNPAAIAEELSSATALPLLDAEDHTDKNEIITLPEQLLGALSKLSSVDQLPPCTSDADALVIVNDSNACNIANWTAVKGTDDIQNVDIALSGDGIEASKSMTVAEVLSCAVATVLEHGTLAEHDFERTCMKNGDASLSLFDSGSPGNETDNGSFKALEFELNYLPTVNDERGKQAEEDKCPEVHSDAASEKSNQGDDLCKNLSTVKGESPLASNFHVEDAAEHGSMLQIEINAERGSSDGMDSSYGLKSLFAEEGNQQHYMIDDGNIAAEVDSGNKLSDERHSSLGLKYLFAEEGNQQHNLIDVQHIAAKVDRGSKSSDVRHSSFGLKSLFAEEGNQQCDLVDDENIAVKVNSGSNSSDGRHSSFGLNSLFVEESNQQCNFIHDENIAVKVDSGNKSIGFKTSTFYTRVDCDLEDAAAQLIGEGDNALDVEQGVAYDKIMLSPLNDIGACSSYGRNPSIGQRSLYAQERGGSNVTNGAFVAAETDGKKDLDNIIVGLHMESDGIHTEMDVGLVSDNPENKLALEPVQQGDAEE >ORGLA12G0038700.1 pep chromosome:AGI1.1:12:2964559:2971570:-1 gene:ORGLA12G0038700 transcript:ORGLA12G0038700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAMYCLSGSSDSMIRLWDLGQQRCIHSYAVHTDSVWALASTPSFSHVYSGGRDQSVYLTDLSNRESVLLCTNEHPILQLSLQDDMIWVATTDSSVNGWKAEAHEPLKLFQKGSSFLAGNLSFSRARASLEGSAPVPIHKEPSSIIPGVPAITQHEILNNRRHVLTKDTAGSVKLWEITRGAVIEDFGKVSFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAVDLNVAGAQEDLKINLAQETLRGLLVHWSKKKQRSGSHGLSNGDTSTGKDVSLKNLPHPRSEVDDGAENHASQMLPSFEFSTVSPPSIITEGSSGGPWRKRITDLDGTESDIPWWIVDCVEHNRFPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLDRPLDGNADSTFGMGLTSGQSQFSALDSSSRLGLKPWQKLKPSVEILCNSQVLAPEMSLATVRAYVWKKPEDLILNYKVVQSR >ORGLA12G0038600.1 pep chromosome:AGI1.1:12:2956088:2961500:-1 gene:ORGLA12G0038600 transcript:ORGLA12G0038600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRMRCLVGGGVEDSPRGAVRRVSPALRRVHNANASAAAAAGAEGKSGLPFRSPDVMETVHEVAIYIHRFHNLDLFQQGWYQMKISATWEEGGSKTPASPARVVQYEASDVGADDALGIWKIDDADNSFYTQPFRIKYARQDIYLSVMVSFNIFNSEEEGPAASSVILKFELIYAPTLENGSDIQASSATSSAAVHEFRVPRRALLGSHSYCPVHFDAFHSVLVDLTLHIVYLKAGATKSSLKIPDQGLGPTSHHIVKALLTSREMLLEELKKISDAIGKTVEDLDVADLSLGKYEAVQPAKSGLPNSNKVFPATTKGVGHLAGILHDFLEKPNSAVDGANDAMLYTLPKEELLELFLTVSSQLSLLWNAFLKFHRINKTKILDYLRDIWALDRKSEWSIWTVHSKIEIPHRYLRSTDDESSHRHSLLRVSGSRKFHDDPVQNSASRAELHRKSIAQMKINTLSVQDMQIYADPSRVPVVLIEQHVMVVPQHGSSKDLATNSSEQKDTIVLPKLQGDSLALKSSAGKKGRILRAVIFVHGFQGHHLDLRLVRNQWLLLDPGAECLMSEANEDKTSGDFKEMGGRLAGEVVAFLKKKVDKLAKYGGCKELKLSFVGHSIGNVIIRTALAEPALQPYLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTFFYKLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASSDNSRKGQVFTEMLNNCLDQMRAPTSETRIFMRCDVNFDQSAQGRNLNTMIGRAAHIEFLETDIYAKFIMWSFPELFR >ORGLA12G0038500.1 pep chromosome:AGI1.1:12:2954374:2954922:-1 gene:ORGLA12G0038500 transcript:ORGLA12G0038500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPTQNAPPQKHNIRTSLNIAENRFSEDITGTKNCATQLIPFCCSTNSAEIHNLFLEDEDIPPSLVMPSKQEQFCRCDFHGIDYLEDYYRYGKTCFETGQDHMAVETIKLMLATYTLVTELDDNLQYSTNFHAPQHKRLN >ORGLA12G0038400.1 pep chromosome:AGI1.1:12:2952462:2953715:1 gene:ORGLA12G0038400 transcript:ORGLA12G0038400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTEHQGSSSSPSTFSFGRSLLSLRRDHVAMPSGEEADLEAFQRHFAASLGELLPGEVEGGGGGGGGGGGGGGEEILSVAWIRRLLEAFILCQEEFRVFVAQARRRGALPAAGEKLVVEFHERAVKALDVCNAARDGVDQVRRWERLADIAASVLLAPGEIHEGQLRRARKALSDLSVLLVDDTAASGSGGVASFLASHRNRSFGRARASPSRASFAGATSATSSSHFRSLSWSVSRAWSASRQLQAIGAGLAAPRAHEAGLAAPVYAMGCVLHLVAWALVAAVPCPDRSTALQAHHLPAAPARAAFPWAPPLLTLQERLAEEGKRKDRRNSCGLLKEIHVLEKSTQKLTDAIDAAPIPLFGDREADVREAAAELAAVCAAMRDGLEPLERQVREVFHRIVRSRVEGLDSSMHNAD >ORGLA12G0038300.1 pep chromosome:AGI1.1:12:2948817:2949518:1 gene:ORGLA12G0038300 transcript:ORGLA12G0038300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYINTSKEPYIPVSKRKEKRXREIQEYSINLQLLIMAPFKINKTIAATFFMVLIMSCALTSTSACQGGTECTVEEPHCTMDSCREKCKDIGHQPQVQPNDS >ORGLA12G0038200.1 pep chromosome:AGI1.1:12:2946880:2947415:1 gene:ORGLA12G0038200 transcript:ORGLA12G0038200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIKINKIIAATFFMVLVIMSCALTSTLACQGGTECTVETPHCMMDSCNAKCKAEATSRKCNSLTVSCHKYATLEKCCCTFHAN >ORGLA12G0038100.1 pep chromosome:AGI1.1:12:2943502:2945060:1 gene:ORGLA12G0038100 transcript:ORGLA12G0038100.1 gene_biotype:protein_coding transcript_biotype:protein_coding XWRNDARTSCYLPGHERRCGLISILADRGSCSLTSRMRXCSTFCYDCHPSLSCGLSPCARLGTPXYQAQFSSTLTSSGQSXSHHHCSWLLAFTRSRRTVRILPFXWASISTRVVIIMXCICMIFHVIFHRYWIHGLALCTAMVCSLFPTXAKKXLSTTHQQERLFPCQKGAVTFIRAQGLDFGFDPRSSKYKVARVFYQRDDETSMLVCKFEVLTLGTINVWRQTEDPPYPIGKSTPVHVKGAIYWMVSRTSLCPDPPNTLVRFCLTDEKFSLFPCPCNVKPSCLTGLGDELYCSYFFSQPLQLEIWGCSVVGQKPEWTRRCALQIPPDVIKRPVASLLVVFHGKMLLLALKKVYKYDIQACKLEKIPLVVEDFMCYDRKNNMYQTYSKKEVLTSWKTYICHANTLGHVFLLVN >ORGLA12G0038000.1 pep chromosome:AGI1.1:12:2937929:2938506:1 gene:ORGLA12G0038000 transcript:ORGLA12G0038000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSQFRSEQHKRKFSEKEGATQEKTVHGWENTGEHQRLDGVVTVADQRGELGSRSMSIGRLDGVEMLADXQSVLGSGSIGRADHLKDEHLYAFKRKKNLXSICTRVHMYLQSTSLMCSKIRTAKCT >ORGLA12G0037900.1 pep chromosome:AGI1.1:12:2898892:2906106:-1 gene:ORGLA12G0037900 transcript:ORGLA12G0037900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEGGGGGGVEEGVGESSSPPRDPAAAPAASGGSGGGGGGGAGGLNICNQVYERLVAEGNEEAAAPDFRAQLEAHFMRLPHSYQLDINVDKAEDVLVHQKVLAEAKDPDRRPAFHVRFLRIEEVDPTYDSDASEDGADDGDDLSVRQDTSYAHIHEVVFSTVDKPKLLSQLSALLSDIGLNIREAHVFSTFDNYSLDVFVVDGWPIEDTDGLHKALEASILRNEGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGGMIASGSCGDLYHGTYLGEDVAVKILRSEHLNKNVWNEFTQEVYILREVQHTNVVRFIGACTKPPQFCIITEYMSGGSLYDFVHKQHNVLDLPTLLKFAVDVCRGMCYLHQRGIIHRDLKSANLLMDKDHVVKVADFGVARFQDQGGNMTAETGTYRWMAPEVINHQPYDNKADVFSFAIVLWELITSKIPYNTMTPLQAAVGVRQGLRPGLPENAHPQLLDLMRRCWEGIPSNRPPFSDILAELEDLLARVQGTSGEASQRQDDSGAKD >ORGLA12G0037800.1 pep chromosome:AGI1.1:12:2894340:2896556:-1 gene:ORGLA12G0037800 transcript:ORGLA12G0037800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPALLTEAPLNPKANREKMTQIMFESFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELDAAAAAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLVGMEAAGIHETTYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >ORGLA12G0037700.1 pep chromosome:AGI1.1:12:2889669:2891483:-1 gene:ORGLA12G0037700 transcript:ORGLA12G0037700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G15720) TAIR;Acc:AT4G15720] MTATSNAAAGAATLMPLLIHLLRGASDLASVSATHAKLLKLGAASSVASSNHLLAAYGRCGCTALARHLFDGMRDRNVVSWTALMSGYASAGRPRAAVALLRAMARSGVPPNAFTFSTAASSCARLADAGLGRQVHARAEVAGYACDSVVSTALVDMYGKAGRVGDARAVFDAMPVTARNAVSWASMLSVYAQNALGHDAIQLFAELRTNSEDMAPNHFMLSSVVNACAGVGRLGIGKCIHGMVLHHGHEDNDVVAVALVDMYSKCGIYEYSKKVFDRINQPSVIPYTSIIVATAKYGLGRCALALFSDMVGRGVQPNSVTLLGVLHACSHSGLVDTGLQLLRSMRSEYGIDPCASHYTCAVDMLGRAGQLEAAFELADEAQVNGNDALMLWSSLLSACRNHKRLDLATMAGQKVSEFTQDVAGALVVMSNAYASAGQADDAAAVWSNMRRRGIRKDPGCSWIEIKDISYVFYAGVVSPAGSRAGEVMLLLDELEGKMREKGYKGRIGSARVLDADEEEDGNHHHQEGRGVMVGVHSELLALGLGLLVIPKGMAIRVMKNLRMCRDCHEAFKVISAIVEREFVVRDLNRFHHFRMGSCSCNDYW >ORGLA12G0037600.1 pep chromosome:AGI1.1:12:2883088:2884386:-1 gene:ORGLA12G0037600 transcript:ORGLA12G0037600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNNLPSGSLMRSNSGQMHAPNPGKQGFDHTQMPGNLSMHVNQSTDSDHLSEFQFGELGKVDHHHHHHHRQHAKNGMSDDEEHGVNEDATDSQSGKGKKGAAWQRMKWTDSMVKLLITAVSYTGEDPGADSGAGKRNSAIMQKKGKWKAISKVMGERGCSVSPQQCEDKFNDLNKRYKRLTDILGRGTACKIVENHALLDCMSNLSDKMKDDARKILSSKHLFYEEMCSYHNNNRVSLPEDPALQRSLQLALRCKDEHDLRRGTSGDADEDDQSVDSDSEEENDEEHYTLQGDKSALPMHKRLRHMTDQEDVGFGNSSSSHGCSRRSDSHGISLDINKAFPDGTNLALAQKDLATQSADLEEQRLQIEVQAVYLAKQRLKWERFSKNKDRELEQMRLENEKMRLENKRLELEVRHKELELELKQKGSGNHA >ORGLA12G0037500.1 pep chromosome:AGI1.1:12:2875709:2879630:-1 gene:ORGLA12G0037500 transcript:ORGLA12G0037500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:I1R4B9] MSFRSIVRDVRDGFGSLSRRGFEVRLVGHRRGRSHSAVHELRDGHAAAAAADVVQSSCWANLPPELLRDVIERLEASEAAWPSRKNVVACAAVCRTWRDMCREIVKNPEFCGKITFPVSLKQPGPRDGAIQCFIKRDKSTQTYNLYLCLSSAVLVESGKFLLSAKRYSRATCTEYTIFMSADNTSRSSNMYIGKLRSNLLGTKFVIYDTQPPCNTANVSQSGKTSRRFYSRKVSPKNPSSTYSIAQVSYELNVLGTRGPRRMNCVMHSIPASSLEAGGTVPCQPDSVLARSLDESFGSISFSKSSIMDRAIRFSSSRYSDISVGGPMVGGQALGDSDESKERPLILRNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAATQPAAGAPTPSQPAPPPPPDHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >ORGLA12G0037400.1 pep chromosome:AGI1.1:12:2871296:2873085:-1 gene:ORGLA12G0037400 transcript:ORGLA12G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine hydroxylase [Source:UniProtKB/TrEMBL;Acc:I1R4B8] MESAEVAASSTFGPTPEMEKFLCDLLLDTAQPIAERFRALFSLRNLHGDGPRCALLQAARDSSNLLAHEAAFALGQMQDAEAIPALEAVLKDLSLHPIVRHEAAEALGAIGLEKSIPLLEESLAADPAVEVQETCELALRRIEQQKNAGVSESTTISPFLSVDPALPAKQGLSVHQLREILLNEQESMYERYAALFALRNDSGDAAVSAIVAALGAKSALLKHEVAYVLGQLQNKAASDALSTVLKNVDEHPMVRHEAAEALGSIADQESIALLEEFAKDPEPIVSQSCEVALSMLEYERSGKSFEFLFLQTPGVQQES >ORGLA12G0037300.1 pep chromosome:AGI1.1:12:2864109:2869574:1 gene:ORGLA12G0037300 transcript:ORGLA12G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) TAIR;Acc:AT3G55510] MAKKLGKKARKFARKHLQSAAKRNRKQRSQFARRPRRGGNGRGNERDGDGDDEMPQRAIDNVMNNGDAAALVNGLEFPEDECELNSDLSDSDGYLSEDSECLYYSDSEDGNAVNDCFVQDGLDGENDKMNTEIKKQKKKLNKLMDKDPEFANYLEKWQSELKSDGSKEDSDEDEMDSVDNDADSSDENLSNDKILTRKTISEWCQLVAKEPKAPSLRSLLNAYRDACRFGVSKSPSVQRIQSTEVFHQIITFVLSEADNIFHALLEISDDVNKGKIMNLRSAKKWKTIEPLVKSYLQNSLDLLSQLTDNQILAFVLTRLRASAVLFSAYPSTSRRLLKILIRLWASGDHNLSLSSFLMIREVASLLPECLDLCLNKSYNTYLASSKLVNEGNIKHIDFLMDCLVELYSLDVQKSCERATTSIGQLNAILRQACKTKEKEDLRKVDNWQYINCVNLWVRFLCCNYKDYNMHPLLSSVVQIIRGVAHLFPGTRYLPLRLRLVQMLNELSSCSQMFFPIPSLLFDCLEFRDTSQKEQGQKAKVNFSSLLKVPKNMLKSRDFHEECILSAIDVLSAHFAQWCYHVSFPEVATIPLILLKRLHEQTTIESLRRPIKRLIDQVDENKNIVERKRDGVSFSPNDTTSVESFVQDLQAEKSSAKISQFYKIVPKKLR >ORGLA12G0037200.1 pep chromosome:AGI1.1:12:2848450:2851678:-1 gene:ORGLA12G0037200 transcript:ORGLA12G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLFVDPMYFYLLHTGLKSCVTMDMQIGVGVTAVRTVADLFYLAHMILKFRTAFVAPSSRVFGRGELVRDPDQIAIRYLKNDFIIDLAAMLPIPQVTCIPVNNSSANHTNNTLSMIVLIQYIPRVFLIVSLNSKIVKSSGVVTRTAWAGAAYNLLLYTLASHVLGALWYLLSIERQYTCWMDVCTRENGTNPAIPKCYMSYLDCKTLEDPIRMDWHSRSEIDHQCLLPEATYVYGLFADALNLDVAKVNFWDKYLYCLWWGFRNLSSYGQNLENSTYRGETIFCILICIMGLVFFSHLIGNMQTYLQSMTVRLEEWRVKRRDIEEWMRHRQLPLELQERVRRFFQYKWLATRGVDEESILQSLPLDLRREIQRHLCLALVRRVPFFSQMDEQLLDAICERLVSSLSTKDAYIVREGDPVSEMLFVIRGELESSTTDGGRTNFFSSITLRPGDFCGEELLTWALMPNPSLNFPQSTRTVRSVTEVEAFALRAEDLKYVANQFKRLHSKRLQHAFRYYSHQWRSWGACFVQGAWRRYKKRKLARELSKQEELYYMQGQGGDDGDGHDDSDSAPLLGAGAGAGGDHRDGAAAGAAHLGATFLASKFAKNTKKSAAAHHGKARMEDVSSIKFPKLAKPDEPDFSLSSDDVL >ORGLA12G0037100.1 pep chromosome:AGI1.1:12:2841924:2846339:-1 gene:ORGLA12G0037100 transcript:ORGLA12G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLVLLRRIPVRRHCGPSSSRLLSSAAAGAGGGAGGVGRRGPYQQQQQEEEESKAVKVSVWWDFENCNLPNGVNPGRVAPRVTAALRAAGIRGPLSITAFGDVVQLARPSQEDLVATGVSISHVPNSGKNSSDRSFMADLVYWIAQNPPPAHFFLISGDKDFANILHRLRMSNYNILLASPGTATTSVLCSAATIMWPWEALVKGEDFSPKHFNHPPDGLAGSWYGHYKGALDDPFVDTEPEELVVVPSDLKHGSIPKYVVNAIQQVLKSYPNGVSLSDLRLELKKKNIYLGNDFFGHKKFSCLLQSLPNFVEFISPPVGANQPCVVAANRKLMGPDKQNFESSTESNVGDNNLNRAIHNDKPPPLPVSTSFSEKNAKTENSSQNIAKKLQTASENPPTFAVLSSRSDVLPEDQKEHPAANLNAQIESQEDHKEVDPTTASGIPSSSGVEDNVNKEGLFKRIWLLWKGPESAKSEVSHLESTSTVVVGDAQSPQQEHNANEHRRLLKRVYKKSCRNGSTDGSDSVTTGSASTSSHDDRSKKLKHVDDMRSLERDTSNSEPCDRSVSVSVDKAEKGDGISKMDKGLFSWVTGWLKFGKSDADNVTANRNVIDEESTDSLKGPESLKVSACGSGEEEVHEIFTKSFFWDVLQQQLSKPLGSELVSKAKTREELVHQLHKLDCWPLKGLAEKDLHQLVNILVSEKKWVEETPSRYFPFRLTLPHKRTCIPSNSRKFDGLSSLFSNGKPLPGKYTGDQSTNSPLPREETLSDCHKLLKDLLLEYEYGFNISIFKLRFAQKHGYELDPQKLGYPDLKSLLQIMPGVRVKFPRVLPAENGNGQAGSKGNGNQSNGDDFVWEELGPVSATTETVQTVDKEMCYRPPTLSDDDFSEDENHADQQPRKEAEASSLLQIIDSWHNSKDVSSKKTQDIDGLVDCSRSDHGYLDNLTGGNAPKPTRPQHKQYSFVSDSEEDTEKGKLVESVLGSLQKARTSKLHN >ORGLA12G0037000.1 pep chromosome:AGI1.1:12:2836811:2839835:1 gene:ORGLA12G0037000 transcript:ORGLA12G0037000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPRCPLVAAAAIASASREQRGDRSIAAVKTPAINLRVTPPRPRSRRDINPPHLNPRKPPTPSPSSSSQSLDRHHPPPFARHGRRGLAAACSGEHGGWRRSSDGKGAGRSCFFTTGTASDDQAGRHSSAAGSDGQGARRSCFFTTGTASDDQAGRHSSAAGSDGQGGDAPQPRDANQGGTPTPMVKGGGAASPPPPGAHHLTTKEEEEDAQRDRDRARDEDDEAPARRCSWVILQAVTRVKDLPPGEDLAFKSEKPPGTSVLYVDKAIGFFNFVVPRAKPFSVNLSRPRPTTPLVVAVHGSGMVVASAFNGVCYFCDAHTRVATMIPPIPPMEPSLIPPVISIGVVQDPIRPDYTMVACLVCTNSSPQFMELRCWTYTSGSQWVVKPLTNCLQHPVWGSQGGVLSHMNKIWFVDLPLGLLFCDPFIEKPKLTYVALLEGCLMLVPDIRSRHNLEKRRCVKISQDKICYVQLDEGEACLWSLLYSENESPEWQLEYKAPLADIWGDKIYKVPAIAMIDPTDCAVLYFIEQDVLFSFDIRSKRVLMSKSLEMRTDFCYLSQFLHSWLLPSNMFEENGPVESDKLPSNDLDEQSDSDDDEESDNEDDEDEEHGRQNSWVCAQEVISSGQAAWEYFESQLEAVQGNQDGEQ >ORGLA12G0036900.1 pep chromosome:AGI1.1:12:2806929:2808617:1 gene:ORGLA12G0036900 transcript:ORGLA12G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMSYPCSLLIPFSTQFEEISSSSLLLWSPQAEENPHENANMYEFDADHSHDQIHQDHQFLDMMVIQESANEFDGNHSHDQIHQDHEFLETMVIQESANEFDGDHSHDQIHQDHEFLEMMAIQESANDVLQLQDDFSVPNADPLAASFEFDERLAVAGHENGNVVAAQEESAGDLLLAGAMAVDAGDAVHASAIMSRLDDLLADIAGRRSCEATSPVDHLAYYFARGLKLRISGAATPASSPPPPAANWSSPAYRMLQELTPFVKFAHFTANQAILEATEDDLDVHVVDFNVGEGVQWSSLMLKLLLLGTITILQPKLVILIEDELSRISKNPPSPSLAAPPPFPEFFSDAVAHFTAVMESTASCLVSYDDEAWLSLRRVGEEVVGPRVEDAVGRYGSLAGGAQMMEGLRAREVSGFSVAQGKMLAGLFGGGFGVVHQEKGRLALCWKSRPLISVSLWCPK >ORGLA12G0036800.1 pep chromosome:AGI1.1:12:2789556:2792910:1 gene:ORGLA12G0036800 transcript:ORGLA12G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFPLPPASGGGRGHHRRAHSETFLRLPDADLLLDPEGDFSFSDLDFPSLSDDSPAISDPTPPPPPPMAATPAPAPRPPGGAHMRSLSLDTAFFEGFSLQGGGGGGGGGGSGGSGGHKRSGSMDGVNSPFEGESALSGGLPDYAKKAMPAERIAELALIDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQRDTSGLTAENRELKLRLQSMEEQAKLRDALNEALREEVQRLKIAAGQAPNMNGNPFNGGLQQQIPPYYTQQQQQQQQMPYLGGHHAQQRHPSHQQSSSNGGQSLSGQSLNDSMDFM >ORGLA12G0036700.1 pep chromosome:AGI1.1:12:2783095:2785661:-1 gene:ORGLA12G0036700 transcript:ORGLA12G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYVDFGSRLRDSGGELPNWGRWWLLTWCGRWIPTVYWVISLLLLLLGVAGVRLVDWGAAGCSLQLQMVDSSEGLRRLAALLFKCCSLDSSNRPNGLQDPERLARETVFNVNEIEALYELFKKISSAVVDDGLINKEEFQLALFKTNRKDSMFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFAFKLYDLKQQGFIEKQEVKQMVVATLAESGMNLSDEIIEGIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRSLLLTCLCILFLFVPNK >ORGLA12G0036600.1 pep chromosome:AGI1.1:12:2775679:2776183:1 gene:ORGLA12G0036600 transcript:ORGLA12G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVEMEGCAERSRRDVGKGKEATEPNPSTGAVPGIGSNRSQPSPPYPSTCILVDEELRREMQKRGGAVWSRERCAGRSGTEVGRESRRRHVDGINPGGGGGEKRERMRERRERNLGGDKESVFCGTKPSLYVAW >ORGLA12G0036500.1 pep chromosome:AGI1.1:12:2766340:2768984:-1 gene:ORGLA12G0036500 transcript:ORGLA12G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEAEAEEQPPDEDGDEEGYVEADPAGRFIRYDEIVGSGAVKTVYKAFDKLEGVEVAWSQSRIDDSVMGSSKKMKQLNTEIQLLKTLKHKNIEKMFASWVDEEKKTVNIITELFTSGSLTQYRRKHKKVNMKAMKRWAIQILTGLEYLHSQKPAIIHRDLKCDNIFINGNHGKVKIGDFGLATFMQQQKKSIKGTLEFMAPELLTGHYNELVDIYSFGMCMLEMVTCEYPYSECQGMAHIFKKIDEGKKPAAFYKIKDAEVRSFIENCLAPVENRMSATELLKSSFLQDDDLISVSLVKNMSEDGQQPVSCMLRKGEFLLTGNVDVASHVDLWLRFPDPSGCFKSVEFPFNLTEDTSLSVAVEMVEQFGLTQDSRPIIAQLIDAFLVILIPEWTPCVAIRQVVSEGANGLTIEKR >ORGLA12G0036400.1 pep chromosome:AGI1.1:12:2755573:2761702:-1 gene:ORGLA12G0036400 transcript:ORGLA12G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVCEVCGVLGYKNLVLSCKNCNGAAVHRYCLDKVDFDGTVDWSCDECHPRHGKGTNRRSLEVTLDDKTVVGKQPENHSAESSLDTNHDKPGTNGGNDDYVSDDLMLERNKERFQLHDEANNDIHLKSMSANVPRSSTLHENSVARNVSSSANTGLPMDSNCVPSAHIDIGNPRDSSVRLILTGENRRESSMLLDGACSGSLSKDSSKEKIDREANSSHMEPSDAVKNFCKDNPMKRRKLMLLDDDDDDDDVGVELSDTVQNVVKDNPSKRRKLILPDDDDVEVELCNTVQSIAKDNPGKTIQLIVLDDDDKQEDAENLNHLSLEFEGPIEKHKIHIGYATGQRCLEDEHGLLDSLDRRSLENTCPTKKQRRYICPSDDEEEEVIKGSTTTGCALNDVANTASQHVDAKDHHLQSRMAFASDFTKHQYYIYSQPMGEPAWSGIFMTDSNVPIMLAAHLSTKACQRVSEFARSLQPVVEVIKLPRLKAWPERWDKSGPTDDSIGLFFFPHSMRPNEELDKLVKEVIESDVVLKAVLGTVELLIFPSILLPEQYHEFQGKYYLWGVCKARKHNPDTAVLVEEQCGLVSASEEGSSDKESYVMKHVEDRLPADCNPEAREGDIKTALGEGLGDKPHEPGVADQKEDEQDFTSLPRRNDQNATNPPIDSLPSATRLFGFVTARSERCQQLIQEMVKEGALLFSVPEDMTINQSTISKSNGVGAAQAPDSGCQHVQERCEPIEFVPIDHNDPDSASEACLDLFPVRHEQIGLTSQVDVKEVELDLSLGAFRRAPSELP >ORGLA12G0036300.1 pep chromosome:AGI1.1:12:2738372:2739463:-1 gene:ORGLA12G0036300 transcript:ORGLA12G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSLRALVNVTKHSRLEVWPESLGTSSFTDENIALFLFPPKMRPDGKLDQLVKEVIEYDLALRAVMGKTEMLIFPSTMLPKQYQAFQGKHYLWGLFRPRKDIVGVAEEQAAHAVCLENQEGSKDGTEQVEFHGVPDPNMDTEPQDPEAAEMQDAADRNMAPPIGGSNASRANHPSMAATQPANREQIDPSLGIPQGRMFAFVAQPTPRFEQLMQELELEGALISTMPRVTYGPGCGQSQATTAKE >ORGLA12G0036200.1 pep chromosome:AGI1.1:12:2731764:2732246:-1 gene:ORGLA12G0036200 transcript:ORGLA12G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEDLLRDGKDGGLRISDECSEHSTTDCVNQIKLVKRKLVGCSQGRIPNALGREEDDVGNVESTRGRKGQMGKKHKTNLDVRKDSRHLASNDSIVEKKCRGSKANEDGQDSRLVKNGISGVSIPTILEAIKGHCSLPVNEPIWRYNILFRLKIVCGPFL >ORGLA12G0036100.1 pep chromosome:AGI1.1:12:2723301:2726600:-1 gene:ORGLA12G0036100 transcript:ORGLA12G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKLPKKGNHNHDENRRGYDDKFKAIIADERTYKWNYLKDKQKKVTLQIPVLYPSKSSIRPPFTQLTDKNIGPMAKGDSKSNHVLAPMLRKEGLLANSIEKSNPQLTSPIRAHPIHALVRTKKGIPARSVLKSNPQPIRPKQMRGSVRGVSLKGQSTMANDNSGEELKSCKVKNYSISKESAKELMVHKGNSYEDNKCDKICGEVTMSDRKHVNLGSTKSLKRDYIPSTYGDHYKDNTKGNEVPNPSPLTKDGPKKHDRDECEDYKNLRPTKKWRRYIVNVDEEDDYDDKNPMDIKDSTKVAMPIAAESIKRQFYHCIQPIDEPIWRGIFKIGGNDYIPFSAHLSTKSCKKVWDLSVSIPSIVQVTKLSRSVVWPKSLEASSPTDDSIGLYFFPPKMRLDKGMDQLVKEIVEKDMALSAVIGEAQMLMFPSTLLPENIKSCLACMQVTAYEEKQEATRNRGDVIMHASFQGKHYLWGVFKRRGGDEQQAAAMAAEQQRGSDQRVKQEQENTQFQGDETQQRIKKPNSNLQETAVIKQQPSSPSSSSVTAAHIGVDVVQEKSTAASGGRPAVPAGSHGRVIGLVVRQTPGVEELIQQMRRGGALLATMEGEMMGGFEANDDDGAKKFKMVE >ORGLA12G0036000.1 pep chromosome:AGI1.1:12:2704370:2705101:1 gene:ORGLA12G0036000 transcript:ORGLA12G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDTPQHFMCPISLQPMQDPVTSPTGISYDRRAIHRWLAAGHSSCPVTGHPLSLSDLTPNLTLRRLIHSWHHSTTTPFPVERSTPSPPPLREVDDDDVVERLVMEMEGGGGGSWCPPSCDLLREAAAVAAGSGVARRRMVGAGVLRRVLRLVVWCGGRGSSSGEAAAMVEMLDACLALFHALDVSADELRPLVADGHDLVDAVTRVMATLEAGDANATRARESAVRLLEAVTEAADAPVLERL >ORGLA12G0035900.1 pep chromosome:AGI1.1:12:2695914:2699132:1 gene:ORGLA12G0035900 transcript:ORGLA12G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSIAIEIDGEAICLDSVGDNEEQGTQENQEIQIIYDAENEGQVAFDNGEQGKEEHPMRNEEENQENIPVIPSREELTEELRNKIANSEEEAYRLYCDYGHRMGFSVRKGKQYYFTGTKTIRTKDYYCSKEGLKDDEQLTEANFNKPDTRTNCKAMVRYRVDSEGHWRVIQIVPEHNHELVRPEEVHLLRSVRTLSIPKPGVLNAMVNAEIQAMHENLHMNDDGAECQSQHGIQSYTLLEPEDSEALVGYFKRRTIEQGMFYWDVLVEDGRMTNFFWRDGRSRVDYDCFGDVVVFDTAYRTSKYNMICAPFVGVNHHGQDVMLGCALLLDESLTSYEWLFKSFLDSMGGHPPKTIFTVMAETISKAIEGVLPETRHCICQWSIEKNLQSHLGTLNVSGTFHSMLTKCMRECESEAEFEETWAMMLNECNMQDDQWLSDLYQQRRKWSTVHHRDAFDGGINSLDRSDSSNNVLSSIANESTSPTQFILDFDKLVGSWRTNESAEDIQCTQTSPESRVKHRSILEHAAEVYTRKVYKSLETEYLDGCSATSYQEMQCSETLYRFEFILQRSGPKVQVVFLDTSTMDLSCTCKKFETTGILCSHAINALDLKNFDRIPERYVLKRWKKYVRKGTYLFPSDEFVGQDCTEPGLAFRNKAMRFVYDLLMKSKGHQDTRKLILDVFENGEKLVESLCELKRLNAHASGREKDGSKVEKRKKKSAKQEKSSRNVKQAVSSQTADTVLVDPPNQNQYFATEDMATNSSIGRPFFYQGFPSAGVSTSQIQGHTNIHSVPQCAPQEYSAYGAAHPPPTFGGGRNF >ORGLA12G0035800.1 pep chromosome:AGI1.1:12:2694182:2694715:-1 gene:ORGLA12G0035800 transcript:ORGLA12G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGCRRAEVGEDAGAAGEAKGGREIPVAEEEAAESGAAEDVGSEGHIKVMDGLVDEDEILYALGGDEARPLGMHQSNRSWSTSREEGSSTASSSPAGSEESGASVAPIVKSLHGLDVDRQNLPRAGLSGVDGEARVCAGVDGARRERRKTAPRRDDLKPKQTRKTHLIFPSLRSLESP >ORGLA12G0035700.1 pep chromosome:AGI1.1:12:2679186:2682468:-1 gene:ORGLA12G0035700 transcript:ORGLA12G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYFTGGGAGTDVVQAAGTDGLQTLYLMNPSYVGFTDAAAAPGGGAAAANMVFLNSAVSTLTPASFGHHHQPTPAAQHFVGIPLQSGYNLWGPDATGGNDVSPPRHGAQQQAPAAAGTSAAAVSPVLSLSSREAAPPVTVAAAAAAAVPGGTDQEKVVMRSRYLKAAQELLDEAVSVSKGAATAVKKKEDSEGGVSGGGGGAEDGGGSKSGAAAEMSMAERQELQMKKSKLLNMLDEVEQRYRQYHRQMQGVAAAFEAAAGAGSATTYTSLALRTISRQFRCLRDAIAAQVRAASRGLGEDCGDDEGGGGGGRTTVGSRLRFIDHQLRQQRAMQQLGMVHAAAAGGAAGGGWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKVMLAKQTGLTRSQVRTYTSTYNTTSTYVXSTCTYLXVSNWFINARVRLWKPMVEEMYAEETKAKEEEEEEHDAAAAAAGDRGGVAEQAPS >ORGLA12G0035600.1 pep chromosome:AGI1.1:12:2673098:2677769:1 gene:ORGLA12G0035600 transcript:ORGLA12G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEARWSYSVFWKAIGAADPVHLVWEDGFCGHASCSAGSEASEAGCESGGAVCTLVRKIMASQVHVVGEGTIGRAAFTGNHQWIVHETANDHGLRSEVAAEMNNQFRAGIKTIAIIPVLPRGVLQLGSTSVILENISSVQQYKKLCCQLNNRSSMVASASAKNDLSQKVQSRSLHGLPSIHPYEQCYGHDARALSSSTSANTGRNTSLLKVAQRNDQAIREQVLYAPDMRFRQQLPYSDRRVDINTHSSAMSSGFISSISASVEKYPLLTNNIGQVEHGNMEESSGPRNVLLKSLSCRNPVVHENTNTSLFHGGDEVPAFLNSHGSFDFLQAGPRVVEANLYNNGTSSQVLDQRCSSTAGMAGYKPSVSYKFPHSAQFIVKMENPRRQSFQDPAAPSSGSDVQVSSGLKTTTRQFNPEHMCQNKKTNEVNDSSAAVSTQDAKNMDRHKILDISNERTSSFLMDPSTENDLFDIFGTDFHQLHRSLDGDLSWNTAKPQSSDRDAPESSIYLDSSPAFGAQEDEFSYSGIFSLTDTDQLLDAVISNVNPGGKQISGDSASCKTSLTDIPSTSYCGSKETKQCKSSGAPPLLIKNELAVSNFVKQPCFLEKAEDGCLSQNNGVQKSQIRLWIESGQNMKCESVSASNSKGLDTANKANRKRSRPGESPKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTIKHMVFLQSVTKHADNLKDSNESKIHGGGENGPLLKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEARKNKIWARFTVEANRDVTRMEIFLSLMRLLEPSCDGGGGGVGDNPNNVKIPPGIVQHPVIPATGHLR >ORGLA12G0035500.1 pep chromosome:AGI1.1:12:2661684:2663993:1 gene:ORGLA12G0035500 transcript:ORGLA12G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTAALAAVAVDTGACSMKCPSGTGHALCGCGIGGQARRSARSVPKAVQKDEMFDKVVEAALDCRNVQEWEEDVWRSSSVLSIYHQRGTRGYKQAAFKSKDKFYGSSSRVPAMTLSKKAKQSSTFDHKNGTTGLRSSIEAKKRFSYLVTSKRKCACKKSAATLNIMDGSTVASAEKHKGMASGQSSSDCSRKAIVAKKLPEDQNQDLPYDSASMGIILQRSEDNLVQGQLKKCITCYCCWNPSWSQLCGSINRMFVAFPLRPGINGIVNQYEFSKHRPGM >ORGLA12G0035400.1 pep chromosome:AGI1.1:12:2656112:2657929:-1 gene:ORGLA12G0035400 transcript:ORGLA12G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESYALEMDDLHRRWLPKEILDDIGFADDGDAPPPPAAIEGLAVHLAGILGSGARKAAAPPPTAAASPASYHNQLHRVPVCGQVLVAYGGAAGWPFTPYSSPAQWQVAAGLVNGGAVDHRRRLGSPPPKMRGGGGGGTGVFLPRTYVYHAKEESPAPAATKASSRDGKASNDLLVEEQQKQPGQGEEEEEGSPAAKAKIEQRCPPPMTGTASSEVMRVRPNAAAAALPELAALPQEWTY >ORGLA12G0035300.1 pep chromosome:AGI1.1:12:2648282:2648713:1 gene:ORGLA12G0035300 transcript:ORGLA12G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKWRSTSSWPPMLPVIMMAMAILAVSFNGAAAQPPPDTNVLCVSKCGTCPTVCSSPPPPASSSSSSSSGNYNPVLSPPKGTGSGSVGGSSSSPSAPLAKGGQPGGSNYYYFFTSGGSSHGCAAALLLPPLVSLAVAALSQ >ORGLA12G0035200.1 pep chromosome:AGI1.1:12:2645785:2646267:1 gene:ORGLA12G0035200 transcript:ORGLA12G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGRRPQEDSTRASARRCPTPSRRLRAAVHPSAPCSAPPRRLRAAAHQKTTTRRLAPSAWLCRPPSRSRTWTGARRRPPPLRRRRRRRPRRPAVPAGEREEEAGEPVAAESQPHHHPSPLKLVGTVAVCPFAQCPVPLVEVAR >ORGLA12G0035100.1 pep chromosome:AGI1.1:12:2639933:2645051:-1 gene:ORGLA12G0035100 transcript:ORGLA12G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAELPRVMDALARRASTLRDALGRSQGNTESMVAILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIDKTIKAADAILSQFDLARRAEATILRGPHEDLEGYLEAVDLLKSIVCFFASNKSLKNNDGLLNRVNNILSKSALKIEEEFKQLMTTYSKPIEPDRLFDCLPKPPRAPKDDNDADGGHTHSEHPSKGLETGICRTPTLMPPRILPLLHDIAQQLVQAGNQQSCYRIYRDSRGSALEVSLRKLGVEKLSKDDVQRMQWEALEAKIGNWTQFMRIAVKLLLAGERRICDQVFDGINFNKDQCFAELAGSSVLTLLSFGDAVAKSKRSPEKLFVLLDMYEVMHELQPEVEEIFEGRFCSEMREAALGLTRRLAQTAQETFADFEEAVEKDTSKTIVQDGTVHPLTSYVINYVKFLFDYQSTLKILFQEFETGSETESQLAVVTMKIMQALQNNLDGKSKQYKDPALTYIFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRVVQQNANQYKRVAWAKILQTLSVQATGSSPAELSSSGVSRAMIKERFKSFNMQFEELHAKQTQWTIPDQELRESLRLAVAEVLLPAYRSFIKRFGSRTLVVKWLPIYIFSSMSG >ORGLA12G0035000.1 pep chromosome:AGI1.1:12:2632670:2633308:-1 gene:ORGLA12G0035000 transcript:ORGLA12G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCGKHGDDDFRQGCRRFITVLVVLAILVGIIALIVYLVLRPTHPRFYLQDATLRQLDLSNSSSTAGGVLSTTIQVTVASRNPNDRVGVYYDRLDVYASYKYQQITVAASLPPVYQGHGDVDVWSPVLAGPSVPFAPYLADAISQDCQAGYLILQVKIDGRVRWKVGSWISGHYHLFVTCPAFLVTSGGNGSPGASGFRFQTTTYCHVEV >ORGLA12G0034900.1 pep chromosome:AGI1.1:12:2623986:2624722:1 gene:ORGLA12G0034900 transcript:ORGLA12G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIVASVMESLLLGDPSMERLRLFMLGATHSQRHTSMSSRRGGESGGGDSPRWREEAKSTTPRLQPGSAGGRSAAPSAEMSSRGWGESGGDDSLRLQGDSSSRKMAKSRPNNIAAARLSQRACCGVLHRRRGDRLGVQQG >ORGLA12G0034800.1 pep chromosome:AGI1.1:12:2616456:2617022:-1 gene:ORGLA12G0034800 transcript:ORGLA12G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGNQERTCCGSLFTFIVTGGFVVLIYWAIFQPHHIRATVASADLTNLTVAGAAVSYKLAVRLNLYNPSLRVNIYYDELDSELRFRGERLGHATGATPAEFYQRRKSSDDVTFEFAGTGVAVAGELGKEKGKGSVSLEVAVDGKVRYRFGSIKIRQKPRIWCSLTIPVTADGGGRLDSGDRCSVKY >ORGLA12G0034700.1 pep chromosome:AGI1.1:12:2613420:2614085:-1 gene:ORGLA12G0034700 transcript:ORGLA12G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARAGGGGTCCSCLCAFLVCIGVAVLIYWATYQPHRIRAAVESAELSNLTVVVRNGTADGGGSGGVVYYRLAVNVTMYNPSGRAGVHYDAIRPRLLLLAGGASLGAANATVPGVFHQPRMSTTVVAIDFDRSGGGGVAVAGDVAAELDKEIKGSGGGGEVGFEMVINARVRYKLGFIPIRARPKVRCPVRIPVKAERRRGGGGGVTGFLRSGDRCTVKY >ORGLA12G0034600.1 pep chromosome:AGI1.1:12:2605891:2610516:-1 gene:ORGLA12G0034600 transcript:ORGLA12G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGSSEVAARVLLQRYQPFAPPPGEYHQFGSGGAAAAAAGDMTEAVFIRTPLKRKHDREENEAAESNDWMMSPGYTNPAGSPVPTPLSGKGSKAFAKSKAAKGQKSCPQTPLCASSPGNPVTPVGGCRYDSSLGLLTKKFLNLLKGAPGGIVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQADIEALSLQEHSVDQQISEMRDKLRGLTEDENNQKWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMETPPRTVQPVSMDSLENPRTPLAAEPNKAAESQPNIQDGLLMPSDAPSSSQDIGGMMKIVPSELDTDADYWLLSDAGVSITDMWKTAPEVEWEGIEKFNAEDFLEVSTPRQQDKPSSDIMDGDSCIS >ORGLA12G0034500.1 pep chromosome:AGI1.1:12:2600215:2600727:-1 gene:ORGLA12G0034500 transcript:ORGLA12G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAAATGMTESSSPSSSPSPPRKFRRVRSPLANGGAAGDFELRHWRTPPKRARSSAAPPWAPPEIEIPCGGGEAAGRGGGYTSLRDILMSPGYAASCSPAACGGGGSCGDIHMIRHPLVKHAAYAYLQMTPSARDDPGRRHRRRWRGPLCRLLLGCLSFIGALFRP >ORGLA12G0034400.1 pep chromosome:AGI1.1:12:2593439:2597433:1 gene:ORGLA12G0034400 transcript:ORGLA12G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:I1R488] MLGELLSRILLLLFGYAMPAFECFKTVEARPNDAHMLRFWCQYWIIVAMVIAFESLISWMPMYGEIKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLHLRAKSGQLLSFYMKNFADKGQLSSWMSCAMLSLINLRDPIKR >ORGLA12G0034300.1 pep chromosome:AGI1.1:12:2577579:2578328:-1 gene:ORGLA12G0034300 transcript:ORGLA12G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAASRARRGGGGGGGRHFPVGGGRWRHVPVVDTGCGCRPRRPRQLLMSLPSFLRPSALKPPVPRSTSSHSSSFFPSSASTASFSSSSAATYTTTYSSSSATNQYPYYGKAAAAAPVTVTTNNHGKSSSSSSAAAAARRRPSRKKRYEKMAAAEEEEDVGVAVEKESSDPRADFRESMVQMVVEMGLCGWDDLRCMLRRLLALNAPRHHAAILTAFAEVCAQLAAPPAPAPPPPPQPTAAYHYHYYHY >ORGLA12G0034200.1 pep chromosome:AGI1.1:12:2565395:2566429:1 gene:ORGLA12G0034200 transcript:ORGLA12G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGGLTSLFSRLAVAAADSPSCAKNPPHTASFRGFYYVDEPCTTAGGGGGGRSPAAGRLRKGGDEMYKTVNSVFFDDSADAAHAVADGCAFSGEDDDDDDRFSTTTAADEEWSEAVIRSLGRRTSTDRFFFDAGPGRPAATNSILATVRPRRPPPPPPPPPPAEEEKEKAAAEAAQLPGKSSSTSSSQLVEESVAVAVESEDPYGDFRASMEEMVAAHGLRDWDALEELLSWYLRVNGKHNHPLIVAAFVDLLLALAAAPSSSSDTTTTTTTAATTTTSDTSCSTASTSTTSNGATSVTAAATAAEQCGGGGGGGDEEAGCSSSSSCCAASDHDHEEVSAIS >ORGLA12G0034100.1 pep chromosome:AGI1.1:12:2537793:2539239:1 gene:ORGLA12G0034100 transcript:ORGLA12G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSPPPPPPSPRLSHGLLRRHHRSGSSAAATAYSAAGSFASASAFADNAEANLAAPKEEHDSGRHGDGGAEEEHGSAGAEEKHGDDVEEEHGGGGSGFLGXQSVLIAHKLTFLPFHLSSPLGTAPGTELVPPGTKLYRPLDRGSMSRSNGNGGGGGGSTRGARLELQLNLSPPAVGMEVDGNDDSDSSSPTSCVSSDGRSSAGGSPGDKSPMVIGACTRCLMYCMVAKKDYPTCINCKQPSLVDLLQNQDAAAADANADKKRGGKRK >ORGLA12G0034000.1 pep chromosome:AGI1.1:12:2526741:2527765:1 gene:ORGLA12G0034000 transcript:ORGLA12G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTTTELNITPANMLPSRNISAKALQLVRLSKNSQAPPPSVGVALLSSSPAPARMLSATASDALVEIKPGEISMVSGIPKEHLRHKVLLWTYSDLCSVDRFASPRRSVWESLEMSRFELGRNVLVRARPRRSARSSLRNTLFASVRPRNDVMLKNLCGISPVRLFCDKANMRSAGRRDKPSEMELSRRFWSNSSCTIFVRFASDGGMWPEIELWLSRSTVRFGNALSHRGTPPTIEVVVEVRDIEGGAIAEHVRYLAGERVVA >ORGLA12G0033900.1 pep chromosome:AGI1.1:12:2521526:2525543:-1 gene:ORGLA12G0033900 transcript:ORGLA12G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAQASASSTSSPRFSMASRAFSRQELDDLRPLFVSLAAQSQTGGRAISRPVFLEYFGIRGALGDRLFQLVARESGGGEGVTFEDLIVSKATYERGTRDEVDEFIYQLCDVTGDEVLERSDLETILASIRETIFSENKEAGEGSNKRTFEAFINSAVFSKDAEGVARKSMSLSDFRSWCILIPSLRKFLGSLLMPPDSGRPGFQVPALHYLENISPDLLLLNKEYAWHIGGGFSQQEVQEWRLLYHSSLHGQSFNTFLGNVTNGDAQTVIIVKDTEGFVFGGYASHPWERHSDFYGDMKTFLFKLYPEASIFRPTGANKNLQWCAVNFSSDSIPNGIGFGGQPHHFGFFLSANFDQGHSFTCTTFTSPALSKTNRFRPEVIECWGIQTKGALDEKAELVKGTVLERFKEDRNMLKLVGLANASD >ORGLA12G0033800.1 pep chromosome:AGI1.1:12:2514240:2514947:-1 gene:ORGLA12G0033800 transcript:ORGLA12G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAEATNAELGLQAAGASLHADYPPCISYLTFAASFVDPRPCHDTESEILGTVSTDIHATSGDGLVLVCFCDSRNHVPTVGSRGGELMREWSARRQSRERDSAGAWSASASIVGRHCLNHRLPQQASPQPPAARASSASAVDRLVRLRLQSRLPERARGREKESDRGGGEREGYDRL >ORGLA12G0033700.1 pep chromosome:AGI1.1:12:2504995:2505969:-1 gene:ORGLA12G0033700 transcript:ORGLA12G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHPLAQGHPQAWPWGVAMYTNLHYHHHYEREHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLGGGDSGEKGLLLSFEDESGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVVHFERVRGLGAADRLFIGCRRRGESAPAPPPAVRVTPQPPALNGGEQQPWSPMCYSTSGSSYDPTSPANSYAYHRSVDQDHSDILHAGESQRDADAKSSSAASAPPPSRRLRLFGVNLDCGPEPEADQATAMYGYMHHQSPYAAVSTVPNYWSVFFQF >ORGLA12G0033600.1 pep chromosome:AGI1.1:12:2499025:2500917:1 gene:ORGLA12G0033600 transcript:ORGLA12G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04780) TAIR;Acc:AT5G04780] MGIWFHYHPLCQRTKALTLACSGIYFATRAFCDKPNHRIVHKDKNLVRVERDLIDAFTLHELLQLCAKRRSLLVGKSCHGLAIHFGLVTDTITCNILINLYTKCGQNDCARRVFDAMSVRSIISWNTMIAGYTHNREDVEALKLFSRMHREGTQMTEFTLSSTLCACAAKYAIIECKQLHTIAIKLALDSSSFVGTAFLDVYAKCNMIKDACWVFENMPEKTSVTWSSLFAGFVQNGLHEEVLCLFRSTQREGMQLTEFTVSSILSTCASLALIIEGTQVHAVIVKHGFHRNLFVATSLVDVYARCGQIEKSYEVFADMEEKNVVLWNAMIASFSRHAHSWEAMILFEKMQQVGIFPNEVTYLSILSACSHTGLVEEGRHYFNLLLSDRTAEPNVLHYSCMVDVLGRSGKTDEAWKLLDKMPFEPTASMWGSLLGSSRIHKNIRLARIAAEQLFRLEPENGGNHVLLSNVYAASGNWENVVVARKYLRDSGAKKEMGRSWIEAKGKIHVFVAGEREHPGITDVYNKLEEIYHEMRKISHRANTQCDLHDVHADQKEELLKHHSEKLAFAFGLISLPLNIPITIYKNLRICGDCHSFMKIVSCITERQVIVRDINRFHHFKDGSCSCGDFW >ORGLA12G0033500.1 pep chromosome:AGI1.1:12:2484915:2491437:1 gene:ORGLA12G0033500 transcript:ORGLA12G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRARSGADMARALRWPDLVGLGLGGMVGAGVFVTTGRATRLYAGPAVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIAVPGLPKGFNEVDLVAVGVILLITVCICYSTKESSSLNMVLTAVHVLFIMFVIVMGFWRGDTRNLTRPADPEHNPGGFFPHGAAGVFNGAAMVYLSYIGYDAVSTMAEEVERPSRDIPIGVSGSVVLVTLLYCLMAASMSMLLPYDAIDTEAPFSGAFKGSSGWGWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVNPRTATPVNASAFLGVFTAALALFTELDILLNLVCIGTLFVFYMVANAVVYRRYVAAAEDDEEGRRRGAVPTLAFLLAFSLVALCFTLVWKLAPRGGARTGLLVACGAAAAAAVAAFRALVPQARRPELWGVPAMPWVPAASVFLNVFLLGSLDRPSYVRFGFFTAAAALVYVLYSVHASYDADEAAAALDGAKVLDEGCKV >ORGLA12G0033400.1 pep chromosome:AGI1.1:12:2478355:2478900:-1 gene:ORGLA12G0033400 transcript:ORGLA12G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQICAQVWLATLLLADGLAVAGQALLASVFAKKGHYKVAVTIARVLQLAVVLGVGLTAFLATGMWFGSGVFTSDTAVISTIHKGVSFVAGMQTINMLAFVFDGEWRGMASIRI >ORGLA12G0033300.1 pep chromosome:AGI1.1:12:2461262:2462236:-1 gene:ORGLA12G0033300 transcript:ORGLA12G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCSAAAVSLDGAMAGATTTTAIEDIPGDVLSLVLRRLDDGASLAALGCACSAFRELAADAETWRGLCLATWPSLRDVDGLDECGGVTGGGGYRALFVDAFPLPAEARGLVPSSASLLPARLVSAVDLHHGEVCLMSRVVETDASSEWFLGSPFRVDALVQEGFSAPAPITPAELTLSWILIDPATGRAVNASSRRPVSVDREWLAGETVARFTVVLGSSRSTRRXHATTGTATSGRXACARRTAMAAASAGGTCWPRWRRPWRARGTAAARRRRRGGGTRRSRGGGRRGRXRRRGGTAPSTSSAPASPRRRSSGSSRRXRSG >ORGLA12G0033200.1 pep chromosome:AGI1.1:12:2457443:2460144:1 gene:ORGLA12G0033200 transcript:ORGLA12G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAPATTAGAGGKVTPNLAMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIELNQWSRKDVEGSLFVVKRNKQPRFQFIVMNRRNTDNLVEDLLSDFEFELQPPYLLYRNAAQEVNGIWFYNQQDCDAVAGVFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPSPSSTVLVSNAPDESLTDYFSGAVNVGNVSITPMVGRTHQPIESVASSHVPLIIPSAAPTHQMGVPSGAASAPPLPVIDTNVHSSHSTNLVTPAFFAPPSSSSASLVAPASSFMPTASPFHPTSADAHRPAYGHGTPLLQPFPPPTPPASLTPVHNDEPVISRDKVKDALLRLVQNDEFINLLYQELQNAHA >ORGLA12G0033100.1 pep chromosome:AGI1.1:12:2443104:2444027:1 gene:ORGLA12G0033100 transcript:ORGLA12G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSGGGGGARIVSDPAATPGFRFYPTEEELIGFYLRHRLAGTRADDVARVIPVVDVYGYHPSQLAAMAGVATAGDREQWFFFCPRAERELHGGRPARTTPSGYWKATGSPSFVFSSSSAAAARVIGVKRTMVFYQGRAPSGTKTRWKMNEYKAVAAAAADDDHNAAGVAVQLPPMAPPPSSSACVRLRNELSVCRVYVSTGTLRSFDRRPLDAPPVISHHQPQLQQQQRQLPSSAAAAATNGNLIALAGGYECSHDSSGGSSEDAAIDWSSLITAATDSATAAVDFSFNDDIDFSPAAVGPWAPQL >ORGLA12G0033000.1 pep chromosome:AGI1.1:12:2432539:2433039:1 gene:ORGLA12G0033000 transcript:ORGLA12G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLLFRPRKPASSSSSVAAVADGDLLERDVFWPASSAPGLLAALPDDEGSKKKKRAGPAAVRSASRPVPETAPLTPTAGAARSAPVRIPWEAAAAAGRRGRWAAAQSSVGGEDGDAAMVVPPHEVVARRAAAHSSVLEGAGRTLKGRDLRRVRNAVLRRTGFLD >ORGLA12G0032900.1 pep chromosome:AGI1.1:12:2428168:2430682:-1 gene:ORGLA12G0032900 transcript:ORGLA12G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WCHAATTAPPPLPPALPWLNFHDGGRGTALAAATTSRTLSPARSCASRATATAATRPASAANTRRDPPPPTSTSARXSSAAAAASSSRGSATVTTTPTTWWRAAGREPRRRGRPARGKARATTTARSTPSPGEATSSPMKSPPTERPNNPWFPISRHRSFRSACSRASYWMAPTPPXDARDYTTSSCRSNQMDXCSYRELGXXGDLRXYKLLHGSSCVELWRLCGSRXIXFVFAAHSRGAMGLCFGIDTRSSPPPSPPHPRPQPPPPGSSDLPTDVAILPSPPHPRPPPPPCWSDLPTDLAGQWRLGALRQHPLPPPPPWLLILRSPCVYQSLPDGDLRPVPGANSSRPIPYFSSYDDGWLLEYQCFGRRDRIRNPLSRAAIDIPRCFDQRIRSLDYFLGDNDGSHSINPAEYTLPKIIVCSPGLVVAAVVHSTCIAAFRPGIDHSWSVISGDEVMSTTGGDDDDDDDDDYPWMRRKYEDISLYRGKLYALTSKEELLVHGIINDDDSDTVSANAVLSRAEHAIRAVHHHPLTLLERIQNFSSDESRYLVISCSGKLLMIRCITKYSPDGSSSMGGTTIKFKVFEADFWRVVSGWR >ORGLA12G0032800.1 pep chromosome:AGI1.1:12:2423325:2424104:-1 gene:ORGLA12G0032800 transcript:ORGLA12G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDALWCVSSHDDGWLMHMDVFKPCIMACDNRHFLKNSFSNVTIDIPCRFDRPINAFVVDNRYSTWPERFTLHKIIVCSPDLVVAASRLIDKNIVSFRLGIDTSWSVLPYDDDDGDHKRMYEDIALYRGKLYALTSNGDLLVHEIRDNNTLSRAELVIRGAAAEPPLSHLXWQYSIDDIVRQYRVISCKYLVISCSGTLLMFRCTISPMLGTSANVDDYEIKFKVFEADLEGGQWLEVKSLDGQVIFLSKACSKAIHYF >ORGLA12G0032700.1 pep chromosome:AGI1.1:12:2420031:2420451:1 gene:ORGLA12G0032700 transcript:ORGLA12G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDNTITKLLEMCQVLRAWSMEVGGHMIVVVSCGLYGLKPNIGHTFHNGGHTRGENGNGHVST >ORGLA12G0032600.1 pep chromosome:AGI1.1:12:2410417:2412375:-1 gene:ORGLA12G0032600 transcript:ORGLA12G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSLKVLNLSGCSLATANQLLLPRLNLTNLEVLDASSNSFDHPMSASWFWNITSLQYLDLSSNRLYGQIPHKLMMTSLQFLDLSSNGDGDKNMGVMATDLSNLCSLKVLKIRWALLYGDITEMFKNLSSNCSPNQLKELDLGVNQLTGTLPKWIGQLTSLVKLDLRGNTSHSVKSNVLKLLGLVVQQSYGKNSIGNTA >ORGLA12G0032500.1 pep chromosome:AGI1.1:12:2399689:2403809:1 gene:ORGLA12G0032500 transcript:ORGLA12G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECVLNIQTLPGEYFCPVCRTLICPNEALQTQCTHLYCKPCLAYIVATTQACPYDGYLVTEADSKPLMESNKPLAETIGKVTVHCQYHKSGCQWHGNLSDCITHGTTCAYGNSPVVCNRCSTQIVHRQVQEHAQLCPGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASVLQPNQPQHMVPFQPQNQPHLTQLQAPAAQSQSQQHPPLQSAAQTQIVQAQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEMAPRVLGPNQNQMRISQSIRPDGAIVRPPMGAPMPGLHDSTVPPFAPEYVGQPHPLGTKKNNSVGNGPHGGSRALFEGGFNSSQKHSKSCAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHRGIDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESAHFGSGGHLRDGDVSWCRICNTSCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKRRKNSFRNSGGPKSFKKKVPGKDSFRGNRR >ORGLA12G0032400.1 pep chromosome:AGI1.1:12:2386723:2390844:-1 gene:ORGLA12G0032400 transcript:ORGLA12G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVALFRGPTNLASPASRSSSSSSSSSLRYLATSDGDVLPRRRSSGSGSSPGSTGSLGIQERREEEGGESEEEEEEWSFLALLLALLRKSLLGCSAEDGGGGEGMEIGWPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLRAEGIFRINAENSQEELVRDQLNGGIVPEGIDVHCQRCIPTPYIFSHVFQAWFRELPSGVLDSIPPEQVMQCQSEEDCARVAKCLPPTEAALLDWAVNLMADVVQEEQINKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLIQKTLKDREESDLDDLSLPQKDPSDENGHQTTGLSLDSHPDEGSRRPSFVSEEPLLNSPVHSTEEKPNKTNLAEGKFADSSCPENVALTSMETEGSTSCSQPALAAAAAAPRATAMNLLQGKGSRSLNSRRTRKGKAQFGTRAAPASEKSKGASIVSRINSKVERIEAWR >ORGLA12G0032300.1 pep chromosome:AGI1.1:12:2383823:2384632:-1 gene:ORGLA12G0032300 transcript:ORGLA12G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGALRRLFEKPLPVPENPTLLEALSACNHVHHRKKLVDPASFTEIFGELHFQEKPVDVAAAAAARFLPPPPPVRAASWVDVADDKSKDDSSLDALLRPKSSAAAADVAVKRSASFCLKSSESSLLLCTEGLGTESTVDADDMVKDGDGDGEAIRRGEEETDGVEEDDGAGREKRGTPTPAPTPTTFPPPIRSIGRGGKPCVCFRSFRAEGRFVLMEVVIPGKELLQATREGGRLTLRFANAAAAAAVGGGVVEAHDDVNDDDVDR >ORGLA12G0032200.1 pep chromosome:AGI1.1:12:2371656:2372463:-1 gene:ORGLA12G0032200 transcript:ORGLA12G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNIFLLIPLIALVATQAMASDPSPLQDFCVADKNSPVCVNGFPCKDAKDVSVDDFFLAANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILTVIEGSLYVGFVTSNQANGENKLFTKTLNKGDVFVFPEGLIHFQFNPSYDKPAAAIVALSSQNPGTITIANAVFGSNPPISDDVLAKAFQVDKKAVDWLQAQFWENNHN >ORGLA12G0032100.1 pep chromosome:AGI1.1:12:2363835:2368522:-1 gene:ORGLA12G0032100 transcript:ORGLA12G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNFFLLTALIALVATQAMASDPSPLQDFCVADRNSPVRVNGFPCKDAKDVNVDDFFLAANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILTVLEGTLYVGFVTSNQANGENKLFTKTLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITIANAVFGSNPPISDDVLAKAFQVDKKAVDWLQAQFWENNHN >ORGLA12G0032000.1 pep chromosome:AGI1.1:12:2360031:2362577:1 gene:ORGLA12G0032000 transcript:ORGLA12G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSKSDKKKVLDFAAWSFNITTSVGIIMVNKALMATHGFSFATTLTGLHFVTTTLMTIVFRWLGLSQPSHLPLPDLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLAAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDFLLTGKRVDHFGFTSIALFFLTLSCVIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNFQVVLGMILAVVGMIWYGNASAKPGGKERRSVLPVRSEKPSGALDEKDGSEK >ORGLA12G0031900.1 pep chromosome:AGI1.1:12:2358127:2358534:1 gene:ORGLA12G0031900 transcript:ORGLA12G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVAGRGVTRSRRAGAATDEEKETITESRASFWEMKRCRRYAVSNCRSNHVRDSSSPLSWAVSNKYTAMGLILGQTKEEGVPGFGLRGCPCGEFIGVTRYFRIWAGFLGTPLGPIGLRPLRHSTTETKRKNQI >ORGLA12G0031800.1 pep chromosome:AGI1.1:12:2354842:2356432:1 gene:ORGLA12G0031800 transcript:ORGLA12G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRLPASTRPTTHCSATFSPSSISLEFHIPDDWICEKDEAVEHQEGMAEILRHTKKAPATKEVLLSFPPSPEKSGIVVDGSFIFVFECRKLTSDGPAFHFLLKFTLQKQMEGKFAEVTL >ORGLA12G0031700.1 pep chromosome:AGI1.1:12:2350118:2353448:1 gene:ORGLA12G0031700 transcript:ORGLA12G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRAEDFKESVRVAALGHGYTESQLAALMSSFIIRKPPPKLPFTKAAIKTLESVRELEKFIVKHRKDYVDMHRTTEQERDNIEHEVSVFVKACKEQIDILKNRIHEEKGGSTKTWLGTSDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRTMRFQDAINRAMPRKRIQKKRETKAAEPSKPNLVLKSDVSKVEDQEVSTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYSK >ORGLA12G0031600.1 pep chromosome:AGI1.1:12:2340259:2341453:-1 gene:ORGLA12G0031600 transcript:ORGLA12G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNASSTVMRMATCGKPDQGYCTWWPCEHNGHFVVVMGDFKDIACVRVYSRIMAAACSTAFGFLERK >ORGLA12G0031500.1 pep chromosome:AGI1.1:12:2335124:2337742:-1 gene:ORGLA12G0031500 transcript:ORGLA12G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMSKSDKKKVLDFAAWSFNITTSVGIIMVNKALMATHGFSFATTLTGLHFVTTTLMTIVFRWLGLSQPSHLPIPDLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDRVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLAAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDFLLTGKRVDHFGFTSIALFFLTLSCVIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNFQVVLGMILAVVGMIWYGNASAKPGGKERRSVLPVRSEKPNGALDEKDGSEK >ORGLA12G0031400.1 pep chromosome:AGI1.1:12:2331098:2331853:1 gene:ORGLA12G0031400 transcript:ORGLA12G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAARDLAGDPPESTLLRVGGEVAWPDVYDRDDSLKENTNPKCILKTYGGGGGGNSSQRFSGNLKPTAAPIIGLSRKLGHGGGGGGGGGGGFRPPAIFPKKAKTGGGGRTPRAAVPDHEPGSPKVSCIGKVLSDRERARRHRRWSLETRPRGGGGGCCPGLGSLFVRRSRSRKNVVECVDDQSPPPPPPTAAALRRREEKAVLMTDEAAAAPAPALGGMTRFASGRRAADWAAEMEMDGHVARSGPL >ORGLA12G0031300.1 pep chromosome:AGI1.1:12:2322339:2323730:1 gene:ORGLA12G0031300 transcript:ORGLA12G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHILAAAAAATALVLLCCFHLPATAMMADTIRYGPPRVPHRLGTVIAVDLGNTNSCVAGYGDEADAPPLFRLCIPTSVAFTGDGDALVGEAAKNHPAAISGFKRLLGTRFGSPEVRRAAEHLPYKIVDWCTMAHIEVNAGAGGAARSVYASDVASMVIAELKARAEARLAGGRKKVHNAVVTVPYYFSDGPREAAMNAARMAGLTTVRIIDEPTAAAVSHGLHHGRLRDGGNVLVLHVGGGTSAATVLTYDNAVFEAVASRHDAHLGGDDFDARIAGHFTQLIKRDHGGGVDDIAAAKLKSQCELAKRTLSSHDVAQVNLHATNLANGAAFSFSGSLTRAQFEELNHDLFEKVISLVDAAMAEARRAAAGFDVIDEVVLVGGSTKIPRIRELVKNYFAGKEATVKATASIGGGAVVVVEPEEAVVHGGGLLSHPMEDGYHCMGAGGRRQIGSPMDRCYHEF >ORGLA12G0031200.1 pep chromosome:AGI1.1:12:2319637:2320755:-1 gene:ORGLA12G0031200 transcript:ORGLA12G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPANIPADSSTVIGDDSMRKNKYFMGISLGTPPVFNLVTIDTGSTLSWVQCKNCQIKCYDQAAKAGQIFNPYNSSTYSKVGCSTEACNGMHMDLAVEYGCVEEDDTCIYSLRYGSGEYSVGYLGKDRLTLASNRSIDNFIFGCGEDNLYNGVNAGIIGFGTKSYSFFNQVCQQTDYTAFSYCFPRDHENEGSVTIGPYARDINLMWTKLIYYNHKPAYAIQQLDMMVNGIRLEIDPYIYISKMTIVDSGTADTYILSPVFDALDKAMTKEMQAKGYTRGWDERRICFISNSGSANWNDFPTVEMKLIRSTLKLPVENAFYESSNNVICSTFLPDDAGVRGVQMLGNRAVRSFKLVFDIQAMNFGFKARAC >ORGLA12G0031100.1 pep chromosome:AGI1.1:12:2316982:2318997:1 gene:ORGLA12G0031100 transcript:ORGLA12G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKGRSASAPASPCVVLGDDAADAAAVRCQSXSEIWEYAQCWESTPNSLHRSVSXCERWSXGKCTMXNNFCYRMHLPSRTTRHVEGGMCXSVQATIXQAACQDSSQGCKSQETSFQFRGRCRVWCFCIFKIWYKNSEYIIESMQAGKNFIEWYE >ORGLA12G0031000.1 pep chromosome:AGI1.1:12:2314105:2315035:1 gene:ORGLA12G0031000 transcript:ORGLA12G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRAAIVLVVAASSVAVAFSHAEGNEGPDFTYIQGAMDGPSNWGKLSPEYRMCGEGRSQSPIDINTKTVVPRSDLDTLDRNYNAVNATIVNNGKDITMKFHGEVGQVIIAGKPYRFQAIHWHAPSEHTINGRRFPLELHLVHKSDADGGLAVISVLYKLGAPDSFYLQFKDHLAELGADECDFSKEEAHVAAGLVQMRSLQKRTGSYFRYGGSLTTPPCGENVVWSVLGKVREISQEQLHLLMSPLPTKDARPAQPLNGRAVFYYNPPGSAVSFQEFAK >ORGLA12G0030900.1 pep chromosome:AGI1.1:12:2310993:2312224:1 gene:ORGLA12G0030900 transcript:ORGLA12G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNDAGGSTSKLNDRAEVSSKDKTSVSELEDGNVCSHHGIEEPNEESVQGIVMEQEELSDSEEDSQHVEFEREEMDDSDEDQVQGVDPLLAQNKEVSTSVGCGEYEGSNNQSQNQQMVSKQGAATQKPQRLSNATPAREKLKGDNAKRIGSRTSPRSSTSPTTEPNQTKTRRPKAQQMIARQSAVIRISV >ORGLA12G0030800.1 pep chromosome:AGI1.1:12:2306940:2307356:1 gene:ORGLA12G0030800 transcript:ORGLA12G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDRARRWPVSATTTRGRWIWRRALLLLLPPPPLPVAIATTAAGCRRIYRLSAASSGPAGSGCRDDGGGRIRRRVDGGSRSRHHHDGGGRIRRHVNKGGRSRRHHDGGGWIRRRDDNVTAAGPQAADSVVTSSSL >ORGLA12G0030700.1 pep chromosome:AGI1.1:12:2304819:2306078:1 gene:ORGLA12G0030700 transcript:ORGLA12G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPAAADWSELPADVLGLVLLELEFPDLFRSAAVCKLWRATARDIRRLGLYSRAQTPCLLYTTAAAGPRAAVLYSLADKTTSYTVPLPDPPIAERHVVGSSHGWLVTADHRSELHLLNPATGEQLDLPPVATIEHVRPLYDDAGNLNNYKLVYYDGGGNSHRSNDDDMHTVTHPPETFREFLYLKAVVSSDPSRGDDYTVMLIHHPYLQLSFARSGDKKWTWIKMGNNECEWFEDCIYHDGVFYAQTVHGAIHAIDVVSASSSFTHRLILKPTMGELGTLYIVRTTEGDILQVLRVTEEDEGSEHKDVRTTEIGVYKVDYKKQDLDDVDDIGNNALFIGTSYSMCLPVKDYPHLMPNHIYFDDDYGYLVHRKHLRRDVGVYDYTNDTAIDVEYPQPWLNWPLAPVWITPSFTKTAKYS >ORGLA12G0030600.1 pep chromosome:AGI1.1:12:2296079:2296468:-1 gene:ORGLA12G0030600 transcript:ORGLA12G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMVIQDRNREIKIMSMDVNGEILKLPPPPPPLNGVSDDDDDEALRPATADMADDPPGGAVVRVKLVVRKQELKKMLLHNDAAAISLNDMVSLMQKQAEADELLHQQESCGSVWQPTLQSIPEGSVF >ORGLA12G0030500.1 pep chromosome:AGI1.1:12:2274354:2280145:-1 gene:ORGLA12G0030500 transcript:ORGLA12G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARRGQNPHHVLGYGFHGTTLPNSMASANLFEQGGGGGGGAAYFGELEEALVHQVAILRRRAQQTATTTTSHHGHTTPFSTAAAAATATATARPPATLDIFPSWPMRRSSLPTPKDGCSNVTADTTDSESSSKNNGDQGAAAADMASQFDQIPQQQQKQHKKMAASSTHSDHRMTKTLDPKIMRRLAQNREAARKSRLRKKAYIQQLESSKLRLAQMEQDLERARSQGLLLGGSPGGNTSAGAAMFDAEYGRWLEDGGRRMAELHGGLHAHLPDGDLRAIVDDALAHYDELFRLRAAAAKADVFHLITGTWATPAERCFLWMGGFRPSDLLKTVAPQLDPLTEQQVVGICSLQQSSQQAEEALSQGLEQLHQSLAETVANGGSVVDEASLGSFMGYMALAVGKLSNLEGFVIQADNLRQQTLHQMHRILTIRQAARCFLAIGEYHNRLRALSSLWASRPREILVADEGNCGELSIAAQPSESQFSAF >ORGLA12G0030400.1 pep chromosome:AGI1.1:12:2272550:2273425:1 gene:ORGLA12G0030400 transcript:ORGLA12G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTALLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPVDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAACWACEKRAKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNTVHRKTLDDWSKYRASQKKDK >ORGLA12G0030300.1 pep chromosome:AGI1.1:12:2270001:2270396:-1 gene:ORGLA12G0030300 transcript:ORGLA12G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRCVVVSSLQPHTRPPRRFLLHARDERESNPRSCQREREVVDGGVGGEAAAASAMMSASVPPGHDGARGQGYAPCFCSRDKVGEMATTSSSEVSKASIVLCILLALGEMAYTSPTVVSVSVMASQNGFQ >ORGLA12G0030200.1 pep chromosome:AGI1.1:12:2264106:2268203:-1 gene:ORGLA12G0030200 transcript:ORGLA12G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G04740) TAIR;Acc:AT5G04740] MALAAAAAAAHRLVAPAAVSPYYSARVSPGFLRLHDFPRSVGLAATAAPRIFCQSINSADVLGASSTTSDDAIPQPVVLIDQDSDRDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGSVSTESAVTQTKFHIMRSGRKVEDPDTLEKIRLTVINNLLQYHPESSENLAMGEFFGIKAPEKKVDVDVVTHVIVEDDGPKRSMLYIETADRPGLLLEIVKIITDVNVDVESAEIDTEGLVAKDKFHVSYRGAKLNSSLSQVLVNCLRYYLRRPETDEDSY >ORGLA12G0030100.1 pep chromosome:AGI1.1:12:2260610:2263022:-1 gene:ORGLA12G0030100 transcript:ORGLA12G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G22960) TAIR;Acc:AT1G22960] MLFSIHPCKSQAPAASAAAAAISGLRFVSSSSSSSSTALIPPPRTEESPFASLLASDPPPPEALREVLAAGDVHAALRGLPGLARQLFRWAEATPRGFPRCASAYAAVLVPLAEAKHLHAAHPVSVRAIHLGLLLPLVSLLLSFPTTVSLHYLLNLLLRLSTKFNTRARNPAPSTCSTLCLAAFREMAHHGVPPFIKECNLVLRALRDEARWDDMRSVYAEMLQLGIEPSIVTYNTLLDSFFREGRVDQAAKLLREMEARPGGCLPSDVTYNVVINGLAXKGELEKAAQLVDRMRMSKKASAFTFNPLITGYFARGSVEKAGALQLEMENEGIVPTVVTYNTIIHGMFRSGNVEAARMKFVEMRAMGLLPDLITYNSLINGYCKAGNLKEALWLFGDLKRAGLAPSVLTYNILLDGYCRLGDLEEARRFKQEMVEQGCQPDVSTYTILMNGSRKVRNLAMVREFFDEMLSKGLQPDCFAYNTRISAELILGSTSEAFQLTEVMISRGISSDTVTYNIFLDGLCKSGNLKDAYVLWMKMVSDGLQPDCITYTCLIHAHCERGRLREARDIFDGMLVSGLPPSAVTYTVFIHAYCRRGNLYSAYGWFQKMLEEGVRPNEVTYNVLIHALCRMGRTNLAYQHFHEMLERGLSPNKYTYTLLIDGNCKEGNWEEAIRLYSEMHQHGIHPDHCTHNALFKGFDEGQSKHAIQYMENVVLGA >ORGLA12G0030000.1 pep chromosome:AGI1.1:12:2256208:2257099:1 gene:ORGLA12G0030000 transcript:ORGLA12G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WFQGLACWLCWLDWPSRQFSIHHLKNCVAHQVDLLXHLPGSSSVIEGTPTKRVEFKKTRAGYGENYPNPKRNLRSEALDIEELTDQLKLGQKFCVGNVDGRIPNLGCLQYIPNRLAGAALVLPIINYWWPSSPAELSRQAFMGLIMPEQRTLWIAHNINFLALPLVDPEVAPFFCGSHASSXNI >ORGLA12G0029900.1 pep chromosome:AGI1.1:12:2240891:2242901:1 gene:ORGLA12G0029900 transcript:ORGLA12G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRILIVLLVVLLGLAFQAILRPPPQKLCGSPGGPPVTSPRIKLRDGRYLAYREDGVQKDKAKFKIISVHAFDSTKDFPLQVSKELVDELGIYIVGFDRAGYGESDPNPKRDVKSEALDIEELADQLELGHKFYVLGVSMGGYSIWGCLQYIPNRLAGAAMVVPIINYWWPSFPAELSRQAFKRLIVPEQRTLWITHNMPSLLYLWMTQKWLPSSAAAMRHPEIFSKHDLEVLQKMMAMPLIENKSRQQGIYESTHRDLLVAFGKWEFDPMNITNPFPQNEGSVHIWQGYEDRLVLVELQRYIAQRLPWIQYHEFPEGGHMFMLVDGWTDKIIRALLVGEQL >ORGLA12G0029800.1 pep chromosome:AGI1.1:12:2234135:2235399:-1 gene:ORGLA12G0029800 transcript:ORGLA12G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLVVGRVIGEVIDSFNPCTKMIVTYNSNKLVFNGHEFYPSAVVSKPRVEVQGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREIISYESPKPSIGIHRFVFVLFKQKRRQAVVVPSSRDHFNTRQFAEENELGLPVAAVYFNAQRETAARRR >ORGLA12G0029700.1 pep chromosome:AGI1.1:12:2231425:2232024:1 gene:ORGLA12G0029700 transcript:ORGLA12G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEANAATTHHEITTAAAVTAGERQQLNAGGEDDKNIGMIVTDDDDEDEDEDDELFELDIALIDHRDGEEYYTKRLVTSSGCVAVAAAAAANDDDDEEDGGDALLANCLLPVSSVSRAVPVTASSSFVVVSSYPVGRRYHGGGGCGDDDDDDASWRWRRFFFTSGGGGRTRIGRHNSNNSARFSFSRFQSLANFQRY >ORGLA12G0029600.1 pep chromosome:AGI1.1:12:2216381:2216626:1 gene:ORGLA12G0029600 transcript:ORGLA12G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVNLKLYLENVYIMEENERLRRKAQALNQENKALLAKLNTNHAAASSTSTTTQRRPPTAASAAGAGASSTLKPGKQQPK >ORGLA12G0029500.1 pep chromosome:AGI1.1:12:2211682:2212872:1 gene:ORGLA12G0029500 transcript:ORGLA12G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHLPPVLVLLLLSILSLSFRRRLLVLQGPPSSSSSSRHPVGDPLLRRLAADDGAGSSQILAEAAALFANASISTFPSLGNHHRLLYLRMPYAFSPRAPPRPKTVARLRVPVDALPPDGKLLASFRASLGSFLAARRRRGRGGNVAGVMRDLAGVLGRRYRTCAVVGNSGVLLGSGRGPQIDAHDLVIRLNNARVAGFAADVGVKTSLSFVNSNILHICAARNAITRAACGCHPYGGEVPMAMYVCQPAHLLDALICNATATPSSPFPLLVTDARLDALCARIAKYYSLRRFVSATGEPAANWTRRHDERYFHYSSGMQAVVMALGVCDEVSLFGFGKSPGAKHHYHTNQKKELDLHDYEAEYDFYGDLQARPAAVPFLDDAHGFTVPPVRLHR >ORGLA12G0029400.1 pep chromosome:AGI1.1:12:2200410:2203145:1 gene:ORGLA12G0029400 transcript:ORGLA12G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52780) TAIR;Acc:AT3G52780] MAMASVAALRLVVLLAAAVPLLPPPAASLAVTSTYVRPTARATLSVLHDGDGRTPQQVHISAVGSDKMRVTWITDDDAPATVEYGTVSGEYPFSAAGNTTTYSYVLYHSGNIHDVVIGPLKPSTTYFYRCSNDTSRELSFRTPPASLPFKFVVVGDLGQTGWTASTLRHVAADDYDMLLLPGDLSYADFYQPWWDTFGRLVEPLASARPWMVTEGNHEVERIPVIHPRPFTAYDARWRMPHDAGASPSGSNLYYSFDVAGGAVHVVMLGSYAGYAAGSAQHRWLRRDLAGVDRAKTAFVVALVHAPWYNSNRAHRGEGDAMRAAMEELLYGARVDAVFAGHVHAYERFARVYGGGEDACGPVHVTVGDGGNREGLATRYVDPQPAASAFREASFGHGRLEVVNATHALWTWRRNDDDEAVVADEVWITSLASNPACNKKDSISLY >ORGLA12G0029300.1 pep chromosome:AGI1.1:12:2197325:2198015:1 gene:ORGLA12G0029300 transcript:ORGLA12G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSDPATGDFTIMLIRNPYMQLDHQTSNGIRSPSTTTSASPTASSMTASFYTITYHRVVHLIDINPDSSYVTGVIVQETLPMMYLIVYLARSPNHGDFIQIFRFTSSLETDQTDRFVDYDLGDNDVFIGRNYTACLSTKDYPGLMPNHIYFTDDDECSLQAFKGTPRDIGVYNYEDDTLSEVVSPQPWLKWPPPFWITPSFKDFPNTYRQ >ORGLA12G0029200.1 pep chromosome:AGI1.1:12:2191016:2192774:-1 gene:ORGLA12G0029200 transcript:ORGLA12G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1R436] MANSGKKLMDGGGVAFQTLPRAAGDDNADDDYVRPPPRPLVSTVHDKPATHPQQVHISVVGANRMRICWVTDDDDGRSSPPSVVEYGTSPGEYTASATGDHGTYSYSDYKSGAIHHVTIGPLEPATTYYYRCGAGEEEELSLRTPPAKLPVEFVVIGDVGQTEWTAATLSHIGEKDYDVALVAGDLSYADGKQPLWDSFGRLVQPLASARPWMVTEGNHEKEKTPPPPPVAGAGAGVRLSPSRFAAYNARWRMPREESGSPSSLYYSFDAAGGAAHVVMLGSIQLLLIDVINLGIMIDYKTRIYDNEANSQGPMYITIGDGGNVDGHSDKFIEDHELAHLSEFREMSFGHGRLRIVSETKAIWTWHRNDDQHATVRDVVVLESMAGAKTN >ORGLA12G0029100.1 pep chromosome:AGI1.1:12:2178327:2178599:-1 gene:ORGLA12G0029100 transcript:ORGLA12G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSMIELDYITEMKTVSVTVVRAFDVEVVGENGRSGSAATAPRFVPGLTASISSGLPVKIRHV >ORGLA12G0029000.1 pep chromosome:AGI1.1:12:2176356:2176928:1 gene:ORGLA12G0029000 transcript:ORGLA12G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLL3] MAAAATVFVGAASGASYTVGEPGGGWDTQTNLTAWASTVDLRRGDQLVFRYDASAYDVVEVTRAGYLSCSAASPVSAALRTGNDVVRLDGAAGWRYFIYGVEGRCAAGMKLQVRVTDAGAGCNNTLPSPSLAPAPPGAPSPGINICSGGPPTVIMTPGVISYGAAPRSSANLSSSLLVAMVSLLLGIIVV >ORGLA12G0028900.1 pep chromosome:AGI1.1:12:2148417:2149946:-1 gene:ORGLA12G0028900 transcript:ORGLA12G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELPWGARCAAAAFFVSSLCVAALGVVLLLLRRWPWCGCHVCRAYLAGSWRREFANLGDWYADLLRRSPTGTVHVHVLGCTVTANPANVEYMLKTRFDNFPKGRPFAALLGDLLGDGIFNVDGDAWRHQRKMASLELGSVAVRSYAYKIVAQEVEARLMPVLANAADSGAVVDLQDVFRRFAFDTICKISFGLDPGCLDREMPVSELADAFDAASRLSAMRGAAASPLLWKMKRFLNVGSERELKKAIKLIDGLAAAMIRERRKLGVANSHDLLSRFMASSGDDARGAADDKFLRDIVVSFLLAGRDTVSSALTTLFMILSKNPDVAAAMRAEAGAAAGESAAVSYEHLKRLNYTHAVLYENMRLFPPVQFDSKFCAAADVLPDGTYVDGGARVMYHPYAMGRMPRIWGADCDAFRPERWLTGAGGAFVPESLFKYPVFQAGLRVCLGKELAITEMKAVSVAVVRAFDVEVVGENGRCGGGGAAAPRFVPGLTASISGGLPVKIRRV >ORGLA12G0028800.1 pep chromosome:AGI1.1:12:2141197:2141649:-1 gene:ORGLA12G0028800 transcript:ORGLA12G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLL7] MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSTELRHKYNVRSIPIRKDDEVQVVRGSYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKASGRAADKAKGKFTAEDVAAAGASLQEID >ORGLA12G0028700.1 pep chromosome:AGI1.1:12:2132708:2136098:-1 gene:ORGLA12G0028700 transcript:ORGLA12G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDAAPDRMDAASSWMNPPVEVSSPVAGGGGGEDGAATKLQKVYRSYRTRRKLADSAVVVEELWWQALDFARLSHSTVSFFDDPKPETVASRWNRVSLNASKVGQGLSRDGKALKLAFQHWIEAIDPRHRYGHNLHFYYDVWCQSQAGQPFFYWLDIGEGKDVDLPECPRARLKKQCIKYLGPQERELYEYIVTEGKIIHKHSGEPLDTSQGPKGTKWIFVMSTTKKLYAGKKERGVFQHSSFLAGGATIAAGRFTAENGVIKSIWAYSGHYKPSAENLANFMNFLEENGVDLKEVEVRSSTNEDYYEDPVPNKQNPLATVMESNPPQLILPQNMIEEDKASEPFSQAEGAESDNVPKVQTKPTYQRTLSGGLKSPRAADVPREAILERVKSKGESKSYQLGHRLSLKWSTGVGPRIGCVKDYPMELRMQALEMVNLSPSASTPSPSWRLPACLSPTPNLPSSPLGPIQTSLPQPS >ORGLA12G0028600.1 pep chromosome:AGI1.1:12:2131132:2132005:1 gene:ORGLA12G0028600 transcript:ORGLA12G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSGVKYPDGWELIEPTLSELHSKMREAENDPHDGRRKCEALWPIFKINHQRSRYLYDLYYNRKEISQELYEFCLDQGHADRNLIAKWKKQGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEQVIECVHCGCKGCASGD >ORGLA12G0028500.1 pep chromosome:AGI1.1:12:2121811:2126265:1 gene:ORGLA12G0028500 transcript:ORGLA12G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDHGGDADLAADELQSLSFGSSERSRSGSTVSTATTVSTTTSGPPPPPPPPPPRAAAAPRLGAVSLSDIRFVRRLGSGDIGSVYLAEVKGARGGGAAVVAAKVMDRKELAGRNKEGRARTEREILEAVDHPFLPRLYGVAEGDRWSCLLTEFCPGGDLHVLRQRQPHRRFTESAVRFYAAEVVAALEYIHMMDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPIALAGGHSSASSSCIIPSCIVPAVSCFQLFPGRGRRRRHRRKKKTASGGGGGISGSSFPAGGLELEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGVFVFELLYGVTPFKGYDNEMTLANIVARALEFPRDPPVSSAAKDLVTSLLAKDPTRRLGATVGAAAIKRHPFFSGVNWALLRCATPPYVPPPFSVAAATAAAAAADMSDDDSCPGTPVEYY >ORGLA12G0028400.1 pep chromosome:AGI1.1:12:2113757:2115148:-1 gene:ORGLA12G0028400 transcript:ORGLA12G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGGGRPAARQQAARGKQMQRTFNNVKITLICGFITLLVLRGTVGINLLTYGVGGGGGSDAVAAAEEARVVEDIERILREIRSDTDDDDDDEEEEPLGVDASTTTTTNSTTTTATAARRRSSNHTYTLGPKVTRWNAKRRQWLSRNPGFPSRDARGKPRILLVTGSQPAPCDDAAGDHYLLKATKNKIDYCRIHGIEIVHSMAHLDRELAGYWAKLPLLRRLMLSHPEVEWVWWMDSDALFTDMAFELPLARYDTSNLVIHGYPELLFAKRSWIALNTGSFLLRNCQWSLELLDAWAPMGPKGRVRDEAGKVLTASLTGRPAFEADDQSALIHILLTQKERWMEKVYVEDKYFLHGFWAGLVDKYEEMMERHHPGLGDERWPFVTHFVGCKPCGGYGDYPRERCLGGMERAFNFADNQVLRLYGFRHRSLASARVRRLANRTDNPLVNKEAALKMDAKIES >ORGLA12G0028300.1 pep chromosome:AGI1.1:12:2107845:2110094:-1 gene:ORGLA12G0028300 transcript:ORGLA12G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVSRSMVLTLLGFCVSVLFIVFVCSRLACALLRRRRGRARLRRASPLAVSGVLSIYVDRHGHHQPSSAAGAASGTGGLDPAAVAAFPTRAFSPAASSSASASTQCVVCLAEYEEKDVLRVLPYCGHGFHVACIDIWLMHHSTCPVCRISLCDYPDSKHTMSPVPSAVIIPLPPCSPEASRSDQCNCLFVGTGHSPRTSQVLRNEPDQVKLPVILETSTQGDPWIAVIG >ORGLA12G0028200.1 pep chromosome:AGI1.1:12:2098320:2099306:1 gene:ORGLA12G0028200 transcript:ORGLA12G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSSVRDFASCIGDGAACTAPSSTRTRRGSAAVQAQPSTLSVTASYRVALASSSSPPLQLRLTWAHSPLGPTLSFSPSASGRKVLVRRRRGSCSVPSSGEDEAVESESELSASSPRLALFWDLTAARFDPAASPEPVSGYYVVVAVESAEVVLALGDLAAEFVKAKFEGTTQIPMAAPFARGERVVVAVSSGAAAAVTHTARARFAEGGAEHEVSVGCAPGGGGGGGGDELWVSIDGKRAVQARRLRWNFRGNQTVFVDGEPVDVMWDLHGWWFRREPPAPGCAVVMLRARSALESRLWLEEEAAAPAFSLLVEAFKSPP >ORGLA12G0028100.1 pep chromosome:AGI1.1:12:2080692:2080988:-1 gene:ORGLA12G0028100 transcript:ORGLA12G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALIPDSDLRERRSTSIPFRSGSMECLLGVCANASTVPVSLMGAPQGLLKNAANFSIGGHYPLHQLWDTASQLLGDRRSW >ORGLA12G0028000.1 pep chromosome:AGI1.1:12:2077275:2078890:-1 gene:ORGLA12G0028000 transcript:ORGLA12G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLJ6] MNSTSKYHRDYPFLPAAASSSDGDGDGDGFYIGEPRNPAAGADSTDVFLFLAVPAGWLVRLAAFVGELVASAILGLVYPVAALIGWLRAVPAAVASLLRRASTGLLAAACTFAVLAAAFVVSLVLGFALVRHWVAEPVTARHPLYFDYTEAQPSAAVALGGGAAALPAGHAVRVSMALLLPDSYHNRHIGVFQIKSEAISVSGITIASTTQPYLIKYKSSPVRLIQTALLCVPLTMGIRSESQDANLKLLHYREGHGRHKRTVLIRVMLQPRAMTVHLPQVYKAEIVVQTTLPWTKELVRALKWTLCVWVSLCVYVFILVLAMICWSRSPSAFSARDRRLYDHQVIENSGLDMGDSGERSDKELYGGVGVKWKQRIRKRKAQPGTLQGDRMELKFTEGSTSGVAMDGE >ORGLA12G0027900.1 pep chromosome:AGI1.1:12:2074044:2076168:1 gene:ORGLA12G0027900 transcript:ORGLA12G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLREECVTPNPKNVDSSSKISSQQGHAKLAAGSSSSHYKAVGYHEYADLINRINKGVQLYSNSMQELSQKGTDGLSEAFVAFRNYFAEKDVFEEIDYKFGEILHHCSRTVGIRKIYHHYNFTVEMKNDKDCWIPRVYFAEVKMKYGFKYRFCAPLEATDDGQCYSCKNQGIDKLKHPSRGGYSKGYDGAVCNHLGEDSSDEEDELM >ORGLA12G0027800.1 pep chromosome:AGI1.1:12:2056237:2056563:-1 gene:ORGLA12G0027800 transcript:ORGLA12G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILMKKPHVCLGTEDNVKTQLTSMRSLAAAAMAGPTIAWSMRFVGAGTTVTIWVGMAVMRSSSLAAITGMAGLTTTWSS >ORGLA12G0027700.1 pep chromosome:AGI1.1:12:2042827:2043555:1 gene:ORGLA12G0027700 transcript:ORGLA12G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPAPPTSIDDEDDDFSPDDVAQILSHLLLNGVISGETALLLQILTVALHFDLGGGGGGGGHGQNNDEDAMMAAPPPSIDDEDDDDGSPLLDQVLCYLLLNGIISGERALQILQNANMPLDLDLDLEDGGANMPLDLDDGGGFRGVPASAAAVAGLEKQVFHQFDHHGGDDDDDDEAKDSAAGCVICMEEFVAGDEVCAIPCAGNHSFHHHCITEWLGCSNVCPLCRHALPVEEQDEGGAT >ORGLA12G0027600.1 pep chromosome:AGI1.1:12:2034389:2034812:1 gene:ORGLA12G0027600 transcript:ORGLA12G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLJ3] MRPIGRRSSPPVAAALALLLLLVLFFFSHCASAARPLPASAAAELVLQDGATGNGDEVSELMGAAEEEAAGLCEEGNEECVERRMLRDAHLDYIYTQKRNRP >ORGLA12G0027500.1 pep chromosome:AGI1.1:12:2030570:2030847:1 gene:ORGLA12G0027500 transcript:ORGLA12G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSFPNPFFPSGGEGMNLGEPATRRRSVGGSELEMRSKRASFSIDRSCPLTTSSPE >ORGLA12G0027400.1 pep chromosome:AGI1.1:12:2018930:2021531:1 gene:ORGLA12G0027400 transcript:ORGLA12G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G28600) TAIR;Acc:AT2G28600] MAKGDDALARKRNKVRRKRMRSSENAVSARVAGIIASKRRRKSGKRRACEGMCFSLPTPEDPFNDRHGKKRKGDDEPTGDAPAAAAGRDESKKKKKKKKDSSAKKQPAREAAAAAANAKSREKDGAEYDRPSKFLVVCLNAIRDAAASEDGGGGIHDTGSWGVELWNCCSAAPPTHVLDTSGECATREKTAWLVSTACDIVARKEKLGVVVSCPFLLYLVPSQEKAVQVRSICKPLKSLGIHSVSLHPGASIEHQISGLKSCEPEFLISTPERLLELIALKAIDISGVSMLVIDGLKCFTDLNVSDKLCSIRDAILSNPQITIFSDPSDRRVAALATKLVGGKKITRLCTNDSVTSRSAFITQKIHICPSKDQKAPKVKEILEQILNDHARKTAKVLLVTASDHEAQHLSSSLKLQNCTVTNDSHGNSFTICSSVGLINVLVKDWENITTANFEDFDTVLVADLPPSVDEYIEILAGASCHVLVGEVHCIFSSADALLAKPLSEVLTSCGQVVPEFLRKLASS >ORGLA12G0027300.1 pep chromosome:AGI1.1:12:2012652:2013095:1 gene:ORGLA12G0027300 transcript:ORGLA12G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLJ1] MMYVRATHRDEEGKKVTEKVAVPETRHPDTARHFERKLDQQGLHRMERHPANGSRGIGAPPPKSGRGGKFTWEGPDSVVDSQLDPLPAAIDRNDPNYEEEEGDREREADVVGEVEVAKVAGDARDGVARVDVVAPPQLHEKLQLQPQ >ORGLA12G0027200.1 pep chromosome:AGI1.1:12:2006247:2009469:1 gene:ORGLA12G0027200 transcript:ORGLA12G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRSREGIAVASGHEAAAPAGYGLFIRTADCSMAEAAAQQEAAAAAKGYRSSPSSPSSMSPTPSPPPAAAVHGGGGAEEAAAAAAAAAVATPAARSLGAGDGGSMQVSGHGGEHGGLSSGRRRGRPKGSGRRQILANLGEWYALSAGGSFTPHVIIVATGEDVAARIMSFSQKGPRSVCILAANGTISNVVLNQPGSSGSTFSYEGCFEILQLTGSFTIAEEGVRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNNLKQHQRRMGLHPQPSAAPAFPAPMAPHPPPVLTAAMPISQAAPGNNGCRSPQVSISSMPPQAHAGVEQSRGAMNLNSSSSSTGFAMVGWPATASSQSMVHRPSPDINVCLTPQE >ORGLA12G0027100.1 pep chromosome:AGI1.1:12:2001902:2002883:-1 gene:ORGLA12G0027100 transcript:ORGLA12G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAGAVDPPPGSGSGVHDGGGGDSGQRLGRRDEPSWIAAATAHPSEGSRGSGPHAAGSGLPGPNLAGKLQGRWPRLHDNGGGGATARQLRLDGVGVGGAGCGAVSGRLDGSGGAGTLGPDSTGRPRAAWRRQPGSARWRR >ORGLA12G0027000.1 pep chromosome:AGI1.1:12:1991461:1993179:-1 gene:ORGLA12G0027000 transcript:ORGLA12G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT5G04550) TAIR;Acc:AT5G04550] MRKLSGGGGERRVVGAVAFEVAALMSRAAGLWRALGDAEVGRLRGDRVRLEGVRLLVADDDAALLSLALAEMAAACADLSRAVARLSARCADPLLRRFDALFAALVRGGRLADPHRLRYSAARKMDRKARKMQRLVASTALLSQELDVLAELEQAAAGGLRRSGTRRKGAANGGGGEGEAARRVAQQRQEVDHLRAASLWNRSFDYAVRLLARSLFTIVARIAQVFGLEPKNVATMDDDAMISLATTRLSWTNSFVGSVHSLVYPSDFAADTHTPRRSLLDAKSGKVSNGGEHVRRFLVSRSQSLRQLKWPMAGKHLIGCMVSGSRSPDSERWKIHGDGDLPLSFSYYVSASNDDYSSINSPFQGDHTNSNLSIVFESSSHNWVMNAPAVTTLGAAALALHYANLIIFIEKLAVAPRHICPDERDALYNMLTDRIRASLRARLRPIAKNMAASSSSSSSACDPAMAAEWSDTVQRILGWLAPLAHNMLRWQSERNFEQRNVASSGTGVLLLQTLHFADQKKSEAAIVELLVGLNYLWKAGRELDAKAKKLVSGGGKRDEFTDYYSSNRMECR >ORGLA12G0026900.1 pep chromosome:AGI1.1:12:1989792:1990551:-1 gene:ORGLA12G0026900 transcript:ORGLA12G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRPRHLLRPLLRGLHATAQALARPEPHEFSNPSEHLGSWGEPAGDPREAWARLERLRKGYARDVRRLRGSMKVRGEKLENWREKDKLKADKRAEDRELLGRKSSVWIADNELENRILKAIKFTTPL >ORGLA12G0026800.1 pep chromosome:AGI1.1:12:1976327:1976580:-1 gene:ORGLA12G0026800 transcript:ORGLA12G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQSTPNTIDIKATTYKPTYSKTRRRISTSGIGMAIPLRFEEEGYPVVDYESDLQTDMSTTVR >ORGLA12G0026700.1 pep chromosome:AGI1.1:12:1974944:1975522:1 gene:ORGLA12G0026700 transcript:ORGLA12G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVHSSPTWPPSSSSSPASPSSPSTSTPPHGASSAASLVGATPGSGRNHSHPGWRPTTTPTPIGQGRSARRSESRWSAGGPRWSVPSACQSWRTAACSRHVGTASTPPTWTEPRRGSKEEVRRAHEEKEGQELRHCRNSSRHWSWEMAPSPSSFAAASRPSAGVGKLTGSGWKTQRSGTVPISPNSSGTQPIS >ORGLA12G0026600.1 pep chromosome:AGI1.1:12:1962648:1964385:-1 gene:ORGLA12G0026600 transcript:ORGLA12G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDGGRTSSRDHGSSCTVVTMAMLLLLRSLEFLAIMAVASSLAMPVAAAAAVPQPEPEVKPSDTDALTIFRNGADAHGILAANWSTSNACAGGWIGVGCSGDGRRVTSLSLPSLDLRGPLDPLSHLGELRALDLRGNRLNGTLDTLLLGVPNLKLLYLSHNDISGAIPDAIARLLRLLRLDLADNSLRGAIPVAALANLTGLLTLKLQDNLLTGLLPDVTAALPRLAEFNASNNQLSGRVPDAMRAKFGLASFAGNAGLCGLAPPLPACSFMPREPAPTSPSVPSSPQSVVPSNPAASSSSVASSSPALATPESRDGPGKGGLSTGAIAGIVVGNALFLFAMLSLLVAYCCCSTGGESGGEPPKKRKRGGRVGLEDDDDGGMFGHGKGVQPGRPGSAGMRSDDGGDSDGARSKLVFFGVDGGEDDDDDDGGGSDSSAGRRATGGGWTAAPHQPHGRRSRFALEELLRASAEMVGRGSLGTVYRAVLSDGRMVAVKRLRDANPCARDEFHRYMDLIGRLRHPNLVPLRAFYYAKQEKLLIYDYLPNGNLH >ORGLA12G0026500.1 pep chromosome:AGI1.1:12:1961846:1962460:-1 gene:ORGLA12G0026500 transcript:ORGLA12G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPGVPHVGDPPRQRQVHQRPARQERRRLRRRLRPGAPPQPGARHCPPRRLHRAGAGGQQAAVAGGRRVQLRRARPRGAHREGAGAVPAAVAGRRRRRRRRGRAEERQEVLDGGEPAGVGAIGGAGGVDGGGVRRGAAPVQGHRGGDGGDAARRAGVRHAAAGAEAVHGRRGADDREHPGGSVAVPGGGQGHLHHVAVDRHYH >ORGLA12G0026400.1 pep chromosome:AGI1.1:12:1956058:1959963:-1 gene:ORGLA12G0026400 transcript:ORGLA12G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:I1R408] MHSTNLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRSVDTISSCLKAGMSVARFDFSWGDAEYHQETLENLKVAIKSTKKLCAVMLDTVGPELQVVNKSEASISLEENGTVILTPDQGQEASSQVLPINFAGLAKAVKPGDTIFVGQYLFTGSETTSVWLEVSQIKGDDVVCVIKNTATLAGSLFTLHCSQIHIDLPTLSDEDKEVIRKWGAPNKIDFLSLSYTRHVEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNNFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKRTVKHVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTNATNESVLKVALDHGKVSGVIKSHDRVVVCQKVGDSSVVKIIELDD >ORGLA12G0026300.1 pep chromosome:AGI1.1:12:1952722:1954673:1 gene:ORGLA12G0026300 transcript:ORGLA12G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTGNPEWPPMGLECKALMILSCKPGLRPSFLTEDWSLFTSVDENLNHVRTTNHIETCKSTGPNDAAILDLYKETELVDAVHMTQSSSESVQSPSDMFSNNEMNSSFETDENHSIKSSSDRTLIDFYHKLREETLTVIFRNRKDFKRFFIEEHTAKDVFISELLDSAREVHLKDFEEEYHLIEKIPMHGVVLWQESCQSNVCNVVISQGDQFFIALGEIYRVAQILNLSLQSFKPWVLADPGMERLLEWLLTAIT >ORGLA12G0026200.1 pep chromosome:AGI1.1:12:1946063:1949119:1 gene:ORGLA12G0026200 transcript:ORGLA12G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1R406] MAVLEEDPAPVPAADSTSGASDDEITVEETSFVHTEPPQDGTAPPVVTSDMEVLNDKVKKQVIKEGHGKKPSRFATCFVHYRAWVQGSSHKFEDTWQEQHPIELVLGKEKKEMTGLGIGVSNMRSGERALLHVNWELGYGKEGSFSFPNVPPMADLVYEVELIGFDDVKEGKARSDMTVEERIEAADRRKIEGNEYFKEKKFEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCTIVLSEDENNVKALFRRGKARAELGQTESAREDFLKAKKYSPEDKEIQRELRSLAEQDKALYQKQKELYKGLFGPRPEPKPKASNFLVLFWRWLVSLIGYLVKLFKRKDE >ORGLA12G0026100.1 pep chromosome:AGI1.1:12:1941357:1944367:1 gene:ORGLA12G0026100 transcript:ORGLA12G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLI2] MRRPLEKAGAAGLAAMLAAAAALLLLVCAASLRCSAAVGSALAAPKKLLSGGVSIEEPRGGGAGGGGGGEECDLFDGEWVWDDGYPLYASRDCPFLDVGFRCSENGRPDDSYTKWRWRPSRCDLPRFNARNMLEKLRNRRVVFVGDSIARNQWESLLCMLAAAVPDKSSIFEVNGNPITKHMGFLIFKFREYNCTVEYYRSPFIVLQGRAPAGAPAVVKYTIRVDAMDWMSGRGKWKDADLLIFNTGHWWNHEKTIKGGAYFQEGNEVKMEMTVRDAYQRSMQTLFHWLRNEVNTNKTQIIFRTYAPVHFRGGDWKTGGTCHLETLPDVTPIKSLEPWADLLEPINHVLGNSITTKLVGLDILNVTQMTALRKDGHLSVYLSPSGPASHHRQDCSHWCLPGVPDAWNELLYALFLRRKMVMPHNVSSVGAKRLNTG >ORGLA12G0026000.1 pep chromosome:AGI1.1:12:1925935:1929075:-1 gene:ORGLA12G0026000 transcript:ORGLA12G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSGDVVEVPAELVAAGSRTPSPKTRASELVSRFLGGAEPAVSVQLGDLGHLAYSHANQALLRPRSFAAKDDIFCLFEGVLDNLGNLNQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLAGSYAFVLFDKSTSTLLVASDPEGKVSLYWGITADGSVAFSDNIDLLKGSCGKSLAPFPQGCFYSNALGVGGLKCYENPKHKVTAVPAKEEEICGATFKVEGSTILTALH >ORGLA12G0025900.1 pep chromosome:AGI1.1:12:1903462:1907828:1 gene:ORGLA12G0025900 transcript:ORGLA12G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSIDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKVGVAAVNNQFQKLHLDGGGGGKGQPKDGGGKGHPKDAGGKGQKGGGGGGGGNGGGGSKDVKMMMPPQMPQPTPQQLQQLQQQLQMKGLKLPQFMDAAKMAPFAAAAPIKDPKSVKFNLPPEDDFGDDGSEFDDEFDEFDDEDDFDDDGLDEDYFDDPKMMKQMAMPPPNAGGGGDKKGGGKKGGGGNEIPVQIKGNANNAAGGGGKKDAGGKQNQGGGGGNGKNGGGGGQPNNAKGGGAPNGGGNHPAQGKKGGGGGGGGGQGGGVGGPMGGMPAQQQAMMMRPNMMGGGAGFPGMGQMGGGPMTMPMAHHPHMGSAQGGGAGAVQGMPPAAFYQGGGGGGGGGMPSGPEMLQAAAAAGNPMAQQQYMAMMQQQQQQMMMNGQGHHGHGHHHGHHGHGGGAAPAGYPAMGYGYGRPPMPYPMHYPMQPHPHADPYNYFSDENPNSCSVMRTQLPXRRRXVXXLSIVFHKRXWWCFIIREGRWWWWFPSCSIXGCGDAWXTNNVQSKMCCQMVCLCLCDCDSGCVPSYRALFTCHXXWNVVNSMPCFSFFLGVREERLXYSVPRXGRXXQXXLWEQCNGGEGMDDHDDGCGGGGGPCVMXGGRGKSXRRGAAAVLAMVATFSCTLHLHYXWGGQIFSPTNDHRPLHQNCTFQSYDHSKHHCFCLPXSXHCLVPLPHNDXFHPQLPRXKLRCVCPSRQKQLSPKRSMEXEEGSYGGASDGAGWKGMLLALYACRADWKKQNFSYTTQSARKTLCHGKVKKRRKWPLR >ORGLA12G0025800.1 pep chromosome:AGI1.1:12:1893272:1893535:1 gene:ORGLA12G0025800 transcript:ORGLA12G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGETALGRVGAMGRGARWGGDECARASIGSAAVPICATARASVDWRLLGIGGDLAEVNQRRRNGRGEMRASCVGAMESVASRRP >ORGLA12G0025700.1 pep chromosome:AGI1.1:12:1887516:1888263:1 gene:ORGLA12G0025700 transcript:ORGLA12G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTRRRREAGFEVVSILLCVPAYDAVLMPLACRVTGVRRSPAVLMPPRSCSGSASAEPPAPTEEPCRRSTSRRHQEEERVGRASGGGAEEFGLFLGEGEISRLVVANDDDDAAGSSKNVGADWIFGGRIWDFSERLRRKDTLRQRTDAHSAGDAGFPSRAWTRQSVVPCRYVWGRGAKLSRDS >ORGLA12G0025600.1 pep chromosome:AGI1.1:12:1876950:1879917:1 gene:ORGLA12G0025600 transcript:ORGLA12G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRREEEFYASLFDSPKGGDAVKSRGQMIERKIEVLEDMATKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPVSAFCRTSDGEWDAFRSIDMDVEARLMQQMKQSSTKQKNHVDSDELIALNAWHRIDRQTREAIKRNFLPDLLDIYEDRIRAFIEDASGKDVLVLNVQDPFQRLLLHGVCEFYNVSSTTTTTMRDGKLWKTTTIKRRPGTGAPSRLTLVSFLRMKKNGSQ >ORGLA12G0025500.1 pep chromosome:AGI1.1:12:1867118:1875148:-1 gene:ORGLA12G0025500 transcript:ORGLA12G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQRQQQQREEERAPMGAAQRRLRAVSGHLQPPTESGGVDLAANPTAGEYAHVQGYSAVLPEKLQTGKWNVYRSAKSPLKLINRFPDNPDIGTLHDNFVYAVETFRDCRYLGTRVCVDGTVGDYKWMTYGEASTSRTAIGSGLIYHGIPEGARIGLYFINRPEWTIVDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVQAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDNANMPSTPTATGVEIITYSRLLSQGKMSSQSFRPPKPEDIATICYTSGTTGTPKGVVLSHGNLIANVAGSSLVIKFYPSDVYISYLPLAHIYERVNQVSVVHYGVAIGFYQGDNLKLMDDLAALRPTVFPSVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIINGRNPSPMWDRLVFNKIKARLGGRVRLMTSGASPLSADVMEFLRICFGGEVLEGYGMTETSCIISAMNIGDRLIGHVGSPNPSCEIKLVDVPEMNYTSEDEPYPRGEICVRGPTIFCGYYKDEIQTREAVDEDGWLHTGDIGLWMPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQSFIYGDSLNSSLVAVVAVEPDVLKAWAASEGIQHEDLRQLCADPRARSAVLAEMVSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVKRPQAKAYFAKEIADMYAQLRQAEQIKPKL >ORGLA12G0025400.1 pep chromosome:AGI1.1:12:1859521:1863271:-1 gene:ORGLA12G0025400 transcript:ORGLA12G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYSEGGQLQLMDAERIEEEEECFESIDKLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFITGSDLLIKRKSVVRITTGSQALDKLLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFEMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVCKIFDAPNLPEGEAVFQVTSGGIMDAKD >ORGLA12G0025300.1 pep chromosome:AGI1.1:12:1834948:1841549:-1 gene:ORGLA12G0025300 transcript:ORGLA12G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNYYKEKLMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGADLRLADVHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDAEDGKDTQTRSTKEDQAVSFRTATAKSVYKWIIKPQSIIKSNEMFLPGRMAFIYNMEDGLTNDIPTTLHRSKADCAVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGNNSLASGDYDEVARPGQTGSSLKHQFQKDMPPPPPPRNNNLSKNEEQSIPVARADEDFIFVGDGVDYSVPNKEMSHSPVSEDMDESPHNHQKQSYFTEEKPVYGPIPPSDPAQAWPQTNGYDAIQAQMVAAGYQGEWSGYQYGEQQMPYPEQYMQQSTQDYDVLADPNIAQDPRLMTQADKDRGLGSVFKRDDERLKQLREKDSREKDPNFISDSCSECYPGYQXYNHEIAGSDEEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKQNMDQKLTNDLHKINKILARKKGDKDGGDDGGHYDDDLPSGKKQRA >ORGLA12G0025200.1 pep chromosome:AGI1.1:12:1831169:1833068:-1 gene:ORGLA12G0025200 transcript:ORGLA12G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSATFRSAKIPRALPPKSGEPAAAALASASCAPGNPSAEAAKGKAALGWCVYLIASSRISRTYVGVTTDFPRRLRQHNGELKGGAKASSAGRPWNLACLIEGFVNRSEACEFESKWKNISRKMARKRSEPSMTSLLQHRDMALSRVKNDLACSHLKIKWHSS >ORGLA12G0025100.1 pep chromosome:AGI1.1:12:1827472:1830459:1 gene:ORGLA12G0025100 transcript:ORGLA12G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLG7] MADAAADDDAPPSPPPSAFHPASADTPMSDATPSAADTPNLPDTPASASAEPETPFSDAALADASDADASGVAAPPDDDGTNPLGGAMKHMALAPPAPPSKKSKKKNSNSVWTRPNSRKGKKKAKQPANALAGGSGGANGRLPKPSSGEDELVLTPAPRFAAERNDDAPDRPVLLSRVFKSDKVEVSDDRLTAGSTKGYRMVRATRGVAAGAWYFEVKVLHLGSTGHTRLGWATNNADIHAPVGYDVFGFGYRDMDGTKVHKAWRANYADQGYGDGDVLGFYIHLPDGELYEPKQPFLVHYKGLPFRAEAPKAAEQKTPDPVPGSEICYFKNGICQGTAFVDIPGGRYYPAASMYTLPDQPNCQVRFNFGPNFEFFPEDFGGRLIPRPMSDVPYRPFELANGGPAENGTAEKNI >ORGLA12G0025000.1 pep chromosome:AGI1.1:12:1824609:1824830:1 gene:ORGLA12G0025000 transcript:ORGLA12G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLG6] MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLRDYEIHDGMGLELYYN >ORGLA12G0024900.1 pep chromosome:AGI1.1:12:1817711:1818490:-1 gene:ORGLA12G0024900 transcript:ORGLA12G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLG5] MDFEPLPSAAGDATADETPPGRAVCSSGCGRPSTVCLCPYLPATPLPTSTTVVILHHPHALRRNPLSTLPLLARSLSNLRLIPGRRLLPSSAPVISRSPVLLLFPSPDAADLASWCRSTPPPARANPTLLLLDGTWKQAKEMHAASLPFLSSFAVPVSLPVDCGVDGDSMFEGELVVKKEPHKGCVSTMEAVARALRLLEPEGRGEEIEETMVGVLRAMVAFQAEHLQHRPMKPRVKMRKKKDIKREEEMKRNTLDWSD >ORGLA12G0024800.1 pep chromosome:AGI1.1:12:1798086:1798298:-1 gene:ORGLA12G0024800 transcript:ORGLA12G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CQFVRSSSDGAEEDTEEELSLEAEQDDDEKMQAEAEDAEQGGVMDHQPALRCTSRTVKPNPLYHGPQWAV >ORGLA12G0024700.1 pep chromosome:AGI1.1:12:1790288:1792280:1 gene:ORGLA12G0024700 transcript:ORGLA12G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPDPVYNGIYRSVVNIAIEKTPERTIRRNGIIVECDPTYAYIVADARAFEGNIAADKITVDFPGEQCIKPLPEHCNITNGLVGIYCCPGDEKFNTDLFKRVEMCNQPLQMSEAVYVYNGLYGQLIPGNVTHMTLNGHEFSHNCAVSVSAEFGTPVINKNGELVGMNCSLSYHLTARNISALVGTIRDIQNTLRNRV >ORGLA12G0024600.1 pep chromosome:AGI1.1:12:1783948:1787595:-1 gene:ORGLA12G0024600 transcript:ORGLA12G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPWRPLHTPACAPAFSTTVSALKEFILARWPQDKEITPKTVNDLKLINAGRILENNRTLVESRVRVEIPGGVITMHVVVHPPQSDKNKRRRNKNQRTLWAE >ORGLA12G0024500.1 pep chromosome:AGI1.1:12:1776495:1777802:1 gene:ORGLA12G0024500 transcript:ORGLA12G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYHEDDNYRLLFNSITSFFVDNLRSDLEHHKSGKLSKIGLTAKWCPSPDSSFDQSTLLCEAIARGLFPRESDASYANMKEEHYIFLVRRRLRREVLVPLRKDLELPEIYMSKNQWSDLPYERVASEAMRIYEHLFKKHDEGRFTAFLKDHKDSREAAKHKAKKAAPQPPLLQDIITSLGLASHASNIKRREDAAQQWRTLVDHLRGKGSLCNCMAVCDVNKGGLVKSEGQKLLKICVGLGFLISELSSPPWTNSVHAFASNYFPLVLSVGSYREKLNFIRQMPCEERFNLKKVFEGIITRAVTSGVTPDNMVKTIFIFTDKFFEKASVRPVELIEHEDFNPLSPRPWHEEYRRVCEEFKRVGFQDVVPQIVLWNLKGPRSAGLTATKDGVMTLSGYSDELMRLFLENNGVVEPEDEMLDAIAGDEYQKLQVID >ORGLA12G0024400.1 pep chromosome:AGI1.1:12:1774165:1774579:-1 gene:ORGLA12G0024400 transcript:ORGLA12G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAGGGVLIRAASIDLRSPVIDLVNLSAGLDCSLDRFITSCVKNFVPKLPFED >ORGLA12G0024300.1 pep chromosome:AGI1.1:12:1764964:1769162:-1 gene:ORGLA12G0024300 transcript:ORGLA12G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRYDLRSNLKRDEKTDFVMTYSKKHRIKEDIVCNEGEIAESLVSGDLPCESNQGVFWSELSGEVASNLSKSVVSLALHDGNTMLFVCLGIAVHRNGHVIKLLTSASLLKAFNDARKDHDNLKVEVHHEDTVVIGFLREYNLDHNMAAVIVENLPDLRPVPFNNVQKFVPHSKVVALGRDISGKLMTTSGVLIGESYNGYLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSFTTEGTVFVPGDRVLDQLVNCILDHEVRFAARLEALKEVWVGESPSGEMPSSHQVHRDVLNKDRYGDLESLGYPEPPKSKDGMILAYTFEEPFGDIFGKGVWSELSEDVASSICENTVALASFNGDKRTFACTGFFIEWNECATILTSANLLRDSSDENRIAENLRIEVLLPNNLRTVGTVQHYNLHYNVALVSVKDHCVRQPVKIQPYGHNCRKLLAVGRIFESGRLMAARGHQFPTVVTHDCKFLSYSGCTTTKAGIGGPLLCFDGTFVGMNFYDEGVEGTAFLSWCEIREILKYFKTKGAVAEHSHGNPSDVLDWKIAGDDSVHPDRWPVPMPYWTLPEDLVQRKLAAKIRRQEAILC >ORGLA12G0024200.1 pep chromosome:AGI1.1:12:1760284:1760997:-1 gene:ORGLA12G0024200 transcript:ORGLA12G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAIYEHQGYDLAIIKVNGVSGECPILQFGDLEGVAHRANVVQLGYILGSQFALNLDPSVSPGSVIRPANQNGMMGSQDVVYSAAARHGASGSAVMFDDKVIGVLYSMSTNSQVAYARSSTTVHMALKNWLHPNDAAITTEKMIELVVKPLNDSELDD >ORGLA12G0024100.1 pep chromosome:AGI1.1:12:1757544:1758047:-1 gene:ORGLA12G0024100 transcript:ORGLA12G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYHSNSKTVEFFEGDVDHSYAVAIAPESKYSVHNMPGFIIHRSLEVASDSSESVYKGSEDYFTFACRYGDTSPNLVSRLITGPVFNLNGQVLGIVVDDIEYKFWPKKPRKEDGSQEIIHEDLFYRAGYFLKVAIRVNNLQQDLRSMVKDNDWQNGLKKIATERRV >ORGLA12G0024000.1 pep chromosome:AGI1.1:12:1745586:1745927:-1 gene:ORGLA12G0024000 transcript:ORGLA12G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGGGQAAHVAGRCVLDTGAGGQSGGCGGFDPPVARSGAGSDKAHGEQAAPTTLLLAMVAELAATADGWRRGVECGSAPVVGGGGGRQRKRWLHDTTVGEAEGMEAVTVRPA >ORGLA12G0023900.1 pep chromosome:AGI1.1:12:1735399:1741634:-1 gene:ORGLA12G0023900 transcript:ORGLA12G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLPPPASRLWEASIRKLKYSTILRGSVVPSGAAFDGAAAAATGGDPVTLTPSLSVSSSTSNTIYQYEDGDDDIDSVLDDVDTDDDDVDEASLGEPSHSDQLLPSGDFYQGDLRGDLPHGAGKYLWTDGSMYEGSWRGGRAAGRGKFSWSSGAIYEGDLAGGYMHGQGTYIGELGDTFAGLWANNLRHGRGTQAYVNGDVYDGHWRDGLQDGHGRYIWRGGHEYIGTWKAGEMHGRGTVIWADGDRYDGAWEDAKPKGQGTFRWSDGGMYIGLWCQESGETQGKGVYYPPSGGPAVPLPREPKEVITKLLEELEMSEGKTVSLLPSQKVLTWPGVEPVTKKPVWRPPEVAADQGMWRPPEVGADQGRRSSRRNSMSSDIDSLVEGEDGVEETRNDRSWVRTPSCMRAPTLPKPGKKQGETISKGHKNYELMLNLQLGIRHAVGRQSAPASLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPGDYMLSICGDDALLELSSPGKSGSFFYFTNDDKYMIKTMKKAEVKVLLRMLPAYYKHVRSFDNTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSNYSIHRRFDLKGSSHGRTTDKPLDQIDETTTLKDLDLNFIFRLEGSWYEDFCRQVDKDCEFLEQERIMDYSLLVGVHFKDRCKDSSSPDNETTQTALEDEEKRKAPVKLGISMPSRVENVVKNPESESQLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYRAFSEDVQ >ORGLA12G0023800.1 pep chromosome:AGI1.1:12:1732387:1734360:1 gene:ORGLA12G0023800 transcript:ORGLA12G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFYFNTTAFVASLVTIMLLMSRTVSRHGFRSSALWVCVGAAMVGLMGAFAAGSCRSFKTSIYVIALVGAVLLYIAIQFMVFISEPVKDWLHRAGETLQKCLKLDELEQRNQQQITLSNQGNGDAYLLLKKSRMYLLLLGILAASVTYQAGLNPPGGFWQSDGTDGYRHYLAGDPVLHITYHRRYMVFFYSNATAFIASLVILILLLSNMISTQGIKYCALQVAMILNLFGLIGAYAAGSCRQASKSVYVSVLVLPVFLYVGIHVLVFMLEVSPTWATWRVEVREKLKQCMPEWLKNLLELEKHVEEEEEEWKLEKRRKLLLLVAILAASLTYEAGMNPPGGLWQEKKSGHVGDPVLNNDNYRHRYLAFFFCNTTAFVASLAIIMLLVNRKLSARGIRSYALRVCVILVLVGLMGAFAAGSCRKVKTSIYVFVLVLAVLLCIAFQVALVVSGSLRRLVNSLLSKLGAPLEEDAGERLPHTAADGGDGEPRDLWDEKLPKYLLLLAALAAAVTYQAAMSPPGGLWDDGQTEHIVGDPVLLTNYARRYKVFFYCNATSFMASLVIMVLLLIKRVSNTQPALLALHAAMILDLFGLMGAYAAGSCRRVTTSAYILALLVGVSAYIVVLVVVSVGVARWMKKVMDKVGEKLTHCFSFEDL >ORGLA12G0023700.1 pep chromosome:AGI1.1:12:1729346:1729770:1 gene:ORGLA12G0023700 transcript:ORGLA12G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLPLISEPPGPLFQAPEKRENGDRVDWVFCNAVRHVDDWVRVAKCDDKDARYEDCEKMIHVFDKDGDGRIRLDEFRAV >ORGLA12G0023600.1 pep chromosome:AGI1.1:12:1725710:1726303:-1 gene:ORGLA12G0023600 transcript:ORGLA12G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDASAVARALPRDFPPIENLSFEGFPRYTFKIGNRIHCTNLMYRPGSRTLLTQEEAHEIIREGLRSDIRRGRIQLNADFLQQLVDQVRDHILQRQRRGTATAMDGVVEVEDAYRNGGFGAVPASSKAMAELQEAQASDARERGCAVCLEDFEAGEKLTRMPCSHCFHATCILDWLRLSHRCPLCRFPMPTQDQSY >ORGLA12G0023500.1 pep chromosome:AGI1.1:12:1721737:1723206:1 gene:ORGLA12G0023500 transcript:ORGLA12G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTTGTGSGCEVTKKIPSWMMRKTLMQCMEAGHFGKDAMDMARLEHALPRGDLHRPRVGSKTAERSCSVCLKNFEEDDYIWSMPCSHTFHQLCVLGDRSCRVCHPAAPPSTEEKPEKASRVSVVMAALGLRVNGEGDERSLVEDEALVLLEEKQASWEELEEAFSVFDGDGDGFISPLELQNVMRRLGLQHDAGHEGCERMLKVFDRDGDGMINFDEFKVMMQGVV >ORGLA12G0023400.1 pep chromosome:AGI1.1:12:1720536:1721036:-1 gene:ORGLA12G0023400 transcript:ORGLA12G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNSSKLIIPSPSLSKTLSILSHSSWPASCCKPNLLITLCSSRGLMNPSPSPSNTLKASSSSSQLACFSSNSTRASSSTKEHSSPSLLTRSPSAAITTSTSPCVSSVLALVSECSDGHVLCVLCLSQRRKFRGWKRGRNTFVIWTSRLLVNIFPQKHSDKNENNK >ORGLA12G0023300.1 pep chromosome:AGI1.1:12:1710375:1710683:1 gene:ORGLA12G0023300 transcript:ORGLA12G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAPSTAIAPANRMMNFISAGVQAQTESCSRQQQAAAANNEVIELPDESDEEEDDDQIAEKSVPAAVFGELGKRTAENREEESSGAHVNEQLGALERIKRRRQ >ORGLA12G0023200.1 pep chromosome:AGI1.1:12:1698624:1699835:-1 gene:ORGLA12G0023200 transcript:ORGLA12G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPDGDGVGESTDEFAKPLDDTMEFAKPVYLVAVREDDQAAYSILKIDAAAGNDEPPRVRAVAVLTTGTERGMSFVTAHSKHGSWIVGVGGGLRAGTIIFDPSTLKTFQGPRLGYPKHKPVLISHGSEPELAVGTYAFHVVNKVWEKVHDKNLPFLGQAVPLGGSLFAAWPISAASTSAASVFHMSIKISSSIPVAPVTVSTPSLSIQEFMLVASEDKIPWPLFCPMGKGSFCCIRLTPSRRWHSHKIKYLNKEVKVLSTAFRIKNIEAIMTHCQSQRGKAKDQLLAVQMKEQRHKCESNGLLGLLGSGMPVIAALSM >ORGLA12G0023100.1 pep chromosome:AGI1.1:12:1690590:1691837:1 gene:ORGLA12G0023100 transcript:ORGLA12G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKRKTRDRHGARGKACYGKPKPSTADPELEEREDPDQIQSGHLGIVGGDSDETTENLTHTGIAGDQGRGRSAYLVACHWDWSRYSKPYAVYNVGVTATSSPPQPKRKRLRRITRLPIAAGGKSFTSVRSIHRAWIVGVGGDPGDTVIFDTRTEKVIHGPVLNSAKWCPALMAVGDKVYAMSKSPSWVADPDFPPXFKVLDLSKSKVVTLADGRSHLEGCSWIELPHPPCFPWKLRPVDYTLLPVVIAVSYVVVDSYILVSFNQPWGTYAFDTNSLKWHKVADKRLPFTGCASPHGSVFLGLSKDNGPIKAYRINVTTSDKDHDPCLSITVLPVKYMEHEIDAGSCFFSLENGLFCSFXVSRLTAAAXFIPRTSKFFQPRQTXTXEPIKQRTLHNWRLQRKHCXLXSQRLQYAA >ORGLA12G0023000.1 pep chromosome:AGI1.1:12:1686114:1687418:-1 gene:ORGLA12G0023000 transcript:ORGLA12G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVKRKTRYRHGARGKASCDKPKLSITEPELEETEDADQFESGHLGIVGGDSDEDQADCDQPMQETTEDLNQPGIVGDELDEGRGRSVYLVACHWDWSRYSKPYSVYNVGVTATATATESPPQAKRKRLRRITRLPTAAGGKSFTSMRSIHRAWIVGIGGDPGDTIIFDTRTERVIHGPAPNSAKWCPALMAVGDKVYAMSKSPSWIADPDFPPWFELLDLSQSKVVAAAAGRCGYHLEGCSWTKLPHPPCFPXKLRPVDYTLLPVVIAMSYVVVDVYILVSFNHPWGTYAYTNSIKWHKVDDKRLPFTGCATPHGSVFLGLSKDNGPINAYRINVTTSDKIHDPCLSIVVLPVKYMEHEVDAGSCFFSLEDGLFCSLSFSLDSNSVIRSKNLDFFPTKAHVDLRTYQTENTSPLEALEETLLAVKLEVTVCNQ >ORGLA12G0022900.1 pep chromosome:AGI1.1:12:1683169:1684403:1 gene:ORGLA12G0022900 transcript:ORGLA12G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPDDDDVGESTDEFANPFDDTMGFAKPVYLVAMRDDDQAAVYSILKIDAAAVAGNDEPPRVRAVAVLTTGTEPGMSFVTARSRHGSWIVGVGGGLRAGTIIFDPGTSRTFQGSRLGYPKHKPVLISHGSKVYAISGTXAFHVVNKIREKIHDKNLPFVGQAVPLGGSLFAACPISNTASTSTSASVFHMSIKVSSSIPSLSIQEFKVMTSEDKITFPLFCHMGMGSFCCIRLAPSRSRHRRKTNCRRWRSPKTSCLKEFHVISTSFRIENIEAIMTHCQSQRSKAKDQLLALQVKEQMHLCESKEIHGLLGSGMPVVAALSM >ORGLA12G0022800.1 pep chromosome:AGI1.1:12:1678867:1682915:-1 gene:ORGLA12G0022800 transcript:ORGLA12G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLF4] MRRLLLADLLRRASSSHHHLHLVRALSASSPLPASDADLRKYAGYALLLVGCGAATYYSFPLPPDALHKKAVPFKYAPLPDDLHAVSNWSATHEVHTRVLLQPDSLPALHDALAAAHGERRKLRPLGSGLSPNGLALSRAGMVNLALMDKLLDVDAKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGIIQVGAHGTGARLPPIDEQVISMKLVTPAKGTIELSREKDPDLFYLARCGLGGLGVVAEVTLQCVERHQLIEHTFVSSADEVKKNHKKWLSENKHIKYLWIPYTDTVVVVQCNSPSRWRTPKFTSKYGKDEAIQHIRDLYRESLKKYRTKAESNDPEVDQLSFTELRDRLLALDPLDKDHVIRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSETCFPAGTLAKPNMKDLDYIEELLQLIEKEDIPAPAPIEQRWTACSRSPMSPASSSQEDDIFSWVGIIMYLPTSDARQRKEITEEFFNYRSKTQTNLWDGYSAYEHWAKIEVPKDKDELTELQARLRKRFPVDAYNKARMELDPNKVLSNAKLEKLFPVTEVQHAK >ORGLA12G0022700.1 pep chromosome:AGI1.1:12:1674436:1676885:-1 gene:ORGLA12G0022700 transcript:ORGLA12G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSPSHQSFTTIESAESTTAARDVSPPAAVSAARDVSPPPPAARDVSPPSAARDVSPPAAVSAPAARDVPPPPASVVPAAARDVPPPAVAMAAEMEVDDVDVHEVPECIASMIDRGSVESHRLFLARRTAMEMLRDRGYSVPEAEIARTLPEFRAWWAEKPGIERLAFTTTLVSDPSKKVQLVFCPPEPVKIATIREIYLQTKEENLSRLVLILQSKILSRAREAIKEIFKFKVDIFQATDLLVNITKHVLKPKHEVLSADQKAKLLKEYNVEDSQLPRMLETDAVARYYGFDKGTVVKVTYDGELTGKRVAYRCVF >ORGLA12G0022600.1 pep chromosome:AGI1.1:12:1672340:1673951:1 gene:ORGLA12G0022600 transcript:ORGLA12G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIEAPFHVLAVDDSLPDRKLIERLLKTSSFQGLAFVCVVTTVDSGSKALEFLGLHDHEDSPISAQSDQQEVAVNLIITDYCMPGMTGYDLLKKIKESSYLRDIPVVIMSSDNIPSRINRCLEEGADEFFLKPVRLSDMSKLKPHILKSRCKEHYQQEQHLQSNSESNNSSNPTSENSSSSTSSNSHKRKAVDEEILPHTIRPRHS >ORGLA12G0022500.1 pep chromosome:AGI1.1:12:1669220:1669727:1 gene:ORGLA12G0022500 transcript:ORGLA12G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPSASTPTSCATLNACLSAPHRPFAMANPRWELLKKDNTYVYMHRLDGLQEAAHPLAQSPTGKVASPIGSSGPDSSAVAGAATTASSGDAAVAGNEDGGRRHSRSS >ORGLA12G0022400.1 pep chromosome:AGI1.1:12:1651214:1654028:-1 gene:ORGLA12G0022400 transcript:ORGLA12G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLL2] MAAAALLLLAAAAAVVVVAMALRWLLLLGGPAAGRLGKRARMPPGSTGLPLIGETLRLISAYKTPNPEPFIDERVARHGGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVHSSYPSSIATLLGARSLLLTRGAAHKRLHSLTLTRLGRPASPPLLAHIDRLVLATMRQWEPAATVRLMDEAKKITFNLTVKQLVSIEPGPWTESLRREYVKLIDGFFSIPFPLAYLLPFTTYGQALKARKKVAGALREVIKKRMEEKAENGGSIGDDEGKKEKKDMVEELLEAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPAALAELKEEHSTIRDMKGKNQPLEWSDYKSMPFTQCVINETLRVGNIISGVFRRANTDIHYKDYTIPKGCKIFASFRAVHLNNEHYENARTFNPWRWQINNKLQNAVGANIFTPFGGGPRLCPGYELARVVVSIFLHHLVTRFSWEETEEDRLVFFPTTRTLKGYPINLRLLSESIC >ORGLA12G0022300.1 pep chromosome:AGI1.1:12:1646378:1648050:-1 gene:ORGLA12G0022300 transcript:ORGLA12G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRADRRRRRRRDGGRGRTGGDGVGVMEVEGGGGARAPSGRARCDGWDGNERRAAXGWCXSCPRTRTRTRRGQWPQSRLRLRLGGQRGAAERAEAADLQPPEDAALMEGMAARHLPHRLVALLLEGLQAHGAVLLLRLAGRRLLQGGDGLAARRDGAEATVAVPFRCGSGSVRPLEVQVGRQNKERGRGRGRCRGSLQPPVHVVGQQQSSGGRHAPATRTRMRATLGTNEQLADREFED >ORGLA12G0022200.1 pep chromosome:AGI1.1:12:1641917:1642603:1 gene:ORGLA12G0022200 transcript:ORGLA12G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEPWSRDASDDVPDTSHMSDEQFQQLIDQYWAEQGFNIWSWIRASRTSSSSTPGPTRRTAASWLAVTFDGDGVARFSGNSDRSGGLDDQATGGFSTVDLLDGILQPDDDGNGGGATPASSMAIVSLPEITVGDEKGEAKDCSVCLQGFEEGDKLRKMPCADSHCFHEQCIFSWLLINRHCPLCRFPLPAETEEEEEVVQAENDDDDDDGGETILCLHRLFDDAAD >ORGLA12G0022100.1 pep chromosome:AGI1.1:12:1640521:1641441:1 gene:ORGLA12G0022100 transcript:ORGLA12G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQSIVMSEQQRAEFCLTLMAVMYGHTRQPADAELLRRLQRRRAAAADQPPPIRGASVVENTEPDALFAYTPHDADDDEAYSNGGFGAVPALSEAIVSLPEMAIRRRGGGEAREKECGVCLEGFEEGDKLRKMPCEHYFHESCVFKWLQVSRLCPYCRFAMPAAEEEEHNNDEEDDEQGSTFSRFVMCNDETRRKLTVRVTETREEEACAVCLEGFKEGDRVKKMPCSHDFHANCISDWLRVSRLCPHCRFALPAEKDSEQKNPEEA >ORGLA12G0022000.1 pep chromosome:AGI1.1:12:1634731:1639801:1 gene:ORGLA12G0022000 transcript:ORGLA12G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSSPKPSSFSSANPTSTPRPRAQTLSPFRAAAPRFSYGLAAANPSASRRCYHRAFARPVRASMAQPRRPEYVPNRIDDPNYVRVFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEDGHVPVICGLSRCNKRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGEEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGRSFSRAFSGSGASLDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >ORGLA12G0021900.1 pep chromosome:AGI1.1:12:1630846:1632533:1 gene:ORGLA12G0021900 transcript:ORGLA12G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTAKLLALAVFAAAAILSLDSRSDVRQLEIRDGDVELIPLLDGAAGPESIVFGDAGEGPYTSVSDGRILKWLPPPERGWVEHSCSVPELLDSCRGSKDTKREQECGRPLGLKFNSKTGELYVADAYLGLRVVSPGENVSRPLVPKWTGSPFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLRFPNGLAMSKDGSYLLLAETTTGKILRYWIRTLKASTIEEVAQLPGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRKVILKLPAQRIQRITSFLTGFGRQVIALRLSEDGKTIEAMSVHGDVRKLFKSISEVEEKDGNLWIGSVLSPFLGLYRI >ORGLA12G0021800.1 pep chromosome:AGI1.1:12:1615708:1618156:1 gene:ORGLA12G0021800 transcript:ORGLA12G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPPPPPLNWPTAGGGGGGSGGGGRGGAGGGGGAPATPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQKQLEGMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPLAAAAAPRSPFLTHHYLPMNPASSAADRDNKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCNRDVVKALLELGAADVNSRAGPTGKTALHLAAEMVSPDMVSVLLDHHADPNSRTLDGVTPLDVLRSLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDDGAPVTGGEAGGSDGGNFPRSDADDSLVSLTMNSTLMYQGQEMAAAVAAGEGRKSNNGRGSPPPAMYFPNGFA >ORGLA12G0021700.1 pep chromosome:AGI1.1:12:1613059:1613304:1 gene:ORGLA12G0021700 transcript:ORGLA12G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVADTVAPPVGGSTSTPAADDTSQPVPHLTPPHAVALPDLQGEGGEGGAPPDPPPPCLPSAGSARRGRRGGARHRIHRP >ORGLA12G0021600.1 pep chromosome:AGI1.1:12:1607328:1608728:-1 gene:ORGLA12G0021600 transcript:ORGLA12G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATRCLARATGLVAAAAAAAGDGPQKRLAEAMVDCLARRLLRPVQAITDALIDPSVYLDRRSVRAARRGFFELSPFPKVAFVVGNRAIVEAVENESLVHVVGMSGPFTQPCQWIQLLHELRRRPEGPPRVVRLTVVHDDGELLAKMAEVLSDEAEELDMEFQFHGVVGQLEDLDFSNLRDVLEIKSGEALVFSCTLQLHRLLAADDDAMYSSRSAHLNQMASIAQLQQMAVSSCPPSTGGGGSVQYKDDDDDPYRSPATPLTFVSPPASTPHLQMPAALANFLSAVRALSPKIVVVAEQDADHNGVSFRKRFCEALHHYAAVFDSLDDAAAATTSAASHLWSPDERAQVERVVVGEEIKGVLLRDGAHRRERHDRLRQWAARMEMAGFTGVPLSYAAIRKGNDMVRRCGLRRCENRECGGCLLLCWSSRPLYSISAWRPAASGGAGSGSERSEYIHVGAEADDR >ORGLA12G0021500.1 pep chromosome:AGI1.1:12:1601119:1605669:-1 gene:ORGLA12G0021500 transcript:ORGLA12G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGLWVQDQGMVDHLAQLVPLLHECASHVTEGSFEKADFSFKKIRMLTIADGPLQHLSKIIVDSLDHRLLSSIQGLYGALIDPSDYFEKSTLRAARHNFFKLNPYLSTGFVTINRAIMEAMEDEKNILEIKVKSNLCSILKLSHYNFKTLQGAIYVERSLMFNMVELQVVHIVDLSCSAAHPWQWLKLLDDFHGRPGGAPELYLTVLHDDNDFLAEMQSLLSKKAESLEVSFRFISVIGRLETLDFSNLRSTFQIKFGVAVAISCALQMHRLLLVDDNLSSTSIAQLQKMANFTQPKQMASSVCSPASTLNYLQTPSPRTPKLLARLLSAIRALKPNIMVIMEQDADHNALLFRDRFNEVLNYYAALFDCFHAVAAANPGRTDERLRVERMILREEIKNILVCEGVHRHERHERLDQWAMHMEESGFHNVQLSFSAIREGKENLLSFGLKNCQNKEDRGCLLLSWGSTNLYSISVWRLCCTDRGMFQDDMLSSATSSPASSVYSPSPSPSNGSWVQELSHDQQSVRLIGLLYQCAAEVSAGSFDRANLCLEHITQLASLDAPHALQRLAAVFADALARKLLNLIPGLSRALLSSANSADTHLVPVARRHMFDVLPFLKLAYLTTNHAILEAMEGERFVHLVDFSGPAANPVQWIALFHAFRGRREGPPHLRITAVHDSKEFLANMAAVLSKEAEAFDIAFQFNAVEAKLDEMDFDALRHDLGVRSGEALAVSVVLQLHRLLAVDDGRRHAAAGCLTPVQIIARSSPRSFGELLERELNTRLQLSPDASVVSSLSPHSPAAATAAHPMTSTPKLGSFLSAVRSLSPKIMVMTEQEANHNGGAFQERFDEALNYYASLFDCLQRSAAAAAERARVERVLLGEEIRGVVACEGAERTERHERARQWAARMEAAGMESVGLSYSGAMEARKLLQSCGWAGPYEVRHDAGGHGFFFCWHKRPLYAVTAWRPAASRRGHTRS >ORGLA12G0021400.1 pep chromosome:AGI1.1:12:1598665:1599252:1 gene:ORGLA12G0021400 transcript:ORGLA12G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLK4] MMKLAHLFGSSSSSSSSKKENKVSSKKRRSGAKSCSFGSTTSSSSLAASSSDDSAATTPRSVLPTSAAASSSGTKKPAAAAVTREDLEVALRRIVSSKEELAAMLAEADYAGELVLEEIAAAAADEGELKETFAVFDADGDGRISAEELRAVLASLGDELCSVDDCRRMIGGVDTDGDGFVCFDEFARMMMCGRA >ORGLA12G0021300.1 pep chromosome:AGI1.1:12:1581866:1582732:-1 gene:ORGLA12G0021300 transcript:ORGLA12G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1R3V7] MTTAGVVSAMVVKSHLTARATDIFLVTFPKSGTTWIKALLYSALHRRADELLAHSPHQLISFLESQVFVKDRIPDLSSLPEPRLLMTHIPSQLLPDSVAASGCKVVYLCRDPKDCFVSLWHFWNRFMPWNIDDAHWQFCNGVSLFGLYWEHVLSYWNWHVERPSEVLFLTYEELAADTLGHLRRLAEFVGRPFTTEEQDAGVDRKIVEICAMESLSGLEVNRSGMTNFTEKDVPNNIFFRRGVVGDWRNHLTPEMARRIDEITEVKFKGSGLLLHPPFLQVKRELNEL >ORGLA12G0021200.1 pep chromosome:AGI1.1:12:1569464:1573237:1 gene:ORGLA12G0021200 transcript:ORGLA12G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEEVSNKMQAQMRLHPAAAAEEEDPDLPLPALFDKASHLHSLASSSSLDQEGIRKGVDLLRRCDEMVSKLGLFSSNETKDDVSTANLKYLLVPYYLGEMTERVAQEDRIPVLKASQDHLKEFISICEALELISEDELELSRQKQPDTMANRRAQKVARFKRQKAAETKLLEIKERKERRRRSLRAAALSAPIEAGEEDAFEDDGEEEREAWLATISLALCKAFDLLDMLKKEEEMLLAVKERQAKDGNAFAREMLDERTKKAEAWHHNAANRAPYSKPADPITCATFAQDVIEGRASVSQAHEHKHQPLIFGPASLVGGGLTSERDRMAAQVFQPSYRLPTMSIEEAGLREMKMMEKWQERTAKMIQESNSAWHKDGSRSAQEDEDAEEEKARAWDDWKDDNPRGAGNKKLTPCG >ORGLA12G0021100.1 pep chromosome:AGI1.1:12:1567093:1567857:1 gene:ORGLA12G0021100 transcript:ORGLA12G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTGHNCHPVPLWEREFCIYVGGISWHRFCDNKRYVCMYKNIEQWDDSEAFDNFKNAKARFWANYHGQPSDISLPDPDMYIDKIDHNSKIDPELIADLNMVRLPFERDDELLPADGLGSTDTDNKCQQKQNQSGNWDIYVEKPTEVNKWEQDSRSNMDWGTKHESWNEWSKNCSGWGSALADSSALADSSWGNWNNSNNHHSSNNRDSFNGVNRNRYQDPNSISGRKRNSGGHIQQRNSRQRNQTEGYQGSTPRW >ORGLA12G0021000.1 pep chromosome:AGI1.1:12:1560043:1563132:-1 gene:ORGLA12G0021000 transcript:ORGLA12G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPVSSTTSTCRPCRPAQAAASKPSTSSSPGTGVLVGVPRERGSSVSKAAIRGARLEAAARCSLVRQRPMLLATVAVGSLVAAGAANATEIGDSLLGSSGLALADLSIGDWFGNLLYSAGQQANEAVQDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKDRSEVVGNSVAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAVIMGLNLLEVIELQLPSFFSDYDPRAAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIVGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSAWINPISGAFLLGGGVYTLLDRLFPATSMVM >ORGLA12G0020900.1 pep chromosome:AGI1.1:12:1554787:1559206:1 gene:ORGLA12G0020900 transcript:ORGLA12G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRACMPLPPAAVASSSAAPSTDAQAQRSPSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNREKGESLASKLGEESEFVQVDIRDRNMLEEALQDVDLVVHAAGPFQRENECTVLQAAIATKTAYIDVCDDTDYSWRAKGFHEQAKDCGIPAITTAGIYPGVSNVMAAELVHAARSENAGEPERLRFFYYTAGTGGAGPTILTTSFLLLAEDVIAYNKGEEIKLKPYSGALSIDFGKGVRKKDVYLLNLPEVKSAYKVLGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDKNKVLKLVGFVDPFVRAIDGIAGERVSMRVDLDCSNGKNTIGLFSHRKLSVSVGYATAAFVLAVLEGSTQPGVWFPEEPEGVAIESRKVLLERASQGTTIFVMNKPSWMIETDPKEVGLGIYV >ORGLA12G0020800.1 pep chromosome:AGI1.1:12:1546595:1549276:-1 gene:ORGLA12G0020800 transcript:ORGLA12G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPNPGSGYPYGGAGGYGAPPPPYGSSPAPSAPPYGAKPPKEGKTSSSSAPYYGGGGGYGAPPSTQPYGSGGGYGAPPSSQPYGAPYGAPPPSSAPYGAPGGYGSPFASLVPSAFPPGTDPNVVACFQAADRDGSGMIDDKELQSALSGYSQSFSLRTVHLLMYLFTNTNVRKIGPKEFTSVFYSLQNWRSIFERFDRDRSGKIDATELRDALLSLGYSVSPTVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTEKFKEKDTAFSGSATFTYEAFMLTVLPFLIA >ORGLA12G0020700.1 pep chromosome:AGI1.1:12:1542460:1543557:-1 gene:ORGLA12G0020700 transcript:ORGLA12G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLH4] MAEEDDKKQKGPDVTVPSGYFFVPKPEQLIRDYLNHWITGRPIEELRDIVREADVYGSDPATLTEAHRAYGHDGKSWYFLTVAKWKGGRGGAGTAGRLNRCVEGGGTWHNSQRRRVIEGYGDRQAFEYRAPGNKKTDWLMEEIASNLPAAITDEGIMVICKVYLSPRAKQATADEEERQETNVVPGPKRLREAEATGYDAPAPETPQPDVGCSYSGGGETSQATASMDYCCSTTTHTADDTANAAAYYYGDVDAIKPDAYDGGDYGIGINADGELVLCGNGHGGIGTQGQMPLAMQNTNGEMTLFSPMNGYGVGFNEEVRQEPQVGGEVEMNDFFNDLFVDFDGAGDPNPNPNEGGDSHGHILCE >ORGLA12G0020600.1 pep chromosome:AGI1.1:12:1536174:1541933:1 gene:ORGLA12G0020600 transcript:ORGLA12G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:I1R3V0] MEKLDRYLQENFDVPAKNPSEEAQRRWRQAVGTIVKNRRRRFRWVPDLERRSLDKAKVRSTQEKIRVALYVQQAALIFSDGAKKKEYKLTGDIIKAGYAINPDELALITSKHDSKALKMHGGVDGISIKVRSSFDHGIYASELDTRQNIYGVNRYAEKPSRSFWMFVWDALQDMTLIILMVCALLSVAVGLATEGWPKGMYDGLGIILSIFLVVMVTAVSDYKQSLQFKELDNEKKKIFIHVTRDGRRQKISIYDLVVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLSGESDPVYVSQDKPFILAGTKVQDGSAKMIVTAVGMRTEWGKLMSTLSEGGEDETPLQVKLNGVATIIGKIGLVFAILTFLVLLVRFLIDKGMTVGLLKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSVTSNTISGELNSVVSSSTLSLLLQGIFENTSAEVVKEKDGKQTVLGTPTERAILEFGLGLKGDHDAEYRACTKVKVEPFNSVKKKMAVLISLPNGTSRWFCKGASEIILQMCDMMVDGDGNAIPLSEAQRKNILDTINSFASDALRTLCLAYKEVDDDIDDNADSPTSGFTLIAIFGIKDPVRPGVKDAVKTCMSAGITVRMVTGDNINTAKAIAKECGILTEDGVAIEGPEFHSKSPEEMRDLIPNIQVMARSLPLDKHTLVTNLRGMFDEVVSVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACIIGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRKGESFITKVMWRNIMGQSLYQLFVLGALMFGGERLLNIKGADSKSIINTLIFNSFVFCQVFNEINSREMQKINVFRGIISNWIFIAVIAATVAFQVVIIEFLGTFASTVPLNWQHWLLSVGLGSISLIVGVILKCIPVGSGETSATPNGYRPLANGPDDI >ORGLA12G0020500.1 pep chromosome:AGI1.1:12:1531037:1533473:-1 gene:ORGLA12G0020500 transcript:ORGLA12G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLH2] MLLRRLAASALRRGGANDGGVLAAVRAEIAHELSSSTPSSPPSLQSQDIPDFATVSDPPRGQEVLLRRRDASEEVLVSAVLEPLRFEGEEPLPRDALMKVFVSKPDLKPVMRFDCRAFADEGDGSSADYDVTAACYHPFAGDAGEDKYEGPEFRDLDPRLQVALKGYLVARGVNSKLASSLHHHLVEKERWQYMNWLKTLEDMFSSH >ORGLA12G0020400.1 pep chromosome:AGI1.1:12:1521547:1523414:1 gene:ORGLA12G0020400 transcript:ORGLA12G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAPPSEAAAAADDVVLPYISRILMEEDIDDDMFFCLYPDHPALLEAQQPFAQILSSSSGIAGEVNSAPMEDSAALMMQGSGNGRGRKGSKHGGDELEAEVGRASKLMATPEEEEDDDDGVGEMLEKMMLNGDEEVNAPRVPAEKNGGKAARRKRRQGKGEVVDLRELLMSCAQAVASGNRRSAGELLEQIKRHSSPTGDATERLAHYFADGLEARLAGAASLEHRLLASAEERASAMELLEAYQVFMAACCFKWVAFTFANMAILRAAEGRSKVHIVDYGGQYHGLQWPSLLQRLAEREGGPPEVRMTLVGHPQPGFRPARRLERTGRRLSNCARAFGLPFKFRAVAAARWETVTSEDVVGVDPDEAAVVVNDVLSLGTLMDESGVFDDPSPRDTVLGSIRDMRPAVFVQAVVNGAHGAPFFPTRFREALFFFSALFDMLDATTPEEGSHLRVVLERDVLRRAAVGVIAGEGAERVERPETYRRWQARNRRAGLRQVAVEADVVEAVRRRVRRRHHEEFVIEEDAGWLLQGWKGRILYAHSAWVVAEDATSVGELTVVTTSLVAIILSAASAQDELPLSTNE >ORGLA12G0020300.1 pep chromosome:AGI1.1:12:1520734:1520937:-1 gene:ORGLA12G0020300 transcript:ORGLA12G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSPKPVIALVLFVVCIVSCFEDATAQYSGSSNNGAAATGPMAAGGSCSLAVAAAVLAVAAFVWN >ORGLA12G0020200.1 pep chromosome:AGI1.1:12:1518674:1519255:1 gene:ORGLA12G0020200 transcript:ORGLA12G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGLERLVWRSMLGDVSKEELVYVQVDVERGETRQRSRPGVARWHGTMGEFEVKTGHRCRDH >ORGLA12G0020100.1 pep chromosome:AGI1.1:12:1513221:1516213:1 gene:ORGLA12G0020100 transcript:ORGLA12G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPPRMPRRTDNAASANSVEPEKSEECLEFDDDDEEEVEEEEIEYEEIEEEIEEEEVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKAVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKVVEEVGPGVLKADLMKVSSANRNRGYGFVEYYNHACAEYARQEMSSPTFKLDSNAPTVSWADPKNNDSASTSQVKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGAAPASTAQPMLYAPRAPPGAAMVPMMLPDGRLVYVVQQPGGQLPLASPPPQQAGHRSGSGGRHGGSGGRYGGGGGSSGSSRPGAKRQRGDDNSSSRHKGRRRPY >ORGLA12G0020000.1 pep chromosome:AGI1.1:12:1508544:1509827:1 gene:ORGLA12G0020000 transcript:ORGLA12G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XRXRNARHRNNRQLYYPPTARRISSTRAPTTAGVTPRQPHQSYRSYLAQMGGTGDDGAKAPAAAAAMDFVSSSSSSPAPAPSVLKSVLLSYAYVSVWITLSFSVIVYNKYILDPKMYNWPFPISLTMIHMAFCASLAVVLVRVLRVVAVPASPPMTPSLYASSVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVAFRTDSFRRASMLNMLGISAGVAVAAYGEARFDAFGVMLQLAAVAAEATRLVLIQILLTSKGMSLNPITSLYYIAPCCLVFLTLPWYFVELPRLRAAAGAAVRPDVFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPVNLVGYGIAFLGVAYYNHAKLQGLKAREAERRAASMATAKDGDAEAGARLLPEKDAGEQKN >ORGLA12G0019900.1 pep chromosome:AGI1.1:12:1504217:1506673:-1 gene:ORGLA12G0019900 transcript:ORGLA12G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLE5] MGWKAAEKLIRHWKILRGDNVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVLDPVTGKPCKIGYKYLEDGTKVRFARGMNASGAVIPRPEILKERRKPRPTSPGPKDTPIEHVLEKTYDAKAGIGMPDL >ORGLA12G0019800.1 pep chromosome:AGI1.1:12:1502107:1503189:1 gene:ORGLA12G0019800 transcript:ORGLA12G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQMAATKERQEAANPTTTTRTLVESVTNWIRVYSDGSVDRLGPPEAAAFMVLVPPYDDPRDGVTVHDVATDHGVDVRLYLTTTTPAGRRPVLVHFHGGGFCLSHAAWSLYHRFYARLAVELDVAGIVSVVLPLAPEHRLPAAIDAGHAALLWLRDVACGTSDTIAHHAVERLRDAADFSRVFLIGDSAGGVLVHNVAARAGEAGAEPLDPIRLAGGVLLHPGFILPEKSPSELENPPTPFMTQETVDKFVMLALPVGTTSRDHPYTSPAAAVTAAEGAQLPPMLVMVAEEDMLRDAQVEYGEAMARAGKAVETVVSHGRGIGHVFYLNWFAVESHPVAAARARELVDAVKSFVDSH >ORGLA12G0019700.1 pep chromosome:AGI1.1:12:1498144:1499229:1 gene:ORGLA12G0019700 transcript:ORGLA12G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTTAPETDPSKTVVEEITGWLRLYSDGTVERLTPPGAEPFTVIFPPYTEPRNGVTVHDVTTARGVDARLYLPAEPATAHRPRRRPLLLHFHGGGFCLSSPSWALYHNFYASLTTKLDVAGIVSVFLPLAPEHRLPAAIDAGHAALLWLRDVACGEDENNNGAAHHLDPAVERLRDEADFARVFFIGDSSGGNLVHLVAAHAAAKDDGARADLHPVRLAGGVLLNPGFAREEKSRSELENPPSLFLTEEMVDKLLALGVPLGMNKDSPYTSPSLAAEAVARLHMPPMLLMVAEKDLLHDPQVEYGEAMARVGKTVETVVSRGAVAHVFYLNFFAVESDPLTAERTRELIDTIKTFIDRY >ORGLA12G0019600.1 pep chromosome:AGI1.1:12:1494768:1495277:-1 gene:ORGLA12G0019600 transcript:ORGLA12G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLGRRRRSAGGRVIGPTGGGRVEKEASPPPPSPCALTAGLPTPLSGRPARGWEGKVEEVTVGPKGGDGIGVPQPGRRRRLQLHLLDRRDEDAAMQIWQLSDVCRSPPWEEAGGGPNANERSGGRWRGGGRHRATAPGLVEEEAIMVLDDEVSKGGREASLHGGGLAREGG >ORGLA12G0019500.1 pep chromosome:AGI1.1:12:1490630:1493343:1 gene:ORGLA12G0019500 transcript:ORGLA12G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAESCHSRSLSWLVKSCIPADPARHIAVPVLCPTPQPPPPSSPPASPISALPDDLLLECLARVPRASIPPLPAVSRRFATLLASDAFLHLRRAHAHLRPSLLALSVSDNGCIAQALLRFESSVPVLEVAALPLPPTLLHCGGSVFAHARAVVLGRDVFLIGRGATLRVDALTGAARACAPTLFPRKKFAAAAVGDRIYVAGGSARTAVVEEYDPEADAWRVVGEAPRRRYGCAGASAGGVFYVAGGVAVSGEGARALEAHVCAGSVDALHVASGAWARPRALPGGGCVVGACGVGDHLYMVASHAVELSFWRWCGATGRGGDGRGWGGWVALEAPPMPRGSVGLGMAVRVAMAGLGTNRVAAVVSAAAVRGHNAGGGALEGMVLVYDIAGGKWSRAPDLPPGFRRAALQNAMWSSSCFINHDEREPRDCTNRFGSASTNSALNFVTPFQLIRRSHRSSFVPLSSRKPHFPAAAVSISSILFAHTEMHARLLLRMHAPISFAPASSPDAASFAPAAADVGGAVCLGYGIAIAVGVLVFISTVMLASYICVRAKAGAAAVLLADDDDDGGAPAASAVVVLGLDGPAIDALYPKFLHVGVGDDDNACAGAQCAICLGEFVAGDALRRGPGCGHRFHAECVERWLRVSATCPVCRDSPLPSPMATPLAEAMPLAAHAR >ORGLA12G0019400.1 pep chromosome:AGI1.1:12:1486208:1487655:-1 gene:ORGLA12G0019400 transcript:ORGLA12G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIHIFSSLXSVAAWQIADASTNHNDSMSERTIPPPVSSHGEDFAEVVVVRHGETSANALCIIQGQMDIELNEAGRQQAVMVARRLAKEAKPVAVYSSDLKRAAETAQTIATACNVSNLVLSPALRERHMGDLHGLKFDDAVRSKPDAYKAFSSEDRSQEIPGGGESLDQLSERCVSYLNTIAGKHKGERVIVVSHGASIEELCRHADPTSSVRRRIPNTSICVFNISGSTGHWILERFGDVAHLNEDDFP >ORGLA12G0019300.1 pep chromosome:AGI1.1:12:1478087:1484637:-1 gene:ORGLA12G0019300 transcript:ORGLA12G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYAYSMLLILPLILYMSYHLTRTLAEKKPTTHGLKAHPLLGHLPAFVKNSHRFLDWSTELIAGSPEMRIGLWIPGMRSGIVTGNPADVEHILRTNFANYPKGQHAIGMLEDFLGHGLFNSDGEQWLWQRKNASYEFSKRSLRKFVVDVVQAEVANRLLPLLRRAAGDGVGGDAVVLDLQDVLQRFGFDTICMVAFGHDPRCLADGGVLEEAKSEFMHNFGEALDLVIGRFMDPIEVSWKIKKWLNIGTERRLKKAIADVHAFAMDIVRARRQSASVKDRDDVLSRFVASDEHSDEVLRDIVLSFLVAGRETTSSGLTWFFWLLSSRPDVVARIADEVRAVRKATGTRPGEPFGFDALREMHYLHAALTESMRLYPPVPTDPQSCAADDTLPDGTFVRAGWFVNYSAYAMGRLAAIWGEDCMEYRPERWLGDDGAFQPASPFRFTVFHAGPRMCLGKEMAYVQMKSIVANVIEELVVDVVKEVAGGGVPEHVFSISLRMKGGLPVKIRRNGHMRGTVRPSIQAAAPGPRWLRLAATLRPISPATAAVRYPLFPLACGHQVSAVTMAKEVDRFVELVVVRHGETSWNSSRIVQGQMDPELNEIGKQQAVVVARRLAREARPAAIYSSDLKRAAETAEIIAKACDVSNVVLTEALRERHMGYLQGLTWDDAMNKSLGVFKGFANFEVKNGLDFDDRNHELPDGGESLNQLSERCISYLNKVAQNHIGERVIVVGHGAAILELCRHTDPPNSSIRRKIPNTSLNIFRISGVTGRWILERLLPRITPLPRRRLRRSHNPNPLISPAVAASLAGVLATRSTNPTWARSLAALLPSPLSDAHLAAAVSSLPDPDLAVALLSWSQSPDHHVALQDPTPLAHSALLRLLARSRRFDAVDDTLQSMSLAGAAPTRACLGALVAAYADAGMLGKATKMCERVREQYGSLPEVTHCNRLLKLLVEQRRWDDARKLYDEMLGKDSGADNYSTCVLVRGLCLERRVEEGLKLIEARWGAGCIPHVVFYNVLIDGYCRRGDMGRGLLLLGEMETKGFLPTLVTYGSLINWLGKKGDLEKIGSLFLEMRKRGFSPNVQIYNSVIDALCKCRSATQAMVILKQMFASGCDPDIITFNTLITGLCHEGHVRKAEHFLREAIRRELNPNQLSYTPLIHGFCMRGELMVASDLLVEMMGRGHTPDVVTFGALIHGLVVAGKVSEALIVREKMTERQVFPDVNIYNVLISGLCKKRMLPAAKNILEEMLEKNVQPDEFVYATLIDGFIRSENLGDARKIFEFMEHKGICPDIVSCNAMIKGYCQFGMMSEAILCMSNMRKVGCIPDEFTYTTVISGYAKQGNLNGALRWLCDMIKRKCKPNVVTYSSLINGYCKTGDTDFAEGLFANMQAEALSPNVVTYTILIGSLFKKDKVLRAGLYFETMLLNHCSPNDVTLHYLVNGLTSCTPCVINSICCNTSEVHGKDALLVVFKKLVFDIGDPRNSAYNAIIFSLCRHNMLREALDFKNRMAKKGYVPNPITFLSLLYGFCSVGKSVNWRTILPNEFQQEEFEIIFRYKFLFDQYATESVCCEVSRVLQQYLAECKSLQRVEQKFANS >ORGLA12G0019200.1 pep chromosome:AGI1.1:12:1474563:1476119:1 gene:ORGLA12G0019200 transcript:ORGLA12G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLF1] MAMDSYYCSMLFFLPPILYVSYHLTRILADKKKPTTHGLKAHPLLGHLPAFVNNSHRFLDWTMELIVGSPEMRMGFWIPGMRTGIITGNPADVEHILRTNFANYPKGEHAIGMLEDFLGHGLFNSDGEQWLWQRKNASYEFSKRSLRRFVVDVVQAEVADRFLPLLRRAAGDGRGGDIVVLDLQEVLQRFGFDTICMVAFGHDPRCLADGGVMEDARSEFMHTFGEAQDLVVGRFFDPIEVSWKIKKWLNVGTEHRLRKAIADVHAFAMDIVRTRRQSASVQDRDDVLSRFVASDEHSDEVLRDIVLSFLIAGRETTASGLSWFFWLLSSRPDVAARIADEVRAVREATGTRPGEPFGFDALREMHYLHAALTESMRLYPPAPIDSQSCAADDTLPDGTLLRAGWSVTYSAYAMGRLAAIWGEDCLEYRPERWLGDDGAFQPASPFRFTVFHAGPRMCLGKEMAYVQMKSIVANVLEEFEVDVVKEIAGGGVPEHVLSVTLRMKGGLPVKIRRKTEAY >ORGLA12G0019100.1 pep chromosome:AGI1.1:12:1473504:1474122:1 gene:ORGLA12G0019100 transcript:ORGLA12G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPARRLMDSRAAAQLAAPLSDPYAAETFEDLLRELGVDPSIHTVVRSAGRWMDPAAAAARVPMRFHRTLQRLGIDPNSDARSIRDMLQEFRRGVYQGEDVAAPPTGMPRCKMSRDVLLGLALTKACDARQEECAVCLRDFEEKDMLRTMPCNHSFHEICLFRWLSESCLCPLCRYALPKQQQVQSC >ORGLA12G0019000.1 pep chromosome:AGI1.1:12:1471671:1473011:-1 gene:ORGLA12G0019000 transcript:ORGLA12G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLF0] MEVQVKRSLVVPPPPRETEETPLTVFELVAPTYHVTVLFAFSPPNPTTRALLDALSATLPHFPLLTARLDRRGARRRPFFVTGRGGAGALVVEAEVSSDLADHLPLAPSPELARLHPPVNTDAPTPHVLLVQINRFACGGLVVASSAHHQAADGFSMSTFFHAWTDAVRRNGAPLLDRPVPYGPGALSPRRPPRCEFEHRGKEFLPHDGVTSRQGQGADTGAVRIDPSEVANVLLHYPSEFVAELKRRAQGKYTTFETVSAHVWKKITAVRGLDAGARTSVNVSVNGRARLGTGTVPNGFFGNLIINASSGATARELTTGTLADAAALIRAGIRAVDRRYFQSFIDFGALHVDGGRDEEEPLQQANVDEPGVLSPDVDSDSWLHLELHRLDMGLGGRLAGILPAKVPEDGVVVVMPSLRKSGGVEVFVALWEKHANELTSIAYTMD >ORGLA12G0018900.1 pep chromosome:AGI1.1:12:1470911:1471171:1 gene:ORGLA12G0018900 transcript:ORGLA12G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNWAEAAILEPTEDAALMEAVEAGRRPQQVSLLEVPQAHGARLLPRVGRPRRDQAQEEIERHRAYSSSALARRRRRLIGVARRP >ORGLA12G0018800.1 pep chromosome:AGI1.1:12:1466870:1469374:1 gene:ORGLA12G0018800 transcript:ORGLA12G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLE9] MDDYFHCEHCKEQLLPESEKLTLDEVVCGGDNAIKHKHDKLKDMQQRMEEQLKPLIAVLDRVKDLPFPSFMSLQDWERATMEASANGAVGSSQNSEGRYSSKPMPFLGETEVEVNFLGSTGAQEGVESGMESIKPQPSWMNRKSIVLTGEHKGEISNTADLDQSSEAKSDKKQLSEEDEMKSIQEAYAKAYYEAIQKRQERQEDEDKRMIQEESLTCISDQPFASDAQFERRLGAKSKRDDGGDSGDDGIEMKVEQPTGNIGEVYKLADLDVETQESIDDDDDDDDLVWVEG >ORGLA12G0018700.1 pep chromosome:AGI1.1:12:1447343:1462821:-1 gene:ORGLA12G0018700 transcript:ORGLA12G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSPAAAAAAAAQTDAEREEALDRMLTRLALAEDARLAPLLARVLPYAITSLASPAASVRKLVMEILSHINKRVKHRPEISLPMLDLWRIYTESTSSTIVRNFCIVYIEMAFERLLSEDKGSIAPDLLINISNVTEQHQGIILRLVVKAIGECNTHKVGDNVASKYQSISGSNDDLVFADFCFHTVLYQTPPQGVGCPAGLSVAQSDRVTGKQPLKGDTLTSRKLGILNVIEAMQLAPEIVYPLYLAAASDSQESVTKRGEELLKRKASAVNLEDSNLMKKLFTLFNGTASAENIAAELKVAPAHSSLQVRLMGVFCRSIAAANAFPYTLQCIFGCIYGNGTTSRLKQLGMEFTVWVFKHAANDQLKLIGPVILSGILRSLDGSSTTEADSSSRDIKIFAYQAIGLLATRMPNLFSNKTDMAIRLFTALRLEEQSLRLTIQEAATALATAYKGASVVILKDLEALLLENSQMEQSEVRFSAVRWATTLYDMKHCPSRYICMLGASDVKLDIREMALTGLNLLNDERESSAIATVSNYPDIADMVNYVYSQQPQLLHCDEQRNGKLLFPTKTFLAMIKFLMKCFQKSDGSDFLQEDLSNCPVSKLCIILEHAMSYEGSSELHALALKSLVDISSRQPKLVSSRYVNRLLWLRTLLGHVDANAREATSRLLGITSSALSSTAALDLLSELTSTFDQNRPSRFENYHGLLCAIGYITAGCLKESYITEEIVQKSIDVLVKVVESEGSALASTAMEALGHIGLRCLLPSINRNSSQAALLTILNEKLAKLLSENDTKAKQKILISLGHLSWNELSFAHLNNALDLIFSLSRSKVEDVLFAAGEALSFIWGEVPVTTDVILEMNFVSLSQATNYLTGDAPLLVSSNSNKGSDCEEAHAMAREEIIKRLFDTLIYSSRKEERCAGTVWLVSLTMYCGQHPKILELLPQIQEALTHLLGDQNDLTQDLASQGMSIVYELGDASMKEQLVHALVNTLSGAAKKKRAIKLMEDSEVFQEGTIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAAINSKRGAAFGFSKIAKQAGEALQPHLHTLIPRLVRYQYDPDKNIQDSMAHIWKLIVADPKKTIDEHYDLIVEDLLVQSGSRLWRSREASCLALADIIQGRRYGQVSKHLRKIWITTFRAMDDIKETVRNAGDSLCRAVSLLTVRLCDVSLTTSSDANETMNIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALKPHLSELVSCMLECLSSLEDQRLNYVEMHAGNAGIQTEKLESLRIAVAKDSPMWETLDICLKVVDKESLDLLVPRLAQMVKSAVGLNTRVGVASFITLLVQKVMVEIKPYAATLLRLLYSAVLEEKSSAAKRAFASSCAAVLKYASPSQAQKLIEDTTSLHLGEKNAQLSAAILIKSYLSNAADILSGYNAVVLPVIFASRFDDDKDIGALYGELWEDIPSSERVTLQLYLPEIISLLCDSMSSSSWAGKRKSARAIKKLCDALGESLSVHHNNILESLLKELPGRFWEGKDAILDTLAALCSSCHTAMSAEDSGMPSVILNAVCAACSRKSKLYREAAFSCLQQVITAFKDPGFFNIVFPMLYEVSNRSVICKTRNSSSLTASSSAEQDETEGVSVSLDKVLNCVASSITVAFLQDIINQRKNILEIILNSLSPEESWQIKLSSFLCIKELCYKFQNSDGNNTWPEETTYLVEELFHSTAPKVVDVIRLVKIAQVHTAASECLLELSKLYRDFPLVDRKGPKFSGELAELCESEKSEQAKAFLKQCMDILKDFEDPTGLAMEMD >ORGLA12G0018600.1 pep chromosome:AGI1.1:12:1443759:1446487:1 gene:ORGLA12G0018600 transcript:ORGLA12G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPSACRASVDDSNTNNYKHRNGGNNQTIFHSSAHSWHMQGQYTDSSCNGVDMEFRALPRKVLWDLPRFVKIVEVGPRDGLQNEKNTVPTSVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVVEGIKHVPDVRFPVLTPNLRGFEAAVAAGAKEVAVFASASESFSKSNLNCTIKESLVRYHDVVTSAKKHGIRIRGYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSVLAMLEAVMSFVPVDKIAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >ORGLA12G0018500.1 pep chromosome:AGI1.1:12:1435446:1440066:-1 gene:ORGLA12G0018500 transcript:ORGLA12G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar ribonucleoprotein protein MPP10 [Source:UniProtKB/TrEMBL;Acc:I1R3S9] MAMYLDEPLDAEKGEAALRRLRDADPALYLSPSADLAAAAREASKHLYASLVPFSPAQPPPLSNLLAGPAFDAEQIWSQIELLSRPLIPHLRRQLRRLEKQPPSQPPPRTESNSADAEEKSEEEDEEDGEGDEELEELDDVDDEEESEEEEEEEEEDKEELEDKAGNQVEDEFFKIKDLDKFMVKGEEAEYGGGAKQGKKKKKTKNWMEEDSDEEEEEDLDEDEDNEDEEDDDEHLDLEDFDDEEEEEEGAVGDIMYKDFFEEADDQKVRKKGGSKKVQFKDEPDEPEVDDKNDDGNDEQGLSTHEKARLKMHAKIEEMEKANLEPSTWTMQGEVNASSRPKNSALEVDLDFEHNVRPAPVITEEVTASLEEMIKKRIIEGHFDDVEKPSPLQFKSPKEQKDLDESKSKKGLAELYEDDYAQKAGLAPAPLSISDELKNEANTLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEIAPVAVSDAAMLAPEEIFEGKGDVKEEAELTQAERKRRRANKKRRYAGSHKERPAKMQKD >ORGLA12G0018400.1 pep chromosome:AGI1.1:12:1429815:1432496:-1 gene:ORGLA12G0018400 transcript:ORGLA12G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:I1R3S8] MISVVDLYHVLTAVVPLYVAMTLAYASVRWWRIFSPDQCSGINRFVALFAVPLLSFHFISTNNPFAMNLRFLAADTLQKLIVLALLALWCRLSARGSLDWLITLFSLSTLPNTLVMGIPLLKGMYAAAGAGAGADSGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLVMEQFPDTAASIVSFRVDSDVVSLAGGGGGAAELQAEAEVGDDGRMRVTVRKSTSSRSEAACSHGTQSHSQSMQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVGNGKQGDEEKGAAGGGGHSPQPVVGKRKDLHMFVWSSSASPVSERAAAAAAAGAVHVFGGGGADHGDAKGAQAYDEYSFGNKNEKDGPTLSKLGSNSTAQLRPKDDGEGRAAAMPPASVMTRLILIMVWRKLIRNPNTYSSLLGVIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSLASYAMAVRFLVGPAVMAAASIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPNILSTAVIFGMLIALPITLVYYILLGL >ORGLA12G0018300.1 pep chromosome:AGI1.1:12:1424132:1426382:-1 gene:ORGLA12G0018300 transcript:ORGLA12G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLD7] MPHLVRERLFFGDINDAIAALTTTTAEAGGFTHLLSVVSSASISFITDCRPGLSIPTEEVRRVVAGEEGAPPVSAVAPGRLMRVVERAGVGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDEGRKEGNVLVHCFAGVSRSATIIVAYLMRTEQKSLEEALESLKEVNESACPNDGFLEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIGSYVFEDDPGLSGQPNSSTQDLPNKQTQQTAYRCKKCRRIIAVQGNVVSHTPGEGESCFQWQNKRKGERSYSKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDISTT >ORGLA12G0018200.1 pep chromosome:AGI1.1:12:1421796:1423359:-1 gene:ORGLA12G0018200 transcript:ORGLA12G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHARPMTGLLLFTGVNLVLLNTITPVYDFVCFHPYWERRVGFNHVVYKMTEQKEGTNPSSQQLARTMCKTKHEPEPNLKCKYAIGKKIPLYTLHSW >ORGLA12G0018100.1 pep chromosome:AGI1.1:12:1419620:1421736:1 gene:ORGLA12G0018100 transcript:ORGLA12G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCTGGGKAVAGDEAEPGTSKAAPPSRGTSSKNGSAKQQPCSPAAKAAATEAAAAASSSKKPAGPIGEVLERPMEEVRTTYSIGKELGRGQFGVTHLCTHKATGEKLACKTIAKRKLANKEDVDDVRREVQIMHHLSGQPNIVDLRGAYEDKHNVHLVMELCAGGELFDRIIARGHYTERAAAALLRAIVGIVHTCHSMGVIHRDLKPENFLLLSKGDDAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYIFLAGVPPFWAESENAIFTAILRGQIDLASEPWPKISSGAKDLVRKMLNINPKERLTAFQVLNHPWIKEDGDAPDVPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGTKFSDNEIEQLMEAVSPHSEATNFSSVRDEMLKLTGLLEIPFNFQADADGNGIIDYEEFVTATVHMNKMDREEHLYTAFQYFDKDNSGYITKEELEQALKEQGLYDANEIKDVITDADSNNDGRIDYSEFVAMMRKGSGCAEATNPKKKRRDLVL >ORGLA12G0018000.1 pep chromosome:AGI1.1:12:1417752:1418991:1 gene:ORGLA12G0018000 transcript:ORGLA12G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEGEKQSSGGRWRCRWLVDVGRWRPSPAEFQAAAAVLPPHDRPVIHRFVREEDRKRALVSRLLQYSLVHHALGMPFHQIRINRTLEGKPYLQNKNVNLPGFNFNTSHQGEYVGIASEPLCLVGLDIVCISKPQRETALEFINNFTSYLTDMSGTALLLQTVMMVCXLSSTGIGVLKKHLSKLQVLALDSGCNVWNSTIXIGLIFLSVLMEKRLENGCFGFSRLMKCIWLFAYGYQHHCRHPLRKGIQRMLLTASGEHYLMWLFRRENCIRQ >ORGLA12G0017900.1 pep chromosome:AGI1.1:12:1402136:1402727:-1 gene:ORGLA12G0017900 transcript:ORGLA12G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQVSYEHGPTTDVGEVLADATARHVNMVGKRSAGPVGSLQLIPLSTCASMGSPVKFIVRSCNRCSSIGL >ORGLA12G0017800.1 pep chromosome:AGI1.1:12:1396233:1400454:1 gene:ORGLA12G0017800 transcript:ORGLA12G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1R3S2] MAKASMKPYFVAIVVQLIYTGMFVISKAAFNHGMNIYIFVFYRQAVGSLILLPAALLQRRSARPAMTLGVLIKLFFCALIGITLGVNLYHDRTEYVKLRSSSGIAKVTSVALCLAGVFTIAFFTGPSISPINHHRAFASDTSSKTVVPRGVWIKWTFLMVVANMCWSLWIIFQATVQKEYPDKMVVTVTQCLFSTVQSFVVAVVAERDFSRWKLRFDISLLAILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFVFTIFCSSFFLGEIVHLGSILGGILLVASLYTMLWGKSKEGNETDDVTDDDIEKSTHIYPREQQHTTTDQAKESMLTSSAALHVQEL >ORGLA12G0017700.1 pep chromosome:AGI1.1:12:1380865:1390951:-1 gene:ORGLA12G0017700 transcript:ORGLA12G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGRRRQAAAPLLEKKGSTGTGVDDGYCIEGCPGCVVDRRKAASYGIPYGSFLFVWIVTLCTALPISSLFPFLYFMIRDLHVAKRTEGIGFYAGFVGASFMFGRCLTSTVWGIAADRIGRKPVVVFGIFAVVIFNTLFGLSFTYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPAEKFPNVFSPDSLFARFPYFLPCLCISVFAAVVLIGCIWMPETLHKHKADASRSQTVEALESHLIDPKEKADQNGSLDCKKSLLRNWPLMSSIILYCVFSFHDMAYTEIFSLWAESDRKYGGLSLSSEDVGQVLAITGVSLLVYQLFIYPRINKVIGHIKASRIAAILCIPILFAYPYMTYLSGPGLTIILNIASVIKNNLGVTIITGCFILQNNAVPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQHASFLPGDQMVFFLLNLFELLGLILTFKPFLAVPEQHDTN >ORGLA12G0017600.1 pep chromosome:AGI1.1:12:1376423:1378626:-1 gene:ORGLA12G0017600 transcript:ORGLA12G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P0 [Source:UniProtKB/TrEMBL;Acc:G2XLD3] MAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAAADSGAAAVAASKEEEKKEEPEEESDGDLGMSLFD >ORGLA12G0017500.1 pep chromosome:AGI1.1:12:1369077:1375255:-1 gene:ORGLA12G0017500 transcript:ORGLA12G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSEEAAPLLLPVAAATATAEERCPGCVQERRKASRGGRIPYTELFFVAVTTLASSLPITCLFPFLYFMVRDLQVAQTEEDIGYYAGFLGASYMVGRSFAAIFWGVVADRIGRKPVIVFSILSVVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQPVEKYPHVFSKESVFGRFPYLLPCLGVSLFAAIVLISCIWLPETIHKHKSPDKDIKRIKELPLQQAYWDSPRKKSLFQNRPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSCEDIGQVLAVAGASLLAYQLIIYHWVHKFLGPIISLRIASALSILILSTYPFMTYLSGAEFSLALYSAAMMKSALAITISTGICLLQNNAVLQEHRGTANGVSTTAMSFFKAIAPVGAGVLFSWAQKRQDALFFPASAAWTQEPAAKQLAALASELTFKEEIRRD >ORGLA12G0017400.1 pep chromosome:AGI1.1:12:1359106:1363406:-1 gene:ORGLA12G0017400 transcript:ORGLA12G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPPATKVYHDGCPGCAIEQRKEEHKGIPYKEFLFVGITTLASSLPISSLFPFLYFMIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTQESAESHQKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPINSTRIAAALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAVAPAGAGVIFSWAQKRQHAAFFPGDQMVFLLLNLTEVIGLMLTFKPFLVVPQQYK >ORGLA12G0017300.1 pep chromosome:AGI1.1:12:1350412:1354921:-1 gene:ORGLA12G0017300 transcript:ORGLA12G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLGASYMIGRCFASLFWGVVAVADRIGRKPIIKFSILSVVIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNIFSEKSIFGRFPYFLPCLCISLIALVVLISCIWLPETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEIFSLWAVSDKRYGGLSFLSKEVGRVLAVAGAGLLVYQFFIYRSVHKFLGSINSSRIALALSIPILAAYPFMTHLSGLRLGIALYLGTILKGVLSITIITGTSLLQNNAVSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQERQNAAFFPGDQMIFF >ORGLA12G0017200.1 pep chromosome:AGI1.1:12:1344794:1348795:-1 gene:ORGLA12G0017200 transcript:ORGLA12G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIKVKKKKASSKKAEASSSRVPSCPAKVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERASWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAANEDTMPILHLKKVAHAGCVNRIRSMNQEPHVCATWGDTGHVQVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFVGHTASVEDLQWSPTEADIFASCSADRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQGQKDLKELHWHPQIPSMIISTAADGFNMLMPSNIDTTIREADA >ORGLA12G0017100.1 pep chromosome:AGI1.1:12:1338922:1342401:1 gene:ORGLA12G0017100 transcript:ORGLA12G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1R3R5] MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRVTIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEPEALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKVCSPCLTTICMNIRFKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSRTLKDVVWKSDDLQNQLS >ORGLA12G0017000.1 pep chromosome:AGI1.1:12:1333351:1333872:-1 gene:ORGLA12G0017000 transcript:ORGLA12G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLC5] MSPSSFLHHPRLLPPSSTPSFLPPSLLPPTSPQRRPVVANGERLRPAAPSRQIWGRWQRRGRGGSWLRQRATVAAGKGRPAAVAGDESGEELSFSLPPLPSSGRLRPLARYGGGGSGGEGMATGCGGGRRVRQRAPLLPPTSPQQRPTAPSCQIRGNNSGGEGAAAGCGKGQR >ORGLA12G0016900.1 pep chromosome:AGI1.1:12:1322503:1329141:-1 gene:ORGLA12G0016900 transcript:ORGLA12G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAAACTKLPLLLLLVAVAVAASSASSLTPQHAAVPAKGPRPGGGGVTLHLDHRQVMVDNGIVQVSLSNPGGHITGVRYNGERNLLRFDGQPNSAGYWDVVWNFPGSNNPRGMIDMLDSTEFKVVSSSEDQVELSFRSTYNPSRPNSVRLNIDKRLVMLKGSSGFYCYAIFEHVRDWPALNISEARLAFKLNTDNLILKTCVHERFVRFNYMAISDDIQRFMPSATDRDAPRGAPLAYKEAVLLVDPKEPQFRGEVDDKYEYSLDNKDNRVHGWISSNHPNPMGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFLGTHYVGNDIVLKIEEGEYWKKVMGPVFIYLNSNPRRGDLHSLWVDAKLQAEAEARKWPYSFPVSPDFHKASQRGSVTGRLFVRDRYMSSKDMAAGMAFVGLATPGQPGSWVTESKNYQFWTRATPCGSFSISNVRAGVYNLYAWVPGFLGDYMYTSQVTVTPGRGINLGDLVFEPPRSGPTLWEMGVPDRSAAEFFIPDPNPKYLNKIFITKDKYRQYGLWERYAELYPDGDLVFTIGESDISKDWFFAHVTRKQGDGYAATTRQIRFRLERVVADATYTLRVELAAAQMARVQVVVNGRADEALTTAAAFGDGNAIARHGAHGVQWSLEFAIKGYMLVEGEEENVVFITQTRALSPFFGVMYDYIRCSVPALLLVSSCTIDIMARCLSSPSLKSLATSRSSSSPTLAITTSNSSDSSSEVSQPSLALSVPTASIIRWHASGDGWRAGVGFQVAEQAYCWLQRQLLFMVYISIPMTLSRP >ORGLA12G0016800.1 pep chromosome:AGI1.1:12:1287165:1289616:-1 gene:ORGLA12G0016800 transcript:ORGLA12G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPFLTTSLAVLAILFLLALPWSAATHDILPLKSSLFVEEYETNILQSSDGTFSCGFYNITKAYNITSAFTFSIWYSNSADKAIVWSANRGRPVHSRRSEITLRKDGNIVLTDYDGTVVWQTDDPDYQYYENNRNLYNSTRIGSLDDYGEFFSSDLAKHQARIASDRSLGIKRRLTLDYDGNLRLYSLNNSDGTWTISWIAQPQTCMTHGLCGPYGICHYSPTPRCSCPPGYKMRNPGNWTQGCKPIVEIACDGKQNVTFLQLRNTDFWGSDQQRIEKVPWEVCWNTCISDCTCKGFQYQEGNGTCYPKSFLFNGRTFPTPFVRTMYIKLPSSLDVSKKPIPQSSIHDYTPSGLDCDRVNTITTEAVRNMNKIGGEEPKWFYFYGFIGVFFIVEVFFFAFAWFLVLRKEMRSSEVWAAEEGYRVMTSHFRMYSYRELVKATERFKHELGWGGSGVVYKGILDDDRAVVIKKLENVTRNREEFQDELHVISRINHMNLVRIYGFCSERFHRLLVLEYVENGSLANVLFNSKILLDWKQRFNIALGVAKGLAYLHHECLEWVIHCNLKPENILLDENLEPKIADFGLAKLLSRSGSKQNVSRARGTIGYIAPEWISGLPITAKVDVYSYGVVLLELVSGKRVFDLIIGEDKTKVHEMLKKFIKMICYRLDNEKSLWLAEFVDFRVGDEFNYLQAKTLVKLAVSCLEEDRKKRPTMESIVESLLSVDLARS >ORGLA12G0016700.1 pep chromosome:AGI1.1:12:1282107:1284608:1 gene:ORGLA12G0016700 transcript:ORGLA12G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1R3R1] MSKNCSRATATVPLLVVVVIFLSPSRPFPCEARRDSLPRGASIAVEDHATDVLLSPDGTFAAGLYGVSPTVFTFSVWFARAAGRTVVWSANRGRPVHGARSRLALDGRRGALVLTDYDGEVVWNSTVPNATAARARLHDSGNLAIEDSSGNILWQSFDHPTDTLLPTQRIVAAGEAMVSAGKLLAAGFYSFRFGDYAMLSLVYDNHKMPSSIYWPNPYYSYWQNNRNIYYNFTREAFFDASGHFLSSDNATFDAADLGEDAGVRRRLTLDTDGNLRLYSLDETAGTWSVSWMAFVNPCVIHGVCGANAVCLYSPAPVCVCVPGYARADPSDWTRGCQPTFNYTNGGGRPPAMKLVALPHTDFWGFDINSSAHLSLHECTARCMSEPSCVVFEYKQGTGECYTKGLMFNGRTHPAHLGTAYLKVPADLDMPELHVHQWQTNGLAIEEDIAGCSGSSSSEFLLDVSDMSSSSSNNQGKSIWFYFYGFLSAIFVIEVFLIAMGCWIFSNKGVFRPSQVSVLEEGYRIVTSHFRAYRYSELERRTKKFNNKIGHGGSGIVYKGSLDDERVVAVKVLQDVSQSEDVFQAELSVIGRIYHMNLVRMWGFCSEGTHRILVYEYIENGSLAKVLFDRRDSSKFLGWKQRFNIALGVAKGLAYLHNECLEWIIHCDMKPENILLDEDMEPKITDFGLSKLLNRDGSGSEMSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGRRITEWVVDGKDGVETDVRSVVKMVVDKLDSKNESWIMDLIDDQFGGEFNHLQAQLVIKLAISCLEEDRNRRPSMKYIVQMLISAEDEAHAFT >ORGLA12G0016600.1 pep chromosome:AGI1.1:12:1272756:1275266:1 gene:ORGLA12G0016600 transcript:ORGLA12G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1R3R0] MSTSCSSSGALRAAATKALLLVVFVSLSRPFPCTARDSLLRGASIAVEDHATDVLLSPDGTFACGFYGVSPTVFTFSVWFARAADRAVVWSANRARPVHSKRSRLKLSGRRGALVLTDYDGEVVWNSTVSASATAARARLHDSGNLAIEDGSGNVLWQSFDHPTDTLLPTQRIAAGEAMVSADKLLAAGFYSFRFSDYAMLSLVYDNHEMSSIYWPNPYYSYWQNSRKIYNFTREAFFDASGHFSSSDNATFGAADLGKNVAVRRRLTLDTDGNLRLYSLDEVAGTWLVSWMAFSNPCIIHGVCGANAVCLYSPAPVCVCAPGYARADPSDWSRGCRPTFNSGDGGGRPRAMKLVALPHTDFWGFDINSSENLSLDECSTRCMSEPSCVVFQYKQGKGECYPKSLMFNGRTFPGLPGTAYLKVPADLDMPEIHIHQWQKDGDRHAIAIQEDIVGCGGMSSPEFLLNVSNASSSKSNQRKSIWFYFYGFLTAFFVIEVFVIAFGCWLFSNKGVFRRCQVSALDEGYKMVTNHFRAYSYVELRNGTRNFQSEIGRGGSGVVYKGILDDERTVAVKVLQDVKQSEDVFQAELSVIGRIYHMNLVRMWGFCSEGIHRILVYEYIENGSLAKVLFQGRDSGMFLGWKQRFNIALGVAKGLAYLHNECLEWIIHCDMKPENILLDEDMEPKITDFGLSKLLNRDGSGSDMSRIRGTRGYMAPEWVSSLPIIEKVDVYSYGVVLLELVKGMRISDWVLDGKEGLEADVRSVVKMVVSKLESNMESWVADLMDDRLHGEFNHLQARLLMQLAVSCLEEDKNKRPTMKYIVQMLISAEDEAHAFT >ORGLA12G0016500.1 pep chromosome:AGI1.1:12:1255376:1257847:1 gene:ORGLA12G0016500 transcript:ORGLA12G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1R3Q9] MMNSRLATFALLVIITLSSSPRPCPRRVDAAREWLARGASIAVEDHATDVLRSPDGTFAAGFYDASPTIFTFSVWFARAADRAVVWTAARARPVHSKGARVTLDARRGALVLTDYGGEVVWNSSTPAAGGSGGARVRLHDTGNLVVEDAGGKTLWQSFDFPTDTLLPATRLVSRDRLLSAGYYSLGFSDYAMLSLFYDNGNFSSIYWPNPYFSYWQNNRKIYNFSREAAMDALGQFLSSDGTTFEAADLGAAGVRRRLTLDTDGNLRAYSLDDATGTWSVSWMAFGNPCNIHGVCGANAVCLYSPAPVCVCAPGHERVDASDWSRGCRPTFRLECGRPAKLVALPHSDFWGYDLNDGEVMPLGDCANKCLDNCACVVFQYKEHMECYLKSVLFNGKTFPGLPGTVYIKVPADFDVPEFHVHQWQRGGDGGGGGLAIQEDIAGCAAAATGDSNRKVLLNVSSSLSSHDAGKPVWPYLYGFLSALLVVEAIVIGFGCWLFSSKGLFRHSRVYAIDQEGYKLITSHFQRYTYADIKKATANFTGVIGRGGSGVVYKGVPDDERVVAVKVLKNVSRQSEEEFQAELSVIGRIYHMNLVRMWGCCSQAKHRILVSEYIENGSLAQRLFDHGFDDDVLDWNQRFRIALGVAKGLAYLHSECSEWIVHCDMKPENILLDKDLEPKITDFGLSKLLNRDGSDAILTRIRGTRGYMAPEWVTNLPFTKKVDVYSYGVILLELVKGIRISEWVIHGIKVCEMDIRMVVRATRQKMESNEKRSIEDLVDYRLNGDFNHVQVKLMLEIAISCLEEDRSKRPNMNSVVQSLISVEG >ORGLA12G0016400.1 pep chromosome:AGI1.1:12:1254319:1254621:1 gene:ORGLA12G0016400 transcript:ORGLA12G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHGQNLVSWNSMLNSFAANGRPNEVLTVFREMLGVNFAPDGFTIVSVLTACAEIGALALGRRVHVYAEKVVLVDNSHVSNALINLYAKCGIVNDARLI >ORGLA12G0016300.1 pep chromosome:AGI1.1:12:1250045:1251225:-1 gene:ORGLA12G0016300 transcript:ORGLA12G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQLWAMMGGGGVSDGYEGSKRPRMIESNPYFAVTAGSPLDVSKRARMMEPAPPYFGAMGSSAAGGTSAFYQPYGTNLPGAGANSAIQNFPGVRLRGLPFDCDDLDICKFFVGLDIVDCLLVHKNGRFTGEAFVVFPSAMQAEFALHRNRQNMGRRYVEVFRCKKQEYYSAIAAEVNQGGFFDSEYRHSPPPPRPKKPAEDKSSMEYTEVLKLRGLPYSATTEEIIKFFVEYELTDENVHIVYRPDGKATGEAYVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTPEEASRAKSRVRQ >ORGLA12G0016200.1 pep chromosome:AGI1.1:12:1243760:1248569:1 gene:ORGLA12G0016200 transcript:ORGLA12G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIAVDADVPSCASSSSTAVPAYAGASPLVFRQEGKIENLVRSVYSEVDEKDVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLIRHGFTFESDTDTEVIPKLAKFVFDKAHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQNSGKPFHDVKALTTNGKPKELFFSSDLFAIVEHTKNYLAIEDDEIVHIKDGSVSILKFDHEKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRVKDSGVLLGGLKEKEYLKTIRRSRRLVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVVMVMVALAVGSDQISTQVRRQAIIGGLSNLPSNVSEVLKLDTEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >ORGLA12G0016100.1 pep chromosome:AGI1.1:12:1235187:1241462:-1 gene:ORGLA12G0016100 transcript:ORGLA12G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1R3Q5] MASSQEFEANDPQQLHAKPKRLYQVWKGNNIFLCGGRLIIGPDAASLLLSMFLILGPAIVFSYQMESTIHRSQQRMHRAAQLIVIITTAADLFFLFMTSARDPGIVPRNTRAPPEVDEFLGSTTPSMEWSSGRTPRMRFRRSKDVTVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVEKFDHHCPWVGQCIGLLKGLLNHLSXITPGSIGTTATSFCLXRHRLSCAYLFSSFHGXMSTMKGDTMADPSGRLCERKYTHLCXSYILLSLYGLLVVSQYFIFIXSVLIRQHMKTSDTTTTRRTTPTKRALQQTLXMCSSPRSLHPRTISVHGXVRAHWKLDFILHILRWIXLIQGKRLIXRWETRIYLLGVFRSQQCFRTXTMVPLKIIQMTRTGMKMIDXCLLLQLGHNKQMKVLEHLKXLLXNIRTKXVKMVVRKLLVQTQVQNKHQXKLMQQHLRMKAMRTMLEKIALLPLWYYKGEDYTHLEKAPTLWWWTLARRXAXSPASRSRALASASVPVGRRNSEATNSRKCFLESPPPTCFCTALSTRRTPDDAFVISWASTRCQHSRPEAIDASHIILARNSNSITYNIGPGMVFSPIPFQPDAIVATHEPPAVTEAAEIVPRTSLASTVAESFKQMLFPSCDGGICLWSASYHDNVAFVKSGTFPRGSSGQGMLVDILYCSSAEGSSLVGKDPMAYKILYTRFNKL >ORGLA12G0016000.1 pep chromosome:AGI1.1:12:1229491:1234383:1 gene:ORGLA12G0016000 transcript:ORGLA12G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSRREEGDDDVSVGKEEEEAGYCSSSSISRLPEACLAHAISFTTPTDACRCSAVSADFQAAASSNAVWERFLPPDYHSILARADDPVDFTTSNKELFLSLAQDHVLLDQRSKSFWLERTSGAKCYLLSSRSLEIAWGDDARYWRWIYLPDSRFERVAALVFVCWFHLRGRINCRELSPNTRYIVYLIFKLADKSYGLDCRTQEAYITMDDQVVSAKRTVSLHPRTQETPLDMGRSEEVGRAEETVSYPRERGDGWMEVQLGHFYNHQGDGMVVINLQEIVQLNSKKGLILEGMEIRHSIGP >ORGLA12G0015900.1 pep chromosome:AGI1.1:12:1225599:1226471:1 gene:ORGLA12G0015900 transcript:ORGLA12G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFRVRVANELGAGSARRAKFAIFNVVTTSFLIGFVLFVLFLFFRGSLAYIFTESKAVADEVADLAPLLAFSILLNSVQPVLSGVAIGSGWQSVVAYVNVTSYYLIGIPLGAILGYVLGFQAKGIWIGMLLGTLVQTLVLLFITLRTDWKKQVEITRERLNRWYMDENGRSQNSIGNA >ORGLA12G0015800.1 pep chromosome:AGI1.1:12:1223086:1223525:1 gene:ORGLA12G0015800 transcript:ORGLA12G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPGDDDKLTVPLLEPKPATNKHQEDDDAEEDEVGSVRRRVVEENKKLWVVAGPSICARFSSFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGYHYTVLGLNYYYYVVTIQIDLSFYLFRVFGN >ORGLA12G0015700.1 pep chromosome:AGI1.1:12:1199970:1204302:1 gene:ORGLA12G0015700 transcript:ORGLA12G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1R3Q1] MERPGDEHDDCRTAPLLEPKHAHGEGSNNDKQEEDEEEVGSLGRRVLVESKKLWVVAGPSICARFSTFGVTVISQVFIGHIGATELAGYALVSTVLMRFSGGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIVLLCCAVLLLPIYLFTTPLLIFLGQDPKIAAMAGTISLWYIPVMISNVGNFTLQMYLQAQSKNMIVTYLAMLNLGLHLFLSWLLTVQFHLGLAGVMGSMVIAYWIPVFGQLAFVFFGGCPLTWTGFSSAAFTELGAIVKLSLSSGVMLCVELWYNTILVLLTGYMKNAEIALDALSICLNINGWEMMISIGFLSATGVRVANELGAGSARRAKFAIFNVVITSFSIGFMLFVLFLIFRGRLAYIFTESKVVADAVAELSPLLAFSILLNSIQPVLSGVAVGSGWQSVVAYVNVTSYYLFGIPIGVILGYVLGFQVKGIWIGMLLGTLVQTIVLLFITLRTDWEKQVEIARQRLNRWSMDENGRQQNPGENPSSKTSAHL >ORGLA12G0015600.1 pep chromosome:AGI1.1:12:1190065:1192195:1 gene:ORGLA12G0015600 transcript:ORGLA12G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLLLLLLLRIVASAPASLPATALFVLGDSTASCAATTLPINLSLTSSSGNCLFPSAHRLLPDLLAAKMGLPPPPLISTLNGTAAEVARGVNFAGEDGGRGAIFRLGAVGQQLRLATETLQLLRLEAPTPQDADAAAARAVFILSFGTDAYARVLSRGSEADASAPKHGRRGLARLLADRVARAVEELYEAGARRTAVMGVAPLGCAPRVMWEGLHVVDGRSCVEEANELVQGYNARVAARLAALRPRLPGADIVFCDIYKGIMDIITHPARFGFDETRKACCGLGPFGGTVGCLTKEMVCPTPQRHVWWDLYSPTEVVTSLLANWSWSTPSHSNTTICRPITLEMLTGHMSPMSPSKS >ORGLA12G0015500.1 pep chromosome:AGI1.1:12:1186707:1189064:-1 gene:ORGLA12G0015500 transcript:ORGLA12G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRKPYTADIDRSEKQMETIIPDSVREPLLGNRTHESKSERHEPNMQPNLWDGKGQERLGWMHIISTFIAQSVRKIGNALSQFGPLLAKFFSRSCASHGSHDEQTVLLDLSPLQEERLRFLRQRLNVPFDSSSVKHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFRAGGFMSLENLIYFARNYPDSFHSLLHKAAGKRSEWEYPFAVAGVNISYMLVQMLDLQSGKMGTKVSSQFVQLLREDEMAFDNLFCMAFQMLDAQWLTRQASYMEFNEVLKSMRIQLEQELTIGSISCVQEMPSFRLLKR >ORGLA12G0015400.1 pep chromosome:AGI1.1:12:1180944:1185907:1 gene:ORGLA12G0015400 transcript:ORGLA12G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSSPDPASSSPSASSSPSSPSSSSSEDSSSPMSMPCKRRARPRTEKSTGKAKRPKKESKEVADPSSNGGGGGKRSSIYRGVTRHRWTGRFEAHLWDKNCSTSLQNKKKGRQGAYDSEEAAARAYDLAALKYWGPETVLNFPLEEYEKERSEMEGVSREEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGNKYLYLGTFDTQEEAAKAYDLAAIEYRGANAVTNFDISCYLDQPQLLAQLQQEPQLLAQLQQEPQVVPALHEEPQDDDRSENAVQELSSSEANTSSDNNEPLAADDSAECTNEPLPIVDGIEESLWSPCLDYELDTMPGAYFSNSMNFSEWFNDEAFEGGMEYLFEGCSSITEGGNSMDNSGVAEYNLFEECNMLEKDISDFLDKDISDFLDKDISISDRERISPQANNISCPQKMISVCN >ORGLA12G0015300.1 pep chromosome:AGI1.1:12:1168153:1168479:-1 gene:ORGLA12G0015300 transcript:ORGLA12G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKALYRMFAAIFLLHLLLAVTAAASPKTSTGLLRDGNNNAVAAVAARSSRRLLLQQQQPRAAAPTPAMATNTFRVNGVHQANGEPKVEFDASMKHNPGTNFNPRHN >ORGLA12G0015200.1 pep chromosome:AGI1.1:12:1158901:1166898:1 gene:ORGLA12G0015200 transcript:ORGLA12G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKAEAKAETIGGGGGGGSGSFSEQAFVEKLNKLNNTATRIQTLSNWCIFHRKRARKVVDTWEKQYNSANKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLIDIWDERKVFGTRIESLKDDILGGSTHTMGNNVNSSNPSSNPSSVSKAARKDSGTVTRKLTVGGMPEKILTAYQSVLDQHFDEDTALNKCNNAVSVLDRMDKDVDDACTQGIQQGSSLISDLQGQETVLKQCIEQLESVNMARITLINKLREALGEQEAKSELLRNQLHVARAKAEHAMQLKQRLGSALNNGAGSSSSPLMVTLPPGQTAAMMQNSAAMPIFPQFQPLHPATSLPATSSAVGDEPKKTAAAMADKLASLSAPEKVLSSIFSSLAAEQARNSGSTSGDLSAGPPGFESNKKPRLDNPIHASDMSAPPFFGQLPQVQPQIGATAALGGTQPPTQANQAAGSFPPPPPPLPLMPQFVQNTGGMFGMGPFGMVSGSAPPPPPLPNIMSAGFPRLSAPPPLPLPTQSQNQSQPQQQQSPQAPQQSPTSTGFFQPPGAGFFPPVQVQQSPSAQRQ >ORGLA12G0015100.1 pep chromosome:AGI1.1:12:1156276:1157224:1 gene:ORGLA12G0015100 transcript:ORGLA12G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALAPCRSAASLHAARLLPQQRTPTAPRILLPAGGLLLRPQPPLHHPQRRSSSRPDLRCRRRLLTARGDYDFYENYADEEGDEEEESEVIGGSFDAAVALFNGGEFHACHDVVEELWYTAEEPTRTLLHAILQCAVAFHHLFNQNHRGAMMELGEGLCKLRKLRLDDDDDTTSPFSRFEEEVAAALNFIYRTQKELAACTDDLCLTMDGSATSYQLLGNFAAGQKLYRLETATGADGDGDGVPTIIFSASSRLVRVKLPTLSATEQHLAALQCTSEYI >ORGLA12G0015000.1 pep chromosome:AGI1.1:12:1152695:1154435:-1 gene:ORGLA12G0015000 transcript:ORGLA12G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWRHHTLLQALLSRGPLSERDFHALFSAISGGKNPATHRHLFNDTLLKINKELAYLQFELRAGINQYDGTVYYGVVNNIADEEAKLGSKFSVPQIAFYKGLLEAIVHEAGNDGSITNIDALNTRIENQVVIADASLGSQSRLPTSITNFSLSQKEKTLNELIQDRWLSYTSTGKIGLGIRSFLDLRSWLRSNDIPSCEVCNEACIKASSCPNEGCNVRIHGYCLKKKFSQRKASRACGCGTEWPRLEGEDDGAEDVNEPEEDQVPSANQHSRTRRRGVKSELVEENERAGPSARMTRRSLRSSKAEAVEAAQEVPSAAGPSQSTRASKRRKN >ORGLA12G0014900.1 pep chromosome:AGI1.1:12:1148957:1149784:1 gene:ORGLA12G0014900 transcript:ORGLA12G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRIHPMPAPPPPSSSPPPGHDAAVATETTPLHPSFRGAPPPSPGTYIIQIPKDQVLRVPPPDRARRYKKLAARPARRRRLRHACCGAFCGVLLLFLLAAAFVGVVYLVFRPRAPSFSVASLSIRGLDALAVSSLTPQIDAAVRADNGANKKTGIDYRGGGEVTVSYSGERLAAGPWPAFHQAPRNVTVFSTALAGGGVSLTEEQRKRLAADQAAGAVPLAVDAIVPVRLRFGKVLRTWTVDVKARCEVTVNKLAAAPASRECMVKVRPLWWWW >ORGLA12G0014800.1 pep chromosome:AGI1.1:12:1144404:1144955:-1 gene:ORGLA12G0014800 transcript:ORGLA12G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQICAQVWLTTSLLADGLAVTSQALLASVFAKKDHYKVAVTTACVLQLAVVLGVGLTAFLAAGMWFGGGVFTSDAAVISTIYKGVPFVTGMQTINTLAFVFDGEWRGMASIRIG >ORGLA12G0014700.1 pep chromosome:AGI1.1:12:1135493:1136664:1 gene:ORGLA12G0014700 transcript:ORGLA12G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQHLVNHADCWFQEXEHNSQKELYNLRTTYXXEEKNTTXFSEDKSGAAXFTEDKPCLLNFQSLPEDIVLRVMSKLTLKEVAQLSVVSTNWRQAWTFHPNLYFGIKTVLGNNAKRKGTSSDLNCRISSANKFIKRVDAILEKHCGTMVNKFAVKFGLSNEHANHVNGWVAFAIASKARVIILDFSPDWKSHENNYDFSCHIFNKHNGSYLEALRLDSVTLNPPLDFCGFANLKLLALDNVRLQHLEQLISKCHVLEWLSIQSCNQLHNLHVSEPLCRLQYLSIQGCHLQRMELHAPNLTTFEYDGSLALVTLNECSNIKASTIRLFDEKTLQNILTGIPSVLPHVETLYVEVHVKTQV >ORGLA12G0014600.1 pep chromosome:AGI1.1:12:1131387:1134356:-1 gene:ORGLA12G0014600 transcript:ORGLA12G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTAATIFWHRFYKVADTRETLKEAMMLSNPTNCRPHMWACNIHEEQFMMQIFSFKLSNITATVDGPVHLYGYFAVRDHLDPLHNYIFNRTRDDPFIMGQDNDVDSDNSLIPMPGPKRGIGNQARVLIEFDMKIKNGETRDDDFQLIDGAIICSEFVLPNRVFTQRIEGDCGAVDISRALLHSAVEATIQVSISQVHGNGFSLSLYSYTSRIPEKIQLFDGVISKPCDLNRFVVAVVVNTPLILIFKVDKRDGSDHVPGCCAFKARTHGYEYDLQELKLGCTNILVKLSWSTLE >ORGLA12G0014500.1 pep chromosome:AGI1.1:12:1104986:1107288:1 gene:ORGLA12G0014500 transcript:ORGLA12G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGANDIVLHIKRLMYSSVRIGYQFACDYPIVLAAGVLLLFLHRLCPSLFNFLLSSSPVFLLTALLLGALLSYGEPAVLVLGENQQTLSFKSKISITDCSIDKVETVAVEEHLDKTTTSNEVYVRERNFEGNIHDTHWEEKNGTYMTVDTALNEEIHTKDGTSDYDLQDTHREGKGITSVETDTVPCVAPSSFAYSGVTVETEDVGENSKKNDELQELGSINPESDNNKVQYQYQLGEFMSSCWEPVMRQEPQDACSDSESDLTESSPDASMTDIIPMLEELHPLIDLQTGHPSLVSRDNLNTSSDDDEDDLEEEDASTDENQLEGKIDDFANWKDVIDLNYLDMDNNSKLEGMMDLQRAKNILKFELDKRLMDLQAADAVQKMEEASCFRVQVPSISTGRQNPFDSSNGSDEIIELPHVPDSAPSCLLPRKNLFDLAVNQNMAHDSPLQETWTPLSYFSARQHRKHGSLYVRHSTSLHHNSFKLEKDEISENDAHKSHNSQSDGDAKQERNNSTLFGSLEAHIGEEIKILGMAISEVGVLEVNSGMVSSNQNADFSDDISSSPFQKPRQSTFEAKDTVHAGIEQLTSCSPYKVNNFEAHIVEADSIDEFNSLFKCRMEEVLVQSISESSISQPLTVKLEDELSEPLSPDSGTGTHFIDGSSVEDSDPRFAQLNDEALVSSTSNATCRNESIEEKSSEALLAGNEDYSELPNELLKSGDPQTADSSEIQVQVIDATGH >ORGLA12G0014400.1 pep chromosome:AGI1.1:12:1097567:1098142:-1 gene:ORGLA12G0014400 transcript:ORGLA12G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAAMHMLDSSTSSSPWLPPDLMPPPPPPPPAAATLHHHFRAAAAAPGRRRIAKRRPRPSRRLPTTYISADPANFRRMVHQVTGADDLPPPPPSLSLQQTTELLLPAVHAAPAGSPGAAGALMLPTLDTSAFLLGRRAEPAAAAPPCDESVALVRGGAGNYGSNNNSISSSSSSGNCGGGFPTLDSWDLL >ORGLA12G0014300.1 pep chromosome:AGI1.1:12:1091545:1093609:1 gene:ORGLA12G0014300 transcript:ORGLA12G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTLPLLLVHRSTPPTPRPTAPPLLHSRRLALPPRPASLPATTAVVHPRKGVRLSKLHAASCCDSASAAGVATGGGGGGGGGAKGEMDWRLLLAWYLLALDKHPITTKAVTSAVLTLTGDLICQLAIDKVPKLDLKRTFVFTFLGLVLVGPTLHVWYLYLSKLVMINGASGAIARLLLDQFIFSPIFIGVFMSLLVTLEGKPSLVVPKLKQEWLSSVIANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVTVK >ORGLA12G0014200.1 pep chromosome:AGI1.1:12:1084787:1085986:-1 gene:ORGLA12G0014200 transcript:ORGLA12G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAETVRLPEQQRRRPLTIASLPEEIMSEILLLLPPKSILQCRAVCKAWRDVTSDRAFLLTHHRRQPPQRLLTFIRDVGSRHDDLDILDYRVEAVDFRTHQFQSLARFTGQDYDCSLEDSPFTVHASCDGLLLMSYNNYLHLCNPTTRQWLWVSPPALQHDKVVGLYAHGHPSEYRVLYYREFGLGRTFYITTVGSRKARRIWPHSSSESLRKWLTKGTEDTEFNKPFLFHGNLHWLPQSGRQNKIVVFDTLDEAFRWLHVPFKMHNVSSLLEIEGSLAMSNSHIGSSKVDLWLLQDYKHMVWVHKYRIELPVIEIRRLVEDDVWYLHIVSQEGDVLVDGSYWQFHYDMKGNLLEKFQCSGRMLNITPHVLQESLVPHEVFQILDNESRHEPHFFRGL >ORGLA12G0014100.1 pep chromosome:AGI1.1:12:1080782:1082599:-1 gene:ORGLA12G0014100 transcript:ORGLA12G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPATAAAEAALARRAADPLPALRRRDALPLPARLFAQLHALVLTAGLARHSPNFSLLLRLASPLLPVSHRLRLLLSSPLPPTTFLANSLLLASSSSRCLPSALSLYALLFLSSSPPLLRPNAFTYPPLFRAAPPALALALATHSVKFLGAHAASCDRVLGAALLGVFARCGRIASCRRVFDRIAHPDLPAWNALLSAYARLRARDVACATSAADAILELFVRMLSLAIEPNEITLVAVIGACGELGAVSHGVWAHTYAVKRRLAVNCIVATALVEMYAGCGRLDLAEQVFAAASDRDTRCYNAMLHGLAVHGHGRAALSLFDRMHGAGVPVDGVTVLSVMCACAHAGLVDEGLDYFDRMEIEFGTEPRIEHYGCMIDMLSRAGRLNNAEKLIHEMLIVPNAAIYRSLIRACGIHGKLELGEKMIAELMRLEPDDSGNHVLISNFYARTNRWEDAKKARKEMKSMGIDKNPGSSLVDINGVLHEFLVGDKTHPASKEIYTMVEEIETRLIECGRRSSTSSALFDVEEEDKADTLSYHSERLAIAFALIASNPGAPIRIIKNLRVCADCHESAKLVSRVYGREIVMRDRTRFHHFRDGVCSCGDFW >ORGLA12G0014000.1 pep chromosome:AGI1.1:12:1075175:1077073:1 gene:ORGLA12G0014000 transcript:ORGLA12G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEDEAENGKLPLELEPLRSLAPKFPTILGYDVETQSTNPLLVYATPFIPCSSSQQPQEAPASFSLPLPKSPVPIKATPISAAFPTPQHEDESSDQDYKPFCRQKKPTLPKRAKRPQQAEKSNDANIKRRSIRRSLDNEFNLCLSSSDNPKESVEGILMMFDSLRCRVLQLDEKEDASRRADLKAGTLMMQNNFRINNHKMIGHVPGVEVGDIFFFRIEMCIIGLHAPAMGGIDYISSKNKDETLAVCIISSGGYENDDDDTDILVYTGQGGNSRHKEKHDQKLERGNLALMNSKIKKNQIRVVRSAQDPFCNSGKIYIYDGLYRIEDTWTDTAKNGFNVFKYKLRRDPGQPDGISLWKMTEKWKANPATREKAILLDLSSKVEHLPVCLVNDVDDEKGPSHFNYVAGVKYLRPLRKTKPLQCCKCPSVCLPGDPNCSCAQQNGGDLPYSATGLLAKHTPMVYECSSNCQCSHNCRNRITQKGIKLNFEVFWTGDRGWGLRSWDPIRAGTFICEYAGEVIDETKMDIDVEEDKYTFCASCPGDKALSWNLGAELLEEKSTAVTTKNFKKLPIIIRANNEGNVARFLNHSCSPNLLWQAVQYDHGDDSYPHIMFFAMEHIPPMTELTYDYGT >ORGLA12G0013900.1 pep chromosome:AGI1.1:12:1072090:1073789:1 gene:ORGLA12G0013900 transcript:ORGLA12G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASALSNPRLQAMLEEEKRKAMANEFVAKLTDVCWDKCITGSIGSSFSNSEASCLSNCAKRFLELKMLTMQRVSSPR >ORGLA12G0013800.1 pep chromosome:AGI1.1:12:1062629:1068464:-1 gene:ORGLA12G0013800 transcript:ORGLA12G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREPFLSAIFFFFLLLFCLGCKCIASELHLHTTQTAVLKVDASPQHARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETVELTVSLTSSDGSQNLASSTIPVSGASNWTKLEQKLVAKGTNRTSRLQITTTKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSRPLDHPADLYDFHVYTDSKTLFSMKSAFDRSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFRESSGAMFHPITITSSYSGSLAASAITWQDSENSFLRIINFGSDPVSLTISATGLQARVNALGSTATVITSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEM >ORGLA12G0013700.1 pep chromosome:AGI1.1:12:1054570:1059289:-1 gene:ORGLA12G0013700 transcript:ORGLA12G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFEAGANTSNIDPWSVIGDESSVHVTTDRSSCFSQNPVAVRIEVVCDDCPAGGVGIYNPGFWGMMNVEEGKAYNLVMHIRSLESVELTASLTCSNGSQNLASNSVRETNLSTWTKIELQLLAQGTCRTSRLELTTRKRGVIWLDQVSLMPSETYKGHGFRKELMYMLLDLKPRFLRFPGGCFVEGNWLKNAFRWKETIGPWEERPGHYGDVWHYWTDDGLGYYELLELAEDLGAYPVWVLNIGMSHHDAVNGTMLAPFIKDATDSLEFAKGSDKSTWGSVRATMGHPEPFPLKYVALGNEDCAPFKLIYRENYPKFYNAIKEAYPDIQIISNCDGSSGPLDHPADLYDYHIYENASTVFLKKNEFDRTSRNGPKVFVSEYAVNGEDAGNGSLLASLAEAAFLIGLEKNSDVVQMACYAPLFVNDNDRVWNPDAIVFNSWQQYGTPSYWMQTYFRESSGAMIHPIMISSSYSDLLAASAITWQDAENTFLE >ORGLA12G0013600.1 pep chromosome:AGI1.1:12:1050391:1050720:-1 gene:ORGLA12G0013600 transcript:ORGLA12G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNVNNGVSVLFLFAVLLIAASQLAAGTNSFLYGACKTIAGGSELLSVTFCIDALSSDNRSSNISSYKEFAVIAVDLLTANATSTKSEIDGKLRNGGGDAAATRCL >ORGLA12G0013500.1 pep chromosome:AGI1.1:12:1035001:1035748:1 gene:ORGLA12G0013500 transcript:ORGLA12G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRNVRGYAPLPTEDRDDSNLTDDVDLRFTYTPKSLRKIPWKSIALALFLLLLGCSLLFLSYFIFTGHMEGDNSQAYGLLFLGILAFLPGFYETRVAYYSSRGAPGYTFASIPDY >ORGLA12G0013400.1 pep chromosome:AGI1.1:12:1030481:1031056:1 gene:ORGLA12G0013400 transcript:ORGLA12G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHGIVNAFFLFAVALVAASQAQHAANADSFMSGACKIVAGSSSGVISITFCMDALGSDSRSLNASHYSDLAIIAIDLLTSNTTSTKAKIDNILKDDGNGLKPGDATTVCFQSCQATYASMLQGQLGIFYNVQAGRFPEAMSALEKAANMVEECEKGFGKSNVKSLLTTENHDSFELAKLGALLLNEEH >ORGLA12G0013300.1 pep chromosome:AGI1.1:12:1019099:1026058:-1 gene:ORGLA12G0013300 transcript:ORGLA12G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDAFFCTFCSLLLFCFSSKCLSSELDLPQTALVEVDASWEVSRKIPDTLFGLFFEEINHAGAGGIWAELVSNRGFESGGPHTPSNIDPWSIIGDESSIYITTDRSSCFSKNTVALRMEILCENCPAGGVGIYNPGFWGMNIEEGKTYNLVMYIRSLESVELTASLTCSDGMQNLASVSIQEIDPSNWTKIEMQLLAQGTCRTSRLELTSMKRGVIWFDQVSLMPSDTYKGHGFRKELIYMLLELRPQFLRFPGGCFVEGDWLRNAFRWRETIGPWEQRPGHFGDVWNYWTDDGLGYYEFLQLAEDLGAAPIWVFNVGISHHDEVDTIIIEPFVKDVLDSLEFARGSAESTWGSVRAAMGHPERFPLKYVAIGNEDCGKEFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSRIGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGFEKNSDVVQMASYAPLFVNDNDSTWNPDAIVFNSWQQYGTPSYWVQTYFRESSGSVIHPITISSRYSDSLAASAITWQDSEDIFLRVKIVNFGPNAVNLTISSRGLQDGVNTAKSTVTVLTSGNFLDENSFSEPNKVVPVESELPNAAEVMEAVLPPFSFTSFDLALDQYSKLVAEM >ORGLA12G0013200.1 pep chromosome:AGI1.1:12:1014526:1018267:1 gene:ORGLA12G0013200 transcript:ORGLA12G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGGVTVCEINRDLVVADALSDDRAKDAYGDVLGMVFSPIPFQPDATHEPPAVTEAAEPAEVVPRTSLASTIAESFKQMLFPSCDPNLLQEIDTQKVSWNPHKHCLAFVSGKNQVTVHDFEEPDNKESYILTSDHQKDVKAVEWRPNSGKVIAVGCKGGICLWSASYPGNVASVKSGVTSSSFGAFPRGSSGQWILVDILRGSSAELVSALCWKPDGRYLVSASCNSPSFTIWDVSQGLGTPIKRGLSSISLVRWSPSGDYLLTAKLDGTFHLWETNTWTSEPWSSSNGYVSGANWDPEGRTALLSFSNSTTLGSIHFSSKPPSLDAHLLPVELPEISSLIVSRGIDKLAWDSSGERLALSFKDGNEMYHGLVAVYDVRRSPLVSVSLVGFIRGPGDGAKPLAFAFHSKFKQGPLLSVCWSSGWCCTYPLILRPH >ORGLA12G0013100.1 pep chromosome:AGI1.1:12:1002440:1011775:-1 gene:ORGLA12G0013100 transcript:ORGLA12G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYLEDELPGDRDECLRQVEFEKDCWGETSMQLPCRLNKPVKPSVLDAQSLGVSLIAFRTLSSVKHTSRLCMTGRLGLKKGCLLVEEVGVCIPLFEPDHPNXYKFCANLSISLHSGESLFIVFKTLCWILFSGXVRWAIMFHLLFQAXQXKAGVISLLGKLVQYPERPGEPFCRYYMKFGECKHMTFCKYNHPKDRFSCKTTNTIRSESLCLHDQQTTILENQFGLPSLVDKATANTTNLVASASSSMTPDEIGEGKNNPDEVFVCICGEKLLFHTTSIQRQXKNLSYLLSRGGTSSIVIFMXHGXFQWNMSVWMNLLTVRSVTIIMTCSIMXTFPQNXXTLIRIHGXLFXVISRDISYTNYCNIXMILLEILLLGSLISAGFLTCGRHTIIVLITTQRYGPHDILWIXTVAHILPGISXTISVEVSLQAAEAAVSQNLEFLLPTIMMLMPVYNGPHHWSNDFMEILLNTNSDRSNGQIS >ORGLA12G0013000.1 pep chromosome:AGI1.1:12:976739:977807:-1 gene:ORGLA12G0013000 transcript:ORGLA12G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGSLPYGDDGDGCTWADLGSHIYGGGGEVVDDGITCDFLLKLLRAGSMVGADAALLHELESRAAVVSLCRLHALGSKNRDDDPDGVASTSSLEDEAVHNHYQTTKAGCIFLKTTIREDVRTACVYVESLTNELVFTTKDVTQLLVKVHAPQYCRL >ORGLA12G0012900.1 pep chromosome:AGI1.1:12:967467:973466:-1 gene:ORGLA12G0012900 transcript:ORGLA12G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWPVHSILHADVVFLYLSGPNTGNNTGETERYMVSINVQHREAISISKLSPDDSSPPPRYFPSSFNSYINKLSAREKGGDNVTVRTNEKAEYISGLSEDCLALAISLTTPMDACRCCAVSRAFQKAANSDSVWRHFLPKDYLSILARADDRVHFTSEKKLLVSLVKDHVLLDQHSKSLWLERTSLAKCYLLSSRSLAIAWEDHPLKWRWISLPDSRFEEVAELLKVCWLDLCGRVNCRELSPNTEYAAYLVFKLTDDSYGLDCQTQEADITMDDQVVSAKRTISFYPRPRPSTRETLSNMCRIEEAGQAEEPSYPRERGDGWLEVQLGHFYNDLEDTGVVVIRLKEHIQLNWKRGLILEGMEIRRNI >ORGLA12G0012800.1 pep chromosome:AGI1.1:12:943960:959102:1 gene:ORGLA12G0012800 transcript:ORGLA12G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAISEAADSRLITNTNLLHWLMRLHAAAQEAKDVLDEFEVDGSNIARKRKASDLILSSRSLKNLVIADESLKRYGIPSIIFKISRNGKFFSKLSLKNLVIPDESLTRLEHVVKTLTQLCATSATFIELIKMDDSKTNQLHKAAEASSHLPVDVPVFGRDHPESSIGTGKVRAARHNILVLPIVGMSGVGKTTLAQVIYNHARVKQHFEHRAWVYVSEDFTIKRTLQEILHSFQGHGGAIFNGDESMEATITKLRIKISGGCKFFLVLDNMWEEMCQEWSVLLTALSDEKALPWESFWPLFQYHTFGGVEVPQQDDNRNMLLIAQGIAKKLDGLPLAAKVIGNLLRCRFSWDNWRRVAESDWWNLEEALQGILPYLRDRVVQMWLAHDFIQWNEIADVMRPDDVGRQLFDELVERSFFQPTFVSNKYVMPDLVRGLAIQFLYTSASSMVKDQQEYRP >ORGLA12G0012700.1 pep chromosome:AGI1.1:12:935504:939070:-1 gene:ORGLA12G0012700 transcript:ORGLA12G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1R3M1] MERTTEDDERLTDPLLEPKPAINGGGGGSNEEEEEVGSLGRRLVEENKKLWVVAGPSICARATSFGATVVSQAFIGHIGATELAAYALVSTVLMRLSVGILIGMASALETLCGQSYGAKQYHMLGIYLQRSWIVLFCCAVILLPIYLFTTPLLIALGQDPDISVVAGTISLWYIPIMFSYVWGLTIQMYLQSQSKNMIVTYLSLLNFGLHLFLSWLMVVKFHLGLAGVMGSTVIACWIPIFGQLAYVFFGGCPQTWTGFSSSAFTDLGAIIKLSISSGVMLCVELWYNTILVLLTGYMKNAEVALDALSICLNINGWEMMISIGFLAATGVRVANELGAGSARRAMFAIFNVVTTSFLIGFVFFVLFLFFRGSLAYIFTESQEVVDAVADLAPLLAFSILLNSVQPVLSGVAIGSGWQSVVAYVNVVSYYLIGIPIGAILGYALRFEVKGIWIGMLVGTLVQTLVLLFITLRTDWEKQVEIARERLNKWYTDDNGRSQNSRGNP >ORGLA12G0012600.1 pep chromosome:AGI1.1:12:931037:934653:-1 gene:ORGLA12G0012600 transcript:ORGLA12G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1R3M0] MAAPVRSVLPVVLLGCGGVGRHLLRHILSCRPLHANQGVAIRVLGVADSSSLLVADDLHSNGFDDALLADLCAAKSAGSPLSSLLSRGQCQLFNNPEARRKVIDTASVLGKTTGLVLVDCSATYDTVGMLKDAVDRGCCVVLANKKPLTCAYEDFEKLVSNFRRIRFESTVGAGLPVIASVTRIIASGDPVSRIVGSLSGTLGYVMSELEDGKRFSEVVKTAKSLGYTEPDPRDDLSGMDVARKALILARLLGQRISMENINVESLYPSEFGPDAMSTKDFLESGLVQLDKSIEERVKAASLKGNVLRYVCKIESTGCQVGLEELPKNSALGRLRGSDNVKTKEPTSVSRVEIYSRCYESAPLVIQGAGAGNDTTAAGVLADILDLQDLFHKTA >ORGLA12G0012500.1 pep chromosome:AGI1.1:12:927432:930367:-1 gene:ORGLA12G0012500 transcript:ORGLA12G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEQHCGGGGGGGDKEKDLLSAVVGDIRSYSGSDPLPPMAPAALPPATLRAKLPRFLQKCAQEFQDDARYRDDSRYLRVWIQLMDYVKDAKPLLKKMEKNRIGLKRSAFYMAYALYYEKHKRFEDAENMYRLGTQNLAEPVGELQKAHEQFIRRVELYKRRKSRVQQERMPNKVQSIATSKNEVEGQSRSCTKPKSNPVQRSGSGSNPHLGFPHPLGRPLSRGTSGETMSLSRHNSDDTVVVRFVGSALVGKSETEDACHHGLVEPTINTKEAMDAISSMFLEPLEPETKLKRRSNRDKPSFSQEASAFEIFVDEDEPNKSGPSKLQDKNMKQDNPKLSQQASAFEIFVDEDDPYCNNQNMVQHRHFNKNTQVNQNASGFEIFVDENEAHGNGRNAMSHKSSGCPPKPLRDSKQQANFDFQKPFVGGFAILPDDEDEQLEKNDNGVKINSGTVQLTDDKDTSLCSRQTDSKIRCDDLRPAISGLREDTVFHRFVGSAVVGEPKVENACHHGLVEPTVNLKEAMDDINNMFGIPLNFKGEKPKNKKTTALSERKAALLSGFSILADDEPGENPAAQVKPSNASKFECQSGLFEPTITTRDVMAEINDMFGMPLDF >ORGLA12G0012400.1 pep chromosome:AGI1.1:12:926042:926500:1 gene:ORGLA12G0012400 transcript:ORGLA12G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAYPVQLLHRQATAATGGGQWHNLGAAYAAVRFLRPQGRSLVLYSGPDGGAQQRIVFAYPILPGDAFERMDGETLSWEEPECGDEFALCFLDEAACAAVSGAISPVTESLAALDGLAERLAGLRVAREEGAPAGVDIAGRLAAISMGRS >ORGLA12G0012300.1 pep chromosome:AGI1.1:12:919005:920056:-1 gene:ORGLA12G0012300 transcript:ORGLA12G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIKRGNFTAHEEGIIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLAAAANSTSSSNRHPIFADATFPSAAGGSHTVSSNSDVTQMAAIARRSPFADCPSSSYASSMDNISKLLDGFMKTNSPSPPPPPLQHYDGGYYDDVKPAVDVGGNPLLSSFDCMSGADLDCCFDVHQQHQQQQPASFMEYGGYGGGYGDESKQQLMNQAAPPLSTIEKWLFDEAAAEQVADLMDLSDGCCSVPMMF >ORGLA12G0012200.1 pep chromosome:AGI1.1:12:910432:911585:-1 gene:ORGLA12G0012200 transcript:ORGLA12G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGEAADDRMGSRRRSPLFSLPSQGAAAKLEREKKDKMGQEICSAIPPDLQDYDRNFNIGREQTTKRKGQLFHLDY >ORGLA12G0012100.1 pep chromosome:AGI1.1:12:907344:909158:1 gene:ORGLA12G0012100 transcript:ORGLA12G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle 45 [Source:Projected from Arabidopsis thaliana (AT3G25100) TAIR;Acc:AT3G25100] MVRELRLDSFYARLHAAAAASAADASSPLLILPSAADADALCALKVLTHVLSADSIRFSIYPVASAAAAASLLASFSASQPLCLLLINWGAHRDLRAVLPPAATAFVVDSHRPIHLHNLSAANDRVVVLFTTDDEHTADLSYDFDVSSLADASDLSAQGEADDHLRVAEEDEDSDASDSDSDGEGGRRKRRRLSDDAEADGDPERLFGKLRREYYRLGTFHGKPSGCLMYELAHALRKNTNELLWLACVSLTDQFVHERITNERYQAAVMELEQHINGSGNLDPSGVGAVVTLKDGTKIRAPEASRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKRFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNAESKDSKGSSAAEQFWVAYSALSLSNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTDKLCQPQALTKFCFFLMDALRERGARMKPLICACLAREPEKVLVVGVCGKPRLGAVKGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >ORGLA12G0012000.1 pep chromosome:AGI1.1:12:897896:898216:1 gene:ORGLA12G0012000 transcript:ORGLA12G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQQDRSAAKPYANGSTAAAAAAAGRKENNKVVRYRECQRNHAASIGGHAVDGCREFMASGADGTAAALLCAACGCHRSFHRREVEAAAAECDCSSDTSSGTGRR >ORGLA12G0011900.1 pep chromosome:AGI1.1:12:890439:893052:-1 gene:ORGLA12G0011900 transcript:ORGLA12G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRARTLLMPLAAATLLVASTIFLFAATGARWRPADTGLPVPAADFSAAVLESAVTDTTAAAKELSFVDENGRPDDPASSSAAAARCDPTHAAVRVFMYDLPPEFHFGILGWSPPTDGAADAAMWPDVGSGAAAPRYPGGLNQQHSVEYWLTLDLLSSSSPPCGAAVRVADSRDADVVFVPFFASLSYNRHSRVVPPEKVSRDKELQEKLVRYLMAQPEWKRSGGADHVIVAHHPNSLLHARSVLFPVVFVLSDFGRYHPRVASLEKDVIAPYKHMAKTFVNDSAGFDDRPTLLYFRGAIFRKEGGNIRQELYYMLKDEKDVYFAFGSVQDHGASKASKGMHASKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDALDYSKFSIFVRSSDAVKKGYLMRLIRGVSKHQWTMMWRRLKEVDKHFEYQYPSQKDDAVQMIWQALARKVPAIRLKSHRSRRFSRYDRGGK >ORGLA12G0011800.1 pep chromosome:AGI1.1:12:887228:887677:-1 gene:ORGLA12G0011800 transcript:ORGLA12G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRPPPGTVQCFGRKKTAVAVSYCKPGRGLIKVNGVPIELIRPEMLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEASKKEVKDIFARYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >ORGLA12G0011700.1 pep chromosome:AGI1.1:12:854533:857955:-1 gene:ORGLA12G0011700 transcript:ORGLA12G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHICHDNKIKEHFEGSIFWVHVSQEFDNNKLVGKLYEAIFKETSYLRTDQHMVEAISNKLNGNKFLLVLDDAWHKNQYDWERFMLYLKRGSPGSRILLTTRDQEVAEAVESICTYKLAFLSDEDSWNLFQQSLRLAAKGLPSEFIEVGREIIKKCGGVPLAIKTLAGVLRNKKTIDAWCALRDSNMWNVDDIEDRVFASLRLSYFHLPDHLKQCFVYCSIFPKGYEIYKHQLIGEWIANGFINPMNEIEQVEDVANDCFDSLLKVHFLQDLEVDEYNEMEICKMHDLVHDLTRQILQGEMVSHSQNATIGNSQKCRYLSLASCNENIEVKLFSKVHAVYISGDNFAPNKPIKKRCHVRSVNLENMDATNLLLSWISKFEYLSYLRISHVRCRALPEEISRCWNLQALHVTHCKALTTLPESIGKLRKLRTLELSHVWDLESLPQSIGDCHNLQSFLLRYSNIREIPNSICKIKKLRVLNIMYCLCLRQQWSEFFGTFCNLQSINLARIDGTHNLFSSFACHKLRTLTLCETEITRLPQCLTVVSTLEYIDLQNCRGLLELSEGIGNLERLEVLNLKGCSKLGGLPVGIGQLTHLQRLHLFVIGGSCEHARITELRNLNLLTDNDLEIKNIKYVEDPDDAEKTSLKERSCILNLTLDWSSNGAEGCSDSMEDEPLLDMEKELRVLNGLEPPSQIKKLNIYNYKGKHFSRWMMKQRESSCSDSLLEQIDPPHFTQLTELVLEQFPNLENLQGLARLPSLKTFVLKGMPNLVELWTISPALVSGEEGKLYRIDHEQVEHCFPFLSTLIISDCPKLKLPESMQGLTSLQHINLLRCPMLTVLPESLGQLSALQSLFIQNCPGLQSLPSSIQHLTSLQHLLISYNPTLSRHYKNKVGKDWHIISHIPDVEIKD >ORGLA12G0011600.1 pep chromosome:AGI1.1:12:852081:853469:1 gene:ORGLA12G0011600 transcript:ORGLA12G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSPEIALNTGQTTSILSRLIQKRKKSRSKETKKQGHPLHSLATAEQKSQTQMEAAVATPSLLFSSPTPRRPSSCLSLPPPCSSSSSSSYASNGAKLQQPRLQFVSQLTSRNSNGSGRSILSLRCSSSGTDNASSSTTSERWVLEPAGDGDWRHIGYRVARPGGFQIASEAAVTVGRVPEQADIVLSVATGSISGTHARLEKKEGSLLVTDLDSTNGTYINERRLTPGFPTPIDPGSLLIFGDIHLAMFRVSKMVVDVPSDASGDVQEAAETAQVSAAAQQTN >ORGLA12G0011500.1 pep chromosome:AGI1.1:12:843880:847261:-1 gene:ORGLA12G0011500 transcript:ORGLA12G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWAAVVAVMLLLAQVSAAAPVTGPAFLWAPKNYGFSSDEAKEMVHYQTVSPKSLVKSVLEEGGWSNLVCSREDHAKSVDVAVLFLGSKLQSSDISKDKQADSTLVDMLKNSFASSEFSMAFPYVAMSDDDKLEKSLLSGFAENCNNCLGNNHITYTDTCSVSEDLKKHHDMDSIHGLVASQTKKNPSGQTDLVVFCDGGFKDNTKSEGELLSELVTLLKKSGAKYTILYASQPYGLLESPSNLLLGRYLAEKSNTTKPGRGKCDGECLVKSTLLEGSFVGIVLLIILISGLKCMMGIDTPSKFDAPPES >ORGLA12G0011400.1 pep chromosome:AGI1.1:12:832675:833296:1 gene:ORGLA12G0011400 transcript:ORGLA12G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGGAMPALPPGFRFHPTDEELIVHYLMNQAASVKCPVPIIAEVNIYKCNPWDLPGKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKSILSTPTSDNIGVKKALVFYKGKPPKGVKTDWIMHEYRLTGTSANNTTTTKQRRASSMTMRVRFI >ORGLA12G0011300.1 pep chromosome:AGI1.1:12:821174:827142:1 gene:ORGLA12G0011300 transcript:ORGLA12G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHVMGIVLAAMLFPMASSPAVADRAVLGRKGSATTDDDAVEGEATGPGRYAVILDAGSTGTQVHVFRFDKKIELLKIGDDIEVFAKVDPGLSSYAGRPQEAAKSIMPLLDKANHAIPIWLMNKTPLELGGNCWTQTYWRXRGKPDSXSDXEVTTPKLWVXLISEADPCKWPMPSHQAQLLMLQKCPMGRILTLQRSISKKEITMFMCTDHYLHYGARASRVEILKRKNGTFSNCMLRAFSGKYIYNGEQYDATAAPQGANYHKCRDDVVKALNLDAPCETNNCSFNGVWNGGGGAGQDELYVATSFYYMASDIGFINSEAPSAKSTLAAYKIAAKKVCRLSVEEAKAAYPRARDHAYLCMDLVYQYTLLVDGFGLEATKEMTLVKVKHGESYIEAAWPLGTAIETVSPKKKHQET >ORGLA12G0011200.1 pep chromosome:AGI1.1:12:810042:813045:1 gene:ORGLA12G0011200 transcript:ORGLA12G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHVISIAAAAVAMLLLMASSPAVAGTAVLGRKGGAMTDDNVVGEQAATGPGKYAVILDAGSTGTRVHVFRFDKKMDLLKIGDNIEVFAKNKTLINKYCILLGYYFVVKINVDPGLSSYAGRPREAAYSIQPLLDKANHAVPTWLMKKTPIELRATAGLRLIGDEKSNQILEAVRILSEKIYYINETPSDHLLNLIHSFYXRNFTIFEKVPRDIKIFHXKFXYLEVPVSRATKFYTRKYGISLSIYSFTKINQQXPAVTCIMALRLLVXRYXRERMGHLATACCVDSNANSPTTGSSTKQQQHHKVRTTTNADKMWXRHXTXTRPAKPKTAASTGCGMAAAVLGRTRSTSHPASTTXPPILGSSTVRRPVQSLHQQHIMLHQRRFVYXASKKRKQHTQXLVITPTYAWISSINTPCLSMASVWKXRRRLLWXRRXSMESTTXKQRGHWAQPLRLSRPKRSIKSLARGXWRAEAGNPIILYYAMTKVGPRSRPQRKIVPHRKM >ORGLA12G0011100.1 pep chromosome:AGI1.1:12:799917:802698:1 gene:ORGLA12G0011100 transcript:ORGLA12G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHLVVAMLLLLALSPPAVADDTAVLGRKGGVVEGQAAGPGRYAVILDAGSTGTRVHVFRFDNKLDLLKVGDDIELFAKVDPGLSSYAGRPQDAANSILPLLDKANTVVPARLMNKTPLKLGATAGLRLIGDEKANQILEAVRDVVHTKSKYQYNPNWINVLEGSQEGSYIWVALNYLLDKLGGDYSKTVGVVDLGGGSVQMAYAISSNTAANAPKVPEGKDPYVVKEYLKGKDYNIYVHSYLHYGGFASRAHILERKDGPFSNCMLRGFSGNFTYNGKQYDATAAPQGADYHKCREEVVKLLKVNAPCETKNCSFNGVWNGGGGAGQDDLYVASAFYYIASHVGFIDSDAPSAKSTPATFKAVAEKVCKLSVKEAKVEYPNVRDHAYLCMDLIYEYSLLVDGFGLHPSKEITLVDKVKHGEYYIDAAWPLGTAIEAVSPKKRLREIYK >ORGLA12G0011000.1 pep chromosome:AGI1.1:12:791404:793334:-1 gene:ORGLA12G0011000 transcript:ORGLA12G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSRLIPFFSKEDVCNYFSMYGMVQDVRIPYQEKCMFGFVTFVYQKTVKLILAKGNPHYICDARVLVKPYKEKDKVPNKFRHVNHCLIPLCIFAHILPFECYIVLGPRILYRDIASHEASFRMKQDEQQHATELQRCCLMRLPLLNLQDWGHHLSSPMGSHVLLGQVDNKYNINENDNPTHLEDVTFRDNKLKNEFAMREIASTAISTAAKRTVISTEEGKREYGPKAATPNDACGFLESGMEYNLPHSPFSSPTKASNVAATAHTSNISSSSSPHKVASSLFPPTCTLELPPTTHASFKRQDSPLAEE >ORGLA12G0010900.1 pep chromosome:AGI1.1:12:790236:790915:1 gene:ORGLA12G0010900 transcript:ORGLA12G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRIKGVEYDYIEEDLRSKSNLLLECNPVHKKVPVLIYQGKPIAESDVILEFIDDVLKDLRYRILPEDPYECAMARFWSKFGLDKLSPPIWKWFTTQGKEQEDAYEAAMEQLLVLEKVLDEKKFFGGERIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLVKEHLLPLDKLRPRYQAIREAFLSK >ORGLA12G0010800.1 pep chromosome:AGI1.1:12:786758:789132:-1 gene:ORGLA12G0010800 transcript:ORGLA12G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLRRSSQRLPVANLAVYSIQSSHIEFIAGWCRNHSTRNFSVDTSNKVSNDRGYQSRELKPSLVKVIKWMLSKGQGKTIRTYEQLVCALEKDNRADEACRIWELKIAHDLQSVPWRFCHLMLGIYYRNNRLDTLVKLFKNLEACGRKPPSKDIVRKVEDTYEMLGLVEEKKELLEKYKELFDKPSSNDRKKGRQFKKAEKNTKTG >ORGLA12G0010700.1 pep chromosome:AGI1.1:12:781666:782115:-1 gene:ORGLA12G0010700 transcript:ORGLA12G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMRGCADGEKRRPHFELVSAAKKKKTTTTEDDHEEVLLAYRPREKEDGKKRKKVVRRLGKEEVERLLSLKLAVPTLSEEVVKPMPDDDEDDVWQKEVLLRANRLLRESAIRMRKNQELIRSLFEAKGYVDVEDEVSDDEMDMDMQLV >ORGLA12G0010600.1 pep chromosome:AGI1.1:12:766190:770664:-1 gene:ORGLA12G0010600 transcript:ORGLA12G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1R3K0] MKGHHHHHSLPPSPPPKRRCTALAAAVPALVVCSILLPLVFLLGLHRPAGHGSEERAAVVISTEMGFSKHKHLDGRMKHKLLKGYSLYTVSQGFVAILDISRKKIPGSDGILGEKSGSRSKSKNVSTKSKEKLKGVFSLVQLKNETRKNKELHTQRRYQLKDLSWRSKDTTIDKKENQEVEHEENPKSCELEYGSYCLWSVEYKEVMKDFIVKRLKDQLFMARAHYPSIAKLKNQETFTRELKQNIQEHERMLSDTIADADLPPFFAKKLEKMEHTIERAKSCEVGCTSVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTVEYFKSTSIHTVQSNKQKLEDPTFHHYVIFSKNVLAVSTTINSTVMNSKDSGSIVFHLFTDSQNFYAMKHWFDRNMYLEATVHVTDIEDHQKLSKDVDFHDMKLLRPAEEFRVTFRNHSQSFQKQMKTEYISTFGHSHFLLPDLLPSLNRIVVLDDDLIVQKDLSSLWNLNMGGKVVGAIQFCEVKLGQLKAYTEERNFDNNSCVWLSGLNVVELKKWRDLHITSRYEQLLQKLQKDSVTSFPLKVLPISLLVFQDLIYPLEDSWVQSGLGHDYGVSQTDIKRSVTLHYNGVMKPWLDLGIHDYKGYWRKYMTNGERFMTECNIH >ORGLA12G0010500.1 pep chromosome:AGI1.1:12:761610:761903:1 gene:ORGLA12G0010500 transcript:ORGLA12G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDKLDLLLRRMEEYELRREEADRRTRADIRSLIDVVEACTPEVGKEAKDLPASVKKEQSKVTPTTCSTKCSSPDIEPISPWLRWTNVPPLPWPP >ORGLA12G0010400.1 pep chromosome:AGI1.1:12:749977:750384:1 gene:ORGLA12G0010400 transcript:ORGLA12G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGLIRQPTMWRAAASHLLRRRAAHPPPSPATATGAACALRHVRLFSPPPHPPSSRPAEAEAEVTAAEARRLVRLVGVEALKRRLRDGREEVVGYGELLDACVEAGAARTRRDAEALARAMXXXXXXXXXXXXXXXX >ORGLA12G0010300.1 pep chromosome:AGI1.1:12:741548:743312:1 gene:ORGLA12G0010300 transcript:ORGLA12G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WAPPPSSSSSPSATHSSYSSSHAITSLLPPLPSDHHLLLYLDHQEQHHLAAAMVRKRPASDMDLPPPRRHVTGDLSDVTAAAAGAPTLSASAQLPALPTQLPAFHHTDMDLAAPVPPAPQQVAAGEGGPPSTAWVDGIIRDIIASSGAAVSVAQLIHNVREIIRPCNPDLASILELRLRSLLNSDPAPPPPPPPSHPALLPPDATAPPPPPTSVAALPPPPPAQPDKRRREPQCQEQEPNQPQSPKPPTAEETAAAAAAAAAAAAAAAKERKEEQRRKQRDEEGLHLLTLLLQCAESVNADNLDEAHRALLEIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPSPSPAGARVHGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMEALEATGKRLSDFADTLGLPFEFCPVADKAGNLDPEKLGVTRREAVAVHWLRHSLYDVTGSDSNTLWLIQRLWPTF >ORGLA12G0010200.1 pep chromosome:AGI1.1:12:738641:739039:-1 gene:ORGLA12G0010200 transcript:ORGLA12G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVRRMPTKRDGDSAYEWRPLQMSLAEDAMDDARVETGIXXXXXXXXXXXXXXXXXXXXXQSTRTKTKKTAVKLI >ORGLA12G0010100.1 pep chromosome:AGI1.1:12:719050:721654:1 gene:ORGLA12G0010100 transcript:ORGLA12G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTVTDPLEELWNHTMSMDKTHLMCFYPSKITMGGVWTGDNPLDFSIPLLLFQILLITSTTRAATLLLSPLRLPTYISQILAGFLLGPSVLGHLPHFSNLVFPVRSLFVLESMALLGLVYYTFIVGVEIEVSAITRAGIRSFGFAIGCTLPPFLVGALTGYVALSTDDKHKGDTFLNKLSFPIFLGSTFSSTAFAVLARNIAELKLAGTDVGQLTLSASLINDTFAWTGLTVATVLGHSRCTITQTTWTLTSGVVIFGASYLLLRPMLLRLARRAAEGEAVGEDRECWILIGVMVAALVADAGGTHAIFGAFVFGLAVPNGPVGVALVEKVEDFVVGALLPLFFALSGLRTDTAKITNMHSAVLLMVAAMVAAVLKVVAAIGVAGVFGMPLGDGTSIGLLLNTKGIIELVILNIARNKGIMSDQSFTVLVFVSALITAMVSPFLGMVVKPARRLVFYKRRTVAWAHPESELRVLACVHVPRDVPALLTLLDVVTPSSRSPVGVLALHLIEFVGRSSALLLINASAPSSSSYDASVHGRSHTEMQFKHISHAFMAYEEQSVGVSARTMAAVSPYASMHEDITSAAENQHSALILLPFHKYRSVDGGLEVSHPAIQPLNCSVQSFSPCTVGILVDRGLAAVPGGGYRVVALFFGGSDDREVAALATRMVRNPTIDLTLLRFVQKGGSFTASEFDALKERKADEGCLRDFLERANEGGGATVEYRERGVFNASEMVGEIQSVEAMGNKDLFVVGKVPGGSGLTAGMAEWSESPELGPIGDLLASKDFQTTASVLVLQAYGRPAAVVGAGAGAMSVDFGGDSVAMAERTASGRRPWARPGV >ORGLA12G0010000.1 pep chromosome:AGI1.1:12:713272:715908:-1 gene:ORGLA12G0010000 transcript:ORGLA12G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLLSKPKSKKKKEAASSALPTLDRLHETLEMLEKKECFLQKKASAEVERAKDYTKAKNKSAAIQCLKKKKLYETQIEQLANFQLRVHDQIIMLESAKATTDTVDALHSGSSAVKAIHQSVSIDDIENAIEEANEHTENMRQIQEALATPIGASADFDEDELEAELEDLEEEELENDLPEPPQRTSMEPSARVTTTQPANDLAELTKLQAEMAL >ORGLA12G0009900.1 pep chromosome:AGI1.1:12:706793:711764:-1 gene:ORGLA12G0009900 transcript:ORGLA12G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGSSSAKLVAACVIGGIVLGASVVALHLAGPVAIPALPPVDALRRRFRRGRRRPVRVYMDGCFDMMHYGHCNALRQAHALGDELIVGVVSDDEITANKGPPVTPLHERLIMVRAVKWVHDVIPDAPYAITEDFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSTTDIVGRMLLCVRERSASDSHNHSSLQRQFSHGHGQKIDDSGSGSGTRISHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGPHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFMKDDLNPYAVPRAMGIYRRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYDSKSFVNGE >ORGLA12G0009800.1 pep chromosome:AGI1.1:12:696040:700320:-1 gene:ORGLA12G0009800 transcript:ORGLA12G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLHLLQHPVKNAVAERKHTRISSDMSDPSTPRKIEDAKNISIYNDVIDFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVRFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRTATPLSWATRMSIALGAAKGLACLHNAERPIIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREHSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVETLEPLQGSGGSDGAVQSVLGSGLPSYRVNRRLTTNSVHCRAIPNPKCSPAVPACRVR >ORGLA12G0009700.1 pep chromosome:AGI1.1:12:693014:693506:-1 gene:ORGLA12G0009700 transcript:ORGLA12G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPHVSISLTGLAMVVLLIFSSSFLQAAQGSDKKMAMKYDVPVKRLVYRPSAMQAAVIGTEAAAYEPFELCMGCRCCASSNASSCVDTRCCYAIDCNIPGKPFGVCAFSPHSCDCGATNCTSQQQP >ORGLA12G0009600.1 pep chromosome:AGI1.1:12:679213:680898:-1 gene:ORGLA12G0009600 transcript:ORGLA12G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHPSYQRQDAAAAAASTAAPRAAVYTVWKRSSMGFQGTDGFSVYDDAGSLAFRVDNYSRRRKLFSGDLLLMDGHGSPLLALTPQIISMHDQWNCYRASEEGQGKRTRSQQLFSMRKCSVMQSSHEAEVHMSGCTHASSDRTGHVPAFSIEGSFKRRSCKIRNSGGEEVARITRKKAGAASLSLTLAEDVFSLEVQPNVDCAMIMAFVIVLDRICWKPYTPMICSS >ORGLA12G0009500.1 pep chromosome:AGI1.1:12:674758:676210:1 gene:ORGLA12G0009500 transcript:ORGLA12G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSRYRFGPHEIAERQVFRTSPLSFAIVNLRPTRPVHVLICPKSLVKRFADLSPDETRDLWIMAKDIGVRVEQYQRASSLTFTIQDGPHSGQTVPHVHVHIVPRRKEDFENNDNNNGMMNAKNETLDLDIERKDRTMEEMAQEAKEYRALFS >ORGLA12G0009400.1 pep chromosome:AGI1.1:12:659927:660601:-1 gene:ORGLA12G0009400 transcript:ORGLA12G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVHPNVAAAPRPPCITTTSIVSQQQQQEEEPPVVLTVWRKSLLFNCHGFTVFDAKGNLAFRLDCYDATSSRRADLVLMDAAGKPLLTIRRKRLSLYDSWIIYDGDGAATATATPLLSVRRHLRFGLRASKAIAHVTPLSSSLPLPEAYVVEGSYGRRSCAVRDARGDAVAEVRRKESVGDDVFRLVAQPRLGAPLAMAIVIAIDEMLRGGSSSLLRRTCSA >ORGLA12G0009300.1 pep chromosome:AGI1.1:12:652321:654997:-1 gene:ORGLA12G0009300 transcript:ORGLA12G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPGVLLKLLQAMHTDDRVAGDHRSPVLQVTAVVPALTASTADSLWPSNGFLLQLSDGLHSTYVQPSSADADALVSARPQLVGHLVHLDRLRFARPVPRAVGIRPVPSSRSVSFVGNPEPLVARPAACSRGYVIQPGSHSDAVPPLMPSSSGNAAQSDATNAINRTVLAHKNVPEAAPPPAVSAAKRRFSSPAPSKQRDPSPSVKGGASRPSSPSVKGASRASSPAVRGTPRATSPAPSKCVVPSLVAAKEENRRTAREPAIIVPSRYRQPSPVGGRRGAGSPAPGGRRASLSPSSRRLSGEGSSKKKVGVLVAGISKMTDLTNGSAVKPGRKSWDNTSIAAAAGSVMKSKVKVDKSTILRTQEAMARRLSDVTTELSSNDDDSSVDEKPKPRKKIESPAVKTKAMAPKIMLHDPKWTDGSIPLDGVSDVLSKMGKEATERRDAAAIAAADALQEALITESVIRNLSKFSELTSASKTSNPLPTVDIFLAVYEDTLKWKKIAESISTNRTETASWENSATHWVEAALATDLEVLKLMNKAPESLSRKRGADKPKAPLVVEAPRTTISKRQSHGTSAKVQSKVLPTSSASCAWNKTQGVNETAELATTLCCEMHTWFLKFVDEAMDLGFHLFEDQNVASRGKQSSHITMVLSQFKKISDWLDGVGKIAEEATTKDKVEQLKCKIYGFVINHMGSAFDSSVSISSRN >ORGLA12G0009200.1 pep chromosome:AGI1.1:12:649587:650288:-1 gene:ORGLA12G0009200 transcript:ORGLA12G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTATAAGNGSCSILPTHTTTAPPFRAHKDADLESTTRRRRRRCLCCCLLVTLVVLLVLAITLLVLFLTVLRVRDPTTHLVSTRLTGLSPRLSFPATSVQLNVTLLITVAVHNPNPASFTYATGGHTDLTYRGAHVGDAEIDPGRIPSRGDANVTMALTLQADRFAGDLTQLVSDVMGGSVALDASTRIPGRVAILGVFKRHAVAYSDCHFVFGVTEMAVRSQQCSDRTKL >ORGLA12G0009100.1 pep chromosome:AGI1.1:12:647745:648467:1 gene:ORGLA12G0009100 transcript:ORGLA12G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGVDKNVIDNSLVSNCDFPVVKKLDKCVNEEASVQSPLESKDTRSLGMVCDHENNKSGVAEVITPPENEAIESYISKSVADEDPSYGCQTPRESIFDPFAPGPEELACAPKKKVTKAPELPSRRQLSFDSGDYPVKRLSFEFDDAEEDQFLERICMMFIDLIISNQALETTGKDLIGSNSPGSCETPSSEPLLTGIADTCPDAPLRRPLKAVQLSPSICRKLDFDSVSPRCLFVKENK >ORGLA12G0009000.1 pep chromosome:AGI1.1:12:640169:642230:1 gene:ORGLA12G0009000 transcript:ORGLA12G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLPAYPPSLPPRVASRLSPPHNHQSRVDLLRVPLLRAPATNDGALCCGNLLLSRWSVLHAATAAVSTPSMHAVVRFAWMSDLSLMGGVVRTGVVAFKHWALAMPSLLLVVAAQGMVLYMASNFLLQLAWPLSMMNLPAACGTAIGEDKPIEAISITGILCASPRQCTVRGNSKGLILPINSNH >ORGLA12G0008900.1 pep chromosome:AGI1.1:12:638111:638524:1 gene:ORGLA12G0008900 transcript:ORGLA12G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRLTPHYSQMDQGVDKNVTDNSLVSNCDFPVVKKLEKCVDEEVSVQSSFENKDTRSLGMVCDHENNKSGVAEVITLEKEAIESFSSINVADEDPLYGCQTPRESIFYPFAPGPEELACAPKKNVIKAPELPPRRQLSF >ORGLA12G0008800.1 pep chromosome:AGI1.1:12:630853:633724:1 gene:ORGLA12G0008800 transcript:ORGLA12G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFFSSQQWLALIPPVILCILLFFYVYIILWLRPERLRQKLRSQGVRGPKPSFLFGNIPEMRRIQQLAKSAHEQEAGSADMFSSNYVATLFPYFLHWSRVYGSIYLYSTGSIQVLNVTDSNMVKELANCKSLDFGKPCYLQKERGALLGMGILTSNGDLWVHQRKVIAPELFMERVKGMMNLMMEAAMSMLNSWKNEVEDRGGSAEIVVDEFLRTFSADVISRACFGSSFSEGKEIFIKIRQLQTTMAKQSLLIGVPGSRYLPTRSNREIWNLDSSIRTLILNISKKYEHVSSTLVNNDLLHAIIQGSKDGPLHSCTPEDFIVDNCKNIYFAGHETTSTTAAWCLMLLASHHEWQSRARVEFLDICQGKPLDFDILRKLKMLTMVIQETLRLYPPASFVVREALNDMKLGGIDIPKGTNIWIPIAMAHRDPSVWGPSADKFDPDRFANGIAGACKPPHMYMPFGVGVRTCAGQNLAMVELKVVLSLLLSKFEFKLSPNYVHCPAFRLTIEPGKGVPLIFREL >ORGLA12G0008700.1 pep chromosome:AGI1.1:12:624882:630646:-1 gene:ORGLA12G0008700 transcript:ORGLA12G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCQKNPKYKAYFEEKFQEKLSKYVRVVLPKLRPAIQKDSVKQFFQVYNCWSGFMGRGNFLLPDILTNMASQNALRCARVALQGTSPLLRRRRADPNTRHRYGFAPLHMAAENFSVDMVKLLFRYGASANIRTKGEYVIEGLLPLHVAVENASMHKYIEDHWAHGDHIINLIFLLCLPEMKMFLDTTRLIAKQTDNIVDEVWNYIREEKHVQAAILLLAAQKQLRGRLNNSSGKASLNGFDIVKSHIDDALNTIHLEGLNMVKEGKNGRALKRLKDKKEALLTALVLVGIVHKAGEALEGYIQTHSQFRFLXICVMLTSHDFDLLVTHFLXICVMLTSMILXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFSFSFWXYVNSWRSSCCIVSTSHIHIIKRSXKELDPCSXWHLLASIFMXIQEAEXQAQHSGSILLGSGLMHESKIYGNMGVDAVCSXCEARWPTIFXMPTSLMHKSRXHLTSKSIQCCQLLYYTHAYQLLSPCTIRFPFLKKIXEEGKRSSSVSAAECKKGKKDMYPVQRGRAKRKKKNIDEGRGALSKLAEQSIEQETEQRRGKNKYLSCATVDSWGSGEHEGEADPSEKXGRXEGRLVLCISPFSFSISRPLAPLTHQPFDCARHTCSPHMCVPPWHLRKAKRAICSLPLVLGRERRCLYDTLKTKNXYLHELXKSIGVHXRXVYLFGIRNIXNLXGRSIVLKYGLRIKIXXHPPLDWATFXSGTPCKFSKASFLAWKLSLXVFVNIFNFIHEYMRIQQFXLXLHIYSASILFFFKLRANIKGKMSKKVRSCYVGDHEANKGNSTXIMSRXPAKGXRXIDDSVKGDGGLSLAPLEWKPDIGYAALVNNICLSGSLYILSLTMTMTRNHHIVLSTSLSYFNXIQALRNERNKSWTTLKQLLIMTQITXPEGLHYGSFCWCXPVLFLPHLFLLFLTWHVHVKRKTHVGLLFSFLFICFILRACXSLVRMHVSKIVTLFHPTSLCTRRVGSNIFCSTLLGSVSKARHFTXEKXKILCWGPWQXNCKFHLLIFSCKQILGKQPPKGSAIDEVRDMFFPYWKSVLSRRLQLKIVPSCQLSRKDLLSAEASTKGTKSIDHPCNPIKSIGNLGSMGWPPLSSESRRMLYTVASMSRKVFKRT >ORGLA12G0008600.1 pep chromosome:AGI1.1:12:609111:610076:-1 gene:ORGLA12G0008600 transcript:ORGLA12G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CHIFFKSLSFPFFPLLFLSRTLECAVAGDERSQRPSSASMSSLRPCRGHWRSTPGAACTTVETSHNASTPTSCATLDACPSEHHRPLLAEKQRIRSPPPIWPPLPAYGPELQPPLHPETTPPSRRSASWVMARSPPLRWRPRKQARKISSEEKSKADKRNRSINAMLSLSILLLLLELSRSKKRQHGGVVLGTAAAATHLTFVAAAAAHLAAHDAERGQGAAAGRW >ORGLA12G0008500.1 pep chromosome:AGI1.1:12:605169:606985:-1 gene:ORGLA12G0008500 transcript:ORGLA12G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGPIAADDRRSSYPQSPQGSSSSYSYTSIKSMNEPKLGLWETLARKAKGILDEDGTAHKSDEYTKQKTPRQFDSSTGAQESRSRWSFENHSRTGDTGSRTRSETLAASVNQLGGRIRDALEEGLTIVDNKTSNIIEETKKIQIRRKQANSNSYMPNPAFDTLRPPNLSNDQAETAAQETQLKASRDVANAMAAKAKLVLRELKTVKADLAFAKQRCAQLEEENKFLREAKQKGSKTEEDDDLVC >ORGLA12G0008400.1 pep chromosome:AGI1.1:12:598542:599475:1 gene:ORGLA12G0008400 transcript:ORGLA12G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRAYWDKALTKIFLDLCIAEKIKRNYNKKGLTNIGWQNLYRNFREQTGKNFDSKQLQNKFSTMKRQYKLWKSLKNMSGGGWDNNSGTIRCDDDWWEDRIEENRDAGQFRGKPLEHEDELTTLFGCMDTEEGTMLCVGGIGERTPSGGSDDNLTPMSNDNVGLSSAGRVAQRAGKEQVVDSPPPKKSKNMEYYVGCISESMLERSRNESSVLRGEQDEMKELLRLVEEDGVAQGSELYFIATDLFRSAARRAAFRCIYPAENRKAWLRWTWDNARKK >ORGLA12G0008300.1 pep chromosome:AGI1.1:12:594614:595552:-1 gene:ORGLA12G0008300 transcript:ORGLA12G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLACVCLLHLLFLATSRVAAQASSPARALDAMLQDHAYRAFVHPHTGIVYNATVPANLTGVALSAVRLRSGSLRRKGFSDYFDFTVPTGIVVQPYVERVVLVYHNIGNWSDHYYPLPGYTYLSPVLGLLLYDAANLSAVGLQELSFVASGSPISINFSDVRSVPAGGPAPRCVWFDLDGVPQFRDLEASNVCSTYRRGHFSIVVNSSAIPPGPVPSGNITPPIPTPTGRSKGSSKGWKIAVGVVGGVIALVLLASLVVCLARYKRDKKLELMEQNAETGETLRMAQVGRSQAPVALGTRTQPVIESEYVA >ORGLA12G0008200.1 pep chromosome:AGI1.1:12:583096:586563:-1 gene:ORGLA12G0008200 transcript:ORGLA12G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGLASDSPASFPFSAAKLAASPRFCNPISRXXXXXXXXXXXXXXXXLYLSLHNYTSVPILQFPLVSRCGRIRRMVAESKDPDLSKLELVNVPGGALAFELAAKFCYGSNFEINTVNVAHLRCIAEYLEMTEEYQEENLIVRTETYLNEIVVKNLDKSLEVLCACDGLDPTVEDVGLVDMCVDAIAMNASKEQLVSGLAHLECDVGSGKLRMHCQDWWVEDLSALRIDYYQRVIVAMRRTGVRPESIGTSIVHYAQTALKGIERRHVWDSGPLVGDNQRVIVETLIDLLATEKITSVTLSFLFGMLRMAIEVDAGLDYRIEVEKRIGLQLEMASLDDLLIPATQTSDSMFDVDTVHRILVNFLQRIEEDDSGNLSPCGYESDDGLKSPSHSSVLKVGRLMDGYLAEIAPDPYLKLQKFMALIELLPDYARIVDDGLYRAIDIYLKVAAEIATEESLPISKFVGLAGA >ORGLA12G0008100.1 pep chromosome:AGI1.1:12:579804:581674:-1 gene:ORGLA12G0008100 transcript:ORGLA12G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERSGTQLWASGRPFIIHGFNTYWLMSFAADQATRPRVTAAIAEAAEAGLNVCRTWAFSDGGYRALQTVPFHYDEDVFQALDFVVSEAKRHNMRLILSLCNNWEDYGGKAQYVRWGKEAGLDLTSEDDFFFDPTIKSYYKAFVEAWIEEMASYVKSIDLVHLLEIGIEGFYGLSTPELLPVNPDEYSGHAGTDFIRNHQAPRIDLASIHVYSDTWLPHSIKENHLQFVDKWMQQHIHDAANLLGMPIVVGEFGVSVKDGKFGNEFREDFMKTVYRIFLSSWKEGVIGGGCLLWQLFPEGAEHMDDGYAVIFAKSPSTLSLLANHLRCLEC >ORGLA12G0008000.1 pep chromosome:AGI1.1:12:577802:579072:1 gene:ORGLA12G0008000 transcript:ORGLA12G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQVELVILVGHGSGGLSLIHAMHQFVDRIRQAIFVAATMLPFGLQTDEDKKDGLPTLPENEINLIFGTGADDPPTTAVLRPEFQRERLSQQSPEEESVLASMLMRPWPVTAISTASFEGDDERLNRIKRVFIKTERDHMLNPQQQDSMIKKWPPSEVLEIDTDHSPFFSAPEQLFNLIVKSL >ORGLA12G0007900.1 pep chromosome:AGI1.1:12:574780:575909:1 gene:ORGLA12G0007900 transcript:ORGLA12G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDAGDDFSESCRSTEHFVLVHGAGHGAWCWFRLLCLLQDSGHRVSAVDLAGAAGSLVDPNDVRSFDDYNAPLLDLMASLPAGDKVILVGHSAGGLSVVHAMHLFGDRIKQAIFIAATMLQFGYQTEQDIKDGVPDLSEHGDVYDLTFGLGADHPPTAVELRKEFQRMILYQQSPQEDSALASILLRPWPTALSTARFTGDDGGVESFIDRVRRVYIKTENDRMVQPEQQEAMIRRWPPSKVMVMDTDHSPFFSAPELLFNLILKSL >ORGLA12G0007800.1 pep chromosome:AGI1.1:12:565750:568441:-1 gene:ORGLA12G0007800 transcript:ORGLA12G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKLRFVRCPGCLQLLVEYPTIAVYQCGGCGTVLRAKNRVAPATNTNAESGEHNEFSNISTGDSQNNKLICTDGQKISPSSNAQPGVVQEKITFASEEKTVSTSNSIDSNEHVNIECSLFDGDASNHDVRTEGINDEDKVTVSNSTLDLVRKVENVETDGNEKGSFTDDGSISNEVATTQSMVHMDGAGSDNNFTEVQSAAEGKCTLSDANLDSQEIVAICQPDNISVGTKERVQLYEGFHVESHEDLIEELVRSLSLSDDEEEFVDIAENSELNDALRSQMGSCRFSLGSKMNNGPRTDPHGRLIEELEMSFSDAEEPLDQNIMVSLNDIEKPILDEVSKENHILEEDGKESHILDVDGKESHILDVDGKQNHILDEDGKENHILNEDDKENLILDKGGEDTLDAGGANSYEERILPSDDGLLKSGQSFQQCELAAVNMAEKDEGHLEETNMANHAEANSGIAAVLSNLSNDKFCAILPPSCDGRKEEKSNIHRGRELCQGFSLDSVDFRSIQNFIESQMDGTSSSLSSGSPSHGDLEHNRSNRFKKIDRLERLRKMDDLRDQLNRLSSQKGLENRYKNKGPGLLQEQISYRHLEQHPCGFDGDSILDSDIIDSYYDQGNPPRYPPPDPFSPTHSHYHCGHGQPHIPYNCSAWEFNSYYQSSYAGSTVLEHESLRSSYKEQKRAVRKSILRSLSGASPFTICNGCFNLVQVPSDIYISKKKIAKFQCGRCSKALMLSFPATNSEDAKLSNKEVNRKPNKPVHNSVVGMEGGYSFSAECSRGDPVSISEECGASISRSFSGRTRAAVAASGSGKKVSDSALHRLMGYDSASQLLRRSRAFDDGYDSFESMVPVSNRVSRRKNL >ORGLA12G0007700.1 pep chromosome:AGI1.1:12:558023:560262:-1 gene:ORGLA12G0007700 transcript:ORGLA12G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAYCMMMVGRERELVAELRHLLFPSPSPTTPASHSTTALAGDDECLPPGLTTTTTVSGGGGRRRGRKRVRRDNDNLKLLQADDDQEVLAAAVHGDANAKPLPNFTKTSRRKQQTTTSTMVTTVPDFDGYQWRKYGQKQIEGAMYPRSYYRCTNSTNQGCLAKKTVQRNGGGGAAGYTVAYISEHTCKSIEPSLPPVILDTTVRATNNHHPPAASSSCAAQSPAAAATSSSSDMMMTSTSSTSSETGNWSGQHGAYACRRQMIAADEEYCCWDTPATTTTSGSDGGNSSTCADQEIDLMSGPIRSPLHIAADGNWVDDLLLVGDGLIDISSANITHLFSF >ORGLA12G0007600.1 pep chromosome:AGI1.1:12:551138:552376:-1 gene:ORGLA12G0007600 transcript:ORGLA12G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSNKRPLVADQWHPSSVCCDHRAALREIARGQSLVTQLRAIVLPALHSDERGDLAAQMLEGILDCSRKAISELQLQLSSDSPHDDDGHLDDKRRVRKIVSSSSDDDDHCSSKAAEDHNAKPLRQHKRRRFGDSVSLETPVPHYDGHQWRKYGQKHINNSKHPRSYYRCTYRQEEKCKATKTVQQREDLHHANSYNGDHPVMYTVVYYGQHTCCKGPAASADDHVVVEASQISTDSHCQSPGSSSSELQAAAHAGDSSQCSNISVTCSSSVVVEDCNKLLDMLPAADELTTDVLLFDMTAYAPLDLDINWEMDTNALWA >ORGLA12G0007500.1 pep chromosome:AGI1.1:12:545129:545791:-1 gene:ORGLA12G0007500 transcript:ORGLA12G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNLIDPLDYDRKNAEHTGSTVAQAPHNDGHQWRKYGQKWISRAKHSRSYYRCANSKVQGCPATKTVQQMDSSGNGTSKLFNVDYYGQHTCKGDGIANPYVVDTAHHSMEPINQNECNSPTLEHEAHEVQDERFENLCMVQNMPEYLIDFELERAFEFIMNSPLGSEHWTFDDSIRCEHSPICIWG >ORGLA12G0007400.1 pep chromosome:AGI1.1:12:540674:541051:1 gene:ORGLA12G0007400 transcript:ORGLA12G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRCRKSCCLHWMNYLSPDLKCSNFTDDDDELTIKLHALLGNKWNTHIKRKLMSQGIDPQTHQPVSARTSVAAASELTTTASIVGFPSLQAPAPA >ORGLA12G0007300.1 pep chromosome:AGI1.1:12:530840:534200:-1 gene:ORGLA12G0007300 transcript:ORGLA12G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSVPSYPSDLGSSRARTPQQQRVSPRKEERTWTTDTYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKNDMKCPATKQVQQKDTNDPPLFSVTYFNHHTCNSSPKIVGSTPDSTVQSRKAISICFNSHGKTGELPTFLSPSASLLSPSMQPYSSNQQPDMNTYSRQFHWADTSSSTSYAPVKMEADDYAEASASPSTTGALSRTLLPIGQSRCIEYFHFL >ORGLA12G0007200.1 pep chromosome:AGI1.1:12:529693:529926:1 gene:ORGLA12G0007200 transcript:ORGLA12G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VATTATEPTTGAVGEAEMELTAAQEVPGSTARQRERTGPMSSQVSRSSSLKAWKAMLPTKPPVLPACRRLLSSPANR >ORGLA12G0007100.1 pep chromosome:AGI1.1:12:517095:518382:-1 gene:ORGLA12G0007100 transcript:ORGLA12G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTESVCLSDEQQAVAVREVAQVYELIKTQQPLLLVHQQPQQLAHGLLNHAMRALNVALSVMNQPHASSSSAAAAAAGHHFPVMTMIKAESTPANSPAADVSDNHVAGKARRSSPAKRRRINCEDKSSWVYHTVVPHEDGYQWRKYGEKKIQGTHFTRSYFRCTYRDDRGCLATKQIQQEDKNDPPMFQVTYSNEHTCTTTRLINNTNNNPAALHSLTANPNGHPDDDSDDTILTKMIKQEQQAAWLPSPPPDLTTISNNFDETPGLHVSQEVPPCSSNSSAISHYADEFDHHQMGQQLETTVMEEALGLGADLDDPYFYDPNLLLIYENLMNCY >ORGLA12G0007000.1 pep chromosome:AGI1.1:12:511635:515467:-1 gene:ORGLA12G0007000 transcript:ORGLA12G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSPNSMLWLVLLVWAALLCGSCHGRFVVEKNSLKVTSPSDMKGTYECAIGNFGVPQYGGTMVGVVAYPKANKKACKSFDDFDISYKAKPGSFPTFLLVDRGDCFFTKKAWNAQNAGAAAILVADDKTEPLITMDTPEESGNTDYLENITIPSALITKSFGDKLKKAIDNGDMVNVNLDWRESLPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQVLEKKGYTQFTPHYITWYCPDSFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVYKVAKEHGKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGDPDADKENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKAANISACKDTFRGRVCECPVVKGVKFVGDGYTHCEALGSGRCEINNGGCWKDSRHGRTYSACTNDGCKCPDGFKGDGVHKCEDIDECKERTACQCKECKCKNTWGSYECGCSGGLLYMKEHDTCISKNAATEVGWNFLWVIFFGLVVAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVPNHSQQIEL >ORGLA12G0006900.1 pep chromosome:AGI1.1:12:506743:511094:-1 gene:ORGLA12G0006900 transcript:ORGLA12G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEALPRARDGAPESVASCPASSPPARRARTEGSRRRTGTRTGSRPARCRCRSPGWTARRGTRSRSSPSSTAAPAPPRRTPSSTRCAPAASTPGRSCATSSTRSPPPACSTTSPSKPSEVVCEVVEGDITKVEYQFVEAMSSTATPASLSLIGSFLNSITVKLHLRPGHIYNNGAGKQALKNIDSLGLFSTVEVEPRPDETNQGGVIVAIKLKEHDPKSAQVITDWSIVSGSQGTPTLASIQPGGTVSFEHHNICGLKRSLIGSVTTSNLLNPEDDLSFKLEYAHPYLDGVDNRSRNRTFKISCFNSRKLSPIFVAGPNMYEAPPMWVDRIGFKANITESFTKQSKFTYGLVVEEITTRDENNNICTHGSRQLPSGALSMIGPPTTLSGTGVDRMAFLQANITRDNTEFVNGATIGDRCIFQYSEQSFLVGYRWIKASVLEARTLFNRHQLTVTKFINLNKQEKGPRKPPPAVLALHGRYAGCVGDLPSYDAFALGGPHSVRGYGMGELGASRNLLEVATELSVPITVKNRHTQVYAFAEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGVGVKLGAVRAEYAVDHNAGTGAFLRFGERF >ORGLA12G0006800.1 pep chromosome:AGI1.1:12:502621:506145:1 gene:ORGLA12G0006800 transcript:ORGLA12G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRHGHLSPSGATAPPSSFAQLRRPSSCLAGPRPLRSRLTRVYALSSNDIRVGSNLEVDGAPWKVLEFLHVKPGKGAAFVRTKMRNYITGNTVDKTFRAGSTIPEASISKETKQFTYKDGAQFVFMDLTTFEESRLNESDVGDRQKWLKEGMDCNLLYWNGRIIDFDLPITIRLTVTDTDPGQGDSAQGGTKPATVETGAVVTVPSFVNVGDDILIDSRTGQYMNRA >ORGLA12G0006700.1 pep chromosome:AGI1.1:12:501777:502013:-1 gene:ORGLA12G0006700 transcript:ORGLA12G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKAAEGELGEAEDSGWDEELPRARPPPPLCPRGWPTMLGEAPPAGEGEEAEEEIVSWSPAASPSLPNSLAAALAFAAPP >ORGLA12G0006600.1 pep chromosome:AGI1.1:12:498493:499669:1 gene:ORGLA12G0006600 transcript:ORGLA12G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPFLECLNWRSDPFAGWFPPWCDRPLCTCGDRCILKSSLMVETRGRRFFQCANLDQTYRTLCNYIEWVDTENLQNDGTRPYARSESRSDYLRRKDEHERRIAVEALEWQGNPRRCAFTLWIDNVNPTYDGQKITESETQVEYQREKDHQNAIHVERRGRGR >ORGLA12G0006500.1 pep chromosome:AGI1.1:12:492972:494098:-1 gene:ORGLA12G0006500 transcript:ORGLA12G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:I1R3F9] VGTEMATVEVEGIPFPQEITVSKPLSLLAHGITDIEIHFLQIKYNAIGVYLEKDNVLGHLESWKGKKAEELVQDDGFFQALVSAPVEKLFRIVVIKEIKGSQYGVQLESSVRDRLVSVDKYEDEEEESLEKVTEFFQSKYFKPNSVLTFHFPNTPGIAEISFVTEGKGEAKLTVENKNVAEMIQKWYLGGESAVSPTTVKSLADQFAALLSA >ORGLA12G0006400.1 pep chromosome:AGI1.1:12:471942:472395:1 gene:ORGLA12G0006400 transcript:ORGLA12G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:I1R3F8] MAGARRTMALVALVAVVAAAVVAERASAAVSCGDVTSSIAPCLSYVMGRESSPSSSCCSGVRTLNGKASSSADRRTACGCLKNMASSFRNLNMGNAASIPSKCGVSVAFPISTSVDCSKIN >ORGLA12G0006300.1 pep chromosome:AGI1.1:12:467416:467908:1 gene:ORGLA12G0006300 transcript:ORGLA12G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:I1R3F7] MARAANNKYVAAVMVVALLVAAPAASAVTCGQVVSMLAPCIMYATGRVSAPTGGCCDGVRTLNSAAATTADRQTTCACLKQQTSAMGGLRPDLVAGIPSKCGVNIPYAISPSTDCSRVH >ORGLA12G0006200.1 pep chromosome:AGI1.1:12:462308:463882:1 gene:ORGLA12G0006200 transcript:ORGLA12G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTTTTTASYLRLLRRFPRRSAAGGHQLHALLAKLGLLHRSEFLSALLSRLPPSPSALSLLLEAPPAVLSPSLVCPVIVAFSSSQAPSSALLLFNHASSCSLPTPLPTFPALLKSCARAFNHSSRASAASVFVSKGMELHCRVLKLGCGKDRYVRNALVSMYGKFGRLGDARKAFDEMPDKNAVSWNALVGAHRAAADWMGADRVSQAMPVRNLSWWNAEIVRNVRIGYMDEAARIFSEMPERDAVSWNSLISGYTKLGKYTQALGIFQEMQENGIQPTELTLVLVLGACAKIGKLDLGTNIHRNLQNKGIVADGLVGNALIDMYAKCGMLDLAKQVFDRMSMRDITCWNAMIVGFSVHGCSREALELFDSMKIEPNPVTFLGVLTACSHGGLVNEGRKYFNSMIEDYRIVPDVKHYGCMIDMLCRYGKIEEAYLMIKENPSTASSVLWKMLLAACRVHGHIDLAYMFFHELRELILTDNGGLVTISNVYAEAKRWDDVEHLRMKVRCNSALKHAAHSQMDVM >ORGLA12G0006100.1 pep chromosome:AGI1.1:12:454758:461748:-1 gene:ORGLA12G0006100 transcript:ORGLA12G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNQGCSPALEPVPTPPNPDPSSISQEAWDPLEAAAGAVVARIQPNPPSEDRRAAVIAYVQGLLRFNVGCQVFPFGSVPLKTYLPDGDIDLTAFGHSSDEILAKQVQAVLESEEARKDAEFEVKDVQYIHAEVKLVKCIVQNIIVDISFNQFGGLCTLCFLEKVDQKFEKNHLFKRSIMLIKAWCYYESRILGAHHGLISTYALEILVLYIFHLFHGALDGPLAVLYRFLDYYSKFDWDNKGISLYGPISLSSLPELVTDSPDTVNDDFTMREDFLKECAQWFTVLPRNSEKNTQVFPRKFFNIVDPLKQSNNLGRSVSKGNFLRIRSAFDFGARKLGKILQVPDNFTVDEVNQFFRNTLKRHCSRVRPDVQEIALDFNGERADNDSSPLYSNNSFGDLSDEFNNISISDSSNHGSLRQNGWNYVAENKERKSVSGGWLASKATNPAATNSTGMTNGSDSCEPASPSITGAHSLPSEEGHDALDLFNESESGTKAGIKYDTNPSHHGMSTVSYAGRSHQSFEEVDNDDRGTIDSNWSDLTGDYTTNFNNLLYAQGFHQDYPMNQYYPFGPVYYQMPSPPPARYQNRRSSNGHSRNNVYGYAGTNGIGPAPCPPGYLIMRRYSQIDDSHRARGTGTYFPNPNLSKDRSPSGRGGRGKTHFLSHNHQRPHHYGRADMSADLTPSEELRHVYDPDANDLGIPSSLHISIPSPSSEAPREIVHGNGFIQPPAKKLEFGTLGALPLEVTSQELGINRLNTASDSQPSASASPMSLAHNPGISSNQMRNAQPYHLKDNGDFPPLSS >ORGLA12G0006000.1 pep chromosome:AGI1.1:12:444535:449912:-1 gene:ORGLA12G0006000 transcript:ORGLA12G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARRCGDRRSERSSVVGDNRNGYVETDPTGRYGRLSEVLGKGAMKTVYRGFDELRGVEVAWNQATISDVLRTPDALHRMYAEVSLLADLRHDAIIAFHASWVHPSRRTFNFITELFSSGTLRSYRLRYPRVSRRAVATWACAILRGLAYLHSRGVIHRDLKCDNIFVNGHLGQVKIGDLGLAAVLRGCTSARSVIGTPEFMAPEMYDECYGVGVDVYSFGMCMLEMLTNEYPYSECDNPAQIYKKVTAGKLPDAFYRLTDADARRFIGRCLVDAAHRPSAEELLLDPFLSPPQNYDDHNTVAHATAPPPPLPLACSNSSEEQEEEEAPAAKTTGMAITGKLNKEHDTIFLKVQIGGGGNVRNIYFPFDVANDTAMEVATEMVKELDIADREPTEIAAMIEQEIVRLVPGYKQHEYSYADDDDDDDVNGQPNPFYYLSSSPTSSQGSLCGVGPTSSEGFPGPHGKVDWSRDYCYYPPSSVSVSDDDDSSTSSLSAAVSAISLQQQHCSASSSRLGPASASASEDGGGHAGRPRQREGEEERRRRRMSRNRSMVDMRSQLLHRTLVEELNKRLFFNTVGAVHDIGFRDPTAYGSSSSSSSSQHRRRSSSKVDHKHHYMF >ORGLA12G0005900.1 pep chromosome:AGI1.1:12:442318:442614:1 gene:ORGLA12G0005900 transcript:ORGLA12G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLSSNKKPCISSSLLLLVFLLLLVFFAHHGSCSRPLPLPSPTPMQPQLKHESETASADTTTTTEEQVMQQQLSWLRSMKPRGRPQPSSPSKRTN >ORGLA12G0005800.1 pep chromosome:AGI1.1:12:438847:440118:1 gene:ORGLA12G0005800 transcript:ORGLA12G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTPRSSVARSTCNVPGLVLGFSKLCKITKICAAPEFADTKTEFGDYCGGYDQRLVITRLFEEIGALKSTYIKLQKAHIPYNRPKIAFADEIITYELDSVTALQSLCSWNGSVGSLINDRWSLVQELEAETRKKDSDIVLLRRELDGLKSANSRLNKQISSSKPSVNHHKDYSIVLKKLTTPSAVLELFKVASTSVHDFAELIFSLISSPDHRCPNNADEHSPYKRYSLEAYLSRTMLAVHDGAEDDDELDLARFDRIMRCCDPLDALMEHPNSSFARFCRTKYLAAVSSEMEAAMFRNNLDVRAFVSRGGHPRTWFYRAFATMARSAWALRVAVTARRRCCGRGSVRMLYARRGSRYAAEYMDSVVAAAAAADAGRGEGDGVAFTVTPGLKVGETMVTCRVLLCHDQHDTISDETDPKFR >ORGLA12G0005700.1 pep chromosome:AGI1.1:12:436926:437297:1 gene:ORGLA12G0005700 transcript:ORGLA12G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDIVDCLMWGIIFFFLLACIGVALCFLALTIATVVGLIGRRNDDANSKYDMLIERLLLRPKDDQDNEQCVICLSESEDDVDDGGGERGEMEDDAGVRARVSQGLRRQVAAQPHNMPALPF >ORGLA12G0005600.1 pep chromosome:AGI1.1:12:435868:436314:-1 gene:ORGLA12G0005600 transcript:ORGLA12G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLKELPIWQAFGFSLFTGALAGSILYAVCVLLLCCVDRRRRHAGAPPPDPKIWLPDHAHHRRRRDESSEMECSICLGELEEGERCCTLAACRHEFHKECIYRWLANRNTCPLCRHISLPPASSPPPAPPPHHASPPASNVLAAAHV >ORGLA12G0005500.1 pep chromosome:AGI1.1:12:423165:424484:-1 gene:ORGLA12G0005500 transcript:ORGLA12G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1R3E9] MESRGKILMERYELGRLLGKGTFGKVHYARNLESNQSVAIKMMDKQQVLKVGLSEQIRREITTMRLVAHKNIVQLHEVMATRNKIYFVMEYVKGGELFEKVAKRGKLTEVVAHKYFQQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKIGYDGAKSDIWSCGVILFVLVAGYLPFQGPNLMEMYRKIQHGEFRCPGWFSRKLQKLLYKIMDPNPSTRISIQKIKESTWFRKGPEENRILKERTLNENTTKNVAPVLGVRRKKNAHEDVKPMSVTNLNAFEIISFSKGFDLSGMFIVKEWRNEARFTSDKSASTIISKLEDVAKALNLRVRKKDNGVVKMQGRKEGRNGVLQFDIEIFEVTTSYHIIEMKQTSGDSLEYRQLLEEGIRPALKDIVLAWHGDE >ORGLA12G0005400.1 pep chromosome:AGI1.1:12:404306:405529:-1 gene:ORGLA12G0005400 transcript:ORGLA12G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQDAGKRPHPGALHFMDRFRNFPCPLLNLQPTPMHHRNERSLDLVTLLGDDETKILTADNYGHTVLFDAASYSVVHFPKLNCSKGYDAMAVSINRAAPQEPDCLYVLNLRTHPTTSNHCFEVLSYGGFCERIPIWRFLPPPPFTTTTQTTITSYTVVGGDTIYVSSKLCGTHAFDTMVPPRGTKDDQQVPWMPPAIPVSYQDEYS >ORGLA12G0005300.1 pep chromosome:AGI1.1:12:402129:403789:1 gene:ORGLA12G0005300 transcript:ORGLA12G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLIRGLNADPDLLHDDADADASESPRERAPVPLHSKVGSALKTGFWTMVDMASGKYLWRTLVSPPTKCESEKAQ >ORGLA12G0005200.1 pep chromosome:AGI1.1:12:388518:394139:1 gene:ORGLA12G0005200 transcript:ORGLA12G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCAVQRWSRRVATMLPWLVLPLILLWALSQLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRAHALQLHKLRKTATRRCRNCNNPYRDQNPGGGKFMCSYCGHVSKRPVLDLGPAGTLPTGWPCTQDWPNAAGDPAYWLDLRCSSDNLYSGFSWRLFSSFCVSMRWFWREVLRFGSSGDGDGLGRDGKRLAKEGENGAKAEESRVEKAKRKAEEKRLARLEKEMLEEEERKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDPRKEVERRRQERRRKDEKDKGSSKSNSDCEDIERRVTREGERKRDSDRRNEPEKRDATRVGAEGHKPYNFDANNQGSKTVQSKAKYFGRMTGGLLSSSRGFGGGSFFGRSAQTSAPQVNKVTKPLVTVTDQSNVVKRDAQPPATAKSATAGGTTNSWTNVHRSVSPNVQSQPTGLKKSWHQLFSRSASVSPCPDVPATAREMNGQPEPYGAQISNAQIFLSQYPPLDSNPSSSRCMQFPGFPPVNGAPANMSLSHFPAGHMPYYCEPEPTVFEEPEQFEDPCYDPDAIALLGPVSESLDNFPLDLDSGFISSDITKETHAKPSPIESPLSRSRTFEDNPIRHSTGKGPNGSILPEASNEQGGTWQMWGTPLVQESLGLRGPQTEWLLPNTNQFNHGASHLNGGTRSSVGSGLDDNDLWLQKAPFQQMPLDTRSLFLSHDVSENAIHNDLDFGSPNKSARLHPIGPPGHSWSKEAVVLNGPQEASKICSPTGAHVGGGFFSTNPDVQSVWSFNQKETT >ORGLA12G0005100.1 pep chromosome:AGI1.1:12:382884:385804:-1 gene:ORGLA12G0005100 transcript:ORGLA12G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATAPCFPPTPGLPAHGAVAARSRMAAGGSRSQRRRSSSGVFLCRSSTTGSTRMEDYNTAMKRMMRNPYEYHHDLGMNYAIISDSLIVGSQPQKPEDIDHLKDEEKVAFILCLQQDKDIEYWGIDFQTVVNRCKELGIKHIRRPAVDFDPDSLRTQLPKAVASLEWAISEGKGRVYVHCTAGLGRAPAVAIAYMFWFENMNLKTAYEKLTSKRPCGPNKRAIRAATYDLAKNDPHKESFDSLPEHAFEGIADSERRLIQERVRALREA >ORGLA12G0005000.1 pep chromosome:AGI1.1:12:380982:381725:1 gene:ORGLA12G0005000 transcript:ORGLA12G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASKRAAVVLLVVVASVMMATPSEAMRPRRKAAAALVSSEARVLRFPGFPGSRQRFPGFPGARPSPRAAPPKPSPPSSSSSVPALPLSPPAGGSLPPPCGRSSQTPPPGTLIPGMPGSGGSSSSATDCVTSLAGLTTCASFLTGAEAETPTPASECCGGLGMFLNSTAAAAEGDRTLRCLCPVILGDVNRMLPKPVDPVRMMYLPIACGLVLPPQVLFICFSQFLPSLALIDSSFSNSIRLIPF >ORGLA12G0004900.1 pep chromosome:AGI1.1:12:375856:380091:1 gene:ORGLA12G0004900 transcript:ORGLA12G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:I1R3E3] ALVFIHRFFSLSLLVFFVLWFASTPGHSPSISQSSEDASNKPPGWGNHSWFYILVRISLFLWVSLLNLIAISSTWARVIDIMDSEQSGSRLFGFIGAGATLGQLFGSLFAATMAWLGPFLLLFSSLLMELAALSSKGICTDDTHGSMELSSTIAEQSQNTEADDEMSSLVTSQGLPSQVSESQKTKPEIFVMFEGFWLIARSPYLMYISLFLWLSAVVSSFFYFQKVTIVATTISSPTARRRTFALINSFIAVFILAGQLTLTGRILTIAGVTVAICASPFIATLNMVALALWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVVVQRLGDATAAGIYSLLFSSLEKKASMVTLYALPLCFVWLLTAFHLGRLQTNLVKVQVASFASSIPR >ORGLA12G0004800.1 pep chromosome:AGI1.1:12:371625:372405:1 gene:ORGLA12G0004800 transcript:ORGLA12G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAATTTPPPSERHRSPKVKRQRSAAAQPLGDVTNLLLPSTPTNPITARPRPLPSDTTAAASTCSASPSHTPVSKPSSATAAEERSLVKSAISTVYTRRNTTQKRRRTNDNTPFPAGTASCPPPATLASKNRTKTLQSSSGGVWGVGNGTIRVKGP >ORGLA12G0004700.1 pep chromosome:AGI1.1:12:360519:361906:-1 gene:ORGLA12G0004700 transcript:ORGLA12G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSCILYTSVLQQLMLSLYFFKEKNLHNIQEILLDINSWNEEIKGLRDAWEEVKRMRYREEDAKQRASFLQEGFAAAWKDGIHTDIAVRPGTGPPIKAHKAILXARSEVFRHILAGDDDCKAPAGDSLSLPELTHDELSHLLAFLYTGSLATCTEERHLHALLVAGDKYDVPFLRRACEARLAAGVEAGNVLRTLEVAELSSSAALMERAMETVVEHAEKVVFSPEYEEFAVRNAALCVQITRALLANKTFPAKTP >ORGLA12G0004600.1 pep chromosome:AGI1.1:12:358124:358567:1 gene:ORGLA12G0004600 transcript:ORGLA12G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSVSSVELEKKRGFRINVSMEKSQPELLTSVLEAFEELGLDVLDADVSCADDTAFRLEALGSSQSEAAERSVDEQMVRHAVLQAIKKCMDGSSI >ORGLA12G0004500.1 pep chromosome:AGI1.1:12:337563:338009:-1 gene:ORGLA12G0004500 transcript:ORGLA12G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFLIFTYPDHCCKLPCKPFERLDCFGLACAXCILHYIFXCXYFPYEINFXVLCYLPYTCVLSGAYYYYKDMPRVGDPDAHLVPRVLSEIAYVYCIHYFSPNKTSLYLLSDWYDLFGGCLLMACNAMYLVLDKIDSPNARPWSRGRF >ORGLA12G0004400.1 pep chromosome:AGI1.1:12:334031:336485:1 gene:ORGLA12G0004400 transcript:ORGLA12G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSLSLVVSVSGFPYPFPSPLSKTLANPSSSRSLLLAAPLSRGATPLPLLRRDVSAAYGDDDMDDDFGDFDLDDGDGVGDDEDLDNEQDYDVDYDRLLAPVKAPPRPLSGEGDEEEEGDIAMVAAQSFVSTQDSASDTVVDYSVNEDEFHKIRLLHCDFFIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCTKKNFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKARSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >ORGLA12G0004300.1 pep chromosome:AGI1.1:12:333534:333906:-1 gene:ORGLA12G0004300 transcript:ORGLA12G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RENQPTLPWLCRRAAAMAAATEAASEVEAEGRGKPIVVRVKRKPSQTRPDAFWLEINERPVKKAMLDFSSLSVSEPSSAPNKGIYFYLSHAF >ORGLA12G0004200.1 pep chromosome:AGI1.1:12:322451:322747:-1 gene:ORGLA12G0004200 transcript:ORGLA12G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVHSSSSSSAAPSAALPNHHTNHLVDDHLPVENGPDPRRDVPDEEPPPPPPPQVALLPQVVVLCEQRHEGFDEAAAAAAGPSTSGPVSKWRPKDR >ORGLA12G0004100.1 pep chromosome:AGI1.1:12:316006:322133:-1 gene:ORGLA12G0004100 transcript:ORGLA12G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFSMAPPKALETIGKTLHSQYERWQPKARYKLQLDPTLEEVKKLCNTCRKFARTERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGMIVKAFLERLDWSSSSSASSSKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCNRSLLRDSMEHNLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQTLLNTWLPISXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRIGQEACANAGLIDVCLRHLQPENPNDAQTEPLLLQWLCLCLGKLWEDFPEAQLLGLQSNAPEIVICLLSEPQPEVRASAVFALGNLVDIGSPSLNGADDDSDDDEKVRAEINVVRSLLQISSDGSPLVRSEVAVALTRFAMGHNKHIKSVAAEYWKPQTNSLLKSLPSLANINSSNVYSLSSLIQGSSGLASHIGPVLRVGSDNSATARDGRISTSSPIATNSIMHGSPQSDDSSQHSDSGILLRENASNGGLNYSRSRPIDNGIYSQFIATMCNVAKDPYPRIASIGKRALSLIGVEQVSMRNSRLSNGGAHPGETSVPPSSNFGMARSSSWFDMNSGNFSMAFRTPPVSPPQHDYLTGLRRVCSMEFRPHVLNSPDGLADPLLSSSAAPSNMGLDILPQSLIYRWSCGHFSRPLLTGSDDNEEANARREERERIAMDCIAKCQRSSCKMTSQIASWDTRFELGTKASLLLPFSPIVVAADENEQIRVWNYDDALPVNTFENHKLSDRGLSKLLLINELDDSLLLVGSSDGNVRIWRNYTQKGGQKLSASQVQCGQFAAGFLDASVRIFDVRTPDSRLVYTARPHAPRSEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRASEPYLTIEAHRGSLTALAVHRHAPVIASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHRYKSLLAAGAGDNALVSIYAEDNYQVR >ORGLA12G0004000.1 pep chromosome:AGI1.1:12:310242:311616:-1 gene:ORGLA12G0004000 transcript:ORGLA12G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKQETGLCKNLLQEYAQKMNYAIPSYICTKSASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKRPIETPKPLKVKKGGFKKKWNKRKFMKKDGQAVDVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEAEPPRDIEMVQPDKENQHSDAALVQPDDEARVEQEPSRDISVVQPNEEAISGKQEPSIDAAILQPKEEASSVKQEPFIDTAMLQACKEAGSVELGPARDTVISQLNEQDRAVKQEPAGDIVVPQPDVHARVVKE >ORGLA12G0003900.1 pep chromosome:AGI1.1:12:306031:309112:1 gene:ORGLA12G0003900 transcript:ORGLA12G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSAVLSAFQEAHGARDHEQIHAIILKQGFASCQFVSTSLIKANAAAFGSVQSSLKIIEDAGKMELVSWGAIISAFLKHGLNDEVIFLFNLFRGDSTNKPDEFILATVLNACANAALIRHCRCIHSLVLKTGHSNHFCVASAVVDAYAKCGEITSAESAFTAVSSATNDAIMYNTMLTAYANHGLIHEALNLYEEMTKAKLNPTPATFVAILSACSHLGLVEQGKLAFSTMLSAYGMHPARANYACLVDLLARKGLLDEAKGVINAMPFQPWPAVWRSLVNGCRIHGNKQLGVLAAEQILRMAPSSDGTYVSLSNVYADDGEWQSAEETRRRMVQNKLQKVHGYVAPEYANSGLLNEKTDVYSFGWFCWKLLQVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRQRQNHISNNLETEPLRGKSSSGKSDAPENEMRPPRYKNRSFPPK >ORGLA12G0003800.1 pep chromosome:AGI1.1:12:302249:303904:-1 gene:ORGLA12G0003800 transcript:ORGLA12G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACDCDDDAQTADTINGDAPMLSSYRAPPLADHLHDKPHWLSQLKPWRRHAHGDDHLSAGIAINWSSVRSATKDWITNPMNIAMLLWLLCVAVSGAMLVLLLLGLLDGAFPTPAARNHWIEINNQVLNALFTLMSLYQHPVLCHHLFLLCRWRPADAADLRAAYCKDAAGPRHGERAHMAVVVALLHLTVVCQYVLCSLYWGYTKKTRPELVENGFFVLGVAAPVVAVVYTVCSPLGKDNLYCELACHDAFGSVTQHPTKGHAAVVEPEWAGGMFDCGGDATAWWLSLSCTFCVFGWNMERLGFGSMFVHTATFVLLCFAPLWVMGVSALHIHDVVIGDMVGGAGALLCVCGLLYGGYWRIQMRERFGLPASAACCGSPSVTDYARWLFCWPCALAQEVRTASLYHIDGETFYKKLPVVDDVEAEKRQPLLLASHHVQFHEPPDTMIMATSEESSDHVVVVHEEMVPPAVQVVFEQVVVEGDKSEEECSAVHDEKIMGLPLPESVIVVDAEIPASLSDGSWTVEKVKRLINVVTLVSLLILLYTRGFIR >ORGLA12G0003700.1 pep chromosome:AGI1.1:12:288293:300613:-1 gene:ORGLA12G0003700 transcript:ORGLA12G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQEQHEDDAQHKQEQHKKHKEKKKERLLDFLRAAPSKTPWFSFSGAAFLTRLASLRTTNNPAASRRLPAFVRSVDWRALRAKCLAWAKHPMNAALIVWLAFVAGGVAFVFLLMTGALNSAVPDASRRRRWTEVANQMLNALFTIMCVYQHPKLCHHLALLLRWRAADVAELRAIYCKNGAAGLRRERLHVAVVVLLLHATCFAQYGYCALFWFFGRDNRPDLAVNLCMALGLGFPIVAALYMVYGPLGRKIVLIPASTDDEENVKSQVDEANAIAVTAQCDSNRNRAVVAKPEWAGGLFDVGDDPTVAALPLSCTFCVFGWNMERLGLGNMYVHVFTFALLCAAPVLVFAVAALNVHDDTLRFVVGAAGALLSVLGLTYGGFWRAQMRRRFGLPAHRWSMRGGRATAADYGKWLCCAPCALAQEVRTANLYDVEEDVLYAKGGEEEEEEAAMAPLEREGCIVAVDAPPLPMRIEEKDYVVRLLLAGVAPSNRPPPLTVKLLHARLLRLDLLAALSPLLLRALSSSALHLHALRLHCLLPNPSHLTFPIALKSASRLPHPLRAGEQLHARSLKLPSHTNPHVLTSLLTLYARCGLLHRAQRVFDEMPHPSTVSWTALITAYMDAGDLREAVHVARNAFANGMRPDSFTAVRVLTACARVADLAIGETVWRAAEQEGIAQSVFVATAAVDLYVKCGEMAKAREVFDKMRDKDAVAWGAMVGGYASNGHPREALDLFLAMQAEGVRPDCYAVAGALSACTRLGALDLGRQAIRMVDWDEFLDNPVLGTALIDMYAKCGSTAEAWVVFQQMRKKDIIVWNAMILGLGMTGHEKTAFALIGQMEKSGVKLNDNTFIGLLCSCTHTGLIQDGRRYFHNMTKLYHISPRIEHYGCIVDLLSRAGLLQEAHQLIDDMPMPANAVILGALLGGCKIHRNAELAEHVLTQLIRLEPWNSGNYVMLSNIYSNRGRWEDAAKLRLDMKEKGVEKVPACSWVEFEGKVHEFRVGDKSHPLSDQIYKKLDELGLEMKTMGYEPTTEVVMFDVEDEEKEHTLVHHSEKLAIAFNLLVTGPGETIRVTKNLRVCSDCHTAIKLISRITHREIIVRDNNRFHCFRDGSCSCNDYW >ORGLA12G0003600.1 pep chromosome:AGI1.1:12:278939:281344:1 gene:ORGLA12G0003600 transcript:ORGLA12G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANASTVKPVVAACYDNNLVNSQGMFLGDEPLRFALPLLLVQVSIILTLSAAAHHVLRRLGQCRFVTHMLVGIFLGPSVLGRNPHLRTALFSERGTYILESVSLVALILFLFSMAVKTDLTLLRRPTARALAVGLAGSLVPLAVTLPVFHALSPSLPADLRGSSLITELAVRLSLSSFPVVADALAELDLLNSELGRVALNASLITDVTSWFLRACFAAAFLVTQAKSPLFTAKVLASFAAFVLFVFFVARPAGRHIARKRTPPGDLLSEGSFVLVVISALLSALVTDVIGFKFMIGPMMLGLALPGGMPIGATLTERLDSFFIALFLPVYMALAGYRTDLAELGMIGVSADHEEKFCALELFVALCVAGKMVGCVAAGLFFSMPFREATVLALMLNIRGIVEVAAINNWGDTMKATAEHYSTLTLSMVVITAVATPLIKLLYDPSGRFARAKRRTMEGSRPNAELRVMACLFSEDHAAPLLDLIEASGSSRDAPVSLIVLHLTELVGHAASVLKPHRKSRSSCGNPTPSDRIVNAFRYFEQQAPLGAVTVSPYVVASPYSSMQHDVCLLAHSRKANLILLPFHKSSDGARSTANNAIRGINRSVMQYAPCSVGILIDHGVAAGSACATASNSTLQRVALYFLGGADDREALAYVARIAECGVVVVTVVRLKLRDWVGMGGREEMRDEEALQEFWQRYSSAGVERVAYVEKTVEDGEGTASVVRAMSDKFDLLVVGRREGGGDGAEGSSAAALTSGLSEWSECPELGVLGDMLASADFAAKVSILVVQQQAATRNDDDY >ORGLA12G0003500.1 pep chromosome:AGI1.1:12:277035:277421:-1 gene:ORGLA12G0003500 transcript:ORGLA12G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASWIPAAADPQPGADIPRRVLRGAGVRALRLLDLQRAQGPRQVSLAMRTARRGRAERGKETTRRRTSLSGPPGAPPPSSLTQCSLLGSPPEPDAIDAAIRVTAADHPDALLSPLLPPLSPPTRRAADG >ORGLA12G0003400.1 pep chromosome:AGI1.1:12:272131:275828:1 gene:ORGLA12G0003400 transcript:ORGLA12G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIASSSGILMDANGKANGSAPSALVAYFLGMGFSREMVFRAIKEIGDTDSEQILELLLTYXAIGSDPSVGNSSHSACDPQILEEEDEEDDNWDEDDTVDNFDRATYSDGSGDEDFLQEMSEKDEKIKSLVSMGFPEDEAMRAITRCGLDASVDLLVESIYAPASAGNVYFTNLSDYEDTXFSSFGGRKKTKLIDGTKKKRERYRSRPQWNQVPFDGSHEEPMPLPNPMVGFSLPNDGLRSVHRNLPDHALGPPFFYYENVALAPKGVWTTISRFLYDIYPEFVDSKYFCAAARKRGYIHNLPIENRNHTRGVSRKRDIELLGIHSKLIQLHTTSLCXGTFSLMAXTSCPCFLVLVEQKXLSTGLGSVXRQWSQSKYQRXTWRCXGVGGTRPKLARXLKSQMFKILLLKELNYSSEDLVALTSLVATDTTVMAWRASTQHYSITTTESWILXRLLWLAFLVRKESCFAMFARPF >ORGLA12G0003300.1 pep chromosome:AGI1.1:12:259344:261078:1 gene:ORGLA12G0003300 transcript:ORGLA12G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFVKTFEDVDDDLRQNSGIDCICSGTTAVTVVRQGDHLIIANLGDSRAVLCTRDSKDRPIPVQLTTDLKPNLPSEAERILNCKGRVFAMDDEPDVPRMWLPDQDAPGLAMARAFGDFCLKSHGLICTPEVYYRKLSEKDEFLVLATDGIWDVLSNKEVIKIVSSATDHSKAAKQLVERAVRAWRRKFPTSMVDDCAVVCLFLKPSPSSEESTHVDAKAPQVVSFTGSFRKALGGGGGGEAEEGTNVWRALEGVARVNSVVRLPRMGAVLSWRRRSTXXXXXXXXXXXX >ORGLA12G0003200.1 pep chromosome:AGI1.1:12:253926:257163:1 gene:ORGLA12G0003200 transcript:ORGLA12G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPTHHAKRRRLALPPPPPPHLNDLADELLFLILDRAAAHDPRALKSFSLVSRACHAAESRHRRVLRPFRPDLLPAALARYPAISHLDLSLCPRLPDAALAALPVAPFVSAVDLSRSRGFGAAGLAALVAAFPNLTDLDLSNGLDLGDAAAAEVAKARRLQRLSLSRCKRITDMGLGCIAVGCPDLRELSLKWCIGVTHLGLDLLALKCNKLNILDLSYTMIVKKCFPAIMKLQNLQVLLLVGCNGIDDDALTSLDQECSKSLQVLDMSNSYNVTHVGVLSIVKAMPNLLELNLSYCSPVTPSMSSSFEMIHKLQKLKLDGCQFMDDGLKSIGKSCVSLRELSLSKCSGVTDTDLSFVVPRLKNLLKLDVTCCRKITDVSLAAITTSCPSLISLRMESCSLVSSKGLQLIGRRCTHLEELDLTDTDLDDEGLKALSGCSKLSSLKIGICLRITDEGLRHVSKSCPDLRDIDLYRSGAISDEGVTHIAQGCPMLESINMSYCTKLTDCSLRSLSKCIKLNTLEIRGCPMVSSAGLSEIATGCRLLSKLDIKKCFEINDMGMIFLSQFSHNLRQINLSYCSVTDIGLISLSSICGLQNMTIVHLAGVTPNGLIAALMVCGLRKVKLHEAFKSMVPSHMLKVVEARGCLFQWINKPYQVAVEPCDVWKQQSQDLLVQ >ORGLA12G0003100.1 pep chromosome:AGI1.1:12:244267:244584:1 gene:ORGLA12G0003100 transcript:ORGLA12G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVECAVCLSVVDEGEKVRQLPACGHVFHQECINMWLSSHASCPVCHGKAAPADELADAIAVHISVKRDVVVPASSPPPSSPAGSPPAARIRACPSSLRPPLA >ORGLA12G0003000.1 pep chromosome:AGI1.1:12:226738:229524:-1 gene:ORGLA12G0003000 transcript:ORGLA12G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTLTDSLHERTIVFGLKLWVVIGISVGASLLGVLLILIVCLTIQTWIKRSRRTFKEIPITQIPSASKDIKEVRAVEEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFAQEDKTIQGEDNSPSVPLHYVDNYDGIQSVSTCEQSSSHAPADSVPLPGLPEFSYLGWGHWFTLRDLELATNCFSKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLESWLHGELSQYSSLTWLARMKILLGTAKALAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPDEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNSEKRPRMDQVVRMLDSNEPIPQEERRQQQNHISNNSETEPLRGKSSSGKSDAPENEMRPPRYKNRSFPPK >ORGLA12G0002900.1 pep chromosome:AGI1.1:12:212289:212732:-1 gene:ORGLA12G0002900 transcript:ORGLA12G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEDGSCPSPATPSQAEDYQSWTLKQKLEDLINCDAIHGVMPKNPKYKAYFEEKFEEKLSKYVRVVLPKLRPAIQKDSLKQFYQVYNCWSGF >ORGLA12G0002800.1 pep chromosome:AGI1.1:12:207312:210581:-1 gene:ORGLA12G0002800 transcript:ORGLA12G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSGGDSQRFGKMVVESKFRRKRRRGGTTMILAGTTDTEGMMDQEEEEEEEDDQPADVLEDRKHQPADVLEDRKHQPADVLEDRKHRDGSIYRGTDYWSIYYRIADTNETPLKPMMLSDPTTDCRPNWSGCIVHSGCSMLQIFSLKLVSMAAPAIGDGPIQVYGFMAVXDYMDCLRNCVFNRGRDKPFIVNLSDPFILLSGPKRGIGMETPALLEYDIRIKRGDGEDDDLQLINGAATISETELPPPYAQAYTRRIAGDYGSVNISLALLHNAIEATMHIQITEVRGSGGFDMSMACRVGQIPDEIKLFESVAIAKPCQLNKRFVLAIVKRGILVLDLKVKRSDASEEEEPVCMLRGLKAKAHGQVILPMIFDCATILVLQTAVRHRLAKVQGYLKAKERSSRGNLMGKRVDFSARTVITPDSNITMNWWKGTLMMGILFFSIGNPVFTKCISWGIALKSCPIQPST >ORGLA12G0002700.1 pep chromosome:AGI1.1:12:203497:206476:1 gene:ORGLA12G0002700 transcript:ORGLA12G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPFPATAHHRCTLLLLLTVTLLPSLAAAAAAHHQHLHDHAAGDGVVISQADYQGLQAIKHDLTDPYAFLRSWNDTGLGACSGAWVGIKCVQGKVVAITLPWRGLAGTLSERIGQLTQLRRLSLHDNAISGPIPTSLGFLPDLRGVYLFNNRFSGAVPASIGNCVALQAFDASNNLLTGAIPPSLANSTKLMRLNLSHNTISGDIPSELAASPSLVFLSLSHNKLSGHIPDTFAGSRAPSSSLKESITGTYNLAVLELSHNSLDGQIPQSLAGLQKLQVMDLSGNRLNATIPDRLGSLADLKTLDLSGNALTGEIPASLSNLTTTLQAFNVSNNNLSGQVPASLAQKFGPSAFAGNFQLCGYSASVPCPASPSPAPSAPASPVQGVETTGRHRKFTTKELALIIAGIVVGILLLLALCCLLLCFLTKKRSGSGGKQTTSSKAAGGGAGGAAGGGRGEKPGSGAAEVESGGEVGGKLVHFDGPMAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKDFESEAAVLGKIRHPNLLPLRAYYLGPKGEKLLVLDFMPNGSLSQFLHARAPNTPISWETRMTIAKGTARGLAFLHDDMTIVHGNLTASNVLLDDHSNPKIADFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKASAKTDVYSLGVIILELLTGKSPAETTNGMDLPQWVASIVKEEWTSEVFDLELMRDGDNGPAGDELVDTLKLALHCVDQSPSVRPDAREVLRQLEQIRPGPEGGAGPSDEGGAGHVAAASAGNE >ORGLA12G0002600.1 pep chromosome:AGI1.1:12:200111:200773:1 gene:ORGLA12G0002600 transcript:ORGLA12G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:I1R3C0] MAAASPPLLPTTVLPANTTATVSPAPTSVSSADANPAATRAFLVRLLDSVKRALSGARPWPELIDRSALSRPESLSDSGARLRKNLAYFRVNYAAIVALSLAASLLAHPFSLAALLALLAAWCFLYLLRPSDAPPLAAFGRTFSDRETLGGLIVASAFVVFLTSVGSLIFSALALGAAIVCAHGAFRIPEDLFLDEPDQANGAASVNLLSFITSATGGRV >ORGLA12G0002500.1 pep chromosome:AGI1.1:12:196323:197783:1 gene:ORGLA12G0002500 transcript:ORGLA12G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNVSTNVNLDGVDTSAVLADASKTVATIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSIPKGRFYLKFYDSKAHRAQEHAQCLHALHQE >ORGLA12G0002400.1 pep chromosome:AGI1.1:12:191822:192019:1 gene:ORGLA12G0002400 transcript:ORGLA12G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLALPAAASSPSLPCYDGSRPEPRRAATVRPPMPLAGSGELIGGREKEPGETPAAAESSAVA >ORGLA12G0002300.1 pep chromosome:AGI1.1:12:183256:183681:1 gene:ORGLA12G0002300 transcript:ORGLA12G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETHRSTATAASKQSSPPPSSSVAPLPRIGNDFSSSRFDLWFNRYEAGGGRAREERRFVRGCVSGQERWRFFFVFSARVCWWDGLGTELLGSDALLQIKEEIAGGHCGGVNLPGILDVILKSTASSQWILLGSACALTLV >ORGLA12G0002200.1 pep chromosome:AGI1.1:12:143754:144101:1 gene:ORGLA12G0002200 transcript:ORGLA12G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIELVAVVAVPFSSPSWAAAVLGSLVALEAAKRQIEAASSPSSSPLHSLALSTTVGQGAPGGGAEWVAEGDFGSGGRTHGRRTTVNGKHDGLGDLLNSSAGPSLHLVLRVCQLV >ORGLA12G0002100.1 pep chromosome:AGI1.1:12:138381:140306:-1 gene:ORGLA12G0002100 transcript:ORGLA12G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSAQDWAFGWQPWMGPALEDLLPQLSHEEQLRLHNHLREHERILKWHNKNSPLISSLHSEGERDSFIIIHVRYALHHYNAKHPDEEFDAVKPLMESRVRFRGQVWFHINFWARSRKSKKIKRFFAEVHYKPPSSSSSVCSYLPFPVPGAQRPPSSSSVSSDLPLPLPIPVVEACTIIEEPLGQYRKSCAFCRGHLDILHPMGRKFVCGNDKDRLEQQLLPCGSIGLEMPFTCRLGPASVNSSHGEKED >ORGLA12G0002000.1 pep chromosome:AGI1.1:12:118286:120850:-1 gene:ORGLA12G0002000 transcript:ORGLA12G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPATPAHGAVHLPRHTGVSMDTSAEAIFVGPSPPVTADIEESLSDYTSMMEGWTKEHVLAARGLRNKVAPIRVEAMRLGIAADSAGITCFLDAFDECMKRVDLHLVTQKNSFQEFLGSPLQQTVPDTCPIVSSTKCVEVQHRREYETMHGTGSVPCNAAAPRKLRRACFCQKVWKPKEGARVGDVIDMIQRLGGARTTSAPAPAPYMLPVRSWQRHRWDVGGGLTADRIAELLDTRGPFIGTIWVCPWYDLFNSVEDEDLVYRSGCARSKMLQRLSEFCFGKDLVGLHSVLCFEYRICDGQLHIHILDNHETTGPQRWIHHSELEVHTITVERINHLGDRHIRYPHSGVS >ORGLA12G0001900.1 pep chromosome:AGI1.1:12:113260:117179:1 gene:ORGLA12G0001900 transcript:ORGLA12G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1R3B3] MTATSPPMRSVAAAALVLTPTPTLNRLSFPFAHRHCPSTAAPRWRPARCRGKPAVEDVVHDDEEETWRREANPERKDGGEEMLGRGWFMVDEIGMDILTIALPAVLALAADPITALIDTAFVGHVGSTELAAVGVSISIFNLVSKLLNVPLLNVTTSFVAEQQAVDADYNSSVENSHIGEEIFISQEKAGEQRKFLPAVSTSLALAAGIGLMETVALILGSGTLLDIVGVPVDSPMRIPAEQFLTLRAYGAPPVIVALAAQGAFRGFMDTKTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNSKIVLFSWKIVSGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIVLYRVLQIGGVTGAALSTTLLLGFGYLSMLFTDDAAVLDVAQTGVWFVTVSQPINAVAFVMDGLYYGVSDFAFVAYSTLFAGAISSAVLLVAAPKFGLGGVWAGLTLFMSLRAIAGFWRLGSKGGPWKIIWSETE >ORGLA12G0001800.1 pep chromosome:AGI1.1:12:112499:112810:-1 gene:ORGLA12G0001800 transcript:ORGLA12G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGWYLKIAAGGAAIGAAMELFMIHTGFYEKVTVLESEKRAWETSPEAQAMREALNPWRKHDDQEKR >ORGLA12G0001700.1 pep chromosome:AGI1.1:12:107035:111585:1 gene:ORGLA12G0001700 transcript:ORGLA12G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPTTTTEQQGRRRGAGWRRWAVLVATVWIQAVTGTNFDFSAYSSALKASLGVSQEALNYLATASDLGKALGWSSGLALIHLPLPAVLLLSAASGLAAYALQYALILDYLHLPYVFLICLVAGCSICWFNTVCFVLCIRSFSSSNRPLALSLSISFNGLSAAFYTLFANALSPFSPSVYLLLNAIVPLVVSLVALPAILLCHPHDGHLHVVPKHDKHIFLGLYLLAFITGIYLVIFGSFITTNSTAWVVLTGAMVLLALPLIIPASSSCSHVDTHDPEPTAQLNHDDSKKPLLLNNNHSTESNAMIQKTVEHPMQDCCLGTILEKGRMLVLCEEHSAKKLIQCVDFWLYYIAYFCGATVGLVYSNNLGQIAQSFHRESQLTMLLAVYSSCSFFGRLLSALPDFLHRKVSFARTGWLAAALVPMPMAFFLMWKLHDVNTLVAGTALIGLSSGFIFAAAVSVTSELFGPNSIGMNHNILITNIPLGSLLYGQIAALVYDANGLKMSVIDNHNGMIDTMVVCMGPKCYSTTFFVWGCITFLGLVSSIILFLRTRTAYSAANGQQVINTTAKFRVDRTP >ORGLA12G0001600.1 pep chromosome:AGI1.1:12:99953:103211:1 gene:ORGLA12G0001600 transcript:ORGLA12G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSALFSHLREVHKRSGVKEEKLIMKSPPAAGEAGCHKPQATATNKMTVLQSPLGLRTILTSLVAFFIVVSSVSLLFDRGQDAQAQLAVEQHQHQEVLLKQKPASAAVGEQKSVVVDQSSLRSQEAQVQWTSELQDVATDSGDGGFDGEEDCNWSLGRWVYDNASRPLYSGLKCSFIFDEVACDKYGRNDTKYQHWRWQPHGCNLPRFNATKFLEKLRNKRLVFVGDSVNRNQWVSMVCMVEHFIPDGRKMRVYNGSLISFKAFEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIEKHANVWKDADFIVFNSYLWWRKQRDGMMMKVMYGSFEDGDAKLDEVQMVDGYEIALKKLTEYLGANINKNKTRIFFAGSSPAHSWXENXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLSEYRKDAHPTIFRRQYVPLTKEQIANPSIYADCTHWCLPGVPDVWNEFLYAYIMHK >ORGLA12G0001500.1 pep chromosome:AGI1.1:12:93109:93579:1 gene:ORGLA12G0001500 transcript:ORGLA12G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSGTPCASCKLLRRRCTSECVFAPYFPAEEAQRFAMVHRVFGASNVSKMLLDVPPPQRPDAVSSLVYEANARMRDPVYGCVAAISFLQQQVSQLQMQLALANAETAALQLQLQQQHQDQDEHHQQQCILENAAAHHQLMLQEAFLKKESMWT >ORGLA12G0001400.1 pep chromosome:AGI1.1:12:86458:90245:1 gene:ORGLA12G0001400 transcript:ORGLA12G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGDGDEHLLSLFASALSHRRFGDQELRLLDAALSAGADVPSLLHTRSSARCLLRKAAAQAFSSVPDLGTTLSTADFFARAFALAGDVESCLAMRYEALLLRQAEYSDDLHLQVSNEEWLTFAKDSLDNGFYTIASKAFANALVRIDPNHPGYLDSTNSILKKDKINDISGLQNLAKSLSALRSGEHFRAMGILILMSWMGIWDPETMRVSLASSTAVSLGKFIMHGTK >ORGLA12G0001300.1 pep chromosome:AGI1.1:12:84035:85649:-1 gene:ORGLA12G0001300 transcript:ORGLA12G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin [Source:UniProtKB/TrEMBL;Acc:I1R3A7] XXXXXXXXAAAAAAPTYLAAAASTPASVWLPVPRGAGAVAVCRAAGKGKEVLSGVVFQPFEELKGELSLVPQAKDQSLARQKFVDECEAAINEQINVEFNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEERDHAEKLMKYQNMRGGRVRLQSIVTPLTEFDHPEKGDALYGWEEAFFWQEQGNFLGE >ORGLA12G0001200.1 pep chromosome:AGI1.1:12:75375:79934:-1 gene:ORGLA12G0001200 transcript:ORGLA12G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRKREELAGEVVHDLHKKTRADDEPADDNHTMTTGRAPEIDEDLHSRQLAVYGRETMKRLFASNVLVSGLNGLGAEIAKNLVLAGVKSVTLHDDDNVELWDLSSNFFLTEKDVGQNRAQTCVQKLQELNNAVIISTITGDLTKEQLSNFQAVVFTDISLEKAVEFDSYCHNHQPPIAFIKSEIRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMSELNDGKPRKIKNARPYSFTLEEDTTSYGTYVRGGIVTQVKPPKVLKFKTLKDAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRNDLRRFPIAGSSDDVQTLIDFAISINESLGDSKLEELDKKLLHHFASGSRAVLNPMAATFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPAELKPENTRYDAQISVFGSNLQKKLEQAKIFMVGSGALGCEFLKNLALMGISCNQNGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPGGYATAARTAGDAQARDQLERVIECLEREKCETFQDCITWARLKFEDYFSNRVKQLTYTFPEDAMTSSGAPFWSAPKRFPRPLEFSTSDPSQLNFILAAAILRAETFGIPIPDWVKNPAKMAEAVDKVIVPDFQPKQGVKIVTDEKATSLSSASVDDAAVIEELIAKLEAISKTLQPGFQMKPIQFEKPTMHL >ORGLA12G0001100.1 pep chromosome:AGI1.1:12:69012:71615:-1 gene:ORGLA12G0001100 transcript:ORGLA12G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWAIRVAYFIVFSFLVTARFGSCAPHSEGGRALPGYRESEQDQSIGSLSNWGEGKLIGRVFNLLLKENMFASETPSESKEHSSISESVPHDSAGFEPRRKCLAKTVHNATPRRLLQARELASNQTQTHPKSQSSPVQSSASHLVPRWAIYALPVAGVLFIAAVATAIYVFFSRRKKDNIVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVNSSQQWSAQSEEQFRNKISVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMSQLHPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVRRPEAGKRAISMGEVARLVRGIAGLSPEQAAPREKPLWWAELEIASSETA >ORGLA12G0001000.1 pep chromosome:AGI1.1:12:64549:67243:-1 gene:ORGLA12G0001000 transcript:ORGLA12G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSPASVQDYPDLQEDDDDDFQDDDDDDDDDDDLDDEDEEDDDQEPSPSPSDEARLESVLRRLTAEEVRIRVHDVEIRGCCRTRRAAVEAAVGSDLPRAATVRDLVRAAAAAADRIRRLGAFDTVSITLDAAPPGIPGNAAVIVLVDVAEARGRAAGELGIFANKGTRSCSVQGSVKLKNLFGYCETWDASGDLGLDQTVELSTGVAIPRIGAIPTPLVARISFLSEDWLKSSLKEHMMGVSVGLLSTMNHNLAYNLSWRTITDRALMSSNSIRGQLGHSLLSSIKYAYKVDQRDSRIRPTRGYAYLFSSQVGGLAPESKDARYIRQEIDLRVALPLGVLNGAVNAGVAAGIIHPLARGSTGSISPLSEQFYLGGNRSLMCRLGGPSSLLGFKKRGLGTDLRSSTPENSENVASTSPELSARGGDIAVTAFADLSFDIPLKPLRELGIHGHAFVSAGNLAKLTEPDLRKFPLAEFLQTFRSSAGFGVVVPTRLFRIEVNYCHILKQFDYDLRKAGIQLNFSSP >ORGLA12G0000900.1 pep chromosome:AGI1.1:12:59078:60709:-1 gene:ORGLA12G0000900 transcript:ORGLA12G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSAGKEEGSESKTAAANNDGSSTSSTTEEEESGESQRRTSSSSSVRPYIRSKNPRLRWTPELHLSFVRAVDRLGGQDRATPKLVLQLMNVRGLSIGHIKSHLQMYRSKKIDESGQVIGGGSWRSSDEQQYRHLQMQGGGDGGQAYNLGHLSLPAALHHRHITAGSGTILQSRVANAWSPWRCHGSYWLRAGHHLLVGSKPYYPPPPAEARANTSSNHPDFVQGSSSSPDDHTMNHQRPVVLKEMIYNEGSNHQGGPLNLDLSLDICPRGDKRKREFSWRKHEEDHDHTTIAIGGDQEAESCATGLSLSLF >ORGLA12G0000800.1 pep chromosome:AGI1.1:12:52103:53656:-1 gene:ORGLA12G0000800 transcript:ORGLA12G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVLRDAGAAVLTGATALAVLRFWEEVGNRALLDQKLCRKLVHITVGLVYFLMWPLFSADDVYAPFLASIVIAFNIIKVTLIGLGIVKDDGVINSMTRNGDPRELLKGPLYYACAMTLATVIFWRTSPISIAVICNLCAGDGVADIAGRQLGRIKLPYNPDKSYAGSIAMFLAGFLASILYMCYFHLFGFVEESWTMVIAFGVTSLSAAIVESLPISTRLDDNLTVPLASVLIGVLVFYYIGARNLCCMSADSSDISALVQNQMFLGRF >ORGLA12G0000700.1 pep chromosome:AGI1.1:12:35554:43761:-1 gene:ORGLA12G0000700 transcript:ORGLA12G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLGVVVVASVAALTLKRANSGNRDGQARKGKDKTRYSEHGEKEEEKEEVKTISGIINSALSDDDDMLSEIESLLSGEIDIPLPSDRFDVKERSRYNSVNSELERLRGLVRELEEREVKLEGELLEYYGLKEQETDVVELHRQLKIKMVEIDMLKMTINSLQEERKKLQDDVARGTGAKRELEAARNKIKELQRQIQMEANQTKGQLMLLKNQVIALKSKEEEAAIKDAEVQRKLKKLKELEVEVVELRRKNKELLYEKRDLIVKLDAAQGKITESDVVSHAREEINKLRHANEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQAPSEKISARDLNKTLSPKSRERAKLLMLEYAGSERGQGDTDLETASSAPSSPRSEDFDNVSVDSSSSRYSFFGKRPNLMQKLKKWGRGKDDGSSLASPTQSFTSDSPKSASQKPKGPLEALMLRNAGDGMGITTFGKREQDPSDIMDEANVASSFHLMSKTVQGFADDKYPAYKDRHKLAIEREKAIKEKAEKARVQRYGGVNSSGIVPSPRSALPPKLAQIKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMNRVATELDALQGTEKEPNREFLLLQGVRFAFRVHQFAGGFDEESMKAFEELRSKMCTTQTSAPQIS >ORGLA12G0000600.1 pep chromosome:AGI1.1:12:30082:31742:-1 gene:ORGLA12G0000600 transcript:ORGLA12G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome assembly factor mrt4 [Source:UniProtKB/TrEMBL;Acc:I1R3A0] MPKSKRNRPVISTKALICAPDSSAVTLSKTKKKPGLERKGKVVTDIKDAVEHYANAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSHADEAKTGLHKLSKFLQGDTGLFFTNLPRDDVERLFREFEEHDFARTGSIATETVELKEGPLEQFTHEMEPFLRKQGMXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA12G0000500.1 pep chromosome:AGI1.1:12:27712:28719:-1 gene:ORGLA12G0000500 transcript:ORGLA12G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAVPEWLNKSDNAWQMLSATLVALQGFPGLALFYAGAVTRKCALTSAFMALYAMAATMPCWALWAHNMAFGHRLLPFVGRPAPALAQDYMLTQALLPSTLHLHSNGEVETAAVAPLYPSASMVFFQWAFAGVTVGLVAGAVLGRMSVKAWMAFVPLWTTLSYTVGAYSIWGGGFLFHWGVMDYSGGYVVHLAAGVSGYTAAYWVGPRRTEEEEMTMAGGGNLVAMVAGAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA12G0000400.1 pep chromosome:AGI1.1:12:13015:22116:1 gene:ORGLA12G0000400 transcript:ORGLA12G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin heavy chain [Source:UniProtKB/TrEMBL;Acc:I1R398] MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIVDMAMPAQPLRRPITADSALMNPNTRILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKLLGLVTQTSVYHWSIEGDSEPAKMFDRTANLANNQIINYRCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVVGNENPSTLICFASKTTNAGQITSKLHVIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQISQKYGLIYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSASGGFYAINRRGQVLHATVNDATIVPFVSSQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWDKVLQPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLFEEAFAIFKKFNLNVQAVNVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDATHFLDVIRAAEEANVYDDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVAVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNESLNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRADVALELAWMNNMVDFAFPYLLQFIREYTSKVDELVKDRIESQNEVRAKEKEEKDLVAQQNMYAQLLPLALPAPPGMGGPPPPMGMPGMPPMGGMGMPPMGPGPMPAYGMPPMGSY >ORGLA12G0000300.1 pep chromosome:AGI1.1:12:7694:11780:1 gene:ORGLA12G0000300 transcript:ORGLA12G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALRRSPVTTLVAAFFLLALFMYGEDVRTLAELSIDDYLYPDADFYNVSGLPPLILPPPTCDLSRGRWVFDNTSLPAYREKECTFLTKQVSCLANGRPDHLWQYWRWQPNNCSLPTFDARRFMEKMRGKRMMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGSFNIFYAKEYRATLEFYWAPFLVESNSDNPNFHHIDQRIISPERIESHANNWKDVDYLIFNTYIWWMNNEDTKVRRPNSTSWSEHDEMPRIETYGRVFKTWSTWLEQNVDPARTSVFFMTISPLHNSPAQWGNPNGIKCVKGDTSSPQLHQATGSQPXHADVRPGSKSCXKHEECSSVVDRHHKDVRLQEGCPHIIVFHPSGXTVDTGAEGXPTEVRXLHPLVPSWGTRRLEPDTLHQDPLQILPSIHTSLSPSTMTSRDLVKKIKL >ORGLA12G0000200.1 pep chromosome:AGI1.1:12:6384:7591:-1 gene:ORGLA12G0000200 transcript:ORGLA12G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPLLAFPVYLWYRSPGKTGSHFLPSSDLFSPKEKSDVIVSTTCWCIMISLLVALACVFGPVPVLMLYGVPYLVFVMWLDLVTYLHHHGHNDLPWYRGETSGNDHYLQEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPEKSGPLPLHLFGVLLRSLRVDHFVSDVGDVVYYQTDHSLNGTDWAEDAKHK >ORGLA12G0000100.1 pep chromosome:AGI1.1:12:4816:5256:1 gene:ORGLA12G0000100 transcript:ORGLA12G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEPLDADDDSSSHENSYGNTEKSIDALLHSVDGSINFKPFDFDKLADDMLQEFSNILRKNLGSECMLEIDVGAMEQILAAAWKSEDKGPVQTWLEQVFARSLDELKLKYKHVSSSTLRLVPCEDTLPTVKGDGLGVLLPPRIILDC >ORGLA11G0190700.1 pep chromosome:AGI1.1:11:20757757:20759512:-1 gene:ORGLA11G0190700 transcript:ORGLA11G0190700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CINRLEFSHLFIFLGFSFIVSFGVPYQNKRRIPTMRVYXAADEEEEVNDLGVNVALSMLKFYKREISPLLPSSCRYVPTCSQYSMQAYKKYGVAKGTILTAWRLCHCNPLGGHGYDPPRWFGVRLYIRKDSIAYCLPMQLSQARVFRSSTAPDKGNLFVYSSIDSCHVEKVIRCSEFL >ORGLA11G0190600.1 pep chromosome:AGI1.1:11:20754773:20756554:1 gene:ORGLA11G0190600 transcript:ORGLA11G0190600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEDFFWEALLKENEAPSPPPVFFDLPATPLSNSDGTDPSSLDNQLLSYVSRMLMEDEMGSSAAITNLQCVNRGSTEEANNMLPGSEVVRAFSKGMGEASKLLPRNNSFRTLETVDQVSSDGHCRGRKKKNHDRDEQQLEEELGRSSKLAALTIAGTQEAGARELLAELMLHAHETCIKDMEKLRIDMDNEAEKKINKKDKKGSSSKVVDLRLLLIQCAQATATDNQQSAGELLKKIKQHALATGDAMQRVAHYFAKGLEARLAGKGKHLYQNQMRMSLVEYLKVYKLYMAACCFTKVALMFAAMTIMQAVQGKKRLHIVDYGPRCGLHWPDLLRRLGSREDGPPEVRITIVDILQPAFRPFQRIEEAGHCLSSCANEFRVPFRFQAVAAAKWETVGAEDLHIEPDEVLVVNDLLSFSALMDESVFSDGPNPRDVALRNISKMQPDVFIQGITNDSYGASFLSRFRAVLLYYSALFDILDATTPRDSGLRLALEQNLLGPYALNAIACEGADLVERPEKYKQWQARNHRAGMQQLKLRPDIVDTIRDEVNKYHHKDFLLGEDGQWLLQGWMGRILFAHSAWVRQSQDTSSG >ORGLA11G0190500.1 pep chromosome:AGI1.1:11:20750953:20752740:1 gene:ORGLA11G0190500 transcript:ORGLA11G0190500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEDFFWEALLKENEAPSPSPVFFELPPTPLANSDGSTDPSSLLDNQLLSYVSRMLMEDEMGSSAAVTNLQCVNGGSTEEANNMLPGSEVVRAFLKGMEEASKLLPRNNSFRMLETVDQVSSHGHCRGGKKKNHDRDEQQLEEELGRSSKLAAMTNAGTEEAGARELLDELMLHSHETCIKDMEKLRIDMDNEADKTIKKKGKKGSSSKVVDLRMLLIQCAQAMATDNQQSAGELLKKIKQHALATGDAMQRVAHYFAKGLEARLAGSGKHLYQNHVRMSLVEYLKVYKLYMAACCFKKVALMFAAMTIMQAVQGKKRLHIVDYGIRCGLHWPDLFRRLGSREDGPPEVRITIVDIPQPGFRPFQRIEAAGHCLSSCANEFRVPFRFQAVVAAKWETVGAEDLHIEPDEVLVVNDLWSFSALMDESVFCDGPNPRDVALRNISKMQPDVFIQGIINGSYGASFLSRFRGALLYYSALFDMLDATTPRESGLRLALEQNVLGPYALNAIACEGADLVERPEKYRQWQARNHRAGMQQLKLRPDIVDTIREEVNKYHHKDFLLGEDGQWLLQGWMGRVLFAHSAWVPQQQDNSSG >ORGLA11G0190400.1 pep chromosome:AGI1.1:11:20746607:20748535:1 gene:ORGLA11G0190400 transcript:ORGLA11G0190400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPEAEESLRFRWPAAEEEFDNDMVLPYISRLLMEDDVHDHFFYQYPDHPALLRAQQPFAQILASSPSSAAGASSSSSSSDAPPSRPFFDDEAATAKTFPTAAVHSVDHQYSGGLDMVNMAFLKGMEEANKFLPTNTLLLSTDSSTTLQLQVQGEVVVDGHGMLGGVGGAAAAHAHGAINSKKVNCRDDDLEAGTGRATKLMAPEPELEEEGARQMFDEMMLQEHEICMKGVKQLSLKSKSSSSKKARGRRTVIHTEPVDLHNLLLHCAQAVATDDRRSAHELLRQIKQHSSAWGDAGQRLAHCFAQGLEARLAGTGSQVYQSLMSQRTSVVDFLKAYRLYMEACCCKKVAFVFSNKTIYDAVTGRRKLHIVDYGLSYGFQWPGLLRELAARRGGPPEVRITGIDLPQPGFRPDQHIEETGRRLSRYADELGVPFKFHGIAATKKESVRLEELGEAEEDEVVVVISLCHFRNVMDESLQEDSSRSPRDEVLGNIRRMRPDVFIHGIMNGAYGATYFLTRFREALYYYAAQFDLLDATVGRESHERMLVERDIFGRAALNVIACEGAERVERPEMYKQWQARNQRAGLRQLPLNPQVVRLVLDKVRDKYHKDFVVDEDQRWLLHRWKGRVLYALSTWVAQH >ORGLA11G0190300.1 pep chromosome:AGI1.1:11:20742782:20744698:1 gene:ORGLA11G0190300 transcript:ORGLA11G0190300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPKPEELVVAIEQPFSPSLFLDLPPTPHHDDDPNNVNDDLLLPFISRILMEDDIDDKFFYQFPDHPALLQAQQSYAQILHAPATSSSSDDTTINNNTTNSTSVPDTLAMPDHDADTQSAPDDMEMLNMAFLKGREEATKFLPTNNTLFSGFKAEPVLDIQPTFTFGPSGGGRGRKNRHAEEDDLETETSRSSKLMAPEHDDAAAADEIFDEIILNGYQMIIKGIDELRVAMGSQSQADKNGRRASRAKTAVVDLHTLLIHCAQAVATGDWRSATELLKQIKQNSSARGDATQRMACCFAEGLEARLAGTGSQMYQSLVAKRTSTVDFLKAYKLFTAACCIKKVSVIFSNKTIYNAVAGRRKLHIVDYGLSYGFQWPALFFLLGAREGGPPEVRMTGIDVPQPGFRPADQIEETGRRLSICARQFGVPFKFRAIAAKWETVRREDLHLDPEEEEEEVLVVNCLHGLNTLQDESVAVDSPSPRDVVLDNIRDMRPHVFVQCVVNGAYGAPFFVTRFREALFFYSAHFDMLDATIPRDNDDRLLIERDMLGRCALNVIACEGADRVDRPETYKQWQVRNHRAGLRQLPLEAEVVELVRGKVKSLYHKDFVIDVDHNWLLQGWKGRILYAMSTWVAHHP >ORGLA11G0190200.1 pep chromosome:AGI1.1:11:20716525:20718603:1 gene:ORGLA11G0190200 transcript:ORGLA11G0190200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPQLEELVDLEPFSPSLFLDLPPTPHGDDPNDDDLILPFISRMLMEDDIDDKFFYQFPDHPALLHAQQPYAQILDAPSDDTTTNSSDDSASATTNNTTNSAAAANASWPYDPVELSQLLQSPPRPVSDNHDADVGDTRSAPEDDKDLKLLFSAADNMEMLNMAFLKGREEANKLVPTNNTLFAGFDGASLLKTEPAVDEPTLMFGRSGGSGRGRKNRHGEEDDLEAETGRSSKLMVPPQEDTAAASEMFDEIMFNGYEVIMKGMEELRVAMDSEAEKKARNGGGAGRRAARAKAAVVDLHTLLIHCAQAVATSDRRSATELLKQIKQNSSARGDATQRLACCFAEGLEARLAGTGSQVYKSLVAKCTSTVDFLKAYKLFAAACCIKKVSFIFSNKTILDAVAGKRKLHIVDYGLSYGFQWPGLFKCLSEREGGPPEVRITGIDFPQPGFRPADQIEETGRRLSNCARQFGVPFRFQAIAAKWETVRREDLHLDREEEEEEEEEVLVVNCLHFLNALQDESVVVDSPSPRDMVLNNIRDMRPHVFVQCVVNGAYGAPFFLTRFRETLFFYSSQFDMLDATIPRDNDERLLIERDILGRWALNVIACEGADRVDRPETYKQWLVRNHRAGLTQLPLQPQVVELVRDKVKKLYHKDFVIDVDHNWLLQGWKGRILYAMSTWVADRDHKSLF >ORGLA11G0190100.1 pep chromosome:AGI1.1:11:20710878:20711345:-1 gene:ORGLA11G0190100 transcript:ORGLA11G0190100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSMLLQSFLIWLSSRASCAFSRMPPDATPPEPEPDGACGSMSGGLGEELEDMTCSSPDSTEEPSRVIIGSAIGIAAATVTAPVVVVAGTGGVPLHLPSDNVGLSPITLRRRRRRLLLDPLIRVTGLVTPGSVPRWIGCQLACPSSRCVAALGT >ORGLA11G0190000.1 pep chromosome:AGI1.1:11:20701954:20705487:-1 gene:ORGLA11G0190000 transcript:ORGLA11G0190000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:I1R2A8] MKPSPAANLDVRVERPRPPPVHPHRPGSLRARPYYRRWTPWIVAAIALSCVVVFLVSMYVNDCPRRNSGDCAAGFLGRFAFQPLKENPLLGPSSATLLKMGALDVTKVVHGHQGWRLITCIWLHAGVVHLLINMLCLLFIGIRLEQEFGFVRIGLVYLISGLGGSLMSALFIRSSISVGASGALFGLIGSMLSELITNWSLYANKVAALLTLVFVIVVNLALGILPRVDNFAHIGGLISGFLLGFVMFIRPQFAWINQRRVAPGQQPAPVKRKHKTYQYILWLAAAIMLIVGFTVAIVLLLRGYNANDHCSWCHYLSCVPTKRWKCNSSPTYCTVMQQANTLNLTCEGTNVHRSYLIADATQDKINQLCNQLCS >ORGLA11G0189900.1 pep chromosome:AGI1.1:11:20694448:20700845:-1 gene:ORGLA11G0189900 transcript:ORGLA11G0189900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSPSKRRRHGSRGRSPPSSRHGCAKDKEGAAVSLFVSNLPRSCRPEDVQVPFQKFGPVRDVYLPKDYNTGEPRGFAFVEFAHSSDASKARYHMNRKMLSGREISVAFAVQTRKRPEEMRRIIGARHNSPQRKEECRTNSPGQPKGHDEKRKRRSYTPKYKDRQYADIGRDETPPAPDSERPWALCRSPRPSPPGQSHSRSYSRSHSLHLHDHARTRSCSPAPGRQDDQYASPQRKEHQTKSSGQTKGHDDMRRSYTPEYNECQDADNGFDETPPAPDGERSSVLGRSPRPSPPGQSHCHSHSRSRSPELRGHARSRSCSPATGRQDNQSTSPQRREKHQTKSSGQAKEHDEKRRSYTPEYNDRRDADNGYDQTPPAPDGERSWALGRSPQPSPPGRSHFHSHSRSRSPELRGRARSRSCSPAPGRQGDDQYASPQRKEEQQTKSSRQTKEHDEERRSCTPEYSDRRDAFIGHDETPPSAEWGSKLGTVQVISNTAASSN >ORGLA11G0189800.1 pep chromosome:AGI1.1:11:20687301:20690769:-1 gene:ORGLA11G0189800 transcript:ORGLA11G0189800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLHPPMMKMLLAALLVVAAASFRAADAALPSMAVGVNYGANADNLPPPKDVAAFLAAHTTIDRVKLFDANPAFISAFANTPISLAVSLPNSDLPSLADKQTGLDTARAWVRANLSPYVPATNVTLLLAGNEILLSPDPNLVLSLLPAMRRLAQALRLEGLTGVRVTTPHYLGILAPSDGIPSNARFRPGYDTKLFPPMLQFHRDTGSPFMVNPYPYFSYNNQTLDYALFRPNRGVYDPNTKLNYTSMFDAQMDAIYTAMKRLGYGDVDIAVGEAGWPTQADPGQVGVGVEEARDFNEGMLRVVSSGKGTPLMPNRKFETYIFSLFDENQKPGPIAEKHFGILNPDFTPIYDLGLLRQSSDSGAPNPSPNPSPNPSPKPAPSGGGKWCVPKAGASDTDLQNNINYACGYVDCKPIQSGGACFDPNNVQSHAAFVMNAFYQANGRHDYDCDFKGTGVVTSNDPSYGSCKYVS >ORGLA11G0189700.1 pep chromosome:AGI1.1:11:20680549:20680845:1 gene:ORGLA11G0189700 transcript:ORGLA11G0189700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPIYSLEKPFLKFLFNHLINFHPMGIQAEPLSAPASHSTLLFLLLNSFHLFILGFFFQLFFHLTHLILLIFVCMKVPAVFGGIALHNLVTRCLPTA >ORGLA11G0189600.1 pep chromosome:AGI1.1:11:20675950:20676315:1 gene:ORGLA11G0189600 transcript:ORGLA11G0189600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCSCGSNCSCGKKYPDLEEKSSSTKATVVLGVAPEKKAQQFEAAAESGETAHGCSCGSSCRCNPCNC >ORGLA11G0189500.1 pep chromosome:AGI1.1:11:20668687:20671744:1 gene:ORGLA11G0189500 transcript:ORGLA11G0189500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein Obg/CgtA [Source:Projected from Arabidopsis thaliana (AT1G07615) TAIR;Acc:AT1G07615] MWRRQHALLRRISLLKPPAATGIGCYYATEPEGRKPKTAPLQSRGMVDRFRLRAKGGDGGNGCISLRRSRSDRQGKPDGGNGGRGGDVILECSRSVWDFSGLQHHMKASRGANGISKNQIGTRGSDKIAQVPVGTVIHLVEGEQPSLSVNKATRALDPWDIPDAVEHSPFSSSRIGNKMMKGLDSSRSSQHISSKKNTAENDRERGNRNHREKEPCYMSEFVRTEDYDGTSYPRQVRVDENDQSDDEDDEFWEDDEEELDMEEVTEEKEEEEDVRYSVAEMTKPGQRLIIARGGEGGLGNACILKEMWLSKAHKEEEMASLSTGHPGTETYLILELKSIADVGLVGMPNAGKSTLLSALSRARPEIADYAFTTLRPNIGSLTYEDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAATLNGRKGVPPWEQLRDLVVELEHYQEGLTKRPSLIVANKIDEEGADEMYEELKKRVQGVPMFPICAILQEGVPDLRVGLRDLMDASDPQGIELSKIVVD >ORGLA11G0189400.1 pep chromosome:AGI1.1:11:20650606:20652398:1 gene:ORGLA11G0189400 transcript:ORGLA11G0189400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKSPAATAAVGSPRKTRSMAAGKQRAEAPAKAAKKEAAAAAAPPEQKGRKRAKKEDAEVAAAAEKDSGAVVSDGKRIVVEACTQCRQFKIRAAKVKEDLESSVPGVSVVINPEKPRRGCLEIREEGGEVFISLLNMPRPFTAMKKLDMDEVIKDIANKIS >ORGLA11G0189300.1 pep chromosome:AGI1.1:11:20645412:20649288:1 gene:ORGLA11G0189300 transcript:ORGLA11G0189300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDIKLWPFKVIAGPGDKPMIVVQYKGEEKQFAAEEISSMVLIKMREIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVESKNALENYAYNMRNTIKDEKIASKLPAADKKKIEDAIDQAIQWLDGNQLAEADEFDDKMKELEGICNPIIAKMYQGAGADMAGGMDEDDAPPAGGSGAGPKIEEVD >ORGLA11G0189200.1 pep chromosome:AGI1.1:11:20643473:20644727:-1 gene:ORGLA11G0189200 transcript:ORGLA11G0189200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTGAGDVAASDGASPPVVKMTTEGGNAAAGDRGGAARGRRERSWAAGDERQGGMATRRRQAGRRGRLTSLAAALGGLEAVLIVARSDNSSRPHPPSAAAFVGFPHGGAHARRVVRPATAAPEEAIEAPLKRKETASPPPPLDRLVTNKPPGMRFTARRNMRGPDGLSITFTIPTALMPFRCQVQIGAQGDQLHRVGQPSDLLARRPPPHHRPAFPERRVCVRERGARERRETGTC >ORGLA11G0189100.1 pep chromosome:AGI1.1:11:20634951:20635694:1 gene:ORGLA11G0189100 transcript:ORGLA11G0189100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSRIGVGLAVVSALLLLALAAELYYLFVYKHRRSAAISDAASSPSSSSRELLQLFCFKKPPALASTYAQEPHAGEAVVAVAVDDDDDTVEAQLMRLGSLVGPTRLLFTIKEETKEDLESEDGRSRCGRSRSLAELLHSSETPFMTPASSPLPMDKSFNPLFEPTVAAAVTVSPPPKFQFLKDAEEKMYRRALAEEAMRARRSPQTRSPAAAGEEDGGYITIMVGKNNKVIPLPSPPSNGDGDLQ >ORGLA11G0189000.1 pep chromosome:AGI1.1:11:20631458:20632482:1 gene:ORGLA11G0189000 transcript:ORGLA11G0189000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQLSISIGDSSEELSELIQCISCLVISSPHHKQQQLPGKNKQQQQLVIDEEENRGWLVGFQHKLADCCLHLPNQQTF >ORGLA11G0188900.1 pep chromosome:AGI1.1:11:20627494:20629278:-1 gene:ORGLA11G0188900 transcript:ORGLA11G0188900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGKRKTPAELLRENKRMLDKSIREIERERQGLQAQEKKLIAEIKKVAKQGQMGAVKIMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMRQMNRQMNLPALQKIMREFEIQNEKMEIVSSTMNDAIDDALEGDEEEEETEELVNQVLDEIGIDVNSELVGAPSTAVAAPVGAGKVTAQAEAAGGGDGGIDDDLQARLDNLRKM >ORGLA11G0188800.1 pep chromosome:AGI1.1:11:20626506:20627074:1 gene:ORGLA11G0188800 transcript:ORGLA11G0188800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNGNPGYYNNRNAGDQSDYKATIAILFSVFFVVILIRLIHFIINQSNNRAPANGGAAATSDRRLGGGGGVSRVPARLPRQRPGGAGAGNGTAMAWQPPPCTSTYRRDDGWKETACPVCLSEFADGELIRLLPECMHYFHAACIDEWLRTRATCPLCRAAPAGDVSTA >ORGLA11G0188700.1 pep chromosome:AGI1.1:11:20625351:20625869:1 gene:ORGLA11G0188700 transcript:ORGLA11G0188700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGVSASTFKSPVFIGLLAVMCVAVVLLLHHCVLVTFCDTRRRRRRRRRRGTSTQQQHVQQGEDDDDDDDDDEDDMMSSSSQAKLVVCPYKKAEEWGEAMCPVCLSEFGDGEAVRVLPECMHYFHVDCIGTWLRANTSCPLCRADTTPSSGDLHHHLSISVSLEEILVRT >ORGLA11G0188600.1 pep chromosome:AGI1.1:11:20624406:20625026:1 gene:ORGLA11G0188600 transcript:ORGLA11G0188600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASMAFTVLALAVVAVSGAAAAWTTTFTMHNLCPYTVWPLVTPNAGQPAIVTGGATIRLDPNGLASLAFPAAAGWSGRVVPRTGCTGAATCATGDAPPATVAQVSVNAAGGLAEYSVSLVDGFNVPATITPHAFDGSQTCPVLGCAADINAACPADARVGAGCRASPQFFKEMCPEARTTATDVEATPQKCFGPGELKVVFCPTN >ORGLA11G0188500.1 pep chromosome:AGI1.1:11:20622255:20622893:1 gene:ORGLA11G0188500 transcript:ORGLA11G0188500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFAVLATFAAAATPTTTTNLTLHNLCTHPVWPLVTANAGLPAIADAAGAATRLDGNGDGLATLAFPPGAWSGRVVARTGCRGNGSSRCNTGDAPPVTVAQVSVHGAGGLAEYSVSLVDGFNLPVVVTPHGFEQGRLCPSLGCAVDLAADCPGDGGRGGCMAAGQAEAFKARCPDTRTTPTDVEATPQRCIHPAELKVVFCPLDNTSSTH >ORGLA11G0188400.1 pep chromosome:AGI1.1:11:20620478:20621418:1 gene:ORGLA11G0188400 transcript:ORGLA11G0188400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPPPPPARAERHGMGTVRVTRVKLLKPRDTLLLGQAYRLITVDDMQLVVRQVLEICQVRCQHLNSSSPAMNKISVGRMPECERKSPKFHGREPHKSTHVHHGGVVLLLELGDDGHVQVAHVARRW >ORGLA11G0188300.1 pep chromosome:AGI1.1:11:20618453:20618899:1 gene:ORGLA11G0188300 transcript:ORGLA11G0188300.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLLCKVVSQLTVKEAGQTSILSSRWRDRWIYHSNLCFDHSEFPRYTADRFINYVNHVLQQHSFLAVDRFEIRFPLQKQQTKHVDSWVAFASASRAKHFVLDLSPAVHTNHQTEEHKYEFPVDLLNGQNGSPIISLRLSLVCLKLPSDFL >ORGLA11G0188200.1 pep chromosome:AGI1.1:11:20612890:20615625:1 gene:ORGLA11G0188200 transcript:ORGLA11G0188200.1 gene_biotype:protein_coding transcript_biotype:protein_coding REASLCSMAPLRGAKRRRKAAAEKKAAMAAAAAAGGAPGAGGGDWWDGFCMRMSGTLSGIQDAQRFEFIFKMPRRTFNYICGLVRDEMMVRSSSYTFLDGKVLSLEDRVAVALIRLNSGGSLVTVGSAVGVNHSTVSLITWRFVEAMEERASHHLRWPDSSEVEKIKSMFEKIHGLPNCCGVVDTTHITMCLSSAEPNCKVWLDHEKNYSMVLQAVISPDMRFMDIVTGWPGSMKESSILHSSGLFKMCEKGARLNGSKMVVSDGSEIGEYIIGDAGYPLLPWLLTPYQEKDLSDSKLEFNKRHAAAITVAPRTLANFKDTWKFLHGEMWRPDKHRLPRIIHVCCMLHNIIICLQDATIDEAAMSNDHDANYKQQVCQLADENAVRVRDKLSEHLVSR >ORGLA11G0188100.1 pep chromosome:AGI1.1:11:20605476:20605991:-1 gene:ORGLA11G0188100 transcript:ORGLA11G0188100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATNTTTRSPVKLMLVLTLSPASKVIAKGGGGVKRVHLDGGAFQCRTCGRRFSTFQALGGHRTSHKRPRVRADGLDLLLGARPGKLGAGGGGGASTPVVHRCDMCGKVFATGQALGGHMRRHRPLVSRNGTMSTTWTAAAAAATMSGSSSEERDDDDDDVHNYNFIHFL >ORGLA11G0188000.1 pep chromosome:AGI1.1:11:20600316:20600816:-1 gene:ORGLA11G0188000 transcript:ORGLA11G0188000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQEDYTSDLQLELEEEDDDNQLSQLAELDVQLSLSLACGSTTAMSSSGDEEDAEPRRRKRRHRRRDEEAAAFECRTCGRRFPSHQALGGHRTSHLRPTTNKRRPGPSKPLIHACEVCGLGFQMGQALGGHMRRHRPRNIDLGHKQIIMPEVRPNSTLQLLDLFV >ORGLA11G0187900.1 pep chromosome:AGI1.1:11:20598663:20599559:-1 gene:ORGLA11G0187900 transcript:ORGLA11G0187900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQLRPSLYCSLLVLLIINGAAAAGKTGELTVIWGRNKDEGSLRSTCDTGLYTTVVISFLSVFGHGRYRTDLSGHPLAGVGADIKHCQKAKNVTVLLSIGGAGDQYSLPTAKSAQDVAEHLWHAYLGGGRRGVSRPFGDAVLDGVDVYVDRGRWGHYDELARRLRSFGREKPAVRLTASPACSLALFDDEVETMKTLSLFERLHVRFYNESSCDYNYFETRPFWGAWRTWTSRFPAARVHVGWPAMEEMSGFVDPQMLRQSVLSSVQDDANYGGVMLWDRYYDKVTGFGHAIKDIV >ORGLA11G0187800.1 pep chromosome:AGI1.1:11:20597067:20597972:-1 gene:ORGLA11G0187800 transcript:ORGLA11G0187800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHMSLLTTTMLVAVVVFLPCLATATGKTGEIAVFWGRNKTEGSLKEACDTGIYTTVIISFFSVFGHGRYWTDLSGHDVSRVGADVKHCQSKNIPVLLSVGGDGYQYSLPTPNSAKDVADHLWHAYLGGGRRGVFRPFGDAVLDGVDLYIDHGGPANYDVLVRRLAGYRGKPVLLTATPRCVYPDANAAAALGTGLVRRIHPRFYGDAACTNKTDGEGRRSLFDWVDWDAWTSRFPASQVYVGLPAEETAADWINPESLYYGVMQRAQTASNYGGAMLWDRGADKAYDNYYGRALKDFV >ORGLA11G0187700.1 pep chromosome:AGI1.1:11:20594238:20595140:-1 gene:ORGLA11G0187700 transcript:ORGLA11G0187700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQRRRALPLSFVVIVLLILAGTGLAAADKSGELTVFWGRNKDEGSLREACDTGIYNTVIISFLTVFGHGRYWADLSGHPVAGVGADIKHCQHAKNVTVLLSIGGDGDHYSLPTPRSAKDVADHLWHAYLGGGRHGVFRPFGDAVVDGIDLYIDHGGSANYDELATRLGERGGVLLTATVRCMDGQETSGEAAAATGMVGRIHVRFYDDRRCSYDSSERRPFYGAWLGWTARYANASVHVGLPAAWDAASDGWINPAALVFDALPLVRGTPNYGGVVLWNRHFDRRSRYGQTIKGML >ORGLA11G0187600.1 pep chromosome:AGI1.1:11:20591954:20592868:1 gene:ORGLA11G0187600 transcript:ORGLA11G0187600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALGRRSWLVPLAMVLAVSSCLAGPAMAAGKTGQMTVFWGRNKNEGTLKETCDTGLYTTVVISFYSVFGHGRYWGDLSGHDLRVIGADIKHCQSKNVFVFLSIGGAGKDYSLPTSQSAADVADNIWNAHMDGRRPGVFRPFGDAAVDGIDFFIDQGAPDHYDDLARNLYAYNKMYRARTPVRLTATVRCAFPDPRMKKALDTKLFERIHVRFYDDATCSYNHAGLAGVMAQWNKWTAKYPGSDVYLGLAAANVPGKKDNVFIKQLYYDLLPNVQKAKNYGGIMLWDRFYDKQTGYGKTVKYWA >ORGLA11G0187500.1 pep chromosome:AGI1.1:11:20584447:20585385:-1 gene:ORGLA11G0187500 transcript:ORGLA11G0187500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRRRSSAAAIAVFFFLLFLLAVFFQPAVAYYHPQGKRQTVAVFWGRNKAEGSLSSICDTGDYNIVIISFLSVFGHGNYWLDLSGHDLRHVGADIRHCQSKGVYMLLSIGGDGDGYSLPSSKSAADVAYNLYHSFLGRPRAGIFRPFGDDTIVNGVNFFIDHGPADHDDDLANRINDYNQNIHDPIGIMLTATVRCAYPDPRMKKALDTKLFTQIHVRFYDDPRCSYNHAGLAGVMAQWNRWSARYPNSRIFLGLAAANVTGKNDMVGVGELRRKLLPAVQKTESYAGVTLWNSYYDSLTHYGRYVKHLA >ORGLA11G0187400.1 pep chromosome:AGI1.1:11:20576243:20577164:-1 gene:ORGLA11G0187400 transcript:ORGLA11G0187400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVREEMRKGPWTEQEDLQLVCTVRLFGDRRWDFVAKVSGLRGLNRTGKSCRLRWVNYLHPGLKHGRMSPQEEHLIIELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERRGDMSPSSSSSSLAYQSCLLDTVPIISMDGGDIHDDRSCMARVLKSTQSVMDGYTMDQIWREIEAPGAPSLLGIDEGKDKACSNLPCPLLTSTMSDYSCPEVFWKIDNEGTRMLATQSGYGK >ORGLA11G0187300.1 pep chromosome:AGI1.1:11:20557517:20558665:1 gene:ORGLA11G0187300 transcript:ORGLA11G0187300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLASKPNNEWSKVYDSIGFGQEDSKDVRNTRKILSFSYYDLPIQLRTCLLHLTIFPEDYWIEKYQLIWRWIAEGFVHEEKGLVLFEQGERFLDELINRSLIXPTDHCRSGIIEGYRVHDMVLDLIHSLSSEDNFCTVLDKEQDMLSQSNNVRRLAIHKRILEHNPEMNVRMAQVRSFNAYMCGHMDCMPLWSFKVVRVLVLDLCNFTGSTHLEPIGKLLHLKYLGLVNTTIAELPKEVGNLMLLQTLDIWLTGIEELPSTIGKLKRLICLRADSNTRVPAGVIGSLTSLQQLRLHSADKSPSAVVELGKLVELRVLEIHFCKMDQNSRRSLVESVCNLRNIQVLEVHYDHSGPAEWAYLGSSWEGWVPHPRLRQFLLRAISLP >ORGLA11G0187200.1 pep chromosome:AGI1.1:11:20549195:20552009:1 gene:ORGLA11G0187200 transcript:ORGLA11G0187200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSHLHLLILFFAIAAFSLSTATSTRASPADTAVGGGASKVYTKVCDAARFAGLDLNMTEFRYCDASLPYADRVRDLIGRMTVEEKVGALGDWTDGAARIGLPAYRWWSEALHGLSSTGPTTKFDDLATPHLHSGVSAVYNATVFANVINSAASFNETLWKSIGQAVSTEARAMYNMGKGGLTYWSPNINVVRDPRWGRALETPGEDPYVVGRYAVNFVRGMQDIPGHEAVAAGGDPNTRPLKTSACCKHYAAYDLDDWHNHTRFEFDARVDERDMVETFQRPFEMCVRDGDVSSVMCSYNRVNGIPACADARLLSQTIRRDWGLHGYIVSDCDAVRVMTDNTTWLGYTGAEASAAALKAGLDLDCGESWKNDTDGHPLMDFLTTYGMEAVNKGKMRESDIDNALTNQYMTLMRLGYFDDIAQYSSLGRQDICTDQHKSLALDGARQGIVLLKNDNKLLPLEANKVGFVNVRGPHVQAPEKIMDGDYTGPPCRYVTPRQGVSKYVRFSHRANTTIYFGGLNLNIEREGNDREDILLPKNQTEEIIRVAKASPNPIILVILSGGGIDVSFAQNNPKIGAILWAGYPGGEGGNAIADVIFGKHNPSGRLPLTWFKNKYIYQLPMTSMDLRPVKKHGYPGRTYKFYNGPDVLYPFGYGLSYTKFLYEMGTNGTALTVPVAGGHCKKLSYKSGVSSAAPACPAINVNGHACTETVSFNVSVTNGGDTGGSHPVIVFSKPPAEVDDAPIKQVVAFRSVFVPAWSTVSVSFELNVCKAFGIVEKTAYTVVPSGVSTVLVENVDSSVSFPVKISFSV >ORGLA11G0187100.1 pep chromosome:AGI1.1:11:20536969:20538264:-1 gene:ORGLA11G0187100 transcript:ORGLA11G0187100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNIMFGPVPTQIGTLQSIVILYLDDNKFSGSIPNGVGNLTTLQDLRLSYNLLSSSIPASLVNLSNLIRLYISHNNLTGALPSDLSPWRAIAEMGISANNLVGSLPTSWGHLQLLSYLNLSQNTFNDLIPDSFKGLVNLETLDLSHNNLLGGIPKYFANLTFLTSLNLSFNNLQGQIPSGGVFSNITLQSLMGNPRLCGVPRLGFPACLEKSHSTRTKHLLKIVLPAIIAAFGAIVVFLYLLIGKKMKNPDITASFDIADAICHRLVSYQEIVRATENFNEDNLLGVAIKILNMQVERALRSFDAECHVLRMARHRNLIKILNTCSNLDFRALLLQFMPNGNLESYLHSESRPCVGSFLKRMEIMLDVSMAMEYLHHEHHEVVLHCDLKPTNVLFDEEMTAHVADFGIAKMLLGDDNSAVSASMPGTIGYMAP >ORGLA11G0187000.1 pep chromosome:AGI1.1:11:20535570:20535842:-1 gene:ORGLA11G0187000 transcript:ORGLA11G0187000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPTDPMFIGGLTLRLWVSQSFPDNLIDVADEHLLQDEETRLCFDYQNISSTSRSNSFLTLIFELGLLCSSESPEQRIAMNDVVSKLKGIKK >ORGLA11G0186900.1 pep chromosome:AGI1.1:11:20509085:20509429:1 gene:ORGLA11G0186900 transcript:ORGLA11G0186900.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSYGIMLLEVFTGKRPTDAMFVGELNIRQWVYQAFPVELVHVLDTRLLQDCSSPSSLHGFLVPVFELGLLCSADSPEQRMVMSDVVVTLKKIRKDYVKSISTAGSVALPAYTKE >ORGLA11G0186800.1 pep chromosome:AGI1.1:11:20493101:20500337:1 gene:ORGLA11G0186800 transcript:ORGLA11G0186800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17340) TAIR;Acc:AT3G17340] MEVEAQTSAAAAAAGDELRSLLSATLSPDKAAVDAATEGLSRIAAASDPRFPISLLAVAAADGDQGTKVAAATYLKNYTRRNIDWGLSSPELYKEFRDRLAQALLQVEPFLLRVLIEVFRQVIEKDFVKENLWPELVPQLKQVIQSSNIISPGQHPEWNTINALTVLQSVVRPFQYFLNPKVAKEPVPPQLEQIASEILVPLQVTFHHIADKVLLSRDETNLEYEQLLLITSKCIYFTVRSYMPSRVKQILPSFCKDMFRILESLDFNSQYEDRATTRLKTAKRCLIILCTLVTRHRKHADDQMAHIVNSATRISSQSIHLHKLDPLSDRIISLSFDVISRVLETGPGWRLVSPHFSSLLDSAIFPALALNGKDITEWEDDTDEYMRKNLPCELDDISGWAEDLFTARKSAINLLGVIALSKGPPVVSAASKRKKGDKSKGKGERSSIGELLVIPFLSKFPIPPQREDVSSKAVQNYFGVLMAFGGLQDFLTEKKDLTNTIIRNRILPLYSLDPCSPYLISAANWIIGQLALCLPEAMSTNIYHSLMKALTMEDFDELSCYPVRASASGAIAELIENGYAPPDWLVLLQVVMKRISVEDENESTLLFQLLGTIIESGQEKVMPHIPEIVSNIANTIMNLLPPVPDPWPQAVERGFAALVSMAQAWESSAPDENKDIEMRVWQSGQFAMAQTFSHVLQKAWLLPVEQMGLSVCSSLPPLSCVNDASILLEFIMRSITSMEETASMKVFELVAIWADIIACWDSWEEMEDQGIFNTIKEAVNFHQNFDSNGFFLKILPSRSENSSQSSVISWVSSFITRAIEAYPSATWRACSCMHTLLHTPNFSHGAEDTRMTLAVSFAQAAFSRFKSVSDSPSGIWKPLILVISSCYICYPDAIEQVLRKYDSNGYAIWASALAQISSSSFNPGLSSESEIKLAVLTLATVIERLLALSTGGTKLLHDCCVSLMESCIHLKEVQEDGDDGDGAEDLDDDDEEEEDTDEDDEDSDDDDDVREETEEEFLQRYAAAAAGESIEIVEDGDIDDETQDIELGSLDEMDVQQVVLSMMKIRPDLIRAQTFPDGLMERMAETFPEYEQLFHVHPQA >ORGLA11G0186700.1 pep chromosome:AGI1.1:11:20486875:20487410:1 gene:ORGLA11G0186700 transcript:ORGLA11G0186700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLNKKAAVFLFTSLMVMATVIFTSCHTTQVGYGEMDSCMVQVNCDMNKCMSDCQIKGFNGGLCDGESNDHCCCTDEARTNNRFLLSKSTKMVV >ORGLA11G0186600.1 pep chromosome:AGI1.1:11:20482194:20485589:1 gene:ORGLA11G0186600 transcript:ORGLA11G0186600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAWIVSFSITIIVSLIALSTVASTASSGPSKNVSNGSDIDLAALLAFKAQLSDPLGILAGNWTTGTPFCRWVPLGLAACPYLQLLSLRENQFEDIFPSWLGKLTNLNTISLGWNYFDAAPIPTALSNLTMLNTLELARCNLTGAIPQDIAQLTKLSYLRLSTNQLTGPIPASLGNLSSLAYLILDENMLDGSVPATVANMNSLTVVFISENRLQGEFFNFLSMFSNCRYLSLLYIGSNYFTGRLPNYIGNLSSTLQEFSAYGNRLAGELPATISNLTNIEVLDLSENQLHGTIPESITEMENLHVLDLSGNSLAGSIPTNIVMLKNLLNLFLQSNEFSSSIPKDIGNLTKLEHLILSYNQLSSTVPPGLFHLDSLIKLDLSQNFLSGALPVDIGYLKQINIMDLSANRFSGSIPDSIGELQILSYLNLSVNEFHDSIPDSFGNLATLQTLDISHNSIFGTIPKYLANFTTLVSLNLSFNKLHGQIPEGGVFANITLQYLVGNSGLCGAARLGFPPCQTTSPKRNGHMLKYLLPTIIIVVGVVACCLYVMIRKKANHQNIFAGMADLISHELLSYHELLRATDDFSDDNMLGFGSFGKVFRGQLSNGMVVAIKVIHQHLEHAMRSFDTECHVLRMARHRNLIKILNTCSNLYFRALVLQYMPKGSLEALLHSDQGKQLGFLERLDIMLDVSMAMEYLHHEHYEVVLHCDLKPSNVLFDDDMTAHVADFGIARLLLGDDNSMISASMPGTVGYMAPEYGTLGKASRKSDVFSYGIMLLEVFTAKRPTDAMFVGELNIRQWVQQAFPAELVHVVDCQLLQDGSSSSSSNMHGFLVPVFELGLLCSADSPEQRMAMSDVVVTLKKIRKDYVKLMATTGSVVQQ >ORGLA11G0186500.1 pep chromosome:AGI1.1:11:20479076:20479441:1 gene:ORGLA11G0186500 transcript:ORGLA11G0186500.1 gene_biotype:protein_coding transcript_biotype:protein_coding STGPLVKRHGRVLLEVFTAKRPTDAMFVGELNIRQWVLQAFPANLVHVVDGQLVQDTSSSTSSIDAFLMPVFELGLLCSSDSPEQRMVMSDVVVTLKKIRKEYVKSIATMGRDENRTAVFH >ORGLA11G0186400.1 pep chromosome:AGI1.1:11:20478516:20478743:1 gene:ORGLA11G0186400 transcript:ORGLA11G0186400.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLSSGLVVAIKVIHQHLEHALRSFDTECRVLRMARHRNLIKILNTCSNLDFRALVLQYMPNGSLEALLHSDQRMQL >ORGLA11G0186300.1 pep chromosome:AGI1.1:11:20467048:20470448:1 gene:ORGLA11G0186300 transcript:ORGLA11G0186300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLLVWIYIVLLIALSTVSAASPPGPSKSNGSETDLAALLAFQAQLSDPLGILGGNWTVGTPFCRWVGVSCSHHRQRVTALDLRDTPLLGELSPQLGNLSFLSILNLTNTGLTGSVPDDIRRLHRLEILELGYNTLSGSIPATIGKLTRLQVLDLQFNSLSGPIPADLQNLQNLSSINLRRNYLTGLIPNNLFNNTHLLTYLNIGNNSLSGPIPGCIGSLPILQTLVLQVNNLTGPVPPAIFNMSTLRALALGLNGLTGPLPGNASFNLPALQWFSITRNDFTGPIPVGLAACQYLQVLGLPDNLFQGAFPPWLGKLTNLNIVSLGGNQLDAGPIPAALGNLTMLSVLDLASCNLTGPIPADIRHLSQLSELHLSMNQLTGPIPASIGNLSALSYLLLMGNMLDGLVPATVGNMNSLRGLNIAENHLQGDLEFLSTVSNCRKLSFLRVDSNYFTGNLPDYVGNLSSTLQSFVVAGNKLGGEIPSTISNLTGLMVLALSDNQFHSTIPESIMEMVNLRWLDLSGNSLAGSVPSNAGMLKNAEKLFLQSNKLSGSIPKDMGNLTKLEHLVLSNNQLSSTVPPSIFHLSSLIQLDLSHNFFSDVLPVDIGNMKQINSIDLSTNRFTGSIPNSIGQLQMISYLNLSVNSFDDSIPDSLGELTSLQTLDLSHNNISGTIPKYLANFTILISLNLSFNNLHGQIPKGGVFSNITLQSLVGNSGLCGVARLRLPSCQTTSPKRNGRMLKYLLPAITIVVGAFAFSLYVVIRMKVKKHQMISSGMVDMISNRLLSYHELVRATDNFSYDNMLGTGSFGKVYKGQLSSGLVVAIKVIHQHLEHAMRSFDTECHVLRMARHRNLIKILNTCTNLDFRALILEYMPNGSLEALLHSEGRMQLGFLERVDIMLDVSMAMEYLHHEHHEVVLHCDLKPSNVLLDDDMTAHVSDFGIARLLLGDDSSMISASMPGTVGYMAPEYGALGKASRKSDVFSYGIMLLEVFTGKRPTDAMFVGELNIRQWVYQAFPVELVHVLDTRLLQDCSSPSSLHGFLVPVFELGLLCSADSPEQRMVMSDVVVTLKKIRKDYVKSISTAGSVALPAYTKE >ORGLA11G0186200.1 pep chromosome:AGI1.1:11:20439778:20440377:1 gene:ORGLA11G0186200 transcript:ORGLA11G0186200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAATTSTPLPTQASVLNPRRSATIVVEVANRCHRRRRLYRRQSSPSTAVVPKVAIVVVWIQLHPTSIPSVPAGSIRRDVDSGDAAWIQEEGATSAASAYASLGCGCRRSCHSPPTPSPSTTVAVVVSIRHR >ORGLA11G0186100.1 pep chromosome:AGI1.1:11:20424688:20429606:1 gene:ORGLA11G0186100 transcript:ORGLA11G0186100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVMLWYDDLLAVLVLSVLVATSTAANCGRKCGDVRIPYPFGIGVDCAWPGFDVSCNHSFTPPRPYYLNIEIMDISVEAGEMRVYTDVVSNCYTSYNTSEYVTTSSQVDLGTPFLFARSRNEFTAIGCGAIAFLWGRDDASYSTGCITTCASLEEAAHDGDHCTGLGCCQVPSIPPNLNILNISFGPGSLIGNPAWRESPCSYAFVAEQSWYNFSRQDFSLAGSKSFVNRTGDRSVPTVFDWAIRGNGSCSSATGAPACVSAHSYCVNATNGEGYLCNCSAGYSGNPYVTGGCININECELRREGPAMYPCYSGSKCYDTEGGYKCKCRFLHRGDGKIDKGCKPIIPATVVATIATAVAGGIMALAVLYILKDRQRRRRNRSFDKNGGNILNKMMDIKIFSEEELKKMTGNYCEKSKIGEGYFGKVYKGITEDEQQVAVKCFVRNGHELNKQDFADEITSQARIQHENLVRLVGCCLHTDVPMLVLELIPKGSLYEKLHGDGRHTHLPLPTRLDIAVGCAEALACMHSNIGHKSVVHGDVKSGNILLGNNLEPKVSDFGSSKLMSVAKSGNWSVMADMSYIDPTYIKTGRFTEKSDVYSFGVVLLELITRKKALDDDRESLPLNFAKYYKDDYARRNMYDQDMLSSTDDALRPRYMECLDRMANIAIRCLKEDVDERPTMAEALEELKQLSASLNVT >ORGLA11G0186000.1 pep chromosome:AGI1.1:11:20412455:20418681:1 gene:ORGLA11G0186000 transcript:ORGLA11G0186000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASNAWGSPSVMYPKNDGGSDSFSHISDRPSSRGSSTTSTIGSDFLDIPSVRGPKSSHSSVSHVLPPNHLPTAANRLQSKETIARSSRPSRFPDSFTQVLKAPLRTNNRKRGLKMPEKGFTLIMDDFPVLGSVNSESNTRRGHNLQGRSTFGSGTQIAQDEQRKIHLTGVGEVISSSNYEHEHDLRTDYVNEGDAQVTAAILPWGAKHAQQHGTNTPKQSVPPPWFNYWHPPPDHPPDGNEMLHEGTTPCGSDKPADPHMICSVEPLAYYGQFLLNQEAAPMQGLGYGGYISDNQDGYHCDMEADAVIVIQPHILGKVKHGHSEGLQKQSLIKKDVALLEKIKCLNIKARKLRACKISELSSSKESMIEHSKNTDEKADHVKKDVPFSAITSDTMSAFDSASSFSESSDFVPSNSANVPGSATITSSSEVEATEFRKAGEPGKLGDHDAYGRVSTSRSRHDGSAKNMSSNISENGWVEHSTVGSLQVVMANAQQDKSFSRNVSLHVHVAAVDEMLNLLDNEIQLVCGSNHSRTRELSAHHAKQVLEEQDWNSQQKAKSITELDELIRHSPEQSQKTNDAPLEEDNLHLRQKDGNHGTTTYCIASSESFYAPLPANRVDHITDSISFTPASNTTGISKDPVIHKVISPAKNTEINMMETAPKSTSQSQDNSAPKHWKIDNRQRHVESWERVTMERSNIAEKAEYVKNIAETPTDAPSAEAQCHEDLSTVDKNSWRDASAATTASQPVFDKKNATKVPSAHKTLSAVGSIMLGDISFISVNQVGATAAREIHDTKNTHSRTTTIQQPSKKEQPEEGAPNNMAVAAPTLLSGNHSIVQETAMIAEWSEMEKHKSVEKEQLNQWNLGRMLPAQSHRASYGNPGTFNFGAESRANKALYNFTADKAEVTTKLDKWLDRESSWVQVKTGQQYTDGSASVMQHLTEQVDKIDQWQSLEPDKQVKRQFEFKTHDGSDSRLEPIRTAPLPVNNREMHHASYSQKQNHVEGQRNVRTSDATNIYEGRDRTRAFYEVPSLSKSFSDALSKSQGTVVSEWMQDPYQGVYNMDNSQGFNSAFVDSSCNELIQNVDGDSEMDLYSGQFKEQVKFEDGHLLIWNPREWEYQLPSPPPHGQHSGSEMELCSDQIEGDMIWEDGHPLIWNPRDWEYQPLNLEPHHHGHGQHKESEMVLYSEQFEEDMVQEDGHPLISNPEDWEYKQLNPELNQHDHAHRGSEMVLYSEQMEEDVIWEDGHPLIWNPIDWEYQPLNTETHQHDHGQPMGSEMVLYSDQIEGNVIWEDGHPLIWNPTDWEYQTLNPEPHHLDHGQHRGSETDLYSDQVEGDVIWENGCTLIWNPTDWEYQPLNPAPHHHDQHSGRYHRGGDTYSGWGYDAGEPTYGTNGGRRKGGIHSEYQSKPVGSSDVAPDIQRNAGADDQSRRHPASGAAYRERRLDVILIYVTLEEKVMDAGRLAERNIEEDKDGSFNAFASTSPLKPTSEEKNNSEKAK >ORGLA11G0185900.1 pep chromosome:AGI1.1:11:20404346:20411026:1 gene:ORGLA11G0185900 transcript:ORGLA11G0185900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT3G06480) TAIR;Acc:AT3G06480] MAATEAAADSSGPRYAPDDPTLPAPWKGLIDGSTLYYWNPDTNETQYERPVAAVPPLPTGPPPVTCTPMPTSASGAFSQPSMQSNQGGQVSQSQQERPGQTVYPQASHIGHQQLQQPTQQPPFQPTAQHQAPFQHSQRAPYQQQQQQMSQQPPAHQYPSTHPQHMPYQHGHYMQPQQQQFQQGPQYSYQVGQQQQMPQAAYNQGQQQPISQAAYNQSQQPAQAAGAYNQGQQPPVSQASYNQSQQSTQAAGAYNQGQQPSMPQASYNQVQPPQMPHATYNQGQQPPGMRIPQGQVQPQQSPSFHQSAQVSQVLQGSQSQGLQMPSQQGQLQHGFHLTTPQGKQPHHGHVGPQLSQVSLGQQSSTLKVDETGVTGGLDGKQTGFSLPLSQQRGQGPVLKQQLPSNHQLPGSHNQPNIPGAGGPSYPAKHHLGGSSPGEANNMNFLSSPAQMHQGSMDINYRQHPASGPVVPNHIGPSPVRPPMGFKMGSSEDHFERNELYSAGRMDGTNNLQQQPKLAALPPLNRPQDMRNGPPYPQPDNLGAFNMGPPHSVPNLHNHGPFPEASMRPPSRMFAPPNFPSIASADAYRQHHEVTAVGENVPPPFMTFEATGFPPEILQEIHAAGFLNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRYQNNPMLGPTVLVLAPTRELASQIQDEAVKFGRSSRVSCTCLYGGTSKGLQLRELERGADIVVATPGRLNDILEMRKISLHQVSFLVLDEADRMLDMGFEPQIRKIVDEIPRNRQTLMYTATWPKEVTKIAGDLLKDPVQVNIGSIDELVANKSITQYVEVVPPLDKQRRLEQILRAQERGSKVIIFCSTKKMCDQLARDIGRSFGAASIHGDKSQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKVLEGANQHVPPELQEMAARGAAGAPRNQAGGMSRWDGPGGGGNRFESAVVIPGSYGGIRDGPGGFGGRDGPGGFGGRDGPGGFGGRDGPGGFIGREGPGGFGGREGPVGFGGQESPGGFGGRKGPGAFEGREGAAPGSFGGRGGRGPGGFGGRGGGSPGGFGGRGGRGDSPGFVGRGRGDFSGFGGRGRGDSSGFGGRGRGDFSGGRGGRGRGFGGRGRSDRGPHDRFISDGRGRYDNRRGFGGKGRDRSYSRSPDRGRSRGYDRRSGSRSLSSRSRSRSRSWSRSRSRSRSWSRSRSRSRSPSRSRSRSYDQGAGPARRPRPRSGFDVLPPATGAGPASTGPGPVAVPASGSAGPVPPQVPAQSLADASAMSPMSPGGLAQEGAPFNGGNDGGLVPAQGVLPFQGSDVAMPPNFAAAEAFPGPAVEQEAPDV >ORGLA11G0185800.1 pep chromosome:AGI1.1:11:20397699:20402619:-1 gene:ORGLA11G0185800 transcript:ORGLA11G0185800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTEDGGEVQPTETTTDDSSSPTTAEKEEQAASTGMEIVKAGNSNAQETGGLSLNYEEARALLGRLEFQKGNVEAALCVFDGIDLQAAIQRFQPSLTDKTTSKKGQTKSESGIENPATLVLEAIYLKSLSLQKLGKSTEAANQCKSVLDSVERMFQNGPPDIEQKLQETINKSVELLPEAWKQAGSHQEALASYRRGLLSQWNLDDECCTRIQKRFAAFLLYSCVEGSPPSSGSQVEGSFIPKNNVEEAILLLMVVLKKWYQGKTHWDPSVMEHLTYALSLCDQPSLLAKNIEEVLPGIYPRTERWHTLSLCYYAIGQKEAALNFLRKSLNKHENPNDIMALLLATKICSEERHLASEGVEYARRAIKLSESSDVHLKSVGLHFLGNCLGKKSKTVSSDHQRSLLQNETLKSFSESIALDRHNPDLIFDMGVEYAEQRNMNAALRCAKEYIDATGGSVSKGWRLLALVLSAQQRYPEAEVATNAALDETAKLDQGSLLSLKAKLKVAQSSPMEAVEAYRALLALVQAQKNSSGSSKTDAEGHNDSVSEFEIWQGLANLYSSLSIWRDAEICLQKARALKSYSAATMHAEGYMLEVRDQNKEALAAYVNAFSIELEHVPSKVAIGALLCKQGSRYLPAARSFLSDALRIEPTNRMAWLHLGKVHKNDGRINDAADCFQAAVMLEESDPVESFRSLA >ORGLA11G0185700.1 pep chromosome:AGI1.1:11:20379489:20382977:1 gene:ORGLA11G0185700 transcript:ORGLA11G0185700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVGASEATMRSLLGKLGNLLAQEYALISGVRGDIQYINDELASMQAFLRDLSVLPEGHYHDNRSKDWMKQIRDIAYDVEDCIDDFAHRLPQDSISDAKCSFLVTKMYELLTWWPRRDIASRIAELKVRAQQIADRRNRYGVNNPELHCDSSNRPRARSAAQDIAEYQVTKLQIIGIKEPVAMKTVMEDLEKWLTEPRPDNGRAVLSIVGFGGVGKTTIAMALYRKVSGKFGCRASVAVSQNYDQDAVLRSILNQVSNQEEAGSSTESSRDTTASREENTAEPGTKSTFIKLKKAPSLSLLGRNDDKTPVRQQETMDYVQLRQELKRRLGEKRYILLIDDIWSANTWLDVVIPFLPDEANNNSRIIVTTRFHAVGSTCSRGHKNVEATSSPRPGNNRVHTVDFLSDDESQNLFNASIPDSMKSADRDKELSSICGGLPLAIVTMAGLVACNPNKTDCDWSKLCKSLFPDPVTTLNLDGVTRILDCCYNDLPADIKTCLLYLSIFPKGWKISRKHLARRWIAEGFATEKQGLTEEEVAESYFNQLTRRNLIRPVEHGSNGKVKAFQVHDMVLEYIMSKSIEENFITVVGGQWQVTAPSNKVRRLSMQSSGSKNGNSTKGLNLAQVRSLTVFGNLNHVPFRSFNYGIIHVLDLEGWKGLKERHMTEICQMLVLKYLSIRRTEIAKIPSKIEKLEYLETLDIRETDVKELPKSVGQLKRIHSILGGNKKTREGLSLLQEKGNKTIKNPLPQGKTKEPAKKGFLSQEKSKGIMKSLHVLSGIEIDEKSAAVATSLHQLTGLRKLAIYKLNIEWGSEVFQELRSSIEYLGSCGLQTLAINDENSNFIDSLDDMSAPPRYLIALELSGMLYRLPEWITSITTLNKLTISITVLMTKTLEILRSLPSLFSLTFAFSLSAAKQDLDKIKDILENNKLATDGEIVIPAEGFKSLKLLRFFAPFVPKLSFSDKNAMPALEIIEMRFKDFEGLFGIEILENLRGVHLKVSDGAEAITNFLASDLKDNTEKPKVFVDGIVTA >ORGLA11G0185600.1 pep chromosome:AGI1.1:11:20342279:20344478:1 gene:ORGLA11G0185600 transcript:ORGLA11G0185600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWNMIGSALLKNENGSRVITTTRIFKVASSADDVYTMRPLSPENSKRLLRKRICTGEDNSDGVELAEVCDKLLKKYDGLPLAVLTIADALLDVEPINKQCYEHVKGRVMHDMIHIFSLSYHDLPPHLRTCLLYLSIFPEDYLIKKDFLIWRWIAEGFIEYDGGISLFEVGESYFEELIDRSMIQPVEAGDEDSVDGCRVHGAVLDLLCYLATEENFVTLLIDNEQNVGKLFLLRYLGLVGTPISELPDGIGELVFLQTLDLRETGIQELPRSICRLRKLMCLCVDSTAILPSGIGNLVALEDLRLYSVSTLHFVKEELGQLTKLRILEIRFEELDEQMEDAFLRSLSNLQNLQTLEAPELRLGDLKILGRLPSLRSLWISSRSNERPLVITVEDGFPSLIEFTLLNGAFGPDFQRGAMPKVRRVEFSFSLRDFSSRTDFGFGLENLLSLEHVTIRLHDKVHSVEAALRHLTKKHPRRPTITLIRDGEEPTDTAASNDTRTQEELAEMEAKQLEERRDKFIQELHEENLWLDDLQAQLMKISEHKR >ORGLA11G0185500.1 pep chromosome:AGI1.1:11:20330122:20331708:1 gene:ORGLA11G0185500 transcript:ORGLA11G0185500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEYIVSKASEENFVTVVGGYWLMPPPSSKVRRLAIQSGDCKRGSGTDSMNLSHVRSLTMFGDLSQLPSNSFKFGIVQVLDLQGCKGFKQHHTKELCNMLLIKYLSLRKTDINKLPKKIGKLQYLETLDIRETNVTKLPRSVCQLERVANILGGNKRTRKALKLPAEDVKKTIKSPWGKEATKESGGKKTMKTLRILSGIEIVGESTEEGDFHHLTDLRKLAIYKLNVRRGDKPFENLISSIEYLGGYSLHTLIIDDVSSEFLESLGDLSSPPKFLKSLELSGKLVELPRWITQLEELTKLTLSVTVLRTDNLRSISQLKKLFSLTFSLSGSKPDPQSTAILEENKNYSDGEILVPAGGFENLKLLRFSAPLLPLLSFQEKAMPSLERLELRFRIFEGLFGMQNLEILKEVHLRVNYRAGEVTKSIVQNVATQVKKEATKSVATEANKENVASATNKEGTEFIIENVTLEANEATAAMKEATTSTVENVATEGNKENVTTDTNKENVATAEKKEVKGPIIIVDQYYD >ORGLA11G0185400.1 pep chromosome:AGI1.1:11:20312018:20317075:1 gene:ORGLA11G0185400 transcript:ORGLA11G0185400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAIALAMSKIKTCLETEASFKNAMFKLSKKDKLVKELLEKIKQIEEQLDITNDFIQEQISTVNLGDPLDESWIATVRRLAFIVEDVMEKYLYYAHQLQEEGSQKHPVKRSSYVDVFNKVGQVMDKINYHIGHLLSIREQSKLAPQLVPYSPYPDSEGQPELIGTNGPFNVLLKFLKHGEDEAVLQPKVLSMLGIGGIGKTALVSKVYRMLREEFQRHASVTVSKMPDMKRVLFDVLDQIGLRKYPTSLNENQVIAQIGQDLKDKRYLIVIDDLWDMQHWKTIKDALPDANYGSRLIVTTRLNNIAETCSSGQHDLTYKVMPLAHQDSRTLFLKEILGHEGSCSDAPVFDEILKMFGGMPSALKCIGSFLRNKLVTTESQKIMMSSLHSELENFPSWQKLKKSLFLSCCGPSQTLEVCSLYLSTLPDNHKIERGILTRKWISEGIILKENDLSINGVANKCFEELINRNVIQQVDNSFGEETYEIHFLMHHVLRQIARERNFATFLSDNILISCKEPIHRLSFHCSKLRISIDKGDIQIISDSGDSNKKPKSLSLARSITLCGYAKPVSFKLLEHLHVLDLEGCWNVDNSSLDDICRMILLQYLSLKKTRITVLPPQIENLRGLKTLAVTQTEIAELPLQIGKLPDLETLDVRHTQVKEIPKELVQLRKLVCLLFGQSGFHGGVKFPVGGNPSKSLKVLGAIDSTQCSASFMGELSSLTGLTELSVVCYDGTKGKECNLRMMNSIFKFSNLESLTIYGDFILGNEVPALQNPPKLQKLKVAGRCLSVPGWIDKFSNVTLLDIRVCSLEESDLKILCKMSSLQRLVLTQVHMPIKQLEITKEASFSKLNGFTFDCRVPWVTFKEEAMPSLQYLELKLYAGPAGKIPSGITCLPCLTKVILRYSAHYQSSASVQDTISKMRKESSEHPNMIVLSQNGEHEIFPQNAVSRNGEHEIVLVNAVDGMHQD >ORGLA11G0185300.1 pep chromosome:AGI1.1:11:20306010:20306737:1 gene:ORGLA11G0185300 transcript:ORGLA11G0185300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQHIVRFELLEIVAGFVESEIIILEKKTTTTTTEQLQRKWEEVRRAWQVLGVVELDGMEKEVDAIVSSLRRGLAYVSSWVSSHQMQRATAMGSPEKVAAGLMTPAMVSMVEEARRLPPPTAAKKKPGCDDDVQDMIGQRLELWSKVRREVPLMYEASASAEEEEEQQLVTAVFREASLEAIDRAMHRDVYLAVVHASNRKRCAGEEVAD >ORGLA11G0185200.1 pep chromosome:AGI1.1:11:20299164:20299319:1 gene:ORGLA11G0185200 transcript:ORGLA11G0185200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSGQKRICVIRDVYGHHRLGFPSGSGLLCCWAAGLWESEKMKKALGAA >ORGLA11G0185100.1 pep chromosome:AGI1.1:11:20272560:20275516:1 gene:ORGLA11G0185100 transcript:ORGLA11G0185100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLHNLMLLSAGFFSTLLLAQEMSAAAGMTVKVSTTPIFSKIPRAQTNKDFQVLLRIEAPPPVDLKGRVPIDLVAVLDVGGGGMSLEPVKKAMKFAIRQLSDEDSLAIFGPPMSREIIPKFMNIHGNRRIAEKKVDELEGRRFAHPARSSLDEALKMLEDQPASSSVGRAKFIVFVTDITRFSSDMPELSKYPVHAFGLGASHDAAALRLVAQRSQGTYSFLDDANADKVAAALALCLGGLKSVAAVGARVVLKAASGSGVRIDRISSGGYASSVSHVDGASGEIAIGALYAGEVKSFVVHLDVPAAPETSPGEGVCCDQQQLLVASLDGQLYTSGSGVDVVVDDAAAAGGGGPIQDVLVVERPPAAVLPKVPSAIVVNHIFQFRVLEMVDAFINDEILLRRTPATDVDDLGTKLLARWERFVLEHQFWVGLDLGSLDGEITAVANSLRKQQQHVVGVSSSSSMAAYIFSWMSSYKMQRPTAMGSPAKVVGVFVTLEVHLTLQVAITAESGGGDGECHDECEYSCVEQLPPAPPLLVASGRDDDSYRFNAAYEGVISLDDINQFMIKIYQGMVKANNLKQCHLMNQQPRAVA >ORGLA11G0185000.1 pep chromosome:AGI1.1:11:20263000:20266740:1 gene:ORGLA11G0185000 transcript:ORGLA11G0185000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLHNLMLLLPCLIFSTLLHIEAMSVAAVKVSTTPIFPTIPRAQTNKDFQVLLRVEAPPAADLNGHVPIDVVAVLDVSSSMNDPVAAASSESNLQASRLDVLKASMKFIIRKLDDGDRLSIVAFNDGPVKEYSSGLLDVSGDGRSITGKKIDRLQARGGTALMPALEEAVKILDERQSSSRNRVGFILLLTDGDDTTGFRWSRHAIHGAVANYPVHTFGLGASHDPEPLLHIAQGSRGTYSFVDDDNLGNIAVALAVCLGGLRTVAAVDTRVSLKAAELSGGARIVRVDSGGYESSVACGGASGEVVVGVLYAGEVKNFVVHLHVPAASSTTLTFSSSECGYYCGAATVCDHCHHRHQQQLLAVGYSYSHAPGAAAAAVSVEGHGVFVERPEVAAVFVSVDGVGVGGGRQQQIPLPSPVVMQHMVRFELLELVAGFAEAEMASKPATTKTKPRAADVLQGKWEEFRRARQFWGGVELDGVEREVDAMVASLRGGLAYVSSWVSSHQMQRATAMGSPEKVVAEFMTPAMVIMVEEARKLPPPPPPAAAAAEAARERPGGCDGGDDLHYVIRQRLELWSKVRREVPLMYQASSEQEDVQLTAVFREASLEAIDRAMHHDIYLAVVHVSNQRRC >ORGLA11G0184900.1 pep chromosome:AGI1.1:11:20254252:20257617:1 gene:ORGLA11G0184900 transcript:ORGLA11G0184900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAASALLKSVMGRLFMALEKEYSKHKGLAQETHSLQQDLRMIAAAMDDQLRVLGRNDARNAVARLHTEEMLDLSHDIEDCVDRFLHRLTCNNHKRGSGSNGAGPGASSMVRRMAHELSKVQSRSSFAEEIQKLKRRIREAHQRVMDIKSIVDVIAGGQPTTGAMSSSATAPCRNTCNPVGIGEPVEELLSLLDEVEGEPEQMRVISVVGFGGLGKTTLARAVYDSPGAKGKFSHRAWVTIGTSPERDSGILHALLQQVLPKDAIGVDGQHDLEALLKEYLKDKRYLIVMDDINMEQWSIIRSTFVDNGTSSRIILTTTIQSVANMCSHGNGYVYKMNTLGEEDSKTLTFPGFRSPELEQGSESLLGKCDGLPLALVSVSDYLKSSSEPTGELCAKLCRNLGSHLKERDGHYSFSELRKVLLDSYDSFSGYALSCLLYLGIFPNNRPLKKKVVIRRWLAEGYARSDSLRREEDIADENFSKLIDQNIVQPVDTRNNSEVKTCKTHGIMHEFLLNKSLSQIFIAKSSRDHPRLGINTNARHLSVHAGELTESVESDEELSRVRSLTIFGDAGHAICYVRKCKLIRVLDLQECNDLDDEHLKYICKLWHLKYLSFGSNISELPRSIEGLHCLETLDLRRTEKKFLPIEAIMLPHLAHLFGKFMLHRDDVNSVNKMTKLQKFFSSKKSNLQTLAGFITDESKGFLQHIGHMKKLRKVKIWFKHVAGSSNYIADLSQAIQEFTKAPIDRDIDRSLSLDSEECPENFLSSLDLKTCSEGSKYALRSLKLNGELHRLPPFVTLLSSLTELCISSATLTQGHLSALINLNRLLYLKLVAYKLVNFEIKHGAFPSLRRLCFVVKSVTSALPTIEHGALPNLISLQLLCQGLVGLSGIEIKHMKYLKEVTINSGVAIQWEQAAKNHPNRPKILILRKVNPMESEEPERPCAIREQREISVAQTTSLDDGLDSSLNKMRLSKPSSSRLQVFVHPVVITAT >ORGLA11G0184800.1 pep chromosome:AGI1.1:11:20222125:20222397:-1 gene:ORGLA11G0184800 transcript:ORGLA11G0184800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLYVLTPKRIIWDCEVKEIIFSTNSGQIGVLPNHAPINTAVDMGPLRIRLLNDQWLTAERKMLVSCLIW >ORGLA11G0184700.1 pep chromosome:AGI1.1:11:20203970:20207264:1 gene:ORGLA11G0184700 transcript:ORGLA11G0184700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGILRAVIQKILPNDALDVDDQLETSLKKSSRTRGKGYMHRKYLIVIDDIGMDQWSIISSTFKDNGTSSRIILTTTIQSIANSCSHGNGYVHQMNTLGEEDCKEIALPTGIRSPDNLQTLAGFITGENEGFLKLMGHMKKLRKVKIWCKHVAGSSNYIADLSQAIQEFTKVPIDSDSNHSLSLDSGECSEDFLSALHLEPCSEDFKYHVRSLKLQGRFLRLTPFVTSLSGLTEVFISSATLTQDHLSALITLNRLLYLKLIADKLENFEIKHGAFPSLRRLCFVVKSVTSDLPTIKQGALPNLVSLHLLCRGLVGLSGIEIRHLKHLKEVVIDSDVTPQTKQDWARAAKNHPNRPKFSWPRKVDLVESEEPAKHLETEKRKYCSNDELDYNLQEMRLSESRDHKRQKIGEGDTSKSSVGLVYPMYGDVGTDRTQVHLSNEETRRYDRTEVDQKCPEMLQECKDKCSMVVDVDLRSDEQVNPPHPKLKNLMPGKEYDRQELIPTEGAKVGQCQSGGAEDQIVYNTNGKKVVAQANHVFEQEDQGSQVTMSYESSSVTHGY >ORGLA11G0184600.1 pep chromosome:AGI1.1:11:20200254:20200490:-1 gene:ORGLA11G0184600 transcript:ORGLA11G0184600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPICGLWGAVLNLPGRLDGILLRHGSILPKGAEEEIPLIKKDLHLMISILNGYYSESPEPEDATATTMARRRCWTKE >ORGLA11G0184500.1 pep chromosome:AGI1.1:11:20193838:20195970:-1 gene:ORGLA11G0184500 transcript:ORGLA11G0184500.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLIIVDDICFISTWDIIKCALPDGTSSSRVLTTTQYDDLAVQSCGYDTKYVFKMKSLSQHDSRYLFFNTVSGSRFIYSPGSTEVSDDIIRKCGSLPLAIVSITSILEKSRKMEQWGYVNKSLGYNLMKNPTLEGIKQVLDLSYNNLSEHLKPCVLYLSIYQEDYVICKDDLVNQWLAEGFICATKDHTKEEISEACFGELVSSKMIQPVHIDGNGDVMSFVIQHMVLNFIRYKSIEENFVTVIHHSQTATKLSDKVRRLSLHFGNVKDAKLPINMRLSQVRTLAFFGAYKYWWRSIKDQFPLLQVLILHFWHDEDIISFDPTIISQLFRLKYLKITSDVTLELQTKTRGLQCLETLKIDARISTAPLDTTHLSGLLHLSLPADTNLANGIGHMTSLHTFGYFDLSYNSVENVLSLGKLTNLRNLQLTCSTIQPNSLEIKLQCLGFILQKLSNLKSVTMSTAGSSCVNSTDASSTNISVRISGDGLSSMSSPPALVERLELLPRICIFSYLPKWISLLSKLRILKIGVRELVRNDIDVLMGLRALTDLSLHVHTKPTEIIFFGRLGFKALKYLKINCCVAWLKFDMGAMPILYKLNLGYNVDGVDQESTIPDGIQDLYGLKEISVKIGGADPEKYDRRAEELAFMIDSGLHDRCVSVTLQFVRQIFDFNEDKSSLTQEEQRKLKQQEILEDDSDEEYDEIIQDSGEQEVKQ >ORGLA11G0184400.1 pep chromosome:AGI1.1:11:20188103:20188882:-1 gene:ORGLA11G0184400 transcript:ORGLA11G0184400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAGERWFYFRQHDGWMMDRSTPSGYWKTAAGTASFVYSADRHPVGLKKSMLFYRGREPSGRKTKWKIDEFWALDNAANGSEELLAQLCRSRQNVGLMPRFPLSLCRLYSTKSSSERHVLATSSNEISEDESD >ORGLA11G0184300.1 pep chromosome:AGI1.1:11:20171726:20172055:-1 gene:ORGLA11G0184300 transcript:ORGLA11G0184300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRPSRRHSTLSRGRLAPLRYKMEPAPCVLSLFASSRVSPWPHHLSLPPPSLSRAAGRLQPPLLSRLCSGRPRRRHPRPGRCIPSPGIASVSRGDHRRHVPAGNQWC >ORGLA11G0184200.1 pep chromosome:AGI1.1:11:20167147:20170767:1 gene:ORGLA11G0184200 transcript:ORGLA11G0184200.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIRIFLQKNLREGAHKSVGSRKEPQMERRKVRMSYTEDDGFSWRKYGQKDVEGAVHPRSYFRCAHKMTTGCKARKKVQHTDGDPLMVDVVYEGVHSCAGVHSAASSKSNLRPTKSIQVRASSKDVGPPDDGYSWKRYGQKNIFGANYPRCYYRCIHKTTTGCSAEKNAQATDGDPLLFDVVYHGEHTCDLLSTHSNDVEPISPQSGLDDDMCTDDTTTVSTRHDSNTDASSISSQLDWTNCKDELDGPPTTL >ORGLA11G0184100.1 pep chromosome:AGI1.1:11:20159421:20161748:-1 gene:ORGLA11G0184100 transcript:ORGLA11G0184100.1 gene_biotype:protein_coding transcript_biotype:protein_coding KXDTHLFLXVNFAALERYRWIIQRVREYGMKVMLTLFHHSLPPWAGKYGGWKMEKTVTYFMDFVRLVVDCVSDLVDYWVIFNEPHVFVMLTYCAGAWPGGDPNAIEVATSTLPTGVYNQALHWMAIAHAEAYDYIHLKSKNERKPIVGVAHHVSFTRPYGLFDVAAVALANSLTLFPYMDSICDKLDFIGINYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRILIQFNERYKRLNIPFVITENGVSDETDLIRKPYILEHLLATYAAIIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYFLFSRVVTTGKITRQDRMSAWRELQQAAVQKKTRPFFRAVDKHGRMYAGGLDRPIQRPFILRDWRFGHYKMEGLQDPLSCFIRCIFAPFSRQKKIHYIEDDVISYSIN >ORGLA11G0184000.1 pep chromosome:AGI1.1:11:20157247:20157806:1 gene:ORGLA11G0184000 transcript:ORGLA11G0184000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVIADEIKAKAEVYYDDEICQQCTRLLLKEAGLPNGLLPLKDIMECGYVEETGFVWLKQKKRIDHVFQSLGRLVSYGTEITAFAEKGRIKKVKGIKTRELMVWVPVEEIALDEQKTGKLICKSIAGITKTFPASAFQIPEKEEKITCAIPKPVIITSSAIL >ORGLA11G0183900.1 pep chromosome:AGI1.1:11:20142196:20143263:-1 gene:ORGLA11G0183900 transcript:ORGLA11G0183900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XTKDDFERVLKKLTKLRVVHLDLRHLSRVPPCIGGLKHLRYLGIMSPPPHSLILPAEFSKLYHLQELSVHPNTRLHCPSQLKIANLINLRYMLTWYGLNIPDVGKLTSLRALYHFYVRKEKGYEIQQLEHLNNLRGKLFIDCIENVQSNXEAVRARLSDKVYLTELTLRWGGTDERCSKKALESYXKLFFPPVTEIKQHQPPELQEEVLEGLRPPSGITVLCIRDYGGVIYPSWLTGDGCDKEQEQDRPALQNLMFWSCKGSSDPPKIGEFFTCLHTLSVTDCSWNYLPVKLCRLKTLRELIVQECPNMMTLPKLPQSLKSIVISGCLPSLADTCLTPGHPNWRRIKHIDQQIIR >ORGLA11G0183800.1 pep chromosome:AGI1.1:11:20136417:20141416:1 gene:ORGLA11G0183800 transcript:ORGLA11G0183800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHAAAADLVDGGRPEGDTHSREDGLSKPRDKDREREKDKDRERHRDRDRDRGRDRDRDKDKEKDRDKERDRDRDRDKDRDRHHRHHRERREHRDRSDDHDRHRSRDSERRRDHERDGRRRHRSRSRSHSRGRDRRSRSRSRSKSSKRVSGFDMAPPAQAVVPQFPAIPTPSQFPGTAIPGMFPNMLPMGVGQFCLKQFNPLVIQPQAMTQQATRHARRVYVGGLPPTANEQSVAIYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRPTDYNPSLAAALGPSQPSPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGAAQPRPEQESILLQAQQQVQLQKLVYQVGALPTKVVCLTQVVSADELKDDEEYEDIMEDMRLEAGKYGNFQLLTRNFLPCGNLIKVVIPRPDPSGLPVAGVGKVFLEYADVDGATKAKTAMHGRKFGGNPVVAVFYPENKFANAEYDA >ORGLA11G0183700.1 pep chromosome:AGI1.1:11:20134889:20135245:1 gene:ORGLA11G0183700 transcript:ORGLA11G0183700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIREGEGPPRQRHHSLLPLGVWPXQAALHRLQEEQHGGGHRRREANYKRRSSTRREAVRHGHRCRRWRLPEAGEGGGNGARGVLDEEAMRGSSAYSCPCGLFVNSREGDWEFTRGN >ORGLA11G0183600.1 pep chromosome:AGI1.1:11:20134600:20134881:1 gene:ORGLA11G0183600 transcript:ORGLA11G0183600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVQPTPSWAREPLFEKAVTPSDVGKLNRLVVPKQHAEKHFPLRRTSSDASATAVAATCNSARACSSTSRTARERCGGSGTRTGTTARVTC >ORGLA11G0183500.1 pep chromosome:AGI1.1:11:20119294:20120274:-1 gene:ORGLA11G0183500 transcript:ORGLA11G0183500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTTSKSAGDGKPKPKTTSAAAAAAAVVSETATGSMTMRIAGYSQTKGIGVGNSINSSKFHAGGHTWYIAYYPDGDREEYSDWVSVYLCLARPAAAAAADDVVEAKFTLSLLSGTYGAVVEEKICTAKKFSFANGYWPSWGHTRFIKRKKMDSRLWSCLHLDGQSFYIRCNITMDITSGGRRCQAATTAVAVPPPDLHRHLAALLGSGVGADVRIRVGGKLFAAHKNVLAARSPVFMAELFGNNGGKDQKEAKAAAAATGNGVIRIDDMDLRVFRAMLQFIYTDTLPKIDKGDTAFMAQNLLVAAHRYGIERLKSISVDMIRKGA >ORGLA11G0183400.1 pep chromosome:AGI1.1:11:20114546:20117568:-1 gene:ORGLA11G0183400 transcript:ORGLA11G0183400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTVLLILGCCFFFLPMPMVAAQPWMICGSSXYTANSIYQSNRDSLLSSSFLVVSGDSSSGALFAKGSRGAAPDTVYAVALCRGDANASACSGCVDAAYAAATARLCPLSKDAAVFYDECTLRFSDEDILNMDAFGRVNTSAAVGVAPLVLMNITSEPMLSGWNTNIQGTKNFTQFFIKTMNYIVAQALSTTKHYAAIRVDMDDADASNTGTLPRRLFCLAQCAPDLVEDICYNCLKNFSDLATANFAGRQGGRILALRCNLRYDTDKFFAGKTNADEDEALIWGLQGRSSEFTIYDFSQVLEATDNFSEENKLGQGGFGPVYKGRFPDGVEIAVKRLASHSGQGLTEFKNEIQLIAKLQHTNLVRLLGCCYQGQEKILIYEYLPNKSLDFFIFDETRRALIDWHKRLAIIDGIAQGLLYLHKHSRLRVIHRDLKAGNILLDREMKPKIADFGLAKIFSTNDNEGNTKRIVGTYGYMAPEYASEGPFFNQIRCIHRCANSXDRQWQKDIKFSSIWRIYXPSWACMADVERXNMASTRRPIVTHRFSYNGDNEMYXHCFALCARECGRSAHHVRGCCYAKQRDYESTXAXAPCIFQHEADERRCVNCCCCGIXCXRYHIVCYRWQI >ORGLA11G0183300.1 pep chromosome:AGI1.1:11:20094229:20096208:-1 gene:ORGLA11G0183300 transcript:ORGLA11G0183300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIWVAYAASIPMVSYTLGLMQSSPYKNSLFSVWAIILFIFLGSADSFSAYSLLDNDDWKRFYLKQLIESFWVGWLVVSSGGSDFQYILWVIYSIVILKSGTRVASFKLASRSSMLSKSTKWVADYMSYEHLRPAGDGVQRRDAVDMQGYHYVVAGEDEQNPRVEPPEYHLRYNEDDRAKLVTVQDIWNCNGSLLSGGNGGRLKDVCLSMALSKMLNRRFAGFQVLAESNLDKTHDFLFGGLLHGDDSFIYSERAFRVIEVELAFVHDYFYTKYFLIYSSHHLFVTLSFAMVPSCGWLAYKLFQHFLRVPEEDELKFLINANHRNYDALFTSVTAIAIALLEGLQVYIYLASAWSKVAMISKYVTTDSWNSSVLVTKSIGCITSLKYFRSWEDKLGQYTLLKSFDYKPMNILYHATFSLVNKTKKGRKEDKRVRLSMDVKKTVIETLKKNRGRRGQLGNGVISLQANGVYDQLSWSCTTLPTTTHIIMAWHIATTLCEVEDEDQHGMGSTTTTNQHVACSLSRYCAYLVAFAPELLPDHSFVSESIFDALVEEARELLKGKKTMQQRKEALISQDHGDNRLLVVGGRLANNLIEIEHPGDRWKVLCDFWAEMMLYIAPSNDAKAHLETLPRGGEFITHLWALLTHGGILERPTGPAQNV >ORGLA11G0183200.1 pep chromosome:AGI1.1:11:19997061:20002441:-1 gene:ORGLA11G0183200 transcript:ORGLA11G0183200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16480) TAIR;Acc:AT1G16480] MPDRTPSSWYTAVSGSVRCGRDGAAFELLRGMRERGVPLSGFALASLVTACERRGRDEGIACGAAIHALTHSAGLMGNVYIGTALLHLYGSRGIVSDAQRLFWEMPERNVVSWTALMVALSSNGYLEETLRAYRQMRREGVPCNANAFATVVSLCGSLENEVPGLQVASHVIVSGLQNQVSVANSLITMFGNLGRVQDAEKLFDRMEEHDTISWNAMISMYSHQGICSKCFLVFSDMRHHGLRPDATTLCSLMSVCASSDHFSHGSGIHSLCLRSSLDSSVTVINALVNMYSAAGKLSDAEFLFWNMSRRDLISWNTMISSYVQNCNSTDALKTLGQLFHTNEFPNHLTFSSALGACSSPGALIDGKMVHAIVLQLSLQRNLLVGNSLITMYGKCNSMEDAEKVFQSMPTHDVVSYNVLIGGYAVLEDGTKAMQVFSSMRSAGIKPNYITMINIHGSFTSSNDLHNYGRPLHAYIIRTGFLSDEYVANSLITMYAKCGNLESSTNIFNSITNKNIVSWNAIIAANVQLGHGEEALKLFIDMQHAGNKLGRVCLAECLSSCASLASLEEGMQLHGLGMKSGLDSDSYVVNAAMDMYGKCGKMDEMLQMVPDQAIRPQQCWNTLISGYAKYGYFKEAEETFKQMVTMGRKPDYVTFVALLSACSHAGLVDKGIDYYNSMASSFGVSPGIKHCVCIVDLLGRLGRFAEAERFIEEMPVLPNDLIWRSLLSSSRTHKNLEIGRKAAKKLLELDPFDDSAYVLLSNLYATNARWVDVDKLRSHMKTININKRPACSWLKLKNEVSTFGIGDRGHKHAEKIYAKLDEMLLKLREVGYIADTSSALHDTDEEQKEQNLWNHSEKLALAYGLIVVPEGSTQGSAVNTSSIIDACLDPSMYNHLFGDHWSQQKRGSSIILDERRGGPGSSITERRXYEQETTGFIKDHEEIRRRPRCRRIKHDITFLFSPLIMVHDYRFMAHDDRLLCSVWANGDVMAGAEMATSTTEP >ORGLA11G0183100.1 pep chromosome:AGI1.1:11:19991168:19994151:1 gene:ORGLA11G0183100 transcript:ORGLA11G0183100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKLSHRLFSALVSLLLHGKPISRSSSNTNTTLPHPSLLHKSSSSSPPMEKLAAKTLVLDVEGGLLRSSSLFPYFMLVALEAGGFLRGLVLLLLYPLLCVMGSDMALKVMAMVSFCGLRASRFRAGRAVLPKWFLEDVGEEGFDVMRSAMRRVCVTKMPRIMVEGFLKEYLEVEVVLGREMKVIWGFFTGIMEEEEEGGDQEEVLLEEKKMLVDVVGFSTSLEFLQHHLSHCCKEVYLVTREEKARWSALPRDKYPKPMVFHDGRLAFRPAAGDTLAMFTWLPFGAALAVARLAVALAVPYRYSTPILAATGLSWRLKGEAPAPLAGARRGQLFVCNHRTLIDPVYVSVALDRPVRAVSYSLSRLSELISPIGRTVRLTRDRDSDGRAMARLLDGGDLVVVCPEGTTCREPCLLRFSPLFAELSDDVVPVGIAVDTAMFYATTAGGLKCLDPLYYIANPRTCYAVQFLERVDTSPARERRAPSTDVANLVQRRMGDALGYRCTMLTRKDKYLMLAGNDGVVNTTQDNHSAPWKKKMQ >ORGLA11G0183000.1 pep chromosome:AGI1.1:11:19964539:19965009:-1 gene:ORGLA11G0183000 transcript:ORGLA11G0183000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARRMVSSISLVFFMLILIVAASTFSSCYASTSGQTCHDQGDLTCTDETCKKICGDKLEYYCKPSVSPTVCCCMNVTASVTSGVKNQSGHTLLN >ORGLA11G0182900.1 pep chromosome:AGI1.1:11:19945371:19945754:-1 gene:ORGLA11G0182900 transcript:ORGLA11G0182900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAKSIGAGAATIALAGAAVGIGNVLSSSIHSVARNPSLAKQLFGYAILGFALTEAIALFAPMMAFLISFVFRSHISWCRYLSLQIEIPRPPNLLVVGGGKKSGNVGFFHSAYLSFSSFSLCSGN >ORGLA11G0182800.1 pep chromosome:AGI1.1:11:19940109:19940357:1 gene:ORGLA11G0182800 transcript:ORGLA11G0182800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit C family protein [Source:Projected from Arabidopsis thaliana (ATCG00140) TAIR;Acc:ATCG00140] LNQLVKLGIPLIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFVK >ORGLA11G0182700.1 pep chromosome:AGI1.1:11:19788531:19788773:1 gene:ORGLA11G0182700 transcript:ORGLA11G0182700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPLFPNAPAPPPPHQPLPPTGCTGAATLLSTSDAGPTLSPPTPLTRSSAVAAAGLPRQPSPPPSYAGAAHWAAPRRLLL >ORGLA11G0182600.1 pep chromosome:AGI1.1:11:19781186:19783755:-1 gene:ORGLA11G0182600 transcript:ORGLA11G0182600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSLGNREASNCSDQAVSGATLPRCSTRSSCSQQPNHSPNLDEEILEEEETSEEIWFRGPTRVPPQPTREEDKPVLTPVGDRQWRANDYGGNIRVPNSILTLLLKQWFPGIVTLKGKEEPAWSWKHYRIAPDTPRSNQIRLPSCLHRVEEDFWLYFRWAEGKEQEARKVVHNCVKGLVDRLFYEIRILAVLNYQRKILKVNTSREIACRTYLTESEYLKVEPWWFLNSENAWRELIRLRWCNPKWQAVSRAHRIRREKMKGPSHRQGSANLSRYQKNLEKKKKRPVAPLEAYTEGRRENREDGQFSCDPRVAEKLEAYAAAYVQLHGPENDWRTSPIDPVAVHMAGGGKKHGHFMIGDGLIDSSAVFGDNSRDDQRPRRRLRTDHDNTNQVEDLLRQLQEEREAREREREEREREKERERQEKEQEKEREREERAREKEQERKEREEERKERELEKIASQQKSAFFEAALRVIQSKLNIDLSASGTPPLPVMLTHLASSGPVASNGNSGPNHVGVGAASGAIVNF >ORGLA11G0182500.1 pep chromosome:AGI1.1:11:19753472:19753900:1 gene:ORGLA11G0182500 transcript:ORGLA11G0182500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQLLSPAIISRKPEERANVNVSSLSNIFISAEPGATMPRWFITEAATNHMTSDKSIFSDLKPMAGLVIDDRAGAGAGLPMHGIGAVYSWEVALQDVWYVPGMSTYIGLVSAGQLTAIGLKTEIAGDVCTITSADGSEVGKGRM >ORGLA11G0182400.1 pep chromosome:AGI1.1:11:19748855:19749720:-1 gene:ORGLA11G0182400 transcript:ORGLA11G0182400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMTHLQTHLNGCEQWHFPYRFHDNRVEFWHHVTMNSLRWLPWLLLRLAPFLCPDAVRTKNFMEGTLLQYGGHETSDGS >ORGLA11G0182300.1 pep chromosome:AGI1.1:11:19743143:19747488:1 gene:ORGLA11G0182300 transcript:ORGLA11G0182300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLSSSLLPELTPSPPRPRHRRRLVGDGLLPGLQVHGSGAVNTEMVAIPDVWYVPGINCNLVSVGQLCQLGLEVSIFRGVCTVTRASDGSVVGKANRSGAVYEVEFLKVPLN >ORGLA11G0182200.1 pep chromosome:AGI1.1:11:19740314:19741887:1 gene:ORGLA11G0182200 transcript:ORGLA11G0182200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASWPAFGVSPEEAGKGKWYIATAATNHMTNDKSLISDLKPVADGRNIIADGNGAGLKVQGRGAVNTETVVLPAEVWYVPEIDENLVSVDQLNELGLSISIGRGVCTVTRVSDGSVVGKARRSGGVYEVEFLKVPLN >ORGLA11G0182100.1 pep chromosome:AGI1.1:11:19737351:19738407:1 gene:ORGLA11G0182100 transcript:ORGLA11G0182100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PQWMAPEVLRNEPSNEKSDVYSYGVILWEIATQKIPWDNLNTMQVVGAVGFMDHRLDIPSDVDPQWASMIESCWDSDPQRRPSFQELLDQLRDLQKQYNLQAQLQRTAAAKMSVDGC >ORGLA11G0182000.1 pep chromosome:AGI1.1:11:19732034:19737081:1 gene:ORGLA11G0182000 transcript:ORGLA11G0182000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRPPTLPAQQRRAALPQAAPSSRLLQRVGRAGLTDRHYVRILHSLGQAVHVISLDGKLMYWNRYAEHLYGYSVPEAVGQDALELIVHPSDYGAANDIIQNIFMGKCWRGKFPVKHKSGERFNIVASNTPLYDDDGSLVGLICLSTDTRTLEEILGHSTSGKVYPSSAKPRVQLNGSKSGLLNKVSCDSQQPLQSAITSRITNLATRVTNRVRSRVKTGQNCDDQFGGACESHYSEHDAREEQTSSEGSTPSGDVLHGAFVSEDNYSGKSSKTNSDDSGEGKLGLHKILSSTAEALWANRGIPWPWRGHGNDDAGKNRTNLPQFHEIQENGQSHKEVPEPIILPDCQDTEFVQEVKYEVSGSWWSFNASTSSMSSTGSTNSSAIERADREADCLDFEILWEDLAIGEQVGQGSCGTVYHALWYGSDVAVKVFSKYEYSEDMILTFRQEVALMKKLRHPNVILFMGAIASLQRLCIVTEFLPRGSLFRLLQKNAGKLDPRRRVHMAIDIARGMNYLHNSSPPIVHRDLKSSNLLVDKNWTVKV >ORGLA11G0181900.1 pep chromosome:AGI1.1:11:19726081:19726797:-1 gene:ORGLA11G0181900 transcript:ORGLA11G0181900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRSPVLVAETTGGGGSALTGSGAAEAAQGDGAVAEVIIMSERPRPEGYVDLVYGGYPTKGGEEEADM >ORGLA11G0181800.1 pep chromosome:AGI1.1:11:19723144:19723419:1 gene:ORGLA11G0181800 transcript:ORGLA11G0181800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRGGSNIVVDGSGYAACGSAAAALDLVGAVDSKDVSVAMRLRGQRQRETRAADGKEAQLGWRGGRAASSWASTSSPLELTVVVAPAPA >ORGLA11G0181700.1 pep chromosome:AGI1.1:11:19716702:19721388:-1 gene:ORGLA11G0181700 transcript:ORGLA11G0181700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribonuclease Ps [Source:Projected from Arabidopsis thaliana (AT2G47300) TAIR;Acc:AT2G47300] MAGVPPPPRQLDVRRFAAARAGELRSLHESVSARLAGRFTQPRSARRRTTGHLPSNKRRRLRSRDAEAADGTEEEEGRPSRRVRRRRELAGNPAEGFSAAGDGARRLRTHLWHAKRFSMERRWGFVLPIGAHGRGRGSRTVLKWLKNGTVVHDASYFTPVELDGPEDSLLSIVRMVLHPSPQDKTPGLKHLHGQVMRGVCYENAMLCRVGCPHLEIVGPVTYMWRPFLREGGELETEDVDLSNSQIISDERNSLRRQLWIWIHPSALSEGLETLRAACHQQMQESGDTISCCSLEGKMARLEVMGSNAMQSLKSILKPVSNPSISTKLVNKNNVTTSTDPLYCSTGSHLLKRSVFDNADILQSGAILSMIVHDPRDNSVEGTDSSKTVSLDQNNQLMEEYQVPNADETPSGIGNILSSIWLNPGNHDLALSDCRELWDSSLKINPPVDEKILCMEKHHRRMKFFCLDSGNDQGQTSQEKDSIGRSCPVILLKHAKGSLLSVGWSVILPLSWVKPFWFYLVSHGAHAIGLRERRWIASKLKMPCFPYDYPDSKAYSLFMAEEAAVLDKATNCRPSSMRPPRVPVPPLWHCVIANFRKGDGILSNLEDDLKSVATVLSESIPVNSNSGDAESSPTSAPTSFQLLVPRTIQVLRQYVKKIDEKYLNSSDMEAVTGKSSLVSGDNVKTGCSINRLCLVRVLIRAFKEGSFEEGAVVCAPLPSDLSAWNRKTRSEEEEEECLEKWELQLPQSHVSSYFSWLDPSTSNLQLPNGDSTRKAFRWPIGFVTTGFVHGSSGQDAVAVAFCEAKLLAVLRRQQWRTHESLKRKDICVLVRNARSAAYRRALATIVLEQQEDDLKFL >ORGLA11G0181600.1 pep chromosome:AGI1.1:11:19710943:19712319:-1 gene:ORGLA11G0181600 transcript:ORGLA11G0181600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIPTRANLALAALLILLTVAATTVPLASAKCIAKNKPEGEEGEPGGAGAGAAHASPEKKPGSTGGLSTMSVGESVPEIEKDSSDDGAAVNESKKPKSSGGLTTLSVDDSQAEPADSIAEPMEDGTDDGEDESEKKKKKKRRRNPKARAPMMMMMMPRRNTKKKSKNSDDDEDDKKKSKKKPKNPDDDEDDKKKSKKKSSDEDNDGAKKKKKKKSKGKSSDEEDDEKPKKKSKSKSKSSSSDEEDEKKSKSESQAAAAAKPQEEDEEGGSASASTSAPKNEHHSGTMSLPDPDMIAQPVMQALNPVVKALCGKTDHADLCESSIGQLPQQPPAQLDDIGVLRLSMNALRAKVQEAISVATNRMGAASGDEVSKDAMGDCLQMYDDMKSNLDSADAALKKGDKDTAHTMLDSARTDVDTCEDGFSEREGLKPIMGDLDKILAELSSNTIAIASAIIE >ORGLA11G0181500.1 pep chromosome:AGI1.1:11:19691496:19692496:-1 gene:ORGLA11G0181500 transcript:ORGLA11G0181500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLLKNIGRRAPASMACVSSAFSAGGCGLQILPATTAAGVAFSETSKGYSELKQMYEAFCFNPGLYDSDTGCDEYVKRMRAIVELVCCLEKEGQDCSSCTIEWDSERDLPIVPRWTPSARARTMTVGDRHALLAAAIVVAGAATLVAGAAVVSRQK >ORGLA11G0181400.1 pep chromosome:AGI1.1:11:19686729:19687979:1 gene:ORGLA11G0181400 transcript:ORGLA11G0181400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RILVITEKDMVVIPSMGKEEIKLRTFRTQQNPVGIENTIFMRFMYLRVLDLSDLLVEKIPDCIGYLIHLRLLDLDRTCISCLPESIGALKNLQMLHLHRCKSLHSLPTAITQLYNLRRLDIVETPINQVPKGIGRLKFLNDLEGFPVSGGSDNAKMQDGWNLEELADLSKLRRLIMINLERGTPHSGVDPFLLKEKKYLKVLNLWCTEQTDEAYLEENANNVENIFEMLTPPHNLRDLVIGNFFGCRFPTWLGTTHLPSVKSVILANCKSCVHLPPIGQLPNLNYLKIIGASAITKIGPEFVGCREGNLISTEAVAFPKLEMLIIKDMPNWEEWSFVDQQEEEEVQEEEEAAAAAKEGGEDGTVASKQKGKVALSPRSSWLMPCLRRLDLWDCPKLRALPPQLGQTNLKELLIRYTS >ORGLA11G0181300.1 pep chromosome:AGI1.1:11:19685493:19686728:1 gene:ORGLA11G0181300 transcript:ORGLA11G0181300.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFSNIQVRHEIGDKIRSLNRKLAEIEKDKIFATVKNAQPADKGSTSELRKTSHIVEPNLVGKEILKVSRNLVCHVLAHKEKKAYKLAIVGTGGIGKTTLAQKLFNDQKLKGSFNKHAWICVSQDYSPSSVLRQLLRTMEVQHRQEESVGELQSKLELAIKDKSYFLVLDDVWQHDVWTNLLRTPLYAATSGIILITTRQDIVAREIGVEKQHRVDQMSPADGWELLWKSISIQDEKEVQNLRDIGIKIIQKCGGLPLAIKVIARVLASKDKTENEWKRILDKNVWSMAKLPKEIRGALYLSYDDLPQHLKQCFLYCIVFPEDWTIHRDYLIRMWVAEGFVEVHKDQLLEDTAEEYYYELISRNLLQPVNTSFDKSQCKMHDLLRQLACYISREECYIGDPTSMVDNNMCKL >ORGLA11G0181200.1 pep chromosome:AGI1.1:11:19679156:19682284:1 gene:ORGLA11G0181200 transcript:ORGLA11G0181200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILGSLVGSCVNKLQGIITEEAILIIGVKEELRKLQERMKQIQCFINDAERRGMEDSAVHNWISRLKDVMYDADDIIDLASFEGNKLLNGHSSSPRKRTASSALSPLSCFSNIRVRHEIGDKIRTLNRKLAEIEKDKIFATLENTQPADKGSTSELRKTSHIVEPNLVGKEIVHACRKLVSLVVAHKEDKAYKLAIVGTGGIGKTTLAQKVFNDQKLKGTFNKHAWICVSQDYTPVSVLKQLLRTMEVQHAQEESAGELQSKLELAIKDKSFFLVLDDLWHSDVWTNLLRTPLHAATSGIILITTRQDIVAREIGVEEAHRVDLMSPAVGWELLWKSMNIQDEKEVQNLRDIGIEIVQKCGGLPLAIKVTARVLASKDKTENEWKRILAKNVWSMAKLPKEISGALYLSYDDLPQHLKQCFLYCIVFPEDWTLDRDELILMWVAEGFVEVHKDQLLEDTAEEYYYELISRNLLQPVYTYFDQSRCKMHDLLRQLACYLSREECYIGDLKPLVDNTICKIRRMLVVGEKDTVVIPFTGKEEIKLRTFTTDHQLQGVDNTFFMRLTHLRVLDLSYSLVQTIPDYIGSLIHLRMFNLDGTNISCLPESIGSLQNLLILNLQWCKYLHVLPLATTQLYNLRRLGLTDTPINQVPKGIGRLKFLNDLEGFPIGGGSDNTKMQDGWNLEELAYLPQLRKLGMIKLERGTPRSSPDPFLLAEKKHLKVLELQCTEQTDESYSVENVSNIEQIFEKLTPPHNLEKLVIVNFFGCRFPTWLGTAHLPLVKSVILVDCKSCVHLPSIGQLPNLKYLRIEGASAISNIGPEFVGCWEGNLRSTEAVAFPKLELLVIEDMPNLEEWSFVEEEEEEEEEEEEEEEEEEAQEEDASAAAKEAGENGTCASKEEGALSPTPRSLWLLPCLTRLELDDCPKLMALPRLLGQQATNLKGLVIRHASCLKTVEDLPFLSFLSIGGCEGLERVSNLPQVRELLVGGCPNLWHVEMLGSLEQLWLDKDMQEISQLWVPRLQQQHRQLHGDEHELEVNEWL >ORGLA11G0181100.1 pep chromosome:AGI1.1:11:19648022:19648276:1 gene:ORGLA11G0181100 transcript:ORGLA11G0181100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETIFFNVLIMSKAGWFLQHEEGKGWYKFDDECVRPRTEDSIKTPAAYVLFYTRE >ORGLA11G0181000.1 pep chromosome:AGI1.1:11:19645975:19646193:1 gene:ORGLA11G0181000 transcript:ORGLA11G0181000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALFDSIRGCLSPHIDQAKVYYKLKWLKGKYLHAAPGAFAGPHERCVHDLCTSVWGADLEPLVGDDEGAATA >ORGLA11G0180900.1 pep chromosome:AGI1.1:11:19642157:19644769:1 gene:ORGLA11G0180900 transcript:ORGLA11G0180900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRSSWLLPCLEELQLLGCPKLRALPPQLGQQATNLKRLFIRDARCLKTVEDLPFLSGYLRVDGCEGLERVSNLPQVRELFVNECPNLRHVEELGGLEELWLDEGMLEISSLWVPRLLEQHRQLHGDEHELESPVWEHAQAAGWATVWLTKPNLVDKKISGSEQVADEQVVTVADGDMVLSAQPEKEMTAAMLLKSSGHTGSHYPVTGSNEAVAVGLAPHMTPIRRSDRSNSETALGIASADDDSLLKAMKRKAAINLDDQFAPYGARLALLASPQGTPNEPFTSSCSASQLSFCSSLNRIGVSLGNNSAEVDFSIKALKHINVDKLKVIPKANSSFSLNQCRHG >ORGLA11G0180800.1 pep chromosome:AGI1.1:11:19633453:19636581:1 gene:ORGLA11G0180800 transcript:ORGLA11G0180800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILGSLVGSCVNKLQGIITEEAILIIGVKEELRKLQERMKQIQCFINDAERRGMEDSAVHNWISRLKDVMYDADDIIDLASFEGNKLLNGHSSSPRKRTASSALSPLSCFSNIRVRHEIGDKIRTLNRKLAEIEKDKIFATLENTQPADKGSTSELRKTSHIVEPNLVGKEIVHACRKLVSLVVAHKEDKAYKLAIVGTGGIGKTTLAQKVFNDQKLKGTFNKHAWICVSQDYTPVSVLKQLLRTMEVQHAQEESAGELQSKLELAIKDKSFFLVLDDLWHSDVWTNLLRTPLHAATSGIILITTRQDIVAREIGVEEAHRVDLMSPAVGWELLWKSMNIQDEKEVQNLRDIGIEIVQKCGGLPLAIKVTARVLASKDKTENEWKRILAKNVWSMAKLPKEISGALYLSYDDLPQHLKQCFLYCIVFPEDWTLDRDELILMWVAEGFVEVHKDQLLEDTAEEYYYELISRNLLQPVYTYFDQSRCKMHDLLRQLACYLSREECYIGDLKPLVDNTICKIRRMLVVGEKDTVVIPFTGKEEIKLRTFTTDHQLQGVDNTFFMRLTHLRVLDLSYSLVQTIPDYIGSLIHLRMFNLDGTNISCLPESIGSLQNLLILNLQWCKYLHVLPLATTQLYNLRRLGLTDTPINQVPKGIGRLKFLNDLEGFPIGGGSDNTKMQDGWNLEELAYLPQLRKLGMIKLERGTPRSSPDPFLLAEKKHLKVLELQCTEQTDESYSVENVSNIEQIFEKLTPPHNLEKLVIVNFFGCRFPTWLGTAHLPLVKSVILVDCKSCVHLPSIGQLPNLKYLRIEGASAISNIGPEFVGCWEGNLRSTEAVAFPKLELLVIEDMPNLEEWSFVEEEEEEEEEEEEEEEEEEAQEEDASAAAKEAGENGTCASKEEGALSPTPRSLWLLPCLTRLELDDCPKLMALPRLLGQQATNLKGLVIRHASCLKTVEDLPFLSFLSIGGCEGLERVSNLPQVRELLVGGCPNLWHVEMLGSLEQLWLDKDMQEISQLWVPRLQQQHRQLHGDEHELEVNEWL >ORGLA11G0180700.1 pep chromosome:AGI1.1:11:19618695:19621715:1 gene:ORGLA11G0180700 transcript:ORGLA11G0180700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILDSLVGSCANKLKEIITEEVILILGIQEELAELQRKTELIHCCISDAEARRMEESAVDNWLASHEVAVKIRSLNRKIENISKDRVFLTLKSTVPTGSSSVLRVRKSSHLLEPNIVGKEIIHACRKMVDLVLEHKGRKLYKLAIVGTGGVGKTTLAQKIYNDRKIKGSFNKKAWVCVSKVYSEASLLRELLRIMEVHHDQDESIGELQSKLEIAIKETNFLLVLDDMWQSDAWENLLRIPLHAAETGTILITTRNNIVALEIGVDHTYRVDLMSTDVGWELLWKSMNISESIELQTLQDVGIEIVRKCGCLPLAIKVIARVLASKEQTENEWKKILSKNAWFMNNLPNDLRGALYLSYDELPRHLKQCFLYCSVYPEDANIYRDDLTRMWIAEGFIEDHGGQLLEETADEYYYELIHRNLLQPDGLYYDHSSCKMHDLLRQLACYLSREESFVGNPESLVGNTVSKLRRVSVVTDKNMAMLPSMDKVQCKVRTWRTSYEKTLRVDNSFFKRFPYLRVLDLTDSFVPSIPRCIGNLIHLRLLDLDGTNVSCLPESIGNLKNLQILNSLPSAITQLCNLRRLGLNYSPLDQVPKGIGKLEFLNDVEGFPVYGGSSNTKMQDGWNLEELAHLYQLRRLHMIKLERAAYSTTYPLLTDKGFLKFLYLWCTERTDEPYTEKDFGNIEKIFEQLIPPCNQEDLAIVKFFGRQYPFWIDSTHLAYVKSLHLFKCKFCMHLPPVGQLPNLKYLKIEGAAAVTIIGPEFAGRRVSNLGRTVAFPKLEELLIRDMPNWEEWFFIDEATSTAKERVDDGDSAMPKEKTLPPRMQILSRLRRLELSGCPKLKALPRQLAQINSLKEIELRWVSSLKVVENFPLLSETLLIATCQALEKVSNLPQVRELRVQDCPNLRLVEELGTLEQLWLYEDMHEVSTLWVQGLQQQCRQCHGEDLDVYNWT >ORGLA11G0180600.1 pep chromosome:AGI1.1:11:19600104:19601123:1 gene:ORGLA11G0180600 transcript:ORGLA11G0180600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRLDLNKLERATPWSSTDALLLTDKKHLKELNLCCTKPTDEEYSEKGISNVEMIFEQLTPPRNLEDLMIVSFFGRRFPTWLGITRLPSVKIMILSDCKSCLQLPPIGQLPNLKYLKIKGASAITKIGPEFVGCWEGNLRSTEAVAFPKLEWLVIKDMPKWEEWSFVEEEEVQEEEAAAAAQEGGEDGTAASKQKGEEAPSPTPRSSWLLPCLNELELMGCPKLRGLPPQLGQQATNLKELFIREAKCLKTVEDLPFLSGFLQVEGCEGLERVSNLPQVRELFVNHCLNLRHVEELGGLEQLWLDEDMQEISQLWVPGLQEQHRQLHGDEHELEVIEWL >ORGLA11G0180500.1 pep chromosome:AGI1.1:11:19593962:19595895:1 gene:ORGLA11G0180500 transcript:ORGLA11G0180500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQPCRKEILHACRKLVRQVLTHKEKKAYKLAIIGTGGIGKTTLAQKVFNDQKLKRSFDKHAWICVSQDYSPASILGQLLRTIDVQYKQEESVGELQSKIESAIKDKSYFLVLDDVWQSDVWTNLLRTPLYAATSGIILITTRKDTVAREIGVEEPHRVDLMSPAVGWELLWKSINIEDDKEVQNLRDIGIEIVQKCGGLPLAIKVIAKVLASKDKTENEWKKILANNVWSMAKLPKEITGALYLSYDDLPQHLKQCFLYCIVYPEDWTIHRDYLIRMWVAEGFVEVHKDQLLEDTGEEYYYELISRNLLQPVVGSFDQRECKMHDLLRQLACYISREECYIGDPTSMVDNNMRKLRRILVITEKDMVVIPSMGKEEIKLRTFRTQQNPLGIEKTFFMRFMYLCVLDLTDLLVEKIPDCLGNLIHLHLLDLDGTLISSVPESIGALKNLQMLHLQRCKSLHSLPSAITRLCNLRRLGIDFTPINKVPRGIGRLQFLNDLEGFPVGGGSDNTKMQDGWNLQELAHLSQLRQLDLNKLERATPRSSTDALLLTDKKHLKKLNLCCTAPTDEAYSEEGIGNVEMIFEQLTPPRNLENLMIVSFFGQRLPILRAPSTNRAATKLEIPEN >ORGLA11G0180400.1 pep chromosome:AGI1.1:11:19577946:19584673:-1 gene:ORGLA11G0180400 transcript:ORGLA11G0180400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLLPLLVLAGAAAGGGVVPVAARSAFAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLTRAEKVRLLVNNAAGVPRLGVAGYEWWSEALHGVSDTGPGVRFGGAFPGATAFPQVIGTAASFNATLWELIGQAVSDEGRAMYNGGQAGLTFWSPNVNIFRDPRWGRGQETPGEDPAVAARYAAAYVRGLQQQQPSSGRLKLAACCKHFTAYDLDNWSGTDRFHFNAVVTRQDLEDTFNVPFRSCVVDGGAASVMCSYNQVNGVPTCADAAFLRGTIRRRWGLAGYIVSDCDSVDVFYSDQHYTRTREDAVAATLRAGLDLDCGPFLAQYTEGAVAQGKVGDGDIDAAVTNTVTVQMRLGMFDGDPAAQPFGHLGPQHVCTAAHQELAVEAARQGIVLLKNDGRALPLSQATARRAVAVVGPHAEATVAMIGNYAGKPCRYTTPLQGVARYAARAAHQPGCTDVACAGGAQPIAAAVDAARRADATIVVAGLDQKIEAEGLDRASLLLPGRQAELISSVAKASKGPVILVLMSGGPIDIGFAQNDPKIAGILWAGYPGQAGGQAIADVIFGHHNPGGKLPVTWYPQDYLQKVPMTNMAMRANPAKGYPGRTYRFYTGPTIHPFGHGLSYTSFTHSIAHAPSQLTVRLSAAAASTSTSLNATSAAARHPRAAVRVAHARCEELRMPVHVDVKNVGERDGAHTVLVYAAAAPPSEARDGSGAPVRQLVAFEKVHVAAGGTARVEMGIDVCDGLSVADRNGVRRIPVGERSLIIGELTHTVTIALEQLGL >ORGLA11G0180300.1 pep chromosome:AGI1.1:11:19572306:19574541:-1 gene:ORGLA11G0180300 transcript:ORGLA11G0180300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAARFLTAVSFLAAGVLFAPDALLGGSGRSGAGVAAARLAHVLCFATAWGAALWVTFIGGIVMFKYLPRHQFGSLQGKMFPAYFMLISVCSAISVAAFAYLHPWKTASTIERYQLGFLISALGFDLSNLLVFTPMTTEMMMRRHKIEKDLGIGSEVGFSKNAEVAKTSPTLAAMNKKFGMIHGLSSLANIMAFGSLAMHSWYLASKLQI >ORGLA11G0180200.1 pep chromosome:AGI1.1:11:19570119:19571666:-1 gene:ORGLA11G0180200 transcript:ORGLA11G0180200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09190) TAIR;Acc:AT1G09190] PPTPPPPTALSAAVTTPDGWHPRTAERRLLHLLHHSPPARRRPLELLAFAVRHCLPSSPPSPHHHSLAALLLLSSPPPPALPLLRLVPPHAPPPLPLLNAAIRALSASSPPLAFRLLSSLRRLHAPDRLSFLPLLGCVSSLSALSAVHALLLRLGFLSHHAISLALLKPYPLHYARVLFDEMPQRNKCTVAYNTLITACFRADDLGAARHLFDEMQRYKRSRRSVVSWNVMIAGCAWCKKDDIAILCFERMVREGEVAPDDGTLAAVLPVCGRLGNVGVGRWAHEHARKSGLLDRKVHVANAVMDMYCKCGDVHSAREVFKGMRQRSVVSWNTMISGFSLNGHGIEGIELYREMRTHGEMPNEVTFLGVLGCCAHAGAVDVGRDIFQSMQLEHGIEPVIEHYGCMVDLLGRSGLLEEAYAMIQGMPMRPNAAIWGALLSACHAHSGISIAEVALKELINLEPWNSGNYVLLANLYAETGRWEDAGDARRLMRRMSVQKAPGQSLIEETEFQFTNI >ORGLA11G0180100.1 pep chromosome:AGI1.1:11:19562774:19563808:1 gene:ORGLA11G0180100 transcript:ORGLA11G0180100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADDHAATAIAIPGDPVDSACSTPFVSAPSSPSREHHFASSHGAPCFFSAPASPTRGGGGGGGGCLGDFDFDFSSRFPSPSAAAMSSADELFHNGQIRAVRLSAMLLQPQPLAPLVDGDGHASHLAEEEDAAAVEEDGAEADERGRIRSRSVRRKARSMSPFRTRWRAPSPAPAPESAEEVEAVATPAASRSSSSSSTASSASSTSSRGSRRWAFLKDLLHRSKSDGGKNHHHHHDTAAPPPPPQSNTTTAPKRSPSPSPAAASARGGRGAATGRRSRRRSAHERMYEARRAEAEEMRRRTYLPYRQGLLLFGCIGLGSRGYGAVHGLARGLNAAAAVSSRS >ORGLA11G0180000.1 pep chromosome:AGI1.1:11:19551462:19555859:-1 gene:ORGLA11G0180000 transcript:ORGLA11G0180000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYEREKKRLLDLAADSGFERDLAADCLDRIVRLYGEGGQGFITVENCGDDFLGALADATNNNDDWDDLNAIENEACGNLNGMMKHGVIDDKEVEVRTPLFRQAESSDRQTRNNLDSFGFSSDDDFETLESHCDRSVSTQKKVNRGNNRCESSTSTSNRETLSYQQLYSLDDINFANLVIFGNKSFRPLQYEACRAAVSNMDTFVLMPTGGGKSLCYQLPATLHPGVTVVVCPLLSLIEDQIVALNFKFAIPAAFLNSQQTPSQSSAVIQELRSGKPSFKLLYVTPERMAGNSSFIGILIGLHQRGLLARFVIDEAHCVSQWGHDFRPDYRGLGCLKQNFPRVPIMALTATATASVCKDILSTLRIPNATVLKRSFDRINLNYEVIGKTKTPQKQLGDLLKERFMNMSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGLAARQRSNVQGKWHSGEVKVICATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDNLPAHCIVLYQKKDLGRIVCMLRNSGNFKSESFKVAMEQAKKMQTYCELKTECRRQTLLGHFGEQYDRQRCKHGCSPCDNCIKIPS >ORGLA11G0179900.1 pep chromosome:AGI1.1:11:19541270:19547394:-1 gene:ORGLA11G0179900 transcript:ORGLA11G0179900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEGEEAVVATAAVVEDVLRGNGDGGGGGVGEVVGVGRSIDMEWRKAEEAAIRRYEAANWLRRVVGVVCGKDLAEEPSEEEFRLGLRNGIVLCNALNKVQPGSVPKVVEAPSDSADGAALCAYQYFENVRNFLIGLQDLGLPTFEASDLEKGGKGVRVVDCVLSLRSFSESKQVGRSAPLKYGGILKPSMSGKHFIRKNSEPFVKTMVRSYSAELLRDGVSLEQSLGLDFSLEHVERTTPDSIRMLVQTMLSDKKPEEIPSLVESLLSRVIHEFERRTANQNESVKHALDPNDDKLLSRADTPPEMESTCTCSTGNMDEEDHTSVSMKEEVSTAVLVNGENVVEHIQAKQTDKYFDQQQKHIKDLKSNLATMKSGMEHIKLQYSEDLDKLGKHVHTLSHAASGYHKVLEENRKLYNQIQDLRGNIRVYCRVRPFLPGKVSSSSSVAGLEDRTITVMTPSKHGKDARKSFTFNRVFGPLATAEQVFADMQPLIRSVLDGYNVCIFAYGQTGSGKTFTMSGPKVLTEEGLGVNYRALNDLFNIQAQRKDTFCYEISVQMIEIYNEQVRDLLQNETVDIKNSSQKGIAVPDANIVPVTSTSDVIDLMNLGQKNRAVCSTAMNDRSSRSHSCLTVHVQGRDLTSRTVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQHINKSLAALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHIAPEPDAIGESISTLKFAERVATVELGAAKSNKEGGEVKELKEQIACLKAALAKKDGETESIRSTQSSPDIYRMRMGSAPPAFRNPMEEVGNLETRSNGTPRQKKRNFELPDVENDTSSWLDTSSQKEAALGEWVNNSQFGSSNSLLELGPDATQYVVFYQRNSPEPQWSWAGSVATEDSDDFEVTTSCSSEQDMVRPTSAPKAPGSANGSASIARKAQPKGAKSTDIRSTNPAKRAAPLQKKINGPPSASIKNGKQSSLSAADGKRAPNGKVSAKK >ORGLA11G0179800.1 pep chromosome:AGI1.1:11:19537517:19538371:1 gene:ORGLA11G0179800 transcript:ORGLA11G0179800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAQASTIAQFAGVDAYGLIKMIAEAAQTVRRNRATCLQLARRVKMIGDLLKQLHGAQLMQQPETRNPVEQLEETLRRAYLLVRSCQGRSYVYRCFMGGRHADELREVQSEITFYLQLFPLVSYVDATLNWVRHLNKADPSCKEAPLVRPYFVPFVILSWDGSCLAVVQKVHLGIVYTWLYISYLNIYSTICRSFICVCRS >ORGLA11G0179700.1 pep chromosome:AGI1.1:11:19532428:19535520:1 gene:ORGLA11G0179700 transcript:ORGLA11G0179700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAVSMAANIAQLTGVDALGLITMIVEAAKAARRNKRTCLELARLVEQVGDLLRALQEQPGVTVMERPETSAPLRELQETLRRAHELVESCRRRGSYPRRLFAGKEQGESLREVRSKISIYLQLFPIICHIDGTRLLVRVIADGAAAAARSPRPEDEEDEVLMSLTNRPNPQARFEKFSYSQLVHATNDFSLDSQLEQGTLATLYKGKLHGNDVTIKRLSVLTSGQRLPECMSENELFKNEIKILPELQHKNVAKLVGFCTERRERTTVYECMQNGSLENVIFGAATARSILDWPTRFRIIEGIAQGLAYLHNYSRVRIIHRDLKPSNILLDSDMNPKISNFELAEMLSSGTDEQKTDNVVGSIGFSAPEYMHKGIFSVKTDVYSFGVMVLEIISGKRWTQPNHRRFHKDLLTWAWVRSSCCGARVARRLKELVDPPLHAASFRGGAPPRCLSLPARRRALSQQREMRRCVRAALLCIQESPKRRPDMPEVVHMLRPRGKATPPLPGRSRFTAARASSLHGGEKSS >ORGLA11G0179600.1 pep chromosome:AGI1.1:11:19530226:19531086:1 gene:ORGLA11G0179600 transcript:ORGLA11G0179600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLVSNVTSIVSIAADIAGAAKTARQNKKRCQRLAERVGDIGELIKDLAVDGGGSSSSSSSPPPSTATRRLVMKLEEALGSALLLVRSCQASSRRTYYSLVAGGWQYAEQFDEVNAEIDRCLRDLTVAIVSRIDRKLNAAGDTNTDIVVDVDIVPADANIVGTHDDGADQADDKDINGDLIINHGEQDGKSNSGDDVVGVHHQLSPPPPPPPPYYGYYLYYWQCTDGLAGGYHQQRGGHYCHCAAGDGHGHYYSPSSCPWHSDRVDSIRQMFSDDNPNSCSIA >ORGLA11G0179500.1 pep chromosome:AGI1.1:11:19527190:19528023:1 gene:ORGLA11G0179500 transcript:ORGLA11G0179500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSLISGVTTIVKLANDIDGAVKAASRSKKSCEKLAERVADIGDLLKGVDTSSPSTAAVATRRLVGRLERALRRALLLVTSCQSTSRIYSLVAGGWQAEQFDKVNAEIDRCLLDLSLSSLALVSTIDHKLNAAAAAAGGETNTEVAPPLPPVLCSHGHDQDDGEDKMAAGGAAEKNGELICYGGEQDGKTETDAGEVVTTIGVPAATAAYMHYQLSPPPPPPCYGCHLHYCHCTHGHCHCAGGRHYSPSYYSDDSADLRLRHMFSDENPNACSIM >ORGLA11G0179400.1 pep chromosome:AGI1.1:11:19522517:19523587:-1 gene:ORGLA11G0179400 transcript:ORGLA11G0179400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLWDDVVAGPRPETGLEKLRKAATTRPLVINKDGDGEASGAAYKRTQSMPTTPTTPVTPSSSSPTTATTTTPRGSNVWRSVFHPGSNLATKSLGANLFDRPQPNSPTVYDWLYSDETRSSHR >ORGLA11G0179300.1 pep chromosome:AGI1.1:11:19518904:19519467:1 gene:ORGLA11G0179300 transcript:ORGLA11G0179300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVVGYGASSARGLIKMIMAAVQTAKRNKKQCRELEERVRMVSAVLSRHRRHDQPEPSTTTMAARGLPPGAREAVDGLDGVLREAHELAVAFSQSGGGGGKKTVRRRLVCWARRVAGARRDAERLAGVLSKIDFYLSLYPAIAHADTACRQDRLLWTTTVNVVVSAAVAFAGFVVVSISMVSRKK >ORGLA11G0179200.1 pep chromosome:AGI1.1:11:19513233:19515469:1 gene:ORGLA11G0179200 transcript:ORGLA11G0179200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein [Source:UniProtKB/TrEMBL;Acc:I1R200] MRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRTQLEEFALKHKNDIRKNPLFRQQFHEMCAKVGVDPLASNKGAWAELLGIGDFYYELGVQIVDICIATRATNGGLIDLLDLRKLLCQKRKADLGSLTSDDCLRAISKLKVLGSGFEVISVGKKKLVRSVPTELNKDHNGILELAQAEGFVTVEQVERKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCATLSSDSIGADAKS >ORGLA11G0179100.1 pep chromosome:AGI1.1:11:19507186:19508779:1 gene:ORGLA11G0179100 transcript:ORGLA11G0179100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWNGLGQVANIAQLAGVDAYGLIKMIVEAAQTAKRNQETCQKLARHVKMIGDLLQRLQSTELMQHQETRNPVEQLEETLRHTYMLILSCQDSSYLHSCFMGGKQAHQLREVQSDITFYLQLFPLVSFVDTTRTWERLLRRAQPSCTEDTADELQKVHHSDHRIRFNTEILNATEFGDQSVTQCPEVFEEKRSEQASIRSLNLDQL >ORGLA11G0179000.1 pep chromosome:AGI1.1:11:19503904:19505275:1 gene:ORGLA11G0179000 transcript:ORGLA11G0179000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITGSVKFYLSQLVDATENFSDGNKIGQGARTRRLHLDWSTRSRIIHGIAEGLLYLHKHCGLHIVHGDLKPSNILLDSNMNPKISDFGLARRYSPGVDEEYADRILGSIGFIAPECRERRLFSTKSDVYGFGALVLEIISGKRCFSLASGDSGDDYGFLNKR >ORGLA11G0178900.1 pep chromosome:AGI1.1:11:19492816:19496946:1 gene:ORGLA11G0178900 transcript:ORGLA11G0178900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNLITALTPKNDRWRIKVKVIRLWDAVNPTMVDEFYGIQMIVLDAEGNSIHVSISKQLANHFRPKIKINSIYTFKNFKVMEHDKYRVLKNNLKILFYHDTIVKETCLYLDVIGLLSGMKPIEQRMLGKNTSRERVCNMREIELLLLEGEKVKITLWGDILANMVDDDLLGKQTVFIATGLLVKEYEKLLSFGVTSSTEVFLDMEIPASMEILSRHNAEKVLPTMIEVDASTQGTIEEQMFYNRKTLKEITELRYSNIQQKEFICTVKAKIEEIKSRNWWYMSCDKCFCGTRKESNVYICNSCGKEAVNPKPRYVINLEISDHTTRTTCTIFNEEAERIFGHKSVSTMLEEQNGQIDMIPDTIRQICGRILIFRFKLTKRNLEECKEDYKVNYTFTPNEKLEMNYVNDKAEEFGQHNFQVKEERKNESSDDYEKNNHRKTKKERSNMRSTKRSKKEPYITDSDGKTNEKAITIDDDSEESLDDYFDEEYNKKVTQESTKTNPSKRRVTRRFKIANKSMLKCNDDYGFTDLQKSEDEFGQHNFQVKEEPKNESSDDYEMNNHRKTKKERSDMRSTKRSNKEPYITDFDGKTNEKAITIDDDCEESLDDYFDEEYNEKVTQESTKTNPSKRRVTRRFKTSNKAKKGKCSEMKTQRNKEPYTTDCEKIANDKPISLDDDFDEEYNKNMVRGKGCGKKSTNMGTCTKSAIENTIQDDEVHDGKIASGTAKRPSRKFIISDSEDEHSSNTKVTGDSTSCNSSKEDINKGRCNYNIENIKVDMNEQSSRCRSTRIRRPPTRYSY >ORGLA11G0178800.1 pep chromosome:AGI1.1:11:19482585:19485671:1 gene:ORGLA11G0178800 transcript:ORGLA11G0178800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKRAPPPAPAHGAPPPKRLQIDAFRSGAEAFAQRAPTSSPVMRQLRRGMLVLFFVSVAQVKEERRNKNKNNARPARVAIHEESSTSQRKAPEDDAFQRGIMKAFDNALQKHLNPIYCSLQHLTKQTGTLSERIDTLSHEVGQIKRLISNRDTNERYRSEANQENAAVTEEVNQEQTALRFAANEVHEGQGVELRFLNKMKDHLVYTNDKITAEDGTAIKIAIFRDSKIVTAGQLSSARIEILVLHDKFYDAAPDNWTACEFDAHIVSSSKGAVLGGVLRVKLKNGEASLSDVSFNMPSSKTGSKKLILAARVLSSDKAGLQIKEAVMNQPVEVQVNRNKSNKKSNCPKLKDEVHRLKGISGKGERAKWLKNNGIHTVADF >ORGLA11G0178700.1 pep chromosome:AGI1.1:11:19467419:19472670:1 gene:ORGLA11G0178700 transcript:ORGLA11G0178700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKRPLPAQAPPAGLVLVPAPKRPHVDAAAGGGVASPRGKRQLRSGMLVLFFVAQVKEEMRYNQRLRRVIRGENAISQQRAIQAFDCVFQKAFDNAFQKHLDPIYRSLQSLNKRTDILSHEVEQIKHSNSNHHANQQYRSKVNQESAAITEEVNQEQTAARFVAREAQEGQRVELRFLNKLNPLVFTKEKITAEDGTTIKIAIVRDNQIITSGPLSSARIEILALHGNFYDVVPDNWTESEFDHRIVSSSQGPALGGVCQVKLKNGEASPSDVFFNIPSSKTESGRLILAAKVHTSDNGGLRIKEAVMMNPVVVQVYRNKLNRSSDRPKLKDEVHRLKGISGKGCRTKWLKDNQINTVEEFVKALNKDEEKIRNECFKLKKDNKLWKDTIKHAKECDLEGNCKLKLYRAEEQHVVLFFNCVHDLVGAKFRDHYVAKDNFSSDQQDAVNRLKKQAYDELDSIGFDHEMKNDYPVMTLSDDAYIPFTDTAQNPPDLHVTFQVQGIAAAEIYHAHELPQAFPNNNNDFGQHFLHGFQGALTQMDHDYAQFGIADMQCYTAQAPEGTSYGGNNMIGPANVPQNVIGDGSMDMFDCYAYIFPDNENQNERPHSSAYPGPV >ORGLA11G0178600.1 pep chromosome:AGI1.1:11:19464092:19466229:1 gene:ORGLA11G0178600 transcript:ORGLA11G0178600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEVCCCLGLAANVAQLAGLDVITLVKVIKSRVETVCQNKEDCELLAERADMILDLLRRVQASKVIEDPDMWKPTEGLKSTLCRAGALVKSCQEEWSYAYRFCKGGRIARELRKVLKDLKFYILHLIGMITIINHDQNTRYYYIPETDVVKPQLKGIADGAVYLHKQCEPRIIHGDLKPGNILLDAALKPKICDFGTSKALRPGQDMDCTGIVVGSRGYMAPEYKQGGCVSLKTDVYSFGATLLEIIRGSRIPPSTLELSDESRDFGPLNKWAWELWRGGNLMEFIDPSLRGETHSAAEIQRWVQIALLCVQR >ORGLA11G0178500.1 pep chromosome:AGI1.1:11:19454235:19460628:1 gene:ORGLA11G0178500 transcript:ORGLA11G0178500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKSPPPPPPPGPVVVVPPPPYRRRLEIIDLPQGAAAPTTPTPGKWQMPRVPIAFIALYFIVLVEGKSNRRIRRVVRKENIISQGKVLQALDNALQKHLNPIHRSLESLTERIDTLTHEVGQIKQSNFNHHANEHYRSEANQDAAGFARYGEAVELRFLNKLKPRLYTQEKIRAEDGTAIQIALFKDNQIVESGPLSSARIEFVALEGDFNDVAPENWTECMFNQNIARSPKGPVLGGVCQIKLKNGEASPSGISFVVPSSKSRSGMFILAARVRSSDKAGFRIMEALMNPVEVQVYRNKANKNSDTPKLKDDVYRLKGISKKGTRFNWLKHNGINTVEDMLKALNKNEKKIRTECFKLKKSSKDWKEIVKHARKCDLEGNCNLKSYGVEEKHVVLFFNCVHDLVGAQFHDGYVTKDNFNSDQQDAVNCLKKQAYDALDDIAFDDKMKDNYPVSLSSAMNTSITDGDVSIPLIDRAGTNPPDLHVTSQAVGNSHHAEIYQEPELLQALLNYNSAYEGYRAGAVAQIFGGFSEVDIPIGCYTGQASEGTSSGGNALIGLMNMSQNGSDGSNIAELIDNDIDPYQYII >ORGLA11G0178400.1 pep chromosome:AGI1.1:11:19442899:19445343:-1 gene:ORGLA11G0178400 transcript:ORGLA11G0178400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLELKKILKKIENIINDGHKILQLLNLPGHSNINKRQTVSDDSRIAVTTASPPFVVIGRDEDRDKILVLLHETENDGQHEPSRALSHSIIGIHGIPGSGKSTLAQYVCAHEKRGRQEKEAGHFDLIMWVHVSRNFNVDKIFSKMLEEATGNSCPQFNSLNTLEQKLEQALSRKRFLLVLDDVWYNKDDSQEELQKILFPLKVGALGSKILLTSRTRDALLALGAAKCIPISELDDTVFLELFMHYALDSAGIDERDRMIFRAIGSDIAKKLKRSPLAARTVGGQLHMRPTIDFWQDARNQNLLNETMGALWWSYQHLDQQVRRCFAYCSIFPRRHRLERHELINLWVAEGFITTTEVGLEMEAVGRKYFEELVSASFLQLGEKQAERFGASEYFTVHDLLHDLAEKVARNDCFKVENGWTGDLPGDVRHLYIESYNKTMITEKVLKMGNLRTLIIYSGNTEIPTEEKIFERMFMRLRKLRVLSVKIITGSHVFSFPESIGQLRHLRHLCFRTTLIRQVLPNTIAKLRYMHVLDFGVCGDLVFPSGEDMSNLINLQHIIATADLNCPNIGMLTSLQTLPLFPVKKEQGYELQQLRHLNKLRGKLHIHGLENVGSKEEALEAKLDGKERLKELVLVWDDESCSPEVEAEVLEGLCPPLELERLEITDYHGSSYPDWMIGGHKGPKYLRELELSGCSRLGPAPELFEFFIHLRSLWLWKSSWNFLPDNLEQLMSLHELKMYFCLNIQSLPKLPRSLEEFGLGACDDEFMRSCKTIGHPNWHKIQHIPRVTIALESTHSPHGSSSSSSIPVLYLSG >ORGLA11G0178300.1 pep chromosome:AGI1.1:11:19432744:19436289:1 gene:ORGLA11G0178300 transcript:ORGLA11G0178300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAAHXTPKLAFCGETLISGKTRNWQLIESRQLLAERXESSEAMAHLQWEGMDRMATIAQLTGVDALGLISTIVQAAQAVRRNKETCQELVQEIQLIRDLLRMLQDPEMMCREEIVNALSGLEGTLKEAYVLVTSCRDCSAMYRFFMGWKQADQFRRIKKKIGKHLRFYPMISHADLTRRLEKLANSAALSTCSSQDAQEVPASSSVNHSNPEARAEEVNDEFEKSDVVTQSINDVERHEAGHQDAVQTLSVRKSRSWWHDVISSKKAADAVKAHVVPRAIELFSLAELAKATMDFTLDREIGKGIFSSVYRGVLPDGREVAIERKKVDSSAGGMEAFRAEVTIQSLLHHKHIIRLVGCCVMEEEEHWSLFQKKNMVEKRLLVFEYMENGSLFDHLHGPSTSSFSPVTASWKTRIEILLGVSRAIEYLHSYATPAVIHCDIKSSNILLDSSWSPRLSGFDIAVSCDEAECVDICVRGTLGYLDPEFVRTRTLKPASDVYNFGVVMLEVLSGRRAICRWKEDHGDGDGDSPMDSLVNHALPLIDAGQVLHLLDRRPAEEPTPRQLEAADLVARTAAHCLQENGDDRPAMSDVVTRLQAALELVRCDDE >ORGLA11G0178200.1 pep chromosome:AGI1.1:11:19419445:19422501:1 gene:ORGLA11G0178200 transcript:ORGLA11G0178200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILDSFIGSCAKKLQEIITEEAILILGVKEELRELQERMEQIRCFVSDAENRGMDDSAIHNWISRLKDAMYDADDIIDLASFEGSKLLNGHSSPPRKTTACSGLSLLSCFSNIQIRHEVGEKIRSLNKKIEKIEKDNIFATLGNTEPADKGSTSELRKRSNVVEPNIVGKEIVHACRKLVSLVLTHKEGKAYKIAIVGTGGIGKTTLAQKVYNDQKLKDSFSRRAWICVSKEYSPVHLLRQLLRTMEVHYAQDELLEELQTKLALAIKNKSFFIVLDDLWQSDVWTNLLRTPLHAASSGIIVVTTRYDTVALEIGVELIHRVDLMSLDVGWELLWKSMNILEEKEVQNLWDIGIEIVQKCGGLPLAIKVVARVMASKDKTESEWRKILTRNVWSMTKLPKEISGGLYLSYDDLPQHLKQCFLYCIVFPEDWVFDRDDLIRMWVAEGFLEVQKDQLLEDTAEEYYYELIHRNLLQPVGTYFDQSKCKMHDLLRQLACYLSREECYIGDPTSLVDNTIYKLRRILVITEKDMVVIPSMGKEEIKLRTFTTDKQPRAIDNTLFMRLSYLRVLDLSDSLVQTIPDYVGNLIHLRLLNLDGTNISCLPESIGSLQNLQTLNLQRCESLHSLPLATTQLCNLRRLGLELTPINLVPNGIGRLKFLNDLNGIPIGCGSNNTKMQVGWNLQELAHLSQLRRLYLDKLERATPCSGTESLLLTDKIHLKVLMLSCTEQTDEEYSEEDVSNVEKIFEHLTPPHNLEDLFIGAFFGRRFPTWLGTTHLSSVKFLILEDCKSCMHLPPMGQLPNLKYLRIDGAKEITKIGPEFIGCGVGNLRCTEAVAFPKLEWLIINDMPNWEEWSFVEQEEEEVATAAKEGGDDGAAALFPRLSWLMPCLTKLDLIGCPKLRALPPQLGQQATNLKGILICGASSLKTVEDLWFLSYAIHVQECGDLERISNLPHVRVMYARDCPNLRCVEELGSLEQLWLYEDMQEISSLWVPRLREQHNQHHEDELEVNEWFPY >ORGLA11G0178100.1 pep chromosome:AGI1.1:11:19408984:19409238:1 gene:ORGLA11G0178100 transcript:ORGLA11G0178100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETIFFNVLIMSKAGWFLQHEEGKGWYKFDDECVRPRTEDSIKTPAAYVLFYTRE >ORGLA11G0178000.1 pep chromosome:AGI1.1:11:19406937:19407155:1 gene:ORGLA11G0178000 transcript:ORGLA11G0178000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALFDSIRGCLSPHIDQAKVYYKLKWLKGKYLHAAPGAFAGPHERCVHDLCTSVWGADLEPLVGDDEGAATA >ORGLA11G0177900.1 pep chromosome:AGI1.1:11:19403119:19405731:1 gene:ORGLA11G0177900 transcript:ORGLA11G0177900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRSSWLLPCLEELQLLGCPKLRALPPQLGQQATNLKRLFIRDARCLKTVEDLPFLSGYLRVDGCEGLERVSNLPQVRELFVNECPNLRHVEELGGLEELWLDEGMLEISSLWVPRLLEQHRQLHGDEHELESPVWEHAQAAGWATVWLTKPNLVDKKISGSEQVADEQVVTVADGDMVLSAQPEKEMTAAMLLKSSGHTGSHYPVTGSNEAVAVGLAPHMTPIRRSDRSNSETALGIASADDDSLLKAMKRKAAINLDDQFAPYGARLALLASPQGTPNEPFTSSCSASQLSFCSSLNRIGVSLGNNSAEVDFSIKALKHINVDKLKVIPKANSSFSLNQCRHG >ORGLA11G0177800.1 pep chromosome:AGI1.1:11:19383003:19383395:1 gene:ORGLA11G0177800 transcript:ORGLA11G0177800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWAGLGHAATVAQLVGADVGGLITKIIQAEATARQNKKEYDQLARRVLTGLDDTLREAHELVMACQDKSAVYRLVMAGRQAEKFRDVQSRIDSYLLLFPVTSHMDITRRLE >ORGLA11G0177700.1 pep chromosome:AGI1.1:11:19336933:19340291:-1 gene:ORGLA11G0177700 transcript:ORGLA11G0177700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASLFSFVSAMIKLAMMIATVAKKARQNQTKCMELSDRARRVAGILSSYKPAATGEAAATQDMLGSLNEALDEAHKLVKSCCGDGQMYRLVGSRAVDAKLDSVNNKISNCLTDLIAIFVVVDRNHHPASNSNAGVREDKMTSHQHVAPGYSRPNNSERMKQNTAGIMFGNHTASDHGRRLNSSVRSLRVMNPALSHHQLANNSAWWKQSEINTGGIRTASDRGSSNYSVRSLGESSSAKSSSGRSWTEINSANSSIVRSRTKISSAHSSIVRSQTEINSNRSSINSVREISSAKSIHKEKIDPLLAHSHHQANNSVRVSYTCKNGNKSVQQNGVNSRNSMRSEKASTVATARPPLQGSCSDAGVACYPNEQGQGYALYQYSIEDDPTSCAVM >ORGLA11G0177600.1 pep chromosome:AGI1.1:11:19331699:19333193:1 gene:ORGLA11G0177600 transcript:ORGLA11G0177600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1R1Y4] MASSPTMLVVICSSLAMAVILSSSSPATGQLDVGFYGKTCPKVEEIVREEMIRILAVAPTLAGPLLRLHFHDCFVRGCDGSVLIDSTASNTAEKDAPPNQTLRGFGSVQRIKARLDAACPGTVSCADVLALMARDAVALSGGPHWAVPLGRRDGRVSAANDTTTQLPPPTANITQLARMFTAKGLGLKDLVVLSGGHTLGTAHCSAFTDRLYNFTGANNAGDVDPALDRSYLARLRSRCTSLAGDNTTLAEMDPGSFLTFDAGYYRLVARRRGLFHSDSSLLADAFTAGYVRRQATGMYAAEFFRDFAESMVKMGGVGVLTGGEGEIRKKCYVIN >ORGLA11G0177500.1 pep chromosome:AGI1.1:11:19314766:19324750:-1 gene:ORGLA11G0177500 transcript:ORGLA11G0177500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAAASKRSSPSPSSSSAPPPKRPKAEAAPASPTASVPGRIEEDSAATKSAGSGEDAAAKRDQGGDKAAVAVVESSRKKKEQQQQQQQQATPWAKLLSQSSQSPHLPISVPQFSVGQNKSCNLWLKDQPVSKILCRLRQLEQGTCELEVLGKKGTVQLNGRSITAGTKVPLKGGDEVVFSPCGKHAYIFQHPLNDKIPKMVPPSPVTLLEPPVAGVKRLRMENRTGDTSAVAGTELLASVSDQLKDLSAAPPASAGENNQRLVRPMASSASDKSKGNGIIPDKECENGENANEVNSNVEDSPLDVAAAPVISPDAVPNDISQHNGFGSDAHLGAEIALEDQRDLIRDLNSSASLPPSRCQAFKDGMKQGIISPNDIDVTFENFPYYLSDNTKNVLLSCAFIHLEKKEFIKQFSEISSINQRILLSGPAGSEIYQETLIKALAKHFGARLLVVDSLLLPGAPSKDPESQKDAAKSDKSGDKAGGEKLAILHKHRSSLADTMHFRRPAVQPSSVHADIVGTSTLHSASLPKQESSTATSKSYTFREGDRVRYVGPAQQSSLSQRGPSYGYRGRVMLAFEENGSSKIGVRFDKQIPDGNDLGGLCEEDHGFFCSADLLRPDFSGGEEVERLAMAELIEVISEEHKAGPMIVLLKDVEKSFTGITESLSSLRNKLEALPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGSRLHERNKESPKAMKHLNKLFPNKISIQLPQDETLLTDWKQQLDRDVETLKAKSNVGSIRTFLSRNGIECSDLEELFIKDQSLTNENVDKIVGYAVSYHLKHNKVEISKDGKLVLASESLKHGLNMLQNMQSDNKSSKKSLKDVVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELAPGIDMDSLATMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNVAKAEGRPEPALYGSEDIRPLTLDDFKSAHEQVCASVSSDSANMNELLQWNDLYGEGGSRKKKALSYFM >ORGLA11G0177400.1 pep chromosome:AGI1.1:11:19311308:19313285:1 gene:ORGLA11G0177400 transcript:ORGLA11G0177400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAAGDGKGKRRLVGMPPARAAAADFAMGGAAAVVAKTGAAPVERVKLLLQNQAEMLRRGSLTRPYRGIADAFGRVLREEGVAALWRGNQANVIRYFPTQAFNFAFKGYFKSIFGYDKEKDGKWKWLAGNVASGSAAGATTSSLLYHLDYARTRLATDAIESQGSKRQFSGLLDVYKKTLKTDGIRGLYRGFSVSIVGITLYRGLYFGIYDTMKPLILVGPLQENFFASFALGWAITTFSGACAYPFDTLRRRMMLTSGQPLKYKNAFHAAKQIVSTEGFFTLFRGVGANILSGMAGAGVLAGYDQLHRFAGQHGYNFESKMKGALK >ORGLA11G0177300.1 pep chromosome:AGI1.1:11:19304344:19310170:1 gene:ORGLA11G0177300 transcript:ORGLA11G0177300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEGLLADFGVRPQGKAAPMAASRSRSTTTTGSAWPNPRSTPAPAPSYDGLFGAPPSAAATTTTSSPFDSLFNGPPTSSSSSSSAAARATVYDDDIFGAVPGLRPSSNSASSSAARYDGDDVFGGGRRAAASPAFDDVFSSNRSAAAPSYDDILGGFGVKPQAGEGKRSVVVEDDDLLGGFGRKPHSEAEKKPVVVEKANGGNGFDYLIPGFSGSGPQRSRKIIDDNKDEPAVRTSKSTASVLDDPFVVLETNSASGSTYPSPSSFTDPLEHLNNSASSKGKNVDNTTDNDSLPDDSSAFNQVPKSDPLFTSEFNGDTKYMNPPSKARDSNPLHGSMNGNSARGSSTEDLGDAKTKSQSARYSDIYVDGSSSDRYATNGVGDQSPRSTESEDDIWLTVSEIPLFTQPTNAPPPSRSPPLLKQRPLQAKANGNYDGYVRQSNQNHNQYRDMPDQAEVSSLDEMEGFAKDKSQMPSYDDNFFGEAEQSERTSSDREEKERQARLEQEQEMKLMEEKKREQRRLEKERELEQQKERERQAMERATKEARERASAEARAKAEREATQRAQRAAVQRAQQEARERAAAEAKEKAARIAAEARERAASETKERERAAAERAAAERVQQEARKRAERAAVERAAAEARERQAAAAAAAAREKQSSADDLESFFGAGARANSAPKQRTPTVDSMFDSQPQSRATTNGSQRSASTSASMRKAPSATNIGDDLSDLFGAPASSDVFQEVEGESEERRRARLERHQRTRERAAKALAEKNERDMQVQREQAERDRIGDTLDFEIRRWAAGKEGNLRALLSTLQYILWPECGWQAVSLTDLITGAAVKKQYRKATLCIHPDKVQQKGANLQQKYTAEKVFDILKEAWNKFNSEELF >ORGLA11G0177200.1 pep chromosome:AGI1.1:11:19288943:19291117:-1 gene:ORGLA11G0177200 transcript:ORGLA11G0177200.1 gene_biotype:protein_coding transcript_biotype:protein_coding HKLNSNDPLWPCGLVGGERRRIIDQSRLRYWGGRHEAVLCMLSPAATAVAAVRATAGSPAAVRGMHARILKEGLAHHPPNPAALVSAYAKSHLLPDALHLFDETPRRDIYIYSSLLTAVSHSASPELALPILRRMLSADALHPDHFVISSVASVFARLRSRRLGRQLHAHFVASPYNGDDVVKSSLVDMYCKCGYPDDGRKVFDSMSAKNSVVWTALVSGYASNGHSEEALQLFRSMPGRNLFAWTALISGLVKTGESVGAVELFVEMRRDGVRIDDAFVLSIVIGSSADLAAFVLGRQLHGSAMRLGFLSSMIVGNALIDMYSKCSDILSAREVFEGITFRDVISWTTMVVGEAQHGRAEEALALYDRMVLAGAKPNEVTFVGLIYACSHAGLVQKGRQLFESMKNEYGITPGLQHYTCYLDLLSRSGHLLEAEELMTTMPYEPDEATWGALLSACTKYKDAEMCIRISNKLLELRPKDSSTYILLSNVYAVNGKWDSVAKVRKCMIGLEIRKEPGYSWIEAGREFRLFHAGEVPLDIREEIMVFLEEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRVCVDCHTVMKLISEITHRKIVVRDSSRFHHFEGGKCSCSEFW >ORGLA11G0177100.1 pep chromosome:AGI1.1:11:19284291:19284698:-1 gene:ORGLA11G0177100 transcript:ORGLA11G0177100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIPLSRPANPPPPPPPRSATATSILHPRRLDLHPLHPDPRTAEETGVWRRGVPPRRSTLPLPRSVAAASIPISCASIHRHQGGERRRCLQGRELELERGKRADELGGARLVKWDGVGRGLGCRWGNEGEEILY >ORGLA11G0177000.1 pep chromosome:AGI1.1:11:19280302:19282154:-1 gene:ORGLA11G0177000 transcript:ORGLA11G0177000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYREIENGILWEVDGKWVVQGAIDVDIGANPSAEGGGDDEGVDDQAVKVVDIVDTFRLQEQPPFDKKQFVTFMKRYIKNLSAKLDAEKQEEFKKNIEGATKYLLGKLKDLQFFVGESMHDDGGLVFAYYKDGATDPTFLYFSHGLKEVKC >ORGLA11G0176900.1 pep chromosome:AGI1.1:11:19243382:19245739:1 gene:ORGLA11G0176900 transcript:ORGLA11G0176900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G29830) TAIR;Acc:AT4G29830] MKLAGLKSVDGAHEESIWAAAWVPAADHRPAALLLTGALDETVRLWAPDDLASAAASPSRGHALGVVSLAAHPAGALAAAVSLDSYVRVFDVDSGSSVATLEAPPSEVWGIQFHPKGSALAAAGGGSGSVKLWDTEKWKPITSLAVPRPEGARPDKTGSGKFVLSVAWSPDGKLLACGSMDGTIAVYDAVRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTASDDCHIHIYDAKEKSLIGAMSGHASWVLSIDVSPDGMAVATGSSDRTVRLWDINTRASVQTMSNHNDQVWAVAFRPPGGTGVRAGRLASVSDDKSITLYDYS >ORGLA11G0176800.1 pep chromosome:AGI1.1:11:19234727:19235170:-1 gene:ORGLA11G0176800 transcript:ORGLA11G0176800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTCLRVIRISWNLIIAPWKMLFAFVPPYEIAHGWIAFICSLIFISGIAYGVTKITDQISCVTGVSPYVIAFTALAAGTSWPDLVASKIAAERQITADSAIANITCRYISAHLLSS >ORGLA11G0176700.1 pep chromosome:AGI1.1:11:19234348:19234581:1 gene:ORGLA11G0176700 transcript:ORGLA11G0176700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVYITPEILKDESTIKTTQRIMKYTDVAQAHFLGPPSSAPSMTRRRTKTVMQPNDVAKKTRREKLRPAALSMYRGFW >ORGLA11G0176600.1 pep chromosome:AGI1.1:11:19230310:19232013:-1 gene:ORGLA11G0176600 transcript:ORGLA11G0176600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1R1X4] TTRKSVLFLQLVLLVAASLPPASKQMEVSLKKWLADNQLLYTTPDAEDTAMDAGVVAASKAQVHVGVDPAGGTIADALAGVPDGGGGGEPKSYVLSLKPGQVFREKVVVGKGKPFVTLKSDPANPAVIVWNDTAATLGKDGEPLGHVRSATLTVEADNFVASGVVIKNDAPSGVEGGKTVALRVAGTKASFFKCTIEAGGQGAAQTQRAPKYEGLDGTTNPAFLGFSFHNCTIEVGAGGGADDKVYLGRAWDDSSFVVFSNTMMAN >ORGLA11G0176500.1 pep chromosome:AGI1.1:11:19228967:19229242:-1 gene:ORGLA11G0176500 transcript:ORGLA11G0176500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIVLFPQRTRILALNPFHLSGAYYGVYKCSGPGLEASKKMGWAKELTDGFPYANQEFISGETWILPPPTPTD >ORGLA11G0176400.1 pep chromosome:AGI1.1:11:19218526:19222194:-1 gene:ORGLA11G0176400 transcript:ORGLA11G0176400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:I1R1X2] MAGSLAASAFFSGPGSSPAASARSSKNAAATGELPENLSVRGIVAKPNPPPAAMQVKAQAQTLPKVNGTKVNLKTVKPDMEETVPHSAPKTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGMVFRQNFMIRSYEIGADRTASIETLMNHLQETALNHVRTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVEQYPAWGDMVQVDTWVAAAGKNGMRRDWHVRDYNSGRTILRATSVWVMMHKKTRRLSKMPDEVRAEIGPYFNDRSAITEEQSEKLAKTGNKVGDDATEQFIRKGLTPRWGDLDVNQHVNNVKYIGWILESAPISVLEKHELASMNLDYRKECGRDSVLQSLTTVSGECTSIGADKQASAIQCDHLLQLESGADIVKAHTEWRPKRSHAAAENA >ORGLA11G0176300.1 pep chromosome:AGI1.1:11:19210599:19214973:1 gene:ORGLA11G0176300 transcript:ORGLA11G0176300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTSEDPLPLHHLCLHHASHPHPHILLAVVTVSYPNRGKVDAAKETLNPNFPFVQFSNPQPVPCARRGAVGRRAVRARVGGAAAGTGSTAQRREQGRGSHQPGTEGRQSSFKKWLLVFLLSSCDIAGYSLCFIIHLRIPPLGLSSCPFSVLTASASVQSDCSSDDEKLNCAPSQHARKRSRPLCSDSVVQTLHCLKRRPAIAFAYFKDTQSIGFNHDFSTYSEMIQILSHSRQGKMLVSLFSELVSSSNASGPEILPLVDHHRRTCATPCSLSFMVDCLIKACITCYDVQATICLFSGICRLGVVPSVWTWNLLLKFIAETGEYEMVLAAYNEMKCFQLTPDVYTFAIVTRSLFQAKKVDEALQVWAEMTEMGVKPDARGYSSFLIGLCDCGKYDLAYVILQEINREKVPVESMAYNMVMDGLCKEMRLDEAEKLLENKARQGSNPDVYGYSYLIRSYCKMGNLIKAVDHYEAMVSHGIETNCHIVSYLLQCFRKLGMTSEVIAYFLKFKDSGLHLDKVIYNIAMDTYCKNGNMNEAVKLLNEMKYGGLTPDKIHYTCLINGYCLKGEMQNAQQVFEEMLKANIEPDIVTYNILASGFCKSGLVMEVFDLLDRMADQGLEPNSLTYGIAIVGFCRGGNLSEAEVLFNVVEEKGIDHIEVMYSSMVCGYLLSGWTDHAYMLFVRVARQGNLVDHFSCSKLINDLCRVGNVQGASNVCKIMLEHDVVPDVISYSKLISIYCQNGDMDKAHLWFHDMVQRGLSVDVIVYTILMNGYCKAGRLQEACQLFVQMTNLGIKPDVIAYTVLLDGHLKETLQQGWEGIAKERRSFLLRANHNKLLSSMKDMQIEPDVPCYTVLIDGKCKAEYLVEARELFDEMLQKGLNPDAYAYTALINGYYSQGEISKAEDLLQEMIDKGIEPDELTFSVLNQSSLRSRKIQFCA >ORGLA11G0176200.1 pep chromosome:AGI1.1:11:19208074:19208597:1 gene:ORGLA11G0176200 transcript:ORGLA11G0176200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLCVLLEKQHAAAMTTATAAAARTAQVISKTAVLSTAGGGGSKIHGCYSSSSSSSRAPVVAATTASFLHRCFLCRRELAGGDDIYIYRGDRAFCSEDCRFRHILTEEEEEDGDMSCRAKDAAVAAPTRRRSRNRRAVAGGGGRGFFAY >ORGLA11G0176100.1 pep chromosome:AGI1.1:11:19183529:19187898:1 gene:ORGLA11G0176100 transcript:ORGLA11G0176100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGVASAAAAAAAVLVYVALSSSGGGGEAARLRRPEEEDEEESKKRWPERAPASWREAAAVAARTVGFTYRETLGRWPLGDIAFGIRHYMRIQGNLQHEYTGRSCVPLEGPVTRQELIGILRYLRLCMFFAKKPYEVFLEFGGYGQSDILIRKSKARVMKPSFTIVRDKNTKSFILFIRGATSVKDRLTAATAAEVPFHHVVLKEGRVSNVVVGHVHCGMVAAARWIADQAIPCLSRAVEQFPDYRIKIIGHSMGAGIAAILTYMLRENKKLSSSSCIAFGPAACMTWDLAESGKEFVTTVVNRNDLVPSFGKVSAANLHTEVIGSSWAHDLLEQIQQTRILGFVNRSVTFMQSQFPFISNPRSKVADVDLMLSGTSEAETILSVDARAAIKKHSTLSFWSSAPSNRKTLESSLMNPTQSIAALMSTYVGTDKDTEEHKNQNSDTKELYRQDKEADAEKNLERFLEALRSSPSASQEPLQFYPPGRIMHMVVLPSPKEPSSIDQCSQDECVALYETPRSMYSKIRLARSMIRDHYMPRYIETMEMLIDKFEEEDSHTXLISHLHFTEKNDYRIKLXFYRTQHRIQNKRSIIXIFGTPCLVGSXSXRLELAARQPTSXEFRKRXNSASIVAXNFGNGXYCFCLXLGLSLWXSCTITRYIKDVLTLVTKMFLXGCTDFWQGKPGEHLVGRRQXDRPRGLGRLDYLGVRATTNNWRKPEVAWKFHYLPSNSQPYHNLPLNPPFVRVLPDG >ORGLA11G0176000.1 pep chromosome:AGI1.1:11:19169828:19173010:-1 gene:ORGLA11G0176000 transcript:ORGLA11G0176000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRLVVVALVLLSVVELVHGDGWRWAPGSRPHSVTITEFGAVGDGKTLNTLPFQNAVFYARSFTDKGGAQLYVPKGRWLTGSFNLTSHLTLFLEEEAVIIGTKDPSQWPIVEPLPSYGQGLDLPGPRHRSLINGYNLSDVVITGNNGVIDGQGSVWWDWLHSHELNHSRPHIVEFLHSEEVVISNLTFLNSPAWSIHPVYCSNVKVHNVTIKTSLDAPLTDGIVPDSCSNVCIEDSSISVGHDAISLKSGWDNYGISFGRPTSDIHISRVDLQASSGAALAIGSEMSGGISDIHVDHIRIGSSSKGISFRTTPGRGGYIAEVVVADVVMDSVHLAIEFTGNWSSHPDDHFDPSFLPVIDKITLKNMEGTNISVAGVLSGIEGDPFSAICLSNLNFSIADSAPSSAWTCSNVHGYSELVLPKPCSELHDTSTNSSICFSLSSYSALAVL >ORGLA11G0175900.1 pep chromosome:AGI1.1:11:19163664:19167460:1 gene:ORGLA11G0175900 transcript:ORGLA11G0175900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLENSSGRHVTYSKRRSGILKKAKELSILCDIPLILLMFSPNDKPTICVGDHSSIEDVITKYAQQTPQERAKRKLESLEXXXXXXKKLDHDVNIQDFLGSGGQTVEMLIKSIVWQELSSHLGALQCQMADVEKRLSYWSDPEKVENIDHIRAMEQSLKESLNRIRIHKENFAKQHLMSLQCAAAQFQNDMQLPLGLTGDPNTSSWFHGGGGAEAQQPMMLPEDPSLLHQRDIGCSASTSLQSYPGYFSMGKQSTDNAGGGEQHHHAAVQQQPEFSQADCLTSLQLGVQFPYPSAFDNAGLLSDRLFDNAAAAAAAMDFGGHYDLPRPGDEASFQNWASAACGATMYDHQQQQQPLPAAATVEAPSFNHPSPHRQLMI >ORGLA11G0175800.1 pep chromosome:AGI1.1:11:19119013:19123572:-1 gene:ORGLA11G0175800 transcript:ORGLA11G0175800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMGAIGSVLPRLAELLKEEYKLQKGVKNDVESLSRELAAMHIALERVAKVPREMVELDVKLWASNVRELSYAIEDAIDAFVVRVAEGSNLVDPINQGFFKRILRKTSDLIRKGKARREIAEGICDIKELADEVAELRARYKFDAAAAATTPATATVDPRILALYKDITELVGIEEARDELIGMLSRPADDDQLNIVSVVGFGGLGKTTLAKLVYDKLKGQFDCAAFVSVGQNPDLKKVLTDMIYDLDRQRYISIHNSKMDERLLINELRDFLHNKRYIIIIDDIWDEKLWEYIKCAFYRNKLCSRIITTTRKVTVSKACCSHDDAIYRMKPLSDDASKRLFYKRIFKHDNGCPPELEQVSIGILKKCAGMPLAIITIASLLANKQVQTRDQWHDVFNSIGRGLTEEPKVEDMTKILSFSYYDLPCHLKTCLLYLSIFPEDFIISRDHLVRMWIAEGVVQKTTNQKDDVLVELGENYFYELINRSMIQPWDENDFMYYKDGYDNAIISCRVHDMVLDLILSLSKEENFVTILDQERGASSLSKAHRISLRDCNVVHTIPEATVPKVRFLSLLRADVHMTPAITSFPILRVLDLYNCHFEESYHLKHLGNLFHLRYLRLHCGCITKLPNEIGNLQFLQTLDVHGSRSIKELPPAIYQLRRLMFLYFPENISLSDRIGELTSLLELSPVDVFRRTSSIDVNGDSFSLLKALGNLTELRDLTIEVWSSEVSSIGRILGEVLCNLHKLRRLILRGVHGIVHLDSLPEFLDLPQHIHVLGIKPMYFFTVLPVWFNSPIDLPYLSFLDLSICDMRQEHVEKLGRLPALQVLWIQINRESEWLVIGAGAFPSLTDCTFIQYCGLVFQPGAMPKVRKLEFNINVVDSEDINFDVGLGNLASIEEVTIDLLCEDAVEWEVEEVENVLRHVADIHPKHPTLEMRRSDEDKMVLDDEEEQQSEDPMEDSDMEENRAPDSMASESS >ORGLA11G0175700.1 pep chromosome:AGI1.1:11:19116422:19117758:1 gene:ORGLA11G0175700 transcript:ORGLA11G0175700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATGAGDVAASDGAPPPVVKTTTEGGNAAAGDRGGAARGRRERSWAAGDERQGGMATRRRRAGSRGGLTSLAVALGGLDAVLIVARSDNSSRPHPSSTAAFVVFPHGGARARRVVRPATAAPEEAIEAPLKRKETASPPPLPDGLVTNKPSGMRFTARRNMRGPVDHLHNSNSFDAISVSGSDGSSGRPAPPRRAAASPPPASARRPAPPRRAE >ORGLA11G0175600.1 pep chromosome:AGI1.1:11:19097884:19098653:1 gene:ORGLA11G0175600 transcript:ORGLA11G0175600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALVGEGDGGGRRWSGGEGVDTGEAGGAVDGGGRSSSSSQPPSTSSLSLSQLPPPWLLAPARLSPPPPLPRAETGRSAAAGAATASPPAGSGGGEGATAAAVGPPPPPFPQSGLQIWRREGAPTIHAVAPPRRPPPPRLPSRASPLAPAGSDGMAPPPLPGRRRRRCYTQRNGERERELERNGERERENSLISSECGPRQEKKYLLRLGLGR >ORGLA11G0175500.1 pep chromosome:AGI1.1:11:19082194:19083903:1 gene:ORGLA11G0175500 transcript:ORGLA11G0175500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDAEIEDAE >ORGLA11G0175400.1 pep chromosome:AGI1.1:11:19080136:19081810:-1 gene:ORGLA11G0175400 transcript:ORGLA11G0175400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02485) TAIR;Acc:AT4G02485] MAAAADDDELRRRAAALREVFGDSSDSEADDLPVGGAGREQWRWEAVEGVRGLWLCAAFLSADEQSRLLTAIRREGWFSDAHNQAMRFGDLPSWAVELSALIHEAICFGDVGVGCGLELKNEDEDACPLPSDLLWRKPLFDQMIANRYEPGEGICAHVDLMRFDDGIAIVSLESPCVMHFSRAEQEVPICETLESAHAEPTKIPVYLNPGSLVLMSGDARYLWKHEINRKPGAQQWGGRELEQQIRTSITLRKLLPSPN >ORGLA11G0175300.1 pep chromosome:AGI1.1:11:19075154:19079124:1 gene:ORGLA11G0175300 transcript:ORGLA11G0175300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 1 [Source:Projected from Arabidopsis thaliana (AT3G62910) TAIR;Acc:AT3G62910] MEAFLAGFRAPRPRVPAVSAATRSLPPNTSFFSGGRRRRRLLSSSVVCMAEPYLIAKLDSAEKAWKEMSVRLADPDIVSDPSEYQKLAQSVAELDQVVSTYRQFKDCEKQIEETKVLQKENEDDPDMAEMIDSEMESLSNQLEELEEKLKLLLLPNDPLDARNILLEVRAGAGGDEAGIWAGDLVRMYQKYCERNSWKFKPVSSSEAEKGGFKTYVMEVKGKQVYSKLKFESGVHRVQRVPQTETMGRVHTSTATVAIMPEADEVDVVIDPKDIELKTARSGGAGGQNVNKVETAVDLIHKPTGIRIFCTEERSQLQNRERAFQLLRAKLYEIKLREQQESIRNQRKLQVGTGARSEKIRTYNYKDNRVTDHRLKMNFELTGFLMGDIESAVQSCSSMEQKELLEEMATSVGAAKV >ORGLA11G0175200.1 pep chromosome:AGI1.1:11:19069723:19074533:1 gene:ORGLA11G0175200 transcript:ORGLA11G0175200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPARTKRSSHPNGEDQVTTSVSGRADDLAWRCSSDTFDLNGRAFENSENWAVLSTEGDKPIPRFDHAAAMVGSKMVVFGGDSGHHLLDDTKILSLDKLTWDSVAPKIRVSQGGHAPKFRSCKGHSLVPWGKNVILVGGKTDPPSDRISVWSFNTETDLWSYIEVKGDIPVARSGHTVIRAGPVLILFGGEDGKGKKLHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDVHALDFETMVWSRVKTHGHHPSPRAGCCGALCGTKWYIAGGGSKKKRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPDVEPLLYEDSPPSSKELSDHLNNNCAPLYSTGSVARHSLATTVESSSGRKSLPDSLLQQPNLGSSSLRRQFRQEEECSLAHKLQKPIDDDRYKDVDDCSELPSVTNQKQRNDIYQSPDADAKAKRVGRSSSDINHQYDTKIANLIRRNMALEEQLSAAMASKDEAEKNLSLVIDSKEELEKRLSEKDREVETLKEKVTGLELAQEESNSLSNTVHADNVRLEREVAFLKAVMDETQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQTMEGRSPTPRKPQNL >ORGLA11G0175100.1 pep chromosome:AGI1.1:11:19062002:19062331:1 gene:ORGLA11G0175100 transcript:ORGLA11G0175100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVAMLASERAVVVFTKSGCCMCTAVTTLLGELAVSAAVHELDRDPLGKEMERELARRLYGSGGRGGPAVPAVFIGGSLVGGTSKVMAMHLKGELVPMLKSAGALWL >ORGLA11G0175000.1 pep chromosome:AGI1.1:11:19057940:19058269:1 gene:ORGLA11G0175000 transcript:ORGLA11G0175000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVARLASERAVVVFTKSGCCMSTAVTTLLGELAVSAAVHELDREPLGKEMEKELARRLYGSGGRGGPAVPAVFIGGSLVGGTSKVMAMHLKGELVPLLKSAGALWL >ORGLA11G0174900.1 pep chromosome:AGI1.1:11:19052800:19053132:1 gene:ORGLA11G0174900 transcript:ORGLA11G0174900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAKLASERAVVVFTASNCGMCHAVTSLLVGELGVNAAVHELDKDPRGRDMERELARRLNGGAGGGGGRAVPAVFVGGNLVGGANRVMSLHLAGELVPMLKNAGALWL >ORGLA11G0174800.1 pep chromosome:AGI1.1:11:19046893:19050002:1 gene:ORGLA11G0174800 transcript:ORGLA11G0174800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRERSSRRRMASSSTAAAALVVAALLLSSSPSPAAAAAAGRGGELRMKHSDGGYSYNRTLAHIFVQYASAVYTSDLTSLFAWTCPRCQGGTKGFEMIEIIVDVENCLQAFVGIAPDPQSIIIAFRGTQEHSVSNWIEDLFWKQLDVGYPGMPDAMVHHGFYTAYYNTTVRHEILESVRWARKTYGRLPINVVGHSMGGALASFCALDLSVKYGSQEVQLMTFGQPRVGNPSFAAYFSDQVPRTIRVTHQNDIVPHLPPYFCYLGEWTYHHFSREVWLHETIVGNVVTRNETICDGSGEDPTCSRSVYGRSVADHLEYYGVSLHADSRGTCQFVIGSTNTAYGSILEVDRTIIMTRYPQEWHAVESI >ORGLA11G0174700.1 pep chromosome:AGI1.1:11:19039075:19040349:-1 gene:ORGLA11G0174700 transcript:ORGLA11G0174700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRTCTMHQKIHDFIATDVSSFMDSCQPLDLAHRFSINSGVTLEGPSCSSDILSLLDSLPGSDQWQLLKVLDLEGCTDLKNKNLKNICKILLLKYLSLRNTGVTQLPKKIEKLQCLETLDIRQTKIRAFATKSIFLPMLKHLLASNKGSPSRSDNNSHGFEESPATMELPSGTRRMERLEILSHVDAFNNVNDLIDIGQLLQLRKLGVVLDGKKAGSLALLFKQIEELHGCLLTLSIQINHPATSEGTVPETDKLAALVSPPKLLQSLNISGITSGLPGWITKLDQLTKITLSNTYLGEDAIRVLGKLRILRCLRLRHKSYTGTKLTFNTEEFQHLKSLVVDGCDITNIDFVNIGAAPKLEMIIWTFDSVDALPLSGIEHLPKLKKLELNGDEDMAEMRLIREAHPNCPVFKHNSSHQHEEAGSD >ORGLA11G0174600.1 pep chromosome:AGI1.1:11:19032420:19035563:1 gene:ORGLA11G0174600 transcript:ORGLA11G0174600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLALSTVHALLGVIRKEAELLGGVRGDVQFIRDEMESINGLLRHLAGTKERASDHQVRAWIKQVMELAYDSNNCVERYARTRSGRRRRRGFLGRLRRAARLPWAMWVRRRVATRIRQLKVRAREVGERQQRYGVAVPAKKDGAAAAEDDGDNKRPLEYSSMPRKVAGGGDASRRRAAAIVSECGTDHMLKECTDELINWVDMGVAPDGRSGVELKRPKLSVAVIVAPDAADGAIVVDKVYRHYKPMLQPPSSGSGTPVTAAATSPFKCRLSVTLRRPTNLMEVLMDMLRQLQSEGCVESMGDDVQTWDLDTLKEKIKNSLRGKRLLLFLTNADYLDIWFPIEEVLASTDCDHGSAVVLSSKDREVANKLMPPLMNTSTISDNSNNGSASAAAAAVEVEVEEDKDLESNNSKSKSKSKLPLMKTVSYSHVDFHYKKANQLMLQLTSSYNTSDAMKQVLTRCDTDDFCAKVFLHALHNNPNRTADELKILTENLAPDRCSNDPLEKRVRLAAFCYYGLPDRYKNCLWYAAAFIRGSYDIHRASLTRRWIAEGLIIRSGQPTEQEEAERCVDTLLSLNLLIPKERERGVIEGKVKTCSVNTPVIDIVNGSRSISASTVDDFLDTNQLPLDLDLHFSVRNGIRIRQLDAMDGSTMEPRPPAPKKQLESVMEFLRKLPSSSRLRLLRVLDLEGCGVIITNRHLNNICQIRKLKYLSLRGTNVVWLPKKLHQLELLETLDIRQTRVRVFESTLPKSLKHLLAGRVDCLGDDAVTVKSKESFSTVRMPSGIPAGDMSKLEILSHVWVSDSAKELDNLGEKLKQLRKLGVVLCGGSKANLKDLFAQINELHTTLRSLSIRMKPVGSWGSTEAVLMTPPLLLESLRICGVRDWLPRRMKELNNLSKLTLRDTLLNEDNLAVLGALKGLRCLRLRYHSFDSGGLTFSSDSFPNLVGLVIEDDMLVTITFAPGTAPKLAKIIWSFQRMESLTGVKNLQSLRRIELNLLAGNGATDDYPQLKQEIKEHPGKPVLVCQLIDPKKGGQVANRAVGAATT >ORGLA11G0174500.1 pep chromosome:AGI1.1:11:19008971:19011889:1 gene:ORGLA11G0174500 transcript:ORGLA11G0174500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGLSSTHSAVKSLVGRLTDVLSDQVQLLGGLRREVQFIRDEMESMNGFLLNHARKGRMDHQLQAWMNQVKDLANHSQYCVDQYLRCLGTTSHRSPGGLWGSVRRLPRFVSTLPARYRLAIQIQDIKIRVVEVSQRQQRYPLHGTATEQEPQSGMASDRSQQAFLTGDSEADQQEHLRRRILAEDDSGLFKEAADELTSWLTVEGDGRSDLRIVPIVGSRGMGKTTLAEQVYKGYSSRLADQKAWISVGSNQSPQQVLRDILAQIVGLHANNLQDMGTWGNSQIALMIQEQLEGKRFLIVLDDVCSESLWKDIEAALHCGNSAPSAILVTTSLPEVAQSFCPYRIYDLRCIQEEHNRSLVDFFLVRAANLISDSGHGKAGLKEEVLRSILVKCSPCIFSMKMLLRFLYANPNKTLQELHDFGNSLCFCSPLHLSSWLSNAEKMLTFCYNALPCDYRSCLLYLTIFPNDHNIRRTSLLRRWIAEGLIAERDGLSAFDVANRCFDALLAQRFVLPGDIGNSGKVNSCRVHNLVRDFIAWVIRDDNFVYTKLPVDLAHRLPIHNGERLQQVSRIKLRASHFNDCWSMTRCCFTTKSVDPLAGISMLLRSIQESAQLGLRLNVLDLEGCKGLEKYHLNSICKIFQLKYLSLRNTDVSHLPKKIDKLQYLETLDIRQTQIKAFPGKNVILPGLKHLLAGCSNCPSKKNNLKEKESCSFSTVLMPRKIVRMGKLEILCYAEVSSGLTGLMGICQLRRLRKLGVLLQGNAACNLDYLFRQIDMLDRSLHSLSIRMERLKLAKDDARKRDDMVPASFPFSPPKFVQKLNISGIRSALLGWIGDLHQLSKITLHETSLTEHVLGILGQLGSLRCLKLQCNSTMGSSLSFRSGAFRNLVALVVQDNSLLDIIFDYGAAPRLERVILSIAAIDSLSGVQHLQQLKELELHGSARNIGEVEQAIAGHHNNPVFRHEQWNDLH >ORGLA11G0174400.1 pep chromosome:AGI1.1:11:18999984:19000865:1 gene:ORGLA11G0174400 transcript:ORGLA11G0174400.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTKISVFPRKSLVLPMLKHLFSGHTVYPSEDVIRQQESFSAIHIPHQIRRMTNMEILSHVKVSHGGMELIAVGQLLKLRKLGVVIHDTDKDGFDSLLHVIGKLNKCLRSLSVQIRSPSAADGSNGFDMSMMYSTYPRLLESLTICGIKSGLPPWIEHLHQLTKVTLHDTSLTESAIHVLGKLVGLRYLRLRHRSYVRGDLTISTREFKNLRFLFIEGPDIVSISFDEGAAPRLERMVWRFTRMVSLVGIGHLLSIRELELEGDCDLEKIGVILRDIKAHPNDPSLKHIPAAGN >ORGLA11G0174300.1 pep chromosome:AGI1.1:11:18998038:18998843:-1 gene:ORGLA11G0174300 transcript:ORGLA11G0174300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTITDSDPSLAVKQAGDRGVLVDSLSNNRAGIHADSDHSVLQVGRWFSRSQITPPSSFIFLFTAVVLLRWTVAVEVGTNVIRLPPVAHLAQPDLDVLDLHGQPVAFGEAPDKPGHPAEEAEEEALGGWPSCDALRVLLDAVLAVAGELHRLPDPWRALVVVVVVEGAGLLVQEEQEEGVHHLHLVLDELHVAADAAKQLCLVDEHGADAAQQRPHGALGRADHELRHCRRPVATKLDLLVGFQTFSAW >ORGLA11G0174200.1 pep chromosome:AGI1.1:11:18975620:18975841:1 gene:ORGLA11G0174200 transcript:ORGLA11G0174200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCVYGMRSRIWKESKFDTIGYVKFLSCTRGFPKVFRTPSMSLVRGFRLPTSGINRGGA >ORGLA11G0174100.1 pep chromosome:AGI1.1:11:18920111:18922669:-1 gene:ORGLA11G0174100 transcript:ORGLA11G0174100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTLGAVGSLLGELGKAIKEETALLSGVEGDIQFIKDEMDSMNGFLVHVTKTTNHDDQLRAWMKQVRDITYVADDCIKLYMRDVVPEEKAGLRGCLLRRVPTVCKPYCPCLHRNLTTRDQLARRIHELKDRVREIGERRQRYDVKLPEGGDAAVQSSSPSVSQQDSKMKEKRDEFVCALEDGQPPFRDAVRRLSRDGALIRHRAAPALVHGIIKMLDDGAHAHIIKMLLRSLYAHPYGTKELEKLSSNLREGADVAKKVMLFCYSKLSVHYKSCLQYLTTFEDEESVSRTCLVRRWLAEGLVSKDQHHGLDEDDTSMEEAGERCFDELLFRGFLCPAPGHHFPRSGGLKLKCCILDASVKKFINDMARSENFVADVPTHLRHQLDIRRWARRRELPQEQHKPRWTQSTICCCYCPVPRIIKATTADHGRGSNINDPLLQLHHPMDEIVTLLRGLPTEYRLNVLDLGGCVGLKMSHLENICKMVPSLKYLSLRKTNVSQLPKKMNQLLHLETLDIRDTNIRGAAMRGIFLNELKHLLVGRNIIPDASHRADEAEALLSTVLMPPKIGNKMEILRHVQITDGQEAYHQLLRVAYLERLRKLGVVLDGREDNIKLLLKTIARRSDTLRSLSVWITAPPPEHIVIGEGGGVLVTLDSNEKPGDGASLFSLPSKLESLNLKCYKGKNNNTGYNIPPWIIRLQKLSKITLRHSLLNREGLRELGKMKSLRCLKLCHESYIEAEVTVKKGEFEDLRLLQVDKISNKMTKLVFEEDAAPKLEKIVWNFDTMTTPMGITVNNIKGIENLKNLKELWINGVNISIPSPSRSREWKGITTVTRNLWGNILGPVIEGLASEG >ORGLA11G0174000.1 pep chromosome:AGI1.1:11:18900511:18902081:-1 gene:ORGLA11G0174000 transcript:ORGLA11G0174000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSIPDRWTLAGATALVTGGSKGIGHAIVEELAGFGARVHTCARNAAELEASRRRWEERGLRVTATVCDVSARGDRERLVAAAAGEFGGRLDILVNNVGRTMFRAAAACSGEDFALLVATNLESCFHLSQLAHPLLLAAGGGGGCVVNISSVAGTVGIPALAVYSMTKGGMNQLTRSLAAEWAGDGIRVNCVAPGGVKTDICQNETIDPELIKSEMDRLPMRRLAEPEEVAATVAFLCMPAASYITGQVVGVDGGRTIT >ORGLA11G0173900.1 pep chromosome:AGI1.1:11:18892690:18895997:-1 gene:ORGLA11G0173900 transcript:ORGLA11G0173900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLGGQETITAFSMEDCALWKRHLLTLTVQMLMAIYVVSMQWRGDKWLAVPTAIMFVAGTTRYAERIWALRRAQSTSLESSDMEFYAPSAEYDFNTHSTDYYSKLSSIISDEQERNFERIVEVATKGFRLGLDFLMDVIPPRPAYWYQGGTELWGGGEPLDSLVDMAYKLADIHLSMIYDYFYTKFGGGLVVGLLCRITTLALNCIALSLFLVSRLDHHLKAGSSYNIADVMICYILLVGAFTLEISSVLLWLMSSYSTWNLLRKHLHPESSRIEWSGELQQYNMIDECIHEKKAGLQLGRVMRLVGIERACSTKPVKVSTDVKRLILDKMLKIWATSTSANKLDLTRFHGEWAQRWVKRYYHHEAPPPFEFTAGTSDEEQASASPSARAQRALWISRIQDLGFVASVFIWHLVTDICLEADSSRVADSTEKLMNSNWELSNYVMYLIVKCKAMVSKYERDSLSYSREQVMWPVILDRPVDRSEFVENLLSARHHDVLGDAIDVSSELLKMEEAAARWDLISTVWVEMICYMAHNCGVAFHAKQLCAGGELVTHVKMLLMILRFPV >ORGLA11G0173800.1 pep chromosome:AGI1.1:11:18889099:18890837:1 gene:ORGLA11G0173800 transcript:ORGLA11G0173800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLALSSVQASPPTPQSALVEQVHIPEDTTSEPKSNPLEPRRIIPKLISTYDPKEIDKDKEKFMFSAFRNSEKRKELAHVISDSQNMQFHEADRTGAKVGYVDPTRICKTQHTIELREDCEQLVGKTPQEKEEYVKTLHKRKKLEVATYLAIAMLAHADKDVLMVPYAFTDHYILFLIYPKDQLIISLDPSHYDKETFMEFLTILNLAHKYYRKRGGPVHIPDQKQLSVRTGWPCYKQPPGTNLCGYYVCEMLRVNGRYKTTSNRIPEIPYIAQRFNDSTILNVAADLCRFIRRDVCNVRGLFYDNQSELAMDDKFKPLREREKEHMQ >ORGLA11G0173700.1 pep chromosome:AGI1.1:11:18872231:18875959:-1 gene:ORGLA11G0173700 transcript:ORGLA11G0173700.1 gene_biotype:protein_coding transcript_biotype:protein_coding RCVVCCGWTAAMAELTAGAVSSLLGLIRNAELMMSGRAKEDMQFIKDEMDSINGTLMYLTENKASHDDQVRAWMKQVRDIAYVADDCIMLYRRDLTPPEPGFWPWLRHVPTWLSTVPTRHRLAKKIHELKDRVREVGERRQRYGVVGEIPTKNAASSSGHGSQTAPSSDAAAATAAADARADEEDDDQNHAATATVVDGRPDRRRALEPRTLEDYCCENLHKWLKEFNTKRGSMVPFIAVEAPRPEDAAAVSSIVSYVAAEAAGFNPAVWVNLPLLHAEFDLPLEPWEILCYILGELKPRSQPVQAQGSRDQRKQKQEEGAKDDKAMGSDDDDDNLQSQASDEKWQVFDEMWEEIEKRNFDDRIEQLKTKIDSIKDKKTTNEIEKTVRSQEKVNLNALVYNKPLGILFLALLPPMPNDVKSVRRALRTLASGEANIIRKIAEALKIHMEASEVNKIHLSKKQYVNILREVFPTVSSTPLQQDKSTTKSTALGPSAAATMLGKDQIKEIVHKAILDKQLEKHLEVADAIEETKNKIGDIKEKIKVQLVIQGIVDKIKDHLEKDKRTLIILQDDKGFLSSCEETTMNALSLLGYTCADAMVVVITKESQVANKFCYPPREPITYSIAGLYHDTVLQLTSQQAKQNNNYNSQLFRDILDKCDPDEFCMKMFAHALYAEPNRSNNDLRKLYKTLVPQKSLASNATKASPEPDKSNAKKIFEFSYNDMPARYLSCLLYLAIFPQGQSIRRSTLQARWIVEGLITGKGDWPSANCAVRNAERCFDALIDRWLVYPGDVTAAGKVKSCMVDNLVHEFITKAAKKKQFVKESQLHHLAHHFSIFSNLRLRRSDNIEKFMQNLKYFSPYLQLLKVLDLEGCQSFDKNNHYLEDICNKVLLLKYLSLKDTNVAHLPNAINNLHELEVLDIRQAKVPEIDTKSIMLFNLKRLLAGQVYPSPRCNSTGMYLKRHEETISSSVRIPRKIEKMVKMEVMYSVNVSRDGNMLTEIGKLQQLRKLGVVIDDHDGHLRKLLQAISELSESLRSLSITLIGTGSEQTPSSEDIYADCLKQHPKLLESLSISGVTDKVQLLPLLAKGQGNSNLAKVTISGTKLKQKDLKDIAKLHNLCCFRLRHLSYDDEKITFEENEFLELKYLIIEGTNMMSIIFKQGAAPKIEKIILSFTSTTHIHGIVHLPNLKELELKGNNNDRKLVECLAAGAPHLSKLTLDGTLLDLNELKILANQLPSLRSLSL >ORGLA11G0173600.1 pep chromosome:AGI1.1:11:18865984:18867705:1 gene:ORGLA11G0173600 transcript:ORGLA11G0173600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAMGSSSGSGSSRSTNGMLEETVADVAALVEKWRSHDDGRRRRRSSLFLDGGVAEAGRFMSAAVELHRGMLVLASSDVEDARGRGDERLVRAQGVLEDSMRRLQLELEILLSAVRSNAADDGDGDGAAMSGHGLDGDGAVVVGHIRLVAEAMMAAGYGMECVTTFMSHRRAEFAGAVRRLLGYAPSQHARFRKLAWDDVDGKVRSWHTAAGFAFNFAFSGERVLCHRVFAAADAGVADRVFEGIASDHAADLLAVAEAAVARARRAPERLFHVLDVHATLVEILPAIVCVLGDKSEAAVRATAALRNAGEAARGILVSFEEAIQKATSKSSAAATGGAVHPLARYVMNYLVLLADYDDTLARIYQKGRGSTSLHSPSSSSNPIGRLVSVLLRKLDAMAGRHRSPAARSLFMANNTHYVSKKVRGSSKLVGIVGGEGWAVAQSAETRRHVDAFVHAAWRDVLVVGGEGADAAVREAVASQRRWVAVADDEMGDAVRAAAAAAVVPAYRALYRRHGTAAWMTPGDVKAMIGRLFGGPRNAAAGARPVAAGGATPRRHRLRLTSPSEKLAHKQ >ORGLA11G0173500.1 pep chromosome:AGI1.1:11:18817027:18818367:1 gene:ORGLA11G0173500 transcript:ORGLA11G0173500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSSAMLKPATTPPHPLAGEKVPLTAFDRAAFDVFVPMVFAYRAPAPSSEAVKEGLRMAVAAYPLAAGRLAVDVAVDGQGRRRRRRVLHVNDEGALVRDATVEADLDAVLAANVATDLYPAPPEHSFGAALLQVQLTRFRCGGLVVGLIVHHHVFDGHSTSAFCATWARAVRDGEAFSVPSPCLDRAITSVPRSPPAPVFDHRSIEFKVGNKSSDSSGAAAAVEKIANIGVRFTAKFVAELKARVGGRCSTFECMLAHAWKKITAARGLKPEEFTRVRVAVNCRRRANPPAPADLFGNMVLWAFPRLQVRRLLSSSYRDVVGAIRAAVARVDAEYIQSFVDYVEAADARGEELAATAAEPGETLCPDLEVDSWLGFRFHEMDLGTGPPAAVLSPDLPIEGLMILVPAGGGGGGVDLFVALADDRAQAFEQICYSLEEHAIPCHL >ORGLA11G0173400.1 pep chromosome:AGI1.1:11:18809776:18813948:1 gene:ORGLA11G0173400 transcript:ORGLA11G0173400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase [Source:UniProtKB/TrEMBL;Acc:I1R1U2] MSSCVTTPHHHHHGCFSGSRRRRRLLQAPAPRARPLHVVAAAAFLKHVAPPPRCAVASRAMSAEAPLGVTPAAAAAEGEEMVAVVDEMAEEAAVWCAVHGLVVGDRAEPHFCHHLQRSGTLPGVGLVHAPFALLPTRFPASFWKQARELAPIFNDLVDRVSLDGEFLQDSLSRTRQVDDFTSRLLDIHAKMMEVNKEEDIRLGLHRSDYMLDSETNSLLQIELNTISSSFPGLSSLVSELHRRTLLNRHGKVLGLDSKRIPQNWAATQFAEALSMAWTEFNNKSAVIMMVVQPEERNMYDQYWLINHLKESHGVKTIRKTLAQVEAEGQVLPDGTLVVDGQTVSVVYFRAGYSPNDYPSEAEWRARLLMEQSSAIKCPSISYHLVGTKKIQQELAKPNILERFLNNKEDISKLRKCFAGLWSLDNEEIVKTAIEKPDLFVLKPQREGGGNNIYGHDLRETLVRLQKEQGEALAAYILMQRIFPRASLTHLVQGGVCFEDLTISELGIFGAYLRNKDKVVLNNQCGYLMRTKVSSSNEGGVAAGFAVLDSILLTDEVILHTN >ORGLA11G0173300.1 pep chromosome:AGI1.1:11:18797278:18799050:-1 gene:ORGLA11G0173300 transcript:ORGLA11G0173300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHAPPPEMAAGGDRLSKLDDEVLGHILSFLPAREAARASSLSSRWRHVFAAVHTVSMAETDADHPAIRGYVSPPYRRGLDPLAPPVFSAVVTTALLSRHRRRRHRPVPLRALRVDMTGYVRADSAAVDQWVSYAVQQAAADHGLEIDLRLGRPAICDRAYSLRDSATIPEDDDDDDDDNAEDEQHDNAADDDDEKQEARDRSPSPAKRARSHSPSSSDYDDDVASSDDEEVRGYTPVFRPWRPVHTIPSMLFSCAVLRSLALGSCGFALPATVALPSVETLVLSHVRGPASDVQRLVSGCPRLADLTLEACGAVTAVTILGGARLRRLAIRCCHRLAAVAVDASELHTFEYRGAVPRGRDFLTLHHGDAPRRRRGIACCHVDICGEEATSEEELTGLRLFLQLFADDATHLHLQSARLGAGADKDALASFPTFPNLRHLELWGSLPDDDAAAAAVTTVTTILNSTPGLEALTLVFHPRGDGGDADSYSQNELRDAHRLRYNPHAVLAAAAAGGAMVVPCLRSTVREINLVHYQGGMAQRSLAKFLLCNAPAIAELFCVSAEGPLFMLEQLKQELRGWLMNKSAKTCFR >ORGLA11G0173200.1 pep chromosome:AGI1.1:11:18793887:18795365:-1 gene:ORGLA11G0173200 transcript:ORGLA11G0173200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTQTTRLSLTLLIRVLHFAPAREAASTSLLSRRFGALWRSSGAVNLAACVPDGRDAFVRAAEAALAAADRAVTRLTLHVEGDDECSTYSSLRVGDHDVLDAVVAHPAARRVEELRVAAVHRGQPDEHDDAVMDDDVFLYILRFSSLPSNTLRVLDLTRCHNFSPPPPPRTASAAVAFPRLTTLRLRQCTYSVKHLHGIVDAAPELATVHLEFVLLTSDRHRRFGPVTWNTGLRFPSATALALIHCRGEGGAPGRSMEVTAPRLRSFTYKGEAVRFDLTSPPPTAPDTTVVTADLHFTHGLGRCVYPHFIHNFTNARVLRLKANHLDDMAVAEVFPDLEHLRLELDGAYSGWSMAAETAAATIAGLLHCYPVLRQLELNFISDLPPDSSCKNTKQVKHLFQKKCDADFEKSIDDFMRLIKFKSKQRLDIPGLSDCSFACLKSSLRRVSLQFRLGEDSDCFGVRLIKFFAENAMFLEELHILTVETESSTSI >ORGLA11G0173100.1 pep chromosome:AGI1.1:11:18787837:18789174:-1 gene:ORGLA11G0173100 transcript:ORGLA11G0173100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSSAMLKTTTTPPHPLAGEKVPLSAFDRAAFDVFVPLVFAYRAPAPSSEAVKEGLRVAVAAYPLAAGRLAVDVAVDGQGRRRRRRVLHVNDEGVLVLDATVEADLDAVLAANVATDLYPALPEHSFGAALLQVQLTRFRCGGLVVGLIGHHHVFDGHSMSTFCVTWARAVRDGEAFIVPSPSLDRASTGVPRSPPAPVFDHRSIEFKVGNKSSDSSGAAVEKIANIGVRFTAKFVAELKARVGGRCSTFECVLAHAWKKITAARGLKPEEFTRVRVAVNCRRRANPPAPADLFGNMVLWAFPRLQVRRLLSSSYRDVVGAIRAAVARVDAEYIQSFVDYVEAADARGEELAATAAEPGETLCPDLEVDSWLGFRFHEMDLGTGPPAAVLSPNLPVEGLMILVPASSGGGGVDLLVALADDHAQAFEQICYSLEEHAMIHSHL >ORGLA11G0173000.1 pep chromosome:AGI1.1:11:18785298:18786752:-1 gene:ORGLA11G0173000 transcript:ORGLA11G0173000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WQSALAATACPPSPTTSSSASSTSRTPWRPRPPACSRGGSARSGAVNLAARVPGXTFVRAADAALAAAAAGGRVVTRLTLHVDDDGDHSDCTYMYNLLRDHDVVDAVVSHPAARRVEELRVAVAVHPFGHDKINTMSSSGSVRIYDIKLASLPSNTLRVLDLTNCRNLTPATATAFPRLDTLRLRHCTFVYLVSDGQYSSDVWTVWSTRLRFPAATVLVLINCDTTGAMEIYAPRLRSFTYKGDAVQPFDLISPPSPPDMALVNLHFTHAFYXHGYLNFIHNFTMAIKVLKLKAPASRHLSDMAFVRVYLKLDGAYDTWNKTAAAVWRASSIAVRCFASSSXLSSAPPRTPNKCSISFEEILRLVL >ORGLA11G0172900.1 pep chromosome:AGI1.1:11:18778760:18780700:-1 gene:ORGLA11G0172900 transcript:ORGLA11G0172900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGEDDRLSDLPDDLLRRILHFVPFREAASTSLLSRRWGSLWRSSGAVNLVEHVEDEEEEDVNLVEHVEDEEDFDFDDEEDDDEVTAEEPSARRRDAFLRAAGAALTAADGDISCDHVTRLSVDVDGPDGYCITNFLDCDESAAAYDIFTGMDVLHTVVSHPAARLVEELCLRVASESDSYGVHRRHRDKEEEPSTDLGVYGLSLTSLPFEKLRVLDIAGCNNLSLPPPPAAAAAAAFPRLQTLRLRRCAAKVTHLQRLIDAAPGLATAHLESVVFNTDDNNDNQSYNHRDTGACSSISLRCPAATSLALEWCGSTDYKFYYAHSTYSDDDDSCGGSIAIDAPKLRSFRYKGLPRPFHLKSPAPETTRSTAVSLHFNSDYYLKDDTSRVHSWRFIGNFTNAKTLKLKVDNLDHLAVADKASRSKLLCVLPNLVSVELEAAQLMNTKKSAVAIANLLRCCPVLSEFTMKLAAATTCTDRYWPDHHGRFQPDFYDSVDHFMRRKSNTTTAISSIDSRKGNGDRHVDEVPDIPALSRRSFTCLQRSLKKVSLKFKWSWDDCFGVQLVKFFAQNAMVLEEMRIDSGDRKLCDHMNLNVERWVGADSTKISLKRKNFANSTWEFSRTCPDSTPELETSTTSFIVLPLER >ORGLA11G0172800.1 pep chromosome:AGI1.1:11:18774775:18776568:-1 gene:ORGLA11G0172800 transcript:ORGLA11G0172800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDRLSVLSDDLLRRILHFVPSREAASTSLLSRRWGSLWRSTGAVNLAVRVVYDEVSGDDEAFSSRRDAFVRASQAALAAAGAGAGVTSLTLDVAGRCLSGIDKFLNYGGGGGGEEIGVVDAVVSHPAARHVEELRVGVAAPMYRTDGAKQRPWQEARRWRSDDIYTYTLSFASLPSATLRVLDITGCNFSDSKLALPDAGVAFPRLDTLRLRLCAVRLAHLQRLIDAAPGLATVHLESVYFEFNVYLDYYGVYGGLVAVESRLLLRCPAATELAMEFCGSSSYINSHLDGGIGIDAPKLRSFRYTGHPRRFYLESPALEMTAVNIHFIGGDHRFADTLWRFLGNFTNVKILKLTVQELGHLAVAGKASRAELLCKFGNLERLELEAVRKPTKTKAPAVAIANLLHCCLALVDLSLKLKLLNYAWSKNYSLYLRSFHAKFQTDYDKSVGLFMHHKSKMTAVSSSLIDEHHDDKFSNIPGLSGKSFACLNSSLRRVKLQQFQLGSASNCFGVRLIRFFAQNAMVLEEMCIDSGSRKLCEHMNLNVERWVGVDSSKIRLKDKNLTESSWEFSRIHPDSAPEFERNATSFKVLPLEKR >ORGLA11G0172700.1 pep chromosome:AGI1.1:11:18770150:18773240:-1 gene:ORGLA11G0172700 transcript:ORGLA11G0172700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCWLGMIGIGTGRPLLLASALANVGGSQPRWKKPGEGYLKINVDGAFRNSTESGGWGFVIRDIAIAVAAGSGNLQNVSEPSQAETCLQAFKFASDAAQLHNEPSPDDNLPSRLRRPPPPPRWMPATDEGTASTVSASSAASTEAGRALDAVARRVRQRPHRLDDERSSAWASAQHTDPARTTAATTIDDDDDNDNDLTTMSSESEDDGDRRISRFRLPPVTARVAPQPGGAKGAMPRHGRPSLRLRCPASVTKLAMANCRWKMKNARRRRDGSEPWTSTRRRYDTSSTGLRPPPLAAILTHNAIAIAGEESLGAAAVAALVNLDRLELDLRHNAPCSRKRSAVAMANLETTHHDLFYYNSDINSISTSPSISSCTGVRSRSFLWMTMMKKKKRFLTLLSXVANRSSACRALXNEXACNSEWRSKTNCFGSQLANFFAENAMVL >ORGLA11G0172600.1 pep chromosome:AGI1.1:11:18767536:18769588:1 gene:ORGLA11G0172600 transcript:ORGLA11G0172600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:I1R1T4] MEKLSMVTSLLCAITVAVLAVAVVGGEAAVVEHTFVVHEMNATHLCNTTKIYVVNGQFPGPTVDVTEGDTVVVHVINKLPFGLTIHWHGVRQMRSCWADGAGFVTECPIPPGNEHTYRFNVTGQVGTLWWHAHVTCLRATINGAFIVRPRDGKYPFPTPAKDVPIIIGEWWELDLIELDRRMMDGNFDDNPLSATINGKLGDLSNCSRMVEESFILDVKHGESYLLRVINTALFSEYYFRVAGHTFTVVGADGNYLTPFKTDMVTVAPGEAIDVIMVADAPPAHYHMIALANQPPEPDPQIPVFTSRGLVRYAGATANNNGLPVPMPIMPNQHNTMPSYYFHANLTGLAHPERHRVPMHVDERLFVTLGLGSICRGQNTTCKRRRSPETIVVATMNNVSFAHPKTTALLERYYDGTSKGVYTEDFPIRPPRPFNYTNRDLIPPGPLEEALEPTFKATKLKRFKYNTSVEIIFQSTTLMQSDSNPMHLHGYDVFLLAQGLGNFNAKRDVRKFNYHNPQLRNTVQVPRGGWAAIRFVTDNPGMWYLHCHFEFHIIMGMATAFIVEDGPTPETSLPPPPPEFKRCGNNGLSQP >ORGLA11G0172500.1 pep chromosome:AGI1.1:11:18761966:18762187:-1 gene:ORGLA11G0172500 transcript:ORGLA11G0172500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGKYSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFVFCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA11G0172400.1 pep chromosome:AGI1.1:11:18757104:18757817:1 gene:ORGLA11G0172400 transcript:ORGLA11G0172400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTVGGIGMCDRLLTFLAKNLSMNRQKTITDGPRNDSSNNNGGHEEERGGEEDDDEFTIEIEKAEVLLVDEKDDDDDHKSITATILEEKSTEVATSNGGASVQKEDEEGGRRNDPPVAAEAATVAEAAPVKEEKKVKKTVTIKEESGAAAAAGSASSAVKRLLSKKRQASSSQLGGDHDGGQQTKPPARRPGLRPRMPSILRVPSNINEKSSSFIEERKKSFGGGGAGAGGKPEK >ORGLA11G0172300.1 pep chromosome:AGI1.1:11:18751309:18756436:1 gene:ORGLA11G0172300 transcript:ORGLA11G0172300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGADLINLLLPDEILDEVLRRVAVSGAKRDLDACALVCRRWRRHDRATRRSAKLAASGARADEVLRLVAERFPALVEVSVDERISVEAAAAGPSCAAARSRRRPMYDVSPSGRRRRMSRSSNFGAHMSPFPLDQPGSDNETERTCLTDVGLTSLARGCKGLEKLSLVWCSSITSTGLVRISENCKNLSSLDLQACYIGDPGLIAIGEGCKLLRNLNLRFVEGTTDEGLIGLIKNCGQSLVSVGVATCAWMTDASLHAVGSHCPNLEFLSLESDHIKNEGVVSVAKGCRLLKTLKLQCMGAGDEALDAIGLFCSFLESLSLNNFERFTDRSLSSIAKGCKNLTDLILNDCHLLTDRSLEFVARSCKKLARLKINGCQNMETAALEHIGRWCPGLLELSLIYCPRIRDSAFLEVGRGCSLLRSLYLVDCSRISDDALCYIAQGCKNLTELSIRRGYEIGDKALISFAENCKSLRELTLQFCERVSDAGLTAIAEGCPLRKLNLCGCQLITDNGLTAIARGCPDLVYLDISVLRSIGDMALAEIGEGCSQLKDIALSHCPEVTDVGLGHLVRGCLPLQSCQMVYCRRVSSTGIATIVSGCPKLKKLLVEEWKKSCS >ORGLA11G0172200.1 pep chromosome:AGI1.1:11:18738167:18738559:-1 gene:ORGLA11G0172200 transcript:ORGLA11G0172200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKKKKKTTAGRKVRMTQEEIDSYINYQTIRMPDEIFPIVSKERLACTDLSDKRDLPVPMDQIDDYVAKIFREINQIEDQFMKHRDGILNQYYRKGYAMRKATDDDDDDEQEEEEETSPADAQEEDAGD >ORGLA11G0172100.1 pep chromosome:AGI1.1:11:18731257:18733131:1 gene:ORGLA11G0172100 transcript:ORGLA11G0172100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALARTNTTAQGVEEDIIVPLLARLATIRAVLDAAPAPTPTPDRQDAPSTATERAAAAEEENARAEAGALLEKVRGEMSHLRAVFRRIDDADKRIRYGFDPVEERIDDALQHARPDAGHVREALLAVDADIEAIRSSIREVYRFPCDGDERRGPPPRATAPATGVVMTRRMGEIRRGPQMRHLSLAIGGFEARLRCCVLTLAAFPECAVIKKRLLIHWWLGEGFVRSAAEGKSRFDELIAKGFIVPIPGHLCSTVHRCTVRPWMRDLLTGVAKRSAFLDLDPGNDFTLARRACLNAGRMSSGFSAEARAIYNVDQKYLELDDAWFAGKKELRALQLGQWREFGPLEQIANPMDSHIELSGVEHLAGMESCKNLRYISFRGISRIESLSDSIGKLRDLTVLDLRACHNLEELGQGITRLDRLEYLDLSECHLLVGMPKGIGRLTRLEVLKGFVVANPSRREPCHLNEITKLNKLRKLGIVIGTMAVPTDDEFMKLGEFRALESLKIRWGVLASDNKGKIEASSHQKPIDKMKFALPPNLKKLDLRCFPLTDFAQWVMPKDVKKLYIRGGKLMTLGDEQGWEAEVLRLRFLNDLEYDHDRLKRSFRKLKPENTEIHACPKFIRGQ >ORGLA11G0172000.1 pep chromosome:AGI1.1:11:18725960:18726163:-1 gene:ORGLA11G0172000 transcript:ORGLA11G0172000.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVASQYVNPDDYFYVELSGGHDSVSVEVNREEVDEEASVEQYDVEFAEDSDDDRPFPPLTNNDKLALE >ORGLA11G0171900.1 pep chromosome:AGI1.1:11:18706446:18707429:-1 gene:ORGLA11G0171900 transcript:ORGLA11G0171900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQEKEKLETLMLRWNMDAGNASRIYHEVLETLQPSQCLKTLEIVAYEGHVFPSWITSTEPYLTSLVEISLEVWEQSSRMNLFPRLAELVIIQCPKLRALHMEFPSIEKLILWMNNKMLYSSKEGLRGVEKSLENLSISFCEELHASSGCEGLQALDRLKKLEICGCHELSCLPQGLQHLSSLASLKIDNCNKLEILPEWLENLPFLQIMCLSGCPILHSIPEGLTCSDIIVEDCPNFKEPSGMSSVICSWKLDFSHFGLFKKLISQI >ORGLA11G0171800.1 pep chromosome:AGI1.1:11:18698872:18704220:1 gene:ORGLA11G0171800 transcript:ORGLA11G0171800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Folate-sensitive fragile site protein Fra10Ac1 (InterPro:IPR019129); Has 8455 Blast hits to 5700 proteins in 376 species: Archae - 6; Bacteria - 264; Metazoa - 3820; Fungi - 744; Plants - 645; Viruses - 76; Other Eukaryot /.../900 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G15030) TAIR;Acc:AT4G15030] MASSLGRLKSSIFDKEERKMQYQSHIRGLNAYDRHKKFMKDYVQFYGHDKNVDNRAPIKTDKDTLREGYRFILSEEDDVDSTWEKRLVKRYYDKLFKEYCIADMSQYKKGKIGLRWRTEKEVISGKGQFICGNRICDEKNGLGSYEVNFSYIEAGEQKQALVKLVACQRCAEKLAYKRQKEKEREKEKELSREKEMELKERDKRKREREGSEETEDTSEDESTEKYSRRKKDRKRSSRKSSNNDEGFEEFLEGMFP >ORGLA11G0171700.1 pep chromosome:AGI1.1:11:18687433:18693530:-1 gene:ORGLA11G0171700 transcript:ORGLA11G0171700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G51350) TAIR;Acc:AT1G51350] MPATASGGARPEEAAASASPPSPTASYCMGTRPEELTARLAAAAGGRPVGGGGGGRGGGGGGGEEEGEHERVRTLREIKNQIIGNRTKKLQYLRLGAVPVVVAALAGPGASPAALVQAAAAAGSFACGVDDGVRAVLAAGAVAHLTRLLAHPDEKVVVDASARALRMIYQSKLAPKFDVNNNEKNMEFLLTLLNSENENVTELAANIISHSCDSTTEQLALCAAGVPQRLVNHFGGSMNLRDACLDSLTAIIRNNWEVASRFALLDHGKALRSIVALIHDRSPRTRLLACLCLIALSHASPCHFQDRQIKTKLILVLLELIEEPGHVGDDAPLALTTLIKDSVELQKQALSTNAVQKLSNHLIANSLESRRAVTILLALAELCSKLEESRSQLMSVQASTLILDALKHASVDIRVAACSCIKNISRSSKVLSAGKLSCDTFIAPLVQLLYDSSMSVQVAALGAICNIAVNLTPRKSILLQSGAVSQLVHLSKSMDPTLRLKAVWALRNIMFLLNPKDKDFILKELTLSTLSSLICDSEHFVQEQTLALVHNLVDGYVNSFNYVIGEDGMILNAISRQLNNAPAPGVCIQGMFVLANVAAGGELNKEAVMNILVPRRADRVKQSFIVNFLLSKDKQLRVATLWCVLNLIYPKCEASSVRVVRLQNAGVISQVKGMINDPCLDCKVPLCSFIY >ORGLA11G0171600.1 pep chromosome:AGI1.1:11:18676824:18680204:-1 gene:ORGLA11G0171600 transcript:ORGLA11G0171600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEAVSAAWKEWALQALVLLSLMVQVTLLILAEFRRYIDSGVLRAFIWSAYMLADGTAIYVLGHLSVTSRSPEHELLALWAPFLLLHLGGQDKITAYAIEDNRLWLRHLQTLVVQVAAAAYVIYGSSIVIVGDSRTLLLLSATILMLMVGVAKYGERVWALRCAGSSPTGKYESDIARRRFSQMVPESFIRRLDPAETLLLNAHLLLDFAKDRFKGPLPRLFLCGPMNEGSRLQGEDELYKVAEMQLSLLHDVFYTKSEITHTWCGLCIRVLSSLATTVAFFLFNILLVLGNHHQHKLNGYSRADVIVTYVLFVGAVVLETMSLLRAMFSSWTCALLVKKGSEGSNVCNFLAHIPACLRRLVRAAYWRRRRSWSRSMGQLNLIQLCVHSRASRCSKIARWMGVEDWWNRLAYSGLPIPISACTKQLLLETMKAKQWGQEEFESRGLYRDPAWVAESKMEQRILIWHIATEIYLCWYKDQEKKQAEATSGSGSAAEEEQAAATGGSGSAAEEGQAEAASGSSSTDEVDQAKAVGGSVSAAEGEQGEVANGSSSAAGEEQPEVVDGSGSAADLMETAQALSNHMLFLLASRPHVLPPDASRNDYLVLCYAITRHLRYSTAEDVLHLLQLNADALRTNSSKPKFKLTCTNTNRLGDKMLRGGCSLGAFLIDRQDSPADGTGTLEMICQVWAQMLCCCGEQCSTDSHVKQLSSGGELVTVTALGMFVLTNVVVGGELNKKAVINVLVPHRADRIKPSFVTSNCWGNFTSKRPDKRPLLGLQAMSTSSMVIERSLDNAEDGFVRAATIELRPLKLEEREDGDCNHICGR >ORGLA11G0171500.1 pep chromosome:AGI1.1:11:18675575:18675886:-1 gene:ORGLA11G0171500 transcript:ORGLA11G0171500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVGDHMDEYFAKIIRDINRIESRHMRARDNVLKQYYEKGYVEKDAYQKGYEAAGAEDLPAPHPGRKS >ORGLA11G0171400.1 pep chromosome:AGI1.1:11:18672993:18673430:1 gene:ORGLA11G0171400 transcript:ORGLA11G0171400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRGRRRWCALPNSRSRTAWRSVRTYPTTTTRNPCPSSRRCSARISSIGCRPRFLTAXXEFENTRGERKARWEKLRDDLHEERDAILKQYYTKGYAEYEVYDDEDDDEDEDNKVPARVAPPGRRRRFRNGVAVKKNPSGGNIRKI >ORGLA11G0171300.1 pep chromosome:AGI1.1:11:18671007:18671480:-1 gene:ORGLA11G0171300 transcript:ORGLA11G0171300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLGEKKSRANSGAGAGYLRRRQGASDGEEEKKTKMVRFTQAQINNCIAFKEEEMPDYDNMPSIIEILGDDLANCPQDYIDELKAIDDSREEDKAFWIKMQNEIRGEREWILRQYFTKGYAEYEVDIDDDDDDDEDNKVPARVAAPPGRRRFRNGVAM >ORGLA11G0171200.1 pep chromosome:AGI1.1:11:18655076:18658132:1 gene:ORGLA11G0171200 transcript:ORGLA11G0171200.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLIVLLLPSRFSPLPISAITGTGTGELLDMVCSELRNFEGLDGVEEDENYIPAIAIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFTTEDGQKYKLIDTAGIRRRAAVASAGSTTETLSVKRAFRAIRRSDVVALVVEAMACITEQDYKIAERIEKEGKACVIVVNKWDTIPNKNHQSTTYYEQDVREKLRILDWAPIVYCSATHGSSVDKIISAAALVEKERSRRLGTSILNQVVREAIAFKPPPRTRGGKRGRVYYTTQAAIRPPTFVFFVNDAKLFPETYRRYMEKKLRSDAGFPGTPIRLLWRSRKRPDKKGKSADNSLQSSVIPSRMALAT >ORGLA11G0171100.1 pep chromosome:AGI1.1:11:18643247:18648893:-1 gene:ORGLA11G0171100 transcript:ORGLA11G0171100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVLVVLPLGLLFLLSGLIINAIQAVLFLSIRPFSKSLYRRINRFLAELLWLQLVWLVDWWAGVKIQLHADDETYKAMGNEHALVISNHRSDIDWLIGWILAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLQRLKDFPRPFWLALFVEGTRFTPAKLLAAQEYAVSQGLPAPRNVLIPRTKGFVSAVTIMRDFVPAIYDTTVIIPKDSPQPTMLRILKGQSSVVHVRMKRHAMSEMPKSEDDVSKWCKDIFVAKDALLDKHLATCTFDEEIRPIGRPVKSLLVTLFWSCLLLYGAVKLFLWTQLLSTWKGVGFTGLGLALVTAVMHVFIMFSQSERSSSAKAARNRVKKD >ORGLA11G0171000.1 pep chromosome:AGI1.1:11:18636408:18637983:1 gene:ORGLA11G0171000 transcript:ORGLA11G0171000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAGKLFIGGISWDTNEDRLREYFDKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAERVIMEKHMIDGRMVEAKKAVPRDDQHALSKSGGSAHGSPGPSRTKKIFVGGLASTVTEADFRKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPVGGFNYAVNRANNFLNGYTQGYNPSPVGGYGMRMDARFGLLSGGRSSYPSFGGGYGVGMNFDPGMNPAIGGSSSFNNSLQYGRQLNPYYSGNSGRYNSNVSYGGVNDSTGSVFNSLARNLWGNSGLSYSSNSASSNSFMSSANGGLGGIGNNNVNWGNPPVPAQGANAGSGYGSGNFGYGSSETNFGLGTNAYGRNAGSGVVNTFNQSTNGYGRNFGDSSGGGGGGSIYGDTTWRSGSSELDGTSPFGYGLGNAASDVTAKNSAGYMGH >ORGLA11G0170900.1 pep chromosome:AGI1.1:11:18623925:18626153:-1 gene:ORGLA11G0170900 transcript:ORGLA11G0170900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRRKFRRKKPSQNVDSIGLQTPRSSALENQEPLLNSEYSGHNSAIQNIPEDLTVWDVVKGDIAIVASKMNAMTERLLEKLKIALRLLMEDIDDQSHVEQLVCLQKHVEIRPDLTSATLLTAHHVQLEMFVALKMGIPAYLHENVSVPRSRLAEIFAYERCKNISCQSVLPAEECDCDACCCRRGFCNLCMCVVCNGFDFDVNTCRWIVCDGCSHWTHAGCAIREEQIKTVITVEDGVAHYVTVFFCKACHGTSELLGWVRNVFQHCAKIWGTDALARELEYVQEVFSVSEDSKGKKLFEKCTDLIERLKVVQAESMGPEVLLQALQEIELDDAPEITENEEQMQQNTYPHETCNNQLSETAMTNKKARLSVEAITDDGEVEKAKEAEQQLQPMAAEQLRQKKQEQEEVPPPPASSGGGVAAPTTQNAMLCKILDALSGMPSPPSSESAAVVSKVHELLREALSMPRSSGCATAAAVDDDDDVAQNGRDRDDDDDDDRRQMMMLKEIYDMVIGMTKTTTK >ORGLA11G0170800.1 pep chromosome:AGI1.1:11:18620554:18623045:-1 gene:ORGLA11G0170800 transcript:ORGLA11G0170800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLADRKTAKFQKNITKRGSVPETTVKKGNDYPVGPLVLGFFIFVVIGSSLFQIIRTATSGGMA >ORGLA11G0170700.1 pep chromosome:AGI1.1:11:18615252:18616773:-1 gene:ORGLA11G0170700 transcript:ORGLA11G0170700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDDAAAPLLAAKHGGEPRRNTFAFACATLASMTTILMGYNLALMSGAELFIREDLGLADEQVEVLSGSMNVFMLASILAAGTVADAAGRRGTLVLANALLMAGALAMSLGGSYAALMAARFVTSVGVGFAVVVAPVYAVEISPASSRGVLSSLPEIFINAGILLSYVSNYALAGLPLRLGWRVMFAAGVVPPVFLAAGVLAMPESPRWLAMRGRDAEARAVLARTSDTPAEADLRLEEITRAVAAQAGVAGGVWRQLLVRPTPTVRRIMTNVMSLHFFQQASGIDVIVLYSPLVFKQAGMASNTSVLAATVAVGVVKTCSILVATLLSDRVGRRPLLLWSAAGMAVALASLALTLCVGAGAPSAAACVASAVAYVAAFSAGLGPVAPSYGSEIMPLRLRAQGAGVGVAVNRVTCGVLSMTFISVAGGITMAGCFFVYAGAAVAAWVFVYVRLPETRGRSLEDMDVLFTK >ORGLA11G0170600.1 pep chromosome:AGI1.1:11:18609026:18610799:1 gene:ORGLA11G0170600 transcript:ORGLA11G0170600.1 gene_biotype:protein_coding transcript_biotype:protein_coding KCVLDALSVVFAKVGAVEVLAGAGLACRSWLDAARVPDLWRAVDMLRSAVRCLHLGQDMDLMCAMAKVAVDRSGGRLEVFKGEDFVSDELLEYIGDRSPSLKVISVWCSDETRMSIEGFAELTRKCPLLEDIVLSGGGHRRPPLPLLALAVAELRHLRRLTLQGIGVSNDELTAIVYGCPRLELLDVCSCWDLCVDDDAQLLAKCARIRTLKLPPSEEDDYYYYYTRK >ORGLA11G0170500.1 pep chromosome:AGI1.1:11:18603038:18604648:-1 gene:ORGLA11G0170500 transcript:ORGLA11G0170500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAGDATATAAAPLLASPAKPGDEPRRNMYAFGCATLASMTTILMGYNLALMSGAQLFVREDVGLSDAQIEVLAGSMNVFMLVSILAAGWAADVLGRRGTLVLANAFLMAGALAMSLGATYAALMAARFVTSIGVGFSLVVAPVYNAEISPASARGVLSSLLDMFVNVGILLSYVSNYALAGLPVHVGWRVMYGIGVLPPVFLAAGVLAMPESPRWLAMRGRHADARAVLVRTSDSVEEAELRLEEIKQAVEAPQESAGVGVWQELLLRPSAMVRRIVTCVVGLHFFQQASGIDAIVLYSPLVFKKAGMASNTSVLGATVAVGVVKTCFILVATLLSDRLGRRPLLLASTGGVAVTLTSLALTLRVASPSTASAAACVASVMAFVAAFSVGFGPMTATYTAEIMPLRLRAQGASLGMAVNRLTCGVVSMTFISLAGGITMAGCFFLYAGVAAVACVFVYVRLPETRGRSLEDMDVLFAK >ORGLA11G0170400.1 pep chromosome:AGI1.1:11:18594866:18596493:1 gene:ORGLA11G0170400 transcript:ORGLA11G0170400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDAVPLLTPSGHNDDEPRRGRNMYAFGCATLASMTTILMGYNLALMSGAQLFVREDMALSDAEIEVLTGSMNVFMLVSILAAGWAADVLGRRGTIVLANAFLMAGALAMSLGATYAALMAARFVTSVGVGFARVVAPVYNAEISPASTRGVLTSLLDMFVNVGILLSYVSNYAFAGLPVHLGWRVMFAIGAVPPVFLAAAVLAMPESPRWLAMRGRHADARAVLVRTSDSVEEADLRLEDINHAVEAPHDAGGGVWRELLLRPSAMVRRILATVIGLQFFQQASGIDAIVLYSPLVFKKAGMASNTSVLGATVAIGVVKTCFILVATLLSDRLGRRPLLLASTGGMAVTLTSLALTLRVASPSTASAAACVASVMAFVAAFSVGLGPTTATYTAEVMPLRLRAQGTGLGVAVNRLACGAVTMTFISLADGITMAGCFFLYAGVAAAACVFVYVWLPETRGRSLENMDIVFSK >ORGLA11G0170300.1 pep chromosome:AGI1.1:11:18586031:18586888:-1 gene:ORGLA11G0170300 transcript:ORGLA11G0170300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVGAVPPVLLAAGVLAMPESPRWLAMRGRHADARAVLARTSDSVAEAELRLEEIKHAAAAPPQEDDGGAWRELLLRPTATVRRILTCVIGLQFFQQASGVNVVVLYSPVVFKKAGMASNTSVLAATVAVGVAKTCSILVATLFSDRLGRRPLLLASTGGMAVTLTSLALTLCVASPSAASAVASVVAFVAAFSAGLGPMTAAYTAEVLPLRLRAQGASLGIAVNRLTCSVMSMTFISVADGITMAGCFFLYAGVAAAACVFVYARLPETRGRSLEDMDALFHK >ORGLA11G0170200.1 pep chromosome:AGI1.1:11:18580472:18585080:-1 gene:ORGLA11G0170200 transcript:ORGLA11G0170200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHEEQPYEGNGNGGDPPSAAAAANAEYPAPEGSPPAAAAAAAKPTGFSDGATDGGRSQHETQPHDGRSSKSRERDRERDKDKERDRDRGRDRRDRDRGDKDRDRDRQREHRDRSERREHRDRERSDDRDRRRGHDSERRRDRDRDGHRRHRSRSRSPSKGRDCRSRSRSRSRSKSKRVSGFDQGPQAAIPALAAGAAPGQVPVVAPAISGMLPNMFNLTQTPFTPLVIQPQAMTQQATRHARRVYVGGLPPTANEHTVAVYFNQVMAAVGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESMLLHVQQQAQMQKLMFQVGGGTLPTKVVCLTQVVSPDELRDDEEYEDIVQDMREEGCRYGNLVKVVIPRPDPSGAPVAGVGRVFLEFADVESSTKAKNGMHGRKFANNQVVAVFYPEDKFAEGQYDG >ORGLA11G0170100.1 pep chromosome:AGI1.1:11:18540519:18541256:1 gene:ORGLA11G0170100 transcript:ORGLA11G0170100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQEQDKQLVVHLAPTEADXLARMCSTGEPLWVRQRGAEVMAVEEHARMFRCEILLTSMNYXGTAIKYLIIAHTNKPSRRSGNGKDLVDTIESQNHEATDHQKDAKD >ORGLA11G0170000.1 pep chromosome:AGI1.1:11:18522049:18522255:1 gene:ORGLA11G0170000 transcript:ORGLA11G0170000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLRRTASRRRAAEPLRRPHCLRGPTESPEATDPPEAKDFKDIKPLWANQPSANIGTPEAIPDSGVG >ORGLA11G0169900.1 pep chromosome:AGI1.1:11:18478845:18479186:1 gene:ORGLA11G0169900 transcript:ORGLA11G0169900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSLRRRRKGKNSMVLLGRSALRRCLWCFYPILCMGLLFVESVFGLSAPKTCLSYLLCNNFCKNLGICTESCFVYQSVRDRNPGRSQVVSGYHTQTGYPHINLAQTFPRCS >ORGLA11G0169800.1 pep chromosome:AGI1.1:11:18466246:18466716:-1 gene:ORGLA11G0169800 transcript:ORGLA11G0169800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:I1Q659] MSRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDAAKGGGGAIRKKEATHRMAEANRALAHFR >ORGLA11G0169700.1 pep chromosome:AGI1.1:11:18443993:18445745:1 gene:ORGLA11G0169700 transcript:ORGLA11G0169700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLVHLPQQLLVLLLFIAPFFFFFLIRSMRRRDGGSVRLPPSPWALPVIGHLHHLMGALPPHHAMRDIALRHGPLVRLRLGGLQVILASSVDAAREVMRTHDLAFATRPSTRVMQLVFPEGSQGIVFTPYGDSWRNLRKICTVELLSAKRVQSFRSIREEEVGRLLRAVAAASPARRAVNLSELISAYSADSTMRALIGSRFKDRDKFLMLLERGVKLFATPSLPDLYPSSRLAELISRRPRQMRRHRDEVYAFLDIIIKEHQENRSSSDDQEDLDLVDVLLRIQRKGDFPLSTDNIKTTIGDLFNGGSETTATTLKWIMAELVRNPRVMQKAQDEVRRALGKHHKVTEEALKNLSYLHLVIKEGLRLHPPGLPLLLRESRTTSQVLGFDVPQGTMILVNMWAISRDPMYWDQAEEFIPERFEHVNIDYYGTDVKYMPFGVGRRICPGIAFGLVNLELVLASLLYHFDWELPNGTELGNLDMKEEMGAIARRLHDLSLVPVIRHPLPVDM >ORGLA11G0169600.1 pep chromosome:AGI1.1:11:18433159:18433395:-1 gene:ORGLA11G0169600 transcript:ORGLA11G0169600.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGWCRLLHGGRFVTDQHYTPFLIKVYLICTFSILKYNNYYCIKKQYYIYYRFYSNIGSINRRSTHPIPFPYIPNLLLI >ORGLA11G0169500.1 pep chromosome:AGI1.1:11:18415218:18419436:1 gene:ORGLA11G0169500 transcript:ORGLA11G0169500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase [Source:UniProtKB/TrEMBL;Acc:I1R1Q3] MLARDLPIPCSAAGGRRAPAAAADGRLAGGLLRPPWGGVAAGVRLVAAARPMSIVTAAAAGSSWDRKAGRSEAAVPAAAPVDGKDDDDVLPVLNKLMTSTVGKSTNIRWHDCPVNQLDRQKLLNQKGCVVWITGLSGSGKSTLACALSRELHSRGHLTYVLDGDNLRHGLNKDLSFKAEDRAENIRRVGEVAKLFADAGLICITSLISPYKSDRSACRKLLPNSSFIEVFLNVPLEVCEERDPKGLYKLARAGKIKGFTGIDDPYETPSDCEIVIQCKVGDCPSPKSMADQVVSYLEANGFFQN >ORGLA11G0169400.1 pep chromosome:AGI1.1:11:18409273:18410414:1 gene:ORGLA11G0169400 transcript:ORGLA11G0169400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WMMRDLAHQVITGNKRMFPMDEHFEQQQKKPKKKTRTSRSVSSSSTITDYETSSELVNPSCSSGSSIGEDSIAATDGSVVLKQSGNSRGHKQCSKDTQSLYAKRRRERINERLRILQQLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDTWMFAPLAYNGMNMDLGHTLAENQE >ORGLA11G0169300.1 pep chromosome:AGI1.1:11:18399947:18401198:1 gene:ORGLA11G0169300 transcript:ORGLA11G0169300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKYR >ORGLA11G0169200.1 pep chromosome:AGI1.1:11:18384372:18385076:-1 gene:ORGLA11G0169200 transcript:ORGLA11G0169200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREQMRMAILRQEQTFRQQVHELHRLYHVQKQLMKQMQIAKLNQAQAIAANAETKPKFEITFAENSTNHHHHHQFYSFQSSKIMSPPAAAAADQEEEEECDLELTLATGSGGGGDGKGKEVRSSNSDSGTAASSTSTESELAQFKNHHHQQLDCAAAPAARFQGESKKRVVVVVDNEMSLLQPPWLNQCLSLRMA >ORGLA11G0169100.1 pep chromosome:AGI1.1:11:18381114:18383082:1 gene:ORGLA11G0169100 transcript:ORGLA11G0169100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAMHARAAAAAAASIHRQYWRCNPWRPCSSSTPSSAARRRSHGVSPWPLGVPSSSTPPRGRRCRRRGYYTGVALPAPVRGIFVSYIDYDRTHLFAHPSITPACPAIDGVLDFMPEHRYSGDEWSVIDHCNGLLLFSNCSRWLCVCNPATQRWEKLPDGWSGSSYKTCTYLAFDPAMSPSRYEVLVIPNVPDPRWMMALCNHGGRAKDIDDPWRLTEWPPSPWRVEVFSSRTGTREAVPYLGRSPNGLCFGISDRDAQLWIWILRESHGKMEWILKYHDDLKPFAKQLSSYYGYRRKLFCGQPWIIEEANNREHQNTENKPDPKVNFEWDSDNDEFISIDGAVEGAIDDEYCYTFFDMIGFHPYKEVIFLGDILTVFAYHLDTSKLQYLGHTRPEDYCQMYTNGIYGSFVYTPYVSGHFYAVITGAGAHPQHLHQMLRNNAAHAIDQPKEPAD >ORGLA11G0169000.1 pep chromosome:AGI1.1:11:18374665:18377400:-1 gene:ORGLA11G0169000 transcript:ORGLA11G0169000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVPDDAFAEILGCLPPKSLAVARCVCKPWRELVDGRGLLLRRLLPRSVDGVLFNYVGHHRPHLLSRRRPSSSSSSVPASCGGGRVDGDLTASVPAGDRAWWAVVDHRDGLLLCDVYWGSRLFVCNPATRRWATLPQPPPEREPGGACAAGKYLAFDPAVSRHYEVLLIPALPEKAAEDAVDGDEGAAAYPSMEWPPSPYKVEVFSSETGRWVERVFVREEGGEAAATTTVEDMKSWEYTFARPRQGYSVFWKGALFSMSSDKYQIIRTPIIIRNNKFVRPYLGKSKMGVSFGFIDDYQLSVWILKESAGQIKWVLNYQHDLWAAINQIDSFDFGVHQINGPWVLEETIPKYRMIENKETLSDKEWDSDNDDFLDTEVDDFLIDTEVDDEGHNDFAYFRILGFHPYKEVIFLEETLRTFAYHLNSSKIQYLGYSCPKYCYGRYTIHESFVYTPGMIGELNGHYGAGQSSPQ >ORGLA11G0168900.1 pep chromosome:AGI1.1:11:18370283:18373255:1 gene:ORGLA11G0168900 transcript:ORGLA11G0168900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLPDDAVADVLRRLPPRGLAAARCVCKPWRDLVDGRALLRPRLLPRSAHGVLINYIDHGRPHLFSRPSSSSPSSAAAIGGEIIDGNLTFLPNDGDRDWWCVLDHCDGLLLCGIEWGSQLCACNPATRRWATLPAARQGPSRYAAAYLAFDPAASPDYEVLLIPNLPEKPSPPVPNQPRRRRRRRRRQDELAGPFCLHMLFSPLDAADESDLDGDVDVDDDDDDDEVATPAASSVDDDQYKLMEWPPSLYLLEVFSSRSGRWEERAFVREGEKVTTVEDMMPLGYPYRGPRRGYSVYHHGSLYAHCRGAFVTRYSLANGKYQVIETPINMANYKWEKPYLGKSEMGVLFGMIHGGQLSVWILQESAGQMGWILTYQHDLRPFAKEVSSLRYNGNLTTGSWTVEENSTGMHGNRDTLSAEDFEWDSDNDDFLAVEVRNEEYDDDCEHFDILGFHPYKEVVFLDQSFKTIAFHLNSSKIQYLGYSRPKCYYRNYTNGIYESFVYTPCMIGELHGVIGQSSS >ORGLA11G0168800.1 pep chromosome:AGI1.1:11:18366669:18368488:1 gene:ORGLA11G0168800 transcript:ORGLA11G0168800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRRPRAAAAALGARRCHLLRRPPPPAPLLPPLLLILLVGGGGERRTKPLPAPRRRQKLAVARDGPLRRPPPPLGNWGGELRVCNPATRRRATLPPPPQLACRDDVTAIPVGEYLVFEPAASSPSPHYEVFLIPTVPGHPGPPPTPPAHIRLKPMAVAAAPFCLDERLASLRGASYPTVEEMMEDMAEATVDSPPPSPYEWDQQLFCLMCWQVEYQYLSAEWPPPSYKIDAFSSRTGRWEERVFVREGETATTLEDMKPWNYVYAGPWQGCSVFWQGALYVHSGGACVTRFSLSNDKYQMIRAPINILDNKFDKPYLGKSKMGVSFGFIHDWQLSIWILKESAGLMEWVLTYQHDLQAIANQLDSIDSHSDQINGPWIVEEDDTDMPLNTETLSHKDF >ORGLA11G0168700.1 pep chromosome:AGI1.1:11:18339378:18341266:1 gene:ORGLA11G0168700 transcript:ORGLA11G0168700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVSAAVGSISNLAVQETSLLCGVMDEVGFLKAELERLHGFLEDAKHKRRSGDASAAVLVGQIRDAAYDAENVIEASEYMVKRNKLKKVFMGAISRYARLPTDLIALHKIGVEIQRIRRKISEIFDSANRLKIVGLGNPTTDIGHADDEFPQDYDIMYQNFEDDDVVGFDNEYNEIVEKLVEQENELSVVSIVAMGGAGKTTLARKIYNSTRIRNHFDTTAWVTVSQKFKGIDLLKDIMRQIMPNKLESREIDQMQELEVGKKIHEFLLNKRYVVVLDDVWATDTWNQINRVGKVFPDVNNGSRVLLTTRKEDVANHFEMSTYIHPLKLLDDEKSWELFSRKSLPSYKRSSLQDVNEFEELGRKLARKCNGLPLALAVLGGYLSKNLNIQAWSDIFKSWISTKNGQMMRDILARSYNDLRNNYMKSCFLYIAVFPEDYSISTADLVELWTAECFVQPRRKYKPEELAYKYISELAQRSLVQVVDRSTAHGSILRIKIHDILRDWCIEEATQDGFFLCHRQKYRSS >ORGLA11G0168600.1 pep chromosome:AGI1.1:11:18335072:18336189:-1 gene:ORGLA11G0168600 transcript:ORGLA11G0168600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASLPRPGIKMNSTGASFGGCSLCSQGLLLRHHSGSLQICEFTGVASCGQWPVDLAADVVLIAAEVVHGITVRISEVLLDVGLAVDLGHALDVGLLAAEHRVAPCGRARRPGARRPRGNPWSREPQPRGGHPRWRSAWPRPATAAHLPQLSPLLLLSLAAPYSSRCGDQQQQ >ORGLA11G0168500.1 pep chromosome:AGI1.1:11:18327168:18330210:1 gene:ORGLA11G0168500 transcript:ORGLA11G0168500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYGGGGTSKTIGNEEPQFIRKTRDKKQMPQHEKKRYFGEDIIYKMYNNPLQERSRKKLVYIFWDRYYLTGNEXHPDMADYPIRVILSFLRRYRKAPPNSTWTVTASACENRFPGGVSWPSCDNVLSQAAP >ORGLA11G0168400.1 pep chromosome:AGI1.1:11:18325952:18326624:-1 gene:ORGLA11G0168400 transcript:ORGLA11G0168400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYKQLTTSTGIRLLDTKIKKIMDRILTMQEHRTKVASRRAQRDESIWFARCASLLSLALAIVVAPARSHLKPGDNNEEDFVLTVASPLGG >ORGLA11G0168300.1 pep chromosome:AGI1.1:11:18323976:18324657:-1 gene:ORGLA11G0168300 transcript:ORGLA11G0168300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPIMSTTSLSSLFSFRISSLSSTAQTCRKRECAEAKGKEGVVSCCLDCFLGEDNLCLFAYGVPSWFSASSNIGAQHTCSMDDEDPPETVLRRANEMLACNGFGSYDIVKNNCFDFAFYCKTGSNNLNRTVLGVVTAPIIAVAEPIAEAFSCVIS >ORGLA11G0168200.1 pep chromosome:AGI1.1:11:18237888:18241011:1 gene:ORGLA11G0168200 transcript:ORGLA11G0168200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPMSYECYNMMKHDMDCIDKANLSFTGSPFTFSNSANKFTVFGCRMLGYLGPGGQSAVGSNLTIGCATSCGQGDDLVSINGEGCSGIGCCQTAIPKGIKHYKVWFDTHFNTSVIHNWSRCNYGALVEEASFKFSTIYATSSNFSNPFGGEPPFVVDWVVANNTCAEARKHLDSYACASSNSVCIDSSNGPGYFCKCSQGFEGNPYLQGHDGCQDINECEDSNKYPCYGKCINKLGGFDCFCPAGTRGDASVGPCRKEFPLAFGIAIGLGVGFGILLLVLTVAFLVRKRKNDIQKQLRKKYFRKNQGLLLEQLISSDECATDSTKIFTLEELKEATNNFDPARVLGSGGHGMVYKGILSDQRVVAIKKPNIIREEEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFVPNGSLNRIIHADPSMREFTLSWDQCLRIATEAAGALYYLHSAATVSVLHRDVKSSNILLDANYTAKVSDFGASRLIPNDKTHVFTNIQGTFGYLDPEYYHTGHLNEKSDVYSFGVVLLELLLRKQPIFDSESGSKKNLSIYFLSELKGRPVAETAAPEVLEEATEDEINIVASIARACLRLRGEERPTMKQVEMSLQSIRNKGFRSGTVSPEDSDELQTPQSEGHVDYHQAMGINSMANLASPGCYSLQEEFMLSGSLPR >ORGLA11G0168100.1 pep chromosome:AGI1.1:11:18233170:18234048:1 gene:ORGLA11G0168100 transcript:ORGLA11G0168100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSRRTTSFLRSSSSSLHSRRQSYRWRVPCGNVLSHDKTCGYQSSGHLQKNKESATAVKPTGERVDHRSRHHAISTKITISRSSPNNVAVKPQVSSYCLP >ORGLA11G0168000.1 pep chromosome:AGI1.1:11:18231938:18233136:1 gene:ORGLA11G0168000 transcript:ORGLA11G0168000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVNYSGLQRKETWVIWFLFFFWLPFFCVYICNFVMNLFPLLPEAFKGNKQIGVIGWGSQGPAQAQNLRDSIAQVKSDIVVKMQQSPQAPHSKRDSW >ORGLA11G0167900.1 pep chromosome:AGI1.1:11:18227706:18228041:-1 gene:ORGLA11G0167900 transcript:ORGLA11G0167900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAARHSSSQAKERREWKRRGAFASSLSPRPPRSPHSATTATRGGRRRWRRSETATATSMAAEVEAAALGEDGGEGGGGGGGGGARRCGRRWRRREAEVVARGESRGGGGF >ORGLA11G0167800.1 pep chromosome:AGI1.1:11:18206369:18206722:1 gene:ORGLA11G0167800 transcript:ORGLA11G0167800.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLKVDSFSLSIIMSSIKANTTTSPPSASTIALTATTGCHLLKVEGYSSYARLFRGKRFMSPKFSAAGHNWRIAFYPSDGNLVSFYLHLVDGRFSKDVTAEVQFSMLHHRRGDADHEMP >ORGLA11G0167700.1 pep chromosome:AGI1.1:11:18201685:18202851:-1 gene:ORGLA11G0167700 transcript:ORGLA11G0167700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAADADSPPLLTATAGAAADYCGSADSPAACTIVGKVERVCYNVRVDGYSKTKETTKNGSYIASTEFVAGGQPWRIRYYPNGYSQSTDGHVSVFVDRVGGVDVGLHADVQIDLVARRRHGDDAAPPETEVAGRFRCTFWPDSSFGFQRFISTEKLDKSPWCVRDDGFTIRCDIIVEGPPFVVAVKPSALGWHLGDLLGDADTADVSVVVGGDGGDGEETTFAAHRYVLAARSLVFKAQLFGPMKADNGAAIIRVDDVRADVFRAFLHFVYTDELPPGELDVAGDGDAENAAIMAQHLLVAADKYDLPRLRLVCERKLSESLGAGTAATTLALAEQHGCHDLKEVVLRFIRLPSNMEAVKCSDGFKHLLESCPSLHQELKSRHILS >ORGLA11G0167600.1 pep chromosome:AGI1.1:11:18173563:18173805:-1 gene:ORGLA11G0167600 transcript:ORGLA11G0167600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVPSRANTIKLVRRRAGGGSRGCFTLLDLEKKVGGGMGREDVGEEPVQWALPVPFAFFGQLGFHNEVIQGRDVILCAI >ORGLA11G0167500.1 pep chromosome:AGI1.1:11:18153021:18154163:1 gene:ORGLA11G0167500 transcript:ORGLA11G0167500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSAAASTSCAVAAEANGSTSTIVATTKPTGHHILKIDGYSRTKAMVAAGDSIDSSRFHAGDHAWRIRYYPNGTDRSNQNPDAISVMLELQDAAAGRNNGAAAAAAVKAKFVFRLLNKDGEPVPSRTYRSSVHSFPSSDGFKNWGFLRFITHGDLEKSGHLADDGFAVRCDVTVMGGIELRVEPASSLAVPEPDMHRHLGRLLSAGDGADVTFRVAGGEAFAAHRCVLAARSPVFKAELYSRGGFLRPAAAGRPGTRVIDVDDMDAGAFGALLHFVYTDTLPEMASADVPAMARQLIAAADKYKVERLKLVCEDKLSRRVVADDTSMTPTPMSTPTTMTDSTTTGDDPQRRQRRARFLGKFVKFGACFSDRYFLPLI >ORGLA11G0167400.1 pep chromosome:AGI1.1:11:18150166:18151242:1 gene:ORGLA11G0167400 transcript:ORGLA11G0167400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKSGAADVQSSPSSCSTIVVTEASGHHVLKIDGYTRTTMMVATGEHLDSGEFHVGGYAWHLRYYPNGYDQEFSSSISFALVRTAGAGDNVRLHARAKISLLDLAGEPVARYSQPVDKCSTSKASDPWVCKSFIERDELEKSGHVVGDRLAVRCDLTFNVQDRLVRELVAVPPPLLRRHIGELLGDARTSDVRFKVGGETFPAHRCVLAARSPVFRAELLGPMREHAATTIRVDDMDAAVFAALLRFVYTDELPELDGGSVAAMAQHLLVAADRYDMERLKKVCEDKMVRHLDVGTAATSLALAEQHDCPELKKAILRFMASPARLKAVMASDGYEHLVTSFPSIATEILAMFAAH >ORGLA11G0167300.1 pep chromosome:AGI1.1:11:18125071:18125439:1 gene:ORGLA11G0167300 transcript:ORGLA11G0167300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAAGRIVRTASAIVSRPSTTSHVLRVDGYSHLVGVLRNGEHVDSCVFDAGGHSWRLQLYPNGKNKKHRDHIGVFLQLAAAGGHPSDSDGHGSAWLMSPARRRRRGTPEFMGSTMAMTGD >ORGLA11G0167200.1 pep chromosome:AGI1.1:11:18121386:18121949:1 gene:ORGLA11G0167200 transcript:ORGLA11G0167200.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRWRAAGRIVRTASAIVSRPSTTSHVLRVDGYSHLVGVLQPGEHVDSCVFDAGGHSWRLQLYPNGSNDQTHRSHIGVFLQLAAAAGHPSDGDGRVRARPRFSLVDSAGDKPAAAPPSHDAGFHSFGHGDGWGFQSIISREELERSEYLRDDCFAIQCDVDVTTVRKCHDHPVFISQNFSLNRVSDAT >ORGLA11G0167100.1 pep chromosome:AGI1.1:11:18114864:18115166:1 gene:ORGLA11G0167100 transcript:ORGLA11G0167100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLNDFKKAERYICAHVRLHWGPRFIFLLSIFNYIIEFSLSQDIGLHVFLNAHMIPFNEHTPQYKLGDPHYVESKIWKHFFCQIMGEKSSALEFSITKE >ORGLA11G0167000.1 pep chromosome:AGI1.1:11:18112309:18114190:1 gene:ORGLA11G0167000 transcript:ORGLA11G0167000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFQSMYPEDCEIDVRQLLRRWRAEGFIKAIEENFVTVFSDPNSLVSQGKVRRLLLDYRGQENVMPMCSMVTCNVRSVSIFGYREQMLPISDLNVFRVLHIESGNKMMEICGIGKLLQLRYLRIDLVTHLTEEIGELLFLETLDLPRGIGTEELPKGIVKLRRLKFLRVHDARLPDGVGNMQALEELAVSTKEDNLSSINSLEQLGTLTKLRILHLSLSITDENNHKSKHLDTLTSSLNKLLSYNLRYLYFNSYWQLGSAYINLDFSSSPSYLLQELHIRPLLLHGIPERPASLANLTCLDITIQQVTQETLEILGDLPALLSLLLVSAFDNTERFSIYRNKFRCLESLNLNCSASDMMFHAGAMPTLVDIDFTIKAHSTEYACANRNLGIHHLSTLKALNVYIDCQGATAKEVEAVVAAIKNEASLLPNCYIQHIRLWREEGLEDIDISWEEGWEEGGHSIEQQEEITDPHTEHKITPAT >ORGLA11G0166900.1 pep chromosome:AGI1.1:11:18097086:18097643:1 gene:ORGLA11G0166900 transcript:ORGLA11G0166900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAAAAGRIVRTASAIVSRPSTTSHVLRVDGYSHLVGVLQPGEHVDSCVFDAGGHSWRLQLYPNGSNDQTNRSHIGVFLQLAAAGGHPSDGDGRVRARPRFSLVDVAGKPAPSRDAGVHGFYHGHYWGFKDFIAREELERSEYLRDDCFAIQCDVDVTTVRKCHDHPVFISQNISENHVSDTT >ORGLA11G0166800.1 pep chromosome:AGI1.1:11:18066422:18066934:-1 gene:ORGLA11G0166800 transcript:ORGLA11G0166800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVFLPAAXVLCVCSPXALRLDGLAVSTLDAGGVLNGGRGADFSNGRFDISRCHFRLGDELLLGGAELVVLDAVIRGRQLHASLLHAGGRRGEKFTSAEMEMEREAASDETIQVEKTKSAMRKYRNTLPPPHPNTVPPQGRVGTLRYPAMTAVGSYPLNGDDLVPLWPAVR >ORGLA11G0166700.1 pep chromosome:AGI1.1:11:18063815:18064177:1 gene:ORGLA11G0166700 transcript:ORGLA11G0166700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAFRALLHFIYTDTLPDDADTDDDAMARRLLAAADAYGMERLRLICEDKLRRRIAMGNVAVTLALAEQHHCRALKEACVEFLSSPGNLKAAMATDGFEHLKATCPSVLTELVMKQLV >ORGLA11G0166600.1 pep chromosome:AGI1.1:11:18061113:18062774:1 gene:ORGLA11G0166600 transcript:ORGLA11G0166600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSILSLSYSDLPLHLKTCLLYLSIYPEDYKINMHQLVRRWIAEGFIKDKSGRNLMVEGKCYFNELINRSMIQPVDIGIDGQPKACRVHDMILDLIVSKAVDENFSTSIGDETHRLASQAKIRRLSVDYSGQEVSVSWPSLMLAHVRSLSIFGYSEQMPPISEFKALRVLDLESSVKLQNSDLNNVVDLFQLRYLRIAASRITHLPEQIGELQFLETLDLRRTWIRKLPASIVKLRRLSCFSANGARLPDGVGKMQSLQELSGITVYDECSTNSLLELGNLNSLRTLKLTWYIRESRKDRTHYTDSLASSLGKLVSSSLESLSIINGPFSGYIPFDSWSWSSSPHLLQELYIPKCCFQRIPDWMASMNNLYRLCVRSKQVTKQILQILGDLPALLDLELRSESDDPKKILIISKYIFRCLKIFRLYGSFVGLIFEDGSMQKVREISVVVRAHKAKSAFADHPDLGIRNLTSLMDLNVWINCEGARVQEVTVLEAAIADATALLPNHPTPHFFRENEENMVKDDLPNHPAPHFFRENEEKMVKDEAHMQEKMLE >ORGLA11G0166500.1 pep chromosome:AGI1.1:11:18032097:18034773:-1 gene:ORGLA11G0166500 transcript:ORGLA11G0166500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoserine phosphatase [Source:Projected from Arabidopsis thaliana (AT1G18640) TAIR;Acc:AT1G18640] MAGVISARAGLSHSLSVTQTVPNRPLQASQLATRCTSPSFLSAKLCKTRPLVVAAAMEVSKEAPSADFANRQPSKGVLETWCNADAVCFDVDSTVCLDEGIDELADFCGAGKAVAEWTAKAMTGTVPFEEALAARLSLIKPSLSQVDDCLVKRPPRISPGIADLIKKLKANNTDVFFVSGGFRQMIKPVASELGIPPENIIANQLLFGTSGEYAGFDPTEPTSRSGGKALAVQQIRQNHGYKTLVMIGDGATDLEARQPGGADLFICYAGVQMREAVAAKADWVVIDFQELISELP >ORGLA11G0166400.1 pep chromosome:AGI1.1:11:18028265:18029126:-1 gene:ORGLA11G0166400 transcript:ORGLA11G0166400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGVSSGGGQSSLGYLFGGGGGETAPAAKAKPVAAAETAPAPAPVKKAAAAAEVEKMKEIPAGIQSTQANNYFRAQGQNCGNFLTDRPSTKVHAAPGGGSSLGYLFGGK >ORGLA11G0166300.1 pep chromosome:AGI1.1:11:18024811:18027199:1 gene:ORGLA11G0166300 transcript:ORGLA11G0166300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCSCLQADYSDHHGHHASPALGGCMCLRCFTQQLINAYTVLFRAGTVHAVSQSIEATPVDSSESSFDTYRSPPRPLPYDDPRFSPPQRDWLVSRHGPSCHSPEESEPLRANDDEEMETPSSTNKSSKTNYDTKMKRSSSTHGEKLPAKEPGNYFTYFSPSAEDEDVCPTCLEDYTSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGKKMEFDETT >ORGLA11G0166200.1 pep chromosome:AGI1.1:11:18018767:18022512:1 gene:ORGLA11G0166200 transcript:ORGLA11G0166200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIIGAFKAPCDVFITFADERSRKQVAIKKDNGKTLMVPAFQSLETIAGEVSVAPVPGKRVEHQGVKIELLGQIELYHERGHFYDFTSLVRELEVAGEIYERKTYPFEFSTVEMPYESYNGTNVRLRYILKVTIGRPYATSVVECRDFCVRNYTPLPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIVGKIYFLLVRIKIKNMELEIRRRESTGSGPGTYIETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFNVKYYLNLVLVDEEDRRYFKQQEITMYRLQETPQSS >ORGLA11G0166100.1 pep chromosome:AGI1.1:11:18014457:18015937:1 gene:ORGLA11G0166100 transcript:ORGLA11G0166100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTQISTLILKVDLACHKCYNKIRKILCNLQDQERITTISYDTKNNIVVIAGTFDPQRLCCRIRCKGGKIIKDIHIVDAAGGGKPAKMPDSPPPSLPPPVNTGKKKWKKDKRKEIPPPPPLAETPPPMNERPPTPPPVQPPPDRETSAMVPAIVEEEKPRDRVAELEPPSPHKEMPLPQPTTMEMPPPPVTCTPVVEKPRPPPCARPFYPVDMATPTMVEIPSWPAAPAPPSCCAPPPCYQGCYEGCRCGGCGRVYGYSVPSARPPPLLPPPCYSGGGGGGYTPYCGGYSGCRLVNEEDPTACVIM >ORGLA11G0166000.1 pep chromosome:AGI1.1:11:17889422:17892195:1 gene:ORGLA11G0166000 transcript:ORGLA11G0166000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIADSTAPIGCQSCFCDEAATPSANEGQMQLSIQAATGRRGRPPFIPHCDGNPPIGYAFGTVQVKLRYDEVTSFSSTFITPGAGTQPNSFSSISEMGAELGGATLLQMQLLELNLGVKALPNSAEIIRKRHMME >ORGLA11G0165900.1 pep chromosome:AGI1.1:11:17874911:17878590:-1 gene:ORGLA11G0165900 transcript:ORGLA11G0165900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAAAQEALLAQPVLILILLFVSISCPCVSSLATSRTHNTSEADRQALLCLRSQFSDPLGALDSWRKESLAFCDWHGVTCSNQGAARVVALRLESLNLTGQIPSCIADLSFLTAIYMPDNQISGHIPPEIGRLTQLRNLSLGMNSITGMIPDTISSCTHLEVIDMWSNNIEGEIPSNLANCSLLQEITLSHNNLNGTIPPGIGSLPNLKYLFLANNKLVGSIPKSLGSRTSLSMVVLAHNSLTGSIPPILANCSSLRYLDLSQNKLGGVIPSALFNSSSLLSLDLSSNNFIRWSIPSAPLISAPIQRVILTNNTIFGGIPAELGNLSSLSSLLVAQNNLQGNIPDSITKIPYLQELDLAYNNLTGTVPPSLYTISTLTYLGLGLDLGANLFESVDWTSLSSKINSTKLVAIYLDNNRIHGILPSSIGNLPGSLQTLYMTNNRIAGTIPSEIGNLNNLTLLHLAENLISGDIPETLSNLVNLFVLGLHRNNLSGEIPQSIGKLEKLGELYLQENNFSGAIPSRIGRCKNLVMLNLSCNTFNGIIPPELLSISSLSKGLDLSYNGFSGPIPSEIGSLINLDSINISNNQLSGEIPHTLGECLHLESLQLEVNFLNGSIPDSFTSLRGINEMDLSQNNLSGEIPKFFETFSSLQLLNLSFNNLEGMVPTYGVFSNSSKVFVQGNRELCTWSSMLQLPLCTSTSSKTNKKSYIIPIVVPLASAATFLMICVATFLYKKRNNLGKQIDQSCKEWKFTYAEIAKATNEFSSDNLVGSGAFGVVYIGRFKIDAEPVAIKVFKLDEIGASNNFLAECEVLRNTRHRNLMHVISLCSSFDPMGKEFKALILEYMANGNLESWLHPKVQKHRQRRPLGLGSIIQIATDIAAALDYLHNWCTPPLVHCDLKPSNVLLDEDMVAHVSDFGLAKFICNHPSGLNSLSSIAGPRGSVGYIAPEYGMGCQISTAGDVYSYGVILLEMLTGKHPTDDMFKDGLNIHKLVDCAYPHNVVEILEASIIPWYTHEGRNHDLDNDVDEMSIMERCITQMLKIGLECSLESPGDRPLIQDVYAEITKIKETFSALDS >ORGLA11G0165800.1 pep chromosome:AGI1.1:11:17867465:17868529:1 gene:ORGLA11G0165800 transcript:ORGLA11G0165800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDKLALAVAHVAFPDGDLFTFPDLEPHGAGAGGEGGTAGYLAACGDRLLLADDEYGVLRLTSPLTGDTVVLPGLVIGGGVSVRDVPVVLADEAAPSGTAPRRWRDSEEMSVLKLVVCPVGGGGGGLVVAAIVGREHFAKVALCTPEGFVWSISARDRWRWYDDMAFHGGRLYALTQAEDLLAFDVVDAGDGEPVVTGVERVVRSSVDALDVEDTRMHYLVTSLDGALLMVRREMADAGSTDGFEVFEADLAASRWVEVGGLGAGGEALFVGRLCSRAVRAPDDGDQIFFLDDTDGLSFRWELQPRPPYQVAAYDMVRRTFSMLMWKKPLEDGNTPVTWLFPDDDDDDDRVTK >ORGLA11G0165700.1 pep chromosome:AGI1.1:11:17852311:17852664:1 gene:ORGLA11G0165700 transcript:ORGLA11G0165700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSFSGMANGGQVDNKLIQTFHKSFVQVQSILDQNRMLINEINQNHESRAPDNLTRNVGLIRELNNNIRRVVGLYADLSASFARTMDASSEGDSSGTLRSSDGAGRTGQKRVRPG >ORGLA11G0165600.1 pep chromosome:AGI1.1:11:17846542:17847621:-1 gene:ORGLA11G0165600 transcript:ORGLA11G0165600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:stress enhanced protein 1 [Source:Projected from Arabidopsis thaliana (AT4G34190) TAIR;Acc:AT4G34190] MAISSVFLRPSLFSSPPAAAAASSPRRHAAVLHVTSSKRRPLFSRAATSLTVRCEQTAKPGGGAGAGAADVWLSRLAMVSFSTAVVVEVSTGEGLVANLGVATPAPTLALVVTSLAAGLAVYFIFQAGSRN >ORGLA11G0165500.1 pep chromosome:AGI1.1:11:17838938:17843320:-1 gene:ORGLA11G0165500 transcript:ORGLA11G0165500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WYGQIVDEKWSIKSLAVATMLVFGLVXKLNTEESDYCINVSIRLEXWITFFSGYKTCWLPLLAKHTQAAVVDGPLVVPLDCEWIWHCHRLNPVQYLKDCKRLYGRILDNSNVESSIRAESKHQSEKVWAEQYPKEPFELENTSSSENSIYANAGAAEDISYDLVAAVKRQSSFFYQVDTPTMHDQRFLEEALARYKGFLYLIKTNQENKMKLFRVPTYDVDVMWHSHQLHPATYCHDMLKLIGRVLEHDDTDDDRSEGKKLDTGFSGTTEQFENAFGARYWKAGAMYRGNLPSPVTSNPQMFSGEVNGEFSVGKAESQITILETTVIELFLQIVDIKNLPPAIPKENVYIWFTKNQPDMFISDGGRLDISTKTGKSIGASIQCEPTGELILTVLVDRTSSSKKPKKIGKVSISLQEFTWSDSKLSFERWFELKPHDGHASSTPVSVRVAASSTVPVRAQQVLSMVRTEPFSLKSILSPNSVKDQKMSCWTRFVYDCNTELIRLQIRDRKAKNGMVVARELVGVTKSSKKPFKLAEFVDNKWSLSSSNLCITNDMKPSKDGSILELKCDNKMIKLYQGKRLEFQRKCCNNHAEEDASAITAVKFSAEHPYGKAVALLDTKSELIMVKEDWFLLPWIVLSFLSQDINVKDGEKLILIGGAMAQKDAISEPDTAAMATLAETVAAPANCGTCGTACGSNMAGDKVVAAARCKAARCKAVAAGGGGQTESAGCGSGCGGGCGGGCGGGVAKVVEATKAGGGGVGGGHGKSGGCGSGCGGGCGGGGCGAMVVESSKDDVHAKSAGCGSGCGGGCGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGHVKSGGCGSGCGGGCGGGCGGGVAMESSTVGHAKSGGCGSGCGGGCGGMAAKSGGCGGGCGGGGCGGGCGAMLNAST >ORGLA11G0165400.1 pep chromosome:AGI1.1:11:17832060:17834975:-1 gene:ORGLA11G0165400 transcript:ORGLA11G0165400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGTLFLAVGAWHEWAAAARFAADPRGFRLRAWNPVDVGGGGAPAWLPAHLELYVIAGGAFLDMCVEVLYSTHLHIFADGGINPAHLNDLEHGGMLLMFFLFGILALLSQKTRVCRYLPLPEGALCLVASTAFMAELLLFYFHSTTHQGLEGYYHYLLVVVVALCVATTVLGALLPASFPVDIASGAAIALQGLWFYQTAFTLYGPSLPAGCRRDADGHIDCHTHAAQERAEQLANFQLFGLVFLVCAYALGCFAVAAARHGHPDLATMHAKHVAAMEAQLAGAGAGERDRFVGSALPLEDTAI >ORGLA11G0165300.1 pep chromosome:AGI1.1:11:17824624:17829854:1 gene:ORGLA11G0165300 transcript:ORGLA11G0165300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGEMPALESQFNQCAITAEEEAAALPDSTEPPCTNVASQDEESGSAAGESESESDSDDLDEVIADILEGGDDGGSADYYTGPLLPEGTMLLTKTLVDKILALRRRRFPMPPATGGERLWWVSPEFREELIAAELAAAAVFDASQDKFVECQAMIAEKRHPEHGFFGRYNESDDDDDDDLLCDCVDANECKCGGDDWANEFIDEEDDDCSEDVDEKEEEKDEKIADQMCRLRWRQATMANNSFRVITSIMEEEGKMDFWVREEKRPCTRTRAAIARCNNRADGETIGAEDNQRGDKGWSLWSMVATVGSSNVEDPCRMRRYGKGDEASGSVGDEAR >ORGLA11G0165200.1 pep chromosome:AGI1.1:11:17813679:17816383:1 gene:ORGLA11G0165200 transcript:ORGLA11G0165200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLLAFRLSTLFLLLLLAAGAIAVDPDGAAAPDTAAAPDTEAAALLRLKASLIDPTNALEAWSPSSPSPPCDETHRWPRVQCYNGVLIGLRLARLNLSGDFDFAALSRLPGLHSINLIRNNFSGPLPASLAAVRSLRALYLSRNAFSGPVPGDVFAAMSWLKKLYLDRNDFSGELPAGAIAGAPRLQELHLDHNRIEGRVPSKLPATLRLFNVSHNRLTGVLPEAVAARFNESAFAGNPGLCGAPGSGAGACAAAAPSPAHSAMPPMSAADYFAVQEETSVFVVMGIIMLVVLLVAGAMVLMLRQDEGTSTASSGYEHPAIGAPSGNLSVPHAAGAAASAQLVTMEQGGSGAGGGGGVGGARKQVAEFVLMSNAAGEFGLPELMKASAEVLGNGTLGSAYKAAMRNGVTVAVKRMRDMNRVGRAEFEEHIRMLGELRHPNVLSPVGYHYRKEEKLIVSEFMPRGSLLYVLHGDQSPDRVVLDWPARMRIAVGVVRGLSYLHEKLGIPAMRLVSMTGADFDAPPPPPPHGNLKSGNILLDAHLEPRIVDYGFFPLVNTSQAPHAMFAFRSPEAASAAGAGAGAGAQRAALSARSDVYCLGIVLLELVTGKFPSQYLLTARGGTDVVQWAASAVAGGTEQEVVDPVVAAGAGPAAVRLLRVGVRCTIPEPESRPSMADVARMVEQVAGGGGGGAS >ORGLA11G0165100.1 pep chromosome:AGI1.1:11:17809380:17812807:1 gene:ORGLA11G0165100 transcript:ORGLA11G0165100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSTVFSAVAVSIGYTLLGWDFTTVLEANIHMKKEFGLNNGPSIDGIILAVSVFGSIAITVFSGSLLDWLGRRAALIYSSLLLISGGLLMVWSPNIYILLLARLIVGSGSGLVFTCVPIYISETSPPNMRGSLGTMPQFMFFVGIVFSYCLIFWMTLIPSPNWRIMIGAIFAPSLVYFALLVFYLPESPRWLVSDGKISEARISLQWLRGKDDVSDRSAGEIALIADGMNMITETAVGGHAVGAVRSQSFFGTSTNQMSRHSTFYWHLSDPLVDLLGSIHESMSELGAGRNSYFPVFNSFNIVEQERTSEQRGNDSLQQSREAYSAEEGNNGDNLQASLLSQVASAETNDINTSFTSEGSSSYLRRHGTSTSGLAQDLISSLHDHDIEEDDEEIHIAALSSQPALGSGLHPFRQQIVRLSETADIKPKWRVLLQPGVRHALCYGMLIQALQQSAGISGLLRYTPQILEQVGVISLFSDIGLDSHSASILISVLNASLMLPCITVAMILMDVCGRRVLLLVSIPFLTLSVGAISLSNIVKMGSLPHEILFQLSLTICFCSYVIGLGPIPNILCSEMFPTRARATCASFCSLAFWFGRLLSIYCFPVMLSTIGLSGACAIYAFVCCLVLVFVYLRVPETKGLPLELIAEIFKFSRQECL >ORGLA11G0165000.1 pep chromosome:AGI1.1:11:17801752:17802081:1 gene:ORGLA11G0165000 transcript:ORGLA11G0165000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRKSPPSTSPVYAAAAVLLLMYLMAMGVGVVEAAVLPPSRCNPTLLTPCAGPTLFGGPVPPACCAQLRAQAACLCAYARSPNYGSYIRSPNAKRLFTVCGLPIPRCS >ORGLA11G0164900.1 pep chromosome:AGI1.1:11:17796556:17796831:1 gene:ORGLA11G0164900 transcript:ORGLA11G0164900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGDGGGGWRRRGGGGGGGWRWGGGGGGGGIAKLQPNAADTLRGGGTVQGADTAGMLRVAAGVGGVLVRVRAEPQLRQLHPKPQDQVLPL >ORGLA11G0164800.1 pep chromosome:AGI1.1:11:17788724:17792943:-1 gene:ORGLA11G0164800 transcript:ORGLA11G0164800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQQRMKQAAAAAQQQQMMQQALLMQQQQAAAVAAAAQQQQAPLFPPHHPHPGLLAAPQIEPIVSGNLPPGFDSSTCRSVYVGNIHLQVTDSLLHEVFQSIGPVEGCKLIRKEKSSFGFVDYYDRRSAAIAIVSLNGRQLFGQPIKVNWAYASTQREDTSGHFNIFVGDLCPEVTDAALFAFFAGFTSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQNAINELNGKWLGNRQVRCNWATKGANAGEEKQNTDSKGMIELTNGSSEGGKDNANEDGPENNPQYTTVYVGNLPHDVNSNDVHRFFHSLGVGSIEEVRVTRDKGFGFVRYSTHEEAALAIQTGNGQLIGGRQIKCSWGSKPTPPGTASAPLPPPAPAPFNPGMSATDLLAYERTLALSKMAANPALMSQHAALKQAAAMGMGAGASQAIYDGGYQSANAVFY >ORGLA11G0164700.1 pep chromosome:AGI1.1:11:17784368:17787174:-1 gene:ORGLA11G0164700 transcript:ORGLA11G0164700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLARRLGGIPWREIAGEAFSRVFLVAQAVCAVHVVNAHVCSFALVMGPSMLPAMNLAGDVVAVDRVSARLGRVASGDAVLLVSPEDPRKAVVKRVVGMEGDAVTFLVDPGNSDASKTVVVPKGHVWVQGDNIYASRDSRQFGPVPYGLITGKIFCRNPGLSLYLYLSEPTFFYPFAPEFIEDGMHILRFL >ORGLA11G0164600.1 pep chromosome:AGI1.1:11:17779571:17782510:1 gene:ORGLA11G0164600 transcript:ORGLA11G0164600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSASADDAPPPTTSVTASTIVAEVVSGLHVLKIDGFSQTIGASDGGSYIKSSRFVVGGHGWRVGYRANGNGDDDGADAGWISIALHLDDPNVDGVINGVKARFKISLLAAAHDGSPPPPRSDQSTATRSFPRFITAKALEESGYLVGDSFSLRCDVAVVKDIRTEDDATTVKKLVGVPPPSDIGAHLGRLLAAGHGADVAIYVGGETFAAHRCVLAARSPVFMAELFGPMAMSRHNNEETIRVHDMEPRVFEAMLHFIYNDSLPEVDDGEVAAMAQHLLVAADRYDMGRLKLICEDTLCSHVDASTAATALTLAEQHHCERLKEACFKFMENPSNLKAVMASDDFLHLTRSCSSLLKKLAKLAA >ORGLA11G0164500.1 pep chromosome:AGI1.1:11:17777543:17778289:1 gene:ORGLA11G0164500 transcript:ORGLA11G0164500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSPSAAASGGGGGGCEAVPSTSTIVAEMATGSHVLTVDGYSGTKGLAVGEHVKSGTFVAGGHSWHIKYFPNGATDETSEWVSSSSASPASTPTPRRRRAPPRPSRRGASSPCSSTAATGGRHCRRRRRSPRAASSGPSPPARAAPISGATRRSCGGRSSRTRRSVSSAMTASASGVTSPSPWGSAPTTPPRSSRHRPTCTATSAASSRRMRASTSRSTSPATSSPRTGASSPRGRRCSWRSSSAR >ORGLA11G0164400.1 pep chromosome:AGI1.1:11:17756046:17756354:1 gene:ORGLA11G0164400 transcript:ORGLA11G0164400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNNIRYQNCLVFNRAIMATCKKRGTISLRITTMVGSCLNCQISLKHHRMFDYVASAQSTIECHLEFSLLSYDHLINLSPWVLPYEFCKIISITHVSIKIKC >ORGLA11G0164300.1 pep chromosome:AGI1.1:11:17743592:17747796:-1 gene:ORGLA11G0164300 transcript:ORGLA11G0164300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEIIPPPEVDLPRETEDSERIIPSPDVEYLPRQTEDTERKLPSPDVDYLSRQNEDILEQKEEHGKTTELERKEKQLKEERHGEEHERPHEELGQGTKLETQCEEHKRLERQCEELERQRRKLERQGEELKRMKTITIVYEQFRQWIEDKASNSSSGVSFIEFNPSEVDDSVPKDQNHCLGRGGYGIVYKAKLRNETVAMMILNESSRQGEREFKQEVAILKRIRHQNLITLRGACSEKFALMYELLPNGTLEDRLINEKQRESFSSEERVRVATSICTALVFLHNAKPNPIAHGDLKPGNILFNDENICKLSDFGISRLLQQTNDTGTPNHITEVPKGSGPYMDPEFKNTGKLTPQSDVFALGIILLQLVTGQSATGLRKHIVDKLEGKKLEKMDTRKQKMILEKLQILDAQLKLDDTSIQDAVKMVSLGLRCSNSERKRRPSLEIEVWPEIESMNKSECLYGSDEVD >ORGLA11G0164200.1 pep chromosome:AGI1.1:11:17737911:17740146:-1 gene:ORGLA11G0164200 transcript:ORGLA11G0164200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGAFIAYFLIKWNQETYVFLRIRVIMGFLIFLYMVMFFLSGIFFFSTKRTILSLLDALSDAILVYVIGAMQAAPFKNQLFPVWALMLVSFRSSINCFSSYGTYFELRNALKLLAVAYLNITHGSKFWHVPFWLFWSLLALKCFYRILARHVASRSLWNGRSSELLQEYMGANGNESSFNPGSCNPDTMEGYKYFVYGESQQSKKNGHGLSVKDLRSQVTLDKIWQCDDSFLPSSINMKGKDLSLAFALYRLLRCRLEGATLHVATVHMNRKLVSKRILSDNAGKELFDILELDVEFLRDSLHTSYPMVFCRGLLSLSFTLLLSLVKVSMASWLYAKIGKVYYPKSELDKARGSLTYSFRYAMHSVISGTELRFPAKILGHREGIIVTGQSVLLQPFSSRMSVWKMISFNFKRNQNKSTVHLKVKRNSSVGKSEKAAVIRALRSMDLEGHPLSRDLPSPRVSDRAESYWLACLEELPRCSRVILVWHIATSLCAINLANDRRINLTSKFQKAYDVANFLSEYCALLANALIKKEGQACLWEILAEVWGHLIVHIAPSSNVEAHAKDLKSDTEFITLIWALFCHCGIEKSELWQEKKGAQSGNDTPGLVNQSSPASGMS >ORGLA11G0164100.1 pep chromosome:AGI1.1:11:17731704:17733872:-1 gene:ORGLA11G0164100 transcript:ORGLA11G0164100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGEFIANFLIKWNQETYILLRIRVIVAFLIWLYALMMLFSGIFLFAMKRSMLSFLDAVTDAILVYVMGVMQAAPFKNQLFPVWALMLVSFRSSINCLSSYGTFFELRNSLKLLAVAYLNITHGSKLWHVPFWLFWSLLALNCCYRILARHVASKSLWNGRSSELLQEYMGANGNESNFNPERCNPETMEGYKYFVYGESQKSRKNGHSLSVKDLRTPITLDKIWQCECDDDMLLSSIKRQGKDLSLSFALSRLLRCRLEGAKLHADTVSMTRKLISRRILAEDPENEQLGIRILELDVEFLRDSLHTSYPMVFCSGFLSLSFTILACLVKFLVVLWLYKDISKVYSLDLDPLSFYKDFNKRGLRLYIDETRITTYSLTTVIILETWEVLTYFVSNWTRLLAMCKFVNCRNRCLKLVLNMLFRFHYILNLVKRFDISCLQQCPTMFLRPFGFCSTMFKWEMTPFIKGRNPNESVKARVIQALRSMDLEGHPLSKHLPSPRLSVRAELYWLASVADVPRCSRVILVWHIATCLCEIKFDNDSFTGCCLKWMSMLQSTEVDDTDDELDKSYAVTYYLSRYCMHLLVSKRKLLPEDILVSKKTLQDTVQCAREMLKGCNSFQSVYDRLMEEPQKALVPDAHGINLSGNILQQGAIMANALIVNEEDETSRWEILAEVWAHLIVHIAPSSRIEAHAENLKSGSEFITVIWALFSHCGIEKSELWQ >ORGLA11G0164000.1 pep chromosome:AGI1.1:11:17716966:17717775:-1 gene:ORGLA11G0164000 transcript:ORGLA11G0164000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEIFRSIQHKNLANLVGACSPRRALVYELLPDTLEDRLTDIKLKKSFTFRGKKSFTWRDRVTTAASICSALDYLHRNNHKPIIHGDLKPRNVHFTADNICKLRNFGISTLLHPTKHVSSAIEEVIQGMPGEKVIQGIFRTLMDTDDCKIQIQTDVSALGIILLQLVTGHSDAKGLRDFVAQKLGDDNEFQRKSILQKREILKGIVDPELKRCQTPVEGAARMLFLGLRFSDPAGKQCPPLASEVLPQIQSMHSSTYSQMVWIHRSFF >ORGLA11G0163900.1 pep chromosome:AGI1.1:11:17710552:17712168:-1 gene:ORGLA11G0163900 transcript:ORGLA11G0163900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGHQNPAAAQPVKREEAKVQKNPHQQAEEKPMDVAVGIVVLVVGAIIAIATFILLGAVMVTLAIVTIIVVCVVTAAQQYLEQANKNKATRSSGRNKFIVFKPSEIDAAVSKRAKWLRGTATYNVYRSDFDGMDIATTVPKGTLPEWILMQEFHQAIEILRNISHPNVVPFLGACIGKRAIVYRFGENSTLESHLKYLTWEIRVKSAASICSGLMFLHSRKPKPIIHGDLKPSNIIFRPGNACMLSDFGMCYLYSKEFGRLITDPCKIQLDVSALGIVLLQLVTGKLDANGLRERVIYYLGDAKGFYKKTSSQQRKILEKIVNLELKTDRTSEDVARMLFLGLRCSDPISKNHPSLATEVMPQIESMKK >ORGLA11G0163800.1 pep chromosome:AGI1.1:11:17704908:17705810:-1 gene:ORGLA11G0163800 transcript:ORGLA11G0163800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRRQDAYYRYPTTSTIVASAPMPTGHHVLRIDGYSLTKAKFAAGECDESCSFVVGGHAWRIRYYPNGTVVSGSGGFVSLMLSLDHQPGAALPAAVVKARFAFSLLDMDGEPVPSRTYASDGVVSFSANSSSTFGAERFIGHGELEASGHLTGDRLAFRCDVTVVKDIAVVKRDGPPTMSTLCPVEHDMFRCLLDTGDDADVAFRAAGGETIAAHRRVLERRAPELAKLCRRRGGASCFMGLVGRHIDVGDMDAAAFKALLHFVYTDTLPETMAPREVPAMAPQLIAAARKYGLDWERF >ORGLA11G0163700.1 pep chromosome:AGI1.1:11:17678247:17678996:-1 gene:ORGLA11G0163700 transcript:ORGLA11G0163700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IALYEIRTMLNDSRGVLNGWNNNQVSPCYFPSISCNQDQKVISITLISSGLSGFLSPSIAKLLYLQQLLLDGNSITGGLPQELGSLSSLTTLKLGGNSLSGSIPDSLGLLSKLQIL >ORGLA11G0163600.1 pep chromosome:AGI1.1:11:17674392:17675577:-1 gene:ORGLA11G0163600 transcript:ORGLA11G0163600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVKRLASHSGQGLAEFKNEVQFIAKLQHRNLVRLLGCCSQGEEKMLVYEYLPNKSLDFFIFDETRRASLNWIKRLAVIEGIAEGLLYLHKHSRLRIIHRDVKASNILLDSEMNPKISDFGLAKMFSSNDAEGNTRRVVGTYGYMAPEYASEGLFSTKSDVFSFGVLILEIITGKRNSGFHQYEDFFNLLGYAWQSWKEGRWLQLVDSSLVTDNCALGTMRCINIALLCVQENAADRPSMSDVVAMLSSESMTLAEPKHPAYFHTRMTKEEVSTIIESCSVNDVTISTPQGR >ORGLA11G0163500.1 pep chromosome:AGI1.1:11:17664252:17664641:1 gene:ORGLA11G0163500 transcript:ORGLA11G0163500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSLPYPPSDGSAAELAGSVLAIFVSPRLLTPPRRARVVDSPATTAAPLHGVCGGIAWGLVLSGDALLYLATSGGGSEEGGVGVTCHRVWAHLRKTDEELVAKDGYANLRRPNGLSTWTRIFGSWE >ORGLA11G0163400.1 pep chromosome:AGI1.1:11:17653218:17653783:-1 gene:ORGLA11G0163400 transcript:ORGLA11G0163400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHEAGFNKIGPWGGNGGIEYVMETVPHHLESITIYSSVVVDSLEFSYSEVNGDNHTSGPWGSASSESSQTIRLGSHDFLREVSGTVGPFNSMPNVITSLKFFTRRGITYGPFGKGGGTPFKVDPLEYSSNIVGFFGRAEQCLETFGIYIRKF >ORGLA11G0163300.1 pep chromosome:AGI1.1:11:17641435:17650551:1 gene:ORGLA11G0163300 transcript:ORGLA11G0163300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKSEGPRDLSFELLEKITGNFSEKHKLGSGGYGEVYKGVMPTGEEIAVKKLYFIPGLDDVQFKNEFNNLMKVHHQNVVRLVGYCYEIKNKHIERNGEFVFAKVEERALCLEALTNIFLLCADESCGVDWDTRYKIIKGICEGLNYLHNGSSNPIYHLDLKPSNILLDKSMIPKIADLGLSRFFATTKTHITSQIKGTLGYMPPEYIERRQITKKFDVFSLGVIIIDIIAGPSGYSKCAEMTSQQFIELVQGNWKKRLHAATSRYASQEADSLQVKTCLEIALRCIDKDRAKRPTISDIVDKMNEIDTLKMSLLSKRPEPREFLGFDPLELRFPFETNKAISCVLQLTNKSDDFVEFYANTNKKKYHIQRDQGVMAPWSRCYVIVTLQPQGSAPPNMQCDDMFVVRSTRVRESDIGSLDINVTELHLEKQMGEVKGQFSFQKFSPSPITSNL >ORGLA11G0163200.1 pep chromosome:AGI1.1:11:17618942:17619358:1 gene:ORGLA11G0163200 transcript:ORGLA11G0163200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTVTPALDMSSIQSIRPVASMSSQLQDLQASRSASQAMPRRPSTSELDLATASSSARWSDGGEQSRCCGPTRTTNDGRTISASSRCGSGGADLGGGSWWVGWAARLAGRERNTTTPFFSHSRFESLLFGGATDERS >ORGLA11G0163100.1 pep chromosome:AGI1.1:11:17616869:17618144:1 gene:ORGLA11G0163100 transcript:ORGLA11G0163100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLSPLALSFSWPSGAAGAGWHGGAARRGEGRAAPGKEGEEGGGGGGGGGPRGRLDLGPSIPHCNKQTTGLVQRVVHELFQSLQSSESIAMWSVKLSMVNVPASCSEFEPTSLNLYSH >ORGLA11G0163000.1 pep chromosome:AGI1.1:11:17608495:17615410:-1 gene:ORGLA11G0163000 transcript:ORGLA11G0163000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARDLPLRYLEEITDGFSDSRKVGSGGYGEVYKGVERAGTEIAVKKLYHMSGIDDTQFRNEFNNLMKIQHPNIVRLIGYCYQVHNTHIEHKGEFVFSSTIYRVLCFEYLQNGSLDKHLCEESHGLDWCTRYKIIKGTCEGLYYLHERLKESIYHLDLKPANILLDKNMDPKIADFGLSRLFGGTQTHTTRNCIGTEYYMPPEYIQRRQISNKYDIFSLGVIILQIIAGPLGYSKCDDMPPQQFIELVCKKWRDRMEATSNYASTEEDCQRLKRCIEIALRCVEVERKNRPSMRDIIRELKQIDETSSSMSSWNKVRIAKIGQWGGIGGNYRDIEVAPCRLGSLMIGCGEVIYSIAFSYYDYNGQQHKVGPWGGDGPDKGLNHTIELGPSEFVTKVYGTIGPFGKFSSVITSIHFTTNADRYGPFGQGTGTRFEAPMHSDGSIVGFFGRTSSYVDAIGFYVVPV >ORGLA11G0162900.1 pep chromosome:AGI1.1:11:17601928:17602788:-1 gene:ORGLA11G0162900 transcript:ORGLA11G0162900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSDPLHTPPRAGIRRGFPMPFPPQDNPPAARSGDSRPVEAVGGGGPAEWMRGPVLEGSGSDPIGDMQRLLLGLQPSKREKLRRMTSRPGSSEKRRGTWWSRVGRYPVKEGVDFVPNSQGEIGDEFLFVPDSGSDEEEVVDGDSCEVREVDFVPDSQPEDADSVEKIGVGEDQSRGDVAMPNPPSDPHCRRRFFTNSVFKGLLKDLAQEEKARRSYFEKATRQEEDDKAAREKDDKTTREDKSMKASDDDEKDRAIRASGDDKGNSQCVGLDWRDHGQVDDSELW >ORGLA11G0162800.1 pep chromosome:AGI1.1:11:17586556:17586894:-1 gene:ORGLA11G0162800 transcript:ORGLA11G0162800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVERKPPADAAGGGESEDSPPSPAAVDRMARLPPADVAWFLSLRRENLGHQFGYVFTAPADRDDPAASPEEIAGDWFEAGGCFEKADEVIERIQASVRAQYEAQGFVEM >ORGLA11G0162700.1 pep chromosome:AGI1.1:11:17574576:17578433:-1 gene:ORGLA11G0162700 transcript:ORGLA11G0162700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAFGLLLLGYIQYFATPDSEVIALYEIRTMLNDSRGVLNGWNNNQVSPCYFPSISCNQDQKVISITLSSSGLSGFLSPSIGKLLYLQQLLLNDNNITGGIPQELGNLSSLTTLKLGGNSLNGSIPDSLGRLSKLQNLDMSKNLLIGNIPTSLSNLSSLNDINLADNNLSGEIPKRLLQVSHYSYIGNHLNCGQHLISCEGSNFNTGGSNNSTLKVVLASIAGAITLLVIIVLFLLWWQRMRHRSEIYSDVPGQHDHNLEFGQIKRFSWRELQIATNNFNEQNVLGKGGFGKVYKGVLSGPHGRKVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPYMENLSVASRLRDIKLNEPALDWPTRVRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMIDRERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAVFPEFSEGDSEIMLNDQVKRLVQGGRLTDIVDHNLDNAYDLQGLEKMIQIALLCTHMEPHLRPAMSEVVQMLEGNVVPAEQWEEWQVAELARRHQHEMNQQRRLFSFSEESLNIQEAIQLSSGR >ORGLA11G0162600.1 pep chromosome:AGI1.1:11:17570165:17572183:1 gene:ORGLA11G0162600 transcript:ORGLA11G0162600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19890) TAIR;Acc:AT4G19890] MLARRRHGLVLRRRRLLPNLPPLSTAAISTPSPPPPPPPDATLDGDAPGDPGALAPDDAIASLPSVADSAGSAAALALFRRLASRPDLRRLMRLYVAAATAFVARGSLPMAHEVMRGMVAAFGEAGRLPEAADMVLEMRSHGLPLCVETANWVLRVGLETGSFVYARKVFDGMTRAGVCPDARSFRALVAVCCREGKVEEVDALLAAMWRCGFSLDNATCTMVVRSLCEKGRFKDVSEFFRRMLEMGTPPNVVNYTAWIDGLCKRRYVKQAFHVLEEMVGRGLKPNVYTHTTLIDGLCKIGWTERAFRLFLKLIKSSSYKPNVHTYTVMIGGYCREGKLARAEMLLVRMVEQGLKPNTNTYTTLIGGHCKGGSFDRAFELMNKMKQEGFLPNIYTYNAVIDGFCKKGKIQEAYKVLRMATSQGLKFDKITYTILITEHCKQGHITYALDLFDRMVENGCCPDIEAYTSLISTYCQQRQMEESQKLFDKCLMIGLVPTKQTYTSMIAGYCKVGRSTLALRVFERMVQNGCFADSITYGALISGLCKESRLEEAKALYEGMLDKRLVPCEVTRVTLTFEYCRREKTSIAVSVLDRLDKRQQAHTVDVVVRKLRALGDVDAASLFLKKVLDEDYAVDHATYTGFINSCYENNRYALASEMSEKFSKKISSFKEKR >ORGLA11G0162500.1 pep chromosome:AGI1.1:11:17558197:17563248:1 gene:ORGLA11G0162500 transcript:ORGLA11G0162500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVGASSEAVKSLTGKLGSLLAQEYTLIAGVRDDIQYINDELASMQAFLSKLKRRDDVDHDEQRQDWMKQVREVAYDIEDCVDDVGHRLGREPRGSGAAISFRRAWYLLTTLYQRRRIAADIGNLKLRAQHVSERRTRYGVENLQGNGGGGGSGSGLGVGANAPRDRLAPLPRLIGTMEPVGMDAAIDELQEWFSKGKDGTQQRYLAIVGFGGLGKTTLAMALYRKLGDEFDCRAFVLASQKFHLPTVLRSLVKQFHEKQADASEDTLHGIEGWGDEMLKKKLLEQLTGKRYHILIDDIWSVSAWENVRDSLPKSDKGSCVVVTTRFNSVAEACRRQQGHVHKLKQLDPESSRNLFLQIISANDLCPNRHINASIIMRICGGLPLAIVVVAGLIASKMKSKIDLTLDQHLVDVDEALSAELGSNLTTEGVAQIINHCYKNLPPDLKTCLLYLSTFPKGRSISRKHLIRRWIAEGFITEEHGKTAEEVAEDSLNELIGRNLIKPINNSSNGKVKSCQIHDMVLQYIVSKSSDENFITVIGGHWQTPFPSYKVRRLSVHKSDWQETEMVERMKLSHVRSLTVLESFSALHSTMLKFQILQVLDLDGCKDLSHSHQLKKICNMYQLKYLGLRRTDIDKIPKNIGRLEYLEVLDIRETNVTKLPTSFAKLQRMTHLLAGNKSKRTALKLTEEITKVVALQTLSGIEISGSSTLEEDREQSPDMAIRNSTPTRAEERDNTALHGPHKEDSKVDFPKQLRSLEALEKLINLKKLAIYKLVKFQAKDDELLLSAIEHLSSCSLKFLAIDDSFTGFLDSSLSSSQAPPEHLYTLELSGMLSKVPGWIDRLHNLEKLTLSLTSLKTGTLAVLSSLPELFSLTFSLHAADNYSNALKIMHKNTLESGGMIFVLDEGFEKLKLLRFAAPVLPSLSFLEGAMRQLQRLELRFRMVDYMYGLENLSKLQQNQAPILSSFVEYTNRLVAAALQDYAWVATGQYQRGVILA >ORGLA11G0162400.1 pep chromosome:AGI1.1:11:17529824:17534090:-1 gene:ORGLA11G0162400 transcript:ORGLA11G0162400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:I1R1I2] MATAASRSGRRGGGGNDEDDRTAAMRRLRVLSLHLEDPSPSSEAGLAPAACAAGRRRATGGADAAAALAAYLRGRHRDTQARVFEFFLSRPDLQTPVEMTTAAHRELCFRQLCALVREAGVRPLSLMANDPAEYFAVMEAAGGADISLGVKLGVQYSLWGGSIINLGTKKHRDRFFDGIDNLDYPGCFAMTELHHGSNVQALQTTATFDPVTDEFIINTPNDGAIKWWIGNAALHGKFATVFARLILPLQGKGGDPADMGIHAFIVPIRDLDTNAVLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAYSSVGILKVSVTIAVRYALLRQQFGPPKKPEISVLDYQSHQHKLMPMLASSYAFHFATRYLVDKYSEMKKTNDEDVMADVHVLSSGLKAYITSYTAKSISVCRESCGGHGYAAVNRFGALRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQEKFKGGTLSATWNYLRDSMGTYLSQPNPVTSRWEGEDHLRDPNFQLDAFRYRTSRLLHSVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAKFIEAVKRCPDEKTRELLKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLADYLSYQVRLVAQELVDAFDLPDLIIRAPIGMQSEAYAQYTQHVGF >ORGLA11G0162300.1 pep chromosome:AGI1.1:11:17517106:17520474:1 gene:ORGLA11G0162300 transcript:ORGLA11G0162300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVMAAAARDYQKEIVAKEKAQAASASHDEVDLDELLDDPELEKLHADRIAALKKEVEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKTLAPVYLGTKFIKLDAENAPFFVTKLGIKTLPCVILFKKGIAIDRLVGFQDLGSKDDFSTRALENILKMKGIIDEKKKDEDDEDDETDMSMNRRIRSSTAQDSDSE >ORGLA11G0162200.1 pep chromosome:AGI1.1:11:17512932:17516154:1 gene:ORGLA11G0162200 transcript:ORGLA11G0162200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G22120) TAIR;Acc:AT2G22120] MGEQPARGAASSASESSPLIPPPSEIDIEAGAGDQFQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFSHCTTCKAPYYLRVHVHTDRKWRTLKFRFFVTRDILFIFALVQFVISALAYLVHFIDGLQNYWLRTAWGFDNEVSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGLPLLFIMGVIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGERADWCPPPLPSEHVQQLKSLGLL >ORGLA11G0162100.1 pep chromosome:AGI1.1:11:17505635:17506168:-1 gene:ORGLA11G0162100 transcript:ORGLA11G0162100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGPEPKAAMTVEVSDEEEVKAIAADAGSVTGEGKRLDAAAAATTGSAASGDGGEEEEKPPVMVPMPLNTIAAILSLKREPRPTPEDLARLSPEERDERLAFFDSLEEVNDEFEEFQKEILREVKETGRYMVHESYFTEQAEMXARMEKEWAKIDWTGVKFGDWDYDDPTCCQPL >ORGLA11G0162000.1 pep chromosome:AGI1.1:11:17498893:17499345:-1 gene:ORGLA11G0162000 transcript:ORGLA11G0162000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAVASAAAQLVNADPAVAAAAAGGDEEGDQKKKPSAKVPMPENLLAMILALKREPWPTSEYLDSLSPEKRSEELKSAERRSKLDDDLEKLQKDVRDGIDKDGYYLVDESYLAESAACEAQIDELWAKIDWDLYNFGDWDYDDPECVVYL >ORGLA11G0161900.1 pep chromosome:AGI1.1:11:17482644:17483051:-1 gene:ORGLA11G0161900 transcript:ORGLA11G0161900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAVASADEAKKTTTAAAAATAAGEQQKPPAAAPLAQGYVDSILAFERTPWPVLSDDDLKRLSPEQRREIDKMAALHKALDDDLAVLQAEVSRQVEDNGCYVVGESYLADQAKLEALIEEEWAKIDWSAFEFK >ORGLA11G0161800.1 pep chromosome:AGI1.1:11:17476053:17478763:-1 gene:ORGLA11G0161800 transcript:ORGLA11G0161800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVVAEAAEVVTGVDCADDAAAAATTVDGDGGDEKKQQQPSVNKLHMPQEYLDYILAWRKRPFPLPDDGEILSPEHRKMRERMAATCNELGDGFEEFQAEVRREVEEKGFYEVDESXDGPRSTGATSSSPTGMTSTTPIAVDRSTATIPNHPYELSRHLQSTPGKARQGESQRPIERIKRMEAEKGTTTVKSAVTGAAAEEMFTELQDELQALVSEEFAKIDLKSIKFGDWDYDDPTCCHMP >ORGLA11G0161700.1 pep chromosome:AGI1.1:11:17469718:17470944:-1 gene:ORGLA11G0161700 transcript:ORGLA11G0161700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKEKPNRKRRKPRQDPSPDSGPDSDSDSDCSPLSSPTSLRRLLDPYSKPRLLALLSDAAADDPTLLARIRAAADASPSHRRLFVHGLPPHADESTLAEAFSRFGPLAECDVVARRATGACKGFGFVTFLSRAAARRALRGGVVVAGRAVSAQFATAGADPSSGAAAAGRRVYVTNVAPGASAERLRAFFAGFGELEGGPFGFDADTGSSSRGCALFVYRAAEGARRALEEPYRVFEGRTLHCQLAADPARKSKPRAPPPPPPAPAAVASPLPALQPVLDAVVASGVGDLAKYAQNPAQAAALLGKNPILAAAVLNSALASTTAALKPNPAAASSINPAAVARSSPVAAPAMKPLAPRSPAADAAAVVPSPVKIGAGISGGAGLLGPYKPPSSPMLASSIGQRDQC >ORGLA11G0161600.1 pep chromosome:AGI1.1:11:17463894:17467341:1 gene:ORGLA11G0161600 transcript:ORGLA11G0161600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPMELLSSKLLRSSLRLPPSPSLPSPSSRRLRRHAPIHCRLTSSTTTTTTQEEQSAADDLSSLLSTSTSDEPSSSKKKRSSSSSGASSIPTGVRLEGIAKSYKGVTVLRDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEDPDAGAVVKAKDNMRIAFLSQEFEVCASRTVREEFLAAFEEEMEVRSRLEKVQAALEGATEDMDLMGRLLDELDLLQRRSQDVDLGMVEVKIQKLMPELGFAPEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHVDLDTIEWLESYLKTQEVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYVLAKAIWVETQYAAWEKQQKEIEQTKELINRLGAGVNAGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGQSGRTVLTIRNLEFGFGEEKLFNNANLIVERGEKIAIIGPNGCGKSTLLKLILGMEKTQGGEVLLGEHNVLPNYFEQNQAEALDLEKTVLDTVAEAAEDWKIDDIKGLLGRCNFRDDMLDRKVQFLSGGEKARLAFCKFLVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFVKQIVNRVIEVKDQTIQDYQGDYNYYLERNLEARERELAREEELEEKAPKVKAKSKMSKAEKIARKKQKMQAFQQSKQKSKSLKNAKRWK >ORGLA11G0161500.1 pep chromosome:AGI1.1:11:17459234:17460299:-1 gene:ORGLA11G0161500 transcript:ORGLA11G0161500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNEQQEEEQRGARVPAFGEWDEMKAAGVLPDYSLDFSKIRAVRMQRKEGGPLTTWSSTSGGGVEVGGETERRSSSAAGVVVDGRDRRRRRHRRHSSDGGADLRRPLRHDRAAAPKQERSKLGYLFCCIAG >ORGLA11G0161400.1 pep chromosome:AGI1.1:11:17456575:17457613:1 gene:ORGLA11G0161400 transcript:ORGLA11G0161400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRSRTSSRLAAAPPPTDEQMAELISKLQAVLPTRGGEANAKQASSAEVLQEACRYIRRLHREADALSERLAELLLLQPSDLAINGADVPDLIRSLLM >ORGLA11G0161300.1 pep chromosome:AGI1.1:11:17446483:17449769:1 gene:ORGLA11G0161300 transcript:ORGLA11G0161300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT1G26940) TAIR;Acc:AT1G26940] MAALLRHAAAAAAAVVLLIAAAASTDGATSFYASDPNLGSARVVFQTTHGDIEFGFFPHVAPKTVEHIYKLVRLGCYNTNHFFRVDKGFVAQVASVVGGRTAPMNDEQQEEAEKSVVGEFSTVKHVRGILSMGRHSDPNSGGSSFSILLGDAPHLDGQYAVFGRLTKGDDTLRKLEQLPTRREGIFVMPIERISILSTYYYDVDLESCEAEKSILRRRLSESASEVERWRRKCFA >ORGLA11G0161200.1 pep chromosome:AGI1.1:11:17429688:17430986:-1 gene:ORGLA11G0161200 transcript:ORGLA11G0161200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDQRRKFLLESASSSSEEVVSRSRNLAGESSAVAVTAAGVRKGEEVVEEELLNRRSKKNKVAGDSGNSGTGIWDSEMQDADQNGEANTSELIGAIGRELAITCLLHTPRSYYGMIACLNRSFCSLMRSGQLYRLRREARIVEHMIYCSCNVLEWDGFDPCRQRWFNIPSMPPIECFTLADKESLAVGTNILVFGKKVEAHVVLRYSLLSNSWTTGDMMNSPRCLFGSASFGEKAIVAGGIGDNGTLSSAELYDSEAKTWTTLPSMNRARKMCSGFFMDGKFYVIGGKADNHNEILNCGEEFDLEKGTWRLIPDMASGLNGGSGAPPLVAVVNNELYAADYAEKEVRRYDKVNNAWITLGSLPEKYTSVNGWGLAFRGCGDKLIVIGGMSAPGGGVIEICSWIPNNGQPDWKIIGSRRSGSFVYNCAVMGC >ORGLA11G0161100.1 pep chromosome:AGI1.1:11:17423913:17425226:1 gene:ORGLA11G0161100 transcript:ORGLA11G0161100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKTKDSQKEKKRKHLKNHRVYQISCALCYGPQVKHQGKVLQEHFICLFRALICSPLILSLVKKAFLSSNFSMNQKIRAMKILPPVQNQLDNLKIALIIEQG >ORGLA11G0161000.1 pep chromosome:AGI1.1:11:17418933:17421636:1 gene:ORGLA11G0161000 transcript:ORGLA11G0161000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARHLLTLDEKNPRRIFEGEALLRRMNRYGLLADGQNKLDYVLALTVENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDGEEEDEE >ORGLA11G0160900.1 pep chromosome:AGI1.1:11:17414262:17416994:1 gene:ORGLA11G0160900 transcript:ORGLA11G0160900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARHLLTLDEKNPRRIFEGEALLRRMNRYGLLADGQNKLDYVLALTVENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDGEEEDEE >ORGLA11G0160800.1 pep chromosome:AGI1.1:11:17411553:17412431:1 gene:ORGLA11G0160800 transcript:ORGLA11G0160800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPGPRWKKGKDGKDFSALAAANPMSVIVAELKASFISSKPVAVLSGPGGGAILGVEPEQAVILNRAAFGHTVEIAAAQKHWFQLSPEEVFYLCHVLNCIRVESHDKKQMSDKQLWNHFRSMSESFPEMYKAYSHLRLKNWVVRSGLQYGADFVSYRHHPALVHSEFAVVVVPEGAAFGNRCGRMEVWSDLLCALRASGSVAKTLLVLTISSGSCELSSPDCLEQLVVHERTITRWIPQQCREQRSEASRDEANREELISKKESVEFNLWGVILGFSVLSSLLVYKLKFRQ >ORGLA11G0160700.1 pep chromosome:AGI1.1:11:17405858:17409694:1 gene:ORGLA11G0160700 transcript:ORGLA11G0160700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:I1R1G5] MGSGSLLKVLAKNFDVLAGPLVALAYPLYASVKAIETKSPVDDQQWLTYWVMYSLITLFELTFASIIQWLPFWPSMKLIFICWLVLPYFNGAAFVYQNYVRPMFVKHQMVNIWYVPQKKGLFGKSDDFLTALDKFIEENGPEALKKLANKAGKSSKQSGKSWKDSKSSKESKDSKSSKESKEPKPSKDSKQLKPPKVSKESKPLKDSKEDKKAVKEDKKAAAKDSKEQKKALKDSKELKKALKDSKEQGLQKDSDELKPKSNKRVTFAEVEPEKELKASNSDWHPTSEYHSVYPEHNSWSSSFMIFEDENSYWN >ORGLA11G0160600.1 pep chromosome:AGI1.1:11:17400661:17401482:1 gene:ORGLA11G0160600 transcript:ORGLA11G0160600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWVAEQASEAGCPTSGSGGSACAATGILKMVHNGAGDETLDRGRGGAAMVGAGLAGVVVAAVVAVVIDTAIATALSESKLVYISVGCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAADFLNKAVKPMMVGGPNFRVAKAKKAFAGIAESSGY >ORGLA11G0160500.1 pep chromosome:AGI1.1:11:17394224:17396665:1 gene:ORGLA11G0160500 transcript:ORGLA11G0160500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRQTTSVPMDNAAVVDAKPLRTLTPMFPAALGLHTFTAKENSSSIVCITPFGPYAGGTEQAMPASIPPMFASPAAPADPNQRQPYAVHLNGAAPANGTANNTGAIPDLQIAVAGTVESAKRKRGRPKRVQDSSVPSAHLVPSAPGGNITAVQTPPSATTDESGKKKRGRPKRVQDVPVLSTPSAPQVDSTVFQTPASAVNESVTRKRGRPRRVQDGADTSAPPIQSKYNEPVLQTPSAVTLPEDGKRKRGRPKRVPDGALIPLSHSGVSIDDDSGEIITGKRGRPRKIDVNLLNLPSLFSDDPRESVDNVLMMFDALRRRLMQLDEVKQGAKQQHNLKAGSIMMSAELRANKNKRIGEVPGVEVGDMFYFRIEMCLVGLNSQSMSGIDYMSAKFGNEEDPVAISIVSAGVYENTEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNQIRVVRSVRDLTCPTGKIYIYDGLYKIREAWVEKGKTGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDHVILRDISYGAESKPVCLVNEVDDEKGPSHFNYTTKLNYRNSLSSMRKMQGCNCASVCLPGDNNCSCTHRNAGDLPYSASGILVSRMPMLYECNDSCTCSHNCRNRVVQKGSQIHFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEVIDRNSIIGEDDYIFETPSSEQNLRWNYAPELLGEPSLSDSSETPKQLPIIISAKRTGNIARFMNHSCSPNVFWQPVLYDHGDEGYPHIAFFAIKHIPPMTELTYDYGQSQGNVQLGINSGCRKSKNCLCWSRKCRGSFG >ORGLA11G0160400.1 pep chromosome:AGI1.1:11:17367658:17371743:-1 gene:ORGLA11G0160400 transcript:ORGLA11G0160400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVRNQNLGMVADTESSGSLGGSSNAASDKAVDGSLDKRSQEKAPKKTHKAEREKLKRDQLNDLFVELSSMLDPERQNSGKATVLGDAARVLRDLVSQVESLRKEQSALLTERQYVGSEKNELQEENIMLRAQILELHNEICARMGNNHLNQSNLAMSQPVANNGSNSATQPVPHHIWGNGPNLAMVHPTNTLSPLHNQHHQSAGASQVYASRPQELQLFPGTSVSTERERSRAGSGSTPATSSGLTDSLPGQLRLSLPQSSQEESSSGSKKGRKKG >ORGLA11G0160300.1 pep chromosome:AGI1.1:11:17363208:17367029:1 gene:ORGLA11G0160300 transcript:ORGLA11G0160300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGGGGSAENRGRSPLAMVVAVGLCCFFYLLGAWQRSGYGKGDSIAMAVNRQTAACGGVGLSFETHHGGAGVENETMAAPAPEFAACAAAMADHTPCHDQERAMRFPRENMVYRERHCPGDGERLRCLVPAPPGYVTPFPWPRSRDYVPFANAPYKSLTVEKAVQNWVRHEGRLLRFPGGGTQFPGGADKYIDQLATVVPFADGSVRTVLDTGCGVASLGAYLDARGVIAMSFAPRDSHEAQVQFALERGVPAFIGVLGSIKLPFPPRSFDMAHCSRCLIPWSANGGMYMMEIDRVLRAGGYWVLSGPPINWRTNHKAWERTEADLAAEQQLIEEYAAMLCWEKLAEMGEAAVWRKRPDAAVVSCPTATPAPPRTCDAAAASPDDVWYKKMEPCITPPQAAGEVMLRPFPERLTAVPPRVAAGEVPGLTGESYAEENARWERHVAAYRKVNYRLDAGRYRNIMDMNAGVGGFAAAVFSPKSWVMNVVPTAAELSTLGVVYERGLIGIFHDWCEAFSTYPRTYDLIHGNGVFTLYKDKCKMEDILLEMDRILRPEGTVILRDDIEVLLKVQRIASGMRWKMIMANHEDSPHIPEKVLYAVKRYWTADDKSSEPEPEKKMKKKAGSSSQERSSEEEEESTASSSEEKGSEE >ORGLA11G0160200.1 pep chromosome:AGI1.1:11:17354289:17357540:1 gene:ORGLA11G0160200 transcript:ORGLA11G0160200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIVVVAAVVLVVGHDHPIVAADPDVWFNCGATNYTARSAYESNLERLIAGLAKNASTPSLFGKGAAGAAPDTVYGVALCRGDLPNASACGDCVAGASRVARRACPLAEDVVVADDAGCQLRFSGHDILNRSSNSTTTTTNADDAMVLMNTENITQPMLPGWDPGNADSVAIITNIIKVLVQETARTAAYNSSPPPPATTTYYATGRMDVSATFPTLYSMAQCTPDLRPGGCWRCLQSINDMTTRYFAGRRGGRILGLWCNFRYETYPFYKGQPMRRIGSSGADAVAPAVAANAAASPPGEKHKRRRRRSKVMAMATIFPLLGSFCCVIFCFGLVRRYKKGEVSLQGDMNMQTDEEALAWGREACSSEFTSFKLSQVLDATNNFSEDNKLGKGGFGPVYKGQFSDGSEIAVKRLVASHSGQGFTEFRNEIQLIAKLQHTNLVKLLGCCYEGEEKILIYEYLPNKSLDFFIFDERRRVTLNWNNRLAIIEGIAHGLLYLHKHSRLRVIHRDLKASNILLDCEMNPKISDFGLARIFSSNDTEENTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLILEIVSGKRNSGFHQCGDFFTLLGYMMRCINIALLCVQENAADRPTMSDVVVMLSSENMTLPKPNHPAYFHIRVTKEEASTALESPSLNDVTMSILCGR >ORGLA11G0160100.1 pep chromosome:AGI1.1:11:17325978:17329790:1 gene:ORGLA11G0160100 transcript:ORGLA11G0160100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:I1R1F9] MASSTTASSQLALALGIPGLGGLLIPTDAAATTTTTAEGNDLHADASHPVLRLAGAVQHYEWGGRRGSSLVARLAGEGDDGDERPYAELWMGTHPAAPSSLAASGESLREWLGRRGPAALLGRDVAARWGGDLPFLFKVLSVARALSIQAHPDAALARALHALRPATYRDDNHKPEMAVAVTDFRALCGFVSVQELKDVLRTVPEVRELVGKEEAVKLMGAKEHDGGIGVRSYLQSAFTNLMTASKETVSEAVSKLKSRLNIESKVRTLTKKEQLVLSLEMQYPEDVGVLAAFFFNYVKLNPGEALYIGANEPHAYLSGECVECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQNYPEILRGVPVQAYVTRYIPPSEEFEVDRCLLPSGESVTMSPVPGPSIFLVMTGEGKIEADSMLDEGKAKEGDVFFVPAHTEVRILASGPGSMQLYRAGVNSRFFS >ORGLA11G0160000.1 pep chromosome:AGI1.1:11:17314210:17319570:1 gene:ORGLA11G0160000 transcript:ORGLA11G0160000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVNVEGLGNDGGAVEKPSEAVNSSVVAAASLSTTVDTVEEGGGEEEPLIQAAECRICQEEDSVKNLEKPCACSGSLKYAHRACVQRWCNEKGDITCEICHEQYKHGYTAPPRVEPDDTIIDIGGDWARDPRIIAVAAAQRRLLETEYDEYAGTDASGAAFCRSAALILMALLLLRHALSISDNEGDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQRQEAAAIAAAEVAFILQSAQGHALQFTIAPDSPATPQHEPQQQQPQPQQ >ORGLA11G0159900.1 pep chromosome:AGI1.1:11:17311147:17311795:1 gene:ORGLA11G0159900 transcript:ORGLA11G0159900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVPAVHLAMVLLAFCCLIHASSSAETPLPPALKVMQRFEATPVSSRGDDQAAAVIAGEPEAGEVNGRMELELTDYPGSGANDRHSPWGQERRN >ORGLA11G0159800.1 pep chromosome:AGI1.1:11:17307999:17308574:-1 gene:ORGLA11G0159800 transcript:ORGLA11G0159800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPAMHFLLELESQEPVCLLILFTMFTWFISQVQMILPSSCQHCNYAVTKVTSTPVLADRKISKNLSKHKDDGIEMTHEDVESVMTKMGLDFDHGKTMVYKEIGSNCMSELFDDDEPSLDEVKQAFLVFDEDNCGYIDALDLYRVLRNLGLREGVGVDECEQMIAKYDMNRDRRIDMVEFIRVLEASFC >ORGLA11G0159700.1 pep chromosome:AGI1.1:11:17222728:17223330:1 gene:ORGLA11G0159700 transcript:ORGLA11G0159700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAAQEPNQEFLDACMPSPSSAREAGRRRVPPLSDDDIGWFHCEACDEPRLLYDRRRVSGGCAHELCVACVVGHVEARVAAGEVPVRCPFQFPAGSSHCDAVVHPEDCKDLLYIGDFDAWCVALCELAVGGPGAFARCPNPDCGERLDTGAGGERAVSGATCLRCSRAFCLRCEQPWDERHRDGEGCVPPGNGDAAAP >ORGLA11G0159600.1 pep chromosome:AGI1.1:11:17212286:17216761:1 gene:ORGLA11G0159600 transcript:ORGLA11G0159600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSRTSWADVADADPAPAPAPAANGPARPDRSSYVPPHLRNRGASSGGGAAAPPPSSSSSAPPPRAAPGLLAPRPAAAGMGRMGGGGGGGGFGGPRRWDREPNPFGNDGDAAAGAGDEPEVFDAHQNTGINFDAYEDIPVETSGREVPPPVGTFAEIDLGQALNDNIRRCKYVRPTPVQRYAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGPPAQRPQRGGMRTACPLALILSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPINQQLRDLERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPPPGARQTMLFSATFPKEIQRMASDFLENYIFLAVGRVGSSTDLIVQRVEFVQEADKRSHLMDLLHAQRDSATPGKPTLTLVFVETKRGADSLEHWLCMNGFPATSIHGDRNQQEREYALRSFKSGQTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSSMARSLAELMQESNQEVPAWLSRYAARPSYGGGGGRNRRSGGGSRFGGRDFRRDSSSGRGGGDYYGGGSSGGAGGYGGSSAYGGGGYGGGAGAPSAWD >ORGLA11G0159500.1 pep chromosome:AGI1.1:11:17189532:17190986:-1 gene:ORGLA11G0159500 transcript:ORGLA11G0159500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1R1F3] MENGKCNGSSASAAAMHVAMLVTPGMGHLIPLAELAKRLAARHGVTSTLLTFASTASATQREFLASLPPAIESVSLPPVDLSDLPADAAIETLMSEECVRLVPALTRILSGIRERRRLVAFVADLFGADSFDAARDAGVPRRYLFFPTNLHALTLLLHLPDLDVSIPGEFRDLDEPVRLPGCVPIPGKDILMPLQDKSRACYRWMVHHGARYRDADAILVNSFDAVEPDAAKVLRHPKPGVPPVFPIGPLIQTHCAGDDDAAAPPSPRAACLDWLDRQPDRSVIFVSFGSGGALPTEHMRELALGLELSGQRFLWVVRSPSDEGEVSANYYDAETKKNPFGYLPEGFVERTKEVGLLVPSWAPQTKVLAHRATGGFLTHCGWNSVLESLVHGVPMVAWPLFAEQRQNAVMLTEGAGAAIRVPESKGKEKIAAVVREMMVGEGRGAAVRAKVAELQKMATDGLRDGGAATSALDEVVDKWTGGDN >ORGLA11G0159400.1 pep chromosome:AGI1.1:11:17175322:17181305:1 gene:ORGLA11G0159400 transcript:ORGLA11G0159400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSRAQRKEAAELERRRLEAERALRREAVAALRMYREEGRHDEAIARAEELAAGHPGSAVAAHLAAVLHHDATNRAVDGASGQQPSAAGKHLHPARDFYIRAARLAPNCVEIATSLATVRFACLDDDDADLDIRRAVTIDYPTDPADNNVAYDLDDDDGATPKDRIANARAAAIERYNLIMAFVIAKVIPRAVRGVLDVAEREGAAKAVKPAKALAARYPYSARALFAHAHVDVEFARGLSPGIDKRPFLDRLLGELNGEALRFDTSLVLAAFRAKLVFLLGSYVSAEGECSRGLHMVGAADPADEDVPPGSVPGENSEDRQSAVRVELGRLFQKIVLATKDYWSSLPREKQDRFRFAGFNSMHQHYAKNYDDTHEGAKTISDALSFVRKNRSWRFWICPYCVGKKIPDTDSLLQHMRNKHPEGGVWLKLLSILDPKSVDSSEGDYFLDDVAVCQDSEENYVVRFERMDHIFKYLFLRATGTVEHKQFSELRETKCKEGIEILEMMKPKLKNVPTDISSSEFNEACAEIQDMWNDFLEISVLDYRVVITPLAICFISEQLLLSMSNDEKAASKSIDAADIDALFPNVDDTPDIDAIFPKVGDAPSAADTSKTGEDMASTISDESIYVLEKDNTDKDLIILHVIIQSLWHLRFFRIEFLRERTVWILCINEDNCIADQLYEIFSAWEKNENDRVAVFLTSMKTSLCKIANDNMFQKAGKIIASEAVAMILQGLHMSGTSFHFEFNNDIEGRLVSPVNCRDCICRTHNLFGVQLQMSCRCGNSFDEKEHTTVFYKLDAGSPQTTKIKSFAELPVLYDEQSCFEVNCEHCXSPKNTDVSPSNTPHFFTIGLDWSGGCENQVELSEVLVGIAHPLDIKLLCKGVHSSANYSLTSMISYADGRYICFARDQDKWLICDAKTVETKDSWEQLLERFRGCTLQPEVLFFEVIK >ORGLA11G0159300.1 pep chromosome:AGI1.1:11:17165288:17172932:1 gene:ORGLA11G0159300 transcript:ORGLA11G0159300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDAADSAALREEAAGILRLHHEGGFSAAMARAVELGLKHGGSALVLNLVGTLHQVNYTACRVMSCCGGGAGSGREGSAEEEEEEEHKRAALSAFAAAAWLAPNCVDIAVSHAEMLSEVERYEEAYVELLRALGISDPADPAAHDVVYDVCDGETTLAERLGKAKVRTHRAIDRLAELICARFIPAESVRVLDGIKLGGDAAARARARAKHLATTYPFAPRAHLLRAHVDLERVRGLDPAIDKRRFLRRTLDMVQDTAYEFQRSLVVALFRAKLMFVLDQYDDAECECHRALAIESPFDPVVDDLPPGSVTGADYDARVCFVRNQLRTLIKKIIFSAAIYWRTLTSEDEDSLISVRVKPLIQLCNRTDKSSAKTITDAVRFFKGNNSWSFLICPLSSRCDGRKFVDTSSLWGHLCNKHPEGHWRKFQSVLGSKLSENTSVGDGSLEWITFGQDSEKHDIFRLIKINDMFDSLIRLIAGGTEPDLVEMRTEKCREGAEILEGIKKRLGTLPTDTSSSQGKLKKRMAGDPNIVGHISASKIDPIFDDAPSARCRNVSVGHDSNPSDANKMGTASQHNLKASFSNETLKAGKDHQESEVCVENSCSGAMVNTPMDVEGIEMEIAEILANMEQNLQLEETDSQSTEEMSSTNGNENVDVNKEITDKDLFILHPIIQSLWNLRYLRDEFLMGIPAWILNISGNCCIADLIYGIFSSWEKNEHDRVAVLLASVKASLCKIANDNMFQKLQAGKGIASEVVATVFQGLHISEASLHFCFNSEIEGRVIKSFAELPVLYDQQLCFEDNCEYCGSSKKVDVSPLNTPHFFTIGLDWFGGSEDQGQLSELLGGIAHPLDIKLLCKGVHFSANYSLASMISYADGRYVCFARNQDKWLICDAENVEAADSWELLLERFSVCRLQPEVLFFEVIK >ORGLA11G0159200.1 pep chromosome:AGI1.1:11:17152660:17157031:1 gene:ORGLA11G0159200 transcript:ORGLA11G0159200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSKDVRKAARAVLMRDYDGDHGGALERAGKLARDHPGSAIALRLVGDLNHAAAIRVRKVIEIGGSLGRAAERDASAHLAAARDALSEARRLVPDCVGIATALGDVLVGSSMAEKAEQAYTSALAIPLPVDPALHNAAYGLHGRDRTTVNARVKDAREKANLAYGRFKKKVVDEWVAEMLQFLRGDLLRKNPRASAKEILKAQRDAMVEARKKAKSMVDAMPNSARAQCFHGLMDLNFVYLLDEAIDKRSALRRSTLAILDRAAERFPKSLVIASFRAKLLYILGDYDAAERDCRRGYTMKNPDDPADDCIPPGSIGGENKGDRLITHVSEFHELINKIVRTANLYWNSMTQEQRGEFLSVRFDELQEDYNKVDRSSFTMSDVLRFGEKHNSYRFWVCPLCGSGSSSKKHTDTVSLLSHMCSKHQRAVLPRLRSVLDQELDRSAFDDDEYSFNKVIFSQDSDQRNIVCFEERDQMFKWLFDKPSSGVRTLALTELIETKRRTGALLLDNIKEKLKTLVTDKSSTEFAEALPGIQESWIKFVKETAVDYRALILAIGRSLLWRKLKKCMSEDPEVGARRISAADIDEVFVIVAYNSGSSAVEDKTEAHMSSHSDAAQKMNGNHQESMVKIHVANLLTGKKRFILLYLSSDFHAENRSSGTIVDMKPQDPPTNMEGNGNKLDEQMEKLDIDPNSDLLANFQMNQHKFPSIKRVLMFSTKTVKTYFSCI >ORGLA11G0159100.1 pep chromosome:AGI1.1:11:17148041:17149878:1 gene:ORGLA11G0159100 transcript:ORGLA11G0159100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFADVIKSVDKKFHCNTESNAHNHPPRYFTTAFGYPSENDSHLVSGLLVSIAAPLDINPVYEGLHSECKYNMVSAVFRAEGRDICLAREEEKWLVYDNSLREVKEFDSWEKVLEEYSRSSFCPQIIFFERIDPVSEVTV >ORGLA11G0159000.1 pep chromosome:AGI1.1:11:17143262:17144803:1 gene:ORGLA11G0159000 transcript:ORGLA11G0159000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDSATPGFRARVKDLTGPLPAEREGVDVKEERLHEAVELAVDFHSALAYHVVGYVRAALGEDELALDSLRLARDLAPGDLGIAFTLAMRYAAREQFDLAVEECQRALSRGDADLVDPQLNAVFESRHLEPSKEARISTAKNGLKQLLISALSKIAIPMARDRWNGMSEETRRSFLTVGIDEMVAYYCAKPSDECQMSALTGALDFVKDNREWICWLCPQCEMTFLTAKTFQLHVEDDEFSRSQEFKESLLFVPERISDEQTEFIKCWTLPSDVNPTEEAEREKILTKIKSTFQYLKDQKALSVDLFDNLIKFTKNRIEEAVTQNYSCITSLDPGGLLLLGTYLDLLRLRVGDAEQDSRDNFGGGVVQDACVLSIGTDENVLRVTDGSSNQDALFSWLSRPSRQDPFTSWDNMRQACLDKGTHALGKLNGRAAALIEKVNLKRGLTATQTYEAYFGEKAKIDIEIMQLVAEVDNLKKNL >ORGLA11G0158900.1 pep chromosome:AGI1.1:11:17138038:17138498:-1 gene:ORGLA11G0158900 transcript:ORGLA11G0158900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKTKPLSLRLLLCFKLPWPCGDLELPWLFKSIRTLAQGRLVAGDLPSPSSDAGGGGGGEEEEPRIGGGGGAAGAGEREGRDRAGVLLPVAPPVRVAAGARARARRRRRRVDRLRDRRRRGRPVARRDFCDD >ORGLA11G0158800.1 pep chromosome:AGI1.1:11:17120422:17120778:-1 gene:ORGLA11G0158800 transcript:ORGLA11G0158800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDNLDGDGGGSDDGREYRRAKQEDELRRRWISSMLAMAGREVDAGVVDPDDDFYYKSLYVLHESSRDLRHVRRRKGEICIYVIAKTGFDKLPLSNXWCSLQCHCGRYCSWIFFFLPL >ORGLA11G0158700.1 pep chromosome:AGI1.1:11:17116956:17118887:1 gene:ORGLA11G0158700 transcript:ORGLA11G0158700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREVDAGVVDSGSVYHYKRAEVLYESSRDLRHVRKTLDWHLFYNMHILSPTPLGPMDHWNNCSNKGDGCKEDPYAMLQIFDVKVLPFTLDVTRPVEVYGIIAVRDDVDEYRRNYIFNRSRDNPVLITPAYDSLPLMSPTRGMSMAEACLIETDIRIKVSPGQPLFLLRLPLQDPDRRRARRRPHPLDAHPRRRRGHRTARIPAAPRGGGRRRRFPRADDGLHQGSAVRRRPPRQHLRVRRRWP >ORGLA11G0158600.1 pep chromosome:AGI1.1:11:17109089:17113543:1 gene:ORGLA11G0158600 transcript:ORGLA11G0158600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTEASTPSMTRPEISATYKGDGCKEEPYAMLQIFDVKVLPFTLDVTRPVEVYGIIAVRDDVDEYRRNYLFNRSRENPAIITPAYDSLPLMSPTRGMSMWEACLIETDIRIKVEGEDAARDLTMVDGCVDIQEHRCGYDSHFKNRIDGELGAVLTHSMVIQAAVEATVQLEFRRLPAAAAGGGDFRVRMTGYTRARPSDHHHPDNTYVFAGDGRELDEIVVLAAGQLEHRFVAAVRLGDTLELSFMEEGREPLAFLSAKHDSEVKPYRFQNGALVFVQVSWSVMELGP >ORGLA11G0158500.1 pep chromosome:AGI1.1:11:17102419:17106417:1 gene:ORGLA11G0158500 transcript:ORGLA11G0158500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKNKRRIPRHGAAASAPAPSNADWEDATAAFREEAEAALRSLSIGADDGAAAEHLAARHGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGEALAECARALAVGAPTDPALHAVSGRGLMAATPQRRVAVVRERLRGVRADAETLTGVSHRATVPPPPSRSRRSALPDTDDEVWSFLTVRVEDLTAHCAKIGSSAGALAVADAVEFVKATNAWVYWLCPVCDEVFLDSNSFQSHVESEYIHQLQQWLPLTQPSTTMKPTSKDSSWWKPIGAQEEEEEIARIREAFSIVNGSEVSYLGCVAFSFLDGPEIPSIDPMSFSSLDEPEVSSVEPVSNEVKFKKGRRRRLKKWDAWLDHCREIERDRPSWEELLLPLCKEMPELWEHLESCVETEGNEDSFPLISLVQGSSVLFLDSQKIAPINMDGSFNVDALFNWLLRGSSPQKPAPSWTSIRKRCVHDGNEVLKKIGEISDLLQEQFGLKDHSEGTMHGDFFTTKVSTLYFIANSVSTFSFLWYWYASIXAHSYSKTMIQSKSYIYLLXRVKHWLKLYNLNKRXARKKILCQFNXCXDLAYVCXSXLFTEKTCESLYIXLQNSYFAFYKGLSMGXAEXWLSWKRVAXYGXYIXGICCPREESRHXFRFGSSDXQDRGIXKFLAVIFRXLRPFYLGNXKHFIQQWDWYRTSNYYXXTVPECDSPGIVAFETNPHLPADTTYSSSFISQRPLRCVFIMRNILCLGSQXKPHSSHPFGXCENCFXXHFKXXKLXVQGKXEHCIXNYINNHXDTTHVAKALFFXNFRARXNKPIKMFWLLHTTSSPWYVLQTKEMQLREQTRWRKXFHCHFSHCXCGCSSKNXDEVFWXYPESCRTXCGELPMWKQDXGFSFISTSYFHYWXISSTTYDAML >ORGLA11G0158400.1 pep chromosome:AGI1.1:11:17091048:17092409:1 gene:ORGLA11G0158400 transcript:ORGLA11G0158400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASSCCASTSARPLVRRAGSRSGKLWWAGGVRKARLLSISATAAAPSGVDYAAGTGAAADDDAVAALKVKLLSAVSGLNRGLAASQEDLDRADAAARELEAAAGGGPVDLERDMDKLQGRWRLVYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVVSKDFDNIVDVELGAPWPLPPVELTATLAHKFEIIGTSSIKITFDKTTVKTKGNLSQLPPLEVPRIPDNLRPPSNTGSGEFEVTYLDGDTRITRGDRGELRVFVIS >ORGLA11G0158300.1 pep chromosome:AGI1.1:11:17089034:17090418:-1 gene:ORGLA11G0158300 transcript:ORGLA11G0158300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRNSGDALPQERWNDIPADMLGLVLRVIPCAADRARVRSVCRSWRAAAAIQRPPPPLPVLVFSRFSFASLSRLSPAMAFTKPRRFFFHKDVTIRWVGSFDEWLVGTKPSRECKDADSHCFLLNLMSRKKIQLPRPCALHFFDYFCKTLPIVNTSGWVDIIIHDREYSMCFRKVVLSASPASDSMCIVAAISSRTLALWHPGMRSWCVCRSFGIDGSADIAFYQGRIYMAMVSTYFPHILSILFFQLEEVNGRVMVSYVEQCVTETLPPVEGCVVNEFYIVEWRGKLLLIVMYAEHVWLDTEKIGIYALDFSTNPHSLTEINNLDGDCLFISLRSSKSFPACQYDGAKGDFVYFVSGYWQHATSVHHSFDVLVYNVRDATTTRLSVSAPEDNSGPFTNNLLWLFPPR >ORGLA11G0158200.1 pep chromosome:AGI1.1:11:17087649:17088077:1 gene:ORGLA11G0158200 transcript:ORGLA11G0158200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIIETNRVGAEVINGDAASKKKSIELLQELSLPKGLFPLDDIEEFGYSRANGFMWILHRKKKEHTFKKIKQTVSYATEVTAFVEKGKLKKITGVKTKELMLWLSVVEVYVEESSAGKITFKTGTGLSDSFDASTFELDM >ORGLA11G0158100.1 pep chromosome:AGI1.1:11:17084731:17086135:-1 gene:ORGLA11G0158100 transcript:ORGLA11G0158100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSISGDPSSATPPPLSRSLCVWGAPCACSWFVRNVRGRIYMAMVSTYFPHILSIMFFQLEEVDGRVMVSYVERCVTQTLPPVEGCAVNEFHIVEWRGKLLLIVMYADHVWVENRKIGIYALDFSTNPYSLTEINNLDGDCLFISSRSSKSFPACQYDGAKGDFVYFVSSFRQQTIGVHHSFDILVFNVRDATTAVFPVLVPGDNSDPFMDNLLWLFPPK >ORGLA11G0158000.1 pep chromosome:AGI1.1:11:17082171:17082599:1 gene:ORGLA11G0158000 transcript:ORGLA11G0158000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIIETNRAGAEIINGDAAGKKKSIELLQELGLPKGLFPLDDIEEFGYNRANGFMWILHSKKKEHTFKKIKQTVSYATEVTAFVEKGKLKKIAGVKTKELMLWLSVVEVYVEESSAGKITFKTGTGLSDSFDALAFEQGM >ORGLA11G0157900.1 pep chromosome:AGI1.1:11:17080353:17080649:1 gene:ORGLA11G0157900 transcript:ORGLA11G0157900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFGYNRANGFMWIVHRKKKEHTFKKIKQTVSYATEVTAFVEKGKVKKIAGVKTKELMLWLSVVEVYVDESSAGKITFKTGTGLSDSFDASAFELGM >ORGLA11G0157800.1 pep chromosome:AGI1.1:11:17076577:17077970:-1 gene:ORGLA11G0157800 transcript:ORGLA11G0157800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKGTIGREAMRRSWADIPADIIGVVVGRLPSVEDRARLRSVCQAWRAAARLHHPPPPLPLLVLSNLAFSGFCADGAMAETRRIPLPVEVAAAAGDLRCVGSCEGWLAVVRQKKARYLGDGACFLVNPFSREVVNLPPPFVSTHLVDVYTRSLPIINGSGVVDCTIHAAQYVMSFCKVILSSPPGSGSAYTVAAISVHRNGAKLALWRPGMTSWCICYGGCISKFSDVAFYQGKYYILSKLTTNLFAFEITEDDCGMMVSRVERCVTELPQVKDSYGQRWNMVEWHGKLLLVVRYIGGSEGWHNICKVSVFVMDVSTNPFRFTEINSLDGDCIFISPCSSMSFLACQYDGIEDDLVYFIDGYLFPAKNGPPFDRFVYNMRDCTLAPFAADISDDNFRAPDGRLMSPTWFFPSE >ORGLA11G0157700.1 pep chromosome:AGI1.1:11:17073349:17074765:-1 gene:ORGLA11G0157700 transcript:ORGLA11G0157700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTGTGAVSGPPSWLDLPLGIVGAVLRRLQSSADRAALRSVFRRSWRAGARDYPPLVLAPPLPLVLYPNFALASVFSDGAAAAGHRVPLLAALLDGAFPGQCIGCFEDWLVCTWLRLSIPLYPTVGADGGCVLVNPFSGEKVSLPSPTATHSCGAIQRSVPVSNGDGEVVCTIHADEYAMALYKAVLSAPPNAGSSSSSSSELDLGSSCIVAAVSQRKGEYKLAFCTPETPSWCICEGNCIKSHIDIEFYLGKLYMVDTRNGDLFAFELEAHDHVFPVVSLVERCLIEKLPSAEDGGWQTYNLVQSLGKLLLLVRYFRESWDQFVGVRVFELSFNSNPWKWIEKKSLDGESIFISSSCNKSFAASQYEEIEDDRIYFLDSLCPKFNPKKSDSYSYCSQVYNMRDGTINPFLIGTGPMSNYLGFPMWFCPTQ >ORGLA11G0157600.1 pep chromosome:AGI1.1:11:17070177:17071643:-1 gene:ORGLA11G0157600 transcript:ORGLA11G0157600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASTNKQPSPPANENMEEEAEAGGDRCCWSWLPEDVLLTVMGFMEVPDVVRSGAACSAWRATAAAFRRHRLPTPRQPPCLLYACDAYGPDAAALYSPSTAATFRVPFRIPRAVAGAAHGWLFATDDEANPYLVNPVTGARATLPPITTLDRVRSRETLVGGVVYGVDVSPTVGGNIRHITAERARDWMFRRVAVSGSPSAPAGCIVLLVHMPFSELSFARPGDARWTSLSGVAELSFARAPDMAMVGDWGSILAMGELHHRQYWTSIVHNHKNGLFYLLRHCGSIFSLDLTGGGASSSSSPSPVARTVLRSPPPPHQYSSGPKPTQYLAVTPRGELLRVTRRWHQTAIVAPPDASNGRWHVEHAVATTGVEVEEIRTPPPPLATAASTATAISVAGLGGCGDVALFLGKSSAACLPTEGFPMLRPNCAYLTDDAGGDVVRSPAARRDFGVWDFGSGRLQRLGDVWPLHHPWLYSPSPIWITPSLY >ORGLA11G0157500.1 pep chromosome:AGI1.1:11:17061045:17067041:1 gene:ORGLA11G0157500 transcript:ORGLA11G0157500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRDQQEPGSGSGSGSVMCQLVSPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAVFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLNTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLTGHRKWITAVSWEPVHLQAPCRRLVSASKDGDARIWDITTRKCIIALTGHTNSVTCVKWGGDGLIYTGSEDCLIKVWETSQGKLVKTLQGHGHWVNSLALSTEYILRTGAYDHTGKTYSTADEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTHKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >ORGLA11G0157400.1 pep chromosome:AGI1.1:11:17053534:17055209:1 gene:ORGLA11G0157400 transcript:ORGLA11G0157400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFVDDEGRRRSGSGRVTAFVALSCATAAMGGAIYGYDISIAGGVSSMEPFLRDFFPGVLRRMAGGGGGADGGAPRVSNYCKFDSQLLTLFTSSLYISGLLTAVLLASWVTASRGRRASMILGGFAYIAGAAVSGAAVNVSMAILGRALLGVGLGFTTQSVPLYMAEMAPARYRGAFSNGIQFSLCLGALAATTVNFAVEKIRGGWGWRLSLALAGVPAVFLTVGAVFLPETPNSLVQQGKDRDTVKALLQRIRGVDAVDDELDEIVAANAAAAAAHGENGLWLILSRRRYRPQLAMAVLIPAFTQLTGINAIGFYLPVLLRTVGMGESAALLATVILVVVSSASTLASMFLVDRFGRRALLLAGGAQMLVSEALIGSIMAAKLGDEGAPSKAYATLLVVLIGVYSTGFGWSWGPLSWLVPSEVLPLEVRSAGQSVAVATCFALTVLVAQCFLAALCRMKAWIFFFFAGWIAAMTAFVYFFLPETKGIPIEQVGSVWEEHWFWRRIVGTDEIHASSKLSK >ORGLA11G0157300.1 pep chromosome:AGI1.1:11:17047125:17052023:-1 gene:ORGLA11G0157300 transcript:ORGLA11G0157300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEEHRRTTARVETGSWAVDSRGPCWAEAARRASAFLLDSRRFLLRARRQAPRDRMRCTRRSPTPTRKRVSTRKMGTRVWATVMMQKRGGTSARRPISTARKAALMKLQLDCRASMTSLPQSTRRGPINQPGSHVPYATWNLCNRGSTRRGSWMLGGWSHTTSASTPNSCLRIRGGRSSAQNARIISRTSKTMTGITKHSEKIISTLAECGGGGIPVKLEKLVNNSPEDALLAQLQNGHEPEVPLAVILQYLMRLMDEDRIRKELELLSSVPKRASDCEKELLESWRWETTDGDDLAERKKILSRAKEIVFELIDSEVTFLNILYIMYKFKPYFHSAIGSAQVGMLLPDAEVDYWKKWLLKNCQVDYLTVISPMAKACLWEKLANNPRPQNGYERQAGNITVYRVVESVLERLHMAQTPLHFEFKGESLVPKFLGCICQTHTLFGLHIIEKKCNCVNEVPTKTAELAISHVYKGLHSESMYTLASAVCCDDEGRSFACDMDMMKGIVKSGFKHAKKRDPGLLL >ORGLA11G0157200.1 pep chromosome:AGI1.1:11:17043451:17044722:-1 gene:ORGLA11G0157200 transcript:ORGLA11G0157200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISDWSSLPKDIVIVVMGKLEIPDLLSAGAVCASWRAACTAVRRARFPITDKSPCLLYSCEADDPELATFYSPSNNATFKVRLPGPPFRRRYTVGSDHGWIVTADELSNLQVINPLSGVQIDLPPVTELYNVESFMDEQGNLMYNNYEDSMHRDDPLGFPVPYHPQRLRLFLYFRVILSCSPSAGSDCVVLLLHSPDGQLSFARIGDHSWTRLADIENLWDRGYRCAVYNKNDGLFYLLHFQGSIHTLNLNGPSPVVNEILKGVTAWDNPTKSIVMTPRGDMLQVWRCRELRWNDAPVQFPSEDSDDVHDPCQELYTDEMLLYKVDFDGQKLDKMDSLEDHVLFLGFNSSICLSAKDFPNLRPGCAYLADDSYEEIGINKHTLREVGIWNFKSETLESLGDPQSVLPWLNWPPPIWITPSIL >ORGLA11G0157100.1 pep chromosome:AGI1.1:11:17029971:17030621:-1 gene:ORGLA11G0157100 transcript:ORGLA11G0157100.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLIKFTKNRIEEAVTQNYSCITSLDSGGLLLLGTYLDLLRLRVDDAEQDSCGHFGGGVVQDACVLSIGTDENVLLRVTDGSSNQDALFSWLSRPSRQDPVTSWDSMRQACLDKGTHALEKSNGRAAALLEKVNLKRGLIATQTYEAYFGEKAKIDIEIMQLGAEIDNLKKNLLEVCTYDYHEI >ORGLA11G0157000.1 pep chromosome:AGI1.1:11:17025812:17026103:-1 gene:ORGLA11G0157000 transcript:ORGLA11G0157000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFRTEGRDICFAREEKWLVYDNSLSEVKEFDSWEKVLEEYSRSSFCPQIIFFERIDPVSEAAGTEVTV >ORGLA11G0156900.1 pep chromosome:AGI1.1:11:17015312:17016583:-1 gene:ORGLA11G0156900 transcript:ORGLA11G0156900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADWTSLPDDTLFEVMRKLGIPDLLNAGAVCSSWRPTYSSLRLPITDKSPCLLYSCDADADDVATVYSPSSGATFKLRLPAPAFRRRYMVGSDHGWVVTADELSNLQVINPLSGVQIDLPPVTELYHVESFTDDRGSLMYSNQDNWMRRHDPQWLPVPYHPQRLRLFLYYRVTLSCSPSAGSECIVLLLHRPDGELSFARVGDRSWTRLTGIENLPETGYRYAFYNKNDRLFYLLNCLGSIHTLDLNGPASSPVANQIFKEMALWDNPNKSIAVTPRGDMVQVWRCRDPRWVDTPVRFPPEDCDDVYDPCQELYTDEILLFKVDIDGRKLVKMDSLEDYVLFMGFNSSVCLSAKDFPNLKAGCAYLADDAYEEICVNKHAWRELGIWNFKSETLESFGDPPSVLPWLNWPPPIWITPSIY >ORGLA11G0156800.1 pep chromosome:AGI1.1:11:17001784:17003241:1 gene:ORGLA11G0156800 transcript:ORGLA11G0156800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTGAGAGAGVIQCWETLPEDLLVTVFCQLEIPDLLRSGAVCASWHAAYRAFRRLRLPSPKQPPCLLYSCDAYGPDAAGLYCPSTGATYRIPVSCGGGGGGFRNLTLIGSADGWVVAADEIGNLRLLNPLTGAHAELPPLSTMHHVEAAFDDEDEGGGLAYDIVDRLYNRPSLVRVPAREVRDCMYFRAVLSCGPHAAAAGGGDAAACVVLLLHMPRCELSYARPGDERWTWISPGAGTGLRWRNLYCDAAYSRNDGLFYVVRDDDSVHALDLTGPSPVARKVFDERTWSTSLPSRYLEDVHLPCAQPCRYLVNTPSGELLHVWRFRQWVSSYYSSSDDQDDSSYDSSSYEDQDDSSDSSSEDQDDSSSRDLYEDLITRDIQLYRTDFHGKKLDATDSLDNHALFLGYNTSLCLPTEDFSGLKPNHAYITDDSLEFVNYFKQNKKEIGMWNIESQILERFGGASSLEEPWLNWPAPIWMTPSLL >ORGLA11G0156700.1 pep chromosome:AGI1.1:11:16993015:17001026:1 gene:ORGLA11G0156700 transcript:ORGLA11G0156700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRPAVAGGDGAGDGDGAPVQVNVDPASYRDWAALPDDLLLTVMESLAIPDLFRAGTACASWYAAYSTARRARIPIRDSAPCLLYSGEGDDDPSTATLYSPSSGDCFRVRLPDPPLRSRALVGSAHGWLATADEQSNLHLVNPLNGAQVALPPVTALHHVESFVDEEGNIVYSVDESLGPDDPEANLPEFEELADREVPVEYPAEKLRLFMYHRVILSCSPSAGRECVALLVHRPDGMISFARPGDERWTHINRTTSNGSLEWDTGYTDALYNKNDGLFYLLSFDGSICALDLSGSSPVARNIVKKNTQWDNPSKYIVLAPWGDLLEVWRLRDFDEPDETPECSSVEFEDRSDKWLTEEIMLYKVDIDKQKLVKIRSIGDHALFLGFNSVVCLPTKNFPMLKPDCAYLSDEFYEEICVKRHNWREIGIWDLKNCKLQSLGDVESLHAWRNWPSPIWITPSLN >ORGLA11G0156600.1 pep chromosome:AGI1.1:11:16985613:16990167:-1 gene:ORGLA11G0156600 transcript:ORGLA11G0156600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIKTVVVLVQENRSFDHMLGWMKSLNPEIDGVTGAEFNRATAGDASSPAIHFGDGSGYVDPDPGHSFQAIYEQVYGDAYAWGTTSPATKPGVPSPPMSGFAQEAEKERAGMSSTVMNGFRPEKVPVYRELVREFAVCDRWFASVPTSTQPNRMFVHSATSHGLVSNDGKQLRAGLPQRTIFDALHDAGHSFGVYYQFPPSVLFYRNMRQLKYVGNFHPYDIAFKRDCKAGKLPNYVVIEQRYFDLKLLPGNDDHPSHDVAHGQRLVKDVYEALRSSPQWHEILFVITYDEHGGFFDHVPTPVSGVPSPDGIVSAAPVSFAFDRLGVRVPTLLISPWIEPGTVVHAPTSGPEPTSQFEHSSIPATVKRIFGLKEFLTRRDAWAGTFDTVLTRAEPREDCPATLPEPPRLRDAEAEEHREVSEFQAELVQLGAALNGDHDGEGYDPEVFVRGMTVANAAQYCRDAFDRFREECHRCRDGGMDGSHVPMLQPASASASTSAPAADPPAPAPAPATPSALSKLCGCFPCFNAS >ORGLA11G0156500.1 pep chromosome:AGI1.1:11:16981651:16983477:-1 gene:ORGLA11G0156500 transcript:ORGLA11G0156500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAKPPPFVCFKWPWGPDPKATSPSPSPSPCGDLEMPWLLKSIRTVAQGLLIAGDLPSPSPDGGGGGGGARTRGRRRRLGPGLAAEADRGEAEQRALAAALASGRDATVLEFYSPRCRLCASLQGLVRELEDGAGGRAGFLLADAEDDRWLPELLHYDIRYVPCFVLLDKNGRALAKTGVPTSRQHVIAGLHHLLNMNQISVQEGKKSTP >ORGLA11G0156400.1 pep chromosome:AGI1.1:11:16976956:16980764:-1 gene:ORGLA11G0156400 transcript:ORGLA11G0156400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthiotransferase [Source:Projected from Arabidopsis thaliana (AT4G36390) TAIR;Acc:AT4G36390] MAAPLTAAAALRLGRGISHRRALLLSPLLLRRCCFSPPPGAAPRCLSSAAHPPPPSPRRLARSGPARPLAASAAATAVSEAHTDLESGLMTASKGRIYHETYGCQMNVNDMEIVLSIMKNEGYDEIVPDPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRQWKANVAAGRSRSLRPPKIAVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLQEVDYGQKGINTLLSLEETYADITPVRISDNSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVSSIVREVGELWKAGVKEVMLLGQNVNSYNDTSEVEELEPGKNWELSEGFSSMCKVKNMGLRFADLLDQLSLEYPEMRFRFTSPHPKDYPDELLYLMRDRHNVCKLIHMPAQSGSSAVLERMRRGYTREAYLELVQKIRSIVPDVGLSSDFISGFCGETEEEHAETLTLVRAVGYDMAYMFAYSMREKTHAHRNYVDDVPDDVKQRRLAELISTFRETTAKIYDSQVGTVQLVLVEGPNKRAPETEMIGKTDRGHRVSFATVPVPHTFEGDELRKPVVGDFIEVKITKSSTASLSGDVIARTSLSKFYKNHSSEAHAVAA >ORGLA11G0156300.1 pep chromosome:AGI1.1:11:16970984:16976013:1 gene:ORGLA11G0156300 transcript:ORGLA11G0156300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein, HflX [Source:Projected from Arabidopsis thaliana (AT5G57960) TAIR;Acc:AT5G57960] MRAACFFTAAAAAAASLPLPSTSASASACCQRRPASLRCSRPRRSFGVARALDERLVEAAPPAPPAETEVEEPGVADGGGEGEGEGEVEEAAPSGEEEEEEEQPARAPVRSRRRQEEEEEAAPGHDRFKLINGKEIFQEKAYLVGVECKRSGGSMFSIEESLEELEQLADTAGLMVVGSTYQKLSTPNPRTYIGSGKVAEIKSAIHAHDVETVIFDDELSPGQLRNLEKSFGGGVRVCDRTALILDIFNQRAATHEAALQVTLAQMEYQLPRLTKMWSHLERQSGGQVKGMGEKQIEVDKRILRTQISALRKELESVRKHRKLYRNRRQSVPIPVVSLVGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSVIVHLVDISHPLAQQQIDAVDKVLKELDIESIPKLVVWNKIDNTDDTLRVKEEAEKQGIICISAINGDGLEEFCNAIQAKLKDSLVPIEAFVPYDKGELLSDIHKVGMVEKTEYMENGTFVKAHVPLPLARLLTPLRQQVAAVS >ORGLA11G0156200.1 pep chromosome:AGI1.1:11:16967706:16969988:1 gene:ORGLA11G0156200 transcript:ORGLA11G0156200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEIVPQSFNEELDSTVTITPASDNIDVSDDTSVPHPSEVPATVNVVLVEQSDNSISEPLESDGANGATENVVPLASEKSTDNNDAMNSEPVNSEPYEASPANNAEKGDVMQQSDENNGDKQENQDLLSPMAETAGSDSTSVTSMEDVQEAKDAAPSETDDATGHPPDLSNDKACAGNGNGNVFQNAKCVLTTSTKKMKRSASATTRKSLQATNTDEGNASTLTNSKSSNGRTTTVPAGPVFRCTERAEKRREFYMKLEEKHQALEEEKNQLEAKLKKEQEEALKLLRKSLTFKATPMPSFYHEAPSPKAEYKKLPTTRPKSPKLGRRKASTAADASNSSEESDSTPRPCCRASRDSLDSTCKCSSSSSSNGKPQQPATAKPAASKKQPKPHAHKLSDQSAMNIAVH >ORGLA11G0156100.1 pep chromosome:AGI1.1:11:16953065:16955261:1 gene:ORGLA11G0156100 transcript:ORGLA11G0156100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEASPALPRRHIAHEIPRLLPSCGGKWPSSNAAFEGHNLVNCYAGNLSIAVIQANNSFKEQYRVESSQPFGTVIGIFDGHGGSEAAQFACDNLFLHLQENLSSSQRVTTDAISKAFKATEEGFIELVSRQWKTDPQIATVGACCLVGVVQQKTLFIANLGNSRAVLGKISCTGQIVAEQLSSEHIANDAWKAKGLVQVLRAIGDAYLKHPQYSREPLNKPILSANPSIVSHVLRPSDRFIIFGSAVLWEYLSNQEAVEIVKNHQASGSAKMLVKAALHAAAKKHNLHYSDLLKMDRDDPRHVHEDVIAVVLFINYDQLLKGKQGRPLSIRYPRSIQHGLVPSSRIS >ORGLA11G0156000.1 pep chromosome:AGI1.1:11:16949991:16951290:1 gene:ORGLA11G0156000 transcript:ORGLA11G0156000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVRELCYDIDDFVDELFHAAANVKIQRALQFKASPLRKKLPLFKTSQLQEKLSRFNASQLQKKLSWRQKIVDEVSSFSSCLKELIMLYKRYDLGSLERRSGWESNGTMSLTLPLAEETSQRCYLGMDKDMDKLVGLLNDGQQECKVIAIIGLCGVGKTTLAEELYQKFGFQFQCCAFLRLSRKPDMKRVLGSLFSQVRQHDPPGTVEVDNLSHEIKSYLNDKRYFIVIDGVWSSYIWNTINQTLPKNFYSRILITTEIDHVAQRCCVDNRKYIFKKEPFNKVESDEFFSRVHESKNAEYLKEISSEIAQLCSGLPLMMAIVASILTRLPPSTEQWNVVKKSLSS >ORGLA11G0155900.1 pep chromosome:AGI1.1:11:16939608:16945519:-1 gene:ORGLA11G0155900 transcript:ORGLA11G0155900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMAISPSIHLHLHHPPRLHRLLHLSTTSPYPWLSAWPTAHRRRVPLRRPASALDLRPEPSPSSDSDDDAAFGTSRSSSRSTMSLILSRLRNSGYSYSPPELPPRPPRGSVEDVFRVDDGVVPNARGGFDDDAESALVDARFPWELPMPPPEAGPRAARSKAWMAELTLPEAELRRLRHAGMRLKSRIKVGGAGVTREIVERIRDRWRNDEVVRIKVTGTPALNMRLFHEILERKTGGLVIWRSGTSVSLYRGVAYDIPEPTKGTSKNTQTLGMKSSIKEPPGHSLLPNEKVNEMQDNNGALVSNAEKDTLVEPVPEIKYEDEIDKLLDELGPRYDDWPRPDPSPVDADLLPATVPGYKPPFRVLPYGVRPSLSRRDTTNLRRLARGLPPHFALGRSRQLQGLAAAMVKLWEKSSIAKIALKRGVQLTTSERMAEDIKKLTGGVMLSRNNDFMVFYRGKDFLSPELAEKLLERERWAKSLQDEEQARLNAASSFSSRTEAPVEPTVAGTLGETLEANSKYGNKLDENYENKMTRTVEAARHADLVRKLEWKLQLAQKKIEKAERVLGKVETALKPTEGIQPPETITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKILVKAKSFGDVKKIALSLEAESGGILVSVDKVSKGYAIVVFRGKDYARPSKLRPRNLLSKRKALARSIEIQRREALSHHITTLNRRVKKLKAELLQMEGVKEEGDVELYAKLDSAYSSDEEDVEDEDDEAYLRSFDNSVAVQNGDDRTSLDGSDANSDDEGDYSDEDDDEDDDNDEEDGFDYENDDEDDVPPTTSDGDLYNHTDFGSSDSENYVSLSGRGDPDVKSKGSALDSRNSYSEQSTELTNTCS >ORGLA11G0155800.1 pep chromosome:AGI1.1:11:16931366:16935212:-1 gene:ORGLA11G0155800 transcript:ORGLA11G0155800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1R1B6] GLGEEAVEEEAGGREREAALLLVLIAAACGFVVVLLNLPDGRALPGGVPGAVGEHTGGTHVSVKERRMVEIVRQRQDVAAQELEGQTDENAAEADERISRSPPGTKEKLWMMQDQLIMAKAYLQFASLHGSAHLVRELKLRIKEIERVISHFSSSSRVPTSALQKIRAMEMTLSKAQRAYPHCSHMTAKLRAMTHQSEELVRAHRSETSFLEQVAVRTLPKGHHCLAMRLTSEYFLLDPKEREFPQRYTMQMGDLYHYAIFSDNVLASAVVVNSTISASKDPKRIMFHIVTDALNFPAMMMWFLTNPPNPATIQIKSLDHLKWLPADFSFRFKQKGIRDPRYTSALNHLRFYLPEVFPSLNKLVLLDHDVVVQRDLSGLWEIDLKGKVNGAVETCTSGDGYHQLESLVNFSDPSIINKFDAKACICAFGMNIFDLKEWRRQGVTTAYNKWFQADKRRRLWKAGSLPLGQLVFYNQTVPLDHRWHVLGLGHDRSIGREAIERAAVIHYSGKLKPWLEISIPKYRDYWNNFLDYDNPYLQQCNIHM >ORGLA11G0155700.1 pep chromosome:AGI1.1:11:16928742:16929274:1 gene:ORGLA11G0155700 transcript:ORGLA11G0155700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGITGSRALMVVALLCAAAAMTAAQQASNVRATYHYYNPQQNNWDLNKVSAYCATWDANKPLSWRQKYGWTAFCGPAGPRGRDSCGKCIQVKNRGTGATIIARIVDQCSNGGLDLDYETIFKKIDTDGRGYQMGHLQVDYKFVNC >ORGLA11G0155600.1 pep chromosome:AGI1.1:11:16924209:16925365:1 gene:ORGLA11G0155600 transcript:ORGLA11G0155600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTVMAMTRRVVMVVAVLCAVATMAIAQEASNVRATYHYYRPAENNWDLGAPAVSAYCATWDADKPLEWRQKYGWTAFCGPVGLTGQDACGKCLSVTNTATGDQITARIVDQCANGGLDLDWDTVFSKIDTDGQGYQNGHLIVDYQFVDCGDN >ORGLA11G0155500.1 pep chromosome:AGI1.1:11:16919393:16920024:1 gene:ORGLA11G0155500 transcript:ORGLA11G0155500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMRRVVLLVAAVLCAVVAMATAQEASNVRATYHYYRPAQNNWDLGAPAVSAYCATWDANKPLSWRQKYGWTAFCGPVGPRGQAACGKCLRVTNTATGAQITARIVDQCANGGLDLDWDTVFTKIDTDGQGYQKGHLIVNYKFVDCGDN >ORGLA11G0155400.1 pep chromosome:AGI1.1:11:16914508:16915465:1 gene:ORGLA11G0155400 transcript:ORGLA11G0155400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGRKIMGLVLGCVGLAAVMHVAAAQQAFGVRATYHFYRPAANGWDLTATGAFCSTWDAGKPFDWRSKYGWTAFCGPVGPTGRDSCGKCLRVTNRATGAQITARIVDKCANGGLDLDWDTVFSKIDTDGQGFQRGHLTVDYSFVNCGDNNHLAEVVV >ORGLA11G0155300.1 pep chromosome:AGI1.1:11:16910168:16910677:1 gene:ORGLA11G0155300 transcript:ORGLA11G0155300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGVCRCWFWYVAVVLSASGGAAAQQASGVVATYNPDTINWDLRAVTNTATAASAVARVVDQCSTGGLDLDVAVFRQIDTDGGGMANGHLVVDYEFVDCQD >ORGLA11G0155200.1 pep chromosome:AGI1.1:11:16905455:16907818:1 gene:ORGLA11G0155200 transcript:ORGLA11G0155200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCKKVLMLCGDYMEDYEAAVPFYALAAFGVAVNCVAPGKKPPGDACLTAVHEFLGHDLYTELPGHRFAVTADFAAAAASRYDALVVPGGRFVERLSVDPLAVSLVAAFAGETATRRRPVVVTCHSQLLLAAAGAMRGVRCTAFFSVRRVVELAGGRWVEPDPLGLCVADGSVLSAIGWPAHGEIIRELLRAMGARVAGGRGQAVLFLCADYVDDYEANVPFGALAGVGCRVEAACPTKRKGEACVTAIYDATPAAASDERRGHNFAVTTDWGDVDADRYACVVVPGGRAPELLATRGEAVALVREFAGKGKVVASIDQGHLLLAAAGLLDGRSCASGVATRVVAGLAGAASVRYGGAVADGKLVTAASWPDLAEFIAHIISLLGITVSF >ORGLA11G0155100.1 pep chromosome:AGI1.1:11:16884393:16887213:-1 gene:ORGLA11G0155100 transcript:ORGLA11G0155100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1R1A9] MKLLYHHAISNAPYLVLAAAAAAVALRASRLARTRADDLAAARELLASNLPLAVALLAAAVVLATAYLMRRPRPVYLLDFACYKPGPEHVVTRETFMAQSAAAGAFTGDSLAFQRKILERSGLGQGTYFPAAVLNSPPNPCMAEARREAEQVMFGAIDAVLAKTGVRARDIGVVVVNCSLFNPTPSLSAMIVNHYKLRGNVATYNLGGMGCSAGLISIDLAKQLLQVHRNSYALVVSMENITLNWYWGNNRSMLVSNCLFRMGGAAILLSNRGGDRRRAKYQLLHTVRTHSGAADRAYRCVFQEEDDAAGVGVALSKDLMAVAGEALRTNITTLGPLVLPMSEQILFLASLVARRVFGLAGVRPYIPDFKMAFDHFCIHAGGRAVLDTIEKNLGLGAWHMEPSRMTLYRWGNTSSSSLWYELAYAEAKGRVRRGQTAWQIAFGSGFKCNSAVWRALRTVEPGADERNPWAGEIHNFPVEVPKVEAVATATADAASS >ORGLA11G0155000.1 pep chromosome:AGI1.1:11:16877389:16880690:-1 gene:ORGLA11G0155000 transcript:ORGLA11G0155000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-D-mannose 3',5'-epimerase [Source:Projected from Arabidopsis thaliana (AT5G28840) TAIR;Acc:AT5G28840] MALNEEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEEMFCHEFHLVDLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTVVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFDNKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQLEKEKAEGVDLSAYGSSKVVQTQAPVQLGSLRAADGKE >ORGLA11G0154900.1 pep chromosome:AGI1.1:11:16868310:16872608:1 gene:ORGLA11G0154900 transcript:ORGLA11G0154900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAIGTLLPKLAELLKEEYDLQKSVKEGIKFLMAELESMQPALKKVSNIPLDQLDEQVKIWSREVRELSYNIEDIIDTFMLHADVVEPTNKHNFTWFINKCHRLAQVKIHHKIACDIKDVKSQVKEVMERRDRYMIDNIAAKTPTVIDPRILSLYEKATNLVGIDKASNDIIKRLFVEDEASKMLKMVSIVGFGGLGKTTLAKAVFDTLKVQFDCIGFVPAGQNPDIKKVLKDILIELNKHKYKELNASALSEKHLIDELREYLDNKRYLIVIDDIWEASTWKIIKCALADSNCGSKVITTTRISQVANEIADVYNMAPLSDDNSKKLFNNRIFGANYRDPSDHELVAATERILKKCGGVPLSIITIASLLVDKPVEDWSKVYDSIGFGPEDRNEVVQNTRKILSFSYYDLPSYLKTCLLHLSIYPEDHRIEKESLIWKWIGEGFVQEEQGKGLFEVGERYFTELINKSMIQPIEHHLYLGTVDGCHVHDMVLDLIRLLAIEENFVKVLDKMREEHNSYAQSTTVHRVALHKSWNQDNKNNLATSMKQLRSFNAIECPIMVMPPLANFQVLRVLALENCVFTGGCQLKHLGKLLQLRYLGLRHTHVADLPKEIGNLVHLQVLDVRHTVLKVLPATIRKLRNLMRLRINGNKTRFLTGLGKLTSLQELSLGAVSEDTCPNFSLELCKLMDLRILQIDWYTKTDKNSLDVLMECLLTLRRIQSIHISFAIDFSETPVMTGWEGWEPSRQLRLLHITGVRLPRLPVWVNSMRIPHLSILDLRVVTMEHRDLDVLARMPELRSLRLDITRRFPWTVVAGDGLFPNLRYCRMDIALTFLQGAMPMLVKVELYVWVAKDDAANDVGLGNLPLLNTVHIWLQCGGATAKQVEEAEAAWRCVAHAHPNHPAIHVNRLGELLMKKDKDDGDDEEEISGTHKVDGNDDEQDISTRDQEVYSYWFTHSMQI >ORGLA11G0154800.1 pep chromosome:AGI1.1:11:16861962:16865108:1 gene:ORGLA11G0154800 transcript:ORGLA11G0154800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSLPLGQXALSSPSXANYLVRSITCRKMXRRESSSSRLSSRACNLPLRRSQMCHXTSLMSKSRSGLGTLGSCPTASRTSLTPSCYGQMTLINPRKTYSHGXSISATNCLKXRSSIKXPRTSRMSRSKSRRXWNDGIGIGLMVLLLILQRLSILAYWHFTRMLQILLALTRQVMIXSRGCLRGTMSPRRSLSXYPXLELEDWVKQPLQRQCLRVLKCNLSVLLXFPWVRNLTSRKFSRTSLLNLTSTTIXNSIQWHXVKDIXLMSSENIFTRGGIXLLLMTYGRHQHGKXLNVLXLIVIVEVEXSQQLVFLKLLKKLVIFTXWNHFLLTIQKSYSTIECLVLNAKVERVINKPRQLKRFYKNVVVYHCLXLQXLVCXLINXWKTGPKYTTLSVLGLKIEMKQSKTQGRYCLIATMNCLHIXRLVCCTXAYFQKIVGLRKKSLIWIWIAEGFVHEEHGNNIYEVGESYFTELINKGMIQPMGYDIYSDTFDGCRVHDMVLDLIRILTNVENFVKVLDKPYDEHNLSLQISIVRRIALHKSSNLEKSHNLAASMPQLRSFNAIKCSISLMPLLTSFQVLRVLVLEHCDITGSCHLKHLGKLHQLRYLGLRYTCVDYLPTEIGALVQLQALDVRNTGLAALPASVGKLNKLMRLCVDRETRVLASVGNLTSLQELSLGLVSIDICSNFAVEVRKLSDLRILKISWLGETDKGLLKALVESLCSLQRIQHLEILFGSWLLVSHWEGWEPPRQLHKFCMDGLHVFLPRLPSWVNSMCVPHLSYLELQVLAMEPQDLDVLARMQKLRFLHVYLNTKFSWTVAGGGLFPNLRYXCTNIMLTFLQGAMPMVKHVELCVPASRDSVTKEVGLGNLPLLDVVSVLLDCESATGRVVEEVEAALRREVNAHPNNPTIQVNWFTHLRFV >ORGLA11G0154700.1 pep chromosome:AGI1.1:11:16847944:16853200:1 gene:ORGLA11G0154700 transcript:ORGLA11G0154700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAIGAVLPKLGELLKEEYDLHNSVKEGIKFLKAELEYMQPALKKVSNVPRDQLDEQVKIWANDVRELSYSIEDIIDTFMLQVDALEPPKNNIFTWLINKCQKLSQLMIHHKIGNDIKSVESQVKEVTERYNRYRIDSIDAKPPIFIDPRILGLYEKVTNLVGVDKASEDLIKMLSVGSDASKMLKIISVLGLGGLGKTTLAKFVFDNLKAQFQCFAFISVGQKPADIKNVLKHILIGLKKDKYKELDASQLSESYLTDEIREYLDNKRYLIVIDDVWEIFTWKRINCALVDSNCGSKVITTTRISKVAEEVGDVYRMKPLSSDNSKRLFNNRIFGIGSNGPTNNQSVEATEKILKKCNGMPLSIVTMASLLVNKPVEDWIEVYDSIGFGPTGQNQEVENMRKILSFSYYELPSYLKPCLLYLSIYPEDHYIRKKPLIWKWIAEGFVQEEQQTWLFEVGERYFTELINRSMIQPLEMCGKVFSCSIHDMVLDLIRILATEENFVKILDRFYDVHSSSSQSSTARRVAWHKSLNQDKMDNLTTGMAQLRSLNAIECPISMIPPLVSFEVLHVLALESCGVIIGYHLKHIGKLQRLRYLGLRGTHVTVLPSEIGNLMQLQVLDVKRTGLNALPATVGKLRRLIRLCIDGDIPCGVGVLTSLQDLRLGKVSDDSCPNIAVDLCKLTDLRKLTISSLHLDEGSLKTLVESLCTLRKLQSIKIKGSWKVLEGWEGWEPPRQFRMFYVDGSLPRRPTWVDSVRIPHLTDLNLHLLAVEQRDLDALAMMPELRVLEVNSDLSISWTIAGGGLFPSLRSFSTDIEIMFLQGAMPMLTYIAFEPSGDDSANDIGLGYLPQLNRVFIHLLYCSDLTARQEKEAIAVWWRVINSHPNRPNTNVKIGDEVRLVFVNTPSHHKEF >ORGLA11G0154600.1 pep chromosome:AGI1.1:11:16839902:16840478:-1 gene:ORGLA11G0154600 transcript:ORGLA11G0154600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETIFFNVLITSKAGWFLQHEEGKGWYKFDDECVRPITEDSIKTPAAYVLFYTRE >ORGLA11G0154500.1 pep chromosome:AGI1.1:11:16838725:16838946:1 gene:ORGLA11G0154500 transcript:ORGLA11G0154500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVDGDSIWHTMELLCAYGMRSRIWKESKFGTFGYVKFLSCTSGFPKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA11G0154400.1 pep chromosome:AGI1.1:11:16835730:16836384:1 gene:ORGLA11G0154400 transcript:ORGLA11G0154400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLTELELLPWESKYWAASDVGLGHLPLLNRVLVFLDCEGATGRQVEEEEAAWRRMVNAHPNRPSILVHRLREGWMKRDEDDDDEEISAKDHVDGNGADGNSAYTGQEPDNDPAKEEEEETTN >ORGLA11G0154300.1 pep chromosome:AGI1.1:11:16834322:16835425:1 gene:ORGLA11G0154300 transcript:ORGLA11G0154300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKTLFYNRIFGAACNGPTGNQSVETTEKILKRCGGIPLSIITIASLLVDKRMGDWSTVYDYISFGTGDQNEAVHNMRKILSFSYCNLPSYLKTCMMHLTIYPEDHFIGKDTLIWKWIAEGFVHEEQDKGLFEVGERYFIELINKSMIQPIKEFCNVNGCRIHDMVLDLIRKIATEGNFVKVFDKLHEMHGLSSQRTTIRRIALHESWNQGKNNDLAVGMTHLRSFNAINCTINMMPSLLSFHVLRVLDLDDCNVTGGLYLKHIGKLRQLRYLGMKNTSVAELPTEIGDLVNLQTLDVWEIGLRELPSTICKLSKLMRLCVFGITTVPMGFGNLSSLQYLELGEMFHQKYRRLRHGGGQADGAENPQY >ORGLA11G0154200.1 pep chromosome:AGI1.1:11:16829453:16829740:1 gene:ORGLA11G0154200 transcript:ORGLA11G0154200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVVGIGGLGKTTLSKVVFDMLKLQFDCAAFVPVGQDHEIKKVLKDILVELNKDKYMSFDVTGVSERHMINELREYLDNRRLVCSTHHFFVFHV >ORGLA11G0154100.1 pep chromosome:AGI1.1:11:16806123:16809704:1 gene:ORGLA11G0154100 transcript:ORGLA11G0154100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLKVYADRRSQPSRAIIIFCRVNRIDFEEVTVDLFKREHLSPEFKKINPMGQVPAIVDGRFRLFESHAILRYLATVFPGVADHWYPADLFTRAKLEAILDWHHSNLRRGAATFILNTVLAPSLGLPSSPQAAKEAEKVLFRSLRLIESMWLKGNAKFLLGNPQLSIADLSLVCEIMQLEVLSDSERDRILGPHEKIRCWVQNVKKATSPHFDEVHELILKVKTRTAAKLGSELSKDLKTASKL >ORGLA11G0154000.1 pep chromosome:AGI1.1:11:16794556:16799500:1 gene:ORGLA11G0154000 transcript:ORGLA11G0154000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1R198] MADHAVHVLSPPCVCATLVGAASFSSLRAAAAAAAASDGHLGRDRQKEEAAAADEHACSSWEDAVIAAGMMGVQLAGAAYMVVLAPAMERGLDPLFLVTFGSLANAAFTLPFSVALERRLLWPPAEQLLTGRLLLRFVLLALGGVTGFQALMLQGMKRTSPAIAAAMPNLTPGFIFLVAASLGLERVRLRCCYTWAKIVGTALCLSGAITMSVIQSATAPPPTSPASGWAAGCFCLLGAVVVVSCTTVLQAATMVGFPAPITLCTVTSFLGAALTAALQLVARGSLAGAGAGAGVVGLRTVLALVLVGGVVSSACVAFQAWALKKKGPVVVSMFSPTQTVGSAVFSAIFLGRVVKSGSVVGMILLFSGLYVVLWAKKKETTIINSDDSCSSMAVASKDGDDPEKQPLLSRRH >ORGLA11G0153900.1 pep chromosome:AGI1.1:11:16778633:16780936:-1 gene:ORGLA11G0153900 transcript:ORGLA11G0153900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMGADEEEERRMHQGCMAGFFHLFDRPQILSGKRLHHQPRRLLSSSSGSATPSERSMQLDRSPERATPLPSPDMTPPAAPRPSLQLPPLETKDGGGAPAVWRLPRLSLDSRAVVDARGKLRPRDIRTSPAAPPSPGAGGGDERRSPSVVARLMGLDALPRGAPDERDAAPCGGELRRSASERVPRDPACFRFVDPSFFERPSPPVTPQQQRPSSPAAEAALRRAPDPACPRGGIQRRSRFDAHDVFPEPAKRVVDSAAAGHGEIALYGEIERRLRKRGIAEPARDLETLKQILEALQLKGLLRHTPPPPVSSLRTQPPPPPIVVMRPSSRPPQPPASRTSPTRRVRVDADNARRPRSPDRAASPARSPASPARRGPQSPQRRVSPAQSPRYQPPLRKPSTGDRSRIARRGGHGSAALSPDDDASTTFSDGTSSGSLSASSRWDFEVSPPPPMAATISEFLSSNESFAMQQRARPDELRTDRGLLERCGKLLSSIQAFTAGDDQQPSPVSVLDAAAFLADEDSPSSSGSKRAIFFGAAVPAPHAATASASDQDDDDDQWDTWTVGPDVDPDYAYVAEVVKVFDRTTRDPSAVYAAAAKTTTGGDDDTCHHHRMLLCAAAVEALDRRRAACAWDPVSWLRGGELVDHVWAEVRRAREPVAAPDADLDLNELIRGGVVRDLAADHHRWPPSSSQLLPGAEVADAVLQIERLVFKDLVADTIRELADVGLRLPRRKLVF >ORGLA11G0153800.1 pep chromosome:AGI1.1:11:16768613:16770649:-1 gene:ORGLA11G0153800 transcript:ORGLA11G0153800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAGYRYQALKEALAAAISGRDLARHHAAADHRRPHALAVVSGLASNGYVASLLVFRYFRLGDASAARKVFDGAATAAAAPQKALLYNAMLRGYLAGGLPRMAVGVFGEMAAAACLPDRHTYHLAVAACARASEFEVGRRIGAEAAAKGFASDLLVATALIGMYAEAGDMGAARKVFDGMPRRDAVAWNAVIAGYARGGHLREVVELFMRMRSVDVVLPTEATLVTLVSGYAGFGSWEGRGMMHAIVIKLGFQLNLFVSNALLDLYVEFGCLREAVMLFCQMAVKDSVTWSAMIGGLVRNGRPDSALKLFYWMVSNSTVLVTRSILLNVIMACAELGEWREGKWVEENYVCCNGFEFKRDPSVVTALIYMYAKCGMLDSSVSLLYGVAEVRDDVFAWNAMIKGCGELGLVEKAVGFVVEMQKIGIDPDAITYLEILPMISSIPSLKTGMEAHAQIVRRGFLNERAIANSLISMYGRCGSLRHSIEVFSRIVVKDVISWTSMMQVYAWNGHGNEVVKLYEVMKKTETEPNHYTFLAVLSACKNTGLVEEGMELIKYMQEKCGLKPEIEHISCVVDMLCRAGRLTDAYHLIKYNNSEHINNTILWGTLLSASRSCGDSVIGEAAAKHLLSLDPENRANSKMLADIYVLLGRRDDADNLLRVSMTRGLDIRPGCSWMEGV >ORGLA11G0153700.1 pep chromosome:AGI1.1:11:16754840:16757643:1 gene:ORGLA11G0153700 transcript:ORGLA11G0153700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor C1 [Source:Projected from Arabidopsis thaliana (AT3G22950) TAIR;Acc:AT3G22950] MGAWMSRVWFLMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNIRFEVWDLGGQETLRTSWATYYRGTHAVIVVIDSTDRARINIIKDELFRLLQHGDLEGAVVLVFANKQDLKDAMSPAEITDALSLHSIKNHDWHIQASCAITGEGLYDGLGWIAQKVAGKATTS >ORGLA11G0153600.1 pep chromosome:AGI1.1:11:16747017:16750502:-1 gene:ORGLA11G0153600 transcript:ORGLA11G0153600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTHATFLAPTAPPIPLHRRHLLLRGRPAVSTRVRATARGGDGGSSYLDMWKKAVERERRSAETAHRLQQSSSAAAAAAAAVKEEEGGGKAAAAAGDVERRTARFEEMLRVPREERDRVQRRQVIDRAAAALAAARAVLKDPPPPPPPSPPSTPPQEREQQQKPAATAIQAGSESGLGSRTAPGESDRASPPPPPPVTATATEAAKVSVPDSGDSSPFKKSSSKLGTPGPDFWSWLPPVENSTKLGEIDTGLKPSEKLDSFAGQPDLLMEKELSEDILSLPFETSFFKKKEDRSLPPFQSFAEPENVESEPSITADAEETFEDQFSKNAAEAARALSASDEKSSHGVRPDGSLWWKETGVEQRPDGVTCKWTVIRGVSADGAVEWEDKYWEASDRFDHKELGSEKSGRDATGNVWREYWKESMWQDFTCGVMHMEKTADKWGQNGKGEQWQEQWWEHYDSTGKAEKWADKWCSLDPNTPLDVGHAHVWHERWGEKYDGCGGSAKYTDKWAERSEGDGWSKWGDKWDEHFDPNGHGVKQGETWWAGKYGDQWNRTWGEHHNGTGWVHKYGRSSSGEHWDTHVPQDTWYERFPHFGFEHCFNNSVQLRSVKRQTSKNTKPEND >ORGLA11G0153500.1 pep chromosome:AGI1.1:11:16744781:16746060:-1 gene:ORGLA11G0153500 transcript:ORGLA11G0153500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHLNEQQISDFRDAFSLFDKNNDGCISREELATVLTRLGMAPSQEDLQDMIVAVDEDGNGTIEFDEFIAIMKKKLYENGKGDEEEELRKAFRIFDKDDNGFISRNELSMVMASLGEEMTEDEIDDMMKAADSNNDGQVDYEEFKRVMMSTWNITEIFKPHVTIWCCKP >ORGLA11G0153400.1 pep chromosome:AGI1.1:11:16731667:16743871:1 gene:ORGLA11G0153400 transcript:ORGLA11G0153400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLACSGRRRRLLRAALLLLLLVLVAPPRRCAGESATCLAVYREGGAPAVFQSAHCPRWTLLAPSAGSGGEGDGDRRSSSSSSPPPPPHPRGCHVAVDRGRRRSQEDRAVCALGIRIPFIEHMRIKEVDVGVVAVFDGHNGAEASEMASKLLLEYFLLHVYFLLDGIYSIMFRKSTGKLTYKEVTILNNVINLYKEDQSSHSKGSCWALPAILDRSFHMEVLKESLLRAVHDVDLTFSKEGKRRRKRNSNNHDDFALANYDGPFYNVKELTKDHHPDREDERSRVEAAGGYVLEWAGVHRVNGELALSRAIGDVPYKRYGVIPTPELTEWQSLSANDTFLIASSDGVFEKMTMQDVCDLMLRVKLGVNQELGSFAVTQQNLADYVVDLALEKGTTDNVAAVIVPLGSHYSSKVTLEDWYMLEENSKTSISPLQTIPYQQKSDDGVSSALIGMDYYKRSSAKFHRFLVEAKMKRLGCFYLSESLDEDMDYIFRVPEAYQREGVNDFDHSPVETVLFSDGNLDKYKDRHFCWYLGHQDGEMGRCHSPEAFANFFGLLDSVSHNGSKPHSSHSFGYKISDFRYKLKKRFDRGSYGEVWLAFHWNCSEDVDVHKDHSHFNTILKPDSYNCTSSNTTLPDENHVSDTIDGDLFILKRIMVERGRAAYLSGLREKYFGELFSNASRTLEALSRAESSTAFSVDRQLNPHIFAENNMSFTEEPLKHIARFIESFESELKELWLVYRNEGRSLSKLIYTAEETKLVTGNNNERVRHIQVLQPSNWWYWLRTTKAGQKQMQNLLWQLLMGLKACHDRNITHRDIKPENMIICFEDLETGKCLREIPSEAKHNKLNMRLIDFGSAIDDFTLKHLYDSGPTRSEQTFEYTPPEALLNSSWFQGSKSARLKYDMWSVGVVMLELIVGSPHVFQISDRTRALMDHRLEGWSEQTKELAYKLRSYMELCILVPGISSQHQGSGDSEHGQFRPASWKCSEESFAHQVKIRDPLKMGFPNIWALRLARQLLIWHPVSILNPNL >ORGLA11G0153300.1 pep chromosome:AGI1.1:11:16728645:16730805:-1 gene:ORGLA11G0153300 transcript:ORGLA11G0153300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPSFPSSPFLFLSLISLWAGRPAVGRRSPGRGGPTAGRRCGGGDTERMGGRRRRGHEGDRALRQAEWGDGEAERHHAKLVRRQRAEKAGEKVAREAGCTERGRLVARKASGARRWRTTTTTNGYAKLEMKFNGKRPNFVMSAWMVTPIIYLNTTLDVISWRSCVADQEKDLHEAKYKCLI >ORGLA11G0153200.1 pep chromosome:AGI1.1:11:16722658:16726983:1 gene:ORGLA11G0153200 transcript:ORGLA11G0153200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSELTSCKETKLHSAPHSWLPLEKGKLSKFAGHSTSSIESLMKMPEPAVLPHFKPADYVDILAQIHEELESCPPDEKSCLYLLQFQVFRGLGEAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEPISDLLSSCGKCSQEFKLLDFVSQISTGSHEISYDDESDVFWGSPVVHFRIRDDMIACDRRKLAALSTPLYAMLNGGFRESHLEVIDMSRNGISSIGMRAISKFSLTGRLPYLSADAILEMLDFANKFCCNGLKDACERKLASFICSRQDAIDFMECALELGCSILAAACLQVLLNELPECLNDEQVVRIFSCASKQQRSTMAGNASFSLYCLLSEVSMSINATSDVTVTFLEKLVDSASDSRQKQLALHQLACTRLLRKDYAEAERLFNAAFTAGHLYSVVGLARLASMRGNKHFSLKLLDSVMSSRWPLGWMYQERALYLEGDNKLENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDHRAALCDVQAILTLAPDYRMIGGRVSAKQLRMLVMENVEQWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHAASQHEQLVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSLDPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDLAAECYINALKIGHTRAHQGLARVHFLRNSRTGAYEEMTKLIEKARSNASAYEKRSEYCDRELTKSDLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIAELTKAIAFKADLNLLHLRAAFHEHVGDISSALRDCRAALSVDPNHQEMLELHHRVNSQEP >ORGLA11G0153100.1 pep chromosome:AGI1.1:11:16711418:16714794:1 gene:ORGLA11G0153100 transcript:ORGLA11G0153100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLRAASVLRRAAAPXXXXXXXXXXXXXFRHLFYLQNLPAVCLNGYSTLLAPANEVLIPQELLSSKTVWTPDRELGQYEDLVARVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYNQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFDDLEVPSHKTKNIVQYIGQMEGTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRNAINRIVERMHTPISR >ORGLA11G0153000.1 pep chromosome:AGI1.1:11:16709535:16709997:-1 gene:ORGLA11G0153000 transcript:ORGLA11G0153000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHWDFGSERKKEIERRLAPRSVSTTRSGVHEHVEDAAMIVHGRGLGDSNKASTPPNTTSRFAHSLVSDEKTSKVMPIPAAAPLASRAAASATVAPLRPSPRREEEREDSGKTEGEDDIWGPHRIAT >ORGLA11G0152900.1 pep chromosome:AGI1.1:11:16701185:16701592:-1 gene:ORGLA11G0152900 transcript:ORGLA11G0152900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAALLAAARRCVGGRWFLAARGEDSAEALPSSLRWLSPPPSSALPSSGGSRRPHARLRERSAAATSVVFQRLAGASSPSILASARRLFLAVGGEEDATSVIHGGRRGGRRLRFLELCSCVHRSQNPPFCWFL >ORGLA11G0152800.1 pep chromosome:AGI1.1:11:16662197:16663687:1 gene:ORGLA11G0152800 transcript:ORGLA11G0152800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSIARIINLGDLAMRPKKLCRLLIKLLSDLLLKESGKYEYVHPPPMETQAADLPELSQDMLMEIFALLEIPDLVRAGSVCQSWRSAYTSLRDMGQYKQQTPCLLYTTESSGEKVSCLYSLVEKRAFRLTLPGTPLHKRLPIGSSHGWVVTADELSELHLVNPITGQQIALPPVITIEQVKPIFNDIGVVQGYKIGWYCAEKDYGDPYGEPSPILTPSELRDHLYYKAFVFPDPLTRSFIVVVIHYPFCQLSFARVGDDKWTWLPHNTRYRDCVYHDGLLYALTSHGQIDAFDITASVVTRKVIIKHMKGISESMYIIRAPWGDLLQVWRTVDAAEQQDGDDDTLCYETEDGIVPVMRTKEIKVFKVDMAANKLVQINSLPYHVLFLGHNQSICLRAEEYPQLRANHVYFTDDHVDLLMLIKNGPRDIGVFDLENRRRKKTISPIWSSWPSPVWITPSIAKADGWCVPCGVGTPVMGGRELERREGGLMACSLT >ORGLA11G0152700.1 pep chromosome:AGI1.1:11:16654983:16655132:1 gene:ORGLA11G0152700 transcript:ORGLA11G0152700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLIETRSTDEHVVRKRIIAEDDEKQSAQSGIAVGGEGERRRLLGHNI >ORGLA11G0152600.1 pep chromosome:AGI1.1:11:16647464:16648093:1 gene:ORGLA11G0152600 transcript:ORGLA11G0152600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVADPALLGGVQDGLVLVILAARGMGQTVQTRGANGGRRRAGRAARGEEGTAASARMRHASCRTGSGRAAARMARRGRSVTAEALQDGVTAGATSDGGGGRFLGAVVGKCAAARGGGVTVGSGDRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA11G0152500.1 pep chromosome:AGI1.1:11:16641549:16641854:1 gene:ORGLA11G0152500 transcript:ORGLA11G0152500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARKHMQVPTYLGMLDVGGSLVPGALYNRVWRMELSSCEVHEDRGSRFGLYRRIHLNTVQIRREKYIMTDYLFKWGGSVRCVQAEGTTGFVNGMPSRTDT >ORGLA11G0152400.1 pep chromosome:AGI1.1:11:16639136:16640332:1 gene:ORGLA11G0152400 transcript:ORGLA11G0152400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPAAKRRRRCCSLPPELNDDVIGEILLRLPPGDPALLVRCSLVCKPWRRLLSSDPVFLRRHREFHLRRRTPRPLLGFLFNQLGEDPGVAWFAPTSSLRRLPHPHHCDWYALDARHGLVLFSTMLSRDAAEHELVVWDPMTGRRWRLDFPGYLEDFNWSASVLCAADGCDHRHCHGAPFLVAVVSTGRYCNTSAAIYSSETGAWGDAIALEREHPDPDDAVKVGKPGVQVGNAIYFPCVRSAQILECDMSGHTLAMFDSPAAGRGWPDNGLLMTAESGGGGGLGFAFARRSMLHLWSREPTGDGAMAWSPLRGINLEPLLTVLIRRPREHHSVTPNLVGFADGVGVIFAEIDGDVFTIEVSSRRGKKVYRREDIHTIFPYTSFYTPRGGINFDPLP >ORGLA11G0152300.1 pep chromosome:AGI1.1:11:16634029:16637015:1 gene:ORGLA11G0152300 transcript:ORGLA11G0152300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14850) TAIR;Acc:AT4G14850] IAPALPPFICAHLVNLYSKLDLPAAAAAALASDPHPTVVSYTAFISGAAQHGRPLPALSAFAGMLRLGLRPNDFTFPSAFKAAASAPLRSTIGPQVHSLAIRFGYLPVDPFVSCAALDMYFKTGRLKLARHLFGEMPNRNVVAWNAVMTNAVLDGRPLETIEAYFGLREAGGLPNVVSVCAFFNACAGAMYLSLGEQFHGFVVKCGFEMDVSVLNSMVDFYGKCRCAGKARAVFDGMGVRNSVSWCSMVAAYAQNGAEEEAFAAYLGARRSGEEPTDFMVSSALTTCAGLLGLHLGRALHAVAVRSCIDANIFVASALVDMYGKCGCVEDAEQIFYETPQRNLVTWNAMIGGYAHIGDAQNALLVFDDMIRSGETAPNYITLVNVITSCSRGGLTKDGYELFETMRERFGIEPRTEHYACVVDLLGRAGMEEQAYEVIQGMPMRPSISVWGALLGACKMHGKTELGRIAAEKLFELDPQDSGNHVLLSNMFASAGRWAEATDIRKEMKNVGIKKDPGCSWVTWKNVVHVFRAKDTKHEMYNEIQALLSKLRKQMQAAGYMPDTQYSLYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICVDCHRAFKFISGIVGREIIVRDNNRFHHFKQYQCSCGDYW >ORGLA11G0152200.1 pep chromosome:AGI1.1:11:16631198:16631963:-1 gene:ORGLA11G0152200 transcript:ORGLA11G0152200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKLPLLLVLAVVTAAVSSAWLPSRASAASDAAAGGEYCRDSLSGLLACRDFMFGGAAVASPACCAAYSAAFDADPFCLCYIADGVYGRSTGYDVNVTHALEIPVSCGLATPPIELCNMQGLVLPPYEPSSPQQPPSAGKLAESPAATPAQSPTAAPSLPQAPKPSSPPPFTSPSPLPPPPPPPTSHGARGATMGIGTVAAAVAMTTLLALLS >ORGLA11G0152100.1 pep chromosome:AGI1.1:11:16627560:16628485:1 gene:ORGLA11G0152100 transcript:ORGLA11G0152100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLGGLRRALAALVRARALPPPSAHGSSPVGELTTAVGGAISWLVAQRTRSCLADKGNTGGGGRRRSVAEFPAAEAVSSPAELPATQSPGATVLGAAEAVSFPAKLLGPGGGGVSPRGWLALARTRAPAIAGGFLARGAPGGRGGVVFPDGSWLSRAPGVARVARGKGGRAWTRGRRRRNQPSRERNPRAFLASCRVEAETAEAPRRVPVLAAEFLASSWWRKKMEARVFGGKKRAELRELLARWWWREKMILTASSWRRRGGVIWGILGEKVEQEDDYDGDFLVV >ORGLA11G0152000.1 pep chromosome:AGI1.1:11:16621454:16622932:1 gene:ORGLA11G0152000 transcript:ORGLA11G0152000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLCKYSSRRRISPCKRGSICSNYPMAPCSTIARIMNLGDLARCPKNLCNLLFRVVQSKLLAPLHSSLLKEVQKDDGDQPSMAESVVANLPELSQDILMEIFALLEIPDLVRAGSVCNSWRSAYNELRSLGIYKLSQTPCLLYTSESAGDSVVCLYSLVEKREYMITLPEPPIRSRFLIGSSLGWLITADDLSEMHLVNPITGEQIALPSVTTMEHVNPIFNESGALHKYEFSLHTATRVSYAEPSIFALGELRDYIYSKAFVFTDTFTGGCIVVLIHEPAGQISFARVGDDKWTWHPSHSHYSDCIYMDGLLYALTAQGEIHTLDLSGPTITMKTIIGSLSYSRYIVQAPWGGLLLVWRSVEDIEEDYEADLPADHATFVRYTREIKIYSVDTMGKKHVEINNLDGHVLFLGHNQSLCLSTEQYPHLKENYTYFTDDDEAWLFGFKNKRRDIGLFDLKHNSREELVSPQLWSNFPAPVWITPSFTKLNFA >ORGLA11G0151900.1 pep chromosome:AGI1.1:11:16617344:16617619:-1 gene:ORGLA11G0151900 transcript:ORGLA11G0151900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSARGREGERSIIKPLQRVVEAVLVWRMESISVVFAGPRHRIVVVVRCGLGDIDRGRTAMMTYEACRSVASRRTGTGCDDALDYLNFDRCR >ORGLA11G0151800.1 pep chromosome:AGI1.1:11:16614997:16615993:1 gene:ORGLA11G0151800 transcript:ORGLA11G0151800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKSTAAAGVLLVLLVAAAGGGAEAAATTCVASLLELSPCLPFFKDKAATAAPEGCCAGLSSIVKGEAVCLCHIVNHTLERAIGVDIPVDRAFALLRDVCRLSPPADIISTCANEKGGVPPLYSCPAPSA >ORGLA11G0151700.1 pep chromosome:AGI1.1:11:16610474:16611661:-1 gene:ORGLA11G0151700 transcript:ORGLA11G0151700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKWKGGGALLLLAAGLLLVAVAAAAEEGRRDPKEELRWCKKQCRWEAGQDQRQLRECEEQCRQRQQEEEDDDENTHGGGGKECRRECRGYRDEPWRKQECMRQCEWRRHEQHHHGGGGGGGGHGGSRPDCREQCEHQQDWWEKQRCLMDCRHRRQEVDADDDNHHGRDPCYKQCRHHHDQWKKQQCMEECRYHQRQQDAAVDVDEEDDNHGGDRCRKQCQHHHDQWKKQQCIQDCRYHHRQEDDVVEEEDGHGDQQCRKQCQHHHDQWKKQQCMQDCRQRRRQEEEEAAVDEEEDHNYGGEREQHCRKRCQHHHDQWKRQQCMQDCRYRRQEEDDVVDDDNHHGGGGGHGGDHCRRQCQHHREWHERQRCMRDCHERRHGWATVAAEAILQAV >ORGLA11G0151600.1 pep chromosome:AGI1.1:11:16600756:16608301:1 gene:ORGLA11G0151600 transcript:ORGLA11G0151600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSYAVVSISGPTGSGKSTLLNQLFGTSFTEMDALKGRSQTTKGIWIAKAVGIEPFTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVMINLWCHDIGREHAANRPLLKTIFEVLMRLFSPRKTTLLLVIRDKTKTPLEYLTQALKEDIQKIWNAVRKPEVYKEAALSEFFNVEVTALSSYEEKENLFKEQVGQLRQRFIHSIAPGGLAADRRGVIPASGFCLSALQIWKVIRENKDLNLPAHKIMVATVRCEEIADEKLKSFISDKGWLELETAANSGLVPGFGKKLNAILDFYLSDTHMMLSRKCXNTCIMWFXTNSKVIXNNHXGAVRDLQHQLVIVCSHQWRNLMLDXEIILEIRQCSTXVNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHARSIVEEKAREEAGNVLMRMKERFSTVLSRDKDSMPRTWKGNEDIRAITREARLAALRLMSVMAAVRLDDKPDKIDRALTTALLDGGPLSQKRSIEFTSDPLASSTWEEVSQKNTLITPVQCKSIWRQFNAETEYAVAQAISMQEAHRRSNNWLPPAWTVLLLAILGYNEFIFLLRNPLYLLGLFVAFVVSYAAWLQYDITAYFRHGTLSGLLTITSGFLPTIMDIITAVINMSHNQKSSSHPPRHRPPLHPQSFRNQAQQQSQAQVQYQAPSSLSSSSSVGSNSDDES >ORGLA11G0151500.1 pep chromosome:AGI1.1:11:16589181:16591206:-1 gene:ORGLA11G0151500 transcript:ORGLA11G0151500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGVELARRRRVHYHGDGAAAGAGFGEHHHGHYNYFHQQHHRQAVASAEAGAGAGGEVSPAVAARIRLEEKLRGAAAAPSSSLSRWGRRFHERDGSTTSRQQNNQQEQQIQLPTEPRPTPKPSMTMLEAPSTRKAPRREMRRTLSKADLCAVCLDEVRERHQRVTRLPCSHKYHSECVLPWLAIQPDCPCCRTQVPSVDSLFVA >ORGLA11G0151400.1 pep chromosome:AGI1.1:11:16583500:16587238:-1 gene:ORGLA11G0151400 transcript:ORGLA11G0151400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSRVRLVLVMVSLQAMIAMLPDGAGAQNGDGSRCSKVRCGMGSCSESSDYVFGFACRCNPGWSRYHLGNLQFPFLPCVIPNSCLLQYCGDGGSCERSSEFGHRCACHDGFQNLLNDTSYPCYQQCSLGSDCSGLGISMFNGSQPGTAPPAPFSFTVKKSGAAASSLLPAGGGVLLHLLLVLVSSFMIG >ORGLA11G0151300.1 pep chromosome:AGI1.1:11:16582328:16582764:1 gene:ORGLA11G0151300 transcript:ORGLA11G0151300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLQAAILTAASVIGLTIFTFWAAHRGHDFTFMYPFLAASLLVLLAYLIIQICFPLGRAGMTIYGCLATVLFSAFIVFDTNQLIKRHTYNEYVIAAISLYLDVINLFMAQLSFSI >ORGLA11G0151200.1 pep chromosome:AGI1.1:11:16566499:16568810:1 gene:ORGLA11G0151200 transcript:ORGLA11G0151200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGAVSCCRRGSWRRRAAALALAVVVVFAAAAVAAAARRPIRVLRRPAAAAAHVRRLDSSSSLMLARRTNAAAGGGDNSDVRRRRLIGPGSSPPTCRARCGRCAPCRPVHVAXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA11G0151100.1 pep chromosome:AGI1.1:11:16550192:16550739:-1 gene:ORGLA11G0151100 transcript:ORGLA11G0151100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDHEEEDACGDPVDLLDGTAMVSRSFYDQAVPVHQINWHALNLKLWTYTEVKQIFEAIVFSHQQILMIQDRSERLKANLPKSGWKHLNT >ORGLA11G0151000.1 pep chromosome:AGI1.1:11:16540844:16543124:-1 gene:ORGLA11G0151000 transcript:ORGLA11G0151000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECESSSFWATPSPSVSHAIVLRPPGVTVVSPPPLALALAAPSPVRRISLAIARPSPPASAAPSPIRHRHRRTWWWAGGEGSSSGGQRPEGVELRWQSSIRARGCGGGGWTGDGSGRGGGSPVRQRLILGTIRASSPEVTLVLLLRAVHTHIWRRPHGGGGALPRQEISPEKQTSYQCQCSFLEVSISDMMQILLNAFQIRENACNGVHVENLTDEYVSTVEDVNQILMKGLPNRKVGTTSMNLKSSRSHIIFTCVIEAWSKGCSSNGFSSSQTSRITFVDLVGPDNDELDGGSKHCTRE >ORGLA11G0150900.1 pep chromosome:AGI1.1:11:16535367:16537928:-1 gene:ORGLA11G0150900 transcript:ORGLA11G0150900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGLLLRPPPCVAMCTPSPSPFPSSQRRRRRRLTLAQPYCTLGLSFVSGRHHRFLLRRRRRTESKRTSRGMGVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFRSTLEREIGLDEVPPSTNYRPPTMNNSQQPAIDQSSDDKPEAAPYTSEELIKVTEEQLAASAAAAWNTQEPPPSQQKEAAATSESNDGAISRGSDGAGAAMSESNRNISEKTETER >ORGLA11G0150800.1 pep chromosome:AGI1.1:11:16527817:16530615:-1 gene:ORGLA11G0150800 transcript:ORGLA11G0150800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRRRGRGVAPPPDPSPATIDYYCSLKEIAASGAPGAEDFVRNHGLHLLLFETPSGFAIFSLCGAEIHIPDALESIWVKFASFRPASTIIWLKEFQKFDDNSSAINVGNGVNKQLTDMIMQWRRPGQKLVVGKPEYKSTIETFLGIPCLHDEVVMEVMWGMQRFMSNFVPAEESKLPKEDSLPMSQGLLMFLSRYGFDVKPEMVNEDIVRAAATLFHCDIIEKKCCRALLDVGHYLKRESGIDYENWDTLKLATAFKIICSRKIGGSDEMFSDDVQSKLLDA >ORGLA11G0150700.1 pep chromosome:AGI1.1:11:16524139:16525404:-1 gene:ORGLA11G0150700 transcript:ORGLA11G0150700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVIAAAPRPSYLFGILVKTETRPVELKLPELGQERPHQAGALAVVLAGGGRPAWDNTTGHDPTFLWNMPKAGMDQVRTAELHKHGTEAAAVTVRLRGGHGRVRRRPPVHLLHHGGAVRGDRLCGACP >ORGLA11G0150600.1 pep chromosome:AGI1.1:11:16521447:16523434:1 gene:ORGLA11G0150600 transcript:ORGLA11G0150600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPLLRQELDNLDKDADSRRNAMKALKSYAKHLDSKSIPHFLAEVSDNKAAPGLPSGEFTISLYEVLARVHGRNIVPQVGNIMSTIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPSTPDEEKAGIIASLCKPLCGALMGNQDGAASGAALCLKALVESSNWRFAASETVNEVCLKVAGAMHDKSTQSNAHMALAMALVKHNGLIAEAYARSLVRSALQILDGDTAESSSQKRLSAIQMINFFMKFVDPRSISSELSKVVDIMEQCQNDRMPFVRGAAFEASQTAKSIAAQKGSRHEVSTSPMVGSNFQRRREKSPCRSLWNAKGMAASPVQFQSPESHVVDSSIMCESTITESPVSIGQSSCNFDQNRRTNRRLWSNDGVDVSLKDGLFFRLCSDGKYLEDDLDEVCDSEVTDTNFECTNSFSGFVSASPNGATSRDKTPSPQASERPISIDDVKIYTTPRKLLRSLQSSYDFDSARNEERSIAKLNSSSSPSEESNELEESSEEMQSQLSDSKIEEAKYDNETIDAQSADDTTQILSNEDKSVLCTPEVEDASCKASSEGECKEQDICVTRSMGKSRKYKAVFSFLLSIVMIVLAIIAVLIRIESYDDYVGLVPT >ORGLA11G0150500.1 pep chromosome:AGI1.1:11:16508079:16510998:-1 gene:ORGLA11G0150500 transcript:ORGLA11G0150500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQGGQDCSKFGGFVDKNSGASSSGAPDIELQNMCGTSVARTASLSTGRASPSQFAPTVGRYHRAAGSCQSDILPDEQSLASAFDMALSFKNNPVDYPSNPCNVVPTNGLYVSGPMRATSVQSFDPLLVQDESMRPQFGAGHGKLKTDEFTVDQQEQAHMLSQYFGNWPQNYGMNNMGGVASTLYKPSASLYQQPFYMDEQSQMYAPYQQIPSNFLLQHDMDVQNHSSMQPHYVYPQMQHAAGSNVRSNQQAAACTSARGRSTYGHQLLLDGAVFHNGNNQMNSLYMDGFPGMYTDSSFDSGDFHRLLEAEKFAHPYELNSSSKGFLQPQIQDDLSTMKMLMNSAGVNRVRAIKFPPTVNGYSGVGRRTNGYGHNHLDVKSDETLHLNGLNSQFMSLKSEYDLAMKSTQLNYGSVDEVAGRIYMLAKDQNGCRFLQKVFTEGTKEDFEKVLAEIIDHFGELMIDPFGNYLVQKLLEECSDDQRTRIICEITRVPGELITVACNMHGTRTVQKVIDTINTPEQISKVVSALSPGAMRLMTDTNGSHVAQRCLKKLLPEYKAFLLDVAALRFLRLAKDQHGCCIIQKCIEHSNDEQKYNLLCKITSSALSLSEDQYGNYVIQFVVNLGIEWATSKIVKELKGHFGYLSMQKCGSHVVENCLKQASELDREMIIHELMADPKLPHIMADPFGNFVIQTALKECKGELHSSFVEAIRPHAPALQNDVYAKRVLSKTYLKNKQYRLGIF >ORGLA11G0150400.1 pep chromosome:AGI1.1:11:16505308:16506966:1 gene:ORGLA11G0150400 transcript:ORGLA11G0150400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex subunit [Source:UniProtKB/TrEMBL;Acc:I1R162] RALRRRRRQGRPLRWRRQGGPRRRRRVPRRGPPAEVVEVSTFMHACEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESLFSIKMLEGIIATSYSEGDKFYIDPMKLLPLSRFLPQPKGQSQGGGRGGGRGGGGRGGGRGRGGGGFRGRGAPRGRGGPRGGGRGFRGRGRF >ORGLA11G0150300.1 pep chromosome:AGI1.1:11:16497218:16502708:-1 gene:ORGLA11G0150300 transcript:ORGLA11G0150300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAATSKPKPRPKPPPPPPEASSKASSSSSSAADPNSKRSGPTPTPTPAAAANPTNSANPNSAPLLPSPHPRIPSPHHHLPPPQPQQQQPPPLPPSRPLLTVAAVEAAMASIPPPPQYGLESLDRRNVVLSDGTVRTYFALPLEPPPQLRQPLPLPLPPFPARQLGPPVGLPPPTAERWAPMRPPAALLPMPAPKRKWEGQANGSVPGESSGRQQQQKPEEKRVAKQVKVETPDVDAKQLKSSFLKMVKLMNENAEQKKNYRANGKISQLKCTVCGRDSIDLHSLLNHSYYAKSAELRADHLGLHKALCVLMGWNYSVDPVHKKAYQTLSTVDAEANQGDLILWPPTVIIENTYKSKNDGQKDGMSNKEMEGKLREMGFAGASVKPLSGKDGQRSMLVKFASSLAGLNEAERLAQSLEKQGHGRAEWYRVRSVPPGADGGSNPLLVKVGAKGERTWVLYGYLATAWDLDALDLESKQNAVIKSRKELDLSE >ORGLA11G0150200.1 pep chromosome:AGI1.1:11:16491174:16492409:1 gene:ORGLA11G0150200 transcript:ORGLA11G0150200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILELLKEQQDKLIVAVQVVCLINLSIHPDPALMELPQDVLMSIFATLEVPDLVRAGSVCSSWRSAYTSICSLGHCKPQQTPCLLYTFDSDGTKSTGLYSLVEKKAYVLPLQDLPNRHIIGSCYGWIVTADERSELHLVNPITGEQIALPSVTTIKQVKPIYDDDVAAAKRYKYLWHTGEVTVSDSSSILYYKAFVSCDPSMGGGYTVVLIHNPYCQLSFARAGDDKWTWLPPYSDYEDCFFKDGLLYAATLLGEIHMFDLTDPNVAPKIVMGKVKDFLYENIYIVEASCGNLLQIWRSNDLPKGDAPEGDEDDDDHSFDPESEFDSQSYVHDTNTIKVHKVSLTEGKIVEISSLDENLLFLGHGQTLCLHAEEYLQLKANHVYFTDNNELYLFEYKDNHRDIGVLDLENFRR >ORGLA11G0150100.1 pep chromosome:AGI1.1:11:16485777:16486745:1 gene:ORGLA11G0150100 transcript:ORGLA11G0150100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGVTVSPLVRIAGFVSLFAKAKNESCFSISSCEVLRFSHSIPFYRTNGNERVGVAEGTRGTKYAIGKIVDSTEAFTLFGYLTKVDGYISVFKPDVRRGILKIPVKENLRFSHRIPSFCLTKEKGGKLHDCYELDPAAVPDVFLHKN >ORGLA11G0150000.1 pep chromosome:AGI1.1:11:16483536:16485407:1 gene:ORGLA11G0150000 transcript:ORGLA11G0150000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNREEAFRAREIAVKKLENRDFVGARKIAIKAQRLFPELENISQLLIICEVLSSAEAKISGELDWYGVLQVDKMADETVIRRQYNILSYRLHPDNNTLFGAEAAFRFVSEAHAILSDHVKRSLYDTKRQCASREVAKEATQPPNKTDSNISNVAGSMTPSASVLVFWTICPHCQKRSLYYQRNFLARCSDCGKRFFTIKLHEQSVPSRILSTAAKKSQLSTSEMLSFQRSSVPNQHQQGKCLVTRSEAIQFSAMKQTKSHICAFDNDKPGTLVPKSSDLKSISVKNLTRESAPAEENAAESSSLQILGKRKLYVTSDSSHGMNSNIKRQRKYTCPSDSDSSNEQICNDDVAVPDNQSTGQNVPIEVDSEEERNARHGGNQQTCKKNVTDTASQKSVNSVIAYPYPDFDFCKSRDAEETDESIKQYGWAGDMAGKGLVTRSDRVQFSEISQAKSHVPPADNDIPGTLVPRSPDPNSTAVQNLTEESVSAETNAPGSSSLQILGRRKLCDSSDSNRAMNSNIERKMKYNSPSDADWSTEQTCNDDVAVTENQFAKQHVPTKVDSEEEGNEKHGDNQQSHRKDDTDTSSQNSANPVIAYSSPDFFDFDKSRDVSQIAVDQIWAVY >ORGLA11G0149900.1 pep chromosome:AGI1.1:11:16479063:16479936:-1 gene:ORGLA11G0149900 transcript:ORGLA11G0149900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGGAAASPHGAGRPRXPGSQGAAAVPSSAEWAVRRGXMGCGDRVTGRRQGCRVPGESLVRWCTGLAAATSSGVVTFLGHCRGIGDGGILDVVTTVVASFSESCLCGVAIGLAAFGHA >ORGLA11G0149800.1 pep chromosome:AGI1.1:11:16466628:16477793:1 gene:ORGLA11G0149800 transcript:ORGLA11G0149800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSLLPEVLPTSHTIHALTPHSATGTRPNPPRRRHRESASAHFVFSACELLLHKLSIKGPLGGKKGPHNLLSPSLFPTSPGIIQKARIIMECLHRFCRDCIEKSMRLGNDECPACRTPASSHSLKVDPNFDALILTLYPDLHKDEEEELAFTEEKTCHKKIQASTDEAPHRRKEALGKKRSAAKAIGSSSYMVGXEKSSYSHSQCIXPGLSLVLNRSRRSGGXSRIYLSAVVNLKFGTGKPSITEMMFSLIXXIQDILTQIGGLKSFHNIATCEFTIEERGGELRGFLELDPGSVPDIFLNREENNNDGCKGLSQLVIIHKVQRQKKLASFMCHNHPPLDMKSPAKWTAMRKEWXIVXLFGXRXIGLKHVFVARCLQNIVKIFLCKKRYPFRRMVIVPTGLVIPLSQSVSPTVXMRPPRVSPDQPTERKMLMATVDXTPMVKGNKRRMIFHFLFTXILRKRSVILPIELTDNLIHLLXQAKXTFKVKEKLQTQMISTMPMLKLLILFVRMSIPVLXNFHPHIQISMILRSSGILICSQLVRYGPFMMNLMACQDFMLELNISMHPTSKLISHGWSTMQQVKKRKNGLMKNYLLLVISQLMMVSLLSHXSGLKALXVYLQRLKTNLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDLPVSCGKFKIRDWKTKAVFMPDKQSAVMEIPTRDRLRFSHRIPSFLLTEERGGRLRGFYELDPASVPDVFLYRNTVMGWITYQRTDALNFIFFIGENLLRLWTAIGHSQCLPLNEPSFHSWLCDSHKKMVKEHRRGFDTIATLVAWTIWKERNNRVFNQKSRTWAEIARVMTGEAELWRLARAAIPVVVVIVNGEGSPNLVGD >ORGLA11G0149700.1 pep chromosome:AGI1.1:11:16461178:16464336:1 gene:ORGLA11G0149700 transcript:ORGLA11G0149700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNREEAFRAREIALRKMENKDFNGAQKIVLKAQKLFPELENISQLLNICHVHCAAEATVNGQTDWYGILQVEATADEATIRKQYRKLAFSLHPDKNSFAGAEAAFKLVAEAHSLLCDPTKRPIYDIKRNNIPRKAPKQATRPTKKTQANKYSVPVYLHAFWTMCPHCQMRYQYYNNAINTTVCCMNCRRNFFAYNLQEQPVPTPNVPYSSQFPANMFPNQRRDPISRQGHPVKLSCTGGNTDVRPGTYSWPGSDERTIQSEMTRGKDQFPARNQDKYSVPTANGNSGGCSIPVPDCPDTIDRQKLGREDASVAPAMNVPGHSKLHSTGGGTNAKPRVNVAQWKETTKEDSSASVEKKANQSMMNQRKSSAQTANENASGRFKPDHADPNVFDRKNFGTEDSFPVPNSAVPSSLRRSARRKQDAGDNGSTNSKVRKKQKKNNVLSDVDLNCQQIFNNNGTSGDKQSAPPHVSSTVDIQDKTKVTDADSKTKAEPTDTAGWNVPSCFEKLSFPDPDFYDFEKLRDINMFAVGQIWALYDDLDGMPRFYARIKHFDASNFKAHLTWLEYNAASEEEKKWTDEELPVACGKFCLGSTEVSHDRLMFSHIVSWTKGKKRNAYEVYPNKGEVWALYKDWSMQWNSDADSHRSYEYEVVEILSDFSVNDGITVVPLVRIKGFVSLFAAAKDKSTNVIVSSELLRFSHSIPSYRTNGNEKVGSPAGFIELDTACLPNDMDIIFPSVPLESYISLGKKEDSTIIDLTNDSTSSRMDPGNEKKENLPEAHICRPVSTENHESLSYEKNTSLPKNGHDANGFGNSSEPSCPSPSIYSYPDSEFHNFEEGRTCEKFEPGQIWALYSDADKFPKFYGWISKVELQPFRVHLIWLEACPEQEQEKQWLDQDIPVCCGKFKIRTWKAQYETTDTFSHLVHTGQRDSTWQIDILPQVGEIWCIYMNWTSDWTPSSIDMCEFAIGEIIECTEALIKVSLLTQVNGYRAVFKPDRQSGVLEIPKRDRLKFSHQIPSFRLTEERGGKLRGFYELDPASVPDVFLYRDTP >ORGLA11G0149600.1 pep chromosome:AGI1.1:11:16452674:16453520:1 gene:ORGLA11G0149600 transcript:ORGLA11G0149600.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAGWLQYSTSTFCEQANIIIRKMSSKFKLRMFCRTTGAIALCSSKKQLKLSQPNADELGYADSVSLEDIGGVRNEEGRNSVAIAVLRGSTDSILDDLGRAVDDGVNTYKSMCRDSRIIPGAAATEIELAKRLKEFSLKETG >ORGLA11G0149500.1 pep chromosome:AGI1.1:11:16445067:16446551:1 gene:ORGLA11G0149500 transcript:ORGLA11G0149500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAWRCVAAVVVGVVIMAAVAVVDGLGVNWGTMATHRLPPKVMARLLKDNGFKKVKIFDADATTMSGLAGTGIEAMIAVPNDMLAAVGDYGRAREWVKENVTRYSFDGGVDIRYVAVGNEPFLKAYNGEFDRATVPALRNIQRALDEAGYGKRIKATVPVNADVYDSPASNPVPSAGRFRDDVAGTMADMVRFLNRSGAPLTVNIYPFLSLYGNDDFPLDYAFFDGGPPPRPVVDNGINYTNVFDANFDTLVSALKRIGFGSLPIVIGEVGWPTDGDKHATVPYAQRFYSGLLKRLAARRGTPLRPRARIEVYLFGLMDEDTKSVAPGNFERHWGIFTFDGRPKFPLDLRGAGRPAMPVPAKGVKYLPRRWCVLNPNVTDDDAGRLADNVGYACSHSDCTALGYGCSCGALDARGNASYAFNVYYQAQGQADAACDFQGLAVVTEDDRDVAQGACNFSVQVAAAALAGAAVAAAAAVACAAAVVAALLVLV >ORGLA11G0149400.1 pep chromosome:AGI1.1:11:16431299:16443824:1 gene:ORGLA11G0149400 transcript:ORGLA11G0149400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQRKGGQGQPVQEGTKGGXNEITEGSCRSSGFTEEWRSRHGAXSRYEPLQRLSISRLHXDNYRPRRVSFCIXGVQFGGIPVQQSTINCTTIRSCHPXHFIWNIPTXKSLXEPKNHCSKRKAPTSNRYKQQCLLXSRCGACSQHCKELRNRVCGAXGRSCCNHIPXVSSCAISASLCGTGPCQEYXTRCXXGGAEDECCRALAIEKADDPSSHDIPVGSTKGDEYDDRICFVKKQIHGLLQTLVLFARRDWSLITSEKQRMILSVRLDVLCEYYSKINRSLSKSLTDAHHFEVADAAELQREKRKEGNDIIKAVKKKLRNLPSDRSSNEFHVACKIIQEMCHKLLKSSCTDYREFVLPLLRFHRWLSYSDNLIAGEDKRSEVLVENANPSDLELIDVEDNGVKPSATLETKGTSNYQNSVQDVPKILVPKISDPSLYKPPPDPRIVNQQATRYGYSALHRPAIGQSVVTPVNRLVEIVDAANKFDPYEVEHSHQSYRREQAKIPKDQELCVICFFERYSTIAMASGKIIHHCQTIHQNAGTKCNSCNARFLKDSDLEFHRRYYHQQQ >ORGLA11G0149300.1 pep chromosome:AGI1.1:11:16422307:16422669:-1 gene:ORGLA11G0149300 transcript:ORGLA11G0149300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVNGLGGAGDGGVVGSPPCKSVCGTVMVEPDPRGWRSGGKGERPLYSRRPPPAARCARCPCCAPLPLPLVVVGVLGARKAQVVGGHPLRRCRRGTPPPWEYRRMEDGGLEEEERGRG >ORGLA11G0149200.1 pep chromosome:AGI1.1:11:16419242:16419523:1 gene:ORGLA11G0149200 transcript:ORGLA11G0149200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEVILVSLVDALHDAEVVLESSLPNAEAFGTAALVEEGSSSTSPSFLLVLEFSIPSSSVSGKNDDEEEEKAALSPCSSRSVDKWVPPNDSARQ >ORGLA11G0149100.1 pep chromosome:AGI1.1:11:16402135:16403141:-1 gene:ORGLA11G0149100 transcript:ORGLA11G0149100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSRGDSVRPLSDVNQEDVGEKTEAAEEEAPTELSAEAEQEAEQRGEEDFKLKKHCSSRPVKPNPMYHGPQWAVYVLSTWLHGLAHFTISVGFLGS >ORGLA11G0149000.1 pep chromosome:AGI1.1:11:16401027:16401517:1 gene:ORGLA11G0149000 transcript:ORGLA11G0149000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGRVNGNGRTPLFFTFSPGMVVVYGRKRGRKHGVVLVADEDYHAFARQLVQQFRRRDAVSTGNHIGGNRATMFLSVAFD >ORGLA11G0148900.1 pep chromosome:AGI1.1:11:16394271:16395784:1 gene:ORGLA11G0148900 transcript:ORGLA11G0148900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFTAALLQAGAAGLARHGGKDRIGNEEEDRGKEVVTDSWS >ORGLA11G0148800.1 pep chromosome:AGI1.1:11:16388680:16389035:1 gene:ORGLA11G0148800 transcript:ORGLA11G0148800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECEASYGLGKYILVLTSCGKSTGKAKCAIATVEFLSNLSDLEFLFMVYKLKDLVLTVDTEAKGVYLHVPREIGVLG >ORGLA11G0148700.1 pep chromosome:AGI1.1:11:16383324:16385873:1 gene:ORGLA11G0148700 transcript:ORGLA11G0148700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDKRINGGLQGFAASSSSKKEKGIRILDAPAPPEIPTRRAGFSFGVGDGEEAEEEVVVAPSSALARARGCDVYVGYGGGGGGGAAAEVERFVAWLCAEMEALGVRCVAADRRRCRDAPSHAAASAAMDAAVAGVVVVTPASLGNPYCVDEIRAFVEKGRLVPVFVGLGKGDCRAEDVVEKRGDLWGRFGGHLWKVYDGGERDWREAVGALSRADPAVEVDAASQRHRLIDLLEIVGSRLGRRAVTDAVRSWRAAAAAHPELPFARNERFVGRESELLDLEAVLFGKRPMHLVEVEVFGGEPAFMNGVVCISGASGAGKTELVLEYAHRHAMEYKKVLWVRGEARYLRMGYLKLADQLGLAVGDDLSLIAAGDRRSSGSKKAEKEWIFRGLESDAIAKIRKELTREIPYLLAIDNLESETDWWDSRDVQDLLPGAVAGAAARSHVIITTTRLRRLQRVRTFSLAPSMESPEAMLLMTRNGALAFHGEEDTIALRAIQQKVGSIPLALALVGAVLSELAVSPAELRQAMNDAPYRAPTWEENDAPALRDNPGMVQLLDVCFALLDEEKDGLGEAAVRMVETSSFFAPSPIPVALLAAAMGGEPKRPLWKQMKLALRLSCSSSRRALDKDSSSRRRAAAAAAEPEALVALLRLGIARRCTTQPAPCVSVHRVFRLFGRKAVGSGEAAARSTVRAITAAEVHDEHAWAACMSVFKIAPAIAANLPTKELPQFVTRIAVPLAARGVVAHSAYAAVTDLLVESSNVVRGEESRYVASGGLDENPALYHELAHSRAQLLKLRAKLMLRGGEFTLAEDHSLAVIHILEVVAGDDDPETEEARAALDRVLQVQPE >ORGLA11G0148600.1 pep chromosome:AGI1.1:11:16366697:16369618:1 gene:ORGLA11G0148600 transcript:ORGLA11G0148600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRRLPAEGALSVARVGAGDEAAALREAVARQQHGIAELQAELEAERGAAAGAACEAMSMILRLQQEKSEAMMEARQFRRYAEERFSHDAAEVAALRDAVEQRDATVRSLSAQLRACRFRLLHLGFNSPLPSLTSSAAEAHQYNDDDDDEHHPFDEDYPPINCDEQPASSDVGTPRTHHLLNRMPGRASAADKGVISSSSTNLFPDDSGIAMDDEFPLVVDREASDQEDDCHRVYTVDAVHVVPVAEPENPCYFGTPIGGEMSHRGTIGTRAEEEEIQKLSARLQALEADRESMRHAIMSMGSEKQQVVLLKEIAQKLCKEAAPLQVIPFKVRSPPQPVVMAQRKVVKRQSFFAKFFVVAVIKWIASVFSWRRKSCSVKYPIGLCGSNVGLMLLLNRFPKQRHRRYLKRS >ORGLA11G0148500.1 pep chromosome:AGI1.1:11:16357749:16363319:1 gene:ORGLA11G0148500 transcript:ORGLA11G0148500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:I1R143] NSKISEDYLIARRIQTRPSSISQGNMNNGNRGMLGQEACVHINSELHPTPYEASTFSFEFIWDMKKQGVPGAVIVKNYCDEFFVNTITLDIVPGYGTIVFTAESWVYPDEIYDHLTRVFFSNQPYLPNQMPAPLVPYREEELRNLRGDDNPGPYKDHDRVYRYDVYNDLGEPDSGNPRPVLGGSDEHPYPRRCRTGRRRTNTDPDSESRNVGFPLTNHFYVPRDEVFNDRKKAYFDTNNLKLYIMQKYATFLLHADQQTPFEFDSFADVLSLYDEDSINLPGWLNTFLQPLLGIIPFKLLQQVLTPDSEFILKFPMPAVIREDKTAWQTDEEFAREMLAGTNPVVIRRLGETEFPPKSKLDTSKYHNQNSRITAAHVEKCLEVEGLTVEQALADGRLFILDHHDHFMPYLLDANHQPDTFVYATRTLLFHPQRWHSPACSNRAQPTSLXGRQHTHLVRRRGLHAGVRRRGGPHIWQLAKAYVTVNDYSWHQLVSHWLNTHAVMEPFAIATHRQLSVAHPIHKLLHPHYRDNLFINALGRQSLINAGGSSENTVFLGKYGLSMTSEVYRNWNFTEQALPEDLIKRGVAKRRSNSELELLIKDYPYAVDGLAIWSAIETWVRDYCAIYYADDAAVQGDAELQSWWKDVREEGHVDLKDHKWWPEMKTVAELVQSCATIIWIASALHAAVNFGQYMYASYVPNRPSVSRRPMPKPGTDLYRELELHPEKEFLLTITKQDLSIAGIALVELLSSHSDDEVYLGQRDSPNWTSDLDAMNAFDRFRERLLEVEKNIVAKNDKGSGFKNRTGPVNIPYNLLFPYASGDAEANTGVTGKGIPNSASI >ORGLA11G0148400.1 pep chromosome:AGI1.1:11:16350582:16350863:-1 gene:ORGLA11G0148400 transcript:ORGLA11G0148400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TASGGGGGGSPVRRRGRRSRGGEVDGGARRGDEAHRGGGARRLSGGGGGFQRGGNAGDGKEREKGGEVVGVVWGAMGSRGGAYIGGGGGGGAGF >ORGLA11G0148300.1 pep chromosome:AGI1.1:11:16338885:16339226:-1 gene:ORGLA11G0148300 transcript:ORGLA11G0148300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGASCRTSHRWPGSSSGGAIRSRTSSTLLMMSASHLRVYGRILSSTVAFAAGGCLGNSRSDRDDGSVRTSPAMKQTSVLKSERSQQMVSPASDEHDERSWLSRTTTSPAL >ORGLA11G0148200.1 pep chromosome:AGI1.1:11:16333046:16334487:1 gene:ORGLA11G0148200 transcript:ORGLA11G0148200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGFAATGGVGIVVGEVAFQVKILRWTEGGGRGRVGKVFLDEKILGAAAAGGGRGPGRGPVTLGEVFLEEKVLGAAVAGGGAGGAGTSPSMRSSSNRAFMEDSRVKARRREAMERIGPAPRLPRHASCSCSWSLSPWPSNDGFFEQLVNAAKLDEGVVAGDSARYNAFLKLQYWCTRD >ORGLA11G0148100.1 pep chromosome:AGI1.1:11:16323860:16324709:1 gene:ORGLA11G0148100 transcript:ORGLA11G0148100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRNQKGHLCCADFSDICPKEVVIILPNLAILVWDVPSNVRREASTAATPTLCYKCGEESYFARGCTKNTKSDRMNGESSSAPYDAHKTSKRKIPLFEERRNSSHFKSKARDGWIADDADDQP >ORGLA11G0148000.1 pep chromosome:AGI1.1:11:16316057:16316866:-1 gene:ORGLA11G0148000 transcript:ORGLA11G0148000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSGGGDVHHKTRLVPTLLVGPDRQPNQILPPPLPSLLEADGYLYFIPSALCFILAFPRLSPNLNPSGDRSSLQPQPRRRRQEGGALLRRAESSRRQHGRALREGREARQDRKRPRTLSRIKNTRRDIDLLMATPPPPPVRPGEGAAASALTSLSSWLAWVKVSNAAPTSGSPRRRSGSARGEEVAPLRQPPPRQGLWICDSSATTTMMSHPSFRFLSVSGREVAWIRVKTASRSSTCPLRQQTPSARKITPSASNDSWKTLGASPRFVR >ORGLA11G0147900.1 pep chromosome:AGI1.1:11:16304624:16305840:1 gene:ORGLA11G0147900 transcript:ORGLA11G0147900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHKVVVVEAALLPHIHGEVAIAVLGPQRLLDPLLPGGLLDGLLVMGLGGGADAAAHGERRGGAGFAADAFSSGDEIDEDAVVELGEADPVSRKRRKEKEKKKRRKEKRKQRKWAPPDKDETSLKLVFSFMSENPFRHPVTTGETIFAWLTKNYTSPGREGGDVGERRELNGGGDILAATEHFNDAYSIGKGSFGTVYSADLGMKRLDASKTGDACCGS >ORGLA11G0147800.1 pep chromosome:AGI1.1:11:16302409:16303574:-1 gene:ORGLA11G0147800 transcript:ORGLA11G0147800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTISKVFPSFAEKFLKEAKAGNSPELPQDVLMEIFALLEVPDLVRAGSVCSSWRASYISLCKLGGYRQAQTPCLLYTSESAGENVACLYSLAEKRAYKLTMPDPPIRSRLLRKYIFKKAFLSSDPSMGDYFVALIHYPLAQLSFARAGSDKWTWLPPHTDFMDCLFEDGLLYALNSAGEVHAFDLSAPTVTQKVVLEDVKAYIEENMYFARAPSGDLLQIWRSLATNRDDYYVDQTDGDDSEHGSDHENWIDDYVDQTYGDVLQFELDKYEDDLEYASEHENWRAGDGLKPERG >ORGLA11G0147700.1 pep chromosome:AGI1.1:11:16296168:16296533:1 gene:ORGLA11G0147700 transcript:ORGLA11G0147700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTCWLPRACRRSMRHRSCRTKFVWDGERDGMAATVDIELARRWQQPLLARWEDDDGNHWLRTAAATAGSTSLRGRQRLRLRELEGKAAAGHRLHETMSRRRRISGRGKRETKEKRKHK >ORGLA11G0147600.1 pep chromosome:AGI1.1:11:16281149:16281574:-1 gene:ORGLA11G0147600 transcript:ORGLA11G0147600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDGDLARVEAEGVVAGAEGGEVALNLLRLPPAAPPPSGTSMEVEAAWPASGLAALVSRVSFCSSPPATKTRRHSARCGGGGRSXVASGGAHGDAARLARSGIAITHHPPDEGGISMADAGTLLAAMTRSTRGNVTEEF >ORGLA11G0147500.1 pep chromosome:AGI1.1:11:16262765:16268690:-1 gene:ORGLA11G0147500 transcript:ORGLA11G0147500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WNTASYLKFCPLSTPSLITVPQGPAPIHLAAVSVNLLVCIFLFQPVNYFTSFQLRPLPHFIDATLFSLHFLPSMLATTSSISXXXLTLXNGGSIERGTCXDSIIQKARIITECLHRFCRDCIEKSMRLGNDECPACRTLASSHSLKVDPNFDALILTLYPDLHKDEEEELAFTEEKTCRKKIQASTDDAPHRRKEALGKKRSAAKAIGSSRSQGNMLARKRGHIGFPDIVPSKVGGKDREENDNSGCDEWSLFDEYSQDIKKKRARRCLVPRSSPAGHKISTTLKKDAHARSFECGQIWALYSEVDKFPKLYGWIRKVKLQPFTVHLTWLEPCPQQEQEKQCKATCEFTIEERGGELCGFLELDPGSVPDIFLNREENNNDGCKGLSSVGDHSEGPKTKKARKFHVPQSSPAGHKVTSKVDNHEERLANRVAIRLKNRKKTFGDTAHRADRQYNPPPMTRKVDIQGKGKTSDTDDKDNANAEATNTVRQNEHYCSLELPSPYPDFYDFEKLRDINMFSVGQIWALYDDLDGMPRFYARIEHFDASSFKAHLTWLEYNAASEEEKKWADEELPVACGKKRIAYEVYLNKGEVWALYKDWSMQWNSDADSHQSYEYEVVEILSGFSVNDGITVVPLVRIKGFVSFFAAAKDKSXXXIPTRDRLRFSHRIPSFLLTEERGGKLRGFYE >ORGLA11G0147400.1 pep chromosome:AGI1.1:11:16258292:16260004:1 gene:ORGLA11G0147400 transcript:ORGLA11G0147400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEKQHFSSPTEEFIWWTQYIHQVEYNRSNRFIYQFYGSWFCMLDLNSGSKRSEVGGGMRQWARRGQPRCHGLEKAEVEYGGESRRLGWEPTRHGAGDAGEAEWGRNRAASTCIVFVFWKSATMTTRRDRQEASASNRQFLITPFRLLCIHSFVLQPSELNFEVGYINVIYSSIDSWNEIMVVIQCPDLINFNPVDISKLANITEVWIVVGNHRIVQSPDS >ORGLA11G0147300.1 pep chromosome:AGI1.1:11:16250769:16253004:-1 gene:ORGLA11G0147300 transcript:ORGLA11G0147300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCACILLPSSASGRRGPPCAHICPPSAVDVFPAYASGRRREPPATDVLPIGTLGRSAVIDELLLAHGVGRREVVRHGDEEGAGDRDRAVGCTLRGRNGEEEAFSAREIVVKKLENRDFVGARKIAIKAQRLFPELENISQLLTVCEVLSSAEAKISGELDWYGVLQVDKMADETVIRKQYNILSYRLHPDNNTLFGAEAAFRFVSEAHAVLSDHAKRSLYDTKRQRASREVAKEATQPPNKTEANINNLAGSMPPSASVLVCWTTSWVARAIARLVPIQNYLFFNMVL >ORGLA11G0147200.1 pep chromosome:AGI1.1:11:16236676:16237413:1 gene:ORGLA11G0147200 transcript:ORGLA11G0147200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLREDGGKGHPERKREITRQLALAEREATPNHTLRRVIQAGCATHAFERFPTPCAPVDSCRVTALVDEGTTMLGGSGRQRQLTALREIKAITTESDCNKRYVEATPGAVEFLVSVVVQSHAAASTYMSARSDDDLLDSVIDSPISTSSPEEEALGVLYSLKPFEPTLRCILGKDNGGFLDTLASVLLLKAMMSAMPPERLGCRPSRRSIRSRTPLRCRWRAFLEEAGEPDDKASAAVAAAGEE >ORGLA11G0147100.1 pep chromosome:AGI1.1:11:16226734:16228557:1 gene:ORGLA11G0147100 transcript:ORGLA11G0147100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKRPCFVCGLFGHNSKQCTQGQDCFICKKGGHIAKDCPEKHNRNTQQSTFCLRCGESGHDMFGCANDYPRDDVKEIKCYVCNQKGHLCCADFSDICPKEVSCYNCAQPGHTGLGCAKQRREASTAATPTLCYKCGEEGHFARGCTKNTKSDRMNGESSAYSRKKGKGKKDFGTRSAPHDARNTSKRKSPLFEERRNSSHFKSKARGGWIADDADDQPYKKYKPNVWASPSTPKKQYNNRQFSSGGDYSTPQSSRWQKHGFASPSATYSPNTRKHSFSSSRFASNTHVRFGRS >ORGLA11G0147000.1 pep chromosome:AGI1.1:11:16219111:16219473:1 gene:ORGLA11G0147000 transcript:ORGLA11G0147000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSAAQSVGDGRGSAATLGMVGAWQRRSIGSPLCFHNWRSSYVMTSSLLSFLHVTAAVKRSGPWRRRRPSQGGATELSGIFLAPWLAWSPQREADNGTTTLPSRGRRRARWRMTGMTPD >ORGLA11G0146900.1 pep chromosome:AGI1.1:11:16202428:16217767:-1 gene:ORGLA11G0146900 transcript:ORGLA11G0146900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEPEEDEMLVPHQELVAADAAQPMEAVVAQTEAASTAESQPAEDPQTSRFTWTIENFTRINAKKHYSDAFVVGGYKWRVLIFPKGNNVDHFSMYLDVADSANLPYGWSRYAQFSLAVVNQIQQKYTIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVDDTVVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKRTVVEGTIEKLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYALHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNAPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRVRLKKEQEEKEHKKKEKAEAHLYTIIKVARDENLKEQIGKDIYFDLVDHEKVRSFRIQKQLPFTTFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLSPHEETQSVGQLREVSNKAHNAELKLFLEVELGPDLRPLPPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKALGKPSEILTKLNEMAGFVPNEEIELYEEIKFEPNVMCEHIDKKATFRASQLEDGDIICFQKSPIPDSDTQMRYPDVPSYLEYVHNRQVVHFRLLEKPKDDDFSLELSKLHTYDDVVERVARQLGVDDPAKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHSIRLPKNSTIADVINDLKTKVELSSPSAELRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFMKDPLQNQQIQNFGDPFYLAIREGETLAEVKERIQKKLQVPDEEFCKWLWKFAFISMNRPDYLQDSDVVSARFQRRDVYGAWEQYLGLEHADTAPKRAYTANQNRHTYEKPVRIYN >ORGLA11G0146800.1 pep chromosome:AGI1.1:11:16196578:16197115:-1 gene:ORGLA11G0146800 transcript:ORGLA11G0146800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAARAAKRARIAAPPPPTPTPTQLRRGDGGYVPGNIVEIELSNFMTYHRLACRPGPRLNLVLGPNGSGKSSLVCAIALALAADPGVLGRAASVGAFVKRGEESGHVKISLAGTHPNTLSASRGRSIPRTSPSGSSMV >ORGLA11G0146700.1 pep chromosome:AGI1.1:11:16174468:16176846:-1 gene:ORGLA11G0146700 transcript:ORGLA11G0146700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Transcription factor jumonji/aspartyl beta-hydroxylase (InterPro:IPR003347), F-box domain, Skp2-like (InterPro:IPR022364), Transcription factor jumonji (InterPro:IPR013129); BEST Arabidopsis thaliana protein match is: tra /.../ses, transferring glycosyl groups (TAIR:AT1G78280.1); Has 1762 Blast hits to 1747 proteins in 292 species: Archae - 0; Bacteria - 297; Metazoa - 877; Fungi - 168; Plants - 221; Viruses - 0; Other Eukaryotes - 199 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G06550) TAIR;Acc:AT5G06550] MPSAFHSLLLPAIRNPKPSRRRGRGRGGSKRPKKTTKSKNRLADAAGGDATAFHLKTSARAGPGGVGSGRRGGGGCLVQPLGNLLLLGGGGNLRDAGLGALRPLPDDVLLDVLGLLAARDLARLSAASRALYVVASHDPLWRALVLDELGGDFAFSGSWRATYIAAASGGRAHLPPRGLEIRGFYSDYLFQSWLCANMEMRPEWLHRDTIDRRRGMSVEQFVSEFEEPNRPVLLEGCLESWPALQKWTREHLLKVSAGKEFAVGPVSMTLDRYLQYADNVQEERPLYLFDAKFTEKVPEMGRDYEVPAYFREDLFGVLGEERPDHRWVIIGPAGSGSSFHVDPNSTSAWNAVIKGAKKWVMFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKTWEKRPVECICQAGEVVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNASELVSGTTDRVNLHDKFRNAIDMTYPGMIKQLELEAQQKAAARKKKVSFWESAVDANTGGFKFSF >ORGLA11G0146600.1 pep chromosome:AGI1.1:11:16169616:16173290:1 gene:ORGLA11G0146600 transcript:ORGLA11G0146600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid isomerase [Source:Projected from Arabidopsis thaliana (AT1G06820) TAIR;Acc:AT1G06820] MPLLLLAAARPCARLLAPSAAGAVVGRPSTARPLGRGTRRGAGAAAAAAVAAEKTVVKAEEEEEGGGEYDAIVVGSGIGGMVAATQLAAKGARVLVLEKYVIPGGSSGYYRRDGFTFDVGSSVMFGFSDKGNLNLITQALEAVGHKMEVIPDPSTVHFHLPGDLSVLVHREYDDFVTELVNKFPHEKEGILKFYGTCWKIFNSLNSLELKSLEEPLYLFGQFFQKPLECLTLAYYLPQNAGDIARKFIKDQQLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIAMSLADGLVDKGSEIRYKANVTNVILENGKAVGVRLSNGKEFFAKTVISNATRWDTFGKLLKVEELPEEEKNFQKNYVKAPSFLSIHMGVKASVLPADTDCHHFVLEDDWANLEKPYGSIFLSIPTVLDPSLAPEGHHILHIFTTAGIEDWEDLSRKDYEKKKEFVATEIIKRLEKKLFPGLQDSIVLKEVGSPKTHRRFLARNDGTYGPMPRGKPKGLLAMPFNTTSIDGLYCVGDSCFPGQGVIAVAFSGIMCAHRVAADIGLEQRSPVLDAGLLGLLRWLRTLA >ORGLA11G0146500.1 pep chromosome:AGI1.1:11:16164566:16167361:-1 gene:ORGLA11G0146500 transcript:ORGLA11G0146500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTDEKAKMEGLTSAAAFVEGGIQDACDDACSICLEAFCESDPSTLTGCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERNVRTNQTRNTTIFHHPALGDFEVQHLPVVGNDAELEERILQHLAAAAAMGRSHHLGRREGHRGRSGSHGRPQFLVFSSHPNMPSAGSVSSSSVQGEVDNESSPVHTTGELSLHANTHEEAGNQSPGMLTYDADQDAVVSSGNSTPVSSPRFFNRRHSTGQSTPVNNDRAGPSDLQSFSDSLKSRLNAVSMKYKESITKSTRGWKERLFSRNSSVADLGSEVRREVNAGIASVSRMMERLETRGSNGRTSDGPATSTSEVIPSTESSNERVTENNPTTAATSTSNTSASSAPCVTTTGSN >ORGLA11G0146400.1 pep chromosome:AGI1.1:11:16159462:16162922:1 gene:ORGLA11G0146400 transcript:ORGLA11G0146400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MALE GAMETOPHYTE DEFECTIVE 2 [Source:Projected from Arabidopsis thaliana (AT1G08660) TAIR;Acc:AT1G08660] MARAPPPPSSLPPPPRRPTVVLLLGLALAFCLAVLSIQSSFFTAPRLASRLDLDSDEVRALSGFQSRVQQCVARRGLGLTADIIDHCKLVLRFPKGTNSTWYNTQFKYFEPLEYNYDVCETILLWEQYRNMTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNRTLCEELLSVLLPAKPPFHPRQFATCAVVGNSGDLLKTEFGQEIDAHDAVFRDNEAPVNKKYAKYVGLKRDFRLVVRGAARNMAPILKGSSDEVLIIKSLTHKEINVVIKELPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIIDMYGFTVDPNYTEWTRYFSPPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAKRVEDWSDIPSREEIRTAHAAAFRLKRHETGQSDQMGPFSNCKVWGTVDPDYGPVSGTPDMSETRKNSNYKKWEVLPFDSLRMEAQEHHVQMGGVSLYKMDGNKLDDLVCVRHERSSS >ORGLA11G0146300.1 pep chromosome:AGI1.1:11:16153100:16156034:-1 gene:ORGLA11G0146300 transcript:ORGLA11G0146300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSDAATIIWSTELELAPLIAMVRNRDYFAGARFHVLRGACEHLAHVRDCVRTRARVAARRGRRLATAAAWTEEAEIYLLRFGELRSAHGRIPRLIFWDLLGCYRVSKVASLMMPQVKRLCEEGGRIVRRSKLPQPMEISTGFASRDRTLRAAIERVRTIQPNGIVAIWGRAGLGKTYLLKLVEEYFSRDDTFDLVLRIASPRDSSVAKVQSEIAKKLMLANCDGMQHRARIFDFLKERNFLLLLDCVWQRLDLEEVGIPSLDLVGSCYNRRVVFTACSSHVCDQMNVEVENRIEVHCLDHTESWEIFKQNADLDYLGHQHVYLPRNISAELLGSPLELVTIGKAMHNKKDAIYWQNALHYLTESCLRDTQWSGSEEATFFRLKLAYDSLTGILKDCFKLCSLWPEGHIFNQRKLVDFWIGSGLIQGDDIEASYNEGFSHITTLQEFCLLEPAEDGEAVQMQSTIRDFALWVVHSQGEDRNKWRIQTKENWGLAEQVLLVGLKITELPRIPSNQKTLEVLILQHNYLEDGSFGNFPSLLSLQYLDLSFNKLSNIPVEICMQVNLRYLNLSNNRIKTVPVELGCLTRLRHLHLRNNPNLVIPNGILPKLQNLEVLDVCSFNLLQCSSYEAPINELVRMDKLQSLGITVRSETSFQGISKTTLPIRSLSIVIYNHEDGYETHVSSENSCINPERQTNLFELGIYTRQKTIVLDSIHSMWNVQHVEKAYLHGYFVDRIICQKLHTGDIFAKLRRLDIVRCSRLNHISWIIHLPLLEDLLLFSCSTLHQIIATAQDGVVKTNQEKENPSVNNTFPSLKRMTLIEAGALVRICSPFFSFPSLECLQISACPLLNKLPFLTVPSKLKCIRGENEWWDGLEWEDQDLEP >ORGLA11G0146200.1 pep chromosome:AGI1.1:11:16146871:16148236:-1 gene:ORGLA11G0146200 transcript:ORGLA11G0146200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRLAEELRALKFDRVWRPSPHRSESDGGSCSYRNSIQRVVTASVAAASTSSTTLVFPSSGSVTSSSSASFTSSAPGSEAHGTPSSGPESYRLLRGEAFRGLSEIASHMVSDRYTERLITEFSRPRLGSGSGGGGGGDEILQTWFSDLGVDWVLRVDEMNLQEKLWSGVEEMIKGWLAALTVMAEALRLTKATLSSGDGDSGGVIPVLPIEKYRPQISAPAWIDDDHAGVTLHDVSPGSGSSNLDQESSDPAPNTTRSRSIAIATVKQAITAYSQAASSRSYRYDQHGAAGPEFQFALFAKASLVKMMCFPDAIAALSRSPEKILRVIDMYAVVSGVSPSLLALLPGATKWLVSERITAVLKTLSGMMRGILHDLESLIREEDSWRTTAQGNDIHPVNQIATCWSRRARTTTATTSCSPSGSYTSSRRRNRR >ORGLA11G0146100.1 pep chromosome:AGI1.1:11:16126381:16135605:1 gene:ORGLA11G0146100 transcript:ORGLA11G0146100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication factor C1 [Source:Projected from Arabidopsis thaliana (AT5G22010) TAIR;Acc:AT5G22010] MSSDIRKWFMKAQDKNGGAAKPAGTTALAKKPVLSIPEKPSAAPSMAACDQDCSARRKTSKYFASKTEKEEDTSAGKGTGRGLPKRKLQKVSDELEDDMKPLPAKEVHKEEEDDDDDDFVAPSKRKTPVKPPPSKKLKGASTAEAHGKTGLDDDNEDKMDEDAKTPSKASGSGRGRGRGRGRGGRGAGAAHGKTIGLDDDGEEDKMDEDAKTPSKAAGRGRGGASGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLTGLTFVISGTLDSLEREEATDLIKRYGGRVTGSISKKTNYLLADEDVGGVKSNKAKELGVPFLTEDGLFDMIRKSKPAKATVAKHQSDKNSEKQQKSPMKSSPVKVERRDGNQITTGKNISPKSNKGSASIDNQKVNIVDRGSLQWTEKYRPKVPNDIVGNQSMVKQLHDWLKSWEDQFLHSGQKGKGKKQADSGVKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKELISNATLNYSNNRLKRPKAVLVMDEVDGMSAGDRGGVADLIASIKMSKIPIICICNDRYSQKLKSLVNYCLLLNFRKPTKQQMGKRLMEIAKKEGLQAQENAMEELAERVHGDIRMALNHLQYISLSQSVVKYDDIRQRLNSSTKDEDISPFTAVDKLFGFNGGRLRMDERIDLSMSDPDLVPLIIQENYINYRPITVGKDDSGVKRMNFLARAAESIADADIVNVQIRRYRQWQLSQAACLSSSIVPAALMHGNREILEAGERNFNRFGGWLGKYSTTNKNIRLLEDAHSHILASQQANLDRESLRLDYLTLLLRQLTDPLKTMPKDEAVQKVVEFMDTYSLSQEDFDTIVELSKFKGHPNPMDGIQPAVKSALTKAYKQGSSSRVVRAADLVNIPGMKKPLKKRVAAILEPVGESLPEENGVASSEGDEEDSSDAENNDELVPGDTKPKLDLQSDKKKGIQVQLDLKSNGNGLNSKKMPAGRSKASGSAGKAAGGSGGKRKR >ORGLA11G0146000.1 pep chromosome:AGI1.1:11:16121905:16123284:-1 gene:ORGLA11G0146000 transcript:ORGLA11G0146000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAGDDFRDWSGLPEDLLLTAMEAMQLPDLVHSGAVCRSWHSAFATFRRLGLRSPPHPPCLLYAAAAAADNAVRLYLPSSTGAHFRVPLLDEEAASGVVGSAHGWLFTSDRDANPYLLNPLTGARAALPPATALGRVRGRRFVFSPGDGGRRGVAYDVDFGRRPGGSPDVRQVMARRARRWMYRRVAMSASPSAATGCVVLLLHMPERDLSFARPGDERWTPLVDGGVWASHGTSFLDAVHNPGDGLFYVLQDFSPGGDTVVHSLDLTAPPPPPSSPVATMLMFATPPRPCNHHLKKTMCRYLAITPQHPQHVAGGLEFLVVERRWRRSGSDDDVSTTEMYVVMLRPLDLYFYEQVSLPGGVGGDLALFVGDAGAACLRVEDYPMFRGNCAYLTDESDGDGDHANPPPWKRLDLALWEFGGSNYRGRLTKLRDTWPLHHPWQDNSPPPIWFTPSLD >ORGLA11G0145900.1 pep chromosome:AGI1.1:11:16117244:16120711:1 gene:ORGLA11G0145900 transcript:ORGLA11G0145900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDEVRVNGAVEVAEVVAEGDLASGGEAAAAGGSDQAVVVVAVAAEGTTAKKGGSGGAAAARKAKVAAAVANGKVGKKPALSQSASFPARGATAKKAATPKQAKTTDGKGAVPNGSEKAAGRAVEKKVNSARTPAASRSLPVKSGSVDAPPNDASPETQESNENTTNALEQTLPEKMEDDVHSTTSSTNTPRAAAQRKNAAAAGFSFRLQERAEKRKEFYQKLEEKIHAKELEQTNLQAKSKESQEAEIKLLRKSLTFKATPMPSFYKEQPPKVELKKIPPTRARSPKLGRHKPTNSAAAASVDGSVSCESPRSITNLAKLTESTENNKPHATARKPAQRSVTKIPSQASATAKTETKPLVTKQKTSNTKPKAPRAKVEQLQDNSVEIPPAEPSGPEGLTVEHGVEDATGPDRATTLVASNEVPVQG >ORGLA11G0145800.1 pep chromosome:AGI1.1:11:16085138:16085929:-1 gene:ORGLA11G0145800 transcript:ORGLA11G0145800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSVMLERWGCLRGSTPANVVADDNTAAESRTSRGQPLRVALARASPPAISFICFDRGDDGYVIAAHGDSVLFRMSWNDYFVYMAAAGKPPSLTLLPVCDIPMNERCWVSKDRFKDSFRTTGRVFDQQDTGILRLRGEDGGEEAPPPLVAQLQIAQEPPFDTAELCVLRPGHGHPEWELKTAVPIVHHDGGGERRHGLEMWQETNVAVPVGDRFMCWANYDLATFLICDMAAADLDNPKLLYGGSPPLEAAFVSSRWTSPSTSSE >ORGLA11G0145700.1 pep chromosome:AGI1.1:11:16073193:16076528:-1 gene:ORGLA11G0145700 transcript:ORGLA11G0145700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMMLLLSCSYALALVSAGSSSSSNATADELALLSFKSMLSSPSLGLMASWNSSSHFCSWTGVSCSRQQPEKVIALQMNSCGLSGRISPFLGNLSFLKTLDLGNNQLVGQIPSELGHLSKLQMLNLSTNLLRGSIPVEMRGCTKLMTLHLGDNQLQGEIPAEIGSSLKNLVNLYLTRNLLSGEIPQSLAELPSLELLSLSHNKLSGEVPSALSNLTNLLNIRFSNNMLSGVIPSSLGMLPNLYELSLGFNNLSGPIPTSIWNISSLRVLSVQGNMLSGTIPANAFETLPHLEKLYMDHNHLHGKIPVSLGNSSNVSMIILGANLFNGIVPQEIGRLRKLEQLVLTQTLVGAKEQKDWEFITALANCSQLQVLVLGMCEFGGVLPNSLSSLSTSLKYLSLSYNNISGSIPKDIGNLFNLQVLDLAWNSFTGTLPSSLGRLKNLHYFNVYNNDLGGPIPSTIGNLTGLITLYLMSNTFSGRLTNSLANLTKLTELDLSSNNFIGPIPSGLFNITTLSIALELSYNKFEGSIPQEIGNLVNLVKFNAESNKLSGEIPSTLGQCQNLQDLALQNNMLNGNIPEHLSQLKSLQTLDFSRNNLSGEIPKFIGNFTMLSYLNLSFNMFTGEVPTTGIFTNSTAISIQHNGRLCGGITTLHLPPCSFQLPKNKHKPVVIPIVISLVATLAVLSLLYILLTWHKKIQTEIPSTTSMRGHPLVSYSQLVKATDEFSIANLLGSGSFGSVYKGELDAQIGESPYYVAVKVLKLQTSGALKSFAAECNALRNLRHRNLVKIITACSSIDNSGNDFKAIVFDFMPNGSLEGWLHPDKDDQIDHKYLNLLQRVGILLDVANALDYLHCHGPTPVVHCDLKPSNVLLDAEMVAHLGDFGLAKILVEGNSLLQQSTSSMGFRGTIGYAPPEYGAGNTVSTLGDIYSYGILVLEMVTGKRPIDNKSIQGLSLREYVELGLHGKMMDVVDTQLFLGLENEFHTADDSSCKGRIDCLVSLLGLGLYCSQEMPSNRMPTEDIIKELSAIKQSLVGNT >ORGLA11G0145600.1 pep chromosome:AGI1.1:11:16064579:16067997:-1 gene:ORGLA11G0145600 transcript:ORGLA11G0145600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVAFICLLLVCLCSRSLASSPPPPPPPSSPVSSNDATKATVDELALLSIKSMLSSPSSSPLASWNSTSSIHHCSWPGVVCSRRHPGRVAALRMASFNLSGAISPFLANLSFLRELDLAGNQLAGEIPPEIGRLGRLETVNLAANALQGTLPLSLGNCTNLMVLNLTSNQLQGEIPSTIGARMVNLYMLDLRQNVFSGEIPLSLAELPSLEFLFLYSNKLSGEIPTALSNLSGLMHLDLDTNMLSGAIPSSLGKLSSLIWLNLANNNLSGTIPSSIWNISSSLWGLNIQQNNLVGVVPTDAFTALPELRTISMDNNRFHGRLPTSLVNVSHVRMLQLGFNFFSGTVPSELGMLKNLEQFLLFATLLEAKEPRDWEFITALTNCSRLKILELGASKFGGVLPDSLSNLSTSLQTLSLQYNTISGRIPKDIGNLIGLQSLTLDDNSFIGTLPSSLGRLQNLNLLSVPKNKISGSVPLAIGNLTKLSSLELQANAFSGEIPSTVANLTKLSALNLARNNFTGAITRRLFNILSLSKILDISHNNLEGSIPQEIGNLINLEEFHAQSNILSGEIPPSLGECQLLQDVYLQNNFLNGTISSALGQLKGLESLDLSNNKLSGQIPRFLGNISMLSYLNLSFNNFSGEVPDFGVFANITAFLIQGNDKLCGGIPTLHLRPCSSGLPEKKHKFLVIFIVTISAVAILGILLLLYKYLNRRKKNNTKNSSETSMQAHPSISFSQLAKATEGFSATNLLGSGTFGSVYKGKIDGQTDESAEYIAVKVLKLQTPGAHKSFVAECEALKNLRHRNLVKVITACSSIDTRGYDFKAIVFDFMPNGSLEDWLHPKPVDQTEMKYLGLVQRVTILLDVAYALDYLHCRGPAPVVHCDIKSSNVLLDSDMVAHVGDFGLAKILAEGSSSLQHSTSSMGFRGTIGYAAPEYGAGNIVSTNGDIYSYGILVLETVTGKRPTDNRFRQGLSLREYVEQALHGETMDIVDSQLTLELENECETLQDSSYKRKIDCLISLLRLGVSCSHELPLSRMRTTDIVNELHAMRESLLREYRIEDGSYVNVTLE >ORGLA11G0145500.1 pep chromosome:AGI1.1:11:16055747:16058662:-1 gene:ORGLA11G0145500 transcript:ORGLA11G0145500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNPTSKPLLLLGSLLLLAAGTLPLQATTACVPRERDALLAFKRGITSDPLGLLISWKEDDHDCCRWRGVTCSNLTGHVLRLHLNGGYDLDRFELVGLVGEISPQLLHLDHIEHLDLSINSLEGPSGQIPKFLGSMNSLRYLNLSSIPFTGTVPPQLGNLSNLRYLDLSDMEGGVHLTDISWLPRLGSLKFLNLTYIDLSAASDWPYVMNMIPSLRVLSLSFCRLQRANQSLTHFNLTKLEKLDLSMNYFDHPYASCWFWNLTILKFLDLSQNRLYDQLPIALGDMTSLRVLRISNNDLGSMAPNLLRNLCNLEVLDLDESLSGGNMTELFGSLPQCSSSKLSELKMSYNNINGSLPAGLFRQFPNLVTLDMSINLITGSLPVEIANMETMAMEYLDIRSNKLSGQIPLLPRNLSALDIHNNSLSGPLPSEFGVNIYMLILSHNHLSGHIPGSFCKMQYLDTIDLANNLFEGDFPQQCFSMKDIKVLLLSNNRFAGTFPAFLEGCTQLQIIDLSRNNFSSKLPKWIGDKKDLVLLRLSYNAFSGVIPDNITNLPNLRQLDLAANSLSGNLPRSFTKLEGMKREDGYYGSGSVPEDDLSSNCLIGGIPEQIASLAALKNLNLSRNNLNGKIPYKIGSLQSLESLDLSRNNLSGEIPSTLSNLSYLSDLDLSYNNLSGTIPSGSQLGTLYMEHPDMYNGNNGLCGPPLRRNCSGDIEPRQHGYGDDNKAGHAGVVECFLRVMHDYL >ORGLA11G0145400.1 pep chromosome:AGI1.1:11:16044976:16048314:-1 gene:ORGLA11G0145400 transcript:ORGLA11G0145400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSNSIALLLTAVAVLFLRPGFSREQQQQQQKYCHGGXACAPLVQVHAAVXWLPGIMERFKPLLQLAGSCVRRPASREGCGVANELVQPVWKDLSVIGQPVLAQGAGTWXQPVHRGHTSRDRATHQAXDAEPKLELSPRKHPGFHWRMCRAHVDXSRQQPAPRXDPSRARCVEESCQVGPSXKCFVRRDPSVSGRFAIVGRPLFKNRLHGEIPPGLGNLTNLYHLLLAHNMLSGAIPSSLGMLSGLSWLELGFNNLTGLIPSSIWNVSSLTELNLQQNMLHGTMPPDVFNSLPHLQHLYINDNQFHGNIPVSIGNVSALSRIQIGFNSFGGIIPPEVGRLRNLTSLEAEHTFLGAKDPKGWGFISALTNCSNLQALFLGNNRFEGVLPVSISNLSVYLEYLYLDFNAISGSMPEDIGNLVSLEALLLHNNSFTGILPSSLGRLKNLQVLYIDNNKISGSIPLAIGNLTELNYFRLDVNAFTGRIPCALGNLTNLVELGLSSNNFTGPIPIEIFKIHTLSLTLDISNNNLEGSIPQEIGGLKNLVQFYADSNKLSGEIPSTLGECQLLQNISLQNNFLSGSVPSLLSQLKGLQILDLSNNNLSGQIPTFLSNLTMLSYLNLSFNDFSGEVLTFGVFSNPSAISIQGNGKLCGGIPDLHLPRCASQSPHRRQKLLVIPIVVSLVVTLLLLLLLYKLLSWRKKIKTNIPSTTSMEGHPLISYSQLVRATDNFSATNLLGSGSFGSVYKGEINNQAGESKDIAVKVLKLQTPGALKSFIAECEALRNLRHRNLVKIITACSSIDNSGNDFKAIVFEFMPNGSLDGWLHPDNNDHTEQRYLTILERVSILLDVAYALDYLHCHGPAPVIHCDIKSSNVLLDSDMVARVGDFGLARILDEQNSVFQPSTNSIVFRGTIGYAAPGXSVSCSSNEAHIYTIILWAICRXNXFXGKHXIFIFTXLYISTTYGKSIAIQVIRILIFLGNVQCNRIWCWEYCVDARXYLQLRHSCVGNCNWEEAQXQQIYTRIEPLRVCKSGFTWXSDGHCXQQAVSGHXSAXSXDNRXFFKQAKDRLLDFITXTRTFLLPGNAIEXIINWRYHXGTACNQGISLAGNRGYRKM >ORGLA11G0145300.1 pep chromosome:AGI1.1:11:16036717:16040917:1 gene:ORGLA11G0145300 transcript:ORGLA11G0145300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAMEKRREIRELRDRMDRTLALPDLADEVLLRSLVKRQILASSLSAGNGEGNIDLIAEARSKEISNFLEMLNTSGNERSSKIHEAAHKEWKVKQDTDQLRVMYREGPDGTPFHTLLAEGFADGPIDVCTCVSWESSLYKKWFPQYNLPTFKVAQSGCLKKVRVGEEISLVRVKVPWPVSEREALLHYFELEYLKEDLVIVIMKTISDTDNINIETHGFSRDGIPEAGDTVRIDVVGGFVLQRITKDKSFFRAIANMDIKLDFVPPWLINFISRQLIGSGHKLYQKAVSTVANCDEDYKKALREPLYVRIREHRGSIDMANVTPVEERATEAPPDNPILQNLLAVTNITSNSEIVEEESEQKALFKLDHHAIGPSNHPAEQEQHVENKPYISPEVEQALSILDKAIEIIRGNNAASASVVQKFIGYDVTLDGSTTDSKNSHNIPNEHPATLPPRDSRETQQTYSLSNENVNHREKDALDCDSQRYTTASTVTKTMSMTRRSTTRVHGEESLDTNGLHQNGFHKDKDSKRTRKRRTNRWLCCLTPSTTG >ORGLA11G0145200.1 pep chromosome:AGI1.1:11:16028008:16032471:1 gene:ORGLA11G0145200 transcript:ORGLA11G0145200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THUMP domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G12410) TAIR;Acc:AT5G12410] MASGEGKPNPGGGGGVVAKGRKRKYLPHGKPVRKGAYPLRPGVQGFFLTCDGGRERQATREALSLLDSFYEDLVDGKGSDGKPKSVPEKPLNKKITFADSDSSDDEEEDHSGEVTDDTNNVDKGETTPSEQQQEVSDTPVVPSKDNEEQTDNADESKLKKQRVEDPPIYEQTEPKEPTDKPTESTDKPKGSNDKPIDDLIDEDLKELGDRKKRLFATLDSGCNGCIFIQMHKRDGDPGPVEIVQNMMSSAASSRKHMSRFILRFLPTEVTCYASEEEITKAISPLVEKYFPKESSSVYKFAVLYEARSNTGIDRMKIINAVAKSVPQPHKVDLSSPDRTIIVQIAKTICMIGVVERYKELAKFNLRQLTSPPEK >ORGLA11G0145100.1 pep chromosome:AGI1.1:11:16026673:16027116:1 gene:ORGLA11G0145100 transcript:ORGLA11G0145100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prefoldin 2 [Source:Projected from Arabidopsis thaliana (AT3G22480) TAIR;Acc:AT3G22480] MASKAGGDGKEAINEQIIANTYGNMRSEMTQLYTKITELEMEVSEHSLVIGAIEPLDHSRRCYRMVGGVLVERTIKEVLPAVHRNKEGLEEVIARMHEALEKKKKEITEFELKYKIRIRKADTNADEEEGSKKEGSAQGVLVGPAGQ >ORGLA11G0145000.1 pep chromosome:AGI1.1:11:16018531:16021880:-1 gene:ORGLA11G0145000 transcript:ORGLA11G0145000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRGAAAAAAPGRRGRPPKAAKEAARSPVLSSPVAAAEAAEAAEGYERERAERIRENMERMQKLGILDLATRFNQSAGGLAGSGSGRGRGRRKAPVTPGSVGVGMMIKPASPSPARRSLRLKNVEPVSYCEIRTKKDKDNDEGGNSVVIEAGSKEEIYTEEDEKLLGPCVEPWTLFVDGYGKDGKRIYDQVRGQTCHQCRQKTLGHHTSCCKCQIVQGQFCGDCLYMRYGENVLEAKKNPDWICPVCRGICNCSICRTKKGWFPTGAAYRKVVSLGYKSVAHYLIATQRASPAGSADSNKVAATKPEALPQSENAPAAKEDQEDAEMSGKAIQKVEADHQVNNPPDDSDNDDSRSESVVTSDSQDCQVNLDIGCATPSKPTGPKKRKWIERSPDCVASRLRSRSNKS >ORGLA11G0144900.1 pep chromosome:AGI1.1:11:16013417:16015348:1 gene:ORGLA11G0144900 transcript:ORGLA11G0144900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTQKFLLFLLVGVAATLSLATNSPVPQWPASCTPREREALLAFKRGITGDPTGRLTSWKRGSHDCCQWRGVRCSNLTGHVLELHLRNNFPRYDEATALVGHISTSLISLEHLEHLDLSNNNLVGPAGQFPRFVSSLRNLIYINFSGMPLTGMVPPQLGNITKLQYLDLSHGIGMYSTDIQWLTNLPALRYLGLSNVNLSRVSDWPHVVNMNSYLIVLDLSGCSLTSASQSFSQLNLTRLEKLDLSYNNFNQPLAFCWFWNLTSLTYLDLTMNTLSGQFPDSLGDMKALQVFKFWSNGHSIIMPNLLRNLCNLEILDLGGLRSCNITELLDSLMHCLTKRIRKLYLWNNNITGTLPTGVGKFTSLDTLDLSDNQLTGSVPYEISMLTSLAKINLSLNNLTGEITKEHLAGLKSLKSIDLYYNPYLKIVLGDEWLPPFRLEVARFGSCQLGPMFPSWLQWMVDIKVLDICNTGINDQLPHWFWTIFSRATELVMSSNKISGSLPTNMETMSLEHLLLGSNQITGVIPILPPNLIWLEIQNNMLSGSVASKTFGAPQLGFMDLSSNNITGPISGSICELLNLDYLNLANNHLEGEFPRCIGFKNLELFHLNNNSLSGKVPSFLKGCKRLKYLDLSQNKFHGRLPS >ORGLA11G0144800.1 pep chromosome:AGI1.1:11:15998204:15998484:1 gene:ORGLA11G0144800 transcript:ORGLA11G0144800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSTCRRQLVHIDGQLASSTTATTATPMASITSSTMTTTTSTLHHHCDDCHTAQKDQPNWWPHRQRHPLTYVRRPQ >ORGLA11G0144700.1 pep chromosome:AGI1.1:11:15993551:15995517:1 gene:ORGLA11G0144700 transcript:ORGLA11G0144700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQTMHRLQPPSTACHERGKPCWPSSEASLATPQGASPRGRKTTMTAAGGGALDYSPSEFNAVALVGRITSSLLSLEHLEHLDLSNNNLTGPDGRFPVFVASLRSLQYLDLSGLGFTGMVPSQLGNLSKLEFLDLSGTGMQSADISWLTRLQWLKYLYLSSLNLNAVSDWAHVVNKIPSLTVLSLSGCSLTRVDHSLKHVNLTRLEKLHLSGNDFNHPLSSCWFWILKTLIYLDLESTGLYGRFPNAITNMTSLQVLDFSRNNNAGILEPILLRNLCNLESLNLQLGLLSGNMTELLESLSHCSPNKLRKLYLSNNNITGTLPAQSMGQFTSIANIGFSFNQLTGHVPPEIGKLASLTHLDLSENKLTGTITDEHFGGLMSLTYIDLSYNKLKIVIDPEWLPPFRLETAYFASCQMGPLFPAWLRWSSDIDMIDISSANIIDEFPDWVSTAFSKAIYLDMSNNKISGNLPKNMKIMSLEELYLNSNRITGEVPTLPTNLTYLDISNNILSGLVASNFGAPRLDTMNLSSNSIQGQIPSSICRLKYLSTLDLSNNLLNGKLPRCIGMRNLQKLLLSNNNLSGTFPSLLQGCTQLRYIDLSWNRFYGRLPSWIGDFQELVSLQLRNNTFSW >ORGLA11G0144600.1 pep chromosome:AGI1.1:11:15987614:15988762:1 gene:ORGLA11G0144600 transcript:ORGLA11G0144600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLILSFNNLSGHIPESFCRMKQLAALDLANNLFEGELPQCFGMTGMAIVLLQNNRFSGSFPVFLERSTKLQLVDLSRNNFSGKLPTWIGDKKELVLLLLSHNVFSGIIPINITNLSNLRQLNLAGNSLSGNIPWRLSNLEAMKEDNYIFNLDIPDDSSYNNLSVFTKRTELFYGPNIFSAVNIDLSSNYLVGQIPEEIASLALLKNLNLSRITXVEKSHKKLGRYGHWNHXISQGTSFRGRSLLACQIYHIXVIWIYHTTIYREEYHRDHSLTPSILNILICIAVTMVFSDFLFKEITRKELHQSRAITIIVKPDKXLSQCSFTLGLCQDLLLAYGWCFAPYSSRRHGGLPISLFLTRRVTKYTCLLLLLGQEYLKRRMYY >ORGLA11G0144500.1 pep chromosome:AGI1.1:11:15968707:15968928:-1 gene:ORGLA11G0144500 transcript:ORGLA11G0144500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCTYGMRSRIWKESKFGTIGYVKFLSCTRGFLKVFRTPSMSLVRGFRLPTSGINRGGA >ORGLA11G0144400.1 pep chromosome:AGI1.1:11:15946971:15956054:1 gene:ORGLA11G0144400 transcript:ORGLA11G0144400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGAGAAAGAAAASGDGAPGAGAVANVNTNKGNSASQSSGGPFSGLKKLNLDAIGLHGLFPNVLGDMVSLQVLVLSSNEMTMKTTNLTTLCNLRILQLGESYSYGNISELIESLPQCAFSKLQELSLRGNQFTGILPNWLGQLTSLVILDLSMNNITGPLPGIFGKFTDLRDLNLAGDQLTGHLPSQISMLSNLTRLDLSNNILDGLITDEHFVGLKGLEYIDLSHNKLKIVLGSPPFRLKKHILQTACRWVLCFLHGFSGRWIFLTLILQAQISGGLPTNWEIMSVEQLYLSSNQFTGEIPSLPRNIITLDISSNSLTDLANNHFEGDLAECAELKHLQFLMLSNNCFSGKFPSFLQRCFFLSFLDLAWNEFSGTLPMWIGNFTWLQFLRLNNNMFHGHITGSITGLGVLRHLNLAENRLSGPIPSGGQLETLYTYNPLMYSGNNGLCGFPLQRSCPGNSTSKNGDLSKEKHGDQQIPELHSDDQMFFLFGCGVGFVVGSWVVFFSLLSVKTWSIAYFRLFDSVYDKVYVLVVVNWGSLTGMKAAS >ORGLA11G0144300.1 pep chromosome:AGI1.1:11:15938780:15939079:1 gene:ORGLA11G0144300 transcript:ORGLA11G0144300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTKGTFTGVHNVIGAHGPLMGRLAIADPVGLRLDGPERLPRPSRGRTCHGEVVFLRQIVFALHPSPETPLAWQGTRTTLCLTPFLLHSLRHGFRQI >ORGLA11G0144200.1 pep chromosome:AGI1.1:11:15920388:15920672:1 gene:ORGLA11G0144200 transcript:ORGLA11G0144200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQHPLHDHQQWMGLMQPQSQHNQQHQSQQHIMAAFQSQSNQLQQELGMEQKPSVQQNFQTSAGMFLXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA11G0144100.1 pep chromosome:AGI1.1:11:15914144:15914365:1 gene:ORGLA11G0144100 transcript:ORGLA11G0144100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKGSKFGTIDYVKFLSCTRGFPKVFRTPSMSLVRGFRLPTSGINRGGA >ORGLA11G0144000.1 pep chromosome:AGI1.1:11:15893928:15894167:1 gene:ORGLA11G0144000 transcript:ORGLA11G0144000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYFERVDEPFRLKIRELWHKEMREQRKNVFFFDWYESSQVRHFEEFFKRKNMMKKEQKSEAEDLTVIKKVSTEWETR >ORGLA11G0143900.1 pep chromosome:AGI1.1:11:15891372:15892056:1 gene:ORGLA11G0143900 transcript:ORGLA11G0143900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGDEEDVEETQGYRIGRMATREAKDGCGRCRSPGLAMGDGRRIALHLLIDFVVDCQHPKTAEDFLKLSPSPKVD >ORGLA11G0143800.1 pep chromosome:AGI1.1:11:15883609:15884804:1 gene:ORGLA11G0143800 transcript:ORGLA11G0143800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLCSGHLLVLVGGGGADSRGQWRRSRSPHRRCRRRGPTPPAIARCRATRLLPRERGETVGGEDKDKEVRELEGGYEDEIFEVLRGWRTRRQRDACGDIVILKMCWLSLLEVLKGA >ORGLA11G0143700.1 pep chromosome:AGI1.1:11:15866617:15882318:1 gene:ORGLA11G0143700 transcript:ORGLA11G0143700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:I1R0Z5] MWRLKVAQGGGALLRSTNGFAGRAVWEFDPDHGTPEDRANVERVRRDFTNHRLRRPESADLLMHMQFARENNHQRRGDRIPPAVNKLGEKEQVTEETVMASLRRALDEFSSLQADDGHWPGDLSGAIFIMPVLIFSLYATGSLDTVISSEHRREICRYIYNHQNKDGGWGMLILGSSTMFATCLNYVTLRLIGEEPSNEQLARGHAWIISHGGATLVPQWGKICLSIIGVYEWSGNNPIFPELWLAPQFLPFHPGISSVLNNTQALNMVCCWVEDPNSDSFKRHLARIPDFLWLSEDGMKAQIYDGCQSWETAFIIQAFCATDLVNEYGSTVSRAHEFMKNSQIMRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTAEALKAVLLLEKISSNVVGDPIEIERLHDAVDCLLSFVNKDGTLSTYECKRTYSWIEVLSPCESFPNIVVDYPFPECTSSVLQALVLFKQLHPSYRIKEIEKCVRNAAMFIESTQGEDGSWLGTWGVCFTYGAFLSVKGLIAAGRTYENSSSIRKACDFILSKQLDTGGWGESYVSNITKVYVNIKDDQAHAVNTAWAMLALICAGQMERDPAPLHRAAKELINMQLETGEFPQQEHVGAFNACLFFNYPNYRNLFPIWALGEYCRHLHSTRRA >ORGLA11G0143600.1 pep chromosome:AGI1.1:11:15840550:15841083:1 gene:ORGLA11G0143600 transcript:ORGLA11G0143600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIRDMQSLESLDLSKNMLYGEIPQSLSDLSSLSFLNLSYNNLMGRIPLGTQLGTLYDQNHHLYDGNDGLCGPPLPKSCYKSDASEQGHLMRSKQGFDIGPFSIGVAMGFMAGLWIVFYALLFMKTWRVAYFCLLDKVYDEVCVIAVFGWARLTGRTDARLLMSQVAWSSIDSDESYE >ORGLA11G0143500.1 pep chromosome:AGI1.1:11:15828376:15831398:-1 gene:ORGLA11G0143500 transcript:ORGLA11G0143500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFPFVICFVVERYTQATLVRCAIRLDKNLMSEAVILLVVKKIGVALGNEAINQATSYFQKYVTQLTELQGSMGRIRRELRLMHEFLSRMDIRNRNNKMYEIWVEDVRMLAHQIEDIVDDYLHLVSHKDKHDDTGWTTYLKKGFKRMKGPNALLSLNRIAPSVKEAEANLVHLFQAKERWVRMVADETSGESSCYIVEASRHLASISCSLSEEDLVGVDENRKRLREWLAGDELECEVIVLHGMGGLGKTTLAANVYRNEREKFECHAWVSISQTYSIKNILKCLITELFRNAKQNPPVNLGDMKAEGLQDELKAFLRDRKYLVILDDVWAPEAIGNLFGALVSNLRGSRVLVTTRIDEVTHLAFPNKRIRLEPLSQNDSWELFYKAAFPREKKLECPTEVTQLAYQIASKCKGVPLAIVSVGKLLFVRDKTEEEFRHIHNQLDWELINNPSMEHVRNILYLSYIYLPTQLKSCFLYCSLFPDDYLFTRKKLVRWWIAEGFVEKRGGSTMEEVAEGYLKELVHMNMLQLVERNSFGRIKAFRMHDIIHELAVDLCRRECFGVSYSCENKRFEFLEENDERRMVIHRLDKDINQVISSECRLRSFIALDKAMASSTLLPLLSEKCRYMSVLELSGLPIDNVPDAIGDLFNLRHLGLRDSNVKLLPNSIEKLSNLLTLDLCTSEIHELPRGIIKLKKLRHMFAEKANDRSGRQLRCRTGVCIPRGLENLRELQTLQALQAQDEPLSWLGELRQMRSIKIWDVKGSCCECLCASLRHMEFLSYLSIAASDENDILNLSSSNPLPPNLEKLRLRGRLAQANMLLGAAGGQNHLYSIHLSWSQLVDDPLPSLSRWSNLTDLLLNRAYIGDELIFHHGWFPALKELYIGDMPRLKRLEIQQGSMARLQQLYLVNLSSMMEVPLGIEFLMSTLKSLGFAEITRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA11G0143400.1 pep chromosome:AGI1.1:11:15811464:15811898:-1 gene:ORGLA11G0143400 transcript:ORGLA11G0143400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARDGDEASCCRLLLPPGDRVVPSRRRHRPPMTRLSAAATSPPRRSRDLYLATSLPGDVMLSRHRFASCSLFATSSMLACSRGLGHFPHARPHSSRSRRSPVGAPLPGARTPSSCRSATTPLLHRAGLCDAICPRCLFFTESL >ORGLA11G0143300.1 pep chromosome:AGI1.1:11:15786154:15789422:1 gene:ORGLA11G0143300 transcript:ORGLA11G0143300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLPLLLFVLLFSALLLCPSSSSDELALLSFKSSLLHQGGLSLASWKNTSDHGHGRHCTWVGVVCGGRRHPHRVVELLLNSSDLSGIISPSLGNLSFLRTLDLSDNHLSGKIPPELSSLSRLQQLVLNFNSLSGEIPDALGNLTNLFVLELTNNTLSGSIPSSLGKLTGLYNLALAENMLSGSIPSSFGQLRRLSFLSLAFNHLSGAIPDPIWNISSLTIFEVVSNNLTGTLPANAFSNLPNLKEVFMYYNHFHGPIPASIGNASSISKFTIGLNSFSGVVPPEIGRMRNLQRLELPETLLEAEETNDWKFMTALTNCSNLQEVELGGCKFGGVLPDSVSNLSSSLVTLSIRDNKISGSLPRDIGNLVNLQYLSLANNSLTGSLPSSFSKLKNLRRLTVDNNKLIGSLPLTIGNLTQLTNMEVQFNAFGGTIPSTLGNLTKLFQINLDHNNFIGQIPIEIFSIPALSEILDVSHNNLEGSIPKEIGKLKNIVEFHADSNKLSGEIPSTIGECQLLQHLFLQNNFLNGSIPIALTQLKGLDTLDLSGNNLSGQIPMSLGDMPLLHSLNLSFNSFHGEVPTNGVFANASEIYIQGNAHICGGIPELHLPTCSLKSRKKKKHQILLLVVVICLVSTLAVFSLLYMLLTCHKRRKKEVPATTSMQGHPMITYKQLVKATDGFSSSHLLGSGSFGSVYKGEFDSQDGEITSLVAVKVLKLETPKALKSFTAECETLRNTRHRNLVKIVTICSSIDNRGNDFKAIVYDFMPNGSLEDWLHPETNDQAEQRQLNLHQRVTILLDVACALDHLHFHGPEPIVHCDIKSSNVLLDADMVAHVGDFGLARILVEGSSLMQQSTSSMGIRGTIGYAAPEYGVGNIASTHGDIYSYGILVLETVTGMRPADSTFRTGLSLRQYVEPGLHGRLMDVVDRKLGLDSEKWLQARDVSPCSSITECLVSLLRLGLSCSQELPSSRTQAGDVINELRAIKESLSMSSDM >ORGLA11G0143200.1 pep chromosome:AGI1.1:11:15779344:15779901:1 gene:ORGLA11G0143200 transcript:ORGLA11G0143200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WIITQASIKPLAIPKHTVNQHLTLQLINHLAMLMRHPTIAAAAAAAAASFLLLMIAADGQAATPPPPAAIGNYCEPRQRDALLAFKEGVTDDPAGLLASWRRGGGQLQEDCCQWRGVRCSNLTGHVVKLRLRNDHARTALAGEIGQSLISLEHLRYLDLSMNNLAGSTGHVPEFLGSFKSLRYLNL >ORGLA11G0143100.1 pep chromosome:AGI1.1:11:15773866:15775595:1 gene:ORGLA11G0143100 transcript:ORGLA11G0143100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVGYTIKLALRHLKSLWFLDLSDNHLIIGHVPLEISTLTKLAWLDLSYNDLDGLITELFDGLKSLKNIGLSDNRLKIVVGSDWIPPFRLKVANLASCHIGPLFPSWFKWQMGISHINISRANSLSGVIPWQLSNLEAMTKRKSMLRKLPNNYSRGVDRYLSRFKHMVGELSVTTKRQDLKYQGFALLGIVTIDLSSNYLTGRIPSGGQLDTLYNNNPSMYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA11G0143000.1 pep chromosome:AGI1.1:11:15762338:15764256:-1 gene:ORGLA11G0143000 transcript:ORGLA11G0143000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAETCVSEAAAAILDVAVAGDGEAKRQLVAVDDDDDDDSEPNPYEYRVFFEWLWDYYGCKIDDVTRGPTFRTPGARISPCVEVYSCRVIDLTGGLEWPIDVFGFVAARDGLDRKRNYIFNRPRGDAQTLTAEDPSFVLTGPIRAINCSQRIEFEVDLKVRGKTQSDRDKVLSARYIVYETMGPNCMVGWVRSKARPGKRCSVEVTFAHLAGAVEAAIEVRVVQGSSGFCGRFVARTDGYDDDVVLVDSSNDGSVLAVADDGVIKLTRSVAVVESTGVLKIHAIIARNDSSSSGDDGVGVAAEDHAEFAAQRFESSCRTLDLGFCKMLATVSWSMIPLI >ORGLA11G0142900.1 pep chromosome:AGI1.1:11:15757298:15757639:1 gene:ORGLA11G0142900 transcript:ORGLA11G0142900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICVLGNSWICICCKVLHPLVLGSECRAYAYMHMHMLEGVGPLGAWIRMWFGYSPQLVFLLICIRNSWLYRKVLSPDLWFTQNELVILRYNLSFGHEFVALSEGLHLFSLHI >ORGLA11G0142800.1 pep chromosome:AGI1.1:11:15745746:15750076:1 gene:ORGLA11G0142800 transcript:ORGLA11G0142800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:long-chain acyl-CoA synthetase 2 [Source:Projected from Arabidopsis thaliana (AT1G49430) TAIR;Acc:AT1G49430] MEETYTVKVGEATPAAGGKPSAGPVYRSIYSKDGLMKLPEDILSPWDFFSGAVKQYPKNKMLGQRKVSDGKAGDYVWLTYEEVYQKVIKIGSAIRSLGVKPGGHCGIYGSNCPEWVMAMQACNSQGICYVPLYDTLGANAVEFIMDHAEISIAFVQESKIQSVLSVVKKCRAHIKAIVSFGDVTSELKREAEQLGVSCFSWEEFSSMGKQNYELPKKQKDDICTIMYTSGTTGDPKGVIITNRALIAGVMTTEHLLKVTDKVVAEDDSYFSYLPLAHIFDQVIGNYCISKGASIGFWQADIRYLMEDVQMMKPTVFCGVPRVYDRIYTGINQKIQSGGMIAKSLFQYAYNYKLGNLRKGLKQDEASPFFDKIVFSKIKEGLGGRIRLLLSGAAPLPRHVEEFMRVTSCSVLVQGYGLTESCSGCFTSIANVFSMIGSVGPPVTTIEARLESIPEMGYDALSNVPRGEICLRGHTLFSGYYKRPDLTEEVFSDGWFHTGDIGEWQPDGTMKIIDRKKNIFKLSQGEYVAVEVLESAYVQSPLITSVWVYGNSFESFLVAVVVPEKQAIEDWAAQNNKTGNFAELCNDPKARMYIQDELNKTGKRLGLRGFEMLKAIHLETTPFSIEKDLVTPTFKLKRPQLLKYYKDCIDQLYKDAKVGNKQ >ORGLA11G0142700.1 pep chromosome:AGI1.1:11:15737265:15739361:-1 gene:ORGLA11G0142700 transcript:ORGLA11G0142700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDNGVGVKKGPWTPEEDIILVSYIQQHGPGNWRSVPENTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGIIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKVKRLQQQQQSHPDHHHHHSFQTTPSSSNAAAVATTSPNYYNPNNSNSNSSNYLHNNNHNLESMQSMATAPSNEATTIPKLFQFQTWMKPSPATTSSAATAAAGSCYKQAMAMQELQEEQEGSAAAAAMASSIDGVSKDQDYHMCAVISGDDKSSSSEMMTAAAMAGHGEAATTTFSLLENWLLDDMTGQAAMSAAMDGFLEISAGYCCADPIMF >ORGLA11G0142600.1 pep chromosome:AGI1.1:11:15735653:15736213:-1 gene:ORGLA11G0142600 transcript:ORGLA11G0142600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAVVGFDLNVRLEEDKDGNVPFHLNEPILEDHNVNGFDLNMPLDEFGVVDLNFLQNHAEHAVHNQAPVEGQHRRKNMTEEVTKQVYKALLKDSKNGKLGKKDKRRVADQFGVHIRSVQRL >ORGLA11G0142500.1 pep chromosome:AGI1.1:11:15733880:15734170:1 gene:ORGLA11G0142500 transcript:ORGLA11G0142500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVESLKSVEVPDSVDALDIVNALDSVKGLESEMALDSVEVAPDSVEVVQCPRCGTFHAGGVFGEACFQACRRARRCARCGLLHEDYDLIARFFA >ORGLA11G0142400.1 pep chromosome:AGI1.1:11:15731506:15733147:1 gene:ORGLA11G0142400 transcript:ORGLA11G0142400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 5 [Source:UniProtKB/TrEMBL;Acc:I1R0Y2] MASSAAAYLDADENLEAIISRIEQKSRKIETLLKQSKPVEALKTALEGTPLKTRDERCKSANWIVVHRAMMAIRDVDGMFNSLDPEYYDILMKYLYRGLSTGDRPTCDQCLKIHEKLTEKAGLGCILRSLADTVNTV >ORGLA11G0142300.1 pep chromosome:AGI1.1:11:15726348:15726491:-1 gene:ORGLA11G0142300 transcript:ORGLA11G0142300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRMAVAIMVQLLATAAAAAAKSSEPPLPAAVSPPPTTTWRRRWG >ORGLA11G0142200.1 pep chromosome:AGI1.1:11:15722700:15724674:1 gene:ORGLA11G0142200 transcript:ORGLA11G0142200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34360) TAIR;Acc:AT4G34360] MADDVAPRTASAYLDPSYWDERFGKEEHYEWFKDFSHFRHLLAPLLSPSISVLEVGCGNSRLGEELLREGVAGGITCVDLSPVAVQRMRDRLAEQGTEGVEVVVADMLDLPFDRESFDLVIEKGTMDVLFVDSGDPWNPNPTTVDNVMKMLEGIHKVLKPEGIFVSITFGQPHFRRRFFEAPGFTWSVEWSTFGDGFHYFFYILKKGKRLLDSNSNQHTQPAAPSINMFHEELESEDYIFRTNVDEL >ORGLA11G0142100.1 pep chromosome:AGI1.1:11:15718368:15720425:1 gene:ORGLA11G0142100 transcript:ORGLA11G0142100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / peptidoglycan-binding LysM domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G23770) TAIR;Acc:AT2G23770] MAQWRSHAMAAFAFVVVFLLSGAPGARSQQPYGSQVADCPNKHNDTGLLGYFCSSGGGGGGAPSSSSSSCQTYLTFHATPRYPDLAAIASLLGADASSLAAANSAASPTAALAPGAKVLVPATCSCTGAAYYQRNATYVAVAGDTLLVIANDTFQGLSTCQAVQEQALGDAPARSLLAGQRVTVPLRCACPSAAQAAAGVRYLVTYLVDEFDEVGAIAARFGVDAGNISAANEMAITDTIYPFTTLLIPVKSKPDVSQLRSPPPPPPPPPPAAPAPTTNRKNHTGVYVGIGAAAVAVLAVVTAVVAALAVRARRRRRRATAAVAAAGGKGGKGNDKASPAFTGGEVSVSISEAFSGLSDIKSSLKVFTYAELAAATDGFSPDRRVGGSVYRAVFNGDAAAVEVVDRDVSAEVEIMRKINHLNLVRLIGLCHHRGRWYLVSEYAEHGTLRDRLLAGGGAPPLSWSQRVQVALDVAEGLRYLHGYTRPPYVHMDVSSDSVLLAGGADLRGKLRNFGGARVIRGGGGEAFTMTSNIAGTRGYTAPEYLEHGVVSPKADVYSLGVVLLELVTGKGVDELEADGAGDPFAGMNALAGDLDGGSEDDAAVTRRMEEFLDPAMAATGSSCPREAVAMMVKLIERCVRRDAAARPGMGEVAQHLLMLHGVSGDGWHSSLEHYRSSGGDGGEQP >ORGLA11G0142000.1 pep chromosome:AGI1.1:11:15711831:15716014:-1 gene:ORGLA11G0142000 transcript:ORGLA11G0142000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLARSIANSLLAPEEPDDGEDLPPSAASASASASASPPRGVREDLSELTDALANRFHGLASFLAPPPHAGGGRGIPRAPDPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPEAAEAEAAGFTEEAVAFARDAATRPELWLDFPLLPDDDDDFDMTDAQQDHALAVESVAPELADLRIELCPSHMSEGCFWKIYFLLLHPKLSKDDADLLSTPQILEARKKLSRNLQSESKPDSNEDMVAASSSNIDGNVPSPVEVVGILKNEDDSARATSFSNVNYGAPQPVILEMQSDGTLNDSGGLCADDITSSVPVQLVPVLKDATEFSQARMEERIQDFTAQDTVANEEPGQLSEIKLEDNSEERQKQPSTTNLSEQSRVAIQKNSNDDDDDDEDEWLEEEETGGAGNTMIPIADDEDVSFSDLEEDDATA >ORGLA11G0141900.1 pep chromosome:AGI1.1:11:15703196:15703654:1 gene:ORGLA11G0141900 transcript:ORGLA11G0141900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRCTALLLLASLLLFFLCISATDEAARTASGQPIQEQEQEQHHGKVEEETMAASFAAVEEQCGGEGEGEEECLMRRTLVAHTDYIYTQGNHN >ORGLA11G0141800.1 pep chromosome:AGI1.1:11:15684210:15689142:-1 gene:ORGLA11G0141800 transcript:ORGLA11G0141800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G59540) TAIR;Acc:AT1G59540] MEKISVAVRFRPPTTAAPAADQSPSSTGGDREWRVDDDTRITLLHRSAPVPGASFAFDHVFDGAATNERIYGVLVRSLIRAAVDGFNGTAFAYGQTSSGKTFTMNGSADHPGIIPLAVRDVFDTAREVSDREFLIRVSYMEIYNEEINDLLTLGSEKLPIHESLERGVYVSGLREEIVNSAEQVFKLLELGEANRHFGETNMNVRSSRSHTIFRMVIESSAKNHMDSGDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKHINKSLMILGNVINKLSENGKQRGHIPYRDSKLTRILQPALGGNAKTSIICTAASEEIHVEETRGTLQFASRAKCVSNCAQVNEILTDAALLKRQKQEIEELRKKLQGSHSEVLEQVILKQRNDMHKSELERDRLAMELDEERRLRETLEHRLAEQQKMLDGISNTSISPDQFTDSIQFESLKTPTSKERPAEFVASRANYSKDVEFSPIPENLGTVADEDLWMQLNKGCVTDLEMLEMTPGFKCAPSLADDKASVATPDEEPIDARCQRLEKDCTADRQQLEDSKARRAALEDERDTLKRENSSLLDALAKARQDADRLVADRLEALRELDVEKSRMDELKQEIKLFSQAFSLRQGQLTSLYTKSKAIVENCKTSQLALP >ORGLA11G0141700.1 pep chromosome:AGI1.1:11:15679720:15680760:-1 gene:ORGLA11G0141700 transcript:ORGLA11G0141700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSASPSGRRLSELLEEKQEPFYLDLHLLEKGCSPRLLDGYDTAAAAAVMCWPAAAAAGGGNDAAASVLRRLTTTTTKKKKEAAARGAGKKTTKKPAAAAAAATGLLRVLLSKILHVKAASNRKPAALQSSESFSFKKVAAAAAAPSPCSTKHHPLDAAAATDEKEEEIEYTDSESDDEKQFSPVSVLDHPFDFESSPIHKRSPSRVAQPQGSPKNAMAFVRDLLEAAYSPALLTHLLSKTDDLINATADAAAAAAASDDDDDDDCCYHHESDGGELAPAAAYWEAHRAELTRVSAMVASEVPSSSRIGAADVRPERDGVGADLEAAVLDQLLHELAVELAGGR >ORGLA11G0141600.1 pep chromosome:AGI1.1:11:15652762:15657096:1 gene:ORGLA11G0141600 transcript:ORGLA11G0141600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPPAARRRSPAAAAAAAARRCGAGQGRRRARSPGPRTTRAPAGRRSPRRRWRPAPTSRSASTGTGTTAPGTGPSSXASSRRGGPAPRRATPPPTPTSSPTTAAAVAASPSSSTSRSPTSAPAPHLPPPPTTSARSPRTTLSRRFTRMGGGPASCSAAAAAASSPSRSPSPARXSTSRRAWCARAATTSAASGSRRRRRSRTSPSRRRRLGFTGLGTRWRXGGTGRCTAAPGSTPRXPRXSTRXAISSSIPILRRRRWTTCIGSSSGRPRCARRRIVISGLAPAPPSRPTATGRGRLAWCGELSVRVSTRXVSMGRTMRLWXPRRGSCSSLSTSGMGRIGGLFLPRDAXATSLSGKNRRSPADEHSSDDEQKQDTESSAWTMSRKRSKKEFKATEPPEVNLPEDSNAVSREGDSGSNTKYQQDDASNLTTVLQSAVATMKGFEESDSQHNSWDATSTVQPKRRKQAARRLKRYSLERQLEGETHIQQQLDKTLEDNLNANQVTYQELLPLTPPGFESIASGKRSRDWNTDGLSEINLHSSLFDDELAATISSICQDYHNGDAETDNMVTQVAEISHLMDKPMLPFDLSVGYELPGQQALFTKTKDTWSAFEMMEVFWKDPQEPHFLPLQQFPEVVRENMAIGLFWSYIDAGDAISKLRITDSTKIFEKHNTTLNYLVENGFNVQSLQCKLNKALQFKLDRTRSLAYREKLKEQVLEKQSSLSRIGASRDENDSAMAKLEMELGRRRWDGQMMSKKMEDEEAELXRLKAEDSNAQEACRDAEKQFRSVLVEEECINN >ORGLA11G0141500.1 pep chromosome:AGI1.1:11:15644185:15648803:-1 gene:ORGLA11G0141500 transcript:ORGLA11G0141500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLVEYSDLEKGEGEAVAKAVEYLHWRFIRPSEERSPRDCDFRIGPGAAVEAYCDGAWSPGVVRSVVGEGEYEVSVNGKTKEVVVTKVRELLQPQYKWNGKNWRIVSAKRHLRQQSMSGKSPSSPVDVFSSDDEHRHDTESSARRRSIKRSRKEFNATQQPEGMLPEDSEDVSHSEMNTPLSELCKSSGSNHSPKSCSDPSGMKKIQVLSKKIVSNCLVPVKGILDASTGHRIPQNESREDGIGKTMVNQEIISDMMLMNGQANTSACGTSMNEGYAMLSTKKFGKQKMALSRRYNPVRKARGGLLSVQQLHTKKIMPSELKRGKMRLIHALQDMNDPSDNIQLKGNSTSPSREIICALSVSSQCNTPSPLGKQIKAFDFVSREADSGSNTKFLILKKFARKKGSKESDSPHNSLDATSTVQPIRRKKAAGRLKGSSVERQLEGETHIQQQLNKALEDNLNANEVTNQELLPLTPPGFESVVNGKRSRDWNTDGFSEVNLNSSLFDEELTATINSICQDNHNRDAESDNVATEVAEISHLMEKSMLPLDCSVGHEVGGKVGQGSIQLHIGNSGSLPCTSDNAILRRCSFGGNSMVSDISKCQLTGQQAPFTKRSHVWSLFEEMDVFRKMPQQPHFLPLKHHPLGLREGTALGLMWSYTDAVDNISKLCITDSMEIFEDHIKTLTILEENGFSVQSLQQILTKLLQIRSDYTNSLRDGEKLKEQIADKAFAVSRVDALLDENDSAIAKLEQELGKLRWKGQKMSKKKEDEDAELSRLKVENNNAEEARGDAKRQFDSIRAELRQGLAIDSQ >ORGLA11G0141400.1 pep chromosome:AGI1.1:11:15636662:15640076:-1 gene:ORGLA11G0141400 transcript:ORGLA11G0141400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSGNGRPPATAAAWNFEPNGALLGLTALSVRGVLGRVKAGMAAGGGGDGGGGGGRAVIPMGHGDPSVFPCFRTTVDAVDAVAAALRSGEHNSYSSCVGLEPARRSIARYLSRDLPYELSADDVYLTSGCAQAIEIICSVLARPGANILCPRPGYLFHEARAVFNGMEVRYFDLLPESGWEVDLDGVQELADKNTVAMVIINPGNPCGNVYTSEHLAKVAETAKKLGIFVIADEVYAHLTFGQNKFVPMGVFGSVAPVLTLGSISKRWVVPGWRLGWIVTSDPNGVFQRTKVVESIQSYLDISADPATFIQGAIPQLIENTKEEFFEKTVDVLRQTADICWEKLKGISCITCPSKPEGSMFVMVKLDLSCLQGIKDDMDFCCQLAKEELVILLPGCAVGYKNWLRITFAIEPSSLEDGIDRLKSFCSRHSKPKVHRSLET >ORGLA11G0141300.1 pep chromosome:AGI1.1:11:15632868:15635883:1 gene:ORGLA11G0141300 transcript:ORGLA11G0141300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCGGHGHGNPRSLQEEHHGRCGEQQGGGGGGGQEQEQDGFLVREARASPPSPSSSSFLGSTSSCSGGGGGGQMLSFSSPNGTAGLGLSSGGSMQGVLARVRGPFTPTQWMELEHQALIYKHIAANVSVPSSLLLPIRRSLHPWGWGSFPPGCADVEPRRCRRTDGKKWRCSRDAVGDQKYCERHINRGRHRSRKHVEGRKATLTIAEPSMVIAAGVSSRGHTVARQKQVKVSAATVSDPFSRQSNRKFLEKQNVVDQLSPMDSFDFSSTQSSPNYDNVALSPLKLHHDHDESYIGHGAGSSSEKGSMMHESRLTVSKETLDDGPLGEVFKRKNCQSASTEILTEKWTENPNLHCPSGILQMATKFNSISSGNTVNSGGTAVENLITDNGYLTARMMNPHIVPTLL >ORGLA11G0141200.1 pep chromosome:AGI1.1:11:15629174:15630106:-1 gene:ORGLA11G0141200 transcript:ORGLA11G0141200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:I1R0X0] MAELVGPRVYSCCHCRNHVCLHDDIISKAFQGRNGHAFLFSHAMNISMGPKEDRQLMTGLHTVADIYCRDCREVLGWKYERAFEESQKYKEGKFIFEKAKIVKENW >ORGLA11G0141100.1 pep chromosome:AGI1.1:11:15624181:15628777:1 gene:ORGLA11G0141100 transcript:ORGLA11G0141100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASVIGGTMVSLMVKLSSIAGPRYSLMAGARSDVIFLGAELESMHAFLEKLSGVDGPDPQVRCWMKEVRELAYDVEDCIDEFMHRVDVVHGAVTSNHGFSSLRGLVSHATRLVAVAWMHHRLAGELKGLKARAIEVSERRSRYKLGDDIGMLGGSAMATDPRVSVLYADTPDLVGIDRPASEMVNWLTDDVCTLKVLSIIGFGGLGKTTLAMEVYRRVGGQYSCKAFATVSQKLDMKKLLKDLLSQIAQNEVDHMGTWEEGQLIRKLREYFIIIDDVWSKSAWEKVRCALPENNHCSRLLTTTRIDSVAKSCCSHPDDLIYRIEPLKASDSRNLFFKRIFGYEDVCPPQLKEVSDQILKKCCGSPLAIISIASLLASKPVMLKEQWEKVLISIGSALEKNSDLEGMKQILSLSYYDLPYYLKTCLLYLSLYPEDFKIERDSLIQQWIAEGFIGEERGQSVEDVAESYFNELINRSMVQPMDINCDGKAHACRVHDMMLELIISKAIEENFVTLLGGHPVAAKPQGITRRLSIQCDKEITKTKGGMNLLHARSLSLYVQACQLPPLSDFRVLRVLNLEGCLGLCDNHLKDISILFHLKYLSLCRTWISKLPPEIGDLHSLETLDIRDTNIEELPGTIIRIVQLKYILSGGHTWGKIKLPDGIGSMASLRVISGFNICCSSTNAVQELGTLKGLRELTINWTDFSSGDMKRQEAMMNTLGKLGTSNLQSFAICSRNFGSLEFLDSWSPPPNHLQRFRLSAYYFLPRVPRWMASLCNLIHLNINIEKLPNEDIQILQDLPSLLHLDLWLKSPQKEDKIVIHGVGFPYLQELIFSCEGTSLIFEPAALPKLERLQMAVHVKEAKSYGYQFGIEHLRSLKKIYIQLLCAGASALDIEDAEDAIHNIVKFHPGHPRIDIQKCGMDMHLEERNKRQHPEEKNVQNMNASKEDMNHANKKRKEYQSSSAQ >ORGLA11G0141000.1 pep chromosome:AGI1.1:11:15615457:15615885:1 gene:ORGLA11G0141000 transcript:ORGLA11G0141000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISHLMIFDLVLLVLSSDMVMAARMTNYGQLDAPVCKKITFEVHCDDIKPCVQLCATQDPLYPIPSKVASIVCHNSSECECMYCPRAIRN >ORGLA11G0140900.1 pep chromosome:AGI1.1:11:15613207:15613575:1 gene:ORGLA11G0140900 transcript:ORGLA11G0140900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSAFSSWLSSSDLAMATNDGNLAAADCKTIIFPAYCDDIKTCIPLCTNNSPLKPAPWQLSTVVCLDLGCQCTFCPETARN >ORGLA11G0140800.1 pep chromosome:AGI1.1:11:15606846:15611773:-1 gene:ORGLA11G0140800 transcript:ORGLA11G0140800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPGRQXKPRAEXSLQKKPARPRRPPPPQPPPPFHARRPAAGAPPPSLAGSTGCARFRSTRQLGIAPSCSSLTAILARGRVVGXEVARSSSSPSWRRRPVIRGERQDAGVLHRPVGRHPRGVLPHDDDMIKIARVAGRMTGRAVGRLMLFRRQMDEILEQTAAKQVNKELKDAMTQLDSIRYEVQNLSRFTPGRFMRQHNPVGVDHEAEKNDAIDGSALNLEELRHQIRSMVHDEIESFYRTNPDKFSGRLDNADTVNRSGPVEGREADVTVIPTMLASKDMKLANTGSTDLHSKATMYSRLTESPEMSGSSGHQFKESDGLLNVLPISAESAGLLPSRSDKPQGSDLLLEATLEAEVAEHAKSFAQQHHDELHKE >ORGLA11G0140700.1 pep chromosome:AGI1.1:11:15581860:15582255:-1 gene:ORGLA11G0140700 transcript:ORGLA11G0140700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid-specific 50S ribosomal protein 6 [Source:Projected from Arabidopsis thaliana (AT5G17870) TAIR;Acc:AT5G17870] MSPVTALLAGAAAPLPPLRAMVASRRPSRVTPAGYSPAGGGCALAVECSSRPQKKATKHHMKTRPKKSQPWDRKRRPTQYPPLPPLPPDWTLVAAGATVDAAAESAEEVEPEPEPVAAPVVEVVAAPSAAD >ORGLA11G0140600.1 pep chromosome:AGI1.1:11:15548818:15549132:-1 gene:ORGLA11G0140600 transcript:ORGLA11G0140600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTLILGILEPCRFSIDRPSLEIKAKLSLISRAGAWMEVVTGAMGALLSKLGNLLKEEYKLHRNLREEITFLKSELESMEAALLKVSEAPIDQPPDRQVKIWARDV >ORGLA11G0140500.1 pep chromosome:AGI1.1:11:15543732:15548484:-1 gene:ORGLA11G0140500 transcript:ORGLA11G0140500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGTGESSIRKRKRDVSEESKQKLKIVSIVGVGGLGKTTLANVVYERLKTQFYCFAFVPVSLTPNMEKIFKNMLHQFDKKKYWNINEATWDEAQLIVELREFLRNKRYLIVIDDIWDISAWEKIKCSFVDSENGSGIITTTRNVDVAKEVGGVYQLKPLCHVDSRKLFNLRIFGAEDKCPPQLAELSERILKKCSGVPLAIITIASMLASKIENENANEDDFKQLSISNLSHVRSLIFFKGFNSFPAYSSFPLLRVLNLSGCKKVDNNHCKDICLYLFHLRYLNLSRTSVTEIPREIENLQSLQVLCINGIEIEDLPSTFVRLGRILYLNVYLKTRIPDGFGSLKSLQELKGRILIGSLTNVHDLGKLIELRRLHIEFQEWETSWKEPLHQCLSNLVSLEDLTIAGCFGSLDSACGDSSPRPQQLCSIGMWNSTIHAVPNWMVSQSTLSNLTIKLDTLKERDLQILGSIPSLSRLYLGVEKPTVDRDERLIISYRFQCLSLFEYWSDTMEIEFAQGAMQNLRTLKLFIDVHKTHDHVDFGLENLSALEHAYLYLDNCPEEMHEVVEAGIRRQIHMNPNSPTLDLSM >ORGLA11G0140400.1 pep chromosome:AGI1.1:11:15535222:15537395:1 gene:ORGLA11G0140400 transcript:ORGLA11G0140400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAVIRLALPAIPAAASSSSGCSVRTRARGRVVRMRVRCRAVGSEGGEGEGEGEGEGEEAPESLFAKELMRRGMASGAAAAGAGEKEVGAEEGGRKRVAAAEFERAAAGADGQRARSMALNSEGLEGLVPRAKLLLSLGSTFFLGFAPLILVTVSLFAVLYVYFGPSFVHDASKTPVSPPPYIDPYELLEDERLSRPSPDVF >ORGLA11G0140300.1 pep chromosome:AGI1.1:11:15532518:15533461:1 gene:ORGLA11G0140300 transcript:ORGLA11G0140300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1R0W1] RNKWDFFVFLCMGTTTAFLGAAIGFHRLWTEPIILSSSESWINFMLSNHPGAVLFMFMDVFLLTGALILTGAQATQIARNLTTNEAANQSRYAYLRGPDGRFRNPYSRGCRRNCTDFLVNGYSNDEEAAWPTLQQTVQRS >ORGLA11G0140200.1 pep chromosome:AGI1.1:11:15530493:15530687:1 gene:ORGLA11G0140200 transcript:ORGLA11G0140200.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTPLHWAAIRGNYEVCTVLVHAGTKEELTLKDSGGFTPVELANDKGHRHLSYILVGSSSINYKI >ORGLA11G0140100.1 pep chromosome:AGI1.1:11:15523135:15529893:1 gene:ORGLA11G0140100 transcript:ORGLA11G0140100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSPLAWSYRRIHILFLLLLATSSSSASAANFTCTTVQAACQSAIGYTTRNATTYAELLSLFNTSTLAELLRANGLPPTATPPDTAIPAAATVTVPFRCLCNVAARVGRSDYRPIYLVWPQDGLDAIARNVFDSFVTYQEIADASNIPDPNRILVGQELWIPLPCSCDQVDGHDVTHFAYKVSAVDTTSAIAAKFGVLESTLMRINGITDPKNLVQGQILDVPIPGSINQEDINIDLSTLRTATNNFDERNKLGEGGFGVVYKVSTLFNKIRRR >ORGLA11G0140000.1 pep chromosome:AGI1.1:11:15516013:15516657:1 gene:ORGLA11G0140000 transcript:ORGLA11G0140000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSLFSSVAILLLHLFLVSSASATNFTCTGLATCQSAIVYTSPAATTYIELLSSFETTTLHDLFDANGLPPSTLSHTAIPANATVIIPFRCSCVAGANRSESQPFHIIQPNDNMSYIAAQFDDFVTYQEIAAASNISNPDFLEVGQELWIPLPCSCDQVEGNNVTHFAYKVRAADNVSKIAARFGVKESTLLKINGITDPKNLTQGQILDVPVP >ORGLA11G0139900.1 pep chromosome:AGI1.1:11:15508258:15509757:-1 gene:ORGLA11G0139900 transcript:ORGLA11G0139900.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGAVATVDGEGLASWQWTQWCRVRASLSCEDRPDIARTFAALQLHARRAEITTLFGHAWSVLLIIADEQQRNVRRRPGLVHAIFFAGCMIGSSIFRLDGRCIETGASRFYYDSSRKRLRPALEAARGREGEDEEDDECLXQPRRGDQHKAPATSTKRPQLPPPEIPTRAMHSCLRPPDHAPDAAADRAW >ORGLA11G0139800.1 pep chromosome:AGI1.1:11:15499692:15501278:-1 gene:ORGLA11G0139800 transcript:ORGLA11G0139800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:flavin-binding, kelch repeat, f box 1 [Source:Projected from Arabidopsis thaliana (AT1G68050) TAIR;Acc:AT1G68050] RFLQFRDPRAQRRHPLVDPMVVSEIRRCLNEGIEFQGELLNFRKDGAPLYNRLRLIPMHGDDGFVTHVIGIQLFSEANIDLSNVSYPVYKQQSNHRPNIQEINPASHEHIPKIQSSEYCGILQLSDEVLAHNILSRLSPRDVASIGSVCTRMHELTKNDHLRKMVCQNAWGRDVTVRLEMSTKMLGWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLESAKPEWRRVKVLASPPGRWGHTLSWLNGSWLVVFGGCGQQGLLNDVFVLDLDAKQPTWREVASEGPPLPRSWHSSCTLDGSKLVVSGGCTESGVLLSDTFLLDLTKEKPAWKEIPTSWSPPSRLGHTLSVFGKTKLFMFGGLAKSGSLRLRSCDAYTMDAGEDSPQWRQLATTGFPSIGPPPRLDHVAVSLPCGRIIIFGGSIAGLHSPSQLFLLDPAEEKPTWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPDEDE >ORGLA11G0139700.1 pep chromosome:AGI1.1:11:15490673:15491852:1 gene:ORGLA11G0139700 transcript:ORGLA11G0139700.1 gene_biotype:protein_coding transcript_biotype:protein_coding QARRSSVKLDIYRKFTNALAVSVIASVAWIGYEVYFKATDPFSERWQSAWIITAFWDVLAFVLLLVICYLWAPSQSSQRYAYSGEAADDDDEESQSLTKGTDGDVGMVKVDKDRSGGVSSAFSLEDEAEEDKRE >ORGLA11G0139600.1 pep chromosome:AGI1.1:11:15486966:15490261:1 gene:ORGLA11G0139600 transcript:ORGLA11G0139600.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIIKPQYVDHIPKAVQGNVGQVLDQKDERGVKAELCVDLELNQVIDRNVGDLSGGELQRFAIAVVAVQNAEIFMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKIAETQESAEEIETYQRYKYPTMSKTQGNFKLTVVEGEFTDSQIVVMLGENGTGKTTFIRMLPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMATYLADKVIVYEGRPSIDCTANAPQSLVSGMNKFLSHLDITFRRDPTNYRPRINKLDSTKDREQKSAGSYYYLDD >ORGLA11G0139500.1 pep chromosome:AGI1.1:11:15477397:15483610:-1 gene:ORGLA11G0139500 transcript:ORGLA11G0139500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVRELLLSTTADADPSTPLSAPDLRLLIDRLRIRSDRLHASALSFASSHRAPLAAALLRAADSSASSSSLESSLASALAPLSSSPGLSDLRELSDRLLAARRELRERQEHLAAASSVADLAARLRAARTSPDPLAAAAAAAELKPLLVDPEGSGSSQDEPVVFGLLRNDWEQLVDELQVMLAKNLEECVEFAPEGGKVMVRTAPVGKSGGTPGVELCVALQALEIIDAVDYGMTKLADLMIKHVLVPAISNISVAVSVEALEKSGPQYPISILCVTPTEELQGYKDGSALYSRIIDIIKFVCETICGENITWMQSFSKLTWSRISDLVIKHFISKAVPHEASKLIEFQDVVRSTTEFENTLRNMMFISHEKRDGKLTQFVDDVEVHFAVRKRNEILVKARHLLVHYDYDNPLASHDREDSIVDLLFLPEKCFISKSALQLMKLVHGALKDACLSSARVAKELCYAARDALLLYKAIVPVQLEKQLDCINQVAAIIHNDFYHLSQEILGLAFEYRADFPGDLQKLVVFVDLAPTFSQMADGVLTRQIQLVTANLIEAIDGADGFQNTHQPQHYESAKFSIEQVVFILEKIHIMWESILPRSIYKRSMCYILGSVFSRITKDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVENEFLDHQTWIELDEIIRPLKKFRKLAELLDMSLKSITAAWESGELTNCGFTSSEVQNFVKAIFADSPLRKECLLWISRTPS >ORGLA11G0139400.1 pep chromosome:AGI1.1:11:15466867:15474975:-1 gene:ORGLA11G0139400 transcript:ORGLA11G0139400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGSESAAAKEPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRYHVHYLGWNKSWDEWVTNDRLLKLTDENIRKQQELEKSQVVDKNVKSGRSAQHKPKGSNDAKTDKEDTKIIVKGKKRKSQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYSEEVKGDVSPSTIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKGTDGIKTK >ORGLA11G0139300.1 pep chromosome:AGI1.1:11:15434480:15437636:-1 gene:ORGLA11G0139300 transcript:ORGLA11G0139300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRGVPPAPSPAPATIDYSLTYNEIAASGAPGAKDFVKNHGLYLLLLETPSGFSIFSLCGVYIHLPDAIQNIWAMFGTYRSAHDVIWLKEFQKFDDKSSAINVDTGVNKQLTEMIMKWRRPAQKLVVGKPEYKSIIETTLGIPCLYDEVVMDIMWAMKRLIRYFVPTETPELPEEDSLTMSQGLRMFLSRYGFEIEPEMVYNDIVRAAAIVFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSHKIDKSDEMFSDDVRSKLLDDADKYKDLVFRTGCIANYKKILGLNILRNDKVDQLAELVKVARIKAEHVRVKPMLNRSLNLLQAK >ORGLA11G0139200.1 pep chromosome:AGI1.1:11:15429184:15433166:-1 gene:ORGLA11G0139200 transcript:ORGLA11G0139200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit B, chloroplastic/mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1R0V0] MALTLLRGMRTLVVARRNAGLFFTTLQTPVLSRFTTRAESARAAAPKSIQLATKEAAEQKAQGFEAVIGIETHVQLSTVTKAFCSCPYSYGSQPNSTVCPTCMGHPGTLPVLNAKVVECAVRLGLALNCEIAMTSKFDRKQYFYPDLPKGYQISQFDIPIAKEGYLDLDLPVEFGGGHRRFGVTRVHMEEDAGKLLHSESGSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAELQRLVRYLGVSNGNMQEGSLRCDVNVSVRPIGQSNFGTKVEIKNMNSFSAISRAIDYEISRQILLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTSEYIDEIQNSMPELPEAKRRRFENMGLSMQDVLFLANDDNVARFFDSTLEHGADAKLAANWIMGDIAAYLKNEKLSIDEIKLTPLELSELIASIKNGTISGKIGKEILIELIAKGGTVKSVIEEKDLVQIADPAAIEAMVDQVLADNPKQLEQYRSGKTKLQGFFAGQVMKASKGKANPVLLNKILGEKLKANS >ORGLA11G0139100.1 pep chromosome:AGI1.1:11:15425101:15428333:1 gene:ORGLA11G0139100 transcript:ORGLA11G0139100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPAARIKKIMQADEDVGKIALAVPVLVSRALELFLQDLIDRTYEITLQSGAKTLNSFHLKQCVRRYSSFDFLTEVVNKVPDLGGADSCGDDRALPRRRKALPNGSDPENEESRSSKMAVRSANISPRGRGRGRGRGRGRPPTKRKEVGYVQFEDESSMFADQGEALPGEETVPETIHGTESVPPSTHPPAEAPSAAEIPAPNPKVEEAKNDDHQPDWPMPDAIGNIGVGPSGFGHLTVQVDEDEDYDNED >ORGLA11G0139000.1 pep chromosome:AGI1.1:11:15417105:15423487:1 gene:ORGLA11G0139000 transcript:ORGLA11G0139000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAAAAAAAGEREAEIEKAFRARLPDFRKQADSLTLEGVRRALEKDMGLEKHSLDAHKKFIKQCVDKVFSGSDDDNTNNNAPEKDEAKDDRSSKEESEDAQPTSDSNKISSNADEPVAKSSETDRDQEGDKDHSSGSDISEATIKNAIVKRASYFRENSETITLQGVRRTLEEDLKLQKKALDAYKSFISTELDNILQEPANGTKKTSKTESHKDSGQKTSKNSKRARQDSDTSEINDSHCERGDSDEDARPKKKKAEKGKAVKRQKKTTVEKQLSNSKAKKVAKKDLDKSKERSGSEEDNSNSSAEEDNKKKRQVAPAYGKRVERLKSIIKSCGMSIAPTVYRKAKMAPESKREACLIKELEDILEKEGLSTNPSEKEIKAVKKRKERAKELEGIDMSNIITSSRRRSTSNFIPLPTPKIVADSDEDDEEDAEDDNDEEVNVEGGDEGDNDDGKAGDGSADDAEHDSD >ORGLA11G0138900.1 pep chromosome:AGI1.1:11:15407157:15411788:-1 gene:ORGLA11G0138900 transcript:ORGLA11G0138900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family (UPF0016) [Source:Projected from Arabidopsis thaliana (AT4G13590) TAIR;Acc:AT4G13590] MATAISVGVAVPAASRRREDGAGPPLLLRRRCLVEGQVRCRLPWLRPIRHNVRVQTSNVNVGAGSYEGGEAGSHGEHLDSSATRDSNKPTKPPSGSRYPQSIAAVLLLCALASAFIVFFKGQPSAVVAMLAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYQRALVLLGSMAALSLMTIVSVIIGRIFQSVPAQFQTTLPIGEYAAIALLAFFGFKSIKDAWQLPVNANGNLQGNSESGELAEAEELVKEKVAKKLTSPLEVLWKSFSLVFFAEWGDRSMLATIALGAAQSPFGVASGAIAGHLVATFLAIVGGAFLANYLSEKLVGLIGGVLFLLFAVATFFGVF >ORGLA11G0138800.1 pep chromosome:AGI1.1:11:15403417:15404227:1 gene:ORGLA11G0138800 transcript:ORGLA11G0138800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMECALEAGAEPCRLLRTRRAGGRGTRLRICNYRFSETMDCLGQLLAKAAFEKSCNWDKLLXTGPKLVDGDFGGDDAVRTSAASDFVEDNMEGEACGHRHADSPKRWQFRRAPRERKRV >ORGLA11G0138700.1 pep chromosome:AGI1.1:11:15400593:15401996:-1 gene:ORGLA11G0138700 transcript:ORGLA11G0138700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPAVRDTMRTTCLRDCLAELLRRGGQRQGQGRFCCPAQLSLARGMTQSRSVRQRSKKKRVHALEVATERWKVLTKVLAVIDTLKKEEEHVTPLKRLEILRSQLGLTKPNKVAHFVRRSPQLFEVCRDSRGVMWAGLSPQAEALVEEEARLLEDHSRTAAEYVTRLLMISVDRRLAIDKIAHFRRDMGLPHDFKTRWVHMFPEQFKVVRLEDGDYLELVSWNPNWAVTELEKKTAALTGDANANDIASPPGELSLSFPMKFPPNFTSYYKFRGKVHHYVKKGNTEQFQKTTYLSPYAEPGGLTPGSPEFDKRAVAVMHEILNFTLEKRLVTDHLTHFRREFVMPQKLMRLLLKHYGIFYVSERGKRFSVFLTESYDGTELIEKCPLVRWKEKVLQLTSYRGRIKNLGKFAELSDSEDYLFGNDDSSGATDSILDVKSEDSDDIMDDGALADDTEMDVGDLSDCCIE >ORGLA11G0138600.1 pep chromosome:AGI1.1:11:15389858:15392724:-1 gene:ORGLA11G0138600 transcript:ORGLA11G0138600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TWNTRKSPSPSPRRRISRSPSPRRHKSRSPSQRHYRRKRSRSVTSSPITKSQSPPVARGPAENKNIVDKQRLEEEKKRCQKEVELRLLEEETAKRVEQAIRKQVEESLNSEEIKHEIQRRIEVGRKRIHEEVLVQIEKEKEAALVEAQHKADDGQRERERKEREELEKKLEEEQKKAEEAQMKEAMEQQQKELERYQELERLQKEREEAMKRKQMEEEQQKQSQMKLLGKNKSRPKLSFALGMK >ORGLA11G0138500.1 pep chromosome:AGI1.1:11:15386554:15388611:-1 gene:ORGLA11G0138500 transcript:ORGLA11G0138500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANXXYXQTRSQVWTGSLGPGGFTLSLKGRKPPTFSQQPSSLSSQFSLPSRPPLHPVGDRAIHPPMPPASAAAGDGAAPDQEPPPSPLPPPPPPPPPRPAPSLASALPFWFYLTAAVSLLALLLPHLLPSSPPPLPPLLRSHASGGSVLKLHPGPDLFAVTSKPTTTTAAAAVLVLPGLAAGSFSFRHALRSLSSRGVLAAAVDLPGQGMSPPCDAPPPPPRKSPFREIMDRGVFHAFEHLVETGEVPFQEPAAAPEPPPHARLYAPHEAAASVARAVDALGLLGAAAPVHLVLHDSALVAGAAFVSANPAAVRSVTLIDATAILPAFPAAVLGVPVLGSMVVRVPALFRGLLRLCCAREMGAEVAEAHRAAMRMEGKRDAVFESWKALNQSFDLKEWRGSSEAVRKLPMMVLWSGSWTDRWIDEGKKVVAALPDAKFIYHSGGRWPQEDAYDELSELIAEFVTSLPTSVRSQHIDQSSEQATAQE >ORGLA11G0138400.1 pep chromosome:AGI1.1:11:15383316:15385940:1 gene:ORGLA11G0138400 transcript:ORGLA11G0138400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAATATAAPVSSSSSFFAATTRATTTTRFSAQLQLSLPRRCRSWWGNGKARRSSRRRSHHCWAGGGAATPEDHMDELPPGRGRYHPFEEIAEKLQVDDGEPAHLTDAESARTIVEWNKMQVNSKATVMISTLIDEGVHERIILPEFPYLTDENGDIYFEVDNDDALLESIMGDDKTAHVIIGLDNTQVFADLDLAAASATEFAKEDDDDDDDDDDSDDEESDFDDDFDGEGVFAVDDDDGDEDGEDEDLPRWTDLETMNSCHPLYFARMIAETSTKSSIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLSSNDEPRKDNKESGATFFKVEVLSIELITAYGTEPKVKIGEYRKARPDIIAHSAPNIISRLRAGGDKITQALKSLCWRCKAIQIEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPAKATSEFGAEKQIHELLFPRIQQEGQSPQTRQKES >ORGLA11G0138300.1 pep chromosome:AGI1.1:11:15369767:15381518:-1 gene:ORGLA11G0138300 transcript:ORGLA11G0138300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12930) TAIR;Acc:AT1G12930] MDAEASELRARLAAAVHALNHGGGHHHDPSARLAANQWLLALQRSPQAWGVATSLLAAPPPGHPPPPADLLFFAAQMLRRKIQCPPAAAGGCPTPQEVAHLLDALLLAAGRFCLGPPRLLTQISLALAALALRAEGGVDGLFARMRHLPDPAVMELLTVLPEEVVQDQSGDTGVDAAARCRFTRELMAHAPAVLEFLLAQSENNAAAADGVPLHERNRRILRCLLSWVRVGCFSEMPAAALAAHPLLTFAFNSLQVSFSFDVAVEVMTELVSHHQDLPQAFLSKMPYIREALLLPALANRSEKTIAGLVCLMSEVGQAAPALVAEGSVQALALADALLRCSLAHFILDSDAQTEKRNAAQEIFSPVFSSLLDALLFRAQIDTDEHGTDGELCIPDGLAQFRMNLEELLVDICLLLGAPAYINKLFSGGWGLASQSIPWKEVEVRMYALSMVYKSFGDVIGSYSKLLASSQSNIKPLLLFCASGILKSISSNACSLALRKLCEDGSSFMNEPQNLEILFWISEGMDAGNLRIEDEEEIISAITHALCSVLDKELRKSSLARLLCSSYTAVEKLIDIDRDQSLRQNPAAYTEALNLAVHGLYRMGALFGHLATSITSSLIDDDTVLVLLGIFWPLLERLSRSSHMENVSLSAAACRSLSSAIHSCGQHFQILLPKVLECLSTNFLLFQRHDCFLRTAASVIEEFGHKEEYVALCVRTFEALSSAASISTLNSSYTCDQEPDLVEAYANFTSTFIRCCPKEAIVASGSLLELSFQKAAICSTAMHRGAALAAMSYMSCFLDVSLAAALESREHPSDGSPGVVLAQILARCGEGLMSNVLYALLGVSALSRVHKSATILQQLAAVCSLCERTTWKAIISWDSLCRWLQSAVKSMPSEYLRQGEAEMIVPLWLNVLHDAASDYLHSRTGDNVRNNHAYMQGKGGRTLKRIVRDFAESHRNAPMPCPS >ORGLA11G0138200.1 pep chromosome:AGI1.1:11:15362712:15362999:1 gene:ORGLA11G0138200 transcript:ORGLA11G0138200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPLSSLIFLPVASCSAGRRCSCHSPSRHRLPLLPPLAARPLRQVHPPARARLPLGRRPQVHQPPRLPADGPLHGRRGIRGIQGRRGLLREVSWGF >ORGLA11G0138100.1 pep chromosome:AGI1.1:11:15354344:15360804:-1 gene:ORGLA11G0138100 transcript:ORGLA11G0138100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTTTTEKCISSVHGGNNRREEYPKEGADDDVEAGVLGRDGEAAASPAAATTTRQRLVSLDVFRGITVALMILVDDVGGIVPAISHSPWDGVTLADFVFPFFLFIVGVSLAFAYKKVPDKMLATKKAMLRAVKLFIVGLILQGGFFHGIHELTYGVDIRKIRLMGVLQRIAIAYLVVALCEIWLRRVSSGGDIGSGSMLITRYHHQMFVGLVLVVTYLVILYGLHVPDWEYEVTSPDSTVKHFLVKCGVKGDTGPGCNAVGMIDRSVLGIQHLYAHPVYLKTEQCSMASPRNGPLPPNAPSWCEAPFDPEGLLSSLMAIVTCLIGLQIGHVIVHFKKHNERIKRWSILSLCLLTLGFSLHLFGLHMNKSLYSLSYTCVTTGTAGLFFVAIYLLVDVKGYKRPALPMEWMGKHALMIFVLVACNVIPVLVQGFYWKEPSNNLLKLIGIGG >ORGLA11G0138000.1 pep chromosome:AGI1.1:11:15347171:15350590:1 gene:ORGLA11G0138000 transcript:ORGLA11G0138000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITVAGGAGDGGERRTWVVEVERTLHDAPDAAAEASRWRRHCIYRVPACIKDLKPKAYQPQVVSLGPFHHGDPGLAPMEAHKRRALRHLLRRAARPLADFVAAVEAVADRLEAAYLDLGGGWRGGGGDGGEARERFLEMMIVDGCFLLEVMRAAAAVSPATPAPAAAGKPHAAEEEDYAENDPVFSRHGVLYMVPYIRRDMLMLENQLPLLVLERLLFVETERANVVHSRVSNEDHINRMVLRFLSPSARTPALGTPLGHHPLDALRRSMLHGEYQSPRRGHGAGARAHHRDIIRPAAYADDGGGDIIRSAVELYEAGIRFRRARTDSLHDVRFRHGVLAMPPVAVDDSTEYMLLNMMAFERLHPGAGNDVTAYVFFMDSIIDSAKDVALLSSKGIIQNAVGSDKAVAKLFNSISKDVVLEPESALDGVQRQVNAYCRQPWNMWRANLIHTYFRSPWAFMSLAAAMFLLVMTIMQTVYTVMSFYQQAEGGGGGGSAAPSPM >ORGLA11G0137900.1 pep chromosome:AGI1.1:11:15338058:15339275:1 gene:ORGLA11G0137900 transcript:ORGLA11G0137900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYGGGMAAGMCLPDHEVEAQMRALQELGAMFSAAGGGCYNGGGGGGGYDCAAVVSGAAQSELTCNNGGGVCGGAVGMGVGAGRKREREVVEQYAAVASSAALLPIPGMMKVAAPVSRLVESGMTSTSGRSAAAVGDALVSELCAQSAEIDAVVRMECERMRAGLEQARKRQCQAVVRAASAAAARRLREKEAELDAARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGLRATLDHLLRAAAAAPAQPAEGFGDSDPLATAAAAAAADDAQSSCFDTKAHAADDAATSPSASKWSCKSCGEGDATVLLLPCRHLCLCKACEPKLDACPVCLAAKNASVHIAIN >ORGLA11G0137800.1 pep chromosome:AGI1.1:11:15323954:15324463:1 gene:ORGLA11G0137800 transcript:ORGLA11G0137800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHGSIERHIYRALLGLDDAGAGWTLDSEEEHTGDKWVWTNRSINRPICRVAVMIRIIISIDMISLSVWVKDYTLDPHSQSTPLCV >ORGLA11G0137700.1 pep chromosome:AGI1.1:11:15299988:15300485:1 gene:ORGLA11G0137700 transcript:ORGLA11G0137700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TARYHRMGLRDRLGPAPPNCVTGLSSMPHIGAAGPFWSRTCWHSRAVGPTRFRTFNPRDQVGPAMPIRATAFPRCRSALPSCSHISNVRGRKYIVLIVWEPHA >ORGLA11G0137600.1 pep chromosome:AGI1.1:11:15292791:15293402:-1 gene:ORGLA11G0137600 transcript:ORGLA11G0137600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYVLRRPLLKPSTKRMLLMVSSLLMSSLCGGSDKPAAVSSLVRALEVASSGGAERRLGRSAEAELAWRQLEWQQRWSSREGGDKPTAISNSLNDSTSPFTPTAAVAHSILPETGGHRASRPQAPPLLPILPELGGLRAPVSSLASLSTRLLSGGSEELGAINPNDGNVVLLVGGAGGGEGEHSEEPWCRPTSPFWPWRIGRE >ORGLA11G0137500.1 pep chromosome:AGI1.1:11:15269660:15270655:-1 gene:ORGLA11G0137500 transcript:ORGLA11G0137500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAGGGEFVVMAEEYYGAVVAKAAMAKNGYNCGGAAVVSGGAQSGLTCNNGGGGGVVVSRKRGREVEQQYVPPSSAALLPIPGMVVAAAAADAAASRFVESGMACTSGRAAPAAAAAAAFGDALASEVFVQSGEIDAVVRAECERLRAGVEQARKRQCQALVRAAAAAASRRLQETESQLAAARRRAADLEERLRQAAAESQAWCGLARSNEAVAAGLRATLDHLLLRAAAAPPCAPVEGCGESDGPNTADDDAQSCCFETTATTNTRRGGAGGGRWGCKACGEREAAVLLLPCRHLCLCRACEARAEACPVCLAVKKVSVVARSPADV >ORGLA11G0137400.1 pep chromosome:AGI1.1:11:15260721:15265942:1 gene:ORGLA11G0137400 transcript:ORGLA11G0137400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTDKETTVAAAGTNGMEAAPAMAAGSGSCSCTVVEIDVDDDAEAAAAAPRRTRPRPSIYRVPNHIKNMTNPDAYRPRLVSLGPFHHGEAELQPMEKHKHRAVAHLVERSGKPLREFMAAVEEIAEQLRAAYEDLDDERWSGEEFVELMLTDGCFLLEVMRAFQLQREGKKEEVEEGGDYEADDPVFSEHGYLYLRCDIISDVLVMENQLPLLLLDKLCHVAYADNLQEEECLRINDDSVLSFLFSSSDDAPAIDGPLGLHPVDVVQRNIRGTCHQNSGRRSNGVFMIPCAAELQEAGIRFKVAAADAGGGFAGAITFRGGMLTIPLLHVMDSTESMFLNLMAFERMHPGAGNDAMAAVIFLDNLIDTARDVALLKSRGIISNLFGSDEAVAALFNELSRGAVMSPHSSLYGVQRQVIAHCKKRRNRWRASLVHSYFRNPWVFISLVAAFVLLAATVMQTIYTVIPFYTKS >ORGLA11G0137300.1 pep chromosome:AGI1.1:11:15236273:15236821:1 gene:ORGLA11G0137300 transcript:ORGLA11G0137300.1 gene_biotype:protein_coding transcript_biotype:protein_coding HAFCCRIHETGIHFQLSATEGLGGGITFIGGVLNIPKIYLDDNAERIFLNLMAFERLHPGAGNDLLRSKEIIKNDLGSDKAVADLINKTLAKGAVLKEDSSIIDVLTDVNAYYKKPLNKLRASFIHTYFSNPWVFFSLIGAVILLVATVMQTVYTIVPFYKNK >ORGLA11G0137200.1 pep chromosome:AGI1.1:11:15227492:15228736:1 gene:ORGLA11G0137200 transcript:ORGLA11G0137200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSRWVAEIERYIRGDAGDGSPRGSMPGHSIYRVPQYIKNMTNPDAYRPQVVSLGPFHHGDPALMPMEKHKCRAVAHLVNRSGRPLDDFVAIVEEIKLQLQDTYENLEDKWYQGTDFVEMMLKDGCFLLEMARAFEQNGRVEDYEPDDPVFSEHGCLYLFGGIKSDVILMENQLPLLLLQKLIGAAYNHDFQLDSL >ORGLA11G0137100.1 pep chromosome:AGI1.1:11:15221941:15222760:-1 gene:ORGLA11G0137100 transcript:ORGLA11G0137100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLYFLPTLTLLPLSSPGHHGSLSSSHVGKRHGAASLWWSADNTGMLLESGQRFTSDGDDTHLVATWSTRDWERGLPGGWEQIGEEKVGVKILEISNPDRHLNSPNVKLVCVLLQSKRIWPMTSPAQSCVTPPYLVVVGNGISKINAATPNDAGKRKKKAVEVESRTREVAITVAGVAVRCSRWLGWCSTSPS >ORGLA11G0137000.1 pep chromosome:AGI1.1:11:15209243:15211875:1 gene:ORGLA11G0137000 transcript:ORGLA11G0137000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGEESAVRAEEIGKVTVEIEKLIATNNANALLEKTTTARRSKTTKGPSIYRVPDYIKKTTNPDAYRPHLVSLGPFHHGDKALLGMEAHKHRAVAHMVKRSGKPLREFMAAVKEVAQQLRSAYENLDKKWHGERFVELMAIDGCFLLEIMRTFRAFRRGGEVVDYDDYGPDEPIFSKHGYLYLRCDIMSDMLTLENQVPLLLLQTLWHVMDPEKLIQEDDXXASACXNFSARWSRKSRTPSRGTRGSTLWMLFREAFGRGVLSIPRMTLYDNAERVFLNLMAFERLHPGAGNDVTEFVYLMDNLVDTTGDVALLKSKGIIKSGLGSDEAVVNLINMVLTRGAVMSRDSSLRDVLQDANAHRDRTWNKWRASLIHTYFSNPWVFISLVAAIILLVATLLQTAYTVVPFYQNK >ORGLA11G0136900.1 pep chromosome:AGI1.1:11:15194519:15195520:-1 gene:ORGLA11G0136900 transcript:ORGLA11G0136900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGVQIFAKMPSATELREAGIHLKVNEAAGRLFLEAVSFEGGITIPFIWRYISAEHTFFRYLLRSNKKCPDGVRVASPRRHREQQQQRDRVRVLHGPAHQRDRRRGASCRGPRGIIVQSGLGSDDVKKALDSRERRGADEPQDKSGLCRDVIREVNARTARSRGTGCRSRWSRAHLLHFSNPVVVQPCSDPVRRHHRADHLHYTVQLYCHSTAIQSEIWSLDTRFLHA >ORGLA11G0136800.1 pep chromosome:AGI1.1:11:15177878:15186139:1 gene:ORGLA11G0136800 transcript:ORGLA11G0136800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGAAEANVMESPPSPTTAMANSGSCVVEIPTDMTLSHDDGSEPSPGEKSMVRPQRESRHTIYRVPKYIKDMTNPNAYQPQMVSLGPFHYGEAPLQPMEAHKKRAVAQSLIRSGKPLQEFTAAVEKIAEQLRGAYENLGEEWSGERFVELMVTDGCFLLEMMATFIYEGEVEGYAPDDPVFSKHGALYLSDCIISDMLVIENQLPLQLLQTLMFVVDPDNFQDKRWIGRWVRYLLSYTITPSTPVDDLQGLHPLDLFQKSIRGTSRNNQMPIDDDVHMPSAAELREAGIHFEVSTGEGFAGTVSFERGVLSVPKILLYDSAERMFLNLMAFEKLHPGAGNEVTAFVFFMDELINTAKDVRLLRAKGIIKHGLGSDEAVANLINNTLTKGAAIDPETSLYNVIVKVDAYSKKRRNNWRAILLHTYFSNPWVFISLVTATVLLIATVIQTVYAIMSFNSKT >ORGLA11G0136700.1 pep chromosome:AGI1.1:11:15142385:15150588:-1 gene:ORGLA11G0136700 transcript:ORGLA11G0136700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARDALLDELRALMAAHSPPLHALVVPSEDAHQSEYVSERDKRRQFVSGFTGSADGTIGWVRVAQVGRWNGRHVYVMLAKIVYRFRAVMFQYELXLEVGGMXLRQHSYXITXYDMALLLQVDIDYYLPSMVIKSVLXKSDVQGLALITMKEALLWTDGRYFLQAEQQLSDRWKLMRMGEDPPVEVWIADNLSDEAVVGINPWCISVDTAQRYEHAFSKKHHTLFQLSSDLIDEIWKDRPSAEALPVFVQPVEYAGRTVTEKLKELREKLLHEKARGIIIAALDEVAWLYNIRGDDVHYSPVVHSYSIVTLHSAFFYVDKRKVSVEVQNYMTENGIDIKDYNMVQSDENDMNENSKVWIDSNSCCLALYSKLDQDQVLMLQSPIALPKAVKNPVELDGLRKAHIRDGAAVVQYLAWLDNQMQENYGASGYFSEAKGSQKKQHMEVKLTEVSVSDKLEGFRASKEHFKGLSFPTISSVGPNAAVIHYSPEASSCAELDADKIYLCDSGAQYLDGTTDITRTVHFGKPSEHEKSCYTAVLKGHIALDSAVFPNGTTGHALDILARTPLWRSGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLIVKEANTKYNFGDKGYLAFEHITWAPYQTKLIDTTLLTPAEIEWVNAYHADCRKILQPYLNEQEKEWLRKATEPIGFDLIYRPFVLDVQNDKRFVACHA >ORGLA11G0136600.1 pep chromosome:AGI1.1:11:15139014:15141502:1 gene:ORGLA11G0136600 transcript:ORGLA11G0136600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMVHGKATKSEGRARNNLKKRRSQAFRLHRLPPDVLRVILSQLSFKEAARTSIVSRKWKRLWRYYPKLVLTGDMMLGSSNAAGDHPTSNKTTFIRRANSIVRQLSSSSATLNKFVVKFPLLRSDADHIDRWVSLSAASRARRIVLDLCPEFEKFGDKDQMYSFPLHLFSGGSCVGGNSCVKSLCLGFVSLNLLQLPPAGNTNRLTILKKLTLHKVSIAGDLQSLLMECDALEWLSLTFCSLQHCDLVIHQPLQRLRYLRVLHCRLQKLELQAPNLTEFEFANHQVPLVLGDCVNMSMASVGLLLPSDGFDYACTKLPVALPHVCDRLTLSMAIRTEMYCANTPFYTSDLDAAATSPAACLHERLRTVYMTGFYGIRGQLELAHRILRSTVALDRLIIDSRRKVDHNFRNQAYAGMGRTMATLCINKAQFPGTVITIL >ORGLA11G0136500.1 pep chromosome:AGI1.1:11:15103565:15105002:-1 gene:ORGLA11G0136500 transcript:ORGLA11G0136500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTTTQHTTFISRVNNILWQLRSSSLENFIVKFPLLGRDAHHIDRWVSFSAASRARQIVLYLCPEEEDTDMNDMYSFPLHIFSGDNCVSSLSLGFVSLTLPPHLSGFTNLKKLGLHMVSIRGDLQCLLSQCDVMEWLSLTKCSLQHRSICQKLHQLRYLCVRKCRLQKLDLQAPNLTELELANYPIPIVLGECLNLSVATIELVSFSDCLSYVTTELPAGGLYHVQDRLSINMTVRTEGSSDNGSGILRLASLLEMAPCLEELELNMYCPSAPIYTKRGQLDKLSSVCVHKHLRTVRMTGFDSTRGQLELAFQILRSAPNLDRLIVDPMVRVAWSPRLDWSEQADLMLVRRMMAENRLLRSEYRHMITLL >ORGLA11G0136400.1 pep chromosome:AGI1.1:11:15096249:15097814:-1 gene:ORGLA11G0136400 transcript:ORGLA11G0136400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVHGSEEVISHEEDMVGAFALLYHHVFSYIKPMALKCAVEHGIPDAIHRRGGAATLADIAADTGVHASRLTDLRCLMKLLTTSGMFAATAAATDGDEGEPAVATSTMYTLTAASGLVVGPRGLSTVVRFAAGPVAVSPFFDMHAWLRAAPAAAPPAARSLFELAHGRSRWDAANADNDTMNAHSFVESQLLIEAVLRDHAGVFRGLASLVDVDGGHGAVAKAIAAAFPDIKCTVMDLPHVVADAPVSDDGNLHLVAGDMFXSIPPANAVLLKYVLHCWGDDDCVRILRNCREAIPAREAGGKVIITELVLGSSASPRDANVAEAEDMHSLFLMCISGVGREEREWRAIFSDAGFGDYKITPVLGPISVIEVYP >ORGLA11G0136300.1 pep chromosome:AGI1.1:11:15080463:15083165:1 gene:ORGLA11G0136300 transcript:ORGLA11G0136300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1R0S1] MATATAAAMVVAMSVLLLGGGEAAAPRKPVDVAFEKNYVPTWAEDHIHYVDGGREVQLYLDKSTGTGFQTRGSYLFGHFSMHIKLVAGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGEPYILQTNVFSGGKGDREQRIYLWFDPTKDYHSYSVLWNLYMIAFFVDDTPIRVFKNSKDLGVRYPFNQPMKLYSSLWNADDWATRGGREKTDWSRAPFVASYRGFHVDGCEASAEARYCATQGARWWDQPEFRDLDADQYRRLAWVRKTHTIYNYCDDRERYPAMSPECHRDRDA >ORGLA11G0136200.1 pep chromosome:AGI1.1:11:15056699:15062382:1 gene:ORGLA11G0136200 transcript:ORGLA11G0136200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:I1R0S0] MAFFRGLTAFTRIRSRVAQEATTLGGVRWLQMQSASDLDLKSQLQELIPEQQIQLPNVADYLSFLLLLLLSLSLSLSLSLSLSWCKSCAGAXKQMLDEHCIERTVSAPXEIPHHXLGSLGYXKCTTRLTYQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMIGMLWETSLLDPEEGIRFRGLSIPECQKVLPTAIKGGEPLPEGLLWLLLTGKVPTKEQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNLXRXGVLHINLDFYKQTVCXPLGYWAPIXLTYDMDAGHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGMPKSKFWEPTYEDCLNLIARLPPVASYVYRRIFKDGKTIAADNALDYAANFSHMLGFDDPKMLELMRLYVTIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIGETGSDVTTDQLKEYVWKTLQSGKVVPGFGHGVLRKTDPRYTCQREFALKYLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMEWLENHCKKAAA >ORGLA11G0136100.1 pep chromosome:AGI1.1:11:15051447:15053235:1 gene:ORGLA11G0136100 transcript:ORGLA11G0136100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSAAGCGCGGDGDGDRLSALSDGVLGHILSFLPAKEAARAAVLSSRWCHTFAAVHTVSLVEPDAPVVDHDEFAGYSSGWGPPPNPNPPPPPFASAVSAALLARHRHAAAVPLRALRVSMAGYAHRDSPAVDQWIAYAVNQPAPDGVELDLRLGRPSLCHRDYSLRRRRSGAEDARSRRWRTMPPEAILFESPSSGEEDHADDEDDDDVLSDDGKKDPMAVYRRRFEPQEYSVPRGLFTCAALRSLSLGSVRLALPAAAAIALPSLETLLLADVTEPDHERSMQRLISGCPRLADLTLEACYAKARALSVAGLRRLRRLALRCCHGLDTVVLGDDDASPPSELQAFEYRGEVPDDFFLVTTTKHGHGVSLETVTVAYCKIDICGDEVTSRSELAMLSAFLRRFAGVEHLHLASARLGSGLDDAAAFAALPDLSALRRLELGGCLPDDDDTIFAALIRLLDLAPNLEALSLVFHPEPLDDGDDDDGYRAYCYHKEEELHDKHLLRRVREINLVHYQGGTAQRALAMYLLRSAAAIRELRCELAMGPLWIQDELVREIKGWMMNKAAVINFG >ORGLA11G0136000.1 pep chromosome:AGI1.1:11:15050008:15050396:1 gene:ORGLA11G0136000 transcript:ORGLA11G0136000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GARRRRQRLVLRDGASGVEEADITATAIAAGGGVGGVEEEIVKLLVRCFYSYDGFFLEYISFI >ORGLA11G0135900.1 pep chromosome:AGI1.1:11:15046506:15048456:1 gene:ORGLA11G0135900 transcript:ORGLA11G0135900.1 gene_biotype:protein_coding transcript_biotype:protein_coding YXNSXGNLEKTANXKYFFDDQIKEFELSTSXNPRKSNLFSPYKPLQSHEHCTRKLRKHRSAYRSRSTTMAAAVDRISDLPDDLIQRILHFAPAREAASTGVLSSRWRSLWRSTGAVNLAVLIRRRDDFFSIRDAFVRSAHAALAAAGGGQVRRLTMHVETERLPVQLTADAFLHRDAEDWGRRHDVVAGVVSHPAARRVEELRVAAVRSADGPSSDREVTEMEEGEFRLSLGGSTQPSTQTLRLLDLTGCGGVSLSAGAALPRLTTLRLRLCVVQVEDLQGIIDSAPALATVHLESVFLAGTKEDGCCARLRFPTATALVLAKCRGHGSHHNGDDDASDCEGAMEIDAPRLRSFKYAGLPRRFTLISPAAGMERADLHFLHDDGPHHYRDTTTVLRARFWRFLHNFRGVKSLKLKVTYLKXIAVAGNGNGILLPPLHGVERLDVAALHDPASETSRWPSPTCSAAAPTSATSCSASARCHRIPPRTAGTAATSCGGAGRRTSTSPSAASPAGEAGRSRRHRRRPRSPAXTKAWTMPAVTSMDXAADPSPACEARXQGLGSSSGTTSAAGLESRXSSSSRRTRFASRRCASMEGTRGCAITLIVGLRDGSLRVVCDALECYRLSGD >ORGLA11G0135800.1 pep chromosome:AGI1.1:11:15042470:15044317:1 gene:ORGLA11G0135800 transcript:ORGLA11G0135800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQPQTMPGGGDRLSALSDGVIGHILSFLPAKEAARAAVLSSRWRHTFAAVHTVSLVEPDAPVIDHYELARYSPGWGPPPDPNQPRPFTNVVSAALLARHRRWRGGGIPPLRALRVSTVGYGRGDASLVDQWIAYAVNQADPAVGLELDLRLRREPLCDKAYSLRRRGASADHADQDDDDDDEDASRKRRRRWRSRAPVEYVSIEDAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTVAVGASSEPPRLQAFEYRGFVPDDTFLTIHGGASSTVAYCKIDICGEELRGYLPNDDDDAIYAALTRILERAPNLETLSLVFHPEPLDGGDDAKLYSTYYKEEELHDKHLLSYNRHSVLAAPTSGGGAMAPACLRRRVREINLVHYQGGAAQRTLTMYLLRSAAAIGELGCELAMGPLWIQDELARELEGWVINKAAIVNIG >ORGLA11G0135700.1 pep chromosome:AGI1.1:11:15041316:15042008:-1 gene:ORGLA11G0135700 transcript:ORGLA11G0135700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATVASPSENAATVTVASLDELREVAENDPRASEEGGLRVEVTGVRVSQAEELHALVRDRVEAKLWSVGVGEADAAMAALRSAADGAARLVELSRALDAVVRDTEDGVPVPATGPRVTLLRELARDVSAQRPPPFLPCRYAIAGADAVDIARQAKALSYHRFSGDRWTSPESLECSMDYFRRTVEKARARSAALLGMTIPFEDPPIVIHILEASSWEARYYSSSSERNV >ORGLA11G0135600.1 pep chromosome:AGI1.1:11:15026768:15027442:-1 gene:ORGLA11G0135600 transcript:ORGLA11G0135600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATVASPSEPAATVTVASLDELREVAEDDPRASEDGGLRVAVTGVRVSQAEELHALVRDHVEAKLWSVVGEVDAMAALRSAADGAARLVELSRALDAVVRDTEDGVPVPATGPRITLLTELARDVSTQRPPPFLPRKYVVAVVEAVDIGRQAEALSYYRFSGDRWTSPESLEYSMAYFRRTAEKTRARIAALLGMTIPFEDPPIVIHILEASSWEARYYSNSS >ORGLA11G0135500.1 pep chromosome:AGI1.1:11:14977123:14980438:-1 gene:ORGLA11G0135500 transcript:ORGLA11G0135500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTRAGAADGAGGGGEDIVEAGAEAPPPQRERLVPHSGPLSKRSGMRKSARFAESVSAPLSAPSGVAAARRAAAAANDDDEEDYVEITLDVRDDSVAVHSVKPAGGGGEDSDVTLLARTLEKRSSSFGHSVIRNASSRIKQVSQELRRLASVNRRGGGGGAGGPRFDRSKSAAAHALKGLKFISRADGGAGWPAVEKRFDDLAKDGLLPRSKFGQCIGMKELEFAGELFDALARRRNISGDSISKAELLEFWDQISDTSFDSRLQTFFDMVDKNADGRITEEEVKEIITLSASANKLSKVQEQSEEYARLIMEELDPSNLGYIELYNLEMLLLQAPSQSVRIGTTNSRNLSQMLSQNLRPTAEPNPLRRWWRRASYFLEDNWRRVWVLLLWLAICAGLFTYKFIQYRHRAVFHVMGYCVCVAKGGAETLKFNMALILLPVCRNTVTWIRNRAAVARVVPFDDNLNFHKVIAVGITVGAGLHVISHLTCDFPRLLHATDAEYEPMKRFFGDTRPPNYWWFVKGTEGWTGLVMLVLMAVAFTLATPWFRRGRLRLPRPLNRLTGFNAFWYSHHCFVIVYVLLIVHGYYLFLTKDWYKKTTWMYLAVPMFLYACERLTRALRSSVRPVKILKVAVYPGNVLSLHFSKPQGFKYKSGQYIFVNCAAVSPFQWPPILHHVGPTGRLRQRPHQDAR >ORGLA11G0135400.1 pep chromosome:AGI1.1:11:14973728:14975478:-1 gene:ORGLA11G0135400 transcript:ORGLA11G0135400.1 gene_biotype:protein_coding transcript_biotype:protein_coding KINFFSYCSFPKVLIDGPYGAPAQDYKQYDIVLLVGLGIGATPMISIIKDIINNMRQLDGDLEGGDGNDNSVSSAAFKTRRAYFYWVTREQGSFEWFRGVMDEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKTHFARPNWRNVYKRIALNHRDQRVGVFYCGAPVLTKELRELAQDFSRKTSTKFDFHKENF >ORGLA11G0135300.1 pep chromosome:AGI1.1:11:14969719:14970387:1 gene:ORGLA11G0135300 transcript:ORGLA11G0135300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G61750) TAIR;Acc:AT5G61750] MKLSTVLCCYLLLLGLFAPEIISDSPPLQDVCPMAPQGERKLFMNGFFCKSPSTIMASDFKTLLLNHAGDLDNMVRSSANIITATEFPGLNTLGISMARTDIAASGAVLPHSHPRASEMMFVHSGSVVAGFFDTKGKLFQKTLAEGDVFIFPRGLVHFIMNYGFGLATTFSVLNSQNPGVVGITHAMFAPDSEVAEGLMARMLSFRDMGMDDSSSVDSPWFY >ORGLA11G0135200.1 pep chromosome:AGI1.1:11:14964400:14969112:1 gene:ORGLA11G0135200 transcript:ORGLA11G0135200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family [Source:Projected from Arabidopsis thaliana (AT1G44960) TAIR;Acc:AT1G44960] MARGSRRKGWARGAVAFAAVAAAVALGRRYGWDGEAAVAAFRGRRDALGPWAAPAYVAAHALTLALCPPYAILFEGAAALLFGFLPGVACVFSAKVLGASLSFWIGRAIFRLFTSAMDWLKSNKYFHVVVKGVERDGWKFVLLARFSPLPSYIINYALSATDVGFFKDFLLPTVVGGLPMILQNVSIVSLAGAAVASTTGSEKSRIYSYLFPVLGIMSSILISWRIKQYSSALVIPEELKNSSTNGKANVDDKALSENTNSGETRKRR >ORGLA11G0135100.1 pep chromosome:AGI1.1:11:14956160:14959994:-1 gene:ORGLA11G0135100 transcript:ORGLA11G0135100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein [Source:Projected from Arabidopsis thaliana (AT5G07360) TAIR;Acc:AT5G07360] MKPFCLLHSALLLLQPNPSLSPSPPSRQVSAMREPRRPLLPLPLPHLLLVSLLSSSAAAPGAGAPPRTGGGGVARSIADMAWPTKCTWLPWPTCGKSHSYTLVSKYHKIWKDTKEAVSAKDVSRKEPISGVIALKASMKYFDADFFNDSKLREMEDGAKEFNVPAFRDNRKLVALENGGLHNPSALVFKSSWSDESKISENKSFQYPHTSSVHRPSKDEDIAFMSIIELGELIRTKQVTSRELTAVFLRRLKRYGPIIESVITITDDLAYKQAKEADDLLEQGKYLGPLHGIPYGLKDIIAVPEYKTTWGSRTFENQILDVEASVYKRLKSTGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSITYPAARCGVTALRTTFGTVARTGVMSISESLDKLGPFCRSAIDCAVVLDAIRGKDAGDPSSREVAIEDPFHVDIRQLTVGYLDSAEMEVVHVLSAKGVKLVPFKLNYTVQSVQSILNITMDVDMLAHFDNWQREGHDDDYEAQDQWPVELRRARLIPAVDYIQAQRARGKLIREVRESFTVDAFIGNVTDWELVCLGNLVGMPVAVVPTGLKSIKNPPEGGTRRRTTVTTGIYAPPDHDHVALALAMAYQSVTDHHKQRPAIDDLGPGDDIQR >ORGLA11G0135000.1 pep chromosome:AGI1.1:11:14940557:14941387:-1 gene:ORGLA11G0135000 transcript:ORGLA11G0135000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWRSLVALHSSAPSSCFPQPPAPSPCPSPPREEEEEEEKREAAAVRLVGSDGKVRTYRRPVTARELMQQHPRHLVCRSDALLIGEKIPAVAPGEVLEPGHAYFLLPAHLFHSVLSFVSLASSLLLLLSASSASAAKKQQQRPFELLRTASGTLQIKFSDDFLLAADDKVADLAATNPPVLRGDKKLEKEYEELVGYSKARRWSPKLDTIQEVVAAAAGDTATAAATATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA11G0134900.1 pep chromosome:AGI1.1:11:14934962:14936483:-1 gene:ORGLA11G0134900 transcript:ORGLA11G0134900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTMQGVDCLPRVQHSWSMVGKGLTGDKVAVRTGFVPERIGDQSASNTETILIWFRLVTTMFKMPPVRLKVETLVSASLEKRQPALLLPTWPWWWMMLLQLQQPLLTTSGLDAATNCARAVPSFDRDRPNFLLTSKKHNHELQLINWSTPPALPTDRVIVDY >ORGLA11G0134800.1 pep chromosome:AGI1.1:11:14930252:14931295:1 gene:ORGLA11G0134800 transcript:ORGLA11G0134800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGSGGGGRRVTIRSISCRGVKAFVPFQKPPLYAAVSLAGRREKTSGDPDGGENPDWDAAVFAFDLPAAGDGMLQFEVKAQVPLLGSKLVGKVSVPLADLAVAGGDGAAAAPRHVSYQVCAPDGKANGKLSFTFAVTGGGAYQQPQVDHPTPISSSCCAPPPTSTTTTPSGAPYPPPAMASYPPLPSLSATPSASLYPPPPPSSYPPPPPPPPPPPHLTQSFAPNSSYPPPPPPSQYIAGYPPPPPSNFYPPPPAGYPAPSFPSPTSTYPPPPPPESASSQYPPPLPRSAPCCDRSVDRALPSYMSPRPPPPGVPCYPPPAAWLPDQEAAGAPYSLYPQPGTRYL >ORGLA11G0134700.1 pep chromosome:AGI1.1:11:14928490:14928897:1 gene:ORGLA11G0134700 transcript:ORGLA11G0134700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYTTKIFALFALIALSASATTAITTMQYFPATLAMGTTDPYRQYMMQTLGMGSSTAMFMSQPMALLQQQCCMQLQGMVPQCNCDTSYQMMQSMQQVICAGLGQQQMMMNMAMQMPYMCNMAPVNFQLSSCGCC >ORGLA11G0134600.1 pep chromosome:AGI1.1:11:14912733:14913151:-1 gene:ORGLA11G0134600 transcript:ORGLA11G0134600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLIPPPLVRPPPPDLSYINRSYRSVRRREDRDDNLSGEFLLAVLQKHSGAPIPFEESQILEYYKKFLAQYSDYEDEDSDEEDSEEGEKGKKNVC >ORGLA11G0134500.1 pep chromosome:AGI1.1:11:14906324:14908122:-1 gene:ORGLA11G0134500 transcript:ORGLA11G0134500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1R0Q3] MLKVRWLPFKKTHLARSNASDASSPTSAAAATATAVTTHRLYQVWRGRNRFLCGGRLIFGPDASSIVLTVSLIMTPLALFVAFVSFHLAALIGKPLGQAVPAVAIAVGVFDVIVLVMTSGRDPGIIPRNVRPPEPEDIGVSSPAFGGGGGSLPPTRDVYVNGVVVKVKYCHTCLLYRPPRCSHCSVCNNCVDRFDHHCPWVGQCIGKRNYRFFFMFISSTTFLCLYVFVFCWVNLAMTARQFGCSMGRAVVESPVSGILIVYTFVTAWFVGGLTAFHSYLVCTNQTTYENFRYRYERKANPHNRGVAKNVAEIFLSPIPPSRNDFRSRVAVEHYYAAGAGAASGQYFYSYSIGPLSSESKAASFNTRGSLSFDMATASFDLGGVGGGGGYSAKRTSVDVCSNSSDFGDIYGGEQQPPRHSIFGGGGGDGGRTSVRKADDVPTEFGHYGAAAAAAAAAGGRPRGREFEAV >ORGLA11G0134400.1 pep chromosome:AGI1.1:11:14898987:14900057:1 gene:ORGLA11G0134400 transcript:ORGLA11G0134400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLLLLACHLLLSLALLAASLSHLLLAATTHLSPSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAPPPPRSPLPFLPHLPHLRPLLLSLPLLLLARAASLVAASFPPSDLQSHALHVAAALLLAAAVASLLAAISPPNRGLLAETALACAGAVGGLWVGQSCLVLYVDACVPAGCHRLMDAAVGTPATRCDVEEARLRAVALMDLALSVHCVVVAAVAVGVHLGVAWWCGVDGGAGAGMGTGRRHNGVGGSYDALPTVASAEAEMEHLPMKGVVGKSIAQE >ORGLA11G0134300.1 pep chromosome:AGI1.1:11:14872244:14875664:-1 gene:ORGLA11G0134300 transcript:ORGLA11G0134300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRMNPCHGKLVLKNKRAILQFDDIPEDVVFLIIRLLSLTNAARVSMVSQKWLQSWRLYPNLEFTSKALGLNKRVHKQGRRAKFVRCVNTIIRHHAGTGINSFTLKRNLNNHKYAHYIDRWIYFAVRSGANELTIDLSPRWYAHPRDVKYSFPSSNVAAPEPTSIEHLKLCFMNLRPLPTFSGLRSLKTLDLALVCITTEDLESLLSYTPALQQLKLRQCPMLEYLKITDVLAKLVYIDIVPCLWLKILEIHAQNLVAINTYNICHLKIVLSEALVLKGAHIELVLSSDVIEYAFTDLAPLMPDLESLFLSGCTEMIISRRPPSNRFHCLKQLELKLQDISTKYDLLFLAKFVDAAPVLEAFVLHLEDIEEPFCYMEKEAELRSLEKHCPHKNLKLVKMTGFSAGRSSLELALYFVENSPALELLILDHRLDRSVWRSTFGGDLDAKCSKGYEHTISKYVSNAIPRRVRLSYS >ORGLA11G0134200.1 pep chromosome:AGI1.1:11:14871259:14871714:1 gene:ORGLA11G0134200 transcript:ORGLA11G0134200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSPPPPAAFLPPHPRIVNYISTRQGAFELAAQAAAHPGTSVIVVVTGSNPLQDARKLFDGLVARRDALGWCVLGLDKFSQLLERYDVLCDDRNKLRELVGSIYADMLIGGSSAPEVALESHDAADDVQGRVRRLLSIADGADAQHFA >ORGLA11G0134100.1 pep chromosome:AGI1.1:11:14867492:14867737:1 gene:ORGLA11G0134100 transcript:ORGLA11G0134100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAPATGSVPGTGSPYCGAWRTRMMAMERGTNDGLHPWIWSSSLWCLKDYGCDRGARHRRWALSLDLKLLAKCSKDGGND >ORGLA11G0134000.1 pep chromosome:AGI1.1:11:14862722:14863252:-1 gene:ORGLA11G0134000 transcript:ORGLA11G0134000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGSLPLPPPVVVTVSGCEPLNDARELYQYLEEYVNQEGWIVDDTQLAGLAELAEARDELVGARDKLTTFASDIGDDILLGGAVTDDVPLLSGDFKAALARVLKLPEGMELPKDFESPLLAPAIAGRERIHGELINLRADLAALKQHVEEQWLPWANDRLSSAGVIGDQILLDME >ORGLA11G0133900.1 pep chromosome:AGI1.1:11:14856885:14861947:1 gene:ORGLA11G0133900 transcript:ORGLA11G0133900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNLPTISQYPRFYRKAFNELFLSPSTDQEPGSTTNIPDYIRFGWLLFLILRSKSPELFKDLVSCIHGLVAILAILLIHVPAKFRSFTIEGSSHLIKQTEKGVDLLPSLCHNYHTSEDRLKEMMGKSYKVIEVFFSRKAINASEFKTVNLDKIDTDGLMYFKDLVDDEIFQSNLEKLEKLSSTTGCQGELDLEMFLTSNDYVLNAENSSGSSANFGCSKRVFETLASPTKTIKNMLAAPSSPSSPANGGSIKIVQMTPVTSAMTTAKWLRDVISSLPDKPSSKLEEFLSSCDTDLTSDVVKRVSIILEAIFPTKSIDRGTSIGLNCANAFDIPWAEARKMEASKLYYRVLEAICRAESQNNNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIENFVRHEETLPRELKRHLNSLEEQLLESMSWEKGSSLYNSLVVARPSLSTEINSLGLLAEPMPSLDGIVARQSIHPDGLPPTPSKRRPSAGPDGNCYPQSPKRLCTESRNSLVERNSQTPPPKQSQTGLSILKAKYHPLQATFASPTVSNPVSGNEKCAVVGVQIFFSKILKLAAIRIRNLCERLRHEELTVSVYNIFKQILDQQTALFFNRHVDQIILCCLYGVAKVSQLSLTFKEIVNNYKREPQCKPEVFRSIFVGSTNRNGGFGSRHVDIIVFYNQVFVPTVKPLLVALMPSSTRPEDKRNTNSQIPGSPKSSPFSNLPDMSPKKVSSSHNVYVSPLRQTKMDALLSPSSRSFYACIGESTQAFQSPSKDLAAINSRLNYPTRRINTRINFDMVSDSVVAGSLGQPNGGSASSDPAAAFSPLSKKSKTDS >ORGLA11G0133800.1 pep chromosome:AGI1.1:11:14849138:14849467:1 gene:ORGLA11G0133800 transcript:ORGLA11G0133800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGMWGAVVKDGDEGFVNVPLVGFNVVRGPLLARPLLEKGSEKEVERDYDNGG >ORGLA11G0133700.1 pep chromosome:AGI1.1:11:14841955:14848290:1 gene:ORGLA11G0133700 transcript:ORGLA11G0133700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISCREGRMSPQTETKASVGFQAGVKDYKLTYYTPEYETKDTGILAAFXVTPQPGVPSEEAGAAVVAKSSMGTWTTVWTDGRTSFDRYKGXCYHIEPVVVEENQYIAYVAYALDLFEEGSVTNIFTSIAGNVFGFKALCALHLEDLRIPPTYSKTFQGLPHGIQVERDKLNKYGHPLLGCTIKLKLRLSAKSYGRACYECLRGGLDFTKDDENVNSTIYALEGLFCLLCRSYLXITCXNRXNXGALLECDCRCMRRNDXKSCICREIRGSYCNAXLLNQGIHRKYXFGSLLLQQRPTSSHSPSSASSYXXIEKSWYAFPCISXSIAGVWGRSYPHWYSNRXVRRGTRNDFRFCXFIARXFYXKRSCSQYLFHXLGIHARCYTGGFKGCSCLAYTSSDQNLYSVLQFGGGTLGHPWGYAPRAAANRVALEACVQARNKGRDLAREGNEIIRSACKWSPELAAACEVWKAIKFEFEPVDKLDS >ORGLA11G0133600.1 pep chromosome:AGI1.1:11:14837814:14838245:-1 gene:ORGLA11G0133600 transcript:ORGLA11G0133600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRGDGAAGLAGWRVGRGGSCHHRRRHCCWWSRGRSRGGDREAVREVGALPKHMMEAELLTTFQKVAIVDEVTIIKDKRSLVVWIRTPRSRSSTSKLPCCLVLCFDFGFYLQAPVLSCSAFDFGFYLQAPVLSCSAFDFGFYL >ORGLA11G0133500.1 pep chromosome:AGI1.1:11:14832890:14835541:1 gene:ORGLA11G0133500 transcript:ORGLA11G0133500.1 gene_biotype:protein_coding transcript_biotype:protein_coding EANEVTSWSSKKGPRNKARHFSAXXYSXECCVHDTPAVISERCCTCQSPNSKVATCLEILPXFRVHYKGIGSEETNSQSTEEGKVCQLCXYCHTAPCWNWGEVIHHQEKPQXSEDTHYLDRWMYFAVSSGAKELTLDLRPQRFIHYRNIQYNFPSSNFATPMPTSVEHLKLLFCYLRPSPTFFGLSNLKTLELSFVRITKEDLESLLSYTFSLQELKLSQCPNIDHLRIPDVPSKFNYLDIDLCWIRALEIHIQNLVIFNYHGSVRFRIIQGEGSLFKEARFQFSCGDAIEYAITEMAPALPNLETLFLIGFSKMVIPTRDPRHRFHCLKHLQLKMIMLSKKYNNLCLVSFLDAAPFLESLIVHVCNGSLYYPGKKTDLRRLEKRKPHKNLKFAKMTGFDGERSSIELALHILESSTNLECLILDPRKYKSEWKYIYEENLRDVQWRVHNFTISXYIAEAVPSHVKLLFS >ORGLA11G0133400.1 pep chromosome:AGI1.1:11:14821492:14822547:-1 gene:ORGLA11G0133400 transcript:ORGLA11G0133400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLGVEDPNWRSDPCVYLLPPWCQRPLCLCGDRCQLMALRNPYIRGRRFFRCPNYDRETRTTACAYIEWVDTGYPVLDLTTCLQEGRWYFASESTEQYLQRKAAYERQCREQQCDWRVLTTALPPWEARPRCRCGDRCQVLRSIKPTTLGRRFFVCPNILDDDFMEPPRRCQYKEWIDTRRVLTPPSRVVQLELPEQYRVTKARFERGEGSSRRVR >ORGLA11G0133300.1 pep chromosome:AGI1.1:11:14820205:14820702:-1 gene:ORGLA11G0133300 transcript:ORGLA11G0133300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELCILRARAISLLQRREDLRALVRDMGHDLLVCGTESGKVEGVLARALKLPAGMDAPPGISLDLVGDADEGRRFLRRDIARARLQLAALAGHTERHILRRLGRELALRASTGVVAPQELWPDIQEQRLRHAPPDSTSDAALYAEAAEEAAWAAPAGTPPDSDA >ORGLA11G0133200.1 pep chromosome:AGI1.1:11:14815986:14818294:1 gene:ORGLA11G0133200 transcript:ORGLA11G0133200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSWSCSWICPLEVIVQIRKLFFSSKEKMAPSSPPAMNMACARQGRLRQRYEGCYRLVSGCIPYMIKEDGENSSSSLQDDDHVLERLQVLMISTPKRSDLIFPKGGWEDDESIGEAACREAFEEAGVKGVLSGTPLGEWIFKSKSKQNSCGLQGACKGYMFALQVTELLESWPEQTTHGRRWVPVEEAYGLCRYDWMREALDKLKEQLLFTAGDDLRASPSPELDSSAGLYMVMPPVAEGAVALC >ORGLA11G0133100.1 pep chromosome:AGI1.1:11:14811818:14812126:1 gene:ORGLA11G0133100 transcript:ORGLA11G0133100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGPAVVGKGAAAALHGRCAEFGGGGGGIRRWRRTGAADPATAMRRGGGSGDGEVRAHPPCTACGCLSHHHRGESRFPDQLLNGNRGILPATMTITTASN >ORGLA11G0133000.1 pep chromosome:AGI1.1:11:14794735:14798334:1 gene:ORGLA11G0133000 transcript:ORGLA11G0133000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAATSTYAESVASCRAPAPATPRPPHAAVRRHLDFAAGDGGELDDDEVEDEDEDDFLFRAAEETERSHYEAQRRASAPPPPPSQPPAFLERPCICGRGGCDVEERELGRWAYVCPATPKCKYSVWCGEADICPNPQPAYMSHPKPNPHVFSSPCSPVVFNSPSNHLAGSTTPTPNNLQVFNGPRNPHVSNSPSNHLAGSTTPTPNNLQVFNAPGNLHVSNSPNNHRSGATTPVNANPRGSRSSDKQPICHCRAGKCKVETIKGQKYYVCCIQKGQGACPYQVPVNAFVEESPQAGNSVPLEDNRGNYSPVKVEANNDNGSINPDQPEYDEWPFDIVNNDVVCSGFLPTAEPTLRDGIVAGESPSTQHLSNATTEAKTPAKSPIMPPPHGSGSPFTPRSNPCYRCGEDGHWSRNCPKPASSPLNSPCYNCGKLGHWRGNCPGT >ORGLA11G0132900.1 pep chromosome:AGI1.1:11:14770993:14771428:-1 gene:ORGLA11G0132900 transcript:ORGLA11G0132900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAAVVEVTHDSAAVIHAVXLKPPEAERRRICTPKARRCCPRPDSGTPEATADTVITVIQDRHREWVEWEGESEEIGGVGESG >ORGLA11G0132800.1 pep chromosome:AGI1.1:11:14766988:14767531:-1 gene:ORGLA11G0132800 transcript:ORGLA11G0132800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSSCSGGRRKKVQLPLIQCPLCKENTVVVRTSRTPTNLGRIFYTCPDHEKDGSGCNFWYWEEGYMKYLKRNGFIDGEEATEVKKAAELKNASKFDGDVVLRQDDELKKALTDVVSIGRELVVVMKLMLVVGSIGVSLLVGIMMK >ORGLA11G0132700.1 pep chromosome:AGI1.1:11:14752237:14755168:-1 gene:ORGLA11G0132700 transcript:ORGLA11G0132700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTVEEVRRAQRAEGPATVLAIGTATPANCVYQADYPDYYFRITKSEHMVELKEKFKRMCDKSQIRKRYMHLTEEILQENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSRITHLVFCTTSGVDMPGADYQLAKMLGLRPNVSRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLAVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAVIVGSDPDEAVERPLFQMVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALGDAFTPLGISDWNSIFWVAHPGGPAILDQVEAKVGLDKERMRATRHVLSEYGNMSSACVLFILDEMRKRSAEDGHATTGEGMDWGVLFGFGPGLTVETVVLHSVPITAGAAA >ORGLA11G0132600.1 pep chromosome:AGI1.1:11:14737977:14738281:-1 gene:ORGLA11G0132600 transcript:ORGLA11G0132600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHRISLLLPSPPQLEPVRLRRSAKDVNKLRASLLDVGQRLSQQGGGVDLGQKQQPAAAPRHLPH >ORGLA11G0132500.1 pep chromosome:AGI1.1:11:14720208:14722072:-1 gene:ORGLA11G0132500 transcript:ORGLA11G0132500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRDDKYGAATGDGGHSATTGTARRWGTWHRAMGDGAPGAGSALGGAGSAMEGGGDTARLPPLHSPADADAPPPCSPAAAAPPASGRVPLPPPPLHLYRWLEATRTGCGESWRMKLVLTTITGPPPRDPTNEVCQWRGWRPSPERRAAEEVRRWCGWRSSHVGIQSPTQIRVLALPHLAPCRSGGGSRRQGATAVVGGPSSAQIWWQRHPSRAWPRVHNGEGGRRSPGEEGRGRVTTATVGPSLERIRRQIRI >ORGLA11G0132400.1 pep chromosome:AGI1.1:11:14717722:14719307:-1 gene:ORGLA11G0132400 transcript:ORGLA11G0132400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTAASRRPAVTSPRGGGGDGHGHRHAAVLAIGTANPASWVTQEEYVDWYFRVTNSEHLADLKAKMKRICDKSGIKKRHFHLTEELLADHPDLTDRAQPSLDARLDVAAAAVPELAAAAARKAIAEWGRPAGDITHLVVTTNSGGHVVGADVRLARLLGLRPTVRRTLLYLGGCSAGSGALRLAKDLAENTPGARVLVACAELNLIAFRGPEDGCLDTLILQGIFGDGAGAAVVGADPVVPVERPIFYMASASQTTIPGTEHAITGQLRKGGLDYHIAHEMPSLVGEHIAHCVADALAPLGIGIDVDVDGGGGWNGLFWAVHPGGRAILDSVEARLALAPGKLAASRRVLGEFGNMAGATVFFVLDELPRARGEGERRGCEWGVAVAFGPGVTVEAMVLRAVHF >ORGLA11G0132300.1 pep chromosome:AGI1.1:11:14714579:14717324:1 gene:ORGLA11G0132300 transcript:ORGLA11G0132300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQQHAAVLAIGTANPAKCVVAQEEFVDWYFRVTQSDHLPDLKAKMKRMCDKSAIKKRHFYHSEETIAGHPEFINRALPSLDARLGIAKDAVPELAMAAAARAIAEWGRPAADVTHLVVSTNAGAHAPGADARLAALLGLRATVQRAVLYMHGCNAGCTALRLAKDIAENNRGARVLVACAEVTLPLFAAPYEARLDALVAMALFGDGAGAAVVGADPTTPVEHPIFHVVSASQATIPGTEEGVSLLLGERGLDCRISGEVAALVRGGVERCLLDALTPLGLGAGAGGWNHLFWAMHPGGRAILDAYEAALRLEPGKLAASRRVLSEYGNMSCAAIIFVLDELRRRCRRDGGEEEEESDEYCEWGAMVGLGPGLTIETIVLRATGGGHVDDEGKKSIAA >ORGLA11G0132200.1 pep chromosome:AGI1.1:11:14710753:14711414:-1 gene:ORGLA11G0132200 transcript:ORGLA11G0132200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVESLKSVEVPDSVNALDIVNALDSVEGLESEMALDSVEVPDSVEVAPESVKVALDSVEVVQCPRCGTFHAGGVFGEACFQARRRARRCARCGLLHEDYDLIARFLHNMEKFDCELYIPDVEKLKMDGETILLPKHVITKLDEIYSMKELEDAKMKQEQETSNAFSKQGCFT >ORGLA11G0132100.1 pep chromosome:AGI1.1:11:14705293:14707187:-1 gene:ORGLA11G0132100 transcript:ORGLA11G0132100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPTMETAHAAVLAIGTANPAKCVAQEEYVDWYFRVTKSDHLVDLKAKMKRMCDKSGIRKRHLCLTEDMIAAHPELLDRAAPSLDARLGIARDAVPELAMAAAARAIAEWGRPAADXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLAAPDEARLDALVAASLFGDGAGAVIVGTDGDDDPGAPVEHPPIFHMLSASQTTIAGTHQRVSLQLSERGLDYKISGEVPALVRAGIERCMEDALAPLGLAGGGGGWNHLFWAMHPGGRAILESYEAGLRLEPGKLAASRRVLSEYGNMSGAAIIFVLDELRRRRRRRDGGEEEEEESDEYCEWGAMVGVGPGLTIETMVLRASATGGHVGDEVKKSTTA >ORGLA11G0132000.1 pep chromosome:AGI1.1:11:14694179:14695881:-1 gene:ORGLA11G0132000 transcript:ORGLA11G0132000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWPELVSPWLGIGLSLMMEISLSVEAVCPSAIHSAMQITFVALYAKLLKIKVSATFCSLSWFNLLDCRQDQICWCATAWRGPIERRCRDQESESVSVISXELETETDFNWCFICALPWQPLMASSVELVLLXPVASPATSSAIAGARRAAPVKSSGAKKRAD >ORGLA11G0131900.1 pep chromosome:AGI1.1:11:14689532:14690269:-1 gene:ORGLA11G0131900 transcript:ORGLA11G0131900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRMPGGDLRLASLLGLRRSVQRTSMYFHGCSAAAALRVAKDLAENNPGARVLVVSAELSLTLFRAPQDGHVDTVVGQALFGDGAGAVIVGAGGDERQLPAMVRDNVEQCLAEGVGKHVAHGGWNDLFWAVHPGGRKILDVVEERLALAPGKLDASRRVLSEYGNMSGASIIFVLDELRRRGDMPPGGLGVMLGIGPGISIEMMLLRVAAA >ORGLA11G0131800.1 pep chromosome:AGI1.1:11:14666011:14667159:-1 gene:ORGLA11G0131800 transcript:ORGLA11G0131800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51010) TAIR;Acc:AT5G51010] XXSSSFCTPLSLPAPAPPRRGARASTASARITMRFGVASKQAYICRDCGYIYSDRTPFDKLPDKYFCPVCGAPKRRFKPYEPKVAKNANATDARKARKEQLKKDEAVGQALPIGIAVGILALAGLFFYLNSVY >ORGLA11G0131700.1 pep chromosome:AGI1.1:11:14660853:14664956:-1 gene:ORGLA11G0131700 transcript:ORGLA11G0131700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAAESEAERMRREKKDGVVKEVIRLERESVIPILKPKLIMKLAYLVEQDKDRGEFLKLCRRVEYTIRAWYLLQFEDLMQLYALFDPVNGVKSLEQQSLTPDEIETLELNFLTYMFQIMEKSNFKLLSDEEYDVAQSGQYLLNLPIKVDESKVDKKLLTRYFKAHPHDNLPASADKYIIFRRGIGIDRTTDYFFMEKVDVIISRVWRSLLRVTKIDRLFSKKKQLRTKKDPKKTDEVNEQVEEQEFSVERIRLEKMELSIKNLLSQMTIQEPTFERMIVVYRKSNTEAKPDRGIFVKHFKNIPMADMELVLPEKKNPSLTPMDWVKFLISAVLGLVTLIGSLEMPKADVWVVIAILSGLIGYCAKIYFTFQANMVSYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIVSYYILMEQGKATVQDLDLRCEQLIKEEFGVECNFDVVDAVKKLEKLGIVSRDSIGRIVCVPLKRANDIIGQTTEEMVMRAQQASTGS >ORGLA11G0131600.1 pep chromosome:AGI1.1:11:14658394:14660109:1 gene:ORGLA11G0131600 transcript:ORGLA11G0131600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRRALHLHLHLRRALPSPPRAGALLLPAATSSPAHRFLSGFTTTTQQNSASTTTIDLSSDESRRRLINRLVYRSKQRGFLELDLVLGTWVEQHIHSMDEANIRALLQVLDLENPDLWKWLTSQEQPPEAVNSNPVFIAVKSKVTDNLSKHSSPETRSAPGQPWVRGWDDKRGIEGPKYGNQ >ORGLA11G0131500.1 pep chromosome:AGI1.1:11:14651459:14657274:1 gene:ORGLA11G0131500 transcript:ORGLA11G0131500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:no exine formation 1 [Source:Projected from Arabidopsis thaliana (AT5G13390) TAIR;Acc:AT5G13390] MDPSGSGPRHGRLLISPSLSSPTFSTSSPSRSPAPHHDRRNSTSSPQPLLPFPSASSSRSGGGGGAAGGPRAAGALSSASQPAFAHNARVAAALAPAAAFLLDLGGLPVFAVLAVGLAAAYLLDALRQRQGAFFTVWAALIAADVAFFFSASLSSAASASVPLTVLALLLCAETSFLIGVWASLQFRWIQLENPTIVAALERLLFACVPIAAPAIFTWAVVSAVGMANASYYLATFSMVFYWLFSIPRPSSFKNRKQDAPWQDTDGILGPLESCVHALYLLFVPVLFHAASHHATLFASWANVCDLLLLFFIPFLFQLYASTRGALWWITRDVRTMDQIRMANGLVALVVVVLCLEVRVVFHSFGRYIHAPPPLNYLLVTVTMLGGALGMAAHAAGKVGDAVSSVAFTGLAVLVSGAGAIVIGFPVMFLPLPMISGYYAARFFTKKSLSSYFTFVAIASMMVLWFVVHNYWDLNIWIAGMPLKSFTKYVVAAVIMAMTVPGLALLPTKLRFLVELGLTGHALLICYIENRLFNYATMYYFGFEDDVMYPSYMVLFTTFLGLALRFCYSLSLPPCFFTGLACIPIVALHFPHAQSAKRFLVLVVATGLLFVIMQPPIKLSWVYRSEFIKAAHLSDDDTSIYGFIASKPTWPSWLLIATVVLTLAAVTSIIPVKYVVELRALYALGVGITLGIYISVQYFFQAVVLYPLLVATIVLAAVFIVFTHLPSESSTRVLPWVFSFLVVLFPITYLLEGHLRAKNFVDDEEAENFTNMLAIEGARMSLLGLYAAIFMIIALEIKFELALLLREKAADKGVTHGPPGRSSAFPPKARLLQQRRAHAAPTFTIKRLAAEAAWMPAIGNFSTVLCFIICLILNVTLTGGSNRAIFFLAPILLLLNQDSDIIAGFGDRQRYFPVTISISVYLVLTALYRLWEETWPGSGGWALDIGGPGWFFAVKNVALLMMTLPNHILFNRFMWDYVRQTDAKLLLTLPLNLPSIIMTDILTVRVLGLLGAIYSLSQYVISRRIRLAGMKYI >ORGLA11G0131400.1 pep chromosome:AGI1.1:11:14647626:14648510:-1 gene:ORGLA11G0131400 transcript:ORGLA11G0131400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADATTTASALRARAAEFRNRAEKLRELLVVDDEPEEGITRDVARNLLRILVEQNAMIVDDARMDLEEGEIVEDAAMAQEQGEEEEVEGKQDEVMVDGKRIPSELWDLVSLDDDEIASAQEVARARIRHAKEMEKVWLHLSHIDHDDFRALDSACLDYLSIKRFSEPILQASELLDTIRSRDWASALERRAAELDTEAASLET >ORGLA11G0131300.1 pep chromosome:AGI1.1:11:14643170:14643379:1 gene:ORGLA11G0131300 transcript:ORGLA11G0131300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPSHPAGAQGAVSWRHARGRQTTPARGSQCEGVQARLAARLAPRRLLSSGGKVLGEEEKAAENIYIK >ORGLA11G0131200.1 pep chromosome:AGI1.1:11:14638169:14638808:1 gene:ORGLA11G0131200 transcript:ORGLA11G0131200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TALGDEVPPPSSAAPDADLHPPPSRPTGPRFLPSAREPASAPPTGREGIKRFDQEDVDLVYGPLALDFAGLLLIAARAVIFLLRSEIGYNDIVQHRRLPVITDVFAPWSP >ORGLA11G0131100.1 pep chromosome:AGI1.1:11:14632896:14634708:-1 gene:ORGLA11G0131100 transcript:ORGLA11G0131100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGPNDFLVTSMVDVLALEDVFGHHPLPLARVVVQELDRPVDASDVFIKLGKASDMSKDLNKRKLQFKRILDRVTAAAAMLPSDLVSHARGLELLEARFGEPLPAAGRAVTAEEFRDLVSLANRVSWDARNAHLRATAVRWYLEALIHDVEATLHDKVVLSDLKNADSIKPCKEVRNPGSEAEGKVPGKKTAWSEPLARVILRIRQTIHNTIEKLRIAGIQATSAHGLLFLVEPSCDQVHHA >ORGLA11G0131000.1 pep chromosome:AGI1.1:11:14625965:14626822:1 gene:ORGLA11G0131000 transcript:ORGLA11G0131000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLQPLRVRAHAPPVFDDRYIPYLRAAGLLGVALVVSRGMPVFNAPALTALVDRWRPETHSFHLPSGEMTITLQDVAMILALPLRGHAVTGRTENPGWRAQVEQLFGIPLNIEQGQGGKKKQNGIPLSWLSQNFSQLDDDAEPWRVECYARAYILHLLGGVLFPDAGGDIASAIWIPLVANLGDLGRFSWGSAVLAWTYRQLCEACCRQAPSSNMSGCVLLIQLWMWLRLPVGRPKWRQSSTTWPYNEPDMEKTVAYLFERTATAHAHRDVAYKHYVNEMDCLQPQH >ORGLA11G0130900.1 pep chromosome:AGI1.1:11:14618342:14621386:-1 gene:ORGLA11G0130900 transcript:ORGLA11G0130900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLSTPQRRRWRPRVGGSSTSQAERKVATKRKEFPLQRDDPSPCDKTTKLDIPSLPEDVNTPMVPNKFLYLRYLEMVFIGPRKESPPCYDFFSLVSFLDASPALETFILHLDSVGTKNDCILEDSSELRKLPKCNYSNLKNVKITGLMSSKTLVELISHILDNTPSLEFLTLDTRIYGFKYEIRRFLSWDSGIMMTTDDQMESELDRELLMSDSDLIEAYRAPQVIRRYIEGKVPSTVNFEVIEPCRKRVIVETSRGPRLYYA >ORGLA11G0130800.1 pep chromosome:AGI1.1:11:14611862:14616697:-1 gene:ORGLA11G0130800 transcript:ORGLA11G0130800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILEVPVQLPPPPRNGGTSSATEIDNSPCQCGDDSQSASTVESKMQLPEDILHHIHALMPTQDAARAACASRCFLRSWRFYPRLNLNVNTLRIDKRAPSNDKLTMDFISRVDPIMLNHAGTGVKMFKLTTQPCFSLHPSYVDRWLQIAFAQGIKEFELEMTRVSKMDYDFPCSLLSRVASSVQSFLLGGCSFHPGIQIGQMSSLTSLRLRSVKITEEELCGFLSKSCALQRLLLSDCHNIVVLKIPHLLELNYLEVLHFRKLEAIDSSAPKLSTFIYAGPPIQISLGEALLQVKKMQMFCDGSPDALHYGSKKLPSIAPNIQKLYLSTRNETVNTPKALGKFLQLKCLEILLLTPDLSPGYDFCSLVSFIDASPALETFILRIERPAKRHDSILEALSGDSMHPMRASEYRHDNLKNMMITGFSSAKSMIDLTNHILEKTSSLEYLTLDTTRGYDRRNDKIDPCQCLQMSKEALLEAEKALLAIRIYVEWRVPSSVSLKVIEPCSNCHTETRS >ORGLA11G0130700.1 pep chromosome:AGI1.1:11:14604207:14604742:-1 gene:ORGLA11G0130700 transcript:ORGLA11G0130700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTWTARQPRPLTCGALNHDRRAELLNLDRLAMRSSTAIVVVEKAMVDLAAVGCVDVEVRSLNRQDKAGRILSTLTEAADINWRIRQWMLGPS >ORGLA11G0130600.1 pep chromosome:AGI1.1:11:14594093:14596139:1 gene:ORGLA11G0130600 transcript:ORGLA11G0130600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor assembly of complex C [Source:Projected from Arabidopsis thaliana (AT3G26710) TAIR;Acc:AT3G26710] RRAVVPPRASLVDGSGGAAAAAVLLDAAVAGATGYSQASYYTSLGLFVLSVPGLWSLIKRSVKSKVVQKTFVKEEGQTMAPNQVAGEILSFFTRNNFTISDRGEVITFEGTMVPSRGQAALLTFCTCISLGSVGLVLSIAVPEGGNNWFWLMTLSPLAGVYYWTKASRKEEIKVKMILSDDGNVSEILVRGDDVQVEQMRKELKFSEKGMIYVKGIFET >ORGLA11G0130500.1 pep chromosome:AGI1.1:11:14589239:14589631:-1 gene:ORGLA11G0130500 transcript:ORGLA11G0130500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLPFDGRPHIGLVVYDDARNTAVLVRRGGFTAGSLPLPLPAAQAAAAEEEGVVVGGGGGGGGARVRYCHCGVESREKVVRRPGPTQGRRFFGCGRWTAARGAACDYYVWDEAAPSTFGLNSQPSPPRE >ORGLA11G0130400.1 pep chromosome:AGI1.1:11:14587329:14588452:-1 gene:ORGLA11G0130400 transcript:ORGLA11G0130400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTWPVGTASGIGAIAGGAATDWIGASSSMDGFGCIRSDGRGHLVSPEVDGGGSVRERATVVIVDAVHRRVVETLLHPRPVAQIRYSRGNRHQIHEGEVRYHQTCEGSWSLPMRRRRPME >ORGLA11G0130300.1 pep chromosome:AGI1.1:11:14585408:14586343:-1 gene:ORGLA11G0130300 transcript:ORGLA11G0130300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAAASGGDGVAGVQLQEFAYFVVIDLEATCERGRRIYPQEIIEFASVVVDGATGEQLAEAFRAYVRPLHHRELTDYCRELTGIAQADVDAGVDLREALRAHDAWLDARGVKNAAGGGGGGGGFAVVTWGDWDCRTMLEGECRFKGIIGDGKPEYFDRWINLKVPFRQVFDRGGARRIGLEEALAVAGLAFEGRPHSGLDDARNTARLLALLMRRRGGVRLAITGSLPPPPSQLVAIGASAAATPGVGGGGARVHYCQCGVASRVGVARRPGPTQGRRFFGCGRWTPARGAVCSYFVWEDVDMSRANPRP >ORGLA11G0130200.1 pep chromosome:AGI1.1:11:14579315:14581736:-1 gene:ORGLA11G0130200 transcript:ORGLA11G0130200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38130) TAIR;Acc:AT2G38130] MSAAAAEATERGEPSGGGGEIAYVSYGGEHHLPLVMSLVDEELSEPYSIFTYRYFVYLWPQLTFLAFDPKDGKCVGTVVCKMGEHRGAFRGYIAMLVVLKPYRGRGIATELVTRSIRVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLYRYYLNGVDAFRLKLLFPRPDPGMHPMMMLGNERDDQHMDSPYL >ORGLA11G0130100.1 pep chromosome:AGI1.1:11:14571530:14577841:1 gene:ORGLA11G0130100 transcript:ORGLA11G0130100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHPSSSPTAATSPPPRKRPRDASPSEEEEGGLPGGPSSPSPSPAGYIFMCSGATKPECYARGVMGQPRGRLPAVSLIRRGAALFLYDFDSRHLHGPYRAASDGGLDLAPAAFGGRFPAQVKFTIDGDFMPIPESSLRSAIKENYSNGKFSSELTLAQVENLRTLFRPIVVQPEPGLPNNFDDRQPARPAEYLPPSTSHPTQPAAYCSMSPTAPSLVCDKPHSAAENDENGCSSGSSMSSPAGYIFMCNGVTKAECYRHRVMGLPLGSLDVVSRIRRGTVLFLYDFDAKHLYGPYHADSNGGLTLVPDAFRGRFPAQVKFTVDGDFMPIPESSLRTAIKENYSNGKFSPELTLVQVEKLRALFRPIIVMPESALFHNDSDRHPVPPAGYLPPASHPSQPAAYVHQQHQTSYIPPSTAHPMPPESCAHSYAQMPPPNMQFTTPPYHMSTAEYPYQAAHTAYSSLPSANNISMANNLYGQQSLSDHVSATGYYTAPYYATHQIGMHPVDPPRSHYLGTTSERITYGTEHEAATTNLQPISHYGSIPSGATAAPEAAATNSELVCNSGATPSASATGAAMTNLQHVRNYGSMPSSVIGAAAHSSEGSQFEQAAIYITHAPGTYYCYGASSAIYSYPGNTASCDGNNVAAAPSVYAVAAPPAYQ >ORGLA11G0130000.1 pep chromosome:AGI1.1:11:14560866:14569628:1 gene:ORGLA11G0130000 transcript:ORGLA11G0130000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein [Source:Projected from Arabidopsis thaliana (AT5G13980) TAIR;Acc:AT5G13980] MGASAARLAALLLLLLAAAAAAAVGECVYIPYNTSAGVVGGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLKDENRKFVYVEQAFFQRWWRQQSDMIKDIVKGLISTGRLELINGGMCMHDEATVHYIDMIDQTTLGHRFIKEEFGQIPRIGWQIDPFGHSAVQAYLLGTEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKTFGSSADIFAGIFPKNYEPPPGQFYFEVDDTSPIVQDDPLLFDYNVEQRVDDFVAAAIAQANITRTNHVMFTMGTDFKYQYAESWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKHAENVPWPLKTNDFFPYADNPNAYWTGYFTSRPALKRYVRVMSGYYLAARQLEFFKGRSNSDLTTDSLADALALAQHHDAVTGTEKQHVANDYAKRLSIGYTQAEKLVSSSLSCLSQSGSKSHCPSQTTNFEQCPLLNITYCPPSEMDLSQGKSLVVLVYNSLGWKREDVLRIPVISDSIVVHDSEGREVESQLLPIANASLHMREKHVKAYLGMLPAAKPKFWLAFPVSVPPLGFNTYFISSGKKSASVSLMSTLHPSQGSENSNMQIGQGQLKLQYNAAGALSLYSNSKTQVEANFKQKYKYYIGQDGNGSDPQASGAYIFRPNCTVPIKTDGQVPLTVLRGSILDEVHQQINPWIYQINRVYKGKDYVETEFIVGPIPVDDGNGKELSTEVVTNMATNKTFYTDSSGRDFIKRIRDYRSEWKIEVHQPIAGNYYPVNLGIYVEDGSRELSILVDRSVGGASIKDGQIELMLHRRLLHDDGRGVAEALNETTCFDNQCEGLVIQGKYYLRIDPQGEGARWRRTFGQEIYSPLLIAFAEQDGGNWVNSHVTKFSAMDPAYSLPDNVALLTLQELEDGTVLLRLAHLYEAGEHKDLSALASVDLKRVFPDKKIVKIVETSLSANQERSAMEKKRLKWKVEGPPADEKIVRGGPVDPSKLVVDLGPMEIRTFLVNFAPQSGKQLM >ORGLA11G0129900.1 pep chromosome:AGI1.1:11:14551534:14554939:1 gene:ORGLA11G0129900 transcript:ORGLA11G0129900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLADPNHRLIAGAALLVATLAFIKLLLSSAAGGKKRLPPTIPAAPLVGGLLRFMRGPIPMIREEYARLGSVFTVPILSRKITFLIGPEVSAHFFKGNEAEMSQQEVYKFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVVEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPVSVIFPYLPIPAHRRRDRARQRLKEIFATIIKSRKASGRAEEDMLQCFIDSKYKSGRSTTEGEITGLLIAALFAGQHTSSITSTWTGAYMLRFKQYFAAAEEEQKEVMKRHGDKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHNDFSVTTKDGKEFDIPKGHIVATSPAFANRLPHIFKNPDSYDPDRYAPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPETNWKAMVVGIKDEVMVNFKRRKLVVDN >ORGLA11G0129800.1 pep chromosome:AGI1.1:11:14549296:14549637:-1 gene:ORGLA11G0129800 transcript:ORGLA11G0129800.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLGGVIELCLYVLFRITGSVHGQNVLLDKLIHIRGGATMSEVVLDASGSGGDEGGKPSSLRKRGAGRSCPRGRQEVGEHGTLHIIQHRVYAECINTVLESVALTKKWILRGNM >ORGLA11G0129700.1 pep chromosome:AGI1.1:11:14524899:14531467:-1 gene:ORGLA11G0129700 transcript:ORGLA11G0129700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVSASTGALNTLLPKLADLLLLVAGEHHSSRRAVEDGVEHLESELTSMRAALEKVSAAAAPPDQLDGQVRLWARDVRDMSYHIEDAIDTYLLREAAAAGRRRPPPPCCSFVVGLTSSARRRRRAIAAEIERIKKEVEEVSRRRERYRIDDHVVAVVDDAPVDRRLPALYANFASLVGVDASVEEVIKLLSMEGDQGAVMMRRKLKLIAIVGPGGIGKTTLANLVYQKLHGQFECQALVSVSQKPNVKAVLSSILCQVSQLKYENFSSWGEKEIIDKIRDVLKDIRYFIIIDDIWDKPTWQLLKCVLIDNDHGSKIITTTRNIDVAKLCCYSDDVDGTIQIQQPLSVADSEKLLYHKVFHNERCPPQLKGISQKILKRCGGLPLAIITIASLFANRQTQTEDHWNSDYIIDRDDLIWRWIAEGFIQPKQGTILYEKGERYFDELINRNLIQPICIDVHGKAQACRVHDTILEFIAGLSIEENFVAILNGQCSTSDLPKRIYRLSLQNSKDDITIPDATERFSHVRSLWQGIDLKMPLSGFRVLRALDLGDCSSQNIDNIGNLVHLRYLRLRGTHYNKLPKEIGNLRFLQTLDIKQTRIKELPSTVVHLTQLMRLMVDTWTKLPNGIGNMECLEQLSEIDTSMYPSLMIELSDLLNLRVLEILISTWEQNKEEQFLDCLGSMKKLQIVHIFAPNISLDFMLNKDWTLHELQKLTVGVRRESEDIFKLNPLSGWAEFSPFSILPRWINSSLTNLSYLSIIVKILQQKDLGVLGDLPALCSLYLSVTGALKERLMIIGHSRGNGQAIAFQCLANFNFTSPAMMIVFRNGAMQRLQMLSFCFQLKETKVFHSDLDMGLENLTSLKTVHFRIDCRYARLWEVQAAEVALRNATNLNLNSPTLDLSKHFERLMYWDGMEEIPEMKIFKEENVGIVKIGPWGGNRGRRYDIEVAPHHLESIRVHSDLAVHSFEFEYSDRNGQKHVAGPWGGYGGSNVHMIQLESSEVLVEVSGTFGRFAGFQNIITSLTFVTNTQSYGPFGQREGTPFHIPVQCGGRIVGFFGRAGWCFDAIGIYVNPDLQTIKDKGKVVLAKIGPCGGKGGEACDIMVPPHHLESVTICSNIVIHSLTFSYNDHNGDHHLAGLWGSHGGSNQTIQFGPSEFITRVYGTIGSYNTPSDVVTSITLVTNAGCYGPFGQENGIPFDFPVQGNGSIVGFFGHANLYVDAIGVYVTPSMGTRKEEENVGLTKIGPFGRRGGNPFDIKVTPHQLESITISSNIVINSLAFSYISHDKQQHIVGPWGSGGESSYTIQLGPSEFFVKVSGTFGPFGEFPNVITSLTFVTNTHHQYGPFGQGGGTPFHAPMSGNGSIVGFFGREGLCIEAVGFYFCAL >ORGLA11G0129600.1 pep chromosome:AGI1.1:11:14484284:14490794:-1 gene:ORGLA11G0129600 transcript:ORGLA11G0129600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSASTGALNTLLPKLADLLLVAGEQHSSRRAVKDGVEHLESELTSMRAALEKVSAAPSDQLDSQVRLWARDVRDMYYDIEDAIDTYLLHEAAAATTTGRRSRCPGCIGFTLTRGHGRSRRHAGIAAEIERIKKEVEKVSNRRERYKIDDHVIVVDAPVDRRLPALYANTASLVGVDGSTEKVIKLLAMEGEDAVMMRQKLKLVAIVGPGGIGKTTLANLVYQKLHGQFECQAFVSVSQKPNIKAVLSSILCQVSQLKYENFSSWGEKEIIDKIRDVLKDVRYFIIIDDIWDKPTWQLLKCVLIDNDHGSKIITTTRNIDVAKLCCYSDDVDGTIQIQQPLSVADSEKLLYHKVFHNERCPPQLKDISQKILKRCGGLPLAIITIASLFANRQTQTEDHWNSVFNSFHTRLESSTDVKDMRWIISLSYCDMPSPLKTCLMYLSIFPEDYIIDRDDLIWRWIAEGFIQPRQGTSLYEQGDSYFDELINRNLIQPICIDVHGKAQACHVHDTILEFIVGLSIEENFVAILNGQCSVSDLPKRIYRLSLQNSKDDITIPDAAERFSHVRSLWQGIDLKMPLSGFRVLRALDLGECSSQNVDNIGNLVHLRYLRLRGTHYNKLPKEIGNLRFLQTLDIKQTRIKELPSTVVHLTQLMRLMVDTWTKLPNGIGNMECLEHLSDIDTCMYPSLMKELSNLPRLRVLELLLSTWEKSEEKPFLDCLGCMKKLETLHIFAPRISLDFMLNVDWTLQELKKITICVRPKSEDIFNLVPLSVWAEFSPFSTLPKWINSSLTNLSYLSIIVKILRQEDLGVLGDLPALCSLDLQVIDVEDEMLVILGHSGGNGHARSAFQCLTNFNFTSPAMVLAFRHGAMQSLQILSFRFQLKETKVFHCDFDLGLENLTSLKTMHFGIDCRYVRLWEVQAAEAALRNATRLNLNCPTLDLSKHFERLMFWDGMEEIPDLKVFKEENAGLTKIGPWGGNRGRLYDIQVAPHHLESIKVCSDMAAIHSFEFTYSDHNGKKHTAGPWGGYDGNNVHMIQLGPSEFLVEVSGTFGRYHHALNIITSLTFVTNAQSYGPYGQREGTPFHISVQSSGCIVGFFGRAGWYVDAIGIYVKPKLQKVKDKAKFGLAKIGPCGGNGGKAHDIMVLPRRLENVTICSDIVIHSLAFSYNDHDGQHHTAGPWGGDGGNNQTIQFGPSEFLTSVSGTIGSYNTSYDVITSITLVTNVDCYGPFGQEKGISFNFPIQGNGSIVGFFGHAELYVDAIGVYVNPWDGTWKQEEKEGIIKIGSFGQGGEHRFDIKTAPHHLESITISSNIFVNALTFSYRSNDGQQHILGPSGGGGENSYTINLGPLEYITKVHGTFGPFGEFPNVITSLTFINNAGHQYGPFGQGGGTPFRAPIT >ORGLA11G0129500.1 pep chromosome:AGI1.1:11:14470821:14476600:1 gene:ORGLA11G0129500 transcript:ORGLA11G0129500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:crumpled leaf [Source:Projected from Arabidopsis thaliana (AT5G51020) TAIR;Acc:AT5G51020] MGSGEDTGAGVAGGGGGGGAGGVVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVVDALSSEKFSREQARKDPDNYFNLRMLTCPATEMVDGSRVLYFEQAFWRSPEKPFRQRFYMVKPCPKDMKCDVELSSYAIRDVEEYKNFCDRPKDQRPQPEEVIADIAEHLTTIHLSRCERGKRCLYKGSTPPEGFPNSWSGATYCTSDLSIHKNGEVHIWDKGFDDDGNQVWGTKAGPYEFKPAPKSNYDDMFSPLNFSAPLTLEKKIESSFAIDDQ >ORGLA11G0129400.1 pep chromosome:AGI1.1:11:14448898:14449179:-1 gene:ORGLA11G0129400 transcript:ORGLA11G0129400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTDCRSTGGVAMGSGALRRPHCLIHYLSASTLVLTTKFGKPKVIIGLESVSASELWNQPMGVVKSPATVLSMESDQLKGIYPEESEFNKDA >ORGLA11G0129300.1 pep chromosome:AGI1.1:11:14442062:14446397:-1 gene:ORGLA11G0129300 transcript:ORGLA11G0129300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1R0K1] QVEASTNQVGEQRMQLYNLPWKILCEVMNVELKAEPDTDEVYAQLTLLPESKQQEDNGSTEEEVPSAPAAGHVRPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQANVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPYDRYMESLKRNYSIGMRFKMRFEGEEAPEQRFTGTIVGMGDSDPAGWPESKWRSLKVRWDEASSIPRPERVSPWQIEPAVSPPPVNPLPVPRTKRLRPNATALPADSSAIAKEAATKVVVESEPNGTQRTFQTQENATPKSGFGNSSELESAQKSIMRPSGFDREKNNTPIQWKLGSDGRMQMSKPESYSEMLSGFQPPKDVQTPQGFCSLPEQITAGHSNFWHTVNAQYQDQQSNHNMFPSSWSFMPPNTRLGLNKQNYSMIQEAGVLSQRPGNTKFGNGVYAALPGRGTEQYSGGWFGHMMPNSHMDDTQPRLIKPKPLVVAHGDVQKAKGASCKLFGIHLDSPAKSEPLKSPSSVVYDGTPQTPGATEWRRPDVTEVEKCSDPSKAMKPLDTPQPDSVPEKPSSQQASRNMSCKSQGVSTRSCKKVHKQGIALGRSVDLTKFKGYEELIAELDDMFDFNGELKGPKKEWMVVYTDNEGDMMLVGDDPWIEFCDMVHKIFIYTREEVQRMNPGTLNSRSEDSHANSMERGSVGREMRGCLSTSSLNSENC >ORGLA11G0129200.1 pep chromosome:AGI1.1:11:14428874:14431696:1 gene:ORGLA11G0129200 transcript:ORGLA11G0129200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRFHGAMWMQDDGGGDQEHGQAAPPGQEQHHHDQHLMALAAAAAGGAGFRAAQAPAPLLDEDWYFDAAGGGGGGAHGSMMLGLSSVHGGIGAGTSGGGHGQQFSLLNMGAAAAPFDVSGFDLGVACGGVGGGGDVVSFLGGGNASNTALLPVGNAGFLGTFGGFGTAASQMPEFGGLAGFDMFDAGAVNTGGSSSSSSAAAAAASASAHVSNTAPFSGRGKAAVLRPLEIVPPVGAQPTLFQKRALRRNAGEDDDDKKRKAAAGAGASALSADGADMVLDDGDDDGLSIDASGGLNYDSEDARGGEDSGAKKESNANSTVTGDGKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINDLQNELESSPATSSLPPTPTSFHPLTPTLPTLPSRIKEEICPSALPSPTGQQPRVEVRLREGRAVNIHMFCARRPGLLLSAMRAVEGLGLDVQQAVISCFNGFTLDIFKAEQCKDGPGLLPEEIKAVLMQSAGFHTMI >ORGLA11G0129100.1 pep chromosome:AGI1.1:11:14380636:14384292:-1 gene:ORGLA11G0129100 transcript:ORGLA11G0129100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TARGERQARALAVFLRSRGARLAAAYASPLDRARATAALVCRELDFPEEQIQVSDALTEMSQGQWEGCPKSEVYTPEMVNLMDSTQPDFSAPAGESLRQVQFRMMEFLNQTVVRLPEKVAMGDSLSQQNEAKGLSRQSSTNSVQDGPPWDLLYRLNRHSLQRKKSGKSRLQFVTSGDNETEDDFSPKEINQRHILHEANLAPSVTSIAIFSHATPIRCLVAGVLDCNPMISQRICIEDSSITVLEHSLKTGWQIKRLNDTAHLRLL >ORGLA11G0129000.1 pep chromosome:AGI1.1:11:14367251:14372378:1 gene:ORGLA11G0129000 transcript:ORGLA11G0129000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal domain phosphatase-like 3 [Source:Projected from Arabidopsis thaliana (AT2G33540) TAIR;Acc:AT2G33540] MRVTVTPKDEERLVVLMARERPRSAVVAPGGDLVTAGGGGGGGGGGEGSDGDSSGSLEEISADDFKKESSAAGGAAAAAAAAAAQQRSRVWMGYNIPRSYAPAFHSFAWAQAVQNKPLVPRAVDAADEDEVEHVVDTSDEEKEEGEIEEGEAVQTTTTSSSSPPCAQPPETIDLDSDAPEKSESMVAMDGGGAAPAGAEEEEVDFDQRVGSILEELEMVSIEEAEKSFEGACTRLRTCFENLKPLFPESGSPMPMLDALVQQAFVGIDTITTVANSYDMPKREQTKNMLLKLLFHIKNRYSDMLTPDQRDELDSRVRQLVFEDGKDNANGPNATSTNAAAPCGQVLSERLPFESGAGNSFSKVEIPAKNRMVSPLLDLHADYDENSLPSPTRDSAPPFDVPKPIGYGALPMALDRPSVLERVEPAKNSSYQSFNDALKAVCYYQQKHGQKSNFASDDLPSPTPSGDGDKSGDKGGDVFGEVSSFSASNKIALPIVNQMPSRPSTVSSNSDSFAGGPPGYAKQIENSVSGSNHLLKATAKSRDPRLKFLNRDTGGVADANRRVNFAEPNPSKDRTMGGGVSINSRKNKAVDEPMVDENALKRSRGGIGNLRDMQPTGRGGWAKDGGNISSYSSDGFQPNQNTRLGNNTTGNHNIRTDSTLASNLNNTTNNSGTSPGIVQAPQTNSAPQTSSAPAVSLPAMLKDIAVNPTMLMQWIQMEQQKMSASEPQQKVTASVGMTSNVTPGMVLPLGNAPKTTEVAAVPSVRPQVPMQSAPMHSQNDTGVIRMKPRDPRRILHSNIVQKNDTVPPVGVEQAKSNGTAPPDSQSSKDHLLNQDQKAEQLQAIALPSLPVTSSARPVTMNANPVSNSQLAATALMPPHGNTKQTSSSVNKADPRLAAGQNESNDDAATSTGPVTAPDAVPPASPYGDVDHLLDGYDDQQKALIQKERARRIKEQHKMFAARKLCLVLDLDHTLLNSAKFIEVDHIHGEILRKKEEQDRERAERHLFCFNHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKVYATEMAKVLDPTGTLFAGRVISRGDDGDPFDSDERVPKSKDLDGVLGMESAVVIIDDSVRVWPHNKHNLIVVERYTYFPCSRRQFGLPGPSLLEIDRDERPEDGTLASSLAVIERIHKNFFSHPNLNDADVRSILASEQQRILGGCRIVFSRIFPVGEANPHMHPLWQTAEQFGAVCTNQIDDRVTHVVANSLGTDKVNWALSTGRFVVHPGWVEASALLYRRASELDFAVK >ORGLA11G0128900.1 pep chromosome:AGI1.1:11:14355407:14357377:1 gene:ORGLA11G0128900 transcript:ORGLA11G0128900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:I1R0J7] MAAALSAVVSAKLGCPPAAALGSWKGSRISSRNLVSMKTMTARRGLVSLRSPRFRVCCAAKAETVGKVMQIVKQQLALGEEAKLAPESQFTELGADSLDTVEIVMALEEEFGITVEEDNAQSITTIQDAADLIDKLVAGGGGKPPAAA >ORGLA11G0128800.1 pep chromosome:AGI1.1:11:14352356:14352634:-1 gene:ORGLA11G0128800 transcript:ORGLA11G0128800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEGAAPAFQSVRFSSPPLPSLTAIASASTEHLRKRRRIGEECGGGPRSVALRQGLVAAFTSTSHIRSGMRRSRIYFQDEDNGKKMVPNF >ORGLA11G0128700.1 pep chromosome:AGI1.1:11:14348845:14351261:-1 gene:ORGLA11G0128700 transcript:ORGLA11G0128700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATALAAVLLLLVLATTAEAACSVSAIYSFGDSIADTGNLLREGPAVGAFASIGTYPYGQTLRRPTGRCSDGLLIIDYFAMALNLSLVSPYLEKGARFESGVNFAVAGATALDRSYLLQSGVVMPPASVPLSSQLDWFRSHLNSTCSSHQDCAKKLSGALFLVGEIGGNDYNYAFFQGRSIESMKTYVPQVVRSIMDVAKEVIELGATKIVIPGNFPIGCSPSYLSLFSTAISGDYDDRGCLKSYNSFAMYHNDQLRAAIDDLRKVNSDVSIVYADYYGAFMHLLQKADLLGFEEGSLFKACCGAGGKYNFDMNLMCGAVGTNVCADPAQHISWDGIHLTQQAYKAMALSLIMEGFAQPADIVQKIWSC >ORGLA11G0128600.1 pep chromosome:AGI1.1:11:14342371:14343483:-1 gene:ORGLA11G0128600 transcript:ORGLA11G0128600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMEEMMLAHAGAAGEFSIILDAPLPSLHHYRRNPTPDAAARRGGGGRDEVPARLRREGSGHDAAAVDDLNAAARSRRGADRYRDGAGAGRPRADRYYRGGEEEERVEAPVRLVAPRGGARREGARGGGGGDAPPPPVRPASAEGKPAAAVVEEDTALQLLARGRGGRSSSATRRVEEERPSSRRSGRERAGDTGAIKAVEPEKPAAEVEAEVVGRWSRRERDDGGEEAAVSRKPLAAAPVVVDEEETPLQPLARGARSSSAARRVVQEEPQVVEAVAARPSSRRSRREGNGDAGVKAVVPDAAAEVEPEIAGRWSSRRSEDGSEEAAAVLPKPLAAIVTGARSRSNSPAISNVNDDSFLLLVQAIGLK >ORGLA11G0128500.1 pep chromosome:AGI1.1:11:14335672:14342037:-1 gene:ORGLA11G0128500 transcript:ORGLA11G0128500.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSALLADLGRNGMDTGAANRPPSTGRSTFAPPVGVNIRPLQAVEMPNGTPRERRAIYPDPTFAQSTRSRDSHDSSTITEELEMLKDENVNLLEKLGLAEERFRQSEARTRELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNDKSEEIATLQKQLQSAREKEAAAVQKLQEAESETKSLRTMTHRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISMSKHEYWSSFAPLPFEYVTAAGQRAKDGSLRSGDDLEDTERFVHELTVTAGEGNIETMLSVDKGLQELAFLKVEDAVLIALAHHHRPNVAELVDPDIKSSGDEKFTEAFDLSKEEEEDVLFKQAWLVYFWRRAKTHNVEDDIAEERLQMWIDRHGQQPTSHDAVDVDMGIRELRRLGIEQLLWELSRREANSTKEEELNSTKEELKNTTTKDEMDTTNEESSDVEDLT >ORGLA11G0128400.1 pep chromosome:AGI1.1:11:14310989:14322470:-1 gene:ORGLA11G0128400 transcript:ORGLA11G0128400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCSVAAGPAAAWACSSVNTCARNSYPVELEPEPVKEREKGYVDLLVDASLLLVSNDFPPEVQRHGARMLQHLLKFRREELSSFDCSSLIHQDDTDSTNMSNKFDDIIHPRMRCNNGAVPCHQVKGCLLLAEYNILCEAFDVVTSCLRSEQYKGVLHYLLKPLNKIWVQSEWRSTFLHSAFGLTCLFSDHFLEMVYKVVKFCENDLRQSTLESIEMQFCDLHSLLRLMLPFLLQLPWNLVSVAAMVAPMAMVFAMAIVGEIGSYTLTSDWKLRIYLPMPMTWGNHGQLHGGQEDSVAMKTVKFSIAGSVMAPVRSHQRFLQCIHSLWRGQISGSLPDQLESAKSKMSDEDVQQNKTRKLLEEIRLSGYNIIGLSLSIQGAFSDLLDISSFSDAIFEDLGLMHHGRAKVPYYFGKLSGPTENIEKFEDTQLLEFTREVSHLLGVLSSPESNNGLLHYLLTHDCLGSSRMSLFGYWVDDEATTSAISFCLAMDLMGGEKGNAYVENNFTCWLAKQKEDLRAKAFCSAPMEFNGEWNWEFEDEFQRYLPVYFNMLQEVDAMDDSAEGDCSGMNALLQKLNPQFRSKYAIYSSEHPYLREISRMQKGSDRSYSVIERLEENLEIQSTFDAYEVDQSVGEHKDLLLQIVGQLTNAKENKQFQPLTPDPGDFAPHLKPYARFYIQTKLKMSMSVWGLALPRALHTINCINFGIRISVIYLPTWLTILSGSENDTYARAAMQIHLHEDYDNYLASGQLDSYIYSHVSEDFSDEDVENNAVPQKFSVLDHDLIHLSLKRRAQIVDMHNQVCTYSKCLRDLLENESLKDRLMSLMSELNAEGFFDIDSNSVNWDNECFSKLVEKFKNEVFTGHSLPRRYTIQGIIDYWAILQEKDNTWQTFEKVVTGTSTKLIAHLPQFWRDTRYYKHEYYDIVREPLKKSLINEYFADLCVGTGQGNLCRDGATIVDGFS >ORGLA11G0128300.1 pep chromosome:AGI1.1:11:14301738:14301959:-1 gene:ORGLA11G0128300 transcript:ORGLA11G0128300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFGTIGYVKFLSCTSGFPKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA11G0128200.1 pep chromosome:AGI1.1:11:14275091:14285843:1 gene:ORGLA11G0128200 transcript:ORGLA11G0128200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASCTTKRNSQMDVAPVEQDQGLMKINSSDCSGDGASCENETNYTDHGKQSNELGHARISVTGGGNFHQLLAEHTIISEAFLSAATYSWFEKEKDLLSSQLSSLKRIWSQPQWQACLKHLCCHGEFRSAVLKIIKIFEEELSKCREETNMLHQPDQISHSTLMSLVSLIIPPLLKLIRFVHALWTDGAVLRFPEELIEARKMKNVDQILRFRGETLEFLDVWPEELEEGLAQWLQLIRESGYNLLGLCATIKGAFSELLDNSSINNAIMENIRSMEIRHLTKLIDLVIVPFIKHCPHNLWVEWMLKLLLPLFDYCGDVLYYSWFSLVHNGQANVPLFFGYICGSEETVSKMENYLLLDLTRKVSKLLGALASQELNQGVYRAGLVLDMNSASHDFKCTPSTSLVGYILLNDCFTRLSMNLFGWWVDGEAAIDSIPFCHSLVQVAVATNNEKLKRFIKDDMLPAIIRRIYDDLPCAVQKTIRKLSPLMNSINCRKATKDLLVLCQEIYKVYIRCQNLEGEDQDTDNIAYWFDDWLTKQKKELCVKASYAIPDEFPATLWNWEFEEEFQRYLPTYLDVLHEVDTTDCQECCCLDSAKIFENLSLEFRSRHGVRSHTDHVVWMISNLLQRKMPGAYSEQRADRISKWTCELIKSKPYIKLSNGWNNAMNRLKENFVINVDTKPDAIDAVNIFYNSILLLWEPQFHPLIREGQMDVLVEIARQLAFAEERKNYEPLEPDSLDFLDHLQPYAELYIYRKKTESGYFTAIEQVQLHKEFDRYLASGVLDGDICKFSSFQHSFLDCFQGLISPKLLYHVITHPLWFCSLESCESCGSICCRT >ORGLA11G0128100.1 pep chromosome:AGI1.1:11:14263097:14264262:1 gene:ORGLA11G0128100 transcript:ORGLA11G0128100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVITDAPLSVKTKAVDMLECYLIDADVKRMDDDLKYCQGSIRYGFDISSSIKTTFLLFEDNRSAQDTVDKLNLMNHPSIMRSLGDVICFDQHLPNHVLPFPYFDTTYADYLDKKSNKTFEFKRFTPEFIQLTSQVVHGMSALQEKGFCCPNLEGKHIAIFKENNCISAKIWHFCICTGCTGDKHTDWRRLGQLLKKTAVDHKCLTIEIEDLCTKINKGILEGYALILSLFEC >ORGLA11G0128000.1 pep chromosome:AGI1.1:11:14259459:14260181:1 gene:ORGLA11G0128000 transcript:ORGLA11G0128000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGFGSLTFRRAFGESEIEEWNTLREVVDNLELSPNPDIMRRGLTTTESFTTQSPYKAITLRGVRDLKMQEQWKSLSAKDAEGFDMVSEALATTTLNTQRAGIG >ORGLA11G0127900.1 pep chromosome:AGI1.1:11:14248792:14250953:-1 gene:ORGLA11G0127900 transcript:ORGLA11G0127900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQYQILFGNFYTELPNHMRDKNSRGRKVILTCYGNPAYGQHMXRFIFLEKYFGALKAMKLQGSLYXEKWILLELIAAWXNLAIVLARKRVFYIQLNFXEKGXWLTKIRPTXITKGIRTMLVNAKDWWNYLCRNRRQTT >ORGLA11G0127800.1 pep chromosome:AGI1.1:11:14241187:14242439:-1 gene:ORGLA11G0127800 transcript:ORGLA11G0127800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKGKGKVETEGSSRERSISWDDEQTKFMLVWYIEYKKDQHANFIWKSQHHMKCADALNKEFAMGVTSAQVTRHYRHYKENWKIVETALNKSGNGFDAIKCKLTISESEKATLKDRDRRLLSKPIKYFHEMQELFSGSNADGSLAMDQQTCCDVDNKSDSSDDEGLNDISSYARPIDIAAEDSDTLPSPTCADNGSSSTSRAGKKRPRGSKSPSKKQQPKPKSRFTDATEKISNTMDRLVDQLGNPPPPPPVPQFCDPYASLWKRIDALPISTNGKVVVGNYLGRQENEGVRGFLASSADTTVETWVYQFMCDRDGA >ORGLA11G0127700.1 pep chromosome:AGI1.1:11:14237870:14238446:-1 gene:ORGLA11G0127700 transcript:ORGLA11G0127700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKFAHVFSLLGVELGVPDDLVWKNAAADLVAEVVWSHGISLLHDLIPCLVCLSAKGATETELVCFILKSISDNRIAHVSHSGGKPLSLSEFLQQILPFISS >ORGLA11G0127600.1 pep chromosome:AGI1.1:11:14235558:14236002:-1 gene:ORGLA11G0127600 transcript:ORGLA11G0127600.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVKNVHDVVKSWEGQLKRRAEESHAIQMPDKYSYLQCVHALWNREITFDLSKKLAKAKRFGIDEEEGFQEIEMRQWLQDIRESGYVPCL >ORGLA11G0127500.1 pep chromosome:AGI1.1:11:14229346:14232764:-1 gene:ORGLA11G0127500 transcript:ORGLA11G0127500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMSDNTSHNILSQVNGSSQIVITDSKVQKLGSSANYVKYYKGTVKFGKGLRIQTKASVLAFTSCDGEAADALYVRLVAVNSPFTVKPLSYGRGIRRYREYTFLAVTPPSCLLSNYTDGFNDKTVSMKRFTEEFIKITGDIVRAIITLHCQGYWCSGLKGKHVCIYKMEKCTDAKIWSFCFAGGNEAKKSEDWVDLGKLLELAAKRNDSYTAEIEDLCKKLKNKTLRGMKVLKHSALLNVRENFENIIALNLFILVHVKNQPQVPSGDPEKDKIISELVKFMNASLQWAKAMPHWITQRSNYQAPTTETGLSFIDGLRDLFEHENEYIPEKVKQSQSEIQLVGRDPDLECQLRLNLEKIFLQAQNFVLELDIEC >ORGLA11G0127400.1 pep chromosome:AGI1.1:11:14216104:14221239:-1 gene:ORGLA11G0127400 transcript:ORGLA11G0127400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYTAVEQIRLHKEFDNYLSSGELDHSMDEFISSKDEFVEDLIRDESTMAQFSDLNHALLKLSLERRADVLENQQQICIYSECLQRLLEDESLKGYIKRLMNDHKTEGFFDTNDDSINWDKKCFSDVVDEFSERVFSGHSLPKHYMIRGIIDCWLIFTRKGNSWQDTFEEVVVEACERWTENREKVLIL >ORGLA11G0127300.1 pep chromosome:AGI1.1:11:14200878:14201084:1 gene:ORGLA11G0127300 transcript:ORGLA11G0127300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGLHPQMQWISYVTQSGRLINIMMTKVNHTGKVYHMRAKRQMAQSLGQIAKFNRRYQQESEENKEK >ORGLA11G0127200.1 pep chromosome:AGI1.1:11:14193295:14195965:1 gene:ORGLA11G0127200 transcript:ORGLA11G0127200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLEYMYDIIQSCCSFQVFYGFSPPHDKCTVLVSIGLDFAXLCYVARLSPSIISVLIALDINQSIFMVSQDCFGRPISSAPDAWFDVVERYSNDSNKTLKRTSNTTRCLNLGSYNYLGFAAADEYCTPLVIESLKKYSPSTCSVRVDGGTTKLHTELEELVARFVGKPAAILFGMGYVTNSAIIPCLVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNSPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGQSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIQHLKLSCPAHIYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLARIRENSNFFRSELKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQKVAVVTVAFPATPLLLARARICISASHTREDLIKALDVISRVGDLVGIKYFPAEPPKIAEADHDKLE >ORGLA11G0127100.1 pep chromosome:AGI1.1:11:14187736:14187957:-1 gene:ORGLA11G0127100 transcript:ORGLA11G0127100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTIELLCAYEMRSRIWKESKFGTIGYVKFLSCTRGFCKMFRIPSMSLVRGFRLPTLCINRGGA >ORGLA11G0127000.1 pep chromosome:AGI1.1:11:14180282:14180641:-1 gene:ORGLA11G0127000 transcript:ORGLA11G0127000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQRGTEGGGSLALPRAAPAAGSSGRPAGLSPALPRMDLAAGGAVATADEEEEEVAGNGILGSTTAGSVPPDDDEEEEAAAGSDAASPTRTRAGRICAALAADKEEEAAAGRRSAGF >ORGLA11G0126900.1 pep chromosome:AGI1.1:11:14173070:14173849:-1 gene:ORGLA11G0126900 transcript:ORGLA11G0126900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTFREEGALPSLIQLVSLGTPRAQELALGCLQNLTSGDGDECQRLKVEAFQDGALGCVKDFLESCVGDEPGLAPAFGLLRNMASFRYIAEIAVSASFVDHVLAALGSDKAATRTEAAMALAELCNVTSHGKTRRDVGDAIPRLIWMLEAKPAAERDAAARALAALVAASGYRKLFKKEEQGIVNVVQLLDPSTARGGVDARFPVSVLLAVSPSRRCRKQMVAAGACGFLQALLAAEVDGAKKLADCLARGKMLGVFPRS >ORGLA11G0126800.1 pep chromosome:AGI1.1:11:14161337:14163773:-1 gene:ORGLA11G0126800 transcript:ORGLA11G0126800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosomal targeting BRO1-like domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G14020) TAIR;Acc:AT5G14020] MGCGASIPKKCKVGGKGKKRRSVIQEVAVFVPTIRIPVDSDVAHPLKGLVSKELVDRLSKFRDRVVALSEDIYCADVSDVSELQHALEEYLPVVLGLTMKESRLESSVEFRWRTLDDDQECCLSSAWYEVLSVIHMMAMLALFEANLILIPKNGQVGGERKVSEDAKKDVVDSLLRASGCLDYCVHRILVQIPAQVKKSFPSYFQEGMLEAISIQALAQCVEIQLGLASECEKATLSVKRRLACELVSYFSQAHYCLSGCDTSDSFGKKLLLFLKWKCMEAKAVAYYYHGLVLDKGNEASSHISAVCCLSAADDLVADSKRACLSFCLANPITRVPPPWGIMRNMHKKIPDAACKRFQMYGYLFEQDNNSALQSLPDLPEFALSLRPEGYELPSTDSIWDNVDSQPQIQSLKEHLDDEDEVETK >ORGLA11G0126700.1 pep chromosome:AGI1.1:11:14144938:14146789:-1 gene:ORGLA11G0126700 transcript:ORGLA11G0126700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHSSAAAAALFTGFLALATLVSCNTEGDILYAQRLAWKDPFNVLQSWDPTLVNPCTWFHVTCNNNNSVVRVDLGLAGLSGPLIPQLGGLSYLQYLELYGNELNGSIPAALGNLSSLVSLDLQGNLLTGAIPDSLGAISTLRNLYVVYVQMLPDTSFSKDYGEICLLFGFENFVFCRRLYGNNLTGTIPQSLGSLTSLVKLELQKNSLSGTIPASLGNIKTLELLRLNKNSLTGTVPMEVLSLVLVGNLTELNVAGNNLDGTVGSTGWRVTTIIQDNLKTSG >ORGLA11G0126600.1 pep chromosome:AGI1.1:11:14140442:14142471:-1 gene:ORGLA11G0126600 transcript:ORGLA11G0126600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHSAAAALFTALLAFATLVSCNTEGDILYAQRQELKDINNVLASWDPTLVNPCTXVHVTCDNSNSVIRVDLGSAGLSGSLIPQLGGLSNLQYLNLHGNNLTGTIPQSFGNLTNLVRLELQKNSLSGTIPASLGNIKTLKFLRLNGNSLTGTLPLEVLSLVLVGNLTEIDCNYPGQTEDLRLRPRSGLIFSRMLENGSGK >ORGLA11G0126500.1 pep chromosome:AGI1.1:11:14128810:14135609:-1 gene:ORGLA11G0126500 transcript:ORGLA11G0126500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPREHVERIRRERFFIGRGERNPLAEDMHQAVIYLSQEIYSKDVHFLMELIQLSLWGSTFGVSDNVKGYYWFGCTIHFTHLQQREGFSPSNVESICRVGKSTKKGNRHQGYIGEKGIGFKSVFLISRQPHIFSNGYRIKFNEDPSSECNIGYIVPEWVESKPSLSDIQELHGSSKPLPTTTIILPLKSEKVDVVKKQLSSIHPEILLFLTKIRRLSVREDNSDPKCSTINEISMESEKNYQVRKNIHAESYTLHLSAQENKEQEECGYYMWRQKFPVKPENRVDMRADIDEYVITLAFPHGQRLSRGKQSSPGVYAFLPTEILTNFPFIIQADFLLASSRETILFDSMWNKGILECVPSAFLNAFVALVKSSADAPAMSLPSMFNFLPVHPSHVPFLEPVRSAIKDKVCTENIMPCESYTLQKMFCKPGEVGRIKPGFWTILKKAQECGVDLKNLSAHGTYILSCHFDKSTYDSVLAFLDVKNVSAKWYAKCIEGSNLVYELPEELYIEFLYFVATNWDSFSSTSMKSIPLLKYVDRYGAPTFWSIYKASQSSGRLCISSHKKYIQWLISWNQEFPSCNQFFMPLSTQTALYDFSKNTFVTKWLCRHVNVQVVSVHGYALNIIAKLLDHDRRSIVAFAHFLYHSFKMGHIEGYFVTQLCHAMPIINIYGKVVKTKTNIVVPAKGSKWVRLMGTNPWKDEKYTVLAADYMSSGSFARKSTPDGRLFKFLTKHLQVSDVPSIDPPDASFPTVSSQLTVDNALLLLEWLRNLKSRGVELPAKFMDCIRRGSWLVTSVGDRPPSESFMSSAEWTGLLQIGSSFVDIPIIDQQFYQNKLNVYKEELKTIGVRFEFQEASVYIGSHLMSIAESNMLTRDNVYSLLQLIRFLQENNLSTSALVDSVDSGQWMKSTLGYRSPANCIIYDSDWAVASRISILPFLDVQFYGDNILDYKPELELLGVLVGFKDNYTTVIDNFEFSSNAISSEATVLILKCVRYVSPCDDFITKLKDIKWIKTNVGFCVPSESFLIDPEWECLLKVFGEVALIDLGFYGSVISSYKEELKKTGLIAGHLEASNALALLFKQMVSKSSLTKANVLALLASYRQLKSHQLSPMKLFNCLRDEKWLHTSQGFRRPSDAILFDESWWLLSPIANLPFINDEDTGYGLGLEIYDYTDELKDLGVTMEVKDGANFVIVNLKIPNDQSAMPAYTVLSLLECIQNWIACQVSLPKDFLDKICKKWLKTTMGYKSPNECLLFDHKHSAICMEDGPFIDEVFYGSEIASFKDALAAIGVVINVENGCDLVAQLMKFHSCSDTISRIYMYLMDCNWKPVNNSSNWVWVPSGIQSGEWVSPANCVLHDRDNLFSSQLHVLDKYYNKKVLGFFALVLGVRFNPNAEDHCKLWSKWEASVTELTMADCSAFWGFVLENWTKATENLLSACVTKVPVFNEGKIILSKKEDVFIPDDLLIKELFDKLPQESIFIWYPPASLPYMSRARFNCIYNSIGVRTISESVEWNESFTLGDTGLQEVNVSTVIKHGLLQIVTAFLANPVLDILAKERHKMVSHLLSVTILDTNEPITAGYSVKLSSGRHRCDQETSHRGKIEFATYFADEISQGLLFEMEDHIPELTELVKFGYLLDFQDSAVEFLLKSKNLQLFPEDEEFLDSAMWS >ORGLA11G0126400.1 pep chromosome:AGI1.1:11:14106254:14113691:-1 gene:ORGLA11G0126400 transcript:ORGLA11G0126400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLFRARASGRANNGSAAMAIVTSVVDLCPDQIWVTPPQPLSVLHASSSGIDRWSAAGPSISSAQRCRMLKITSTLLEXHLHWSFWLRRMILLDLAHQLLCSFSTMRRASPQPIXNPLFVWGSRRKRVIEIKATLERKVLGSRVSSXYRASPIYSVMAIRLSSMRNLVLNVVLDTLCLSGLNPDLVFQTSEQYMGHPKYFLQQLSYYLXRVRKFIQXRNSCQACILKCCCFCQRSGNFLLRKRMSIQSAALLVRFQYLVKRISKNGRTCMQSLTHSIYQLXRMGKERKSVATICGGRSSQSSQRIEWTSALKLMNGSSPWPFRMGSAYLAGSNCRQVSMHFFPLRWLQTSLSSFRQTSSSHLQERQYFLIVLGTREFLSVSQVLFXMLLLHXXSRELMPHQCHCRPCSTSCQLVLHXYHCWSQLGLASKTRFXLRILYHASLTLHRRYFASLLLLGGSNQTFGISSAKHRNPEWIXRISLLTVPTFSALILIRVHITACLNFLVSKVXILNGMLNVLKDLILXTRCPNSFTWKLYLLLQTIGKTVSPAQTCLPFPYXSMSTGMMFSHSGAYQRLVNIVINYALLLISIYHGXSVGTRSFRLQAGSFCLPIHKELXKISHRRQKXQIGFRIMQKWSLYQSTVMHSXLLIPWVLIGGLXLLLLTFCITQHRRNTXKATTCQICCRLCLXLTTMAVSLRQEKASXFLPRVASGLDXWAVTHGGTKSMLNCHQITSQRTILLGSAHLKTSSWHSSRHSCRPQMCHSXTHQMQVFRLSHHLXQWTMQFCYWSGXEILIQKVYNYQLDSWLVXNREVGXRLQLGTNHPTNHFYLEQSGEAFCKLDLPLLIYQXLINSSMETSCKSTRRNSRRLVXDLNFGRHPLILATASCLWLKIICSPERMCTHCFGXLGLCERRFFLQVSXSTVSKMGNGXRLILATSLLLIVSXRIQSGKWHHVSVTSPFLMXSSMARPSFLIYKSLSCLVFLLDLKIITILSSTTSSSVRLLLLLKLQYXSSSASGMXGHVMIFXISSEVXNGXGPTWDSVLRTNLSLWTLNGNALXRSSTGFQXXILDFMGARLVHTKKSXKRLDXSQDLRRRRRLXLIFSSRWYRSQHLQRQIFLLFXHLIGNLENTAQCLLSCSTACARRSGCPHQLDSKLPKMQSCLMKNGSLCHPXQIYLSXMVVTHSMVXARRYMVIKMCSRSXVLLXKXNLVLGLLSQVSTFPMIHCPKLPFWHFSSASVSTWHLQLHYPRASARTSPARSGXRQPLDTDVLMSVSFLIQSVLASVRKMALSSMKHSMVQRXPHSKMYSXKLELYIKRGHDLVARHLRNHKDSATISRIYMYLMDCNWXPENKTSNWVWLPNGSDSGEWVSAPSCVLHDRDNLFTSHLHVLDKYYNKKLLDYFSVFLGVRHGPSAEDYCKLWSTWESSVSELSIADCSAFWKFIATNWGQNMNKLLSGCIKVPVCTDGKIILSTKKDVFIPDDLLLKDLFSKLSQQAVFIWYPSSSIPSMSCARLNNIYSSIGVGTISKVVRKNDSFTLGSGSLKTVGLNMVIKAGLLQLVLAFLADPALDISTKERHKMVSWLLNVTVVETDEPITVAYSVSLSSGRALDVKASRMLRWERDNYKLYMQRSRDAAGYKEKIEFATYFSEEISRGLLFEMADQIPSLAELVKVGSLLDFQDAAIDFLLKSEFAAVPXRXGFPEGFSARWW >ORGLA11G0126300.1 pep chromosome:AGI1.1:11:14096398:14101898:1 gene:ORGLA11G0126300 transcript:ORGLA11G0126300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKPTSLSPGTSRFPPSPGIYKFRPAILWDSARHNRFSARNRFSVLEAISDEDDDSLTERDSTTSDSCGEDNSRKDPPLMEDGEIVNPLVRTLLELFSEEQISEIPLMETYIRRCGISEGMGSHLIEENNFLFGAFTSIVACPWIKKDTVLLSSILLRLSEIWNQSEWVTNLLDFFEDAQFRTSVYNVVAFFEDQLTMSTTENSNGIDHKEKLSYSTLFALLPLLLPLLLKLLQYVHSLWTDEVASNISEKLEGAKFIIQAEKPFGIIEETTEMLDMNEEELLENEIRKWLKKIRQTGYNVIGMCACLEGAFYKLLDNISVCDALLKDLEVMEFRHLTMLIKHTIVPLVKNCPAELWLKWIDMILQPVFHYCDDTLDGSWCSFLYKETMLVPDKFCHISFTEEKIEELGKDHLFEVTREISYMLAVMALPELNGGIANEHQSIVSIVETSADLESTCSSSLVGYLLYHDDLRPSILRLINNIIGYWKDSEARIKVVSFCHMLIQLAISTHNDKLISFVQDNLIPMVVRCLIFEPISNNNDLLLLCEDAYRCIQREESVREGQHDGNTAEIFENWLSKQMIVARYVHSPPDELEDFVCIWEIEEEFTAYLHTYTEMLHKVDGIGDTIEDVYLRCPIPFVSKHDNNCCPISNSWAMSSMLSRKITSMYCKRETEQKFKFLCKLITFKPYIKCSGYDESVQELVDDDSEVWSALPGCCRQETLELFCRILDPWEPQFHPLIREDDKEMLREIAYLLTSREDIHCVQSFQPVSSDFLLHLQPYAQNYIEVKNASSGYDRVKEQIRLHEAFDTHLASGSLDDRVRQISSSKDNFVKIMLDDDTLHSQFIDLDYDLLKLSHERRAKLLSKQDQLCLYYKHMKCAVVNLQVCDLYVLLVLNVH >ORGLA11G0126200.1 pep chromosome:AGI1.1:11:14081613:14089915:-1 gene:ORGLA11G0126200 transcript:ORGLA11G0126200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKKVNKLSVANLTSDDSFYPVKVPGNSKIEIQSRVRNLTVYASKIPGSVAYNAMLLYSEGQQEKCLAVRRRSEHAHTLYEILRNCSHRNIIQPMGVWEEMETNLAFIVFPCSDGVVTSIPKEALFDVEDATNAESYTFGFSDQGCRIFREICMAVRYINVLYDEEKIPLKALDLDESKIFYQSKAKGDYHVLLTDIKMEISPTGNVRKNRRAKGKVSSTGVPTVDDVKTANWNGLGQFLKKLHKDLKLHIELSHLSEELGKESVKYEDLVWEPGLWESSTKVQLVRDVYWCYNKNKNRISTLKNKTALGLKSCIDKLEVNKSRAPDKQINDDNLYESLFFLRVYMVAHKDDTIKGYSGTMEMMHDKKAIVRLLMIERPEYMVTLISAIRKLGWIRQSPFLRLDNQYM >ORGLA11G0126100.1 pep chromosome:AGI1.1:11:14024842:14025171:1 gene:ORGLA11G0126100 transcript:ORGLA11G0126100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTSKLEPKDQLDASAPSHHRRFASDLFGRATRKNHHNGGKSGCESGDAAVPIAGRQHEEEQPRQGKKGGRGKREVEAGSPSFRYYCENAAAAAFGEHRGQAPTTQI >ORGLA11G0126000.1 pep chromosome:AGI1.1:11:14017410:14022035:1 gene:ORGLA11G0126000 transcript:ORGLA11G0126000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHXRPSSSPFHRGRRGGSGSGDWRRQRGVGRRXRRGGIRCRRVPXCXIPPDGAASWRPSAGSLQRRRGSPPPCXRRSGGAGRASRXVTWXGGGXAPPIGWRHTAAEVNDVDDALLGIHHLGDGQVGHGGSETDDRAHRMEPLARVVEMVADIVACMRWRERHTPSHGRMNRSASASVNGGHQPLQRLSPAAAAGEVNDATXPIVDLHLARPVGQEGGDGPQKPHHENVPFPVTSRRLIHPPPCSRRRMWPPPGAQQQVHTPRCSRWWIQTRGRGSVSRGNGGGEDGDKVEDVEDEKNRRRRRRKKGLRWGSTK >ORGLA11G0125900.1 pep chromosome:AGI1.1:11:14014750:14016623:1 gene:ORGLA11G0125900 transcript:ORGLA11G0125900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPAVGMSHPTEGELVFHYLYRRAVNMPLPSXFICDVNILRHNPWDIVPGSEKPVYYNQGGGSDCMLVGMRGTLTFYFGNSRTAERTKWGMQEFRLAGNGLSPYPAMKHATGDGSKPPCNCAETTIAKRNDGLSAVLRNVLAVTPLVEIVVEPDGSWLICRIYRTRQRALPVITPPAIENAREIINPPANGNAREAQVHFIDFLPQGSHIESSSPCSCIVGPSLAEGSDESAGSVDQKD >ORGLA11G0125800.1 pep chromosome:AGI1.1:11:14010197:14010969:1 gene:ORGLA11G0125800 transcript:ORGLA11G0125800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPQMSLPYDFITDIDILHHNPLDIVPTRQEKKNGKHFFTRKEKKHHGDNCRNHAADVGFWRKSSFAESMEWAMQEFQLAGSYLLPCFVMRFATSDGTEQPFGCTRVTIANMRHCILTPYCCFIG >ORGLA11G0125700.1 pep chromosome:AGI1.1:11:13996337:13998859:1 gene:ORGLA11G0125700 transcript:ORGLA11G0125700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGVCINLVNGTSTRLPTNADLVVHYLHRRAIQEPVPCDLSTNVDILQHNPWDIVPAEEKTNGKYFFIHEENERLGNHHSNRAAGDGFWRPAGSEVPIYHKRSGGADEALVGMKRTLVFHYGNSSSAKRTEWVMQEFRLAGATLIPCPVTRPATGDGSMLPCHRTGTTIATENNGSPSAGQTHGPLEKTMVEPDSSLRICRIYKKRQRTPQFIIPPSIGDARELILALPTIGNTREVALALPAIDFLGQPSFEEGSDVSADVITDDKDGYGHGMN >ORGLA11G0125600.1 pep chromosome:AGI1.1:11:13990301:13992206:1 gene:ORGLA11G0125600 transcript:ORGLA11G0125600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTGLSIPMVNGATINLLPGFRFRPTDDELVIKYLYPRAFHVPLPCAIITDVDIHQHNPWDIVPVAEREKGKHFFTRKEVKYPGSRRSNRVAGNGFWRAAGSEVPIYYKPEGAADDMLVGMRRTLVFHYGKSRSAERTEWAMHEFQLAGAGLLPHPMMRRATSNGSEPPCGCLEATIAKKSDGLSATLRAKRDSAPLMRIMVEPDSSWVICCIYKKRQRAPPVVIPPVIGDVGEAIIPHAIGDAREGQLHFIDFLGQPARNDPSSPHSCTIDPSSLEEGSDESAGDGEDKDGDGMNEAN >ORGLA11G0125500.1 pep chromosome:AGI1.1:11:13957431:13959062:1 gene:ORGLA11G0125500 transcript:ORGLA11G0125500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XRRRRRRQKVEEKARNRVRSRXEMWLSRXIQKXRKERIVSLSLMMMKMICWSLMRSRGXLGTRKGMIKRVVHWXKFALGALKRDMVLLIVRWMYIVISATVACMSTTNVQSXSFQSRWCRAVGYAVEGLGFQHIPHQPLQRNKKTTKKALVHVVGGALSVERLVTLLHKLCPTKWKWELVPHGKDAFVVLFPSKGELQWAINFGGADVKEGGVATGVRAEFEEWFEEEEGFLLPKVLDVVIEDRSFELKFEVEKKGVDENGEEVEFNLEDXDGDEEDGNVEXEKSGEDNEGREKGPKMSKVDDMVIDDNHDRSEGSKEAQEGSDLEKEMDFSMMAENVLDVTVQDVLEEVYERVEREEMEENEAGVQQEKIVLLANVGEVLVTPKRASERLMGSSGRHSLEKAKSKKAWMNLDPLSGNEFKNSWKVVVSNLKTXVLWLLFWXKIMVGLVVTQT >ORGLA11G0125400.1 pep chromosome:AGI1.1:11:13953263:13953496:1 gene:ORGLA11G0125400 transcript:ORGLA11G0125400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPSCAAEMRRKGGVVTTTPGAKTMATVAPCGLRNGRSALAHRIEDAEHWDWRPQPLRWRAHRLRLRYLMAAALQ >ORGLA11G0125300.1 pep chromosome:AGI1.1:11:13943983:13944342:1 gene:ORGLA11G0125300 transcript:ORGLA11G0125300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRLPESGWIKLNSDGGFAADEQMGSGGVIVRNDRGEFMGASRIFFGEVLSATHAEALACLEATRVGARLAATRVVFETDSVEVVSLVMNKSFDRFEIGPVIQELKRGIQSFQDFKLI >ORGLA11G0125200.1 pep chromosome:AGI1.1:11:13917981:13918769:-1 gene:ORGLA11G0125200 transcript:ORGLA11G0125200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGTDHRKKEEAAAASAVEERKKKKRPAAELAAETSSEEADSEAAGADRRRPVVGDPRRRRAARQHGEDRRPVHAGAPEADAARRSPRRRRVHEPHLRQEEGDAACVPIVILLCATXSVPKYLGLGIRSKYLILINVLQFACS >ORGLA11G0125100.1 pep chromosome:AGI1.1:11:13913509:13914631:-1 gene:ORGLA11G0125100 transcript:ORGLA11G0125100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPAASCRCSGQEFVRTVGVTYQEKLATARAAMSQCARSPKLNRPGDGEAVEGAHSELLARQEPMSPAVKLASGGWQSSVTSTPEPSSSRLPNGEIYGRNKLILSPPSIWRVLNASLNLKTRCQWVIPDTDNSHRCSHLERGIITELVLAASGPED >ORGLA11G0125000.1 pep chromosome:AGI1.1:11:13903807:13912158:-1 gene:ORGLA11G0125000 transcript:ORGLA11G0125000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWRRGRSLRPLLRRGLLVAAVCAASLLLLVVLHLHGPELPSSSTARASPFRGELSEARDSDDGEAAAAAVEAGGGASTTGAACATVERMGEEAAGRGSPEAASLRVRELIRRHFLLHGASRVRELPAYEFCKQGFVLGKASEAGFGNEMYKILTAAALSVMLNRSLIIGQTRGLYPFGEYISYTNHSFTIGEVKHLWRKNRCARTYGRDLSMRVDNFEHPTETNVLCSDWNSWKDPIIWFDGTTDAVGIQFFLKNVHARMKTAASSLFGSPGSLRARPNTFGELMQVIISPSQTVEKAVQWALKGSSLDIVLHMRMMTNRPVRARKAAVNCIKKAIEICHLKGTPRVAVISDTPGFAKDIKQDISEFAEVIYFDHKKFSRSFDLEITGSEKALDFRSRDWGSAPRWAAFVDFFLASQARYAVVTGAHRRVGTTYAQLIAALAAANRFGRESSGTNFTFLSSVHSNLLVDGLSSQGGWGHIWNRYAGPLSCQHQQHQCALTPLLAPAWWDGQWQSPIPRDVRRLLEYGVRLSNTGEVDERHLMSYCRSRKDHVKRYRVLPSYNSSMQL >ORGLA11G0124900.1 pep chromosome:AGI1.1:11:13892369:13899127:-1 gene:ORGLA11G0124900 transcript:ORGLA11G0124900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAKLQQQQAPTSPTASVSESNIVASTASADPEANDALAGLQALRFDGGGGGGDIDDVEIQSPDIALWESIFADQIGVSGAGADFLLSMSSAAASPRRDFMACSPKRDYMVTTSSPKRDYMVTSSPKRDYMVSSPKREYMVTSPRREMATSPRRATFSNLYTSSHGGGGGGGHHLHHQSYVHGGGMEGGGGGHGAQPQYGGLAGHGKGKAQSPLHKVYINNVGGGSGGGGVKSNTPSTLSCSSSYVVHGGESGLPSLPSMDPFLEEGYLGSYQLPEKAAGGVGGGGGGDINGSGASVSVVTAPGSSQLLPTLSECLAMPEPAAYRGGGDEAVAAAMAVAGELPVGAFVQPELYYGGGGEFGEGMTPPLQHQMAADSSLHSMLGSVIQSEAEQEQDSGLQLVHLLLACADLVSKGDHPAALRHLHLLRRVASPLGDSMQRVASHFADALAARLSLLSSPTSASPSPRAAAAAAPYPFPPSPETLKVYQILYQACPYIKFAHFTANQAIFEAFHGEDRVHVVDLDILQGYQWPAFLQALAARPGGPPTLRLTGVGHPPAAVRETGRHLASLAASLRVPFEFHAAAADRLERLRPAALHRRVGEALAVNAVNRLHRVPSSHLPPLLSMIRDQAPKIITLVEQEAAHNGPYFLGRFLEALHYYSAIFDSLDATFPAESTARMKVEQCLLAPEIRNVVACEGAERVARHERLERWRRLMEGRGFEAVPLSAAAVGQSQVLLGLYGAGDGYRLTEDSGCLLLGWQDRAIIAASAWRC >ORGLA11G0124800.1 pep chromosome:AGI1.1:11:13888245:13890564:1 gene:ORGLA11G0124800 transcript:ORGLA11G0124800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEVRENGAVVEVADGGKAAAAAKLTVKRGEPELVAPAEATPTGEKYYLSNLDQNIAVIVQTVYCYKPPAASGGDNGDAVAVLRDALAKVLVHYHPLAGRLTISAEMKLAVELTGEGAVFVAADAGCDLADVGDLTKPDPAALGHLVYSIPGAKNILEMPPMTAQVTRFKCGGFALGLAMNHCMFDGLGAMEFVNSWAETARGAVELTVPPFLDRTLLRARDPPVISFEHHEFEEIPDVSDTAALYADQDLLYRSFCFDPDRLERVRALALAGAGAENGDDLVGGRCTTFEALSGLVWRARTRALGLAPEQRTKLLFAVDGRRRFEPPLPRGYFGNGIVLTNAVATAGELLSSPPSRAAGLVQAAVRMVTDGYMRSAVDYFEATRARPSLASTLLITTWSRLAFHGADFGWGAPAMSGPVTLPEKEVILFLAHGEERKSINVLLGLPASAMDAFQELMDEI >ORGLA11G0124700.1 pep chromosome:AGI1.1:11:13878422:13879038:1 gene:ORGLA11G0124700 transcript:ORGLA11G0124700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGIGGDGRALPSAAAACGGRSGSRLEPPSLRQIRREEGLRSRAASPSSATDAVPATVLRRARPQVRRPRQALPPPHVACNPTCLLLPLYTQGFFF >ORGLA11G0124600.1 pep chromosome:AGI1.1:11:13848064:13849434:-1 gene:ORGLA11G0124600 transcript:ORGLA11G0124600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRRFFGYDPYDYYYTSSPYDYAYPYYTPAPAATDRRRHASPRFFPAAAADEEYRYGEPVETVDVLRPSSSRRSRARPVSVSVPVQFAGSETKAKAKGCESEMSPPRSVARIGREAAAVRVQAAARGFLARRMVREVRAVEAEAEGVARKVAAEAEALRRDARGRVALGEALMRLLLRLDAVHGAREYRRRVTKRVLALQDAVDALEHVPAAPPVEEEEEEEEARVVADDAAPALNMEEAHDAQEDMMTPSPAQDASQLADDAPAAVDAVDMEENEMAPGSPRAEEEHGVEAEPKPEVEIPAPEAGTEMEVDVGQATGGEAETEKAVEQVVGGEMQEAEEEAEGEWEMVTAESPLEAAAAADDVEAVESSAAPAARVDEPAVQEEEKEKEEGVEMKKVMEMVAALCERSAQQCAVIGALAERVDALERAVRRVEESDRRRRRNKKLKKEAKANS >ORGLA11G0124500.1 pep chromosome:AGI1.1:11:13833035:13833908:-1 gene:ORGLA11G0124500 transcript:ORGLA11G0124500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSLSEQLARSFRAAAGKLLALSLSDLSAGLPFSLDEPGSGSATTVTYYSTMELNGWEVQKLDRFLQEGQGMAREVMREKPDDFVRDETRREFLVLRLYDHLLLRLDATHVSRAGRVCADRLWDSSPPCVSDR >ORGLA11G0124400.1 pep chromosome:AGI1.1:11:13829690:13830212:-1 gene:ORGLA11G0124400 transcript:ORGLA11G0124400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGGPNNFNLKNKSGNPTFTPTSQVQVQSPATKELRTMIRTLNPMDTDGSNSIDFHEFLGLIVC >ORGLA11G0124300.1 pep chromosome:AGI1.1:11:13807054:13807827:-1 gene:ORGLA11G0124300 transcript:ORGLA11G0124300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLREQEKQLVLSFRAAGEMLLSLPYLLPGQPGRSGSSTVTFYTTMELNAWEIQQLNHFLQEGQGIAREVLRAKLPDDIVRDVGRTRREALVLRLYDLLLRLDKTRVSRGGRVCADRLWEIIFDLLATLCF >ORGLA11G0124200.1 pep chromosome:AGI1.1:11:13798831:13799052:-1 gene:ORGLA11G0124200 transcript:ORGLA11G0124200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTIELLCAYGMRSRIWKESKFGMIDYVKFLSCTRGFPKVFRTPSMSLVRDFRLPTSGINRGGA >ORGLA11G0124100.1 pep chromosome:AGI1.1:11:13788957:13789208:-1 gene:ORGLA11G0124100 transcript:ORGLA11G0124100.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCKPISTPIGSTAVLDPDEDGEAVDQNEYRSMIGSLLYITASRPDIQFVVCLWARFQASPSASHRQAVKRIMRYLNHTLEFGI >ORGLA11G0124000.1 pep chromosome:AGI1.1:11:13786610:13786831:-1 gene:ORGLA11G0124000 transcript:ORGLA11G0124000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFGMIDYVNFLSCTRGFPKVFRTPSMSLVRGFRLPTSGINRGGA >ORGLA11G0123900.1 pep chromosome:AGI1.1:11:13784265:13784549:-1 gene:ORGLA11G0123900 transcript:ORGLA11G0123900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRGVVHLKAERRELQRQMQELVEVALRREWHRHNGDRLELGGGATMSGASIRVMQLENRFPFGSCINKTASQNPNIVDFFCDNFDWAVCWK >ORGLA11G0123800.1 pep chromosome:AGI1.1:11:13774925:13776073:1 gene:ORGLA11G0123800 transcript:ORGLA11G0123800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1R0E6] MRHKQKTPPCTVHTILASPMAPTSSVHREGGSAAMDMAELIPTLPLDTWSPPFPLRQYGGYWLPEWVLPGLEAVHTRFEPRPSDVFLASFPKSGTTWLKALAFATINRTTYPPSGDADPLRHRGPHDCVKFFESTFAISGEGGGGDGDVFAALPSPRAVARHSHPLLPPAGAHHVGGGGRRRLRLPDRVRLPGPQGRVRLHVAVHHEQHGEGCHNDHGRTPPGGGGGGGAIDRAGVRPVLRRAEHRWAAVAPRPRVLGGEPEAAGEGPLPPVRGDAARAGAQRGEARRVPAVPVHRRRGGGRGGGRHRRPMQHRPTQERAGEQDRGEEGELLPERGGRRLEQPHVAGDGVAAGQGRRGRAARLRVHLWRRCRRLRMRSHVSS >ORGLA11G0123700.1 pep chromosome:AGI1.1:11:13753920:13755557:1 gene:ORGLA11G0123700 transcript:ORGLA11G0123700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1R0E5] MAAAPGEVSGGQGNGGVTAIAELTISSLPLETRCAPFPLRQHGGFWLPETFLPGLEAARARFEPRPSDVLLASFPKSGTTWLKALAFATLNRAAYPPSGEGHPLRRRGPHDCVQFLESALVVSDDMFASLPPPRLLSTHLPYSLLPEGVKADSSGCRIVYICRDPKDVLVSWWLFTKKALGTQDGPTNGGNKPTLYTLEEELDLFCAGRSANGPYWRHVLEYWAESKRRPHKVLFLRYEEMTRETTSNVRKLAEFMGCPFSGEEEADGVPDAIVGLCSFDHLRSLEVNRNGVSDLNIKNDSYYRKGMAGDWANYLSPEMAAQLDRVIDDELRSCGFSFATGGR >ORGLA11G0123600.1 pep chromosome:AGI1.1:11:13744647:13750120:1 gene:ORGLA11G0123600 transcript:ORGLA11G0123600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFCFLMFPCKAYKSNESLFYNRIFNVLADEQYLYFLNLLVGPVIRAFGGGRKNRRVISIWQLGWKSSGEANSLVKGLMFKLMTTVLLIPLSLLVLHPLLVLYWCIIVPATRLSQQDYGQGDGDSSKANLKPAMMIFYSLALAQGTLYMLWFILNAGNAMMVRVVASKCDFEKSWGRKSVDQYLSDTKFKCLKDPSSIKDMNLIKFAAGLLDSDSEDDYITGARMLVSFIQKQKLPDDQHDHDKGMGRCQLLVQGLLVIERLTCDHNNCILICRDHCLLSHIRYAIRLRKKVTFPDMCPVWPEMLKGSLRAMAYLIAGVTEVELETIESIFNELPLGMIMGHKRFPDIMIPTIALYANLLYYRDTTFPSEHFVETMLPVFLSCTDIEQGEEITSVWTKVGVLAGASLAKLLLKSENSVIRDDIMKGEQVFDGLTKLLTAPNTTIREIAAEILQHVYFHDYTHRLSLTEKEASMNLVAELFRTNSQMGTQAQSGALAITVEAEHDGVN >ORGLA11G0123500.1 pep chromosome:AGI1.1:11:13743238:13743901:-1 gene:ORGLA11G0123500 transcript:ORGLA11G0123500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSELPSTERRSHYKALLDLGWEGDWGSGEFEGENGNRRIFHGVAICHSIHAKILVSFFLLQLRDGGGQWAGINSGGNASVQLGGGGRWWHIRRLGAAGDGEDDGAGASRRHRPEKKERGEGAHQGRGASPVPLTPSGHPTR >ORGLA11G0123400.1 pep chromosome:AGI1.1:11:13736656:13737119:1 gene:ORGLA11G0123400 transcript:ORGLA11G0123400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGEEDDDPLGVNSINERPEVRFINRYVLLQTCVLMAVRGLAFLALTWPPSSFSVALSPCYRRRTSGTSPXSVSFNHPGILLCIHSFVYFTKVFE >ORGLA11G0123300.1 pep chromosome:AGI1.1:11:13721611:13722018:1 gene:ORGLA11G0123300 transcript:ORGLA11G0123300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFLFLTGCGIRRGGEVVAGGGEVRGGERGGGAGEHRREGGVRPGVRGDGAQPVRVRGARRAPPLRGVRELRRRRPAAVPPRPRRRRLPRRRRPPHRALRRRHVPLRLGPRLPHGAGRPARRLLLKNQPSSIVH >ORGLA11G0123200.1 pep chromosome:AGI1.1:11:13712928:13713272:-1 gene:ORGLA11G0123200 transcript:ORGLA11G0123200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTRDRRRRLYSTVRMANGGNREHGEEEENATILINTYAATNGEGDGRRRAPQGGEILVNNDSSVLAFFGEDEMVDGILLAAANPMEAAATEGDDGRCSGASPEIKTRQRTSG >ORGLA11G0123100.1 pep chromosome:AGI1.1:11:13683359:13686940:-1 gene:ORGLA11G0123100 transcript:ORGLA11G0123100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKNGKVIVETDENGVPNDRSGSLLGSYLGKMAQNSTFAPLHIPKWDDDLFVEPQKCIVAHVETRFVYPSETKLLTRDWVLMKVSKGWRSYKHRLKDRYYNLDVRTLNEISEDVPKGVNAIQWVGLLAIWGQDKHKEAKKRGKVHEIDLWDEAHKKKDAHMKAALDMAYHELAKRKTDSSGVLSPKDYDDVFRSVVGKKTKLRGYYDHKNXSHLKVSQGLDVIGQSEEXAMLKLKIKAMDDKLEDMSDDMNLMRTFIEQKYPGEHWRNIVVARKNKEVDISEQVGNDIPHEFENILDKSYENVHPTPNNLQELHSISYTKAKDGRGEPSLPHEHMITKVDIFEQVDNDSTNGFDHNPENLDANVNATSNYVQQVCSVKCTNAKDRRANPSIPYERTNAKQLSLERLAQRTKTSQRNVESSLVTHEHVTNEGYSFGQDSRNDITNGFVNNFEDSHTNRNAIQNNVQRLQSVSSTRPKESYSSQDLPYQNLTTKQSIIQSSVKRPRTSQSCVESILPHDKTKQVPQRKEAVLKHTSSKSGQREVYLFSLNAINKDKLVAKGNLVTTDATHVVGRNMLGNEYYGVAIHTVTNIGDERLPRPPSENCNTLRDAIGYVIAWPRAYDINGGL >ORGLA11G0123000.1 pep chromosome:AGI1.1:11:13654943:13657137:-1 gene:ORGLA11G0123000 transcript:ORGLA11G0123000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1R0D8] MAGMSLQHPWAFAFGLLGNIISFMTYLAPLPTFYRIYKSKSTQGFQSVPYVVALFSAMLWIYYALLKSDECLLITINSAGCVIETIYIAVYLVYAPKKAKMFTAKLLLLVNVGVFGLILLLTLLLSAGDRRIVVLGWVCVGFSVSVFVAPLSIIRLVVRTKSVEFMPFSLSFSLTISAVVWFLYGLLIKDKYVALPNVLGFSFGVIQMGLYAMYRNSTPKAVLTKEVEAATATDDDHSAAVKEHVVNIAKLSAVDVVVKTREVHPVDVESPPAEAQSVATGEAPPQQDDKAAAVAVSGAGAGEKKEGQEQV >ORGLA11G0122900.1 pep chromosome:AGI1.1:11:13599774:13600667:1 gene:ORGLA11G0122900 transcript:ORGLA11G0122900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMKMISPIKADEDVGGGKDNDTNQRLWRHPEAKIAGEIIRVAEIERLL >ORGLA11G0122800.1 pep chromosome:AGI1.1:11:13592119:13593390:-1 gene:ORGLA11G0122800 transcript:ORGLA11G0122800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLLGKIFISRSNHAGLRAWGTTTVWLHAVPLLMNVLCAGMVADLPAAGTATSDDDDDHPRDIYVNVERMCTAAYVSTALMTLAASASLLLLDASLYYLLVVVTLLLLVLGFLAFLFWQQMNLDGNGSAAAGGGERRRSHGCGHIKAAMYFSRHNATLTRLSAMSSDVASFVFAGLSGAIVGYVKASASARPPAGAGHRMPEELMLYSGALGLATALITAVPPWVVEPRGRGLRDRFVNVHARVLAYAALLFLALACVLAAQEILHGWAVLIAFANLAFAAVCFRVDFLAAAVLQDDDDANGAGVDQEGGGGVTNFFVALYHPSALGMLMAAYSTYAGGKEAAHLSWLFKCFVWLLVGSIVTYLCLMVIKVEMRGTSTLVRRKVELLWTRMSVILALLTLAFCVIAVVVPGSRSEIVAVFD >ORGLA11G0122700.1 pep chromosome:AGI1.1:11:13569602:13569823:-1 gene:ORGLA11G0122700 transcript:ORGLA11G0122700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGMIGYAKFVSCTRGFPKVFRTLSMSLVRGFRLPTSVINRGGA >ORGLA11G0122600.1 pep chromosome:AGI1.1:11:13545914:13547302:-1 gene:ORGLA11G0122600 transcript:ORGLA11G0122600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYTSKELTTDHEPPVVELTTDAEYAQEHPRLVRFFGTTFVLSLGLLHAACSLHWAHPLPRGVGTAAFGATAVLCLAVGAVSLLLSWSAAARMTATKALPTRRVERRLLNRLCAAVHASSALLMLAPVGLLVLVADKAYAFAVVVPLGPVGASLFPVVRNGARFGFAWGAAQYEAHEHDLRRYFGLAAGVTVPTYVALLSHAVSDHFRPAGSAQRRHHDLGGVDAVECLLLYASTAGLALMLLATSPPALCFRHTRAVVVNHFLGVLADALLALVGLAALVATAEIAGGLAALAPTTNVIAACAIFAKEHEDEPDRRGQDDTKDHLCSSSSSRQPVAPLVVNSLAFGTVMLSYSALDGGRAFSWPEKACLVAVASLLVGNLSQMALQRRAVRTDSVVTTALSLFDKVNKVTLLIAALGCMSVLATHKITASH >ORGLA11G0122500.1 pep chromosome:AGI1.1:11:13533374:13535804:1 gene:ORGLA11G0122500 transcript:ORGLA11G0122500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIEDKIREDRDQLGGILHIIEVRENNGSNDRDLIKRYSALSFQEFERMGELSDQALWSEVVIRAWSFAKKVDVRGTIYECKKDPATALLQAWSDNNLQCLVVGENEGGNGEGXVHVTVGLGM >ORGLA11G0122400.1 pep chromosome:AGI1.1:11:13513078:13515199:-1 gene:ORGLA11G0122400 transcript:ORGLA11G0122400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERVQCSEAAAEAGDHGGKGNVVDDERLIHSQLCSGGILSGIKSSSNLLNIRSGEANGKLQVAMQMDVGRRKEAARRRIPFLVDAFGGGWLEERDVPEDCRAITGHWWRHGGTEVPPPTWMVTAWMTRRSGGTRGM >ORGLA11G0122300.1 pep chromosome:AGI1.1:11:13504906:13512212:1 gene:ORGLA11G0122300 transcript:ORGLA11G0122300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAFVNGFLSVFMHVGLTLVLLVYLPIAFACRALGRLLVRPFVSGEDLRGKVVLVTGASSGIGEHLVYEYAKKGACVALTARTEIALRAVAKTARDLGAPDVLVVPADITKVDDAKRAVEETVAHFGKLNHLVANAGIWSSCFFEEITNITAFHNVIDLNFWGAVYPTYFALPYLKASRGNIVVTSSVAGRVPTARMSFYNASKGAVIRFYETLRAELGSHVRVTILTPGYVVSNLTMGKGIQKDGHVGIDEEARDINVGPLPVGKTETLAKVVVAAVRRGDYYVTWPGWYWPFHMVMCTAPELVDWFSRTFYVSKSSDHDGDALSKKILEAIGGKKFLYPKTIRSHAIAAS >ORGLA11G0122200.1 pep chromosome:AGI1.1:11:13475825:13476909:1 gene:ORGLA11G0122200 transcript:ORGLA11G0122200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSLPMSPPFISLPSLSCATRQRRSGNPLAIVVAAADVSPRRLHHRIPVTTTVVHENTADKAPVVVIDAGASTAVSGRHRRGGRLRLLSTPMSFDAVSRRETSPPPALEEEMATVTQAPGLSTPSMLDNADGGAPAAATDQSAPCATGGEAVGGRGPAAEGEDGRRGPEPAERERRRTGXPASRRRQACXERRXHXHPPPGCPSSVDGEDGXGPSQTGHV >ORGLA11G0122100.1 pep chromosome:AGI1.1:11:13470531:13471417:1 gene:ORGLA11G0122100 transcript:ORGLA11G0122100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:I1R0C9] MGKLWTILTHLHSLAGPTVMLLYPLYASVQAMESPSKLDDEQWLAYWILYSFITLVEMLLESLIYWIPIWYELKLLFIAWLALPNFRGAAFIYNRFVREQLRKHGLAGAGAGAAASVGKKDKSSPSSSPKDKEKTKSKFLSFVTPKKDHEAY >ORGLA11G0122000.1 pep chromosome:AGI1.1:11:13463180:13466113:1 gene:ORGLA11G0122000 transcript:ORGLA11G0122000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding;nucleic acid binding;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G14140) TAIR;Acc:AT5G14140] MQQKPAAEAMEEELKGEAVGPRRPGLGLWLAARRRLAPDDPFFAAGDMERELLAKQVALDLSEDERYQLERMEVASANALLCPISGCGAHLDCLENFEDHYRTRHTASCSVCWRVYPTSRLLSIHISEAHDSFFQAKVARGFPMYECLVEGCGVKLKSYKSRQQHLLDKHQFPKSFEFFKKARPSQRQRNKNQKQRQTVHKGDETSETLMDVDGKKSSRYMNSRYRPKQHDGKESKENEHSSCKEAKNNEMEVDKQVDELASAVSRLSTADSTLSSISFGHRRSRGLAFVPRSIRQNKQVSQTEPK >ORGLA11G0121900.1 pep chromosome:AGI1.1:11:13459912:13460820:1 gene:ORGLA11G0121900 transcript:ORGLA11G0121900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAWGSTVLMGDGSHASVHGVGTVDLKFTSGEIVQLKNVQHVPSIDRNLVSGSHLTRDGFKLVFESNKVVVSKHRYFIGKGYECGGLFRFSLSDFCNKSVNHTCGSVDDKANVWHSRLCHINFGLMSRLSSMRLIPKFSIVKGSKCYSCVQPKQPRKPHKAAEERNLAPLELLKIYKAEVENQLDRKIKRLRSDRGGEFFSNEFDLFCEEHCIIHERTPPYSPESNGIAERKNRTLTDLVNAILDTAGLPKAWWGRHC >ORGLA11G0121800.1 pep chromosome:AGI1.1:11:13431238:13432814:1 gene:ORGLA11G0121800 transcript:ORGLA11G0121800.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAISVPWKNLPRKTTKLYFAMRVLENYESSEGRNACEASLSDLPAVLALRKDMCDKMSSSESQIPTALLERLLAAGKKQHPPVCAILGGILGQEVIKSISGKGDPIKNFFYYDAADGKGIAEDIPPLSSD >ORGLA11G0121700.1 pep chromosome:AGI1.1:11:13426476:13428711:1 gene:ORGLA11G0121700 transcript:ORGLA11G0121700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGAEEELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGMNGTTTEFCKNIVLAGVGSLSLMDDHLVTEDDLNANFLIPHDESIYGGRSRAEVCCESLKDFNPMVRVAVEKGEPSLVFFIGLGVRIRST >ORGLA11G0121600.1 pep chromosome:AGI1.1:11:13395034:13404822:-1 gene:ORGLA11G0121600 transcript:ORGLA11G0121600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAAAATKAASWGMAVAAADDAGPTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGGGGGGAEACSVDGCRSDLSRCRDYHRRHKVCEAHAKTPVVVVAGQEQRFCQQCSRFHNLAEFDDGKKSCRKRLDGHNRRRRKPQHDALNPRSFLPYHQANQFSVYPQTFPIADQNADALMRPLDRHPPFSISFSGTFREPKQFPFMQDGGSGLGAARHDLLRPFSSPEDGANITTTRSACNGVPHGLDPECALSLLSSSLHPSPAAGISSATAPPQFAPSSFSRIAASSQAVTTAFASDGGSVAGDHVLVPAVTYEDPSQAMPFSWQV >ORGLA11G0121500.1 pep chromosome:AGI1.1:11:13389653:13389862:1 gene:ORGLA11G0121500 transcript:ORGLA11G0121500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVFIGLVLFILLSPGLLFQIPGKGRIVEFGNFQTSGLSILVHSIIYFALIAIFLLAVNVHMYLG >ORGLA11G0121400.1 pep chromosome:AGI1.1:11:13382308:13383947:-1 gene:ORGLA11G0121400 transcript:ORGLA11G0121400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGERTVRRLRLSKALTVPESTTVLEACRRMAARRADAALLTDSNALLCGILTDKDIATRVIARELKIDETPAWKVMTRHPVFVPSETLAVEALHKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAIARIERASDKGKAAAIASAVDAAAGNDPTASSMVEAFKEQMLRPSLSTITTAEST >ORGLA11G0121300.1 pep chromosome:AGI1.1:11:13379796:13380853:-1 gene:ORGLA11G0121300 transcript:ORGLA11G0121300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRFWDSAMALGPLDDEIESQSQISEASRSQMMSDIHNEQSFSFKLQDRRGRMHRFSCEVQSLTPLITCILQRLGPDLDRDRLPQILYEDEDRDKVVLASDDDLTAAVDHARLAGWKGLKLYLDYSGSSGVRRKSVVPSVGVGGGGSGGRSSGGSTAVMDLSRRDAWAAAYSGVAAGAALVTGLGVMAYLRRAS >ORGLA11G0121200.1 pep chromosome:AGI1.1:11:13374212:13374424:-1 gene:ORGLA11G0121200 transcript:ORGLA11G0121200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGEKAILEQKIAAATARMNELRRANREMEVKLVIYDAIAGRCKNLDDLSPNFIDDLQKKVAKRHEEVQK >ORGLA11G0121100.1 pep chromosome:AGI1.1:11:13366930:13368307:-1 gene:ORGLA11G0121100 transcript:ORGLA11G0121100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEPYTSDSLVLATAASQWSPLHLSLLLHQQQPRPVCSLSSPPGDAAPLLPRHRHQGNQQPGSFSAIRMGNALATVLDTATKLFLSKGWDVIFHYLKKMDAGEPKRATTEGNWQPGSRGRSSAGRAARGKEIGGGGGEGWGQRRGGGQGGDQHGGGGRDLAGGADDDDTGTARPAGSETRGSTTTMQAQPGLLALRRGAQQRRRCSAARPAGSETRGSTTMTQAQPGPPVLR >ORGLA11G0121000.1 pep chromosome:AGI1.1:11:13362738:13364360:-1 gene:ORGLA11G0121000 transcript:ORGLA11G0121000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMRGGNVALAIIFLCFTCSNNVFLLRSSSASSPIDDFLRCLSGKIPAEQVFTQSSSGFMAELTSSVQNPRFVTNATVRPACIVAASDASHVQAAVRCGRRSGVRLRVRSGGHDYEGLSYRAVRAETFAVLDLAGLRAVRVRAGDATAWVDSGATLGELYYAVGTANPGFAFPGGACSTVGVGGYLSGGGIGLMMRKFGIGADNVLDAMIVNADGELLDRGRMGEDLFWAIRGGGGESFGVVVSWRLKLSMVPPTVAVFTIAKTAGDGGGGDAAALLAKWETLILQPFLPDLTIRVVLQGRTALFQCLYLGGGGCARLAATMHAYFPELGMTASDCHDLTWLRAMAFISLGAADAAPEGMLRRTNNLGTYVKSKSDYVRRPMGAAAWSALFSDHLASNNAGVLILEPHGGVVGAVIPDMATPYPHRAGVLYNIQYGVFWWGDAEGESSAAARRWLDALYAAMEAAVSGNPREAFVNYRDLDIGENAVVGGVTEYESARSWGERYFMGNFRRLAAVKGRVDPGDYFRNEQSIPPLLQPY >ORGLA11G0120900.1 pep chromosome:AGI1.1:11:13361733:13362125:-1 gene:ORGLA11G0120900 transcript:ORGLA11G0120900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKPTDAARSTAIAQREGEGESSAAADGERRKGGEPSSKFDVVDGEEENGGGKARHGSGWGGGEWGGGERGRIMAQWWMGRRGERQRCGRQKKRQRFGGERGGGNGEKSWISFGGRWGIEGRERERVG >ORGLA11G0120800.1 pep chromosome:AGI1.1:11:13358000:13358206:1 gene:ORGLA11G0120800 transcript:ORGLA11G0120800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDLWEMVHNKNMPFVAAATSPPLDPTGREAAAAACGDRLPTPDGCGSGALHDVGPFLVFLVFLDVL >ORGLA11G0120700.1 pep chromosome:AGI1.1:11:13352332:13353841:1 gene:ORGLA11G0120700 transcript:ORGLA11G0120700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNEKAILEKKIAAARVKMEKLQRTTREMEIKLVIWDLMSGRRMNLDDLSLDFVDDLQKAIKKHIQETTSVEPGDHGIEMSDGPRVSAFQVAAMGQPYKELGKGYLVDSQHRMKSMEMHSSRWNLTREERGLLYGGWVRPDDGMETRNGVGE >ORGLA11G0120600.1 pep chromosome:AGI1.1:11:13348974:13349231:1 gene:ORGLA11G0120600 transcript:ORGLA11G0120600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDEKTILEQQIAAATARLEELRRKNRELEIKLIVCDLMSGRRNNVDDLTVDILQDVQMAIVKYRLGIRKRIRELCSMDSSKTT >ORGLA11G0120500.1 pep chromosome:AGI1.1:11:13249113:13249391:-1 gene:ORGLA11G0120500 transcript:ORGLA11G0120500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTVPSRGLPRLKAKSLLAQRNWAKLSLGTPYRRGPSPNPKPPSKHRRHIASATLDQRPSPASFQSCQRQDCHHTAPTPRHRLTSTNLHP >ORGLA11G0120400.1 pep chromosome:AGI1.1:11:13248802:13249023:1 gene:ORGLA11G0120400 transcript:ORGLA11G0120400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKEAGQRWRRSRSGSVSGVGAGLDGSAKGAGGSGSSSSLPVGTLALLGAPPLFCGEFLSWIETAACQRGSPGC >ORGLA11G0120300.1 pep chromosome:AGI1.1:11:13211557:13211814:1 gene:ORGLA11G0120300 transcript:ORGLA11G0120300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDEKTILEQQLAAGTARLEELRRKNRELEIKLIVCDLMLGRRNNLDDLTVDILQDVQMAIVKYRLEIRKRIRELRSMDYSKPT >ORGLA11G0120200.1 pep chromosome:AGI1.1:11:13202755:13204910:-1 gene:ORGLA11G0120200 transcript:ORGLA11G0120200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLFASSALKWAIDNISSLLPPAAGSSQGLDVLEELRKLGRTMRRIHATLHDAEQRWNIREESAKLRLEELKELAYDAEDVVEEYEYEVNRRKVEALERLATVHGGGGGGASKRKREEVHEEHFSTESGIVPVPSELADRTRTVIQRFCEIKDYCDSFSLSDNDGDRRIVPDINAMRQTSSFVFAPRILGREKDMENVIAKLLSGEGSRVGGCMSVLAIVGMGGLGKTTLAQLVYNDPTGALANRIKDKRVFLVLDDIWNERSDYWELLITPMFASRCCDIIVTTRNERVARLVQTKQIYNLNSLSPDESWSLFKQTTFIEQENISPANLVEIARMVSEKCKGLPLVIKTVGSILRFETDEIKWRDVLQSELWDLEQTQNEVLPVLELSYKHMPIYLKQCFVALSLYPKYYYLDENMVVWLWKLLGLLQSDEIYNRDEIGK >ORGLA11G0120100.1 pep chromosome:AGI1.1:11:13149896:13150621:1 gene:ORGLA11G0120100 transcript:ORGLA11G0120100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHDYDKGNLDAGMSQTDFIIMESAEIPGFGGTSWTDQETLLLLEALEILQAKWGDIAEHVATKTKAQCMLH >ORGLA11G0120000.1 pep chromosome:AGI1.1:11:13144375:13145666:1 gene:ORGLA11G0120000 transcript:ORGLA11G0120000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLASLAIRKALEKLSSYLAASLSASSSSPTSGRARQEKDLEDLRMLERTMRRIHATLHDAEQHWNIREESTKLRLKELKDLAYYAEDVVDAYEMNRQKVEALKAFAGAANHKRKYQQESEGLFCDSHTVAITDELAIKTRKLIERFDEIKYYSDNFTLSENDGEIRLTPDISGLQTSSVVFENSIVGRVKDKNNIVEKLLSKRGDNLASPVSVMAIVGMEGLGKTTLAQLVYNHPEVCEYFDVHAWVCVSEQFDINNITQSIIVAVTKEKCDLSEIPNLQERLVEEIKQKKVLLVLDDVWNERRDCWDLLCMPMNTTKLCMIVVTTRSEKVAKLVQTMPNFYSLESLSFDESWLLFRQVALTVNQENAPQNLVEIGKAIVKKCKGLPLAIKRIGSMLRY >ORGLA11G0119900.1 pep chromosome:AGI1.1:11:13131911:13136651:1 gene:ORGLA11G0119900 transcript:ORGLA11G0119900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASIAIEGALDSLSSLLQLQANNPTPPPATTAAVLPVETSHGSVKGGLEDLRALERTMRRIHATLRDAEQHWNTREKSAKLRLEEIKELAYDAEEVVDEYQYEVTRRKVEATAAQGGDGASSSSSSRKRKIHKVHDEDYFIEAGIIPVPKELTVRARKVIQRFGEIEGYYGSFTLSENDGDRRIIPDINSLRQTSSFVFAPIIVGRDQDKENVIKKMMTLEGSRIGGCMSVLAIVGMGGLGKTTLAQLVYNDPKNEQSDYWKSLCMPIFASTRCDIIVTTRSEAVASWLLFKQTAFVEHDNVSPANLVEIGQRIAKKCQGLPLALKTLGSVLRFETNVMKWRDVLQSELWDLERSQNEVLPALELSYKHMPMHLKLCFVSLSLYPKDTYFDESIVVWLWKSLHLLQCDGTDNSNEIGGLYFTQLVQRSLIQQVDTHGRMAIHDLVHDLACFLAGEEFFRLEEDGYVEIPKGARYMSIMPHPQCKRSTQISNASQSLRVIILIRRINIENPEALFMNCKKFRIIQVIDDSFANVLLDFMGDMKLLRHFRLIRSCNEVKLVISDSMSQQFNLQTLNCEAYSLHGIGRLANLQNLPNIHLWKCGCYLRELRNMNKIRRLHIYGLCNVSSIQDVNEAHLHSKKDLEILELDFESGGICKVHKEEADVNQAISTVSGGSILESLRPHHQSLKVLRMKNLNEVNYPSWLGSASFSKLTKLRLENCQSQHLPTLGELPSLKSIDIRQMEYVENIGRVFCSLDPSVKGFRSLAHLRFQDMNRFSEWSEVHDGEFSSLETLLIWSASELSSLPSVPFSSLRSFELCDCKNLVTFPASATLQILSISSCEKLKELPALPSLRSLKLSGCESLVAVGHFPSLTVLHMSTEFEEEVLHKLMNLHLKLEELSISSDTMKLINLEPHSLPLLRELELVCPNLQNCDALASLSSLKILCVNRCSPQLRVPNSLQSQLEKLYSPGSL >ORGLA11G0119800.1 pep chromosome:AGI1.1:11:13126017:13130396:-1 gene:ORGLA11G0119800 transcript:ORGLA11G0119800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSHPWAXNGHLTSSRPCCQQACKXQRRRQRQARAWKTLRMLERTMRRIHATLHDAEEHWIICREESAKLRLMELKELAYDAEDVVDEYEYEANHVSMEEFERSVNTCDGKRKHHIVRLHRLFPHMSLILLQACNNXFFRPTKSVNDEHYRIKPGVVPVPNEFFRLEADDGCIEIPPNVRYLSIHCISREMSVASRSLRAIIVLNRASGYIENPKALLLGCEKLRALVFYEKEFFLSKALEGFMGSAKLLRHLHCECLLDNRLRKLIIERYESSKYPSWLGESSFSNLTTIKFLWCKSERLPTLGEL >ORGLA11G0119700.1 pep chromosome:AGI1.1:11:13107727:13108389:1 gene:ORGLA11G0119700 transcript:ORGLA11G0119700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDKTFLFGPEYLARRVYQLSPPEDLALAMSMVRPSRRFLNDATMNGEVLTAGRYGAVRRVYVVAEDDEWKPAEIQRLMVSWNPGTEMRTLQGADHMPMFSKARELSELLMVISNN >ORGLA11G0119600.1 pep chromosome:AGI1.1:11:13061653:13073622:-1 gene:ORGLA11G0119600 transcript:ORGLA11G0119600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDGTIQAISDIVPVPSELATRARKIMDMFNEIKDYSSNFSLSENDGVRRSIPDIHQVRQTSSMVFEPSIIGRESIKDTVIEKMLSQNKSSTPESHVSVLAIVGMPGVGKTTLAQLVYNNTEVCKSFDVRVWVCVSENFDEELVKEIQDKRFLLLLDDVWNERRDYWEMFRLSMLKTKLCKIIVTTRHKNVARLVQTMYSCELSCLDPNDSWSLFKQTALLDEEHPNNPCLHEIGKDIVSKCKGLPFAIKTIGSMLRYEPDETKWKDILESDLWDLEQSQNEVLPALELSYKQMPITDSAYKMNDLIHDLACFLAGDEFVRTEDPSDSLKKAIIVIGHGLGDIVIPYDIFLKFKRLRVFSLNGAAPTNLLPDSVGNLKLLRLLRFRCSIIARSCNYLNRYSSFLIYIP >ORGLA11G0119500.1 pep chromosome:AGI1.1:11:13041242:13045317:-1 gene:ORGLA11G0119500 transcript:ORGLA11G0119500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKWALDKLSSLMVPERIIPVAFSSSSSISQGMKDLRVLERTMQRIHATLVDAEEHWNIHEESAKLRLRELKELAYGAQDVVEEYEYEVNRCRPEDPDRYACNGSKRKRHQVNGEHLSEVGLVPVSNELATKARELIQRFDEMKVYYKYFSISDNDGERRTAPGIECVRPTSYFVVKESIVGRESDREKVIEKLMFGEGSNVASHLSVLAIVGMGGLGKTTLAQLVYNDQTMCQSFDVRAWVYVSDHFEPKSLMEKISVSIEELSNELSSPKENSKELSELVDPRNKLVKKIKGKRIFLVLDDVWNERMDCWEAFQDPMLAAQQCKILVTTRNLPVARLVQTMPHYSMNHLSPQESWTLFKRTVTTPENAIQGNLVDIAKKIVEKCDRLPLAIKTLGSMLRYETHESRWIDILESDLWDLDKAQSEVLPALKLSYKNMPVHLKQCFLALCLFPKGRLRGKSEVIWLWKLLDMLKDDERNDGDKNGNRYFDELVQRSFLQLFSGSCIMHDLIHDLACHLSGNEFFRLEGDKPVQIPENTRFMSIHNCDTSVQFSVTSHPLWAIIVFGVKNYSRVNNPEHFFLYCKNLRVLSLSYSNIGKALPRYISGLKLLRRLELPLDGDYLKLICNLGPTDRVDYLKELECAPNGIGNLINLHTLRDIRIRRCGCRFNLSELKNLNKLRELRIRGLGNLSHTEDANEVQLVSKKHLHLLELNFSDEKECQKEQCQQLLQQYEKVSHEQLELDFTFEEGFKKFRYQSVQQLEYVTVSHNEILESLRPHEGLINLIIEDYDCQSYPNWLGNASFSRLTVLVISARRKWVRQQRVPTLGELPALKSLKISSMYYLEHIGREFCSHAPGIKGFPSLTSLEFSYIPWWNEWTGVDYGDFPFMETLSLRTVYKLRALPLDRFPSLGTLTLDECDGIDTIPAGGTIKKLCIGGCYGLYTLPTQSSLLKLQLKDCPRLSVVSSMPELDTLEIFKCPKLTAVGFMPKLQTSKIQHCRNLITIDSMHD >ORGLA11G0119400.1 pep chromosome:AGI1.1:11:13032639:13036035:-1 gene:ORGLA11G0119400 transcript:ORGLA11G0119400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFASLAVRKALDTLSSLLPASLAASSSSSAANRARQEQDLEDMRMLERTMRRIHATLHDAEQHWDIHEESTKLRLKELKELAYDAEDVVEEYEYEVNRCKVEALELSASTADHKRKRQQNLSLKLLNCPSLATVSQFPSLTVLHVCDPFKEEILQRLVNSHMMLEELHIESDTINSICLDPLKLPSLKNLDVRCPNLKSCNAFAGLTSLKILWIRCSPRLHIPDSLRSQLEELRILDF >ORGLA11G0119300.1 pep chromosome:AGI1.1:11:13023386:13028866:-1 gene:ORGLA11G0119300 transcript:ORGLA11G0119300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASMAVKWAIDKLSSLLTPVRQTPVASSSSSPSSSQGLDDLRMLERTMRRIHATLMDAEEHWNFREESAKLRLRELKELAYGAEDVVEEYEYEVNRCRLEAADRCASNCSKRKRHEVLQPNRGPTMAPAFGYQNKTVNDEQFAQFGLVPVPHELVVRARELIQRFDEMKVYYKHFSMSDNDGEQRIVPDIHSVRPTSYLVDKESIIGRELDKKTIIEKLMSGHGNNAVSDYLSVLAIVGMGGLGKTTLAQLVYNDQTVHRSYDVCVWVYVSDHFDSTNLTKKIIVSITKESNNLSELVDLQDKLGQEIRGKRFLLVLDDVWNERKDCWETFCKPLSAARQCNILVTTRNVAVARLVQTMPHFTIDHLSPHESWTLFERTVAVHDNIIQGNLVDIAKKIVQKCDRLPLAIKTLGSMLRYESDESRWIDVLESELWDLDKAHNEILPALELSYKNMPMHLKLCFVSLCLFPKDYSLKKSEVISLWGLLDILQCDEWNNEDESGSQYFLFGRTGSRYYDELVQRSFLQISFNSGIMHDLIHDLACHLSGNEFFRLEGDKPVEIPQNARFMSIIDYHTSVQFSASSHPLWAIIGLERNEVTNLELLFSICKNLRVLALSDRNLHEALPRYISSMKLLRHLEGPWNAPSGIYPLINLHTFPHVYICRCGGSFNLRELKNLNKKKGKLRISGLGNLSHVQDAIQAQLMNKKHLQFLQLDFSEVECLHMPLQLGLNFTPKEVRYENLQYQYMQQPKYPIVPHNQILESLRPHEGLRRLAIYGYNCQSYPSWLGDASFSKLTNIVLYGTDKVTQQCVPTLGELPFLKYVSIGRMYYMEHIGREFCTRIPGNKGFPSLKTLEFSNMLHWSKWSGVDDGDFPCLSSLIISDCNRLSSLPSDRFSSLHYLKLSNCNVIGVIPAGGTLRDLEIRVCNGLHTICTQPALLIMWLYDCPKLGAVGTMPKLNKLDIQKCPNLTSVGSLPELTTLNTEGNLADVMFGQLDHLPLLHYLSIWYNTLMDNPTIPVLHNLKELDIHSCPGITKLPFLPSLLKLRICRCPDLDVIGSLPSLNTLHLWDPLLKDKVLCRLLNGIDHPWLNCISILCETMTNLCLEPKRLSSLRKIRLSCANLQYCDGLSGLTFLEEIKIWGCPKLPIHSLLPRQLQSALDLRDAPASFIDDFDGDMWDFE >ORGLA11G0119200.1 pep chromosome:AGI1.1:11:13006002:13007289:-1 gene:ORGLA11G0119200 transcript:ORGLA11G0119200.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEAAGGLPKRKPWPLPWSGGVVRGGNVWHVPWSAFDSVWTSVCLIPPWPPPIRLKQCRSWKIGVAISLFAWKAQWDFVSYRSCTVVEISRMHELALLVLLTQDPSDDKRDDLLPASKNPFTSYMMAQYFEVIESRLISDTSHLDGNNVQDTWDCKGILVILEDGTSKWRMQGIKPSASKNIISAWKNVFIPTIKSVLEGDKQFCIYKPNISTYLLCHVAMPTILGRLKTRGTIVKLVVKYEHCEEGILSWSGILSLLITRKGNSDGNDLIILIIQELQVPWDPGGLHFQNFNCHRLADKSNFKKRGLLGIEWAANGPVQPFIQAGPSKPKFPK >ORGLA11G0119100.1 pep chromosome:AGI1.1:11:13005047:13005761:1 gene:ORGLA11G0119100 transcript:ORGLA11G0119100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIVITMSIVVVVQFAAVFSMASATPAPSNATATTSHGRNTTAPPPPFGANHTVGEGAGWFFDGNANASVANYSAWAANRTFYLGDYLSFSTNTDNTVVHTTNATVYKLCGDGGAAAAAGCSGGGWKTEEAFLTVMLTAEGANYFFSDAGGGEHCRKGMRFELAVARGRGLPPVPASYYEPLSAAPPAAGCSSSMVVALAAGVAIAAILVL >ORGLA11G0119000.1 pep chromosome:AGI1.1:11:13001841:13003610:1 gene:ORGLA11G0119000 transcript:ORGLA11G0119000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 family protein [Source:Projected from Arabidopsis thaliana (AT1G55280) TAIR;Acc:AT1G55280] MAILCASHVGISTAHSQFSSAWLSQNSCLRMCYHIPVNRRKLRKQNRRQRVIALSKSSALQDPVSSVKPSRLLQTDELRIFHNSVPEEIISTVRLEESDAFYMLELSTSREFSSSLLDKNAAILICIIDADGDSLLQRVPATYWNHSAQGREAEKLLPFQSGSVDVVTFKGSKLQRIKEIWVGLESGSWRIDNLSLKVIHGLLNTPPDLEETPELKFNGLQYTFDKLSMLLGEDGASVVEARPVAVTDLTGISVSDLQEGQLSSASTASSNLEMKEDGLKEYADLKQSLLLYDLAIVITGFSAFTLASNDSAALSFLVGGIGGFLYLLLLQKSVDGLPALNSPSEAGSAQPSVKGFSGIRRPWLILSLLMVAGAVALKYGAGGDKLELTPVELFVGTAGFLANKVAILLAAFKPLQSNLKTEDRSGD >ORGLA11G0118900.1 pep chromosome:AGI1.1:11:12993364:12993953:1 gene:ORGLA11G0118900 transcript:ORGLA11G0118900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLADITNISGSGLTNRRGRGGNKSLSASPTSSNVVHPMVTPNSHPYAENRGASFNTPNNVHMCTGSHEDVSDLTVAELKRKRARDRYAALTLQQKDDRNKKARERRKLKKEETQALSPLGDISNISAIDIMKCH >ORGLA11G0118800.1 pep chromosome:AGI1.1:11:12981887:12984992:1 gene:ORGLA11G0118800 transcript:ORGLA11G0118800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPILFATQPTSSSTSSSYHFMSSSSGSHDHHHHHGLQAGGNGGGGGGSLSHGLFMGSSSSSIRMEELSNSKQADDAVVEGGATRSPHGGDGDGAAGDDGGDAQAAAAAGGRKKGEKKERRPRFAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLSRDETVVVTTYEGTHTHPIEKSNDNFEHILTQMHIYSGLTPSSAAHASSSSSLFPSAAAAASHMFQ >ORGLA11G0118700.1 pep chromosome:AGI1.1:11:12966975:12967319:1 gene:ORGLA11G0118700 transcript:ORGLA11G0118700.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIVNTQESGTRMNQRYMEYIVQINGGQTSRPWLTNFEAQLCHRAPFFFGKVN >ORGLA11G0118600.1 pep chromosome:AGI1.1:11:12959307:12962000:1 gene:ORGLA11G0118600 transcript:ORGLA11G0118600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 14 [Source:Projected from Arabidopsis thaliana (AT5G14100) TAIR;Acc:AT5G14100] MQAVGTAAAFSPAVTPSPARRRAVDSGRRFSRSCRSGRVSVSAAGYSQLEVRRVSYRPPGTEQNLLNEISLNLKEKSFGLLFGRSGSGKTTLLQLLAGLSEPTHGTICIQKYNDSGNPMGPPELLTAQRVGIVFQFPERYFLADTVLEEITFGWPRQNTDFLFREKLALKLQNAINSVGLNGISLEEDPQSLSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKDHTILAVSHDLRELYPLVDRSWRMEMGGVLKEEALSVSKQMQAELQWRDN >ORGLA11G0118500.1 pep chromosome:AGI1.1:11:12947851:12953594:1 gene:ORGLA11G0118500 transcript:ORGLA11G0118500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMCVYDQLLGWMHRKLRSNNDVFKEFNTGGGGACNCITGLASPDHDNDYFSGDDAAHASPPVTAGDLFTFGGSGLLTIGTLGIAAVAIPSGGGDDDDYDIDFEVDATSDDDGGFTVEDDDADVGGAVTPTFTFPAATAAEAVVATVEKAVAAVEAIAEKDDDTTTEDDLMVVSAELEKVLGGVDVASARVSFAMGGGVDCPLQGFLFGSPVSDVASRPEYLQAPRDSSGSCGGGGRRTSLGELFMRTRFADEKVALVAVAEGEDGVAGDDGAAAAGVGGDRAGKGGGYKTMKKRKVKDEKGGGGAAESGMPATVTKSKFQKILQIFHRKVYPENTLLTRNLTKKSRNRGAATGDPDEPLASPVLRCRKDHPMRGFGCCTNGAFGASSPGGNAEMNGSKSGHWIKTDADYLVLEL >ORGLA11G0118400.1 pep chromosome:AGI1.1:11:12944961:12945203:1 gene:ORGLA11G0118400 transcript:ORGLA11G0118400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSTSLATSGSSVVGVGSDDDDDEWRQTAVTTSSLTADWRWGXADHPQKKLPTGVPPIWN >ORGLA11G0118300.1 pep chromosome:AGI1.1:11:12922537:12923262:1 gene:ORGLA11G0118300 transcript:ORGLA11G0118300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKVHPSPSPAAAAGGETAEAVLMRLLPAAVVAMAAGLGAEGKEVLAYLVLASMRSSSAARWGQAEVGKGGGGGGSGRVGHHAPELGCGCFGCYTAYWSRWDGSPERDRDSIHRAIEAFEDHLARKEEEEVVGGGKGASSRRRKKRSGKDKAKAKVPPAASAGQPPPPPTNQEETSAPAAAASPPKSVLDGEEEEEEEMKNTAAAAVAGAGDGGVVEEERRRRGWGVLSWKVWNLWGSH >ORGLA11G0118200.1 pep chromosome:AGI1.1:11:12919832:12920243:-1 gene:ORGLA11G0118200 transcript:ORGLA11G0118200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRGQLVFSVDPIRNPFWKVEIINFQLNMRQPVAQLRLPAGMREYSVAAEEGRTRQKQYCSLLQRIMVK >ORGLA11G0118100.1 pep chromosome:AGI1.1:11:12915245:12918433:1 gene:ORGLA11G0118100 transcript:ORGLA11G0118100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHNTTDENILLAFKAGLSKQSGVLSSWNKSTDFCQWPGVLCSLKHKHRATVLNLSSESLVGTITPSIGNLTFLKILDLSQNSLNGEIPSSIGHLSRLQLLDLSNNSLHGDINSDLKNCTSLEGIILHTNYLTGEIPAWLGGLPSLQIIHMKKNNFTGSIPTSLSNLSSLQEIYFNRNQLEGTIPEGFGRLTSLNTIHLGANHLSGMIPTSIFNISSLSRFAVPMNQLHGLLPSELGVHLPKLQYLLQSTNHFTGSLPASVVNSTEIYSLDISFNNFSGSIPPEIGTLCPDFLSLDTNQLIATTAEDWKFMAFLTNCTRLRILDLQDNMLGGVLPTSVSNLSAQLQVLYVGFNKISGNIPFGISNLVGLNRLELSNNQFTGTLPDSMGRLSFLQLLGFQNNLLTGLIPSSMGNLTQLLRLSMANNMLEGSLPTSLGNLQKITLAIFSSNKFTGPLPREIFNLSSLSYALVLSGNYFVGPLPPEVGSLTNLAYLYISRNNLSGPLPNELSNCQSLIDLRLDQNLFSSNIPETLSKLQGLTLLNLTKNTLSGVIPQELGLMDGMKELYLAHNNLSGHIPGSIGNMTSLNHLDLSFNHLDGEVPSKGVFSNTTGFVFNGNLRLCGGIPELGLPPCPPVSMGHSLRKSHLVFRVVIPIVGTILFLSLMLTIFVLRKKPKAQSTKTIGFQLIDDKYPRVSYAELVQGTNGFATNSLMGRGRYGSVYKCGLLLKSMMTTVAVKVFDLQQSGSSKSFIAECEALSKIRHCNLINVITCCSSSDPKQNDFKAIVFEFMPNGSLDRWLHLDVTASQPPRGLTLMQRLNIAVDVADALDYLHNNCDPPIVHCDLKPRNILLDEDLVAHVGDFGLAKILADSEGEQPINSKSSIGIRGTIGYVAPEYGEGGQVSPCGDAYSFGIIILELFTGMVPTHDMFRDGLTLQKHVENAFPGILMKIVDPILLSIEGVYTSNLPPGRNAMEHMNHAILSVMKIALSCSRQSPTERMRIRDAAADLRRVRDSHVRGNEEHLELCSDVTNLASA >ORGLA11G0118000.1 pep chromosome:AGI1.1:11:12907822:12908730:1 gene:ORGLA11G0118000 transcript:ORGLA11G0118000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPGRLLAAGAAALLVAASVMVATLLTAPLPFLPSLMPCLPAVTAPSGSGYSPPGLAALADAAVRYATTPTVPQQSRAEISLSLAVLRRRAPLRLLVFGLGHDSPLWHALNPGGATVFLEEDPSWYRVVRGQSPFLRAHLVAYRTRLDHADRLLATYKDHPSCLPGGGGNGGGDVPRVRGNAECPLALHNLPAEVYEKEWDMVMIDAPKGYFASAPGRMAAVWTAAAMARGRRGEGDTDVFLHDVDRRVEKAYAEEFLCERFRVGATGRLWHFRIPPASRRGNGTAAAGGAGAGDGRRPFC >ORGLA11G0117900.1 pep chromosome:AGI1.1:11:12888427:12893167:1 gene:ORGLA11G0117900 transcript:ORGLA11G0117900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREPAAAAAAEDVVARLGTDEATGLTGEEAARHLKLYGPNLVADHPLAGGRLLATLKCILLLLGWDHSFTEYIKYEIGWESWEHLIFPWSREMVCVMFINSSSWVAMAAALVYLAINSAGQTTYELAVIVSLLAGSLCACFVAKVLANRAKAPLEAKAFVRRTKVLRDGIWKHEDAANLVPGDIIYLKCGDIVPANACVLNMAQIDTKTIRHERHVSYVMGSLIYYGWAVSCGEGTAVVTATGNCIPTSTLKLYPRRFSRPGQLRKGVMATGTFCFCLVLVGITSEVLVKLFFHQSIGTLHSGHFMPLIGLIPMSMPAVLYLALALGSRRLSKLGVASRGTFALEDLASMDAMLFNMTGTLTCNKPYFDKDKIEVLTDGIDKDHAVLLAARASKAHNELYKEPIDAAILGLMDDPEQVRVGINVIEHRSRMFVAMTLMYMTTYIDGNGSKCSVLKGDPALMLRDCSCSNEVKEHIRKRIDTLGLDGHQCIAVGRIVNSRLDIISLLPFIDDLRGDSAEAVVNLTDMSLSVIVLTESPMTITKHVCGRLGKLGLNVLHADSMRELVSSKNELFLNINGISDLFVEYNRYVISNLRTYFGRRSAMVGYEFSDADSIHESDIGIAVADATDSTKSESDIVLTEHALLCVSSAVQTSREICQIMKGCMVYAVSSTVHAFTVRLILLLWRLELPCFPMLVIAACNYCTSTAMLFERAKSSQSPDSLKAKKIIVTCAAFGSYVALSTVVFFIFTTRTDFISHIFKARLLRLLVGHDEEIKSALFLQMSILNHAFGLFAQSCDGHCSGPLVTISFVLSQLVATVIAVYGVANSPLPKGIGWGWAGFIWLYNFVLLLSLMLICDLCNLAKFNISGITCRRLFTSWTQWMEKCRRVLNRGKMWMVMLIFPAISGLILVWSICAYHAMKVQQQ >ORGLA11G0117800.1 pep chromosome:AGI1.1:11:12880503:12880988:-1 gene:ORGLA11G0117800 transcript:ORGLA11G0117800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSEGRSETSSFDKVIDPTNDQGKAPSITEKKKKKKKKKKKVRYTQEQILYCIGNPEELPERRDTPKLTEALGAELLAKLPPDLVAHLRAMDDAKEEGKARRKALIEELRHEREVIYNIRDKPEDVLKQYYAKGYAEYEVIIDDDDYEDGDQGSRAAAH >ORGLA11G0117700.1 pep chromosome:AGI1.1:11:12864492:12865697:1 gene:ORGLA11G0117700 transcript:ORGLA11G0117700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLISSSAAVCFVLLIAMAMAPATATETAAAADRCEKDLDLLMGSCEGYLRFPAEAKAAPSRACCGAVRRVDVGCLCGMVTPEVEQYVCMDKAVYVAAYCHRPLLPGSYCGSYHVPGPVV >ORGLA11G0117600.1 pep chromosome:AGI1.1:11:12839937:12841600:1 gene:ORGLA11G0117600 transcript:ORGLA11G0117600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGEMEHGFHLHMEHQAIHGGEFKEGICTSIPNPPIPSTSRPNSMVIKKVCRREFIPPHIVAEAISTLRGLDLRWSGPITPGERRYVEQYVLAKYPQYSHGLIGDDASAAAAADSDVVVEHRRLQTSSPPTSSSAARGAPAAAAGDVAAVRLEPSRLLDMLARKASFPGSFVSIPEIQARNRVLRRCGLADDDYLVLFAPTPRDALVLVGESYPFFRGNYYMSILASGAGADADAGGGGDCVRAFAAYKDAKVIAAPESWLDLRIKGSQLSQYFRRKCKHAPKGLFAYPVVVSGGGGEAAAARYSLHWVSEAHRNGWHVLLDATGLAAGDRLPLSLHRPDFVTCALDDARAQPPSAATATVTCLLVRRRSFDVTSKGDN >ORGLA11G0117500.1 pep chromosome:AGI1.1:11:12826483:12829352:-1 gene:ORGLA11G0117500 transcript:ORGLA11G0117500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductase, 2OG-Fe(II) oxygenase family protein [Source:Projected from Arabidopsis thaliana (AT1G11780) TAIR;Acc:AT1G11780] MYGDTEQAAAAAAERTAFRRAEKQYKLYKPLKPKGGRARSKPGGGGGGGGGLEDLSAVVDFHALLAAGGGELPGGIGRRDVAGFDRPVFCFLDRPGFYFIPGALSIEEQCYWIRESLKTFPQPPNRTNLTALYGPIFDLLTAAKSGKILVEVGNPNDQERSEQNSDGRKSNSFKFLEDTEIQKGEVCRSTAATTLVRKLRWSTLGLQFDWSKRNYDVSLPHNKIPDLLSVLAKKMAIPAMPSGEEFKPEAAIVNYYGPSDMLGGHVDDMEADWSKPIVSISLGCKCIFLLGGKTRDEVPTAMFLRSGDIVLMAGEARECFHGNAFY >ORGLA11G0117400.1 pep chromosome:AGI1.1:11:12823401:12825290:1 gene:ORGLA11G0117400 transcript:ORGLA11G0117400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTTSMMTRPQLLHLFSRFSFLTSLPEVKARIADAVRDKQEAVAVTTEIQEEILREMGIDPSFGIGCLGKVNVMYEDDMELMVKFYQFVAKEEMAIDEAELDPREFAEKLHAQHKLQEQQLKMLIQMRKYNPESQSVILETLRKQLESANFDTDASILTPEQIQEIVEN >ORGLA11G0117300.1 pep chromosome:AGI1.1:11:12817284:12817484:1 gene:ORGLA11G0117300 transcript:ORGLA11G0117300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTRGWRLRAAASARSCGAAVLVTHDPALRPRAKMELGCLVRANIAANDDAHACTLQREDDDGSGGW >ORGLA11G0117200.1 pep chromosome:AGI1.1:11:12807018:12807534:-1 gene:ORGLA11G0117200 transcript:ORGLA11G0117200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRRRRGVHPAAATAPPCSAMASGAASPRSPPAAKKRAVVVAGDGDDSDVFDRLPDDIVLVVLSRLAANAASPADVASAALTCRRFRELAAHPAVLSRASAAAVAVRWGAWSEAAHRFLRRCAAAGSHHACYFLGM >ORGLA11G0117100.1 pep chromosome:AGI1.1:11:12799537:12803190:1 gene:ORGLA11G0117100 transcript:ORGLA11G0117100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEVLPTIFLLFIFFTTTINPSSSQLPWLFSLLYLSLAMAVVALPPLLAKRHGHVRRVNGGGAAAIPGPRGWPLLGSLPAVSGPLMHRRLAALADAHGGGARRLMSLTLGATPVVVSSHPDTAREILAGAAFRDRPARAAARELMFLRAVGFAPASGDDXXXXXXXXXXXXXAGMLSPRRAAALAALRARVARRTSEAVSRGMAVPPGRVAMRALLHAASLDNMVGSVLGLEHHDHHGGVISDMGDMVREGYELVGKFNLGDYYSTTQYQCLWGLLDFHGVGPRCQRLAARVREQFGRVMEERRKVSDLHKRDDLLSYMLSMPQEERIEDSDVIAVLWEMIFRGTDVVAILLEWAMARMVLHPDIQSKVQEELDRAVGHRPMTDSDIPNLRFLHCVIKETLRMHPPGPLLSWARLAVHDTYVGKHLVPAGTTAMVNMWAISHDETIWGDPWVFRPERFMEEDINVLGSDLRLAPFGSGRRVCPGRIMGLSTAYLWFGRMLQEYKWAAAQPVKLTECLRLSMEMKKPLVCHAVPRSKTG >ORGLA11G0117000.1 pep chromosome:AGI1.1:11:12784661:12786187:1 gene:ORGLA11G0117000 transcript:ORGLA11G0117000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, decarboxylating [Source:UniProtKB/TrEMBL;Acc:I1R078] XXXXXXXXPAASSSAAGSAPPPRIGLAGLATMGQNLALNIAEKGFPISVYNRTAAKVDATVSRAEAEGALPVLGHRDPRGFVLSLSRPRTVVLLVQAGRAVDATIDALVPYLDAGDAIVDGGNEWYQNTERRIEEAAARGILYLGMGVSGGEEGARNGPSLMPGGHVDAYNNIRDILEKAAAQTEDGACVTFVGPGGAGNFVKMVHNGIEYGDMQLIAEAYDVLRRVGGLSNSEIADVFAEWNRGELESFLVEITADIFTVADPLDGSGGGGLVDKILDKTGMKGTGKWTVQQAAELAIAAPTIAASLDGRYLSGLKDERVAAAGVLEAEGMPSGLLETINVDKKMLVDRVRQALYAAKICSYAQGMNLLRAKSVEKGWNLNLAELARIWKGGCIIRAKFLDRIKKAYDRNPELANLIVDREFAREMVQRQNAWRWVVARAVEAGISTPGMSASLSYFDTYRCSRLPANLIQAQRDLFGAHTYERIDRPGSFHTEWTKLARKSNGAAI >ORGLA11G0116900.1 pep chromosome:AGI1.1:11:12775715:12780473:1 gene:ORGLA11G0116900 transcript:ORGLA11G0116900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dihydrofolate reductase-thymidylate synthase [Source:UniProtKB/TrEMBL;Acc:I1R077] MATTLSNGVSQNGPQRNYQVVVAATRDMGIGKDGVLPWKLLGDLKFFKELTVTTADPVKKNAVIMGRKTWESLPLKARPLPGRLNIILTRSGSFEFATVENVVICGSMNSALELLSSTPYCLSIEKVFVIGGGQVLRESLNGPSCEAVHLTDIQSSIECDTFIPPIDLLVFQPWYSSLPVVESNIRHSFVTYVRVRKTMAETHDSNGKESANDGIKSDKFETENFSFLPKLIFDRHEEYHYLNLVEDIIRSGAQKNDRTGTGTLSKFGCQMRFNLRNSFPLLTTKKVFWRGVVEELLWFISGSTSAKVLQEKGIHIWDGNASREYLDSVGLAHREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVESGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAHVCGLSPGEFVHVIGDAHVYRTHVRALEEQIQKLPKPFPILKINPLKKDIDSFVASDFKLVGYDPHQKIEMKMAI >ORGLA11G0116800.1 pep chromosome:AGI1.1:11:12766911:12772550:1 gene:ORGLA11G0116800 transcript:ORGLA11G0116800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT1G44900) TAIR;Acc:AT1G44900] MDDSENNAPSTPGSPGFSTDRLPPNTTTSRGATDPSSYSDDDDDDDVVGAEEAEVDPNVLPEDDGVVAAEEEEDGEDLFNDNYLDDYRRMDEQDQYESVGLDDSIEDERNLDEIMADRRAAEAELDARDVRTGAAPDRKLPRMLHDQDTDEDMSFRRPKRHRANFRPPREPRTPRSDDDGDGATPSSPGRSQRGMYSGGDVPMTDQTDDDPYEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNEQGEFEYVRLINEMVLANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVAKNVVFDLHKNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCSKCGTVLGPFFQNSYTEVKVGSCPECQSKGPFTINVEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTDEDKAEIEKLAKDPRIGERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNVKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDVLCVVKDIVDPFTDEMLARFVVDSHARSQPKGANLEDRVPTDVEDDPLAAARQADPDILSQDMLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHARMHLRSYVSQEDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTYKKDYNELLLLLLRTLVKDVLHFEEIVSGPTTRLTRIEVKVEDLKNKAQEYEIYDLRPFFSSAHFRDNNFVLDEGRGIIRHPLAA >ORGLA11G0116700.1 pep chromosome:AGI1.1:11:12765802:12766206:1 gene:ORGLA11G0116700 transcript:ORGLA11G0116700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPVSSVKHITEMALKIKHAVGTETENILSNPAISAALEDLADTNFSLYSYLFCLCNNGENGQKWERQRRHRHAVGAVAVVAAGGGSGGPGGSGNGGRGNVRSEQQWP >ORGLA11G0116600.1 pep chromosome:AGI1.1:11:12756173:12763093:-1 gene:ORGLA11G0116600 transcript:ORGLA11G0116600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable bifunctional methylthioribulose-1-phosphate dehydratase/enolase-phosphatase E1 [Source:UniProtKB/TrEMBL;Acc:I1R074] MACCGGGRGEEAAATESEAYLEGEAVREARELVAELCRHFYGQGWVTGTGGSITVKANDPALPLADQLIVMSPSGVQKERMVAEDMYVLSADGKVLSSPVSKPWPNKPPKCTDCAPLFMKAYLMRGAGAVIHSHGMETCIATMLDPGAKEFRMTHMEMIKGIKGHGYRDELVVPIIENTPYEYELTDSLAEAIAAYPKATAVLVRNHGIYVWGDSWINAKTQAECYHYLFDAAIKLYQLGIDWTTPEHGPINSAKRPHSVLSSSIPNGCADSKSSKHCVVLDIEGTTTPISFVTDVMFPYARDNVRKHLTSTYSSDETKEDIKLLRIQVEEDLKNGIVGSVPIPPDDADKEEVISALVANVESMIKADRKITSLKQLQGHIWRTGFESKELQGVVFDDVPEALKHWHASGMKVYIYSSGSREAQRLLFGNTAYGDLRQYLCGFFDTTTGNKRETRSYFEISQSLGVDSPAQILFITDVFQEAVAAKSAGFEVIISIRPGNAPLPENHGFRTIKSFSEI >ORGLA11G0116500.1 pep chromosome:AGI1.1:11:12751767:12753197:-1 gene:ORGLA11G0116500 transcript:ORGLA11G0116500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVGARGGIRRRPNLSLLADRCATPRALAAVHAAMLVSGRLADDAFAASRLLAAHAALSPPGAVLRLLASLPCAPNSFMLNTTLRALASSPDPASALRFFSLLRRGSGGGGGSYSPGRHTLTFLLKASARLPLRASEQLHALALTHGLERDAYVANGLVRAYSLAGLVPHARRVFDGLPERSAVVCTTMVSGYAQNGMHEDAMRAFEEMLVDGIEPRGAALASVLSSCARSGSRGLEMGRRVHELMESRRLTAPVAGAILGTALVDMYAKTGAMEEATAVFDRMPERQTATWNALITGLAHHGHGEVALGTFHRMRRDGVPPNGATLVGVLSAYGCTGRLDEARRVFASMERDFAVAPTIQHYGCMVDLLGRSGLLTEAEEMIRGMTTCDADTVIWGALLNACKNHGDIDVAERAVQEILKLNPGNHGVYVVLSNMYAEAGRWQDVDRLRKVMKRARLSKIPGSSAVAGDDS >ORGLA11G0116400.1 pep chromosome:AGI1.1:11:12747439:12751309:1 gene:ORGLA11G0116400 transcript:ORGLA11G0116400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAEAHHHHHNLHGCLAVRAPVPRCSLGGAGGGGAAAMGGSSDEASCGSPRWIGKSLSCVCIKRKGAYERICMNLTPVQEERLQRLRHRMKVYFDPSRRDHQEALKALWHATYPDQELQGLISEQWKDMGWQGRDPSTDFRGAGFISLENLLFFAKTFSASFQRLLKKQCGNRATWEYPFAVAGVNITFMIMQMLDLQSTKPRTFVRAVFIQMLSEDEWAFDLLYCVAFVVMDKQWLDKNASYMDFNEILKSTRTQLERELLLDDVMRIEDMPSYSLLC >ORGLA11G0116300.1 pep chromosome:AGI1.1:11:12730389:12735403:1 gene:ORGLA11G0116300 transcript:ORGLA11G0116300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGSSRGGGGGRKASWLGMSSEGGAAKFLSGLPSRGNFSSISASSTLGRLRVYVCEHDTDPPEGQVIETDTTNILIRHLQLKKKEMDAKEAKEARDAGSRNQGETVKWKRVAPRSLDGQSSSKRPNLGSSSGLSSAEEAAPGFSGHTLQTFTVERLRALLRQRGLMTKGKKDELIARLRESQD >ORGLA11G0116200.1 pep chromosome:AGI1.1:11:12711174:12711909:-1 gene:ORGLA11G0116200 transcript:ORGLA11G0116200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAASERMAAVAMTVTRAWRCRSEGRCTASTPPPTKKLTTATAGMPNPHPHQHADVDGRDAQRGRGEVVEVEDPTALVDEAAAAASSRKGKAMAVEEEEVNGFFVEEEVGAVSNASSIGVASSDSSTGELVIGEGGAFSSLQATFQRK >ORGLA11G0116100.1 pep chromosome:AGI1.1:11:12705277:12706956:1 gene:ORGLA11G0116100 transcript:ORGLA11G0116100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFVAAWSCLLVVVMVSSMRLSRSASSSCGSSCGHGGHGGWGPRSYPVIGCLVAFYRNRRRLLDWYTEMLAASPSQTIVVDRLGARRTVVTANPANVEHILRARFANYPKGKPFTDVLGDLLGMGIFNVDGELWHAQRKLVSHEFSARALRDLEVTVLEAEARDRLVPALAAASRGGGVVDMQDVLRRFAFDVICRVSLGVDPGCLDPALPAPRLAAAFDTAAGIIARRGAAPVAAVWKVKRALDAGSERRLREEIGVIHEAVMGLIRSRRKERALLLVNGNGVGGGGGARSDLLSRMIECGYPDEAIRDMVISFIMAGRDTTSSALTWFFWLLMRHRSVEAQVLDEIAATRRARGAGEEGGDDGEGEGLDLDDYRRMRVLHAALCETMRLYPPVAWDSKHAAADDVLPDGTAVGRGDRVTYFQYGMGRMEAIWGADAADFSLDRWLSLPRGGGDGAAAASPAAAAFAGVSPFKYPVFQGGPRTCLGKEMAFVQMKFVASAVLRRFELRPVDEGRTPAFVPLMTAHMAGGLNVTVRRRTPAPATSTAANGTGGELTSS >ORGLA11G0116000.1 pep chromosome:AGI1.1:11:12691374:12691784:-1 gene:ORGLA11G0116000 transcript:ORGLA11G0116000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TEPTSDDDDYCATTTTTAGRVAAPLRDPSSPRSGVGSAGRAGGGGGSAGRSGGSLARLGDKGRRRRGGRWSRLGEARRAGGSCSATRDGADDEVRRHIPSLVWSSPPSLPLPLRSARRRDGWRPVAAAAWPSPLEQ >ORGLA11G0115900.1 pep chromosome:AGI1.1:11:12679322:12681043:-1 gene:ORGLA11G0115900 transcript:ORGLA11G0115900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYGGDNNDDGNDDCGDNNDGGWGGWDAMEILLDALIGVPQARFCPRGGGTNSKRYLWCMSLNLACCENTFFLTLLERDSHQQNCK >ORGLA11G0115800.1 pep chromosome:AGI1.1:11:12671782:12675196:-1 gene:ORGLA11G0115800 transcript:ORGLA11G0115800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSASATASRFAAFWAADALAGDDALDFAVTKAMVGVSPDSVRAAPEAVRERVALRCLQEVVSLASSDGERGATASAIAAPGEGMLGVEDASRTCEDLLLQLIWEVGSSGSLEKDMLPPFRQDIQKFICIKRPTLPETSFELLRKVYPEITPVVPPSPVEQNGNDQHDNISHDLVNTEKTGFTTDGAQLQQDDLANLVDERNTENLQKDAMATSDFQQPCTSDNRCFDQRQEDSINTVGVNIRSPEDSPTNVNRHMSVAAEPSLASSADLLGSNTGRMSEQDTIDHTTIVQSQSCGVRYPNKHHSNNGDMPLVASIQSPKDSIHEGSTMQTTVSPAVDRSNDALPASEMTHLPEFIAVEDKIMTSEPHFSKTHPNSGQHDTGDKANQDVGCGSTGIQTAAALPSEGFNGDVQGDKSEIKDPAGNTTQHTETFEQENSDKAHLEVGCSDKVNQALYDDGNIIKNNMVFGGLNKQTALESHGCSMTLHNRNSEANHFSEQNIGRNRTEVQNDCCSIPTSPNDVNDKRAKQASNKETMANTVAETLHVHSSDGSFSGFAAGGLLSMAEKPPFCTQDQYANGTVEGLSEQELCIKCGKDGQLLKCSGCFLAVHDTCFGSSVTFDDSGQFYCPVCFYTKATEAYQKAKKTYSEARKNLSAFLGKKQLAEQYQQAAVRQRAANSEDHFNGCNNATKRQGNHQSEGNNLSHRDEEPARQRKKQKTNARDACTQEVVTKKAPAVHNSDVVSM >ORGLA11G0115700.1 pep chromosome:AGI1.1:11:12665649:12668455:1 gene:ORGLA11G0115700 transcript:ORGLA11G0115700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSLAVSAAAAVFPSAADPRRPPPPSVAIVDKNSSYGRSLQAADNGGGGGMEAPLRPLDVQEAMTMLTEGKAVQSAMYVPLLHRCVETGSLGAARAVHGHMAKTGAGADMFVATSLVNAYMRCGAARDARRLFDRMPERNVVTWTALITGYTLNSQPALGLEVFVEMLEMGRYPSHYTLGATLNACLASCDVDLGKQVHGYAIKYGAESITSMGNSLCRLYAKLGSLDSALRAFWRIPEKNVITWTTMISACAEDEECVELGLSLFIDMLMDGVMPNEFTLTSVMSLCGTRLDLNLGKQVQAFSFKIGCETNLPVKNSTMYLYLRKGETDEAMRLFEQMEDASIITWNAMISGYAQIMDSAKDDLQARSRGFQALTIFRDLKRSVMKPDLFTFSSILSVCSAMMALEQGEQIHAQTIKSGFLSDVVVNSALVNMYNKCGCIQDANKAFLEMPTRTFVTWTSMISGYSQHGQPQEAIQLFEEMRLAGVRPNEITFVSLLSACSYAGLVEEAEHYFDMMKKEYCIEPVVDHYGCMIDMFVRLGRVEDAFSFIKRTGFEPNEAIWSSLVAGCRSHGNMELAFYAADKLLELKPKGIETYILLLNMYISTERWQDVARVRKLMKQEDVGILRDRSWITIKDKVYFFRANDRTHPQATELYQLLENLLEKAKAIGYEPYQNAELSDSEDDEKPAAGSLKHHSERLAVALGLLQTPPGATVRVTKNITMCRDCHSSIKLFSLLENREIIVRDSKRLHKFKDGRCSCGDFGALL >ORGLA11G0115600.1 pep chromosome:AGI1.1:11:12663330:12663713:1 gene:ORGLA11G0115600 transcript:ORGLA11G0115600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHVNVATKGKNSVGPTSQSHPSSLPLVRRAALSTQWHQCPVIIVIAIDDYESHIKAATXRWLSQSRASSCRSTRGNAADGCGGLSLTITVEEHGGLVNVRLCKWSRTVFMGHGMPEMVNGSPLPGD >ORGLA11G0115500.1 pep chromosome:AGI1.1:11:12659717:12660504:-1 gene:ORGLA11G0115500 transcript:ORGLA11G0115500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLTPSSLTMPARGRMQAIEHLLTELVLPLPKETRIPHLVLAAKARSGMVVGLCLLGANGMRAMLVVVGPSSTRLCSTRLGMRGEAVLLQRLPSSNSSVPLQLGTISTISMQICARDSHVSDCA >ORGLA11G0115400.1 pep chromosome:AGI1.1:11:12648962:12658718:1 gene:ORGLA11G0115400 transcript:ORGLA11G0115400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGSGAMQPPMRMPGMPPNTLGQGMPPTMPTMPYSPHAGTQVSTPSKIDPNQIPRPMAETSVIIFETRQGGQAAIPPAASSEFIVKDTGNCSPRLMRCTVNQIPCTGDLLTTSGMPLSLMVQPFSLPHPSEEPIQLVDFGDMGPIRCSRCKAYINPFMRFVDQGRRFICNLCGFSNDTPREYICNLGPDGRRRDADDRPELCRGTVEFVASKEFLVRDPMPAVYFFLIDVSMNAIQTGSTAAACSAISQAISDLPEGPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQKDLILPVSECRENLEQLLESIPSMFENNRVADSAFGAAMKAGFLAMKSTGGKLLVFQSVLPSLGVGSLSAREAEGRANVSTGDKEPHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDIASISVVPNTTGGRVYYYYPFSARSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPSIDSDKTIMVTFKHDDKLQENSECGFQCALLYTTVYGQRRIRVMNLSLPCTNMLSNLFRYADLETQFTCFLKQAANGIPTSTLLHLREEVTNTCINILQSYRKYCASVSSSGQLILPEALKLLPLYTLALIKSIGLRNEGRLDDRSYWISLVSSVSVLLAVPLVFPRLIPIHDLTSRGDDESLIPSPLMLNSENIREDGVYLLENGEDGLIYVGNVVEPTILEQIFGVSSLAALPSQAVLEQFDNELSRKVNEVINEIRRQRCSYLRLRLCRRGEPSGDFFRSFLIEDKAPGGLSYVEFLVHVHRQIQSKMT >ORGLA11G0115300.1 pep chromosome:AGI1.1:11:12638547:12640209:-1 gene:ORGLA11G0115300 transcript:ORGLA11G0115300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVELPQQEVKLFSRWSFVDVQVNDISLVDYLAVNPTKHATYLPHTAGRYSAKRFRKAQCPIVERLTNSLMMHGRNNGKKIMAVRIVKHAMEIIHLLTDANPIQVIVDAIINSGPREDATRIGSAGAVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >ORGLA11G0115200.1 pep chromosome:AGI1.1:11:12619433:12620371:1 gene:ORGLA11G0115200 transcript:ORGLA11G0115200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQELAHKRSPYGDVYHRCIALEFSVMYMARSAIHQTARHVHMSTIDKYPHGLKYLLEVAKSIYVINDSFVDCLTNLSNLDELEECKLHFCHRMKHVFETTFHMWMDLPNSWDSQHKSAWASRLKSAWASQLKSLIHFYIPAYTNSDIEAIGFTSLNHLHLEYCPRLESIMPRNCALPRLTTLNILFCYNISTIFFKDHEKGAINVEFPSLQRMRLQELPLLKHLYDGDDIVLSAPAWKEIHVRGCWSLQHLPRLSQEDLNQAVQVWWQLKRVVCNVNMYACTFDRRAKITYICLHAPFPKLVSLHIQLLVV >ORGLA11G0115100.1 pep chromosome:AGI1.1:11:12606956:12607261:1 gene:ORGLA11G0115100 transcript:ORGLA11G0115100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVQRRQRREAASAAMAAPSPPPDLVGGEAAAVGGASPPLDLAGGEAVGSRGPCGELSMGGEAVGSRTQRRAPPRHRQRDLHNSSGRLPTAAVLLSNFCDRXV >ORGLA11G0115000.1 pep chromosome:AGI1.1:11:12578497:12583734:-1 gene:ORGLA11G0115000 transcript:ORGLA11G0115000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGHAEALLLRRRRGPARLWVAVLALLAGTLWLLSSSAGLGLGLARSSYGLQDVDVNKLWRTADSNGWRASSAPRTYWPPPPIESESNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWHDESGFLGIYDVLHFIKTLKYDVRIAMVIPEITTNGKTKKLKAHQIRPPRDAPVTWYTTVALEKMKKYGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKTSSEIVNKLRTEGHFMSIHLRFEMDMLAFAGCIDIFTPQEQKILIKYRKEHFAEKELIYRERRLIGKCPLTPEEVGLILRSMGFDNKTRIYLASGDLFGGKRFMKPFKAMFPRLENHSTVGPGKLEENTRGLAGSAVDYMVCLLSDIFIPTYDGPSNFANNLMGHRLYYGFRTTITPNRKALAPIFMDREEGRAARFEERVRQVMFNTHFGGPHKRVHPESFYTNSWPECFCQPNPRNRVDKCPPDNIYEVLESQFQSMEGEEDIEEVKSTNQTDSTSQIEELVV >ORGLA11G0114900.1 pep chromosome:AGI1.1:11:12548796:12559744:1 gene:ORGLA11G0114900 transcript:ORGLA11G0114900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVRDIAYDMEDCVDDFAHRLRHDPRGDGCLVEVYRALYEIYTCRPRRDIAAKLAELKNRAQQVGERRLRYGVVLNPMPPQREARNGATQVGNQTTGENQVAGRRLITVKEPIGVARAIEKLEAWMKSRSHNNRGVLAIHGFGGGGKSTIAAALYRKHGQKFDCRAWVTMPQKFDDRAVLRSILSQVMLPATASGGGDRRRRGGSRHAKIETMSQEQLIKGLKNHLQNKSYILVVDDVRSAEAWQTIRQYLPDEEGSRVVVTTRFEAVAGECILDRQKDMLHHVDRLSDEDAKRLFQESVSESIHSSDVKHAKETMDRMNLSHVRSVTVFGSLNQLPFMSLKLGIVQVLDLEGCKGFKKQHVKDIFKMLLLKYLNLRGTDINSIPSKIGKLRYLETLDIRDTNVQKLPDAIVQLERLTSILGGNTMAQVTLKLPAEATKKPLRTLHILSGIEITGEPTSVNDFHGYTALRKLGIHKLQIQEGTPGFKALLSSIQYIGGSSLKNLLINDESSGFIDALDSLTSPPRYFHSIQLYGMFIKVPRWIAHLTELKNLTLSVTVLRTDTLELLQKLPRMFCLIFSSWTSSKDLDLVDILEKNKSDSEGQILVKHGGFDCLKLLRLDAPLLPLLVFSERAMGNLERLDMKFNTLEGVFGMDNLASLREVHMTAGEKAGEITKSIVRELEAEAGKYANTPRVVVYELAGRGSRSHTPNDPE >ORGLA11G0114800.1 pep chromosome:AGI1.1:11:12509333:12511971:-1 gene:ORGLA11G0114800 transcript:ORGLA11G0114800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMRETGQGENERLKEVEEKILAKCNGSPLAIMLAGRLLVNRNRSANEWEMLLEYASEPTDHGDIDSILHLCYAVLSFPLKQCFLYHSLIPKDHVISCDKVVQMWIAEGFVGADATSELPEVLGMKYYKELVARHLLEPVDEYDGQGHYKMDNLVRIFAKNVVESESLVVEEGENNPEPLVEEGHRIPEQILPKIRRSWAAKEKIEWKAPQELHSLRTLIIIGNVIVQASTGRTLSSLSRLRTLHVNKNEHVHILLDSLHHMKHLRYLDLSYTDALALPNDIGEMKFLQYICLQGCKKLVKLPKSIVELHKLRYLNISETKIKSIPEEGFGGLKNMVSLHGFPSLMMESDIAKDWCSLGELRHMSQLMHLTLEDLENCASGSMASLAKIDDKKNLASLRLSCTSRLSVNGEVDKETVEEVFDQLCPPPKLQELNIKGFFGARLPRWLIFTNLAELRVLKLDNLVCCNQLPSTLWQLPCLEYLYIKHTLNVKHIGHEFLLQSSIPGPRETDVAATTPTTISTPATSATAATAAATTTTTIGGGSTHNRGPYHRLSGAGSVGSGEEGEIVAESATEDAATTTGSSNAIGFPKLKKLVMYGMMKWKEWEWEHQVEAMPKLENMHISWCLLNQLPPGLASQARSLRILVVDNVKNLISIDGFCSVVQLHVSSNFKLERISDLPKMESLTVSRCPKLNILQRLPALQSMELNDQEMERLPDCLRDLPAKLRHLRITCNLDLLTLISRGKGTPEWEKIKHIQQVNACTDAEDDKTDKRFVFYKRDSDSTETNIEPSPSTSQVGVGAQ >ORGLA11G0114700.1 pep chromosome:AGI1.1:11:12502135:12506727:1 gene:ORGLA11G0114700 transcript:ORGLA11G0114700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKPARAVPEWLNSPLWSAPPPSSSSPSPPVPPDPYGADLSPPPPPPPKPPPPPTVPPPSYEQAVGSSRRARSEAGWEEEEDGEVGGGAALRAHLLADFKLALSKKVVNMGELRRLACLGVPDGGAAVRPLVWKLLLGYLPTERAFWPHELEKKRSQYSAYKDEFLLNPSEKIRRFEESKLLRKKELNSDKIGLLPRAKVTNEEHPLSFGKSSLWNQYFQESEILEQIDRDVKRTHPEMPFFSAKANQESLRRILIIFSKLNPTIRYVQGMNEVLAPLFYVFKNDPDTSNSASAEADTFFCFVELLSGFKDNYCKHLDNSQVGIRSTLSKLSQLLKRHDEELWRHMEITTKVYPQYYAFRWITLLLTMEFSFNVCIHIWDAILGDPEGPPDTLLRICCAMLILVRRRLLAGDFTANIQLLQHYPPTNIDHLLHIANRLRGSVAS >ORGLA11G0114600.1 pep chromosome:AGI1.1:11:12495140:12499385:1 gene:ORGLA11G0114600 transcript:ORGLA11G0114600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPALRRLLLFSLLLLASVAAAAEGEEEEEFTEELLLRPLPDRKALAHFHFRSSAPPSAAAGRHHHVFPKAIAQLVQQFHISELELSFTQGRWNYEQWGGYDPMSTNYAKPPGVELWAAFDLPLDEIDATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKLNEDNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLTSSQSFGIIIDQTLTVVLQPDNFRGQQLHSTDGQLQPSWSMKDLFNRKLSGKCRVSKSSRVFLEIEKDIVDKSGTEVSWTNELFVLSTAPDRVLKELNNMDAQSSSLYEYDVSNYNNDKPLDVGITWKLPLIWSCTPAPYHANRFLMGSGNERGSIALSFRSTNLHKQLFGNSNDCSIKAVVFQVVPWYVKVYYHSLQIFIDGNSKAISELVDKIHVTPSEDKHLPGTLEMLLRLPCSMESATLSLDFDKGFLHIDEYPPDANQGFDIPSALVTFPEFNSSRSYPETDTLSVSRLLQTFKEDGVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEERELKKAATKRGLIPLLIAKLRGKKVDPPPQGSSPTSLLSTKLLLKVVFVVVVAVSFHYLSNS >ORGLA11G0114500.1 pep chromosome:AGI1.1:11:12401008:12408108:-1 gene:ORGLA11G0114500 transcript:ORGLA11G0114500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDAFASYVSDLLLQVAKDEVGMLLGVSDEITKLDEKLQFLKDYLADAEKKRITDKHVDGWVRKLKGIMYDATDILELCQLKAMEQGSSADLGCCNPLLFCLRNPLFAHDIGSRIKKLNQSLDSICKTGAEFSFMKLEAYQDRRTASPLISRTTSPVLERSGVVGDQIEEDTSALVKLLTDDKETIHAENNSLLLAIVGVGGISKTTLAKNIFNDDAIQEKFDKKIWLSVTQKFNESDLLRSAIIAIGGDHRSSHDRSVLEPSLVNAIKGKNFILVLDDMWTERAWNDFLRIPFSHGGRGSRVVVTTRDERIARGVKAKYLHHVNKLGSDDAWSLLKKQALVTHKNVIPEGFHNLSSIRKLYGFPAHMGTGGVPPKENWCSLEELECLSELRDLELNCLENVSASSYAAKASLCTKEHLIFLKLRCTSRLGDDGLLKEEGLSEMEQQLVEVFNELCPPRCLYNLEIFGYFGCSLPNWMMSPISRTPLTSLRYLFLKDLACCTQLPDILSQLLHLFMLQIVRAPAIKRVGSEFLLCHDHGHHSLTAKAFPRLQVLFFVGMVEWEEWEWEEQVQAMAVLEELLLERCKLRCLPPGLAFHARALKKLWICEVQNLKSLDNFACVV >ORGLA11G0114400.1 pep chromosome:AGI1.1:11:12393004:12393791:1 gene:ORGLA11G0114400 transcript:ORGLA11G0114400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLNLLIVLLFIVISVQGTNGADRCAASSSIDVQTINTGEAAAGGGGDTVFEVQVKNLCGCSVRDVRLDGGGFATTVEVDPAVFRAADDGGDYYLVNGGGPIASMATVSFRYTWDHFFQITPRSMEEDQC >ORGLA11G0114300.1 pep chromosome:AGI1.1:11:12389388:12389872:1 gene:ORGLA11G0114300 transcript:ORGLA11G0114300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVWWMDKDVDSIGGVDKDVLWVPYSILPVAVLYVGLHVRGKWRNGGGIEP >ORGLA11G0114200.1 pep chromosome:AGI1.1:11:12383010:12383267:-1 gene:ORGLA11G0114200 transcript:ORGLA11G0114200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYHPQTDGQTERLNQCVEAYLRCTVHACPSKWSQWIPQAQYWYNTTFHTALGKTPYEILFARKPTHFGEVDLGQSTVPDVQTW >ORGLA11G0114100.1 pep chromosome:AGI1.1:11:12381112:12381390:-1 gene:ORGLA11G0114100 transcript:ORGLA11G0114100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKTYQTYRMGQEQVDAILSWALPEKDYEPVFTVISSHTDDQKEKDRLLAIGTAAIKNKLLHLKRGLQAFVKDNLDRFGYVDINDSMFYP >ORGLA11G0114000.1 pep chromosome:AGI1.1:11:12320396:12321121:1 gene:ORGLA11G0114000 transcript:ORGLA11G0114000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVILSLLPHSLTLLLLLSLADLTASDYLDDNRPRGGRICTTGNLAASGSIAGDYGTGGPTAHNYRMGILTTEDLATSGSGAIADYWMGGSIDDDDNDNNSSNDS >ORGLA11G0113900.1 pep chromosome:AGI1.1:11:12308623:12308934:-1 gene:ORGLA11G0113900 transcript:ORGLA11G0113900.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIPQSLVMNLDASDRSAYPAVVDGASIRLAVTNDESAHPVIVVDRNRVPQGYQRWIHPPRSCARAKVGTSLPCSCDGAVDAATALATSRASAFAGPRCGGDEVE >ORGLA11G0113800.1 pep chromosome:AGI1.1:11:12276040:12278316:1 gene:ORGLA11G0113800 transcript:ORGLA11G0113800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVLVAVAAAIGNYLQGWDNATIAGAVLYIKREFALESQPAVEGLVVAMSLIGATIITTFSGPVSDLVGRRPMLIASSLLYFAGGLIMLWSPNVYVLLLARLVDGFGVGLAVTLVPVYISETSPPEIRGRLNTLPQFTGSGGMFMSYCMIFAMTLSPSPNWRIMLGVLFVPSLLYLFVTVFYLPESPRWLVSKGRMKEARVVLEMLRGREDVSGEMALLVEGLGTGGDTEIEDYVVGPSEGDAGENEQARDTVTLYGPEQGLSWVAQPVAGGRGSMLGSSLGLQASRHGSMYEQMKDPVVALLGSVHERLPESGGGATGSMRGSTLFPNLGSMLSVNDRPGGSSWDEENVQPGDDDLDEEEEEYLSDDGKDDDDGGGLQAPLLSRQSTDVETKNEPASGQVAMQRHSSIGGGGVETASTMGIGGGWQLAWKWTENVGPDGVKRGAVKRMYLHEESEAAPGGDAGGAGAGDAPSTAYVHAAALVSRSMLYTKDVLIGQSPTEPAFANPPEAVAAAASTGPAWRELLEPGVRHALFCGVTIQILQQFSGINGVLYYTPQILDQAGVSVLLASLGLSGDSTSILISGLTTLLMLPSIGVAMRLMDASGRRALLLWTLPVLVASLAVLVVANVVPMAATAHAALSTGSVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLTFWLGDIAVTYSLPVMLSSVGLAGVFSFYAAVCCVALVFVALKVPETKGLPLEVIIEFFNVGAKAGTLPDEEFH >ORGLA11G0113700.1 pep chromosome:AGI1.1:11:12245692:12245955:-1 gene:ORGLA11G0113700 transcript:ORGLA11G0113700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRWISASGRWLPGSLSFLRTQRQQRRPKAAAKAAASCGWRSEHDGNPLVHGEDGFRRSFGARGTAAGVELDLAELMEVAAQEGGR >ORGLA11G0113600.1 pep chromosome:AGI1.1:11:12222727:12226961:-1 gene:ORGLA11G0113600 transcript:ORGLA11G0113600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSSSCSGGQFPRVSPLGTRPKRSTRVVPLPVVTRATAGGVRNNLEVGGNAGTLQGMDIDELRVIVRKQLQGVELSPSSYDTAWVAMVPVQGSPQSPCFPQCVEWILQNQQEDGSWGHSAGPSGEVNKDILLSTLACVLALNTWNVGQDHIRRGLSFIGRNFSDAIDGQCVAPVGFNITFSGMLRLAIGMGLKFPVMETDIDSIFRLREVEFERDAGGTASAKKAFMAYVSEGLGREQDWDHVMAYQRKNGSLFNSPSTTAASAIHSCNDRALDYLVSLTSKLGGPVPAIYPDKVYSQLCMVDTLEKMGISSDFACDIRDILDMTYSCWMQDEEEIMLDMATCAKAFRLLRMNGYDVSSEGMARFAERSSFDDSIHAYLNDIKPLLELYKSSQLHFLEEDLILENISSWSAKLLKQQLSSNKIMKSLIPEVEYALKYPLYSTVDALEHRANIERFNVNVFQRPKSGYCGSGADKEILALAVDKFHYNQSVYQQELRYLESWVAEFGLDELKFARVIPLQSLLSALVPLFPCELSDARIAFSQNCMLTTMVDDFFDGGGSMEEMVNFVALIDEWDNHGEIGFCSNNVEIMFNAIYNTTKRNCAKAALVQNRCVMDHIAKQWQVMVRAMKTEAEWAATKHIPATMEEYMSVGEPSFALGPIVPVSAYLLGEELPEEAVRSPEYGQLLRHASAVGRLLNDVMTYEKEVLTWTPNSVLLQALAARGGGESPTPPSPACAEAARGEVRRAIQASWRDLHRLVFRDDDGGSIVPRACRELFWQTAKVANVFYQEVDGYTPKAMRGMANAVILDPLHLQE >ORGLA11G0113500.1 pep chromosome:AGI1.1:11:12207381:12209334:-1 gene:ORGLA11G0113500 transcript:ORGLA11G0113500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSSGGGGGGNAWAKEMTIRRRMASIFNKTQDHFPCLKDYNDYLEEVEDMTFNLIEGIDVEEIEAKIARYQQENAEQIYLSRAKRAEDLAAALKASRMNPGKAAASDTAAGSSQGISSSAGVQGQYAPAAVGMSQPRPTGMAPQPIGGSSDPLQGDDEETMRLRAERGARAGGWTIEMGKRRALEEAFSSIFI >ORGLA11G0113400.1 pep chromosome:AGI1.1:11:12203242:12206564:1 gene:ORGLA11G0113400 transcript:ORGLA11G0113400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor [Source:UniProtKB/TrEMBL;Acc:I1R042] MNAFRLAGDMTHLLSVVVLLLKIHTIKSCAGISLKTQELYALVFAARYLDLFIHFISLYNTVMKLVFLASSFSIVWYMRRHKIVRRTYDKDHDTFRHHFLVLPCLALALLINERFTFREVMWAFSIYLEAVAILPQLVLLQRTRNIDNLTGQYVFFLGAYRVLYILNWIYRYFTEPHFVHWISWVAGIVQTLLYADFFYYYIMSWKNNVKLELPA >ORGLA11G0113300.1 pep chromosome:AGI1.1:11:12193377:12195266:1 gene:ORGLA11G0113300 transcript:ORGLA11G0113300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCXVGGLVLKGLDNMGSRGIFFDDDFYDQLDLGDTTQSVFTLLQVGSVPFPVFGATSWIHPTAPSENRALMSKPARKAKKQWCPFDDMSSHSLDGCNVMLKDKAECTCGVQHGIPEPDGGLGTVAIWSERFPRNLLPGVMAYLEAKEWAYILEYSDILSLLVDTFQERNSSAYVQNESPAQAISF >ORGLA11G0113200.1 pep chromosome:AGI1.1:11:12189909:12190319:1 gene:ORGLA11G0113200 transcript:ORGLA11G0113200.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLLFDQNXMALVFLXLISLPVTFIIQTLFSHDLRREKMILFFILTKLDLGLLGYSAWLESXWTARVSGRGCDGWWRWDAVAAVAEEEEVRLMEVVLLKERAAAVATFRASHVVEKDDDNGNFASSDGFLLGAVVRQ >ORGLA11G0113100.1 pep chromosome:AGI1.1:11:12180167:12180932:1 gene:ORGLA11G0113100 transcript:ORGLA11G0113100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPISEQQPSSKEVQRGQAAAETSHCRSAPVLPAGAMRDEESTFGKAKSADQEESQQAARRDFLAGIRKLIKSFKSLSHIFEVYKEDDEDEDEDSMNIEIGFPTDVQHVAHIGLDGSSSMSSLRGLQEEARELLSMSSLTMEQFEFAMASLAAHKDPKMVVDRVAPN >ORGLA11G0113000.1 pep chromosome:AGI1.1:11:12173295:12177524:1 gene:ORGLA11G0113000 transcript:ORGLA11G0113000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPRLLILLVLLAFSATVAVAEDGESTGGSKVSLGRRAGGFLHGLKKEAVVEGDHGVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATVLYLFFGLRLLYIAWKSDPKGSQKKEMEEVEEKLESGQGKSTLRRFFGRFCTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTVCTSLAVIGGSMLASKISQRTVATIGGVLFLGFSVSSYFYPPL >ORGLA11G0112900.1 pep chromosome:AGI1.1:11:12166861:12168551:1 gene:ORGLA11G0112900 transcript:ORGLA11G0112900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSMAIQRRDLITGSKSQKNTTHMPLKERKEQLCSARTIGIQSAHLLQSFMDVGAYTSSSNRNTDEAADAKHRRPQGRKAAKEQRKGKGKGKLGKGDLRSALVNGEDRDYAQEKQRXQCSGSVGYRPCRPGGQGREAELVSARAMETETEPVQQMRSVVVSVKKEIKGLSPIVN >ORGLA11G0112800.1 pep chromosome:AGI1.1:11:12143012:12150976:-1 gene:ORGLA11G0112800 transcript:ORGLA11G0112800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSAGGVGEGSSSSAAAAAAAAAATIGPHVVDEVAEAMWQMNLGEAMEAGPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPADRKMAVAAARMKGEYPQRIGQPECQYYLKTGTCKFGATCKFHHPREKAAMATRVQLNALGYPLRPNEKECAYYLRTGQCKFGSTCKFHHPQPSNTMVAVRGSVYSPGQSVTSPSQHTYPGAVTNWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVPGWNPYAAQIGSSSSDDQQRTAGGAQYYTGSRHSETPNMGDQGMFSSYQAGSVPLGLYTVQRESIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERIIPTPNCALSSLGLPLRPGEPICTFYSRYGICKFGPNCKFDHPMGTVMYGLATSPTGDVSARRMLAPVPAHSEVSPDNVSGRSRRITHSDSQQIPSGERGTEREAS >ORGLA11G0112700.1 pep chromosome:AGI1.1:11:12140727:12140975:1 gene:ORGLA11G0112700 transcript:ORGLA11G0112700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMGSNYRGRWSVAGNTLVEAGVGRTDVKRPIEEARWSGPAGMASIEVGVKADEETQSDSRQATNVVGHAAIPSLSASTLP >ORGLA11G0112600.1 pep chromosome:AGI1.1:11:12115101:12120467:-1 gene:ORGLA11G0112600 transcript:ORGLA11G0112600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKEAVPVERFGSVAAFMEAAEKIMVLIKDTRAKAEEACRLAALIQEATAGGGSNVAAVSELCKVVATAGDGSGSGVAKALEVCKAVDVMHKEVAAPADLMQVGTTVEKVAYRPPFLIPAPRAVDIGGDERKNXRTRLNIHSNAKLPPXLPRSLHHVPLSFSVELKLIYRRTILEDDSDHKPLFEKALVGQINIEDMSGKAKDVISEEGSSEEMKDSDNDVGMVIGGYAQDPYDDRGLEELMQDQDALEKSVKNFLECFKNTKFR >ORGLA11G0112500.1 pep chromosome:AGI1.1:11:12112682:12113682:1 gene:ORGLA11G0112500 transcript:ORGLA11G0112500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDTLEYSQVKCYICIFCALADSISNVKKYQQHGTHMVFVIVDDADAMDQVLQVNGGHNHNAFPFDLNFDADEEDLQMHPDMQEYGVYAGDVEVVFEQEELDDSDQEDEQQNKNLTKIQRQQIYAALAGKTNNGTLRKNATTEVAAMFNVKRARVQAIW >ORGLA11G0112400.1 pep chromosome:AGI1.1:11:12103402:12104990:-1 gene:ORGLA11G0112400 transcript:ORGLA11G0112400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLPFADMAHKTGLARWAHRAGHAGDSPDGAAASMLRWKILPSSAQRMVGDWTGTTTAEGAPAISRGQVAPQEVGYRRRSCSGRSGRSRTRARRNDGQPYLWFLQEMGKEEYKEVALVDSISRIMVLVNGISWILFRETVFLSTYD >ORGLA11G0112300.1 pep chromosome:AGI1.1:11:12077423:12077731:1 gene:ORGLA11G0112300 transcript:ORGLA11G0112300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRRGSGHRGHDPNFRPLPPPPPHRQPPDPATAASPPSAGRFLLRHCTANRRIRPRQVCGARIRPLRPLPRPSAASSSATVLPTAGSGQGSGHRLLHHCTTAR >ORGLA11G0112200.1 pep chromosome:AGI1.1:11:12058013:12075121:-1 gene:ORGLA11G0112200 transcript:ORGLA11G0112200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLLAPSAVALLVVASAWVPAAADPQITLLNLGCSQYNATPAAAFLAALNATFAVLRANLSSAGGGGGFATAAEPRAAAPAFAMAQCRPYVAGAGSCAACFDAAASRLRARCGAANGGRAILDGCVVRYESAAFFDGPATLPGNTQVCNGTAVADGSFAXXXXXXXXXXXXXXXXXXGLAAAAARGGVYAAAQCVETVGEGGCAQCLAVAARNIDGCPPDSDGRAVDAGCFMRYSDKPFFPANATIDLAPYLRSPGKSNHKGAIIGGILGGVAFLLLGLLALFWTRQSKKPLKPRRGDILGATELQGPTSFYYKDLKVATNNFSEQSKLGEGGFGDVFKASLKNGKTVAVKRLTVMETSRAKADFESEVKLISNVHHRNLVRLLGCASKGSECLLVYEYMANGSLDKFLFGEKSVALNWKQRFNIIIGMARGLAYLHEEFHVRIIHRDIKSSNVLLDDEFQPKIADFGLARLIPDDHSHLSTNFAGTLGYTAPEYAIHGQLSEKVDTYGFGVVTLEIIGGRKLNDARLEPDSQYLLEWAWKLYEDNNLIELVDRSLDPEEYNHEEVKRTMEIALLCTQSAVTSRPMMSEVVVLLLTRNALEFQPTRPTFIDATRRVPGETSTSSSSSASKATVSISQLSAR >ORGLA11G0112100.1 pep chromosome:AGI1.1:11:12055275:12055538:1 gene:ORGLA11G0112100 transcript:ORGLA11G0112100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAAAAARDSVAVTYGRKRKRSRKTIAVVQDIEEDDSDDNQIDGGQEEQQSDVDSEAKDEDGGGPTTAATTGDGGSFLLDDDLIS >ORGLA11G0112000.1 pep chromosome:AGI1.1:11:12043611:12045351:-1 gene:ORGLA11G0112000 transcript:ORGLA11G0112000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQDIFVVVLLLLLHYALATFTASRARKNNKDRLPPSPLALPVIGHLLHLVDSLPHTSPSAASPHGTGPTCSSGLAPCQCSSRRRHVPAAEAILRTHDHVFASRPRTVLLADIVFYRSRDVRFAPYGDHWRQARKLVTTHLLSAKKVQSLRLAREEEVSLVMTKISKAATASAVVDIGQILRSFTNDMICRTVSGKCPRDDR >ORGLA11G0111900.1 pep chromosome:AGI1.1:11:12042335:12043502:-1 gene:ORGLA11G0111900 transcript:ORGLA11G0111900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCVKAERLKKRWDELLEELINDHENDDHSCNLISDQNDEDFVDILLTVRQEYGFTREHVKAILQDVFFGGIDTSALILEFTIAELMQRPRLLKKLQDEVRACIPKGQKIVSEVDINNMAYLRAVIKEGIRLHPVAPVLAPHISMDDCNIDGYMIPSGTRVLVNVWAIGRDPRFWEDAEEFVPKRFIDSISGAAANVNFRGNDYQYLPFGSGRRMCPGMNFGIAVVEIMLANLMWKFDWTLPPGTEIDMSEVFGLSVHRKEKLLLVPKQHE >ORGLA11G0111800.1 pep chromosome:AGI1.1:11:12001020:12002823:-1 gene:ORGLA11G0111800 transcript:ORGLA11G0111800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMELPLWLPDQALFTVLSMTLPMVFSTMGRVQAEVVVASKVVVGQTEVDMKADMETVMSRGQTVCRSWVLAGSKIRSDAEEQTRSGLPFPRISGRNNSYTDTPYRDFTLQAGQDHMRVILILRRTLVPLITVYLTLAIIYHALKMDWPPFENKLTCNL >ORGLA11G0111700.1 pep chromosome:AGI1.1:11:11996404:11997136:-1 gene:ORGLA11G0111700 transcript:ORGLA11G0111700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGTKYHFIPNDGAASLELVLFEKKGSELIGRTAESMKRQYDINQTPPEIKASKGHKFTFIVKVLPNITINADEPSFEMLTIKERFGRQHSSEGFKATKNLPISSSSIPQLHNLHPLVPILSKKIQHEVKEIKKEFCGT >ORGLA11G0111600.1 pep chromosome:AGI1.1:11:11992202:11992852:1 gene:ORGLA11G0111600 transcript:ORGLA11G0111600.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLYPVLRGEVARYGELVGACYAALEEDPSLPRYMNCKYGKLQMLEDAGAGYEVTRYIYSSPPCRAWRRRTADAPAGPGTLRMGSALALLLAYDLVELGIAGGAAAAAWSPSSPTAG >ORGLA11G0111500.1 pep chromosome:AGI1.1:11:11982720:11990783:-1 gene:ORGLA11G0111500 transcript:ORGLA11G0111500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEVPLWLPDQALFTVLLMTLPMVFSTMGRVQVEVVVASKVVVGQTEVDMKANMETVMSRGQAVCTSWVLAGSKIRSDAEEQSRSGLPFPMISGRNASYTDTPYRNFTLQAGQDHMRVILILRRTLLPLITVYLTLAIIYHALKMDWPPFENKLTCNL >ORGLA11G0111400.1 pep chromosome:AGI1.1:11:11972544:11973974:-1 gene:ORGLA11G0111400 transcript:ORGLA11G0111400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGLHLPAALLLLVLLTMAVANRGGVRDAAVAIPTEGSVNGGPDGYGKIGGWNGSLEAQVVRMTEVIILREHLVPLITVCHLLATICHVLTIVVSLEK >ORGLA11G0111300.1 pep chromosome:AGI1.1:11:11960384:11963985:-1 gene:ORGLA11G0111300 transcript:ORGLA11G0111300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDASSPLPVLSSAYQPLPWLYLGFLAIWAASGFCWASSSWRSRHFQQVNNLQWILALVPLIKALQMGLSFLFWYSCVHLQTCSLWMSFGVYVTGNLFQTASFVSFMLISHGYCIMCERLSIRERRITACLGCLLYLSLIGYKAAVPYFTVFLLINYFMSFYIIFRRTSQNLLLLREQLNFIEEEDIHSLHGALNTKYTMFKRFQGTMQVALVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMYIGWNFRIPEASLHLPIVPLMKSTWEIAMPPIYSVEMDAADFKGLVSDHWHVGVRTSHNNSGCPSQPLLVLVQNPSPKVSTAATASRL >ORGLA11G0111200.1 pep chromosome:AGI1.1:11:11929044:11932511:-1 gene:ORGLA11G0111200 transcript:ORGLA11G0111200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMAARTWLWLLLSPLILLLLHYALALLTACQARKNPLPPSPPALPFIGHLHLIGALPHVSLCRLATKHAPDLMFLRLGTSLPVLVASSPRAAEAILRTHDDVFASRPRTVLADIIFYGSRDIGFAPYGEDWRQARKLVNTHLLSVNKVQSLWLAREEEVKVVMEKISKAAFAREAVDIGQILCSFTNDLACRVVSRKLVGDDRQKKLLQELVNKTIKLLSIFNVEEYFSILARIGVIGKVMCARAERLKKRWDMLLKKLIDDHESKCDSYLVCGRNKDDFVDILLSVRKEYGLTEEHVKAILEDVFIAGTQSSARVIEFTFAELMRKPHMLKKVQDEVRASIPNGQAIVSEVQVNNMTYLRAVVKEVLRLHPVAPLLATHVSMADCNIDGYMIPSGMRVLVNAWAIGRDERFWDDPEKFMPERFVESVNGSATASVNFWVNNYQYLPFGSGRRMCLGMNFAMAVIEITLANLLWKFDWALPAHAMEVDMSEEFGLSVRLKEKLLLVPKQHV >ORGLA11G0111100.1 pep chromosome:AGI1.1:11:11862145:11862570:1 gene:ORGLA11G0111100 transcript:ORGLA11G0111100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKKQTLVAEVDVQRRGCVVLRISDRRRSRRGPTAGGGVRRAGGWGGVIWMGRTERRRSRTGTGTATEDRDGEAEAEAEGERRRRRPPIPSPSSYRCGFSHNGYPFYCPWAEPHSELQCPAHRNPHFTWPNPTLPLFLPI >ORGLA11G0111000.1 pep chromosome:AGI1.1:11:11858201:11859887:1 gene:ORGLA11G0111000 transcript:ORGLA11G0111000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAIAVTPWMAGGEVMVEMEEKQRACAAEMQRVLEGSNVEGEESRDAAGDGEGVSVARGAEEFEAKMRAPREEAEFHTFSDFVIVLVIYRQESSQIKEKPTQSPGCRLTIWTGMLLLSAVIRGGRCIIAGDCDADRARSEIDVHDPDAEIWVTRDVQRSSGVVDR >ORGLA11G0110900.1 pep chromosome:AGI1.1:11:11856208:11856426:-1 gene:ORGLA11G0110900 transcript:ORGLA11G0110900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWPANLLLATTLQLCHGTRLLLRKKWRRRGEKKQRGKMGEKHFGWNAKMRYFDSLVLTPNFGPLVPNPEHP >ORGLA11G0110800.1 pep chromosome:AGI1.1:11:11852230:11852781:1 gene:ORGLA11G0110800 transcript:ORGLA11G0110800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1R016] MEAKGVVVITLVLSLAAAAGAARPKETTMGLTRRGLASEPMTHLHFYFHDKLYVMDDALTEGPEPESQPVGWAQGMYMSTGLAKLGLLQVMNLVFTHGPYNGSVVTVLGRNGPFGNVREMPVIGGTGTFRFSRGYAQLKTHTLDLKKNDAIVEYNVYIMH >ORGLA11G0110700.1 pep chromosome:AGI1.1:11:11821596:11821931:-1 gene:ORGLA11G0110700 transcript:ORGLA11G0110700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVAAACMHRSGISAADGLVTNGSSLTCGGDEAKGGTATTTTVATCGLRSSGSATGRLQNGGSTASNRGSERAEERTLRLHCPSGILIGR >ORGLA11G0110600.1 pep chromosome:AGI1.1:11:11816970:11819158:1 gene:ORGLA11G0110600 transcript:ORGLA11G0110600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGITAANAGAGESIGSVVAAGAEHRGGRHHAGEHLADRAEGAGADDVGVLPGERGLDVATGDGRRAADGFAVKINEG >ORGLA11G0110500.1 pep chromosome:AGI1.1:11:11810599:11811060:-1 gene:ORGLA11G0110500 transcript:ORGLA11G0110500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPLLLLVSLLAAVAATFLAAQALAQHQPQGNATAAAGEGWRPRLRKTYVEGGAAGMVPRRRRLVGRFQICAVCTCCGGPHGVCIPAPCCYAINCNIPNRPFGVCSFTPRTCNCLNCHL >ORGLA11G0110400.1 pep chromosome:AGI1.1:11:11798132:11802956:1 gene:ORGLA11G0110400 transcript:ORGLA11G0110400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSRRPAAAAAPSPSPPASDLEVGFAKLQGEDFEYYMQTYSIVLGRHSRRRNLPGVGGGGGGGGGDAADDVDVDLGILGGGMNVSRRHARIFYDFPRRRFALEVLGKNGCLVEGVLHEPGGEPVKLDSQDLLQMGDAQFYFLLPTRSVFATDAARRASASAAAPAPRVVPPPPSDDDDEDDGEEEREEAVAKRPRNGDAGVLAGRKSEKGSKGYRQSDDLQLLQLEEKDVISSTATVLSDLCGPQEWAPMDRLHEVMFEKYGDLWHHSRVRKYLTSEDWPKSETDGRPWHGLSLLLRKYPEHFVINIRMSGGRSIEFVSLVSLQR >ORGLA11G0110300.1 pep chromosome:AGI1.1:11:11786893:11787629:-1 gene:ORGLA11G0110300 transcript:ORGLA11G0110300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNHHALATSASAASGDPMDSTASYQGGLGHKSKTKIKKGKEKGSSTVPAVYDVNANIEEEYRLFLENVRVHENEDFVLEYDGKVIRYGGEEMDDDDSCIEVPMKEKEEVLKALVISSDDESPTSLRRVYESDSSGQKVEMVVDDQEKMNEKNEAALRLKGEGGPIEDVEKLSPFNLSQGCXCLAFLYGANTL >ORGLA11G0110200.1 pep chromosome:AGI1.1:11:11759451:11761849:-1 gene:ORGLA11G0110200 transcript:ORGLA11G0110200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVLSTVLSMLGPKLYTFLQENHELRRNLEHDIRYIRNELRMIGAVIDEHERGQMNHGGPVQGAWIHGARELAYDMEDCIDRFMHRMTSGHRLATMAIRTKFATVIQKLRKKSEDLSKLRANYTTVGNGNGDCQASTSGTMSSSETTHVPTSDTVPVGMDGPRDEILELIMETQSQPNQLKVISLVGFGGLGKTLLAKQIYENTTICTQFEPQAWVSAAGKSARDVLKEILCQLGFQSQAQEDDHRDVSKLITSLKKCLHSKRNEKVTTTRWKVSRVPKTNGKWDKHGKVHVPAEVLHCN >ORGLA11G0110100.1 pep chromosome:AGI1.1:11:11745772:11747201:1 gene:ORGLA11G0110100 transcript:ORGLA11G0110100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERVGAAMIFLILAFLSEVSASMSQQQQQQCGGASSAGVRAGYWQPSGSHYSPLGSIDTSLYSHLYYSSLSIDETRCSVALPSSGEESSILSNFSSSIKSSGGGFVVKTILSIGTDEFREDVSNAAFSRMASEKNLRRAFINSSIELARANGFDGLDLAWRFPATQLDMENLGALLTEWRAEIMEDSTNRSSEPLLLTATVYFSNHLFDMADTNLNYPIDDMSSSLDWVNIITFGMHKNSNVTTADAPLYDKDSHFSASYGVVSWLDAGLPPCKLVMGIPLFGRSWFLRNKDKNGLGAPTAAAGTKQRKSNQIGIIAYAEIEEYLKSQSVFVTHDDQSVADYFYSGDLWVSFDSAVVVQEKVEFVAKSQLLGYFLSTISFDDSNYTLSKQASQSWNQYHVSSYAQGSFGIMQEGAIIQDLHASTGSPSSWYSKTLSYLLLSIILVLEVL >ORGLA11G0110000.1 pep chromosome:AGI1.1:11:11734930:11735445:-1 gene:ORGLA11G0110000 transcript:ORGLA11G0110000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGERRGTAPQSASWPMRLRHRERIHRHSCRLRMTTWTAPTRRLPTPSPSSSCRTRSLPRVEGTTGRESQCLCHCRHRDQVPPRRQGPRRGPASVGHLRQELAHMCDEYDRLRATRPSTSFQVFISTIVAIIGVQQRDRPHPPIVVPPVMWRTWSELELAGLLLTTKFGKS >ORGLA11G0109900.1 pep chromosome:AGI1.1:11:11731789:11732170:-1 gene:ORGLA11G0109900 transcript:ORGLA11G0109900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRFLHFASLVSMVVLAAGSRSLDGMAALPRRGQLVAGGDNDKNECVYTLYVETGWIWMVGTDAAIGVELAAVDSSGFAVGDLERCGGLMGAGHD >ORGLA11G0109800.1 pep chromosome:AGI1.1:11:11726148:11727560:1 gene:ORGLA11G0109800 transcript:ORGLA11G0109800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVEAGDEAMHVALFPFLAFGHISPFAQLARSLVAVGGVRVTFLSAAANVARVEAMLPADGTAVVAALHLPRVPGLPVGAESTAEVDADGAELLKLALDGTRPQVEALLARLRPDVVLFDFATPWVADVARQLGARAAHFSVFTAVTSAYLTVPARRRLHHGAASCPTVDDLATAPVGFPPSSSLATVPTYQAADFTYMFTSFHGMPSAYDRVAACDKASDVLVFKTCAEMEGPYIEYIATQYDKPILVTGPLVPEPPHGELEERWATWLSSFPDNAVVFASFGSETFLPTAAATELLLGLEATGRPFVAVLNFPRSVDAEAELKKCMAPGFEERVKGRGVVHSGWVQQQHILRHRSVGCYVNHAGFSSVVEGLVAGCRLVLLPMKSDQFFNAALLARELRVGTEVARRDGDGWFGHDAVRDAVNAAFAGAGGGDDDERKWREFLTDDAVQRRFVEEFVRELRKLVL >ORGLA11G0109700.1 pep chromosome:AGI1.1:11:11718193:11724156:1 gene:ORGLA11G0109700 transcript:ORGLA11G0109700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWTKVSNIIFVDSPVGAGFSYAATEEGSKSSDTNAVKQLLIFLRKWLHDHPRFSLNPLYIGGDSYSGMIVPTLTLAIDECTKDINRGHILEPLCEELQSPIHNTAARDVMSRLMLESRPAAADDDIIIFECRKASRVLLKIWANDETVRESLGVQKGTVGEWKRCNRDIDYNSDVRSTVEYHLTLMRKGYRAIIY >ORGLA11G0109600.1 pep chromosome:AGI1.1:11:11686950:11687723:1 gene:ORGLA11G0109600 transcript:ORGLA11G0109600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRLSRWAEAVDDYYEEALLEIDDHQRRMGELVTEVTDLTVQQLQLEEEHQAWGSEIDSLKAQLQVHGDQFQQLSDQYNDRRGMMDTLEEQLRESQEHVSQLEEQLRAATISTTGASTSTAVGRDRYFFLTPPYPPAFHALLGEASMLATESAPYLVDPTVTQPPAPEIVHTPLIPTPSPQLGSSLETPIQVDFKTEGTDTEPEIEPDITDPSEDETPVPRITFLGGPRTLSTARKSTRPPGKRPKPDPEATTSEP >ORGLA11G0109500.1 pep chromosome:AGI1.1:11:11674893:11676944:-1 gene:ORGLA11G0109500 transcript:ORGLA11G0109500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPRQCADSGGDGGDDGDLLERDAPLRLALSVLHDLLGVAAFAASHPLHAAYALFFARHLLALACFFSPLLATTALLLAVLVTVAPCAGARRGGDSPPEPCSLGWTFGIAVGALRAELRPDPDGAGGGAVALLAQLCSFVLGPGDAASVLRVGEIMGELCDTGDSCLILEHKPAVLFDHTELELPWHQAAIDAQISMDQEVLDEIKDGIEEKKVVLEDLNQLSAPHFSSENCSSRSDTSVQDIQRQSFGSSSDGDGFSDGVEEKRLECDPVSVEIKKCEPPAKSLSSVSRRILQWEAQASGNFKKVLDEMEENSVDFSLEKASFLDFKECNKLDGGAYTEKCEVEEIASVAESGMHQEEQVFKDVKECVQSEAETCTEKCSKDQQSEESVVVVQSEEEWQEENLKIVLPEPEMQDQEYKDVEPVKELQDQEYKFLQPEEEEEQQEQDSENDAQPEEQLQEQDCDNGVQPEEEEESQEHEMRLQESHDDQEEEFKDAADQESPREDPLRPSTSIARRVHSRTSSEHLLVVGEGSPRKEKEWKRTLACKLYEERMQLKLCRDRAVVESSSDNMDMLWEAYEVGGGGGAATSTKGGASKAKRKQERATVERKQEHATADEEDDDDDGDEEEGSVRQLCCLQALKFSTRKMSFGGGGGKPSLAKISKVLRRVAALSRSGSRRSTKGX >ORGLA11G0109400.1 pep chromosome:AGI1.1:11:11659910:11661563:1 gene:ORGLA11G0109400 transcript:ORGLA11G0109400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDITALEGYYATRIAHTCTLEGLKKYVMPPSLTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFLNVACRNLETTVMQLFANHG >ORGLA11G0109300.1 pep chromosome:AGI1.1:11:11633760:11635199:-1 gene:ORGLA11G0109300 transcript:ORGLA11G0109300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGAAPVATAAGGGGGDGDLHVVMFPFLAFGHISPFAQLARKMAGVGAGVRVTFLSAAANVPRVEAMLGGTGGTSTVAALELPRVPGLPEGAESTAEVSADGAELLKLAVDGTRPQVEALLARLHPDVVLFDFATPWVVDVARPLGVKAALFSVFAAVSGAYVMAPARRRLPGPGRPTVDDLASAPEGFPPSSPLATVPAYQAADFSYVFESFHGMPCVYDRVAACHNACDALVIKTCAEMEGPYIDYIAAEHGKPVLLTGPIVPDPPRGELEERWATWLSSFPDNSVVFASFGSETFLLHAAATELLLGLEATALPFLAVLNFPKGTDAEAELRKLTPPGLEERVKGRGILHTGWVQQQHILRHRSVGCFVNHSGLSSVVEGLVAGCRLVLLPMKGDQYLNAALFARELRVGTEVARRARDGWFGREDVRDALAAAFAGGEDGGGEEKKWREFLMDDAVQRRFVREFVAGLRRLKG >ORGLA11G0109200.1 pep chromosome:AGI1.1:11:11608473:11609173:-1 gene:ORGLA11G0109200 transcript:ORGLA11G0109200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDAMAAGGDEAGETLHVVLFPFLAFGHINPFAQLARSLLAVGGGTESTAEVDADGAELLKLALDGTRPQVEALLARLRPDVVLFDFVTPWVADAARRLGVRSARFSIFPAVSGAYFMAHGRGLYGARPTAEELASAPEGFPLSSPLSTVPTYQAAHFTHIFTSFHGMPSTHDRSVACHNACDALVIRT >ORGLA11G0109100.1 pep chromosome:AGI1.1:11:11607170:11608335:-1 gene:ORGLA11G0109100 transcript:ORGLA11G0109100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQGRRLRLGQIXKNLYGTMDIYRIICMAKSIVFGHGTHMVFVIVNDVDAMDQVLQVNGDHNHNAFPFNLNFDADEEDLQMHPDMQEYGVYAGDVEVVFEQEELSNSGXEDEHQNKNLTKIQRQHIYAAFAGKTNNGTLRKNSTTEVTAMFNVKRARVQAIW >ORGLA11G0109000.1 pep chromosome:AGI1.1:11:11592850:11595379:1 gene:ORGLA11G0109000 transcript:ORGLA11G0109000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHAAAAAASKAADDAASAAAAVPPPSGEDLKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >ORGLA11G0108900.1 pep chromosome:AGI1.1:11:11586411:11588140:-1 gene:ORGLA11G0108900 transcript:ORGLA11G0108900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGEKKMTSFIAKSSGAEEFEAGAIRRAIEDDCDDDDSGILPPDVLSGEGLDNRDAGFPPSQRSASKITGDRAAVNPAAADPPMPVPGLHHHPNIPAANRQLPPAARLLINCGIFLVATAGSSIVFHTAGDPSAIDGPAYALVAFLFVLLGLWFVLLGPVAGQFPGATRVAVAIAKALKGYLLGGGN >ORGLA11G0108800.1 pep chromosome:AGI1.1:11:11580665:11583325:-1 gene:ORGLA11G0108800 transcript:ORGLA11G0108800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPRASAAVARPVAVRRGGVSSSSRWKLQGSMLNWKSKCSTRSPRTTVAGAKPDDSEFESVNAPLEPQTWEGSFLCGLLKNQPQVLPVAAAKQLQELSNQRKDTLIRWEHSIGSPEDCLHRRIAEMKEHECQTAIEDIMYTLIVYKFFKIEVPLVPNLSKLISNKRLQIWPPREAELESIHGPEVLGLIREHLTSIIRWVHRNGPKINRSTLRIKRMQFSRIYSASIMYGYFLKSVTTRHRLELILAQSQEFCPPIQFLNAQFNSTQKQEQEESIGGSAEISSSSKPSSVVDLHDLKSYMMGFDPKTLELCARLRSCEASNLIEKHSWALFRESMKDFLEPDEAVILDPSSLKRLLLEAIAFGSFLWDVEDYVDEIYKLHDS >ORGLA11G0108700.1 pep chromosome:AGI1.1:11:11578718:11579032:-1 gene:ORGLA11G0108700 transcript:ORGLA11G0108700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSITTTTTKLFLLLLLLHGGHCLKSLDMAMEMEMDSEAHSRMLWESSSSNGRRYISYDALRSDVVPCSRQGVPYYNCRIMTTANPYTRGCETITRCRDVDP >ORGLA11G0108600.1 pep chromosome:AGI1.1:11:11570634:11573476:1 gene:ORGLA11G0108600 transcript:ORGLA11G0108600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QZZ4] MDSVASWGLTPLAAADPLVHDLLEREKRRQRSGIELIASENFTSFAVMEALGSALTNKYSEGMPGARYYGGNDVIDEIENLCRDRALAAFRLDAASWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSAATGYIDYEKLEEKALDFRPKLIICGGSAYPRDWDYAKLRAVADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIAALAVALQQTMTPGFKAYAKQVKANAVAIGKYLMSKGYKMVTDGTENHLVLWDLRPLGLTGNKVEKMCDLCSITLNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLHQAVTICLNIQKEHGKLLKDFSKGLVNNKDIENLKLEVEKFATSFDMPGFTLDSMKYKE >ORGLA11G0108500.1 pep chromosome:AGI1.1:11:11554367:11556777:-1 gene:ORGLA11G0108500 transcript:ORGLA11G0108500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosylhomocysteinase [Source:UniProtKB/TrEMBL;Acc:I1QZZ3] MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSQPFKGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGVGGGPDLIVDDGGDATLLIHEGVKAEEEFEKSGKVPDPESTDNAEFKIVLTIIRDGLKSDPSKYRKMKERLVGVSEETTTGVKRLYQMQETGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSTGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLSKSQADYISVPVEGPYKPAHYRY >ORGLA11G0108400.1 pep chromosome:AGI1.1:11:11552528:11552722:1 gene:ORGLA11G0108400 transcript:ORGLA11G0108400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TARDTEAATARDAEAATSNSSGREQWRRGTQRGWSGLLLHRQDGVGGGRQQAGQHTRRGGVDGGR >ORGLA11G0108300.1 pep chromosome:AGI1.1:11:11538330:11543157:-1 gene:ORGLA11G0108300 transcript:ORGLA11G0108300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEQDTKPFLSPTTTTTIPPRAHRGYKLSACHHHHHQMTAAAPDQRSAGAGAAAAAAGQQQTAAAACVLNRESDELPEEEDGGAATWLLARQAPARRISRSFWSAGEYDADTSGAARPPGNVQNRMCVHPKFLHSNATSHKWPFGAVAELLDNAVDEIKTGATRIIVDKVNGCNGSPALLVQDDGGGMDPDSLRRCMSFGFSEKQSGSSIGQYGNGFKTGTMRLGADVIVFSRCMKSSEPTQSIGLLSYTFLAETNQKDVVVPVVDYKYNLLTGEAKPHQRLGPDQFSSNLSVLLKWSPFATEEQLIQNFSDIGPHGTKIVVFNLWSNDNGDLELDFDIDEKDILISGAPKAAETTNAAKRMNESHLANQLHYSFRVYASVLYLKLPAYFRIILRGEEVKHHYIASDLRYTQCIRYRPQAFGKKEDEVDTTIGFLDGAPTINLHGFSIYHKNRLILPFHRVLSSASSKGRGVAGVLEADFIKPTHDKQDFEKSQLYQKLINRLKEMTNEYWDLYSHLVGYHKLPRAASGSHASAALVPTLSGTIATASSERIPAIRDNPTNAIPIAFAPHLVSSPVGTNAVAAVCSQSQSSMQITIGTDLVDTRKRRMETLDRMDGRSKRLSIHDLAGNNSVDSSNQILQICQHMGERELKEFSYLKIENALLRQECAELESSEKELLLKEQQLSLELEQTEAQYKSLLNEYISVAAVRTVKR >ORGLA11G0108200.1 pep chromosome:AGI1.1:11:11493211:11493432:1 gene:ORGLA11G0108200 transcript:ORGLA11G0108200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVFCAYGMRSRIWKEFKFGMIGYVKFVSCTRGFPKVLRTLSMSLVRGFRLPTSCINRGGA >ORGLA11G0108100.1 pep chromosome:AGI1.1:11:11481853:11482095:1 gene:ORGLA11G0108100 transcript:ORGLA11G0108100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPERQIGRFRVAIRFVFGVAVAVVVAMAAVLTAGSMAAAAPNGLTYKGFIPNQPVCARSCVPGRSNLPQRGCLKIYRFR >ORGLA11G0108000.1 pep chromosome:AGI1.1:11:11477008:11477604:-1 gene:ORGLA11G0108000 transcript:ORGLA11G0108000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQGQHGHVTSRVDEYGNPVGTGAGHGQMGTAGMGTHGTTGGMGTHGTTGTGGGQFQPMREEHKTGGVLQRSGSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGEQQHAMGGTGTGTGTGGAYGQQGHGTGMTTGTTGAHGTTTTDTGEKKGIMDKIKEKLPGQH >ORGLA11G0107900.1 pep chromosome:AGI1.1:11:11470637:11471226:-1 gene:ORGLA11G0107900 transcript:ORGLA11G0107900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYQGQHGYGADRVDVYGNPVGAGQYGGGATAPGGGHGAMGMGGHAGAGAGGQFQPAREDHKTGGILHRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGNNQQQQQMMGNTGGAYGQQGHAGMTGAGTGVHGAEYGNAGEKKGFMDKIKEKLPGQH >ORGLA11G0107800.1 pep chromosome:AGI1.1:11:11462167:11462746:-1 gene:ORGLA11G0107800 transcript:ORGLA11G0107800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYQGQHGYGADRVDVYGNPVAGQYSGGATAPGGGHGVMGMGGHHAGAGGQFQPVKEERKTGGILHRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGNNHQQQQMMGNTGGAYGQQGHAGMTGAGTGTGVHGAEYGNTGEKKGFMDKIKEKLPGQH >ORGLA11G0107700.1 pep chromosome:AGI1.1:11:11454748:11455591:-1 gene:ORGLA11G0107700 transcript:ORGLA11G0107700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGQHGGHASSRADEHGNPAVTTGHAPTGMGAGHIQEPAREDKKTDGVLRRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGNNQQQQQKHTTTTTGGAYGPQGHDTKIATGAHGGTAATTADAGGEKKGIVDKIKEKLPGQH >ORGLA11G0107600.1 pep chromosome:AGI1.1:11:11450320:11451095:-1 gene:ORGLA11G0107600 transcript:ORGLA11G0107600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGENSGIAGAGGNDGASGGGGNGSAPGDNTNGGNTNASTSSAPFSCLPGQANWRPRNTGPAASNPTTPHISAANCPLNVHAKKKLSIAYTTLRGHHKLPMPRPGHSVFGATMSLGVVASGQCGLKEMMDPAAT >ORGLA11G0107500.1 pep chromosome:AGI1.1:11:11444569:11444983:-1 gene:ORGLA11G0107500 transcript:ORGLA11G0107500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCMTYTHQGVMLFFLVLLVCSAIPAQIRGQNTNKIRSDMPMGVKGRNGFLGLDYKPDHCVQTRGGFYCCSLDQLCYPTLEGCIPNCTPPKVHRGSXLTSD >ORGLA11G0107400.1 pep chromosome:AGI1.1:11:11436179:11438816:-1 gene:ORGLA11G0107400 transcript:ORGLA11G0107400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSRSRRGRPPSSPPPRPAAGATLRPHRRRGQIVLLPLGSAAGADFGPFSAAGTDIDLSPRPSFFFPTAAVVLLTGFSSAPLPALRRVLAGAASVSCFSHSDQPPEPPPEPTSAPSPLPEPNSTSASDGAGLVCPSARPTASPISSSISARRIPSRLCNHDSGLASSVYHAPPPPRSTPMDLLSVMQSQSMEGTDASCHHSPTTAAGEALVQQSGGQTKKINEGTINFQSRGLPFSCKDHCDTIMSVDSVLACLASEALDLEKKQLQSFGMQQLERYSVNYLSNAKQCFAVLDARYLVL >ORGLA11G0107300.1 pep chromosome:AGI1.1:11:11428581:11428972:-1 gene:ORGLA11G0107300 transcript:ORGLA11G0107300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCLRPTHQEVMLFCLVLLLCSAIPAQTRDIGQTTNEIQKDMSTGVKNKNSFGELYYKPDHCVQTPGGFYCCALDQLCYPTIGLCIPECTPSKVRRGS >ORGLA11G0107200.1 pep chromosome:AGI1.1:11:11411536:11413033:-1 gene:ORGLA11G0107200 transcript:ORGLA11G0107200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPGPDSAKTQNPTRPGSSHENMWLHVRLRSMTYEVKSLIDQGNLPNYNSTQLNSRLTQRPYPATITQIHYHLTRFTQNHPSPCAAAFVGDGARRLRQTAAEAEEVEANAVAQHRAPGRRGDGARRREADAGVEVERETAARKGERAQPRGFTSGGGTGRRW >ORGLA11G0107100.1 pep chromosome:AGI1.1:11:11392073:11392760:-1 gene:ORGLA11G0107100 transcript:ORGLA11G0107100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCVMHTNQGVLFFLLVLVVCSSIPTQARGQTASKIGSNMLMGVKKAASIGGVKLNVCAVTAGGFYCCSKDQLCYPTLEQCLPKCNYKEKGCAANQPTMVPIHKTMMGRKTKPSNLSQK >ORGLA11G0107000.1 pep chromosome:AGI1.1:11:11385774:11386163:1 gene:ORGLA11G0107000 transcript:ORGLA11G0107000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPSPPSFPHLLHIPVVEARLQESQAASLDVGGGAVFSAFPRRWTRNRRGWGRHVIRQRRRGDPVAAPRPLLLLLLLSCLLALVFPLSWRLLCLETTPPLESFSVLVGLIGVRFAARLQLFLIDLLGSE >ORGLA11G0106900.1 pep chromosome:AGI1.1:11:11385489:11385725:1 gene:ORGLA11G0106900 transcript:ORGLA11G0106900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFNSGGGRTLWSRSGGVQATGGVEEAVTTASGCGGAAGDGDSPRQRRADDDGLLRARQAIPRPDPAATPVPRGSVG >ORGLA11G0106800.1 pep chromosome:AGI1.1:11:11375471:11375840:-1 gene:ORGLA11G0106800 transcript:ORGLA11G0106800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCMKPAYQGLMLFCLVLVVCSALPAQIRGQTIRKIGSNIPMGLKNVVSHASLNVCYQEERDFAYCCSKDKKCYSTISECLAKCTYN >ORGLA11G0106700.1 pep chromosome:AGI1.1:11:11372098:11373169:-1 gene:ORGLA11G0106700 transcript:ORGLA11G0106700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFQGQQHGHPAARVDEYGNPVAAGHGITGTQEAGAGGYGAAGNVAQPQHAFGGATDAGGYGRQAGYGATGTGTHDAAGYGGSGQPAYGATGTGVHDAGGLMPGHTAGHGTTGTGVHHGAGAGLPAEQTAGYETTGVTGAQHGAGGLGTGHTAGYGTTGAHHGAGGLGTGHMAGHGATTTPDTMAYGTTGTGAPHGATAGTGAYPHAGGQFQPAREEHKTGGILRRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGGQQQPTATAATGGYGAGTGHTAAAGTTTDAGGTAYTPTTQPTHEKKGMMEKIKEKLPGGGHH >ORGLA11G0106600.1 pep chromosome:AGI1.1:11:11367470:11368755:1 gene:ORGLA11G0106600 transcript:ORGLA11G0106600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAGDLLSXYVLQACEFWPNCPFIDKFDSRHRPIDXSPVLMSFLLHFMFILCKRFAAGGDGRRGGSGGRPSARSGGRGGSGSGGGPWATSAGSRLPDPATSPTASPPIAYLLLHPIFGCLYRLGSNRIRQLPAPLSVLTSAVFVVPVGKTDIFIVFLTGTSNPIWDSVLCQFLFRWLTKVY >ORGLA11G0106500.1 pep chromosome:AGI1.1:11:11295865:11296875:-1 gene:ORGLA11G0106500 transcript:ORGLA11G0106500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1QZX3] MTLANIPEIMESLPVETRFTEFPLRQYGGFWLLEVMLNGVAAAETSFQPRPSDILLSSFPKCGTTWLKALAFATLNRSTYPPSDEHHPLLEHNPHDLVGFLEIYPKLELYESLPSPRLLSTHLPYSMLPHRIREQETGCRLVYIYRDPKDAMVSMWHQNKKEKKNRLTFEEMFDMFCEGRCVVGPQWCHAGEYWDESQARPEKVLFLMYEDLLRDTVGNLRTLAEFMGCGFSRQEEDDGIVQQIVELCSLNNLKNLNVNKSGTTLLGISKDGFFRKGGTGDWSNHMSPEMAARLDKIVKERLEGSGHPIISRINAKATTSIGSSNHGASEAKYIKE >ORGLA11G0106400.1 pep chromosome:AGI1.1:11:11288560:11288874:1 gene:ORGLA11G0106400 transcript:ORGLA11G0106400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLLLISSIVVMTFLLLLSGAGALTADHATVGVAVAGGKRTRHAEELSEMDSVPYPQRRVLQGGNTVYRPLGRGAACNPICPGRGDRYTGRGCKSRYQCRGM >ORGLA11G0106300.1 pep chromosome:AGI1.1:11:11245069:11245476:1 gene:ORGLA11G0106300 transcript:ORGLA11G0106300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRWIASASRRRFVPVFGLPRRGGEWIKDHPRMLHMRGIAPKLTRERGTDDVGRRPDLRKKERISLSAALQRDYLGRPSPGTLATIMAQRLGRRCTGSSGTAKRPMAALWTPRTPTSSTQCVRSMQRENKEQD >ORGLA11G0106200.1 pep chromosome:AGI1.1:11:11220358:11220609:1 gene:ORGLA11G0106200 transcript:ORGLA11G0106200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAIGGDRRRARRWRTVRKAAASAEGDGMRAENGREKRKGRERWSSPTSSGRSGKRRWRRRLAARRDGRAAATPLEGVERG >ORGLA11G0106100.1 pep chromosome:AGI1.1:11:11215352:11217056:-1 gene:ORGLA11G0106100 transcript:ORGLA11G0106100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLWAGLSGLGAYGHLNARKKITWKMCNKIDLRMQCNHAEVRDASSAGGHSCESCPVVLELHMLREPAAFGLPTRSDIDYCQLIQDYGSAGATIGLRIRRVEDLSLQETEAAESGSYPPLYKRILQGSNRNVGHKLLVKDNAGCLRGQCAARARCALLPTPTPPVQPRLQVPPLVLMISMIFVTWLDIWIH >ORGLA11G0106000.1 pep chromosome:AGI1.1:11:11208698:11209018:1 gene:ORGLA11G0106000 transcript:ORGLA11G0106000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMLLFAATVVAAAAVLVAAVDVGSSGALLPMHVLRGRVADVEDVVASVVEEEEAAYPRRRILYDSRYASYNGLTESKAACYGSCPGRGQPYSGRSCLNIYQCKG >ORGLA11G0105900.1 pep chromosome:AGI1.1:11:11185448:11189680:1 gene:ORGLA11G0105900 transcript:ORGLA11G0105900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor [Source:UniProtKB/TrEMBL;Acc:I1QZW7] MACLAPHFKWAPCPHAHHHHSTSSLPSSSSSSTSAPSSSSRCSFSRGGPFRVHCAVTATTSAAAPVVVEAPGGGMRLAYAAAPDSSAPALQKKIQSALASEVFLNEEAVVTAAAAEAVALARAAAEAAQEVVRMVQNNKNERNTRPKKAVVNYLANEILRTEMKPNILDKYSDGILSEEIESYGILSDEAELDGDTQDIPSIAVKSARQTERRARRTRAAIKAATIVRTSPKPATSSKKKRSKGASSGTNPLGSLWKMTGRRLLTAKEEVEFSEGIQDLLKLEAIQAELAEYNGGQPTFAQWATAAGVDERTLRKRLDHGIYCKNRMVTSNVRLVISIAREFEGPGMELYDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAMRKSVSEQSQIFRLPAHMVEASYRVKECIKRLRRKLKRRPTNEEIAADTGMPIKRVEAAVNLPKYSVSLDSKIGSTDMTYQEVTADPTAETAEEMLNRLSMKRDVQKALDTLTTRERQVVTLRFGLEDGRIRTLQEIGNTMGVSRERIRQIESAAFRKLRSKKRVNALKDYLVPVGNW >ORGLA11G0105800.1 pep chromosome:AGI1.1:11:11183580:11184605:-1 gene:ORGLA11G0105800 transcript:ORGLA11G0105800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKAPSAVSCCFPFTVVELVGLAGTGTSARHRGHVVRRRARAPSPLPRRRAGTPRTPPPLPPPHYLRGGTGARSRAAAQWRRSRGASTPTGLLGRRATRRPQLRHRALRLRHAPSVQGEAPAGERGGGAALVRTDPRRARLPPRTLAAHHPPRPQVRQHLRQRQPEGGQDRRPRPRCVPPLRRRRRRRPHSLRRHAGVHGSRGVRGVLRRARRRLLLRHVRPRDGHPRLPLQRVLQPHPNLQASHLRDQACCVVQGERPGDEAIHRTVPRPDGASCSTTRSCCRSKTMASSPATAMAMAVLALPTTI >ORGLA11G0105700.1 pep chromosome:AGI1.1:11:11179343:11182356:1 gene:ORGLA11G0105700 transcript:ORGLA11G0105700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLLWMALIMVTSVGFCKGDQDFVAEGGYIKIKRSTFAVVIVFTVMLIALIIALMRYMSKKSKADETIDSTRSSQDNKVHGEVINRWSGLYKFSKGEIEKAINYANSKICLGSGSAGQVYQGVLPSGQLVAIKHIHKSAMSGSFMREVEGLSKVRHPNLVCLFGYCDDGGDQYLVYEYCANGNLAQNLLRSDSVLSWPARVKILRDCASVLRFLHTHPDGCIVHRDIKLTNILLTESMEPKLSDFGLAKMLQMEETKVFTDVRGTIGYMDPEYITHSKLTCASDIYSFGVVALQLLSGRKVIELDTVARDSLTKKARDVVSGKKPLDEFIDPRVRDEVNIEDFVLILKIAVLCVAHSSVGRPTIKDVFEEMDKALTNTDSKVGRAREEINPSNTIQYQYATGLNIV >ORGLA11G0105600.1 pep chromosome:AGI1.1:11:11170807:11177939:1 gene:ORGLA11G0105600 transcript:ORGLA11G0105600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDARRLPLLPFLLLLLAAAAGVAESATDAEAIHDLARSVPALGWDGDNVCGFEGVTCERGGAGKVTELNLADRGLSGTLPDSLSSLTSLTALQLQGNALTGAVPSLARMGSLARLALDGNAFTSLPPDFLHGLTSLQYLTMENLPLPPWPVPDAIANCSSLDTFSASNASISGPFPAVLATLVSLRNLRLSYNNLTGGLPPELSSLIAMESLQLNNQRSDDKLSGPIDVIASMKSLKLLWIQSNKFTGPIPDLNGTQLEAFNVRDNMLTGVVPPSLTGLMSLKNVSLSNNNFQGPKPAFAAIPGQDEDSGNGFCLNTPGPCSPLTTTLLQVAEGFGYPYELAKTWKGNDPCSPAWVGIVCTSSDVSMINLSRKNLSGRISPALANLTRLARLDLSNNNLTGVIPDVLTTLPSLTVLNVANNRLTGEVPKFKPSVNVLAQGNLFGQSSGSSGGGGGSDGDSSSSDSAGGGKSKPNTGMIIGIIVAVIILFACIALLVHHRKKKNVEKFRPVSTKTSPAESEMMKIQVVGANGISNGSSAFPTELYSHVSAANSSNISELFESHGMQLSVEVLLKATNNFSEDCILGRGGFGVVFKGNLNGKLVAVKRCDSGTMGTKGQEEFLAEIDVLRKVRHRHLVALLGYCTHGNERLLVYEYMSGGTLREHLCDLQQSGFIPLTWTQRMTIALDVARGIEYLHGLAQETFIHRDLKPSNILLDQDLRAKVSDFGLVKLAKDTDKSLMTRIAGTFGYLAPEYATTGKVTTKVDVYAYGVILMEMITGRKVLDDSLPDDETHLVTIFRRNILDKEKFRKFVDPTLELSAEGWTSLLEVADLARHCTAREPYQRPDMCHCVNRLSSLVDQWKPTNIDEDDYEGETSEMGLHQQLEKWRCDDFTISDSDTFGSFNVPRKYNG >ORGLA11G0105500.1 pep chromosome:AGI1.1:11:11109266:11115737:1 gene:ORGLA11G0105500 transcript:ORGLA11G0105500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41670) TAIR;Acc:AT2G41670] MRGLARAGKRASEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVLEVRDARIPLSSANEDLQPVLASKRRILALNKKDLANPNIMNRWLHHFESCKQDCISINAHSTSSVSQLLGLVEFKLKEAISKEPTLLVMVVGVPNVGKSALINSIHRIVTSRFPVQDKNKRATVGPLPGVTQDIAGYKISSQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLVYRREQFNEDAFNSNEKDYRDSPRRRRRPNNSDVLYVQDMVMEVQRTLCSTFMDFADNTEDENELESLIDSQLVALRKVFRIPHKPFDETHGPASKKLLTLFRSGKLGPFILDDLPDNQ >ORGLA11G0105400.1 pep chromosome:AGI1.1:11:11106515:11106987:1 gene:ORGLA11G0105400 transcript:ORGLA11G0105400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIMNVALQLLLRCYFSVPISQKVDFCREMSHGPLFYLGSRIQGLLYRLPSRTAVPWRQHPP >ORGLA11G0105300.1 pep chromosome:AGI1.1:11:11081927:11083441:-1 gene:ORGLA11G0105300 transcript:ORGLA11G0105300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QZW1] MHFLIVSGAAQGQITPARRLARALVAAAEPGVIIRATLAVPLSALRRMFPGKAAGAAAGEGAVVLSDGAGVDYAAFTDGFDDGFQPERCDGAAFVGRLQLVGPASLARLAAALRARGRPVTCVVYTLLLPFAAAVARDLDVPAYFFWTMPAAVLSVYYHYFHGRHGLVDAAAGVRDDPNRRVQVPGLEFLRARDLPSLLTGPSPYLPAFREMFHVVEATAAASCHAHGQSGAKPRVLVNTFDALEPKALASVPGIDLIPVGPMVTDTEADGGGDLFEQDDDAGYMQWLDKQRDASVVYVAFGSLAVLSLRQLEEIRHCLEVTGRPFLWVVRRDNRDGCGGGGAATGLLPPAGGMVVEWCSQARVLAHRAVGCFVTHCGWNSTLETVACGVPAVMAPQWSDQATNARMAEARWGVGVRAETAADGTVLSSELSRGIDAVMGDSDGARAIRRRARTWKARAAMALDAAADDAEVDGDATAARNLRRFVQGVRSREREREQKQAGQS >ORGLA11G0105200.1 pep chromosome:AGI1.1:11:11058963:11077720:1 gene:ORGLA11G0105200 transcript:ORGLA11G0105200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aminophospholipid ATPase 2 [Source:Projected from Arabidopsis thaliana (AT5G44240) TAIR;Acc:AT5G44240] MKRFVYINDESYQNDYCDNRISNTKYTLLNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYISDKQANEKEVWIVKNGTRKHIQAQDIRVGNIVWIRENEEVPCDLVLIGTSDSQGICHVETAALDGEIDLKTRVIPLTCVGLDSEQLHKIKGVIECPNPDKDIRRLDANIRLFPPFIDNDICPLTISNTLLQSCYLRNTEWACGVAVYTECVKIFMQSDGTRLILKDTIYVANVKQIAIQVYPNLIYKAKTEVEFTKGLIIVNFNFSGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQIAVVVVLGSAGNVWKDTEARKQWYVKYDDDEPWYQILVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEEMYDHETDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGTFYGNESGDALRDVELLNAVANNSPHVIKFLTVMTLCNTVIPIKSSSGAILYKAQSQDEDALVNAASNLHMVLVNKNGNTAGQRIKTFVDAVDKYAQLGLRTLCLGWRELESEEYLEWSRSFKEANSALIDREWKVAEVCQKLEHSLEILGVSAIEDRLQAGVPETIEILRQSGINFWMLTGDKQSTAIQIALLCNLISSEPKGQLLYINGRTVDEVARSLERVLLTMRITTSEPKELAFVVDGWALEIILSRYNEAFTELAVLSKTAICCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSVGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSFLSGIAGTSLFNSVSLMAYNVFYTSIPVLTTVLDKDLSEKTVMQNPEILLYCQAGRLLNPSTFAGWFGRSLYHAIVVFLITVHAYANEKSEMEELSMVALSGSIWLQAFVVTLEMNSFTFVQFLAIWGNFIAFYIINFFISSIPSAGMYTIMFRLCRQPTYWVTLLLISGVGMGPVLALKYFRYTYRPSAINILQKAERSRGPMYTLVNLESQLRSDMENTNISISTPPVKNKNSVYEPLLSDSPISSRRSLVSSSFDIFQPAQSRTTSSFPRNIKAN >ORGLA11G0105100.1 pep chromosome:AGI1.1:11:11049156:11052557:1 gene:ORGLA11G0105100 transcript:ORGLA11G0105100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAEPLPALFAPNFLVGSGIDDVNLAFNSHQQSFALAKIVEIDIVVKIDSLSFRLVNPMANILSRSSGRVLLIYDATSHKVQKNALKSQSCCSVRGDTVSRSGASAWGGGGIPNENRGMTEVAQKFSQTGSLPELTSTPLVICFHVYALDDSRMVVMTVSPKLST >ORGLA11G0105000.1 pep chromosome:AGI1.1:11:11005196:11005543:1 gene:ORGLA11G0105000 transcript:ORGLA11G0105000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERRDARETTRTVPDIASLHDVTMTKNEKAVRAKTETVITATTATITTIANGGCWAILVKDAAIMTTMMEIGAGTTTRDDDRILENPADVLVIVHRNQVTHCHRRHLPHPHHQI >ORGLA11G0104900.1 pep chromosome:AGI1.1:11:10998276:11002319:1 gene:ORGLA11G0104900 transcript:ORGLA11G0104900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGAAGGGGGGGGGGDHARSKEAAGMMALHEALRNVCLNSDWTYSVFWTIRPRPRCRGGNGCKVGDDNGSLMLMWEDGFCRPRVAECLEDIDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNITNYWQSSFDALPPEWTDQFASGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSSRGTSPSPSSFPLKQQQPPPPPAARPPPQLFNWPGHAPPQLPPGASPLFPPGPAAFHPSSRPMPPFPGGGKDESHLFHLPPAAAAKQPQHMDEHHHHQQQPMAAPQQHGGEAPEGDLKWPNGLSFFTALTGRTEDAKLLFGGGGGGGADDGSKTAAAAQDAGHGGAENVEEYLSLESHSNKARRMESAQSTKFKRSFTLPARMSSSTTSTSPSVSASTAPAPPQQQQGMEYRGPHEGGVYSDLMETFLE >ORGLA11G0104800.1 pep chromosome:AGI1.1:11:10995716:10996328:1 gene:ORGLA11G0104800 transcript:ORGLA11G0104800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEEDMRVRNKDEGGTAIDEEPIEDQGGESNKGDVEDALGFIVLALTMAHHRRVISVATTTTTYYCDDVGGGRDVGGGSIVHVGSWREEDKRRRSSGSRVK >ORGLA11G0104700.1 pep chromosome:AGI1.1:11:10988030:10989193:1 gene:ORGLA11G0104700 transcript:ORGLA11G0104700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVRLPRSRMQELRSDGCVAFLERVTLFCNRYGVEFHEMDGVYVPYGRSQRFARNQTNDDHFRREVYIGIIDQISQEFDNHFDEVNMKLLSCMAALNPTNSFASFGTQKVEMVSALKIKIANLGGHEFKDCILMPLQSASICIETSYKMPLSS >ORGLA11G0104600.1 pep chromosome:AGI1.1:11:10966433:10967002:1 gene:ORGLA11G0104600 transcript:ORGLA11G0104600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVFAEVAAGLLLTAAMPTVDTARSGDHGVDGKASPEIKTWQRFGLHDFRRKKERLNREIGKDFHINFWGFLGEKEEEIEWIPFPQPIWK >ORGLA11G0104500.1 pep chromosome:AGI1.1:11:10946881:10949478:1 gene:ORGLA11G0104500 transcript:ORGLA11G0104500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G32800) TAIR;Acc:AT2G32800] MSLRRLCFVLPMDADEVVVVAGAAGEEQPRRRGMAASGRLASYVRRKVGRALRCGLCGAWCHHRSSGVCSFEDIAGVDAVGAGKLGGGAGGSPRIFSYSELYIGTSGFSDTEILGSGGFGRVYRAVLPSDGTTVAVKCVAGRGDRFEKSFLAELAAVARLRHRNLVRLRGWCVQDEEELLLVYDYMPNRSLDRLLFRPAAAAAPAASAPALSWDRRRRIVSGLAAALFYLHEQLDTQIIHRDVKTSNVMLDSEYNARLGDFGLARWLEHAMSGEDAPPPQLEVSPSPHSARSSSFASANYQFRLMDTSRIGGTIGYLPPESFQRRAMATAKSDVFSFGIVLLEVATGRRAVDLAYPDDQIFMLDWVRRLSDEGKLLDAGDRKLPDGSYPLFDMGHLIHLGLLCSLHDPRSRPSMKWVVENLSGSCSGDLPPLPSFLALPKYVSLTSPSDSGTTTNATDSTVTSASKLYGTAAGTTIYLTAENGHRSRGGLADNSGGSSQRSTRPLVVIPSVDTPREISYKEIVAITNNFSESQMVAELDFGTGYEGFLDNGYGGNGARRDRVHVLVKRLGMKTCPALRVRFANELRNLAKLQHRNLVQLRGWCTEHGEMLVVYDYSPGNLLSHHLLRRDGAGAAAVLPWRHRYSIVKALASAVLYLHEEWDEQVIHRNITSAAVFLDPDRNPRLGSFALAEFLSRNESHGGAGGHHVALPATSSAARGIFGYMSPEYMETGEATAMADVYSFGVVVLEVLTGEMAVDVRSPEVLLVRRAQRWKEQSRPVEAIVDRRLDGQVDRPELERLVRLGMACTQSDPAARPTMRKIVSIMDGNDEILKKFEQRKQQSKEEWEATNAAALSLVRRLHALAIH >ORGLA11G0104400.1 pep chromosome:AGI1.1:11:10940607:10941128:1 gene:ORGLA11G0104400 transcript:ORGLA11G0104400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTSPARRCDAEGGVGAADGARVEEGPTGEIPARQKERPAWHDGVPVKFGQRRGLAGEEDGVPVPGEVVATSVGAQETRQRRLEAEQWRQHHCCRRGCTFGGLHGKRRAGRGRGGDCDVGGGDGTAGRRADAAAGTAGGCWHREREGAATGGAKDLGEAKTERREEKGFVL >ORGLA11G0104300.1 pep chromosome:AGI1.1:11:10921600:10922352:1 gene:ORGLA11G0104300 transcript:ORGLA11G0104300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSSWSSSSSCTSSLGSLDDDVVVACVVKAADAAVEGTCVKFLCSYGGRILPRHADGALRYVGGDNRVVSVDRSLPFHELQRKLREMCGWEAVCLRCQLPTEDLDALVSVTGDDDLANLLEEYDAASRDRLQPLKIRAFLFPRTTTTTPPPPSRTVPHALYHRQTGSAPSCASRWAAHQMSSPPARVLHQQQHHNRHSGEARPHRYLVQSASHW >ORGLA11G0104200.1 pep chromosome:AGI1.1:11:10913424:10914190:1 gene:ORGLA11G0104200 transcript:ORGLA11G0104200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSSWSSSSSSSLGSLDDDIVVACVVKAADAAVEGACVKFLCSYGGRILPRHADGALRYVGGDNRVVSVDRSLPFHELQRKLREMCGWEAVCLRCQLPTEDLDALVSVTGDDDLANLLEEYNAASRDRLQPLKIRAFLFPRTTATTTAPLSRSPSPTAASRTAPRAHYQHQTGSAPSCASRWAARQMSLPPARVPHQQHHYNRHGGDARPQRYLVQSASSRW >ORGLA11G0104100.1 pep chromosome:AGI1.1:11:10840336:10843165:-1 gene:ORGLA11G0104100 transcript:ORGLA11G0104100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTASCSLPDAWPEPVVPVQSLSEAGVSAVPPEYIKPPQDRPVLPAPILDVPTVDIAAFLDLDGAAAAAADEQLKNLAEAYSKHRFFQVVNHGVQASTVERMRGAWRRFFALEMEEKEACSNSPAAPEGYGSRAGVEKGALLDWGDYYFLNILPSEIKRRNKWPKSPHDLREITEDYGRDLMNLCEVLLKAISLSLGLGENQLHAAFGSDDGIAACMRVNYYPKCPQPELTLGISSHSDAGGIAVLLADDRVKGTQVRKGDTWYTVQPIPNAFLVNVGDQIQIISNDKYKSVEHSAVASSDDARFTVAFFCNPSGNLPIGPAAQLVSSLSPALYTPIIFDEYRRYSRRRGTQREVPARGHEEQQ >ORGLA11G0104000.1 pep chromosome:AGI1.1:11:10823147:10824532:1 gene:ORGLA11G0104000 transcript:ORGLA11G0104000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNSSKGAMEGGHRCRRPNVDDDDQIEEGEICYDCHVSGSETDDDDEHHRRAVLPPHHRRAVLPPRDNGDGCAEHKRCRLDNAATAPAPSAGSVLTTSNGSAIVAIASAAAAAAAAVSTMAREVFACRICRKEFDTRKAVDGHMRIHRQQSIATPKYNAADNSRVTVVAEPRTDLDLSGPHGSSSAPPSPPAPPANPPNHNQAVGHQPAAAAPNAGVVVVEGAPQKSLPYMCKMQGCGRAFPTHQGLGGHAAGHQNRSKAAAAAASEQGSSGTGADGCHGGADSSKHRCRECGMEWKTGFALGGHMRKHQTKEKVTVNEKEPNVAGKHISLGPPPSPDLTPAAVEVTSSEPLDQPPLLSMVVGAEVAAPALLALANEAAALPPQDDQAEEEAAAEAAAPAEAAALPPVEAGAEAVDVGAAPEAPLPAPIAGMGTVRIFGFLVEKPAPGDGSGGXGSAPA >ORGLA11G0103900.1 pep chromosome:AGI1.1:11:10796487:10798367:-1 gene:ORGLA11G0103900 transcript:ORGLA11G0103900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGFLGGGGGSFHALAAWPLPFDAAAALHGGGWDSDPALGELVPSPVGVGVHEAALMDELASRLGGVCGGGLGGGGVPSPPSSSRYASCYSTPVGSPCKPAPAPPVPSLLAAADAMIAERAARMSCLAAAGHGGGKLSRAASSQSLLAEPAAAGGVHQLPASDGSSSDAPSRKRKAPGSKARGKDAATSIAKVLPLLSASPLQSQRRTSPETMVNFPQSPEPGTKAKKCKLSADAAGDEDTKPVAGDAGHGGNGKGKVLDAAGEPPKDYIHVRARRGQATDSHSLAERVNAAGVYAAIHNFVFSSILAFADAIAAVFHLQVRREKISERMKLLQDLVPGCNKVAKFSQKNKFKFHVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPQLDFDVDNFIPKDQANDPSMPAPLSLPPPPPPLSYSPEGASPGICYASSQGTAMQSVVTSTKHLETAPTFANHHVIPVPSLDGFHSAHSQAGSCMWEDDLQSVVQMGFRGNT >ORGLA11G0103800.1 pep chromosome:AGI1.1:11:10783462:10783977:1 gene:ORGLA11G0103800 transcript:ORGLA11G0103800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VARGSTVLMGNGSHASVHGVGTVDLKFTSGKIVQLKNVQHVPSIDRNLVSGSRLTRDGFKLVFESNKVVVSKHGYFIGKGYECGGLFRFSLSDFCTKSVNHICGSVDDEANVWHSRLCHINFGLMSRLSSMCLIPKFSVVKGSKCHSCVQSKQPRKPHKAAEERNLAPLELL >ORGLA11G0103700.1 pep chromosome:AGI1.1:11:10777742:10778017:-1 gene:ORGLA11G0103700 transcript:ORGLA11G0103700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGKKVGAAAEARGLPRLVWYKVLVLVLVLQFLYSRQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLEVCVRVILSWETWLQCCTV >ORGLA11G0103600.1 pep chromosome:AGI1.1:11:10776662:10777006:-1 gene:ORGLA11G0103600 transcript:ORGLA11G0103600.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRQPPSLRRCRAHLLPRLRRRRRRQRHVQGRLRWFPCHPASDNGATLSAAEGYDGEDRVSALPDDLLVARLPSRTRLAPPRSPPAGGGSGAPRRSSSAASPLCAGVEGPRGGEVP >ORGLA11G0103500.1 pep chromosome:AGI1.1:11:10773655:10774927:1 gene:ORGLA11G0103500 transcript:ORGLA11G0103500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATSARDVGASDGAPPPVVKMTTEGGNAAAGDRGGAARGRRERSWAAGDERQGGVATRRRRAGRRGGLTSLAATLGGLEAVLIVARSDNSSRPHPPSAAAFVVFPHGGARARRVVRPATAAPEEAIEAPLKRKETASPPPPPDGLVTNKPPGMRFTARRNMRGPVDHLHNSNSFDGISVSGSDGSSGRFTLKNNASMQDSRLSSTCFSTQTTSTASARVRVARLRGGGRWRAQKTASATDP >ORGLA11G0103400.1 pep chromosome:AGI1.1:11:10742925:10744079:1 gene:ORGLA11G0103400 transcript:ORGLA11G0103400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKYGLSPPELPASPPPRPRSDDDHAVAALTTTTVDVIKERPVRFSSPQLREMTGDYAERLGAGGFGVVYKGQIPGGLAVAVKVLGSDMGRRAEEQFMVEIGTIGRTSHVNLVMLYGFCFDADLKALVYECMPKGSLERYLFFLDEQEQGLGFHKLFRIAVGTAKAIRYLHDECARRIIHYDIKPGNVLLDEELEPKVGDFGLARLCDREKTHLTMTGGGRGTPGYAAPELWKPVPVTHKCDVYSYGMLLFEILGQRRNYMHGMESQEQWYPRWVWQRLEHGETEAVVARARAQGGAAAADKAERMCTVALWCVQYRPEDRPSMASVVQMLEAHDHVAAPTVSPFAHLDPQVIITTSSSSSSLLHTDDTTGGTTSTHISHHIT >ORGLA11G0103300.1 pep chromosome:AGI1.1:11:10732286:10735832:-1 gene:ORGLA11G0103300 transcript:ORGLA11G0103300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QZU1] MAPGSDRNGHEGRRPRRRRVLLLPLPYHGHINPMLRLAAALHDRGLAVTVVHTETRAPDRRSLPAGCELVTVPDGLPPELAASDDIPSFVFALNRNCAAPFRDLLAGALRQEEEEDGGGVACVVADVDWFAPLAAARELGVPALALMTSSAARFRVYLAYPRLCEKGYLPVQESNMDMPVDEHPPLLVRDLQVMMDTSRHVAYASLLAHIVAGVRQSSGLILNTFNAIERTDVEQIRRDTAIRVFPVGPLHMLSPPATAATQKSSLLPEDRSCLEWLNTQLPGSVLFVSFGTLASIDADELLEVAWGLAASNRPFLWVVRPRLVRGRDSVELPSELLEETRGRGRIIRWAPQEEVLSHPAIGAFLTHSGWNSTLESISRTVPMICKPCGGDQLGTARYVCDVWKVGVRVEVEDKLTRGGIQAAIERLMDGIEGGVVRDRMREMGDVVSKCTMKGGSSDLALQDLVDFIKSS >ORGLA11G0103200.1 pep chromosome:AGI1.1:11:10696378:10700872:1 gene:ORGLA11G0103200 transcript:ORGLA11G0103200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTSSKNERWSLAGATALVTGSSKGIGRAIVEELASLGATVHTCARTEAPLNRCREELTAKGLAVTVSVCDVSLRADREALVGTVRELFGGKLSILVNCAGMSFLKPAVELTPDDCSQVMGMNFESCFHLSQLAYPLLKASQRGCIINISSIASVVAFCSLPNAVYSAAKGAMNQVTRNLAAEWANDGIRVNCVAPGFIRTPLLSEFVEGNELGRAEFSRVPMGRLGEPEDIASLVAFLSMPASSYITGQVICADGGRCLS >ORGLA11G0103100.1 pep chromosome:AGI1.1:11:10693959:10694312:-1 gene:ORGLA11G0103100 transcript:ORGLA11G0103100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRQSFGTHQKKHLLMRPKWLYPDRQTLRVQLKDLQRYVVLCNFVVLALNLCTRVIDRRY >ORGLA11G0103000.1 pep chromosome:AGI1.1:11:10680340:10680945:-1 gene:ORGLA11G0103000 transcript:ORGLA11G0103000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRLSTVPSWLPIGMDPIATYLLEIKLAGDRKNARVEYDWFIFSKVVDSNVLCYKDFVDDIAKSYPWGPNETVTIDYMDLVDKTSHHVKTDQDMIAMFEKFIDIKVIPMIIRIHGIDENIDELDHTLDKVNIDVFDTPSLAIPSQVDFSQPSSNTQPSRVTVPSNTYT >ORGLA11G0102900.1 pep chromosome:AGI1.1:11:10678650:10678922:1 gene:ORGLA11G0102900 transcript:ORGLA11G0102900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFKHVRDVDCYPNLSIAYRILFTVPVTVASAERSFSKLKLLKNYLRTTMTQERLNGLATLCIEKRLLDEIDINIIINDFVSRNVRRNY >ORGLA11G0102800.1 pep chromosome:AGI1.1:11:10671622:10672863:1 gene:ORGLA11G0102800 transcript:ORGLA11G0102800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNNAGLTLSKLTLETTTSNYTQQMVANFESCFHLSQLLHLLLKASERGSIIHISSISSYLAYPYLAVYSAAKVLIAGLFFLLLVPIAGLLGAASDGGFPFVLRLAFVGAGCGVCSPRGGSAIIMLEGXGWLELVSELDL >ORGLA11G0102700.1 pep chromosome:AGI1.1:11:10666446:10667015:1 gene:ORGLA11G0102700 transcript:ORGLA11G0102700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAGRDERWILAGATALVTGGSKGIGRAIVEELVGFGSTVHTCARSEAELSRCQEELTAKGLAVSFSVCDVSVRTDREELVSRVRELFGGKLNILIFTIW >ORGLA11G0102600.1 pep chromosome:AGI1.1:11:10664667:10665813:1 gene:ORGLA11G0102600 transcript:ORGLA11G0102600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVPKLTAKELKSQQAXERYTALSVEEKAVLVQRNRENRERKNSASISGTGTDVAAIVYDVGPVDHYANFPNSVRKHILFVIFVLMDAEVRNSMFKEPVLHDAIKIGVNFRNQELLQPAEQNNAPREPEVVIVEDNEVVIEPLPKKKCTGNKG >ORGLA11G0102500.1 pep chromosome:AGI1.1:11:10650589:10654820:-1 gene:ORGLA11G0102500 transcript:ORGLA11G0102500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTPAGSERDIRDDLFMCSELYIAAFEGHTDQVIGLLEGSRASAAVAGNGWSSPAAQPTAKHHGNCNIHELTADRWTLLHIAAAQGHDGLIDELCRRDSGLLSAASSSGDTPLHCAARAGHAGAVRAIDRLARANVEEDRLREALRGKNEAGDTALHLAARHGHGEAAEAVVEAAPETAADLNGAGVSPLYLAVMSGSVRAVTAILWCRDASAAGPKSQNALHAAVLQCSEMVSLLLNWKPGLVTDLDSNRSSPLHFASSDGDCSIIKAILAHAPPGAAHMQDNQGLSPLHAAALMGHAAAVRLLMQFSPASADVRDKHGRSFLHVAAMKGPASIISHATKNRMLEHHLNAQDRDGNTPLHLAVAAGEYNVVSKLLSSGKVQTHIMNNAGCTPSDLVKDCKGFYSMVRLVVKMYVSGAQFQPQRQDQIEKWNGQDIMKWRETTSKNLAVVSTLVATVAFSAAFNVPGSYGDDGKAILTGDRMYDAFLVLDTFAVVSSVTATILLVYGRASQSNRSWVGFMISMHFLWMSLNSMVLGFFTAMAAVTNKKVGTKTAMSQMIYFGMYFLVMLLISLVTPGSLIGTLKFLIGGCMERQRRVKRRICRQYPFTISYAFNVVMFVVLSCVAITSVDVTRNLP >ORGLA11G0102400.1 pep chromosome:AGI1.1:11:10638524:10639837:-1 gene:ORGLA11G0102400 transcript:ORGLA11G0102400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLLQWKPALLSDYDSNKSSPLHFASSDGDCSIIQEMLTHAPPSAAFMLDNEGLSPLHVAALMGHAAIVHLFLQFCPSSADIRDNYGRTFLHAAAMKGHLSIISYAIKKKILEHLLNAQDKEGNTTLHLAVIAGEYKVVSKLLSSGKMQANIMNNAGHTPTDLVKNCKGFYSMDIMKWRETTSKNLAVVSTLVATIAFSAAFNIPGSYGNDGRANLAGNSLYSAFLILDTFSVVTSVMATILLVYGRASRSQRSWLGFMVSMHFLWLSLNSMVLGFFAAIAAVMSKERGIKIAMSQLIYYGMYILTTLLSILAMPGSFTSIVKFLIGAPKERQRHTKRQISQQYPFAIFYIVNAVLFVIINSLAMASFEVARNLSY >ORGLA11G0102300.1 pep chromosome:AGI1.1:11:10637557:10637978:1 gene:ORGLA11G0102300 transcript:ORGLA11G0102300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPLSTSIAAASTREEAEATGSSDPTSLLWTWVYRVDSGWDNDEERLGLWLSDGSGDNNLARFGSHRPAISFLVSEDEKVATMLSVNDHDDNHGGRTACV >ORGLA11G0102200.1 pep chromosome:AGI1.1:11:10622883:10623686:-1 gene:ORGLA11G0102200 transcript:ORGLA11G0102200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITPAGCDHEIPEHLFMCSRLYIAAFRGYTDEVFSLLAGSSGAAVEPANSRPSPAAQEHANHHGGCSIREVTAERSTLLHIAAGEGHGELIAELCSHDSSLLSSSSSSGNTPLHCAARAGHAGAVRGIVRLARANVEEDRLRAMLRGMNATGDTALHLAARHGHGEAVEELMEVAPETALELNGGGVSPLYLAVMSRSVRAVRAILSCRDASAAGPSSQNALHAAVLQSSG >ORGLA11G0102100.1 pep chromosome:AGI1.1:11:10620236:10620574:1 gene:ORGLA11G0102100 transcript:ORGLA11G0102100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNYYREPAWPNDFLYIFPVVILGTIACNVGLAVLEPSMIGEPVDPFATPQEILPEWYFFPVFQILRTLPNKLLGVLLMVSVPTGLLTVPFLENVNKFQNPFRRPVATTVF >ORGLA11G0102000.1 pep chromosome:AGI1.1:11:10616633:10617803:-1 gene:ORGLA11G0102000 transcript:ORGLA11G0102000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLLQWKPTLATKVDSNICDNQGQSFLHAAAMKGHSSIISYAVKNSMLKHLLNAQDKEGNTPLHLAVVVEECKVVSKLLSSGEVSLVVKLHESGAQFQPQRQDLIEKWNAQDIMKWRDNTSKNLAVVSTLIATIAFAAAFNVPGSYGDDGKANLAGDPMYNAFLILDTISMVTSVVATILLLTFLAMPGSFISTMKFLVS >ORGLA11G0101900.1 pep chromosome:AGI1.1:11:10610460:10611337:-1 gene:ORGLA11G0101900 transcript:ORGLA11G0101900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITPAGSDHEIPEHLSMCSKLYLAAFRGYTDEVCNLLAGSSGAAVQPANSSPSPAAQERANHQGPCSIREVTAELRTLLHIAAGQGHDDLIAELCLHDSSLLSSASSSGDTPLHCAARAGHALAVRAISLLAGASVEEDRVRDVVRSKNVAGDTALHIAARHGHGKAVEELMEVAPETASELNGAGVSPLYLAVMSRSVRAVRAIISCRDASAAGPNSQNALHAAVLQCSDFQLIFTRVN >ORGLA11G0101800.1 pep chromosome:AGI1.1:11:10601282:10602534:-1 gene:ORGLA11G0101800 transcript:ORGLA11G0101800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLLQWKPALATHVDRNKSSPLHFASSDGDCSIVQAILACSPPSAPHMQDNEGFSPIHAAALMGHTATVRLLLQFSPASADICDNRGQSFVHTAATKGHSSIISYAIGSSMLEHLLNAQDREGNTPLHLAVDAGKCKIVSKLLSSEIVQAHIMNDEGHTPSDLVQNCKGFYSMVSLVVKMYASGAQFQPQRQDHIEKWNAQDIMKWRDTTSKYLAIVSTLVATVAFSTAFNIPGSYGDDGKANLAGNCMYDTFLILDTISLVTSVVAIMLLLNALSMAVNKHHGIRLLGSYICSDEQEEGLKHYNGHPDLFRDVDINDAAYKLNDSRVIYRHSEVPIRRSHGKTAASEEAHQPAVSLRDLLHFQHGFVLCHS >ORGLA11G0101700.1 pep chromosome:AGI1.1:11:10595711:10596457:1 gene:ORGLA11G0101700 transcript:ORGLA11G0101700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDYCISVEHGRGPSSQGHRLIVDRDHICGPREWVVQIGGEEDAEVLREPVKAKGAVEQREVASIGGKKWSKASLVVVVRVARRNGAPMVGTDGEAVARALLGTAELMEVAAWLGVVGNDSKRRTELVKVMVAQVGGGGGGLVVVCGPGVVAGVRCCKVMPAVQVA >ORGLA11G0101600.1 pep chromosome:AGI1.1:11:10590845:10591997:-1 gene:ORGLA11G0101600 transcript:ORGLA11G0101600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMHKLTSERGKWGFGGIPQLQDQTNWHARISSTFGPALELSRSLRPLYSSTYIVRANTILAPSAKREFFINSGVRGGPEIVAAVVAASDADGWRKGTGLIGGPHLSATRKGERGCGPAQQGGRAWAQKAD >ORGLA11G0101500.1 pep chromosome:AGI1.1:11:10583604:10587332:-1 gene:ORGLA11G0101500 transcript:ORGLA11G0101500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPATDGEGVDASVPWWRRKRPRLLPPPPPEADAEQVKAEALALMAAHPVLPRLVVFDLDHTLWPFQCDRLPKDEPPYLYPQARGILKALKDRGIEMAIASRASRKKGVAKAFLEKLGIHFMFGAQEIFYTWSPKNVHFQSIHRKTGVPFKSMLFFDDEARNIIATRKLGVSCVLVDTGITLEKLRTGLSNYANRSASPNAEPAGGRSAEITWYLDVATG >ORGLA11G0101400.1 pep chromosome:AGI1.1:11:10580482:10580798:1 gene:ORGLA11G0101400 transcript:ORGLA11G0101400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVRIKRTKDAVKFKVRCSKYLYTLCVFDADKANKLKQSLPPGPCLHFIHLFHY >ORGLA11G0101300.1 pep chromosome:AGI1.1:11:10576503:10577867:1 gene:ORGLA11G0101300 transcript:ORGLA11G0101300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQSDTSSSSNDSEDSNIIHPASIYSMEEFIAEQSIVDNFLQRIIVKIEAKIEAQKASTSHPRSGERKYVKRNREEGHRRLMEDYFSKDPIYSDKQFRARYRMRRPLFLRIVHALGEWSPYFTSRRDAINRQGLSPLQKCTIAIRALACGTLGDAIDEYVDTGISTALECLDWFVEGVIDNFGEEYLRSPTSEDMQHILQMNEARGFPGMLGSIGCMHWEWKNCPVIWRRHLTHTDHGATTMILEAVASNDSWIWHAFFGAIGSNNEITILGQPQLFTELLKGQAAHVQFSVNRRQYNTGYYLADGIYPEGNVFVKTVTLPQSEKDRLFARHQEGARKDVQEAFGLLQSRFAIVRGPTRFFQQETLVKIMQACIILHNMTVEDEKDMGSSCFDSDEILGTLAVLLSDINTVPADCYAEVVRRNASVCAQPTHAQLRRDLMEHIWQRFGPFGNK >ORGLA11G0101200.1 pep chromosome:AGI1.1:11:10574605:10575261:-1 gene:ORGLA11G0101200 transcript:ORGLA11G0101200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADDDRRRRRQAAKDDEIGRRRAECXAASTPWRSTSWACGYGGEEATVEEPKQVEPLPLLWIGAEIIAVFPRVLLPLQPCRIRDGAIRASFASTSGGQRPDELPAAHSRSCPTRGRNVRTVVGSTGSGSGRSPH >ORGLA11G0101100.1 pep chromosome:AGI1.1:11:10566185:10568158:1 gene:ORGLA11G0101100 transcript:ORGLA11G0101100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49240) TAIR;Acc:AT3G49240] MALSKPLLSRLLPLPLPLRTHLRLLCLATATPTPADADQATPTDAAAERRRRKRRLRVEPPSARGGPAPQRAPGAPRPASNPNAPKLPEPASALSGKRLDLHRRILALVREDDLDEAALLTRHSIYSNCRPTVFTCNAVLAALLRQARYADLLSLHRFVTQASVAPTVATYNLFLQAYCDCRRPDTALEHFRLLLKDDSPVLPSPTTYRILARSLAENGKLDQAIELKDGMLERGLVAPDPQVYALVMGGFVNAGDGDTVVSLYEELVEKLGGGQILDGMVYGNLMKGYFLKGMEKEAMDCYAEVLGEGSKVRFGAVSYNMVLDALGRNGKLDDALQLFDRMCEEHDPPRRIAVNLGSFNVMVDAYCRAERFQDAIGVFGKMGEKRCAPDALSYNNLIDWLGKNELVGEAEQLYKEMGERGVNPDEYTYVLLIESCFKVDRVDDSVAYFNKMFDAGLRPNANAFNKVIGGLVKVDRLDEAQGFFDKMPEKEVKPNIGSYELLLRAYIDAARLDDAIKMAKGILLDESVVFSDELKALLEGALQKDGRDGDMTKLYEDVEREKAEAAARAAEEKARAEALAKEEEERKKAEAKAKEEAAARASRAAIEAVLGRKKDGENDDSTVNVEEAQVVESHSDTNDITEENEGGDQKKSGDALP >ORGLA11G0101000.1 pep chromosome:AGI1.1:11:10553364:10560594:1 gene:ORGLA11G0101000 transcript:ORGLA11G0101000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSAAAAAAPDPDGPDAVRLTWNAWPRSKVEASRCVVPLAAAISPVRSPESLASPPLPYPPLRCKPPCSALLNPFARVDFAAKIWICPLCFSRNHFPPHYAAISESNVPAELFPQCSTVEYLLAGAAPAAGVPLHQGGPPAPPPPVFLFVIDTCVIEEELEYVKMSMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLAGAGRPGFPKMPQQPGGPQINGMHPPATAGVTRFLLPVSDCECTLSTLLDELQPDQWPVETGNRAIRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDGLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLDLSFNGTLEINCSKDIKVQGIIGPCTSLEKKGALCADTVVGQGNTTAWKMCGLDRNTSLTVFFDVSPSERSSQPGHQNPDLYIQFVTSYQHPEGQMRIRVTTICRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFSLHSNFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRESITNSVAMIQPSLISFSFDSPPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHQQFAQLLQAPHEEAQIIIKGRFPAPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVPPGSDIIFTDDVSFQVFCEHLQRLAVQS >ORGLA11G0100900.1 pep chromosome:AGI1.1:11:10545137:10546261:1 gene:ORGLA11G0100900 transcript:ORGLA11G0100900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSITAAPATVSHLVRIDGYSRTKNLRRGRFIEAMNFTVGGHRWFIRFYPNGHGPRDVGVVSVYVGIAGAYRRGGGDAKPVIADARFSLVDRDGRPAPPSFVQGMPAVDFSGNDFGMNIKRAELETSGFLKDDGFLVRCELGFVNSAGDGDGRRGVQIKEGIKVPPPNLHRHLADLLWKNQSSGDVFIEVQGKTFTAHRWMLAARSPVMAAELSSSDSDDAADTDADATKNTMMTLRVDDDMDAETFRALLHFIYTDALPPPPQPRARDTKAKEDEAAAAEAARRLHAAAARYGMERLQLMCEDALCRSLSVLTVASTLVFAEKHGCRVLKAACLDFLSCKRKLRQVTRLDDDFRLLTTTCPSVIKELFAQVLK >ORGLA11G0100800.1 pep chromosome:AGI1.1:11:10527592:10543085:1 gene:ORGLA11G0100800 transcript:ORGLA11G0100800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHARRLPAAAAAVLLLVLLAGGSAADDASSDDDAGVPPSPGCSNKFQLVKVKNWVNGTEGTIVVGLSARFGASVPRDIHEAQKTFAVLANPLDCCSNSTSKLTNYIAIAQRGECAFTAKAKIAQTGGAVGLLVINDNEELYKMVCSDNDTSINVTIPVVMIPQSAGKKMKGLLDQGARLEVQLYSPNRPVVDLSACFLWIMAIGTIVCASLWTEFVACEQVDERYNQLARKDGPNSGTTNREDKEIFEISAKGAIVFILVASVFLLLLFYFMSSWFVWLLIVLFCIGGIEGMHVCLVTLLTRICKDCGQKTVQLPFFGEVLTLSVLIVPFCTIFAILWAVYRHASFAWIGQDILGICLMITVLQMARLPNIRVASALLSAAFVYDVFWVFISPLIFHESVMIAVARGDNSGEAIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASKRGLFNGYFLWLTVGYAVGLFLTYLALFLMDGHGQPALLYLVPCTLGLIVILGWFRGELHDLWNYGRSQTENLVDEP >ORGLA11G0100700.1 pep chromosome:AGI1.1:11:10517557:10519425:1 gene:ORGLA11G0100700 transcript:ORGLA11G0100700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G35030) TAIR;Acc:AT2G35030] MAALAAPALRRLRSPRLCLAATVNCISNNAAPAASAGVNHVQDSNWRVAELAAAGRVSDARRLFDGMPDRDVVSWTAMVAAYARRGMLQEARVLFDRPDARRNVVTWTALLSGYARARRVDEAEALFEGMPERNVVSWNTMLEAYTAVGRVEDASALFNRMPVRDAGSWNILLCGLVRSGSLERARKMFERMPVRDVMSWTTMISGLARNGSVDDAWVLFDAMPERNVVSWNAMISGYARNHRIEEALDLFTKMPIRDVASWNIMITGFIQNKDLKSARQLFDEMPKRNVITWTTMMNGYLQCMQSEMALKLFNCMLVQGIQPNQVTFLGSLDACSNLAALCEGQQVHQMICKTPSQFDTFVESTLMNLYAKCGEIRLARNVFDFSMEKDLISWNGIIAAYAHHGFGIEAMHLYKNMQENGYKPNDATYVGLLSACSHAGLVDEGLKIFESMVKDNSIVVRDEHYTCLVDLCSRAGQLEDAKRLISWFKIKPTSSTVWSALLGGCNSHGNESIGDLAAKHLLEAEPDNAGTYTLLCNIYASAGKWKEAAEIRSEMNVRGLKKQPGCSWIEVANKVHVFVSRDKSHSESDLINDLLQDIHRIMRMAGTVPRDHMLIDVELVGI >ORGLA11G0100600.1 pep chromosome:AGI1.1:11:10513747:10514073:-1 gene:ORGLA11G0100600 transcript:ORGLA11G0100600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVAHGLGGCEQSETCQRTASGLAMPKLDSAEVLGGCQVGLAKVEHVIDNRRHGNARLGSHWICCMGVFGESSGGASAPTIGMKGNAQREFSSPAHQQNKPKRNMS >ORGLA11G0100500.1 pep chromosome:AGI1.1:11:10502115:10510126:-1 gene:ORGLA11G0100500 transcript:ORGLA11G0100500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1QZR3] MSLRLRLAFRLLFCYCLLLAAAHPSSRRLLPLLVVSAAERTNVASLPGLDGALPSRFETGYVTVDEENGGELFYYFIESEGDPGADPVLLWINGGNRCSVLSALFFEIGPVKLAIEPYDGGVPRLRYNPYTWTKVASVLFVDSPVGAGFSFSRDPRGYDVGDVSSTLQLTKFVNKWFSQHREFLSNPLYVGGESYAGKLVPFLVQKISEDVEAGVKPVLNLKGYLVGNPGTGESIDYESKVPYAHGVGIISDQLYETILEHCGREDYANPKNATCAQALNRFSELMGEVSEAHILYKKCIYVSPKPDDGTIGRKILEEIVVGNHRPPRPPMDCSTYPNYLSYFWANSNNTRENLGIKKGTVDEWVRCHDDGLPYSQDIESSIKYHQNLTSRGYRVLVYSGDHDSVVPFLGTQAWVRSLNYPIVDDWRAWHIDGQSAGFTITYANDLTFATVKGGGHTAPEYQPERCLAMFGRWISEKSL >ORGLA11G0100400.1 pep chromosome:AGI1.1:11:10500648:10501392:-1 gene:ORGLA11G0100400 transcript:ORGLA11G0100400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLLLPASHCLPHPARTSHAAEPRVCSVGCRYREMEICAGSPSAMLASTAPTMAFAASPPLSSLAAAAAVISSSSPSKPLSRAKTPPPALLQAILPTAPPKHHSLSCSGPQAPRATTGDGSAVGDRGSGSGGNNGKGGGGDGDGGDNDYEEAEFGPLLGFDEVLRLTAACGVSLPADMMGAAKDASIREVMLLHHFDLQAAPWPLAAMIRAFLMLRN >ORGLA11G0100300.1 pep chromosome:AGI1.1:11:10486665:10494317:1 gene:ORGLA11G0100300 transcript:ORGLA11G0100300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVVAGDLAAAPAPATPMWFVALVAVGLHAAVVWAGTFLAWLRRAFLRPGKGLCRRYGEWAVVTGATDGIGRAVALELARRGLHLVLVGRNPGKLSGVCMEVRAAAPACKVRTVVFDLAAPGDDDVGGGEMSRGVARVAAAVEGLDVGLLVNNAGATYPCAAYFHEVPDAVWEAVLRVNVVAATRIARALVPAMAAKGRGAVVNVGSGSSVVVPAFPLYAVYAATKAYVDQLSRSLHVEYKHHGVDVQCQIPLYVATKMSPVQGNSPFIPSPEEYAKAAVRCIGYEPRCVPYWRHSIQWFFASLLPDSVLNLWRLQVGIRKRNQMKVLLGESDHGFS >ORGLA11G0100200.1 pep chromosome:AGI1.1:11:10477804:10480779:1 gene:ORGLA11G0100200 transcript:ORGLA11G0100200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGPLGGWDFSLDDHIGDEVLSRFHSPVHFSQFFSRRPFILVVDFPRSSFRLCSSSAALALRACIGGSPGDLHVNHLSGRCYSFLLCSKKVGLWVYTLQSFSCKDYEAQFFLWRDSDPNWRKERGXCRIINYFNFKVXFQGSDCCWFFGXVXRVWVSLRRKTKAKSSKKLKALAEESGILFSLNPLPLEFTDPPNSGDDTDAAPFDCSIQLLQQIGVDLCGLGKEEVSAEALTSQTRAGKHVDASP >ORGLA11G0100100.1 pep chromosome:AGI1.1:11:10471719:10475222:1 gene:ORGLA11G0100100 transcript:ORGLA11G0100100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKQQQQQQPQAATAAAASGVWKTVKPFANGGASGMLATCVIQPIDMVKVRIQLGEGSAASVTKKMLANEGISAFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANDGKPLPLVQKAGIGLTAGAIGACVGSPADLALIRMQADSTLPAAQSRNYKNAFHALYRIAADEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDTLGAGEVTTVLGASAVSGLCASACSLPFDYVKTQIQKMQPDASGKYPYTGSLDCAMKTLKSGGPFKFYTGFPVYCVRIAPHAMMTWIFLNEIQKLEKRLGL >ORGLA11G0100000.1 pep chromosome:AGI1.1:11:10462047:10462532:-1 gene:ORGLA11G0100000 transcript:ORGLA11G0100000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRRMRLTPAAVHDEEAHAQTQEMAVVAWSGRTSGVQITRETSETKAVAALADAEDDGGRFERRLTRKTETAEADDGGRATDAEDGGGPAGRQQWLIRATADDGGRAADAEDGCGRFRRRLTRKAEAAEADDGGCATDAEAGGRVADAEDGGGRSGRR >ORGLA11G0099900.1 pep chromosome:AGI1.1:11:10454427:10454916:1 gene:ORGLA11G0099900 transcript:ORGLA11G0099900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHREGRPVHAPAKRVLDCASYFPPQRNQFDLIYHRVRLVKPNTAPLISTIHVTLPLVSPNDSYA >ORGLA11G0099800.1 pep chromosome:AGI1.1:11:10447548:10447754:1 gene:ORGLA11G0099800 transcript:ORGLA11G0099800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVALAETVAVTGRWEEWEEKGDRERKEWEEAGDRERGRSGCGAERAMGFEREMGGVGMGQRRRD >ORGLA11G0099700.1 pep chromosome:AGI1.1:11:10437765:10445048:-1 gene:ORGLA11G0099700 transcript:ORGLA11G0099700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLRCCCFLLFVTIAAAGGSLTRTNVATLPGFDGALPSRLETGYVTVDEENGAELFYYFIESEGDPSTDPVLLWITGGDRCSVLSALFFEIGPLKLVIEPYNGSLPRLHYHPYSWTKVASILFVDSPVGAGFSFSRDPKGYDVGDVSASMQLIKLLREWFTEHPHYLSNPFYVGGDSYAGKIVPFIVQKISEDIEAGVRPTFNLKGYLVGNPSTGERIDLESRVPYSHGVGIISDQLYEMIMEHCEGEDYDNPSNVICQQALARFDSLLHEGSRAQILNPNCIYVSPKPNHETIDRKILKEEHGGLKHPPPQPSIKCGVYANYLSYFWANNNFTRRTLGIKKGTINEWVRCHEHDLPYNIDIRSSIKYHRNVTLKVVPVQAII >ORGLA11G0099600.1 pep chromosome:AGI1.1:11:10432971:10433369:1 gene:ORGLA11G0099600 transcript:ORGLA11G0099600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGRWRRLWVGVDSGEPVTGKTEEVADRDRLDLGRRALLARGRAAPPDRPSFCSTLTVVTVAAVSVAPSCLRLNHRRREGGEERGRDEERKREWRGNVKP >ORGLA11G0099500.1 pep chromosome:AGI1.1:11:10409782:10414147:-1 gene:ORGLA11G0099500 transcript:ORGLA11G0099500.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGSSPFAGFSFSRDPKRYDVGDVSASLQLVKFLSNWFGGHPEYLTNPFYVGGDSYAGKIVPFIAQKISEDIEAGVRPTLNLKGYVVGNPTRGERIDYESKVPYLHGVGIISDQLYELLNEVSKPHILYKKCIYMSLIPKFESMDRKILKEELGILKHRPLCPSIQCVSYSNYLSYFWANDNVTREYLGIKKGSVDEWIRCHDNDLPYTKDIKSSIQYHHNVTLNGYRALVYR >ORGLA11G0099400.1 pep chromosome:AGI1.1:11:10395297:10399007:-1 gene:ORGLA11G0099400 transcript:ORGLA11G0099400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLNRPDLTSWRWRSMDEVTPGSMGKEDTLARGGSSGEDAAASSIPLGDHDTVLPFLGTQTWVRSLNYPIVDDWRAWHVDVQSAEFTVAYGNNLTFATVKVTQLKPHKVDVKTVDSLKIALGYVTLSTVNNQDSTDLGWTITAKPSIILMNEMVTEITY >ORGLA11G0099300.1 pep chromosome:AGI1.1:11:10393399:10393725:1 gene:ORGLA11G0099300 transcript:ORGLA11G0099300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLEVGDELIHGPHLPTREGERSRVPHTVLGLGWAREEEMGHVRERAKWREGGKEWAKKGEARKEGGERGGWPRPGGVKRVEKDTLGQIRRKRKRGFSFVFLVIKL >ORGLA11G0099200.1 pep chromosome:AGI1.1:11:10374143:10375830:-1 gene:ORGLA11G0099200 transcript:ORGLA11G0099200.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPAESAQSTFLSRKQTNGNYDSQRKKKRLIKKLLDEVSKPHILYRKCIYLSPRPNLESIHRKILKEEFRVLKHPPPRPSIQCITYANYLSYFWANNNNTQETLGIKKGSVNEWVRCHNNDLPYTEDTTTSIIIVMLH >ORGLA11G0099100.1 pep chromosome:AGI1.1:11:10361875:10362135:1 gene:ORGLA11G0099100 transcript:ORGLA11G0099100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISVPFGSKEWFECDTVWQQRSLRLRGWQRIGGANRQGETSEEGDIEPSVEIGSWQEEVMMLEIGGGETVMGMGSSTRDVDDQVASC >ORGLA11G0099000.1 pep chromosome:AGI1.1:11:10334020:10348733:-1 gene:ORGLA11G0099000 transcript:ORGLA11G0099000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRPAAQCVRYMAAFWFIILLVSFFSGFAAATTGETTSTSNPKPTYITSLPGLDGALPSLLETGYVTVDEENGAELFYYFVESEGDPGRDPVLLWLTGGHRCSVLSGLVFEIGPVELVRQPYDGISLPRLRWNPNSWTKVASILFVDSPVGAGFSFSRDPNGYDVGDVSASLQLIEFLYKWFSAHEDYLANPFYLGGGSYAAKLVPFITQKISEGIEAGVRPIINLKGYTVGNPLTGDTIDFDSRVPYCHGVGVISDQLYKQLFDEISAPHILHNKCIAVSPGPSDVSRRKILNEEVDLLQNPPPRPPIECIEYPHYLLYFWVNNNATRETLGIKKGTVNEWVRCHQGDLPYDEDIVNGIEYHRKVASLNYRTLVYSGDHDAVLPFLSTQAWVRSLSDHIVDDWRAWHLDGQSAGFTMTYGNNVTFATVKGGGHTAPEYQPERCFAMFSRWISNRPL >ORGLA11G0098900.1 pep chromosome:AGI1.1:11:10307609:10313246:-1 gene:ORGLA11G0098900 transcript:ORGLA11G0098900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGAASWLVQVVLEKLVGDGIDAAWAAARAGGDPGRAHGGDVRRLGSRLQSLHALLSEAQEHAPMARRRSEALLRSLRSLQSLATDADNLLDEMLYHQIHRRLHPDEPSTSSNSCSSLFAVQLVEPNNRVAKRVRHSGDGDTTGRIKDILERMCEAGDDVREAIKMEKLDVSAAGGGQDDRIIQRRPTTSYSTEPKVFGRDTVKDRIVVMLISSETCGADLAVLPIVGNGGVGKTTLAQLVYSDTRVQAQFSKRIWISVSVDFDEVRLTRELLDCVSNGVNKHGGITNLNKLQEILEEDLKSERLLLVLDDMWEDNDKSRWNKLLAPLRCSSLRGNAILVTTRNHSVVKMIATMDPIHLDGLEDGDFWLLFKACAFGDEKYEGHPSLQVIGKCIANKLKGYPLAAKSVGALLNRDLDGGHWMSILQSDEWKLQRGPDDIIPALMLSYIHLPFHLQRCFSYCALFPKGHRFDGLDLVRVWISQGFVSSNNKKMEDIGHQYLNDLVDCGFFQRSTYYSMHDLIHDLAHIVSADECHMIDGFNSSGIAQSTIQHLSINTRYAYKWDVYSQKFYSKDDFKRKLTYVGETVQTRNLSTLMLFGKYDADFSETFSHIFKEVQYLRVLRLPTLTYSIDYLLSNFSKLIHLRYLELISSGPGGPLPEVICQLYHLQVLDVEYWVHLSTLPRAMNDLVNLRHFVARGELHALIAGVGRLKFLQELKEFRVGKTTDFQIGQLNGLRELGGSLAIYNLENICSKEESKNAGLRDKIYLKDLLLSWCSNRFEVSSVIEEEVLESLQPHSGLKCLSINGYGGISCPTWLSSINPLISLETICLDSCTKWEVLPPLGQFPLLRTLHLIQLPSSRVVPTVSSDDWTGSEKHIIFPCLEELVIRDCPELRTLGLSPCSFETEGSHTFGRLHHATIYNCPQLMNLPQFGQTKYLSTISIEGVGSFPYIRLFVRALYIKGCTSPSKLDQILMLIEGNLCLLEKLTIESCLDLTYLPWKTLSKLVSLEMLVIVDCPRLSLTLYPYNQDGGNFSFMSLLNKLVIRACSITGKQLSHLILQLPFLHYLTIGKCPKITSLLLGDVINGSDSSSTSDYLQLTTDGMLQIPSHLLIQLQYLSIDDFPDLVLLWKEGFHGFTSLWTLHITGCTQLLSPMITENKRSNKNSSLLPPLLHDLMVTHVHNELLPFLLSNLTSLSIFAISNSPELSSLVLHSCTSLETLIIEKCVGLSALEGLHSLPKLKHLRIFQCPSLAKTWGPSSVDRPGFSLYLDKLEIDTTVLFNTEVCKKLPSLRHLVFFMLSIKACPGIKSLPENGLPASLHELYVSSCSAELKEQCKKTKNVRCVYVDRNASKFIVICKLLRLYFRITKLGAWQRSLWLQPRTGGSNELMVLTKVLFTIATTVVFRTGLVISEL >ORGLA11G0098800.1 pep chromosome:AGI1.1:11:10287427:10288252:1 gene:ORGLA11G0098800 transcript:ORGLA11G0098800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSVGLVVLGLLALAFSTTVLAETHVVGDSNGWDFSVSFDSWADGKVFAAGDTLVFNYKPGAHNVLAVDAATYRSCKVGSSADSVAAATGTASFLLKKGVNYYICGVPGHCAAGMKLRVVAN >ORGLA11G0098700.1 pep chromosome:AGI1.1:11:10283151:10284296:1 gene:ORGLA11G0098700 transcript:ORGLA11G0098700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRVHLLRWGHASGPGILMIRCSSYNDVVRVTEVEDVLDISGVQTYVINSAKVLFFNEHPQPRGAGTVVGEAAASPYNC >ORGLA11G0098600.1 pep chromosome:AGI1.1:11:10276048:10276392:-1 gene:ORGLA11G0098600 transcript:ORGLA11G0098600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGREWKKGAAPVGKGRLGRWLLGLGGVARGGTTALGAWRGGTAGVREPGTTATAEKRRWRHEEETASALSAGLCPAELRPASVVEGGRWRSGRRQEEAVSVVGDLVTAFIPAR >ORGLA11G0098500.1 pep chromosome:AGI1.1:11:10228488:10228959:1 gene:ORGLA11G0098500 transcript:ORGLA11G0098500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:I1QZP3] MARAQLVLVAVVAALLLAAPHAAVAITCGQVNSAVGPCLTYARGGGAGPSAACCNGVRSLKSAARTTADRRTACNCLKNAARGIKGLNAGNAASIPSKCGVSVPYTISASIDCSRVR >ORGLA11G0098400.1 pep chromosome:AGI1.1:11:10221470:10223110:1 gene:ORGLA11G0098400 transcript:ORGLA11G0098400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT5G50300) TAIR;Acc:AT5G50300] MKRSSPWRKLAEAEDAVNRAVAATRVGRYFKLEARKSSFTKELRAGAATFLTMAYIISVNAAILTDSGGPCTVSDCTPVVVGNSTAVPGPECTVGSNPGYEQCLGRTKSDLIVATAVAAMAGSFAMGALANLPLGLAPGMGANAYFAYNMVGFHGSGSIPYSTALAGVMVEGIVFFILSAVGLRSRLARMIPRNIRLATAVGIGLFLAFTGLQAHQGVGLVGASPSTLVTLTACSETDPATGACLGGSMRSPTFWLGAVGFLITATCLARDVKGGMIYGIVFVTVVSWIRGTAVTVFPDTAAGNAGFDYFKKVVDFHTITTTAGRLSFGGLRHGNALLAVFTLLYVDVLATTGTMYSMAEYGGFTDGAGGGFDGEYRAFLVDAGSTVLSAALGSTTVTTYVESTAGIREGGRTGVTAITVAACFLASLFFGPVLTSVPPWAVGPSLVLVGAMMMRVAKDIEWADMKEAIPAFVTMALMPLTFSIANGIIAGLGVYIALHCYDWARIAYLKASKVLDERRNQVAASSAGEASGITATTATAAAADEV >ORGLA11G0098300.1 pep chromosome:AGI1.1:11:10195853:10196149:-1 gene:ORGLA11G0098300 transcript:ORGLA11G0098300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIACDFDHKITYVSSGWEGSAMDSRVLRSAMSKGFEVPPGKAYLVDGGYANTSSFLAPYQGVKYHLKEFGAGHRRPQNPKELFNDRHALRCEKDSRSA >ORGLA11G0098200.1 pep chromosome:AGI1.1:11:10194888:10195479:-1 gene:ORGLA11G0098200 transcript:ORGLA11G0098200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRGSPKLSMIPKGSPKFGRMLSAASTRMKTVSPQSILAKKTASSPKGNQVKQRAIWNAELEKSLVEILFEYKVNGCRGDNGWTTKGWNRMVKEFHAGNKCVSFTKNQVQEEGQLKRDYKMLKAVRQQSGSSWNEKRCMVEGSPAMWQNLQIISGFVINIFLCM >ORGLA11G0098100.1 pep chromosome:AGI1.1:11:10162066:10162692:1 gene:ORGLA11G0098100 transcript:ORGLA11G0098100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGFCASSFVAVGLVVLVCSAAAAAAETHVVGDSKGWGFSVAYDSWASGKAFAAGDTLVFNYQAGVHNVVAASAAEYRSCKVRNSADAAATAAGSAKLDLKKGVNYFICGVPGHCATGMKLRVVAN >ORGLA11G0098000.1 pep chromosome:AGI1.1:11:10156665:10157389:-1 gene:ORGLA11G0098000 transcript:ORGLA11G0098000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESRLGGYGSLMRERQRGDGGGWEERDQVTRFKGFVFKTFIVKASVVESKMTENVVDELFVIWVKVHGIPAEAKTEDALRALIELVGDYKDIDGRSLKKDNLV >ORGLA11G0097900.1 pep chromosome:AGI1.1:11:10134531:10139462:-1 gene:ORGLA11G0097900 transcript:ORGLA11G0097900.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIIFVALNFIKFLMVLQLRVSKVYQIVQLNSQCLLQIRFQKGASKRREQILFCRCGDPLSLVLPWVLLMMEGHSNELGRANTLKKGGLRPIPVIIGNVFGFTNEVSERIVSASVTANLIIYLTTKYHLGAASSAIIIFVYQAAANFLPVCGAIVSDALLGRYLMVTLTLFSCTTGTFILFLTSLIPKLTPPDCGLSNQGCTSPSPLQLFVLCASLGFMSLGASGVRPCCLAFAEDQIAHWDEAQKDRALRGLFSWYYVSVGFAQIVAVTILVYFQDQVGWKVGFGISAAVMLSITLVNLAASPFYVKMKPQRSIWISLLQVVVVSLKNRHLVLPKTYQSAQFHNASGLRELVPSEKMRFLNKACILRYHATNVSDGAGRTNSWNICTVEQVENLKSALSVIPMWSAMIMTFLIQSSSFGVLQAATMDRRVGTKKFQLPAGSISIFEIITFTIWSGCYDRYIVPFLRRITGRQQVLTLKQRMGIGVSLSIASMLVASAVETYRRKVAVKGGLRHDAKGTLEMSVLWLAPQYVIIGLAGAFSSIGQIEFYYAVLPKSMGSFVLALLFFGAGVASIIATLVIKAINLITGRNGMAPWLSNNLNEGHYNYYYFLLAVLGAIDLIYFIVCSYVFDERTQNMSLETSGDAKEMVEFQG >ORGLA11G0097800.1 pep chromosome:AGI1.1:11:10126677:10126904:1 gene:ORGLA11G0097800 transcript:ORGLA11G0097800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMEKSNSSLHISVQEYFFRCIYKIVPLYVYGQKNTGPVTRSISMSVSFRWSSIKSSEGMNYCPISKYEQQYSLN >ORGLA11G0097700.1 pep chromosome:AGI1.1:11:10123301:10123614:1 gene:ORGLA11G0097700 transcript:ORGLA11G0097700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLAYTRGEAALARLKAYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGQLSKEAGWNYYGTKHA >ORGLA11G0097600.1 pep chromosome:AGI1.1:11:10107823:10108277:-1 gene:ORGLA11G0097600 transcript:ORGLA11G0097600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNQRDRDRERAQARKPNAKGPQDGLTPEQRRERDKKALEEKAAKKAAQAAGGGTSTDNKNKAGGAKK >ORGLA11G0097500.1 pep chromosome:AGI1.1:11:10073823:10074068:-1 gene:ORGLA11G0097500 transcript:ORGLA11G0097500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEGAATAARLARGGGAPVTGDGKGRVAELPLTMAHPTVVTATGDDDGDGGAAAPEMAGGDGLLGGGGDGATEHGKAWE >ORGLA11G0097400.1 pep chromosome:AGI1.1:11:10040818:10043680:-1 gene:ORGLA11G0097400 transcript:ORGLA11G0097400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMEMDGSFESLAVELIAQGVIGKRVDEMESGFLMALDYMIQLAEKDSDNERKSLLEVVKQTVLDHLTKKCPPHVQVVGLLCQTEKKDSRHELLRRVAAGGGVFKNDKGLKCQIPGANLNDIANQADDLLESMESRPTIPDRKLLARLVIVREEARNMMGGGLLDERNDRGFTTLPEAEVNFLSKLVALKPGKALERMIKDVMQGKAEGADNIENANAGPDSKLDHLTGISGRGSVTGLKPRPVRPGMFLETVSKVLGGIYANNTSGITAQHLEWVHQTTLKILQEMAF >ORGLA11G0097300.1 pep chromosome:AGI1.1:11:10031520:10033172:-1 gene:ORGLA11G0097300 transcript:ORGLA11G0097300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLATAATCVSLGLYERMEGGSWPKRCILEGRLEGRVVDVLSPRKPSQPLAGMITGEASELLPADDDETEAASKRRKETGCHRAQDNGDAFGMSSAHTFGVDARGGEVVDWVQRRKRGTDVGEKGRRGEVSCACSLDSVGMGPCKVAHGGGQVAGKRANKGKVWQAVGPHVAWLGRRYIFGLGTGEGWAGCGS >ORGLA11G0097200.1 pep chromosome:AGI1.1:11:10023194:10023921:1 gene:ORGLA11G0097200 transcript:ORGLA11G0097200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFMACASPPVLALALLASCGAFLATSMLPTRAAAGNCLDVGDMVMMDRFRAWQGAHNRSYPSAEEALQRFDVYRRNAEFIDAVNLRGDLTYQLAENEFADLTEEEFLATYTGYYISDGPVDDSVITTGAGDVDASFSYRVDVPASVDWRAQGAVVPPKSQTSTCSTTPRPKSAVSESVGKAPMCQQIICQXRFSRQIRSVRTSAAGKS >ORGLA11G0097100.1 pep chromosome:AGI1.1:11:10004598:10005787:-1 gene:ORGLA11G0097100 transcript:ORGLA11G0097100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTLSSALSSMEVMLDALMQRGVGKPEEKPKEEAPPALPTRPTVRGRPPSLQRPGSPPPWVHRSPSLPPMLEVDEKLAVNSELERRATVAEEAVKQKDDVVRQKDEEIAALRKQVEHYESRLSECEARMKSVEEELRKQITTMQIAQNNAGRTGESTTRTHHRQELSGTSGAPAQSSGRREEEASVTRQQARGRESNVAAVVDERKTDAVSRLATELRQESEAFEHRARAVTEAGPPTAKSVDELKKLKRQFGTWKKEYGARLRKTKAELKKLVRSERGGHGNRRRCCSWKIKLPKCRFPKCCAFKLPSPSSCCSCSCFRRCC >ORGLA11G0097000.1 pep chromosome:AGI1.1:11:9991151:9993578:-1 gene:ORGLA11G0097000 transcript:ORGLA11G0097000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVNTNEGSDAGGGEEVGRQLERQLAATSSQLGQGSRRSDSGGADGHKEATAGVGTLAAVLVPASPGHVPAADGMDGDEVGPDVDIATGESLQGSMGYCNNLRGLKGHIRNLTREGDSEKSLD >ORGLA11G0096900.1 pep chromosome:AGI1.1:11:9979736:9981172:1 gene:ORGLA11G0096900 transcript:ORGLA11G0096900.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTGCISTNLAEVLQKKVEQKEEESHKISHDNAFLESTPSCEVGSTSIEEVEEKDCVASELSMEEKEYVAADVLARKFDSPPSLVAMDKNIFDLKSILNYIGTRSIRECKILFSKYQRHFGTDLIHKANENATVEGEVSNCMPDNGEPHIWSAIDSMPCSMDNAHDQRPSILNKMTTEMENPQISQETSEKVIHSVESNVIKTNGTELCSKVNIDFNTNLSATASEVDSPRAVVSFDLNSPPVMDSIESKTCHTKTLIGFSEPPLSATNKHQENGKKGSTRSQLPENSLKHSEFHVEGQSISTMQIGTINGSSFSQADGIMTHVQRTQHPQTNILDTSKDAAKKPSFIRIFGKIFHEGFSMEANTDSKEYDNVEGLTSNNQHAESL >ORGLA11G0096800.1 pep chromosome:AGI1.1:11:9974105:9975202:1 gene:ORGLA11G0096800 transcript:ORGLA11G0096800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDQTPSGVQLDNSRKHERSTRSSTATRNTKESCRSGPDVQLYTPLSPTCSSGLLISDKSPKGCNLLGGQGTFGISGAGDTGKGLPGPAPYWSVNSSYESIPISALPPKKRYLRQLQLAQQLEVSPPAVPVAVPAQVPLAGSNSGVSFGQKSKQDNSLGPINWRSTRWWNYRKRSSDDADNAEKKDAANYQEAGNSIAGKRNRVEWGYGLAKYEKEKKQMSNSLPSDGDNTNLGASSESMTATVDCPAALPASSLGSNVQPGDALN >ORGLA11G0096700.1 pep chromosome:AGI1.1:11:9962021:9969456:1 gene:ORGLA11G0096700 transcript:ORGLA11G0096700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT2G33820) TAIR;Acc:AT2G33820] MTGAGDAAKEYAAGCAAGIAQVAVGHPFDTVKVKLQAHNTTAHGKVYRNAFHCTRRILVEEGMRGLYKGASSSFIGIALESSLFFGTYSQAKQLLKGKSEDGRPQLQVIIPSAACSGALISCILTPTELMKCRMQVQGKHALHGTRYSSPLDCAMKTLQSEGVCGLFRGGLATLFREAVGNAVFFCTYEYSRYWMHRYLDSPWFSGGNHLVLAKDVGVGIMSGGISGMAFWTATLPLDVAKTIIQTDPDPHLSRNPFQILKMVYRRAGMGGCYAGLGPTLARAFPANAAAIVAWEYTAKILSIRRD >ORGLA11G0096600.1 pep chromosome:AGI1.1:11:9904477:9906815:1 gene:ORGLA11G0096600 transcript:ORGLA11G0096600.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENGXLGFKDGIKLTGEIWEVWINCKGSKERWVKANVDKDLYDDYRDEPLKLTLNGXGIKGFXNKLWLTKLILPIIRIHQMVSRSMAAVTWQKQNGHLMLGKXRSIHKMASKLAVVPXKGFLHRPRGXSHLLVNLKLNFQQNWVVHVETIGVHLWMKWXCTQGXGLHXLVLESGKMXSKMSRIQLQMQMKVSTQNSTNRQQMKTMHLMGSKPFSQCSWEQNNTTRKLAMTDSDEAGDEGSRSVTFGGQEDDVFQNTYKETTGTKST >ORGLA11G0096500.1 pep chromosome:AGI1.1:11:9885777:9886478:1 gene:ORGLA11G0096500 transcript:ORGLA11G0096500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AYGGIEKKTNRGNIDKRHKEQFVSWFERKMNILHADGKTDQDIYSLSRGPDHRVRVANRSSINGSLFHTTNVEKNLTTQNNGVVVQGEGMDWYEVTKKIIALDFPIDKEVLLFECDWFDVPGATTNKSRGYSKDQYGIIDIDTTRFRFKDEPYILASQAQQVCYVKCAKKNNWCSVLRMKPWNLFAMPEGKTVIIMLTMLMLTHLS >ORGLA11G0096400.1 pep chromosome:AGI1.1:11:9863807:9864241:-1 gene:ORGLA11G0096400 transcript:ORGLA11G0096400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGEVLSTAATVFRRRAAATEGWTSFSSQLRIQXKRRRPKATIDDDERRGWRSEHDGNPLVHGEIDFRRGFGARGTAAGVAITLAKLREVTGLTGDGRGDGARRLERRPEVETERARAEAARAREKLGKKRETEEEVLGLLFI >ORGLA11G0096300.1 pep chromosome:AGI1.1:11:9831823:9832545:1 gene:ORGLA11G0096300 transcript:ORGLA11G0096300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPLNVAFRRALVGQNLVYWHELCASIVHIQLNRSFDCFRWNYHKNGRFSVIFRATHRLRFWAQLQRCDEDGEFLKVACRKLETMVMQLYANYG >ORGLA11G0096200.1 pep chromosome:AGI1.1:11:9826342:9828026:-1 gene:ORGLA11G0096200 transcript:ORGLA11G0096200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVRRRRIPAFGEWNYDGDGDLGGGGGCYGYGYRDGDWPVTQYFDSAMQARGLVISLPPSPKPPKKAVKWIDSGALGEEEVVDEKQRQRQHKVVVGLAVAGGEHGAARKQGKQSRVADGGAHAAMGYKGCRPAVVKAVDRDLYEIPPDMLCNKPRKRVTRSLWMGCLGLSCVA >ORGLA11G0096100.1 pep chromosome:AGI1.1:11:9769620:9769967:-1 gene:ORGLA11G0096100 transcript:ORGLA11G0096100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTSPLQCRSRGRRGTRHCDVGEGGGAAGRRAGEEERAVGAAAAFRRTSGDAAAGPEKRMALQHRQRWQRRRSALRQGGRDGWRWYSGGNATASRRGRVSSDFPTNQRRRRGR >ORGLA11G0096000.1 pep chromosome:AGI1.1:11:9730969:9731421:1 gene:ORGLA11G0096000 transcript:ORGLA11G0096000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLSCDGADHCNAGGGGCTKWIVSGVLASSKLLVDGDTTDQSGDQDLENGGQIVVLAYFISRPTGAPQLHGLDEGPYKDWSALKRKIRWAH >ORGLA11G0095900.1 pep chromosome:AGI1.1:11:9664746:9665446:1 gene:ORGLA11G0095900 transcript:ORGLA11G0095900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVLELSGVVVGNLCVHGIDLELTGEGGIKDGARRPELEKNSSISLLGARILGILEGIWRGPRGEDFGATARPDSLHSSRDSDEVVAAALRARSGAPVGTMAFPSLQWMK >ORGLA11G0095800.1 pep chromosome:AGI1.1:11:9620227:9620647:-1 gene:ORGLA11G0095800 transcript:ORGLA11G0095800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEIGSGDGVLINDGDGFPAIFGEEERSNQGNNQRVHGDGNLPAGFGAKELAAVVEINLAEWREVASLIENGRRDGKRRLKMAARVGERRRAWGEIARARELELGVGN >ORGLA11G0095700.1 pep chromosome:AGI1.1:11:9557481:9563518:1 gene:ORGLA11G0095700 transcript:ORGLA11G0095700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCLTTAEQRAMEVPAASVKGGGGRRSDEEAPGRIAGNGAGNVACLFTRQGKKGTNQDAMVAWENYNGRSDTVFCGVFDGHGPHGHLIARKVRDILPSRLCDLIYEDCGDSPTSNSDVSTLEENLSPYADAECRSPTLAGQKEHQEFFNAMKESFRKAFKNVDKELKLQRNIDSICSGTTAVTLIKQGHDLIVGNLGDSRAVLGTRDQNDKLVAHQLTVDLKPDHPREARRIRRCNGRVFAHQDEPDVARLWLPNCNSPGLAMARAFGDFCLKDFGLISVPDVTYRQITEKDEFIVLATDGVWDVLSNQEVVDVVASCSGRFAAARSVVDLANETWRFKYPTSKTDDCAVVCLFLNKYEVTGGLSGQPGYSLRMPALSGITRPNSKRVTPDDVDDGSDSNVSGDERSLDGFTRLNTLLALPKFSDTSPTKK >ORGLA11G0095600.1 pep chromosome:AGI1.1:11:9544914:9547440:-1 gene:ORGLA11G0095600 transcript:ORGLA11G0095600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSPLCWSSLVHSIGHRFKIWRQVVAATRPSPLQDNPEILRFTLLIRRFRRVTSHIPRRIVTTLSSKERIPVMSYVSCVVIALPRAARAMSDLLQLGSCSVGLP >ORGLA11G0095500.1 pep chromosome:AGI1.1:11:9536749:9536967:-1 gene:ORGLA11G0095500 transcript:ORGLA11G0095500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNKKELTTTRNMTFCDEFLVTTELFVTSTFHFMMISMRWGGLVTKKMCSSLIPTRNRHKVFQWDIVTNINLS >ORGLA11G0095400.1 pep chromosome:AGI1.1:11:9522093:9528051:-1 gene:ORGLA11G0095400 transcript:ORGLA11G0095400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAQLVGAALTLLLLSVAAAPARANEVPRLFLQEIASDYDDGIGGTAGDKGAKTIAGSPIVAGVMNDRLKALTSSFAKAIGDKLDYCIKDTDKEWNAAFNFSKDTTFLTNCMKQTNGDLQQRVCTAAEMKFYFNSLIDAGEKSGEINYVRPNKNCNLSSWMDGCEPGWACTVGKEQKINLQDAKDIPYRALDCQACCPGFFCPHGLTCMIPCPLGAYCPLSSLNKTTGICDPYNYQPPAGNPNHSCGGADNWADVVSTDDIFCPPGFYCPSTTQKLPCSSGFYCRKGSTSQTRCYKKSSCPPNSATQDITIFGALLVVASCLVLLIIYNFSGQILTNREKKQAKSREAAARYARETAQARERWKSAKDVAKKAGTGLQSQLSRTFSRKKAAQTPKGGGGGGSSLPPSGEDGGGRKKNLTDMMQSLEDNPDNDEGFNLEIGDKGLRKNMPKGKQMHSRSQIFKYAYGQIEKEKAMQQENHNLTFSGVISMAKEHDVSTRPVIEIAFKDLTLTLKGSKKKLLRSVTGKLRPGRVAAVMGPSGAGKTTFLSAIAGKATGCETSGMVLINGKVEPIRAYKRIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFTGLGITVPERVNPPDYYIDILEGIVKPTMSAGVSVKDLPLRWMLHNGYDVPRDMLQSSSDSESSFRGSTSPASGDASVAAEVWGNVKDIVGQKKDEYDYNKSTEDLSNRCTPGILRQYRYFLGRCGKQRLREARIQGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFALDKIYYWRERASGMSSLAYFMSKDTIDHLNTIVKPIVYLSMFYFFNNPRSSIWENYVILVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKNTFFADLCYTKWALEGFVMANAQNYSGVWLITRCGSLVKSGYDINDKALCIVVLIANGIVFRCVAFFCMVTFQKH >ORGLA11G0095300.1 pep chromosome:AGI1.1:11:9497048:9498443:-1 gene:ORGLA11G0095300 transcript:ORGLA11G0095300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRSLSPKVTTRGCIEWPTWSPQGSRMSILPTTEHLDAKLLHEYISPPDLPSHSVICSSSLGTRQSTKIVTAGSEDHSFSSDSVESKDHSYSFVSVVEDTMGDAVEDKMYQVVKEDEPKELLRDSKVNFMANVVEDTMKEKEINEDDHMGWYSLASVVEVTMDQQVVADIMDQQVVENSLVTDTMEESDEDEYLKAEYEKDALIFARDEANALERKEAEARYEKSLEEIRDEFLKIYVPLYFTLKKKVNSVR >ORGLA11G0095200.1 pep chromosome:AGI1.1:11:9444346:9444918:-1 gene:ORGLA11G0095200 transcript:ORGLA11G0095200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVFTEVAAGFLLTAAKPTVDTARSGNHGIDGKASPEIKTWQRFGLHDLRRKKERLNREIGKDFHINFWGFLGDKEEEIERIPFPQPIRK >ORGLA11G0095100.1 pep chromosome:AGI1.1:11:9436947:9437507:-1 gene:ORGLA11G0095100 transcript:ORGLA11G0095100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEDDARPEVAHSGGEGWPEAVEMVAAWVGSGGGVSVVKGENGSVAGLAHAVAKLAMLAARCGDGYGGGGERLELATVEKRDGECGGGISVMDWGRGAVDGVRWGVAALWVAAAWPGKATLASGRWLEAAVARVGSGSGDTARLWGRRMDAGVQRGVTKLVVASARRGDGCGGGKRRPECAGERR >ORGLA11G0095000.1 pep chromosome:AGI1.1:11:9428368:9428658:-1 gene:ORGLA11G0095000 transcript:ORGLA11G0095000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDDEWRKATATAGRASGRPACDGGXPPVADFDGEGVDGVALEAPNATASSSQLGAAARGDRSGGEEGAGAIAVECTGELGENGKRWRREWWCFT >ORGLA11G0094900.1 pep chromosome:AGI1.1:11:9426191:9427174:1 gene:ORGLA11G0094900 transcript:ORGLA11G0094900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGRLGTATARVVRRTMTRLKDGGAPLDYEEAYPVLYLGGGGACWRKGLVRDSPRQRLDGCGLAVAPSSADAGRDDGAGIPGESEGFGLRLWVWRLRVVWRVSWREGSIYRRGRSERQARGIVAKCLASLACAGGGRRGTRLAAGVGFAHGLQRGCKVSQREPGAVGLALSLRASALAGGGGVGLATAAAVVGAWARQGIGRDGVGFVRPWHSGIRGQRVAQGRVGSLLRQRERGRARERQLRVGRAGEGRGEGSCRASGRGAPALPQLCQRKTRAEVAWSAWKP >ORGLA11G0094800.1 pep chromosome:AGI1.1:11:9401884:9408435:-1 gene:ORGLA11G0094800 transcript:ORGLA11G0094800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calmodulin binding;purine nucleotide binding [Source:Projected from Arabidopsis thaliana (AT1G13870) TAIR;Acc:AT1G13870] MALVVICGQPCSGKSAAAACLAAALCSSTSDLTVRIIDESSLHLGRNDSYKDMVVEKNLRGVLRSEVDRSVSRDSIIVVDSLNNIKGYRYELWCLARASGIRYCVLFCDTEVDHCREWNTKRQEKGEPTYDNNMYFDDLVSRFEKPDRRNRWDSPLFELFPSRDGVMESSPVIAEAVSYLTKKVDSKTRDVKVLQPTIATQTARTTEANSLYEMDKATQEVINAIVEAQSCGLGLPVNKISLGPDLPTICLQRSVGLPELRSLRRTFIKLAGQYSLSGPPPPADADSATRMFVDYLNREISS >ORGLA11G0094700.1 pep chromosome:AGI1.1:11:9395634:9400125:1 gene:ORGLA11G0094700 transcript:ORGLA11G0094700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANLEDVPSMDLMNELLRRMKCSSKPDKRLILVGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGVKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLEKKGTKVDKVLNFAIDDSILEERITGRWIHPSSGRSYHTKFAPPKVPGVDDVTGEPLIQRKDDTAEVLKSRLEAFHKQTEPVIDYYSKKALVANLHAEKPPKEVTAEVQKVLS >ORGLA11G0094600.1 pep chromosome:AGI1.1:11:9381693:9382052:1 gene:ORGLA11G0094600 transcript:ORGLA11G0094600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSHYMKECWLAHXEKDWGECMCKEVGGMVYNGGTRESSDHVALPRPSKCPTARMDQYPTRAVTSSKWDLRTALVSSALQTVDIVWVKLDSLCRGELNHSNVPYSRFWSCVIRVIPTPEV >ORGLA11G0094500.1 pep chromosome:AGI1.1:11:9367217:9367512:1 gene:ORGLA11G0094500 transcript:ORGLA11G0094500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSDVPEYLRNQIMIPMLQEPSHFFLGLMGNINPTHCINTETNRIPFRQTKPNLAKWSTTFRA >ORGLA11G0094400.1 pep chromosome:AGI1.1:11:9354898:9355236:-1 gene:ORGLA11G0094400 transcript:ORGLA11G0094400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDKAVGFILTLTSLSIFTYYTFWVIILPFVDSDHFAHKYFLPQEYAILIPVLVGVIVLSFLSVFVGLVMLKSKKKKKTT >ORGLA11G0094300.1 pep chromosome:AGI1.1:11:9345243:9352426:1 gene:ORGLA11G0094300 transcript:ORGLA11G0094300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G60080) TAIR;Acc:AT1G60080] MAAEAQATAAGGLAGEMEVEAYRRLFPLAFLERHLRESVRPDARRPAEARPTTVALAAVSSAHGSALVRLGDTAMLASIKLEVMSPSGEAPDEGSIAVEFHMPPICSPLVRPGRPAEVAPVISKNLEDILMRSGMLNLKELCLISGKASWLAYLDVYCLNADGSLFDAALISAVAAFTHLEIPLVSVGDDGRVFTVGGNEGKAKYELVNREKRKLTITNVPFSLTCALHKDNVLADPTAEEESIIETSVTIVLDSSDQIVSIQKPGGAVTSMTTIKECISLAKDRRRKLREILMDNVEAMEVDQTD >ORGLA11G0094200.1 pep chromosome:AGI1.1:11:9337836:9338351:-1 gene:ORGLA11G0094200 transcript:ORGLA11G0094200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRASEHFPXAGVGDVALNIVGAAGFAGDADDLRTNLDEVHANKVSDRGFGELFTNVEDAMSMQCARHSXSGHCGHLARSARGVKRLLGPLMMVEPLILMHRCYHLPQTRVAKVCCLALNPKQRWDSGSGNIKEIKIRGTWVIVNTSNTHSHYHQRWVTVKASNKIDDLGSL >ORGLA11G0094100.1 pep chromosome:AGI1.1:11:9323583:9324098:-1 gene:ORGLA11G0094100 transcript:ORGLA11G0094100.1 gene_biotype:protein_coding transcript_biotype:protein_coding STPPPSLSLSTLSRPTTIHSPLLSSPAYSPLTAYCAASVSAAARLGSGGSAADSAGIRLRLFTAASEPGQVSLPFPHLPSHLSSLGADSGRSSCRRAVAAAAPGGSCAEGGGGGGGGSPPSSQIRWRRRRLPSRPCTSRASPPRPCSSRSTSARGFSSLSHARSSSVVVEG >ORGLA11G0094000.1 pep chromosome:AGI1.1:11:9323307:9323582:-1 gene:ORGLA11G0094000 transcript:ORGLA11G0094000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRGVFFFLFAGTLIKLVYTASCGGGGAELRFAKFERRRLQECFDFVRAQGLVSPHRFPSVASPPWRRSGGTRLWHGHLLPPPPLRAAAGST >ORGLA11G0093900.1 pep chromosome:AGI1.1:11:9304557:9305348:1 gene:ORGLA11G0093900 transcript:ORGLA11G0093900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKKPSCVWALRSDRGTGGDAEFVITRRADSKLAPVVGEGTVGAGDDDGVKLIISVSHEANTGGRRCASTSPVVTAGVKTP >ORGLA11G0093800.1 pep chromosome:AGI1.1:11:9270022:9285552:1 gene:ORGLA11G0093800 transcript:ORGLA11G0093800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G27900) TAIR;Acc:AT1G27900] MPSPPPPPQPSPPALPISEHEDEIMAAVEANPVVVVIGETGSGKSTQLSQILHRGGYTRRGAIAVTQPRRVAAVSVSRRVAQELSVPLGDEVGYAIRFEDRTSEKTCIKYLTDGVLLRESLSDPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLITSATLDGLKVSKFFSGCPVLNIPGTLFPVEKFYSTERPTNYIESSLRTAIDIHVKESPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPEQQVRAFAPAPPNCRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPSSGMYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPISIYQKEFLEATIPEIQRSSLAGSVLYLKSLDLPDINILKFDFLDPPSRESLEDALRQLYLIDAIDENGQITDVGRIMAELPLEPSLSRTLIEANELGCLSQALTVAAVLSAEITFRSTRSKDMEGKRKRQELPDGSGWGDHIQLLQIFESWDRTGYDPRWCSDHELQVRGMKFSKDVRNQLSQIIQKIAKGSTDVQAKKERKSDPDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRAQLVQVHPFSVLEGDEYGKLPVYVVYHELINTTRPFMRNVCAVDQSWVKPILKKLERLDMNKLSGGSSGSKDPEPLEDKQVSSPKKAMDVKQSDVDSKIQAARERYLARKGKK >ORGLA11G0093700.1 pep chromosome:AGI1.1:11:9230361:9232237:-1 gene:ORGLA11G0093700 transcript:ORGLA11G0093700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHRISRSHAGVNLRAAERDGRRLRGVLPVYHYQKNITVEDYASSHATSGYTQRSKAVIKKEKQHGHSSTVNMIQHKCTSYVHTI >ORGLA11G0093600.1 pep chromosome:AGI1.1:11:9221203:9226119:-1 gene:ORGLA11G0093600 transcript:ORGLA11G0093600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKKDHLCALLNKKNSENKREPIHMNLAGKKWEENSSRSTEKQRHQKLPDIRGKNCLPESTYEKSTENNFLEYLVEQQKTQDLSPEQQDSKTIPMEPIILRSHEDPSSHSQFEVSRPQSETYLLPYSYPITTILIPTANNEQQKGNFITTPKDKVAKSFDIPNNFPNTNDQMSNSLTKIQASSYNKLTLQKEVSTFYNKIDSSVNRDLESYITRVINTSFYNIIDDPKGITRSKFRLFHNVLISKIYIQPNPNKTLCYHSRTKNSFERKSQNQISAEAFCTNNEILVHKQDLFGSIFVISDTNQFGTFNPEEERTKRKLKINDLFQEQNYTKKELRDLKGKIRSLELQNNSCMGQDEEVVNSINSYVKQKWYAEIITQKEQFVSDISSEIKNRFTSGTWNEAQKNYSTIKKEILAIVKVISKFQGELLNQRFLLRIDCKAAKDVLQKDVENLVSKQIFARWQAILSCFDFEIEHIKGELNSLPDFLSREFLQGYGT >ORGLA11G0093500.1 pep chromosome:AGI1.1:11:9165826:9180260:-1 gene:ORGLA11G0093500 transcript:ORGLA11G0093500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATEACPSPKLHTRLRLWEFADRYVFEPVDGLADLFLSVDRTNGSMSLVEELPPRGPSTNPKVRIVFGVIGVLKLAVRSYFLVITGRDCVGSYLGHAIFKLTGLKVLPCNNSLNTSSAEQKKMESEFSELLDAAERTIGLYFSYDVNLTLTSQRLHDLGDQFKSLPLWRQAEPRFLWNGYLLEPLIENKLHQYLLPVIQGSFQSIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMQSKEFTASYVQVRGSMPFLWEQIVDLTYKPSFDVVRVEEAPRVLERHFHDLQKKYGAVVAVDLVNTHGGEGRLYERYAKSIEPILSEDIRFVHFDFHQICGHIHFERLSQLYDQIEDYLKKHRYFLLNSKGEKMEEQTGTVRTNCVDCLDRTNVTQSMIGRKILESQLQKISVLGDNNTISDYPAFDADYKVLWANHGDAISTQYSGTPALKGDFVRYGKRTTQGILNDLWNAMARYYLNNFADGTKQDAMDLLQGHHISSVSRDMPTPTKGLIENHASFRLAFALLLAAVIFLIMSLRRARNDVFHLVLSLLWSGFCFGITRYVKANGRKFTNRPRFHLSRH >ORGLA11G0093400.1 pep chromosome:AGI1.1:11:9163342:9164056:1 gene:ORGLA11G0093400 transcript:ORGLA11G0093400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFKALYGLLPTLTRSELHWLVHCEQPLGAVDGAVAHHATGASEIGHRQAGVHGEVVRYGAAVRVTGDEHAAEVSSLGEPVISIVQGVFPNPVEETRRIVNCGGRRCLRARRYLMENTTALASLTWSSGRGTATTVVRVQVAPAAAPPPRSPMVFGVQ >ORGLA11G0093300.1 pep chromosome:AGI1.1:11:9156005:9159631:1 gene:ORGLA11G0093300 transcript:ORGLA11G0093300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHFTAAFMIATCLMLFPRSEQSSQGEVLQQLRKQLEYPKQLDVWNNPNSDPCYTQPTSVVTVACEGNAITELKIIGDRITKPPKFSGYPVSNITLSEAFVLDSFVTTLARLPALHVVILVSLGLWGPLPDKIHRLSSLQVLDLSSNFLYGSIPPKLSAMPKLHTLTLDGNFFNGTVPDWFNLYSNLTVLRLQRNRLKGPIPASIGKATMLSELALAGNSIAGEVPQLGSLNKLEMLDLRDNELDGELPELPTALVTILLSKNSLKGEIPEQFGQLNRLQHLDLSFNFLVGKPPEKLFALPSISYLNLAANMLSGSFSTSLTCSSTLGFVDLSTNQLTGDLPVCLNVNVNNRVVKFDGNCFSDDPEHQHETKYCQQPHKGRGSNKDVGLVVTVVGVVFIVLVLSLILMASNRRSCQRVLAEQQLLQKQMQDNSTSGMSTELLVNARYISQAVKLGTQIMPMYRAFSLEELKEATKSFERSAFLGEGSIGKLYKGKLENGTLIAIRCLALHQRYSIRNLKLRLGLLAKLRHPNLVCLLGHCIDGEVDESSVKRVFLVYEYVPSGTFPSYLSGSSPEKTLNWCERLQVLMNIAKAVHFLHTGIIPGSLYNRLKPSSILLDEHLVAKLSDYGLSIITEEIYKHEAAGEGQRCVEDNGGELENLEDDVLSFGGILLEVLMGPKRHRKDLSVLSELVLSISKQEEREQVLDPVVLSTSSQDSLSMVISITVKCLSVESSARPSIEEVLWNLQYAAQVQAISDGDQRSEVSSQTC >ORGLA11G0093200.1 pep chromosome:AGI1.1:11:9151687:9152025:1 gene:ORGLA11G0093200 transcript:ORGLA11G0093200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSTALVRRCLAGTRGAAEVELALGWPQVRLVSATATSSQSGGSIVPSLGMNLPFPASGAAGLGGGRSGALGPRRGGSAAPTLGATVLGSGDDDVEWHGTGKVAKSDAYS >ORGLA11G0093100.1 pep chromosome:AGI1.1:11:9137577:9138264:1 gene:ORGLA11G0093100 transcript:ORGLA11G0093100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAVEEAAQAGGEEEAAGKEAPDQAEPATTEKCFFVGNGRLSRGRGCSASITLCLGLPSSRAHACRAVPDGQNPPHPRPALHSISQSSRSFPPRPCLQPPWGRLCLSHAQTTEAGMDQRIGDGGQTGDGNPGRTTISELYPDPICGSPASILIMYWVDISF >ORGLA11G0093000.1 pep chromosome:AGI1.1:11:9117743:9120263:-1 gene:ORGLA11G0093000 transcript:ORGLA11G0093000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTGGGGGGFRRWLRGGWSQRRLPSFLPDPVEAEPFRIRHPGKVNGKRPKILAQYSRNRFPSLLMLMLGGATHYTQLVDLHEWSCQHHNDNQIGVQDKMASVGAVLSGLKNSSFTTMGAEKLPDQMHDLKIRDDNLNGKYRKFK >ORGLA11G0092900.1 pep chromosome:AGI1.1:11:9112386:9112748:1 gene:ORGLA11G0092900 transcript:ORGLA11G0092900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSGVAARHPKRQRQRRQAEQRRGGQSGVSGDGGEVARSSLLLASTPPLLSSLDRQPFVLGEHADNLDTSSSVPTTIVEILSSPLDGTASLGRIWWRRRPYSSPLFGKLLRCGSKLAGA >ORGLA11G0092800.1 pep chromosome:AGI1.1:11:9092667:9095542:-1 gene:ORGLA11G0092800 transcript:ORGLA11G0092800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSTACVPEAEVIDLESAECRSGATMAKPTGHSNGFHPKQQGHRKISLSPQSKRLFHSGLHTGPCYEEVYTALLHREALKVSGTRGSLNKTVARVVSRCQPKCVVNIIKEFDDRKELIGEIGFDGLLDIKLTKVNRQFGAWLLSKVDPKSCAIVKDVNQELPFGSNDVNAVFGLPCSGQPIIPCSQDELDGKKQILCEIFKIPNFSHLKISLLKRILKKQYGYPMTIDEKRVFMAAFVLYVTTKLLAPQSCANFISPRYIMAVSDVDNIKQYNWSQFVVDEVKKAAESMPTCFPNKAQLSINGCIIFLMVKYLRNLLFRKVGMTCVKTCHISQFEDDQIARMIQQDVVSKHNPGFPFPRYGKLQNIHAVQLMKDPRENNPHVPELSPLNLCSCSKIPSRAIDGSKNLIKFLVSHFSSLDVHRSVGSQAYEELKSSVQDGFDRIDEILPTISDFVDISTMQTAIHASNLFKRAFKTNITAAVKIAIRAAVTKVIDTIEDIQGPLHPWGDTTAMGYHTPTNYSTHATKDASQLDQPTNTVKR >ORGLA11G0092700.1 pep chromosome:AGI1.1:11:9068311:9069041:1 gene:ORGLA11G0092700 transcript:ORGLA11G0092700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGDESGTNDDGKRGCRALPLAAFLLSDDVHDEGEFAGGRLIPTTSSLLVPSGSRAAIAVDLGGSEQRMAAWQSGSCSPSAARPYNPRCRPCAIEMLDLDLLLYAFLGLIFASVWDDPRNRLVVLASRKFPAAEGRSDLHPVLVMRGTCSSEASASYHLLSMFCILSFFYQGRLFCFVADNGEDAPVVVLHIFLFLRSSSTSISFHVSVI >ORGLA11G0092600.1 pep chromosome:AGI1.1:11:9062059:9062788:-1 gene:ORGLA11G0092600 transcript:ORGLA11G0092600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICKEKISFLGHEIGEGKIDLQEHIAKKILQFPDAMSDKKELQQFLGIENYARNHINNLAKLAYHYMLNXEKMV >ORGLA11G0092500.1 pep chromosome:AGI1.1:11:9057475:9058758:-1 gene:ORGLA11G0092500 transcript:ORGLA11G0092500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTQTIQVPNDAELMQAQADLWRHSLYYLTSMGLRCAVELGIPTTIHGLGGAATVPDLMAALSLPENKLPFFRRLMRVLVTARVFASADGGETERFRLTPLSRILVDGVVADEHHSQRCFVLGTTSRHYVDAAFALSEWFKKDLASPVPSPFEDLHGARLFDESTPLLDPELDATVNEGLAAHDNLGIGTLLRECRDLFKGVRSLTDCCGRHGATARAIVKAYPHIKCTVLDLPRLVDHAPRDGVVNYVAGDAFQCTIPPAQAVMLKVYLVLHHLSDDDCVKILAQCKKAIPSRKEGGKLIVIDILVEPSLGPVMFEAQLMMDMLMMVNTRGRQRNENDWHDLFMTAGFSDYKIVKKLGARAVFEVYL >ORGLA11G0092400.1 pep chromosome:AGI1.1:11:9027814:9028176:-1 gene:ORGLA11G0092400 transcript:ORGLA11G0092400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGRGGKGDLISVGCCSNSCRIPVVGGWSPRMDAAESITPDCPRDRPTVPPVFAGERGAAKLRRQGELLLVGERERTLRPALAHRGREVEDGGKSRICELCMSMAPLQQQRNISDMILNT >ORGLA11G0092300.1 pep chromosome:AGI1.1:11:9019138:9023292:-1 gene:ORGLA11G0092300 transcript:ORGLA11G0092300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQANTIEVPTDAELLQAQADLWRHSLYYLTSMGLRCAIKLGIPTAIHRLGGAASVPGLMTKLSLPASKQPFLRRLMRVLVTNGVFAAEHNDGEVERYCLTPLSRILVDGVVADEHHSQTSFVLAATSRHYLEAALGLDEWFRKDVAPPLPSPFEDVHGASLFDESTALLDPELDAVVNEGLAAHDNLGIGTILRECRDLFRGLRSLTDCCGGDGTTARVIAKAYPHVKCTVLDLPKVIDKAPNDGVVNYVAGDLFHTVPPAQAVMLKLVLHHWSDDDCVKILTQCRKAIPSREEGGKVIIIEILVGPSLGPVMFEAQLMMDMLMLVNTRGRQRDERDWRDLFLKAGFNDYKIVKMLGARGVFEVYP >ORGLA11G0092200.1 pep chromosome:AGI1.1:11:9010304:9013750:1 gene:ORGLA11G0092200 transcript:ORGLA11G0092200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTPISPQLVSPTDDELLQAQANLWRHSLYYLTSMALRCAVKLGIPTAIHRLGGNATLPALITALSLPPAKLPFLCRLMRLLVSSGVFTTERGGAAAEAEAVYGLAPLSLFLVDGAFTGSEVDDGHTNQSAFVLAATSAHYVEAALGLDDWFMKDNVPAAASPFEAVHGAPLLHETPVDAELNRLVSEALVSQNHMGIGLALRESRRVFEGLESLVDCGGGDGAAARAIVRAFPGIKCTVLDLPQVIGTAPVADGAVDYVAGDMFSYIPPAQAVLLKYVLSHWSDDDCVKILAQCKKAIPSREAGGKVIIKDVVVGISSGLMLEAELLMDMAMMVMTSGRERDEQEWREIFTNAGFSDYKIMNKLGARCVIDVYP >ORGLA11G0092100.1 pep chromosome:AGI1.1:11:8936703:8938067:-1 gene:ORGLA11G0092100 transcript:ORGLA11G0092100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVARLTAATTCRMRVSGPVTAATTSAASPVTVTLGDLPQQKRSAATATTTTSSGGSPVVVEKRAAAATAAEEEEVEEAAAVAALASAWREVQGAGDWRGMVEPLHPLLRDEVVRYGELVAACYRAFDLDPASKRYLNCKHGKKQMLPAVGMAGAGYTVTRYIYAAPDVALPFGVGGRCSCAGKSRWIGYVAVASNREAARLGRRDILVSFRGTVTGSEWLANFMSALSPARFDPADPRPDVRVESGFLSLYTSDDLSGKFTCGSCRNQLLSEVTRLIDKYKHDDVSITLAGHSMGSSLAILLGYDLAELGLNRGGRGGAIPITVFSFGGPRVGNLEFKRRCDELGVKVLRVANARDPVTRMPGVVLNEAAARVFRVELPWSKACYTHVGVEVALDFFKASHAACVHDLDAYINHLLDGGAAASATTTTLESWRWQMAAIRAGEWLQTLGI >ORGLA11G0092000.1 pep chromosome:AGI1.1:11:8933904:8934814:1 gene:ORGLA11G0092000 transcript:ORGLA11G0092000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEEATYFSFKGRSKTCCVVHLFGGSYVKGPVIDPFCSPPKQDTDEELLLEKESADDEDENAIEEGDDDDRLSWSGGRGGRGG >ORGLA11G0091900.1 pep chromosome:AGI1.1:11:8921067:8921407:1 gene:ORGLA11G0091900 transcript:ORGLA11G0091900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKKPQDFVREFCNKVDLVRMGTRLKVHKPPPVTDGLVSKLIRAIRIPGTMDLHPHMRKMLRKRLEFVSNICIKSVTV >ORGLA11G0091800.1 pep chromosome:AGI1.1:11:8909398:8919135:1 gene:ORGLA11G0091800 transcript:ORGLA11G0091800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: ribosome biogenesis; LOCATED IN: nucleus; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: AARP2CN (InterPro:IPR012948), Protein of unknown func /.../UF663 (InterPro:IPR007034); BEST Arabidopsis thaliana protein match is: P-loop containing nucleoside triphosphate hydrolases superfamily protein (TAIR:AT1G06720.1); Has 2741 Blast hits to 2088 proteins in 291 species: Archae - 2; Bacteria - 131; Metazoa - 833; Fungi - 650; Plants - 171; Viruses - 49; Other Eukaryotes - 905 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G42440) TAIR;Acc:AT1G42440] MGGARAQVNKPHKTRFASKASRHAHKIDKVRTGKPEGSHRAAVKGARAARVQRSKAIRDQKRAALLKEKRSSVGSSSAPRVVVLFGLSSSANVRSLAKDLLTIASGDEEKPTSSTVASPTYKLRTTVLEAPYGDLTSCMELAKVADLLAFVLSANSLYDCDSSSPIDDFGSQCLSVFRAMGLPSTAVFIRDLPSENKSRQELKKTAISFVSPELPEDCKFYAADTKDDLHKFMWLFKEQHLSCPHWRNQRPYVMSEEACIKPDDSSGLCTLLVSGYLRAHNLSVNQLVHLSGVGDFQLGQIDILKDPFPINERKNSNAMDSEDSGIQIVDTFVPDPSSQEPLLVENTPDPLEGEQTWPTEAEMEEAYLNNKQRKLKRKLPRGTSEYQAAWIVDDTDDEDGDSENDNQDGAGMVIDEQDHSDNGGDGSDMDVVSHFTEKFDEETIGGTEMADDENLTKEQIEAEIKKIKEANAEDEEFPDEVETPLDVPAKRRFAKYRGLKSFRTSSWDPKESLPQDYARIFAFDNFTRTQKHVLAKMAERDEGTLKDCAQRGSFVRLHLKNVPTEIASKLVHPSRRLPVVVSGLLQHESKISVLHFSIKKHDSYEAPIKSKDSLIFNVGFRQFTARPLFSTDNINCNKHKMERFLHHGRFSVASVYAPICFPPLPLIVLKSRDGEQPAIAAVGSLKSVDPDRIILKKIVLTGYPQRVSKLKAVVRYMFHNPEDVKWFKPVELWTKHGRRGRIKETVGTHGAMKCIFNSSVQQHDTVCMSLYKRAYPKWPEQLYQI >ORGLA11G0091700.1 pep chromosome:AGI1.1:11:8899500:8900661:-1 gene:ORGLA11G0091700 transcript:ORGLA11G0091700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASFLERLNWRSDPFAGWFPPWCDRPLCDCGDGCILKSSLMIQTRGKRFFQCANFDQTYRPMCNFIEWVDMENPQNDGTRAYPRSETRSDYLRRKDEHERRIAAEALXWQGNPRMCGFTRWIDNVTPSYHGQNITESETQVEYQRLKAHENAMHSDRPRRGR >ORGLA11G0091600.1 pep chromosome:AGI1.1:11:8885936:8887625:1 gene:ORGLA11G0091600 transcript:ORGLA11G0091600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G16740) TAIR;Acc:AT5G16740] MAASGWWCGLSLCAKPKQVASESVHGAQLALQRLTAARRCGGGGDAACVDVEAGKPCKCGEEHTEAAAAGRVAAVEAVHHGKPTSSFAHSVINMVGMLIGLGQLSTPYALENGGWASVFLLVGLGVMCAYTAHLIGKCLDDDPASKTYQDIGERAFGGKGRVVASAFIYLEIFFALVSYTISLSDNLPLVFAGAASHLHLPWVRLTATQLLTVAAVLVALPSLWLRDLSTISFLSFAGIVMSLLIFGTVVCAAAFGGVGLGGYIPALRLERIPAVSGLYMFSYAGHIVFPNIHAAMKDPSAFTRVSVASFAVVTALYTALAFVGASMFGPSVSSQITLSMPPGLAVTRIALWATVLTPVTKYALEFAPFAIQLERHLPAAMSPRARTLVRGGVGSAALLLILALALSVPYFQYVLSLTGSLVSVAISIIFPCAFYLKIRWGRVSRPAVALNAAMIAAGVVLAVVGTASSATSLVQSIQKGHAA >ORGLA11G0091500.1 pep chromosome:AGI1.1:11:8864649:8867671:-1 gene:ORGLA11G0091500 transcript:ORGLA11G0091500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA splicing factor, thioredoxin-like U5 snRNP [Source:Projected from Arabidopsis thaliana (AT3G24730) TAIR;Acc:AT3G24730] MGSALLPTLRRKPEVDAAIRDTLDKVLVLRFGRADDAACLHLDDILAKSSWDISRFAMVALVDMDSEEMQVYIDYFDITLVPATIFFFNAQHMKMDSGTPDHTKWIGSFSSKQDFIDVVEAIFRGAMKGKLIVSCPLPPERIPKFQLLFKDV >ORGLA11G0091400.1 pep chromosome:AGI1.1:11:8858364:8863936:1 gene:ORGLA11G0091400 transcript:ORGLA11G0091400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFSCCHGAGGMVVIVVVVMAMLGGVVAGGEPPFSCGGAAAGGGQGYAFCDATLPAEQRAADLVARLTAAEKVAQLGDQAAGVPRLGVPAYKWWSEALHGLATSGRGLHFDAPGSAARAATSFPQVLLTAAAFDDDLWFRIGQAIGTEARALYNIGQAEGLTMWSPNVNIFRDPRWGRGQETPGEDPTMASKYAVAFVKGMQGNSSAILQTSACCKHVTAYDLEDWNGVQRYNFNAKVTAQDLEDTYNPPFKSCVVDAKATCIMCAYTGINGVPACANADLLTKTVRGDWGLDGYIASDCDAVAIMRDAQRYTQTPEDAVAVALKAGLDMNCGTYMQQHATAAIQQGKLTEEDIDKALKNLFAIRMRLGHFDGDPRSNSVYGGLGAADICTPEHRSLALEAAMDGIVLLKNDAGILPLDRTAVASTAVIGPNANDGLALIGNYFGPPCESTTPLNGILGYIKNVRFLAGCNSAACDVAATDQAAAVASSSDYVFLFMGLSQKQESEGRDRTSLLLPGEQQSLITAVADAAKRPVILVLLTGGPVDVTFAQTNPKIGAILWAGYPGQAGGLAIARVLFGDHNPGGRLPVTWYPEEFTKVPMTDMRMRADPATGYPGRSYRFYQGKTVYKFGYGLSYSSYSRQLVSGGKPAESYTNLLASLRTTTSEGDESYHIEEIGTDGCEQLKFPAVVEVQNHGPMDGKHSVLMYLRWPNAKGGRPTTQLIGFRSQHLKVGEKANIRFDISPCEHFSRVRKDGKKVIDRGSHYLMVDKDELEIRFEA >ORGLA11G0091300.1 pep chromosome:AGI1.1:11:8845390:8845611:1 gene:ORGLA11G0091300 transcript:ORGLA11G0091300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGGDAASAPPTRPEGYSTSDSEADRLGWFFSKEEEEAAAEEVVEDIAAGKEWQGFTLEYEHGSDADEDAAE >ORGLA11G0091200.1 pep chromosome:AGI1.1:11:8837602:8837979:-1 gene:ORGLA11G0091200 transcript:ORGLA11G0091200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATAGSSEEGDPSLGSSVWVDLAVLTSVGGLTECLRKSFSRAGTLPDWKYADFTSTGGRRV >ORGLA11G0091100.1 pep chromosome:AGI1.1:11:8819946:8824208:1 gene:ORGLA11G0091100 transcript:ORGLA11G0091100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVHVSVGSLLSFALRSALCAEKMSSDRRRNLSSWGDTWLSPIGRSGLYEAGASLTQLTRIASTSSRLLRTLPMAVSTSRRGGGVLAAVVTAVAALAMLGGVAWGGNPGFTCGPASAQKGFAFCNAALPAEQRAADLVARLTTAEKVGQLGDQAPGVPRLGIPVYKWWSEALHGLAISGKGIHFGNGPARTATSFPQVIHTAAAFDDGLWFRIGQAIGKEGRAFYNLGQAEGLAMWSPNVNIFRDPRWGRGQETPGEDPTTASKYGAAFVKGLQGSSLTNLQTSACCKHITAYDIEEWKGVSRYNFNAKVTPQDLADTYNPPFRSCVVDGKASCIMCAYTLINGVPACASSDLLTKTVRGEWKLDGYTASDCDAVAILHKSEHFTRTAEEAVAVALKAGLDINCGVYMQQNAASALQQGKMTEKDVDKALKNLFAIRMRLGHFDGDPRGNKLYGRLGAADVCTPVHKALALEAARRGVVLLKNDARLLPLRAPTVASAAVIGHNANDILALLGNYYGLPCETTTPFGGIQKYVKSAKFLPGCSSAACDVAATDQATALAKSSDYVFLVMGLSQKQEQEGLDRTSLLLPGKQQALITAVATASKRPVILILLTGGPVDITFAQTNPKIGAILWAGYPGQAGGQAIADVLFGEFNPSGKLPVTWYPEEFTKFTMTDMRMRPDPATGYPGRSYRFYKGKTVYKFGYGLSYSKFACRIVSGAGNSSSYGKAALAGLRAATTPEGDAVYRVDEIGDDRCERLRFPVMVEVQNHGPMDGKHTVLMFVRWSSTDGGRPVRQLIGFRNQHLKVGEKKKLKMEISPCEHLSRARVDGEKVIDRGSHFLMVEEDELEIRFQD >ORGLA11G0091000.1 pep chromosome:AGI1.1:11:8812286:8812546:1 gene:ORGLA11G0091000 transcript:ORGLA11G0091000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMGSVASYPNPPPRALFSRSSWRGKPAPSGAAVLRVWWPDDGSKQNIAPDLVKPSRFSLYMVIKLAIMVVFFWSNCKHFSVGIVA >ORGLA11G0090900.1 pep chromosome:AGI1.1:11:8811796:8812095:1 gene:ORGLA11G0090900 transcript:ORGLA11G0090900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRRRLAALFVPPPSRGGYRRLGSSASVSMATDVIWVEVGTTKGDRSLFHVDSAVLETGSVRRFLAAAGQRARGGAVAVAMDALLFEHLLWLQAVGT >ORGLA11G0090800.1 pep chromosome:AGI1.1:11:8792785:8796856:-1 gene:ORGLA11G0090800 transcript:ORGLA11G0090800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QZG6] RTAAATRPPHGRLLCGRLPPSPPPGPQQRGEESEEAGRGIGGEGKGEEGEMKERRLSWFLLHPGLLXNLVDRPKMVSPPVLRXSKLIEYLKIHICMYFLRFIRWHGETLRESLKRREHFLALQLGLKKGMKVLDVGCGIGGPLREIARFSSASVTGLNNNDYQISRGQELNFSVGLSETCNYVKGDFMNMPIPDCTFDAAYALDATCHAPDAVILAKDMAEESPLPWYQPLDPSQLSLTHFQFTRIGRFLGQTLVSKQFITQTEATEFLACSWERLYSTIDFFLPAKNPQLKSLEFLHLAPEGSLRICRFLATSVDSLVKGGKEGIFTPLFFVLARKPLQKQEEQI >ORGLA11G0090700.1 pep chromosome:AGI1.1:11:8786430:8789966:1 gene:ORGLA11G0090700 transcript:ORGLA11G0090700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYEYATNGYHRGMEDDYEDEYYSEDPYDEEGEGAGQEYAEEDDEPPEGQQEFLQIRERLKEQIRRKAQAASASAAGRSSSSHDRKPQSTFGSFFGPSKPVISQRVIEERKSLKELQNTASMSRDRRPSAKEIPSSSKVQSKTNGHHHKQKIVNEAKRKAEALKDNRDYSFLLSDDADISPSPMEKPVARSSLSQKSDRELTHSAVKSRAPTGQTARLSNGYGLKNTSSSQRHTEGRVDSNRKVAGANKERVVLPDNGRMHSVVRNGSTQATTSKAASQKLPSKAPIANRPPIKSVSDQSLRANHSASKQLLSQNGRPQSSQSQRMQSTSHGQRPHQPVQSQRPLQSLQGRRPQQSPQNTRPQLMSKSQRPQQSLQRQRPQLSSQNERLESSERQRPPSQSYRPQSSQGQRPSSVQGRQYSEQRRIQANDRVKSAERQIRPPSKPMPSRQLPSNGMRDAHAKKKQPMKRRFNDLSEDEEDPLAMIRSMFRYDPSKYAGRDDDDSDMEADFATIEMEEQRSARIAKEEDDEQLRLIEEEERREQERKRRKMARGR >ORGLA11G0090600.1 pep chromosome:AGI1.1:11:8763180:8764418:1 gene:ORGLA11G0090600 transcript:ORGLA11G0090600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTQFSRPKAVPYNDSWEIPNSDYILLYLNDKQTGKITCMVCGKEGHYTCECPMKNKEKYVICTLCGKVGHCHLWCCHQNESERRACRRCGEKGHYDNWHHLGCSSCEKHHPLGRCPMGKITCFLCEGNGHVPVQCHLSPMLTAITQNQRESFRATLRQALRETSNTAVTPITLTRELEPYNDKNGGQPKGDNEMVPRVLGFNQGEEGHSALRNPNRCHVPSSDKANVAADKAPNQSLGVTCFNCEGKGHYSNKCPQKQKQHGVRSTNAAAMKDKTPNLTGVTCFDCGDRGHFSYTCPQNLLEVMLTAELEPHDDTYERQPKADNGTVPTVLSLNCGEASHCGRNNPMKSLGSSSDKINSTAMTYKAPKRVLGVICFNCHEEGHYANRCPQKQQGINSGTSQSPIKRQRKE >ORGLA11G0090500.1 pep chromosome:AGI1.1:11:8724125:8729054:1 gene:ORGLA11G0090500 transcript:ORGLA11G0090500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITNWLGFSSSSFSGAGADPVLPHPPLQEWGSAYEGGGTVAAAGGEETAAPKLEDFLGMQVQQETAAAAAGHGRGGSSSVVGLSMIKNWLRSQPPPAVVGGEDAMMALAVSTSASPPVDATAPACISPDGMGSKAADGGGAAEAAAAAQRMKAAMDTFGQRTSIYRGVTKHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGTTTTTNFPVSNYEKELDEMKHMNRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIIESSNLPIGTGTTRRLKDSSDHTDNVMDINVNTEPNNVVSSHFTNGVGNYGSQHYGYNGWSPISMQPIPSQYANGQPRAWLKQEQDSSVVTAAQNLHNLHHFSSLGYTHNFFQQSDVPDVTGFVDAPSRSSDSYSFRYNGTNGFHGLPGGISYAMPVATAVDQGQGIHGYGEDGVAGIDTTHNLYGSRNVYYLSEGSLVADVEKEGDYGQSVGGNSWVLPTPESEMVEKADNVTVCHGMPLFSVWNDA >ORGLA11G0090400.1 pep chromosome:AGI1.1:11:8721070:8721780:-1 gene:ORGLA11G0090400 transcript:ORGLA11G0090400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLSMWHARVESNVDPTRQPLPFPSSPSTASFRSRPLEAGQRCGAVNSGVRGERGKGGCISVKACAIDTRRSRFVDALVGSATARPASVSSASAPXPPLTLCPLAHALAGPSPFGSAPVGPATVSLGLAVPALAGSTLVALCSVAAALLLAVAVESQRRQRPRLGRGIEEGVEVGGVEVDDGVGVDLPHAFLGFERTRGAATRKAATRMMQCAVAAMMDRALVHLVGGRRGREVKG >ORGLA11G0090300.1 pep chromosome:AGI1.1:11:8716805:8717065:-1 gene:ORGLA11G0090300 transcript:ORGLA11G0090300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKQETKSMKTYFVVPTHNPTTNATPQQDEHESNLPEEFRVTSPPPLSPPPYPLSPSMEDDGMIYAEDLGYMSTPCPYPLRTSTI >ORGLA11G0090200.1 pep chromosome:AGI1.1:11:8706273:8716044:1 gene:ORGLA11G0090200 transcript:ORGLA11G0090200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRWGGWGGVGGAHNPSETKGPNLTRCSAALVVQACRALSTVHHEKLEEIGLDAVAYKSLESLEQPDLIQWLMDRTDPDTMCISIDDDRKIPITSRTVRLVLGTLLGGNDIVLPSHKVVRTVHKSITDELGIHKKARLSTKQLIEVIKSQKDDSRAIXYFIMVLMSKLLVPTTDFYVPKGDVWVASDLDRVTAIDWSKAVFRAVNDSIRYWRQNPASSIASFVVFLVVLYLDNILPPRDIGLDLTFTPRIQIFTKDIVDKLVAADQEASGDGTPPFGNLPRLVLLESLAEYDRQAKESALEIERQFRLVVDKQHMLCQSVIDVLQANRAAQPPPVVPQAAQCQEASRRQSDVQFTSAEINPNDSEDQQQQQHHGCNGSPSVFDQHTIHPNAPTHVSPSMEIVPYIPPVRLEVADQPHLGSAHSPDLTQRSPIPTDSAPLTSEEVSAQYSAPDTAEEPLAIEVGGVIGNVPGVSTAIQTEDAPRAVDQESHGTEPKRFIQKPARFVSPVVVGPSIMPSDVSLSVQLRDFLLTNGGCMDIVKLLDIDSSVAYGNDELKSFSNGNLTEWLFIDAFSSILFKDDMRNMPDTFGKRIFFPTSVSIIVPVLHHNHWSLYAINIAHGRVDIMDSNNYNLIGTLESDHHCALSKRIVKRLSNALHEVAPKSFCRFGGFRKNMMKCPKVQICSNDCVFYIMRFMEAYDGNRESIETFSIPGPSVPPHMVPTEGMAFPTYDDAYNFYQRYACHAGFDIKKSRMHKAFREVCCTREGKHVSKVNNGDRQWRRPSKKMGCKAYVKLRHNYDGGALSSVVWHILNRHSDPLNTIFARDAQIEPDMMLCINQTYTPYEFETSWDQFIKRYDLEGCPTMKALYDIRDKWVPAFSRKEYCERMTSTQRSESMNKLVKHKFVDHQTTLHRFARRILEVITDRKEKEAAETRAWSGKPVLAVRWPFVIQMSRLYTRAAFRLFEDALXDSTDFRITQDDNFRNGWLVSHTKLSEKHNWCXKQFKLIADVDAGVFTCECKQWEHTGMFCTHMLWAFVHVQLEKIPAAYILKRSRTKGRTRDPDEEVQLGAKGKKMCTRECGWCHLRDGHYANTCLMNPTNFNKVMKAANRGKGKRGRPRGSGRGRGRGTNAGCKVSTVVPRTTRRSTREGPSLRRCLDNEWAEDAAESARYTDDDQTNADDDIGSYESDSA >ORGLA11G0090100.1 pep chromosome:AGI1.1:11:8685835:8691530:1 gene:ORGLA11G0090100 transcript:ORGLA11G0090100.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXAVLRSHLQPAAPAGEGDRDVVVCAEQAAGVSTSPCSAAAAGGGREAEGKSCVFCRIIRGEAPAFKVYEDDVCLCILDSHPLAPGHSLIIPKCHFPSLEATPPHIVAAMCSKVPFLSNAIMKATQCDSFNMVVNNGAAAGQVIFHTHFHIIPRRSGDKLWPTESLRRRSIEPNETSGLVSCIKEQLYSSPEGCKAEPPSSLPKER >ORGLA11G0090000.1 pep chromosome:AGI1.1:11:8677894:8681646:1 gene:ORGLA11G0090000 transcript:ORGLA11G0090000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKKPIRFTPRQLAGFTRGYSARLSAGGFGTVYGGALPNGLGVAVKVLCGGMGRRSEEQFMAEVGTIGRTHHINLVRLFGFCFDAAVRALVYEFMGDGALDAYLFDRTRAIGDSDGLRSPPSSSLWAEDGQVKLGTYLARLQKLARRSQVKLGTSTDTEMGMIQAKFPRQWAKKKKKQTKSCIQKLMQNSRFLRVANFDGLEIGKKLPSEEFIKQLSDLQFLSALTLRGTSYTVSQPIFDHGFHSLTELMVSAENLDRIEIHELALPKLKDLDVVGHGNDFHVEIHGHLVRGIKGKDEKPFKILHVKSRENNKNEDSMS >ORGLA11G0089900.1 pep chromosome:AGI1.1:11:8662513:8664849:-1 gene:ORGLA11G0089900 transcript:ORGLA11G0089900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCSSRGSETDRAPVHIYRQQNQEEHEPLSSAYDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVSLPVPENPDLEKSDLKSKTDDQQEESLEVDEFKSCEKCVAEDKPDEEDVCPICLEEYDAENPRSLTKCEHHFHLCCILEWMERSDTCPVCDQYVLIISIXM >ORGLA11G0089800.1 pep chromosome:AGI1.1:11:8651537:8652705:1 gene:ORGLA11G0089800 transcript:ORGLA11G0089800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPELSLGPTLLGFGVGAGAGKSSSSSESDGSSDDGSRKRRKHFAWEEAVSHASLDLHLNDPLPMDWEQCLDLHSGRMYYLNRKTMRKSWVRPMEEHGGSNTLDLELNISTIPSTFHVGAGKASSGGAAAIAEDDARIAGGGGGVGSLGPMVAVPCVNCHLLVMLCKSSPACPNCKFVQPSVPAPAMPRTPPRRRLEATVKPLETLSLLH >ORGLA11G0089700.1 pep chromosome:AGI1.1:11:8644080:8644947:-1 gene:ORGLA11G0089700 transcript:ORGLA11G0089700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCARGGLQLGSSIAIVQLSPFDLVKASKITPLQKSKGYMHVCIPKWLASFARDLELSGAYGRAHCRERRRCRCSDKQRSGRQAVAAATLEGEIGKKRKS >ORGLA11G0089600.1 pep chromosome:AGI1.1:11:8636453:8636707:1 gene:ORGLA11G0089600 transcript:ORGLA11G0089600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKLKELAGIAKLSSEGILHDSNFHLDFSMDDNGSYTDSSPSDCSISLLQKMGVEMCGLHLEDVAESKLQGEKLKELPSPTEDAE >ORGLA11G0089500.1 pep chromosome:AGI1.1:11:8629171:8631693:1 gene:ORGLA11G0089500 transcript:ORGLA11G0089500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMILAPQKAFIHQISFFFQRSGVSFAEATKFDPSKSSMVIPVKKVFNNMKSNLRFSNASSKKYVRDRLSFDVPNRTFAFQRLDVPRDSLEFSKFLDPKLPPIGCLIGKKFXIVVGVLGKAILQYYISRVVSLFGRLDYWQEGDVIPGRVILQAYFDDVDMVPRRIVIKEINQHGGQGESWAFGVFVLNNEFVDAQPPYEDLPPVGPLGVQTPNNNQPNAPFDYNDHVDEANHDNLGNWEQHDNQQATGNSGVSSNSDLNGVLQLVPVV >ORGLA11G0089400.1 pep chromosome:AGI1.1:11:8624698:8626784:1 gene:ORGLA11G0089400 transcript:ORGLA11G0089400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPELAGRGGAVRCRVGQSADDGRRRGATAAATAEKRSSAAAAGVKRSSDGSWAEKRGGGAKGWQRVVGSLEEISTAVKRFRASGCSRASHIANGLKLPCVIDNLELKNLEPSILVLSNGPSSGSTQSGDNYSEFSRNKNLRACLISQPGR >ORGLA11G0089300.1 pep chromosome:AGI1.1:11:8615584:8622723:-1 gene:ORGLA11G0089300 transcript:ORGLA11G0089300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERALDSLTYKGSIPDAILQSRRDNKLFVVYISGEDETSSSLDQSTLVNESVAEVIGNCCVFLHLKQGNIDASQFSAIYPQKAIPSISVIGQNGVMLWCHEGYISSKDLKENIEKAWATLHLQGTAAAFLTASLASRMTEPVNTTPTTLPTQGGSSAAENPSTSSNQSTGTSGASGFANPTDSVAQPPRSTSRDETPSISEKESSNLDSSPGNRTVQERPDSDSAQVKGSLPDHPRSSNIEGCANPVQSGNTASLKQKNKVDDGFTKVSSESVPTRVASRGKSSKVAVDQDKATTTSTPIEPAPVRSNDIQLVVRIPDGPSLQIKLTKDDNLRKVKNFVDENRANGAGSYDLAMLYPRKVFTEQDMEATLHELGIETRQALTIVPHHKTIRAAKRQSSSSPHEGDNNMDADSSGGSGYFGYLRTALSFVNPLSYLRANAAPSNPDQLANQGSPQYRPSTGPWNRPGEDTASESRIPDSASQQAARDTSSGSTLRRRPRQFGGNVHSLRSDDQGPSDDRNVYWNGNSTEFGGEDKK >ORGLA11G0089200.1 pep chromosome:AGI1.1:11:8609770:8611647:1 gene:ORGLA11G0089200 transcript:ORGLA11G0089200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDIVDPFVTTASLRVFYNSKEMTNGSELKPSQVLNQPRIYIEGRDMRTFYTLVMVDPDAPSPSNPTKREYLHWMVTDIPETTDARFGNEIVPYESPRPTAGIHRFVFILFRQSVRQTTYAPGWRQNFNTRDFAELYNLGSPVAALFFNCQRENGCGGRRCVR >ORGLA11G0089100.1 pep chromosome:AGI1.1:11:8593839:8596326:1 gene:ORGLA11G0089100 transcript:ORGLA11G0089100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVMAISHGASLAAGWVGFPPWXXDQGSVPSSPWVLARVWYKDLDSVPQYVVWEQPNAPNGQSWTIYVYTLNGEFADAFPPDDDLPMGEGPVDPNVNFEDAPAWEFGNVQNFDQEENQGWGNWDEGQDNVNDNVDFLPKIPQPTDVLQINSFSASSILAVNSDNSGSIISISSGEASEIGADNSLMVQEVSVDANVLKNLCQGFPQIMFDKNFVKDASFWSALNSGSVLGSSKWASGNDVPIASGANEILDPVPLAVAPPPSVFLALTDSDAPVKPAKRAYKKRAVGSATPVVAIGLRRSTRLLAISDGRKLSFKDDILVEPDPNQGIGKPRGKSVKKLKQVAHEVGLLFSGSSLQESDFMEGTAEVEGPADCPIPLLQKMATDLCGVAPQDVTQESLLASAPKSVDDES >ORGLA11G0089000.1 pep chromosome:AGI1.1:11:8588723:8589091:-1 gene:ORGLA11G0089000 transcript:ORGLA11G0089000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAWARWQPGTVVTWARRRPSTTASSETAAARLPLLLVVVLVMCSAPSRQGKAADVVRSDKARQGKARLCRVLVVLLLVVTSIVKAADLAAVAASATVSAAGGLNGDDGGGLGGGWVGVVR >ORGLA11G0088900.1 pep chromosome:AGI1.1:11:8587396:8587968:-1 gene:ORGLA11G0088900 transcript:ORGLA11G0088900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTNKKNGARCCGGARCHRLRAALLTFIITQKIQTDRSHIEVFTQRGWQEFLNAHTTISYQCKRIGGERGTLHTGDRSGDRRARDGSHGDRPHRRGRKGGGAGGREVGEASTARSVSQRPDPCPGGRLGGCRRWSSSLSLELGAGDPELLDAGGRGWRWPPPIGDGGRGAASHLLLASARRRALLTAATHR >ORGLA11G0088800.1 pep chromosome:AGI1.1:11:8563572:8569674:1 gene:ORGLA11G0088800 transcript:ORGLA11G0088800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNAAAAADSAARTRYARGQLEALRAAPSEEAQARLWAEVSAALAAAGFSGEYDGLMDAEDPSIRKPGRKGKKAAGGGWKRHDAAAATQFLETDEVGAWRNGDSGVRYEHYVDEPRSVLQVIEEPFVQGGNAEYEDADSDDDYDGILKPAFAVDGDPDFESGEPHDGFEYLRRVRWEANQIPRVKVAKIDLNTARNEQTPYMPEIPDIAKCSPDLCASKPWEDTFITYFSETRLAFSELDSSDGPSVSGGTKNLLKPSNRSEPQTDPTLTMIRNMDAVSRAATLRNYIDMIQSLDKLSRNDCLWLFSLCVAVDTPLDAETCSSLRSLLRKCANVLAAKLEMDDEVAMLNILITISGRFFGQYDNH >ORGLA11G0088700.1 pep chromosome:AGI1.1:11:8517646:8526306:-1 gene:ORGLA11G0088700 transcript:ORGLA11G0088700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSKSVVAALAVAFFLLAAFASGLSTGDATSVRGTSGGGGSGAAQLRQAPPTLAPRPLVGDAMSGRRTGDGGGNSVARLRVIFNSEKESTSLVSNAQENNVTYVDSSSEESEDQQVKICDICGDVGEEKKLAICSRCNDGAEHIYCMRVMMPEVPEGDWFCEECRTEMQIEKEKSILEKSQVKVSTISVGSKVKAANVSNKDLNVSNTSSKSTKEDAEEGIVPSGCTSTGKEEDGRSCIGGSEAANKNEEQTSSGRNDDFNLKIDGERHMQSHNNDVPFTSVAHDISNMAVKNKSSIKSEVKSSEEVEDVKVCDICGDIGAEEKLAVCSRCNDGAEHIYCMRVMMQEVPKAKWLCETCHSEVESEKRKNKIETSELKVGGSKGPMNKPSSSENGVDAENVGSNMSNRGNEMNSVNKRKDGDAGITSLVRQNPVSRESSFKLDGKKGKDPAGHVSTLLTSNYPKNQMAPLRGQLSKSTSFNNSKVPKVKQLLNEVPQKPKTFKDSLSTPMRKEGPMGILAKSASFKKPKCFEPVNKAKPSTVMNPLVSENARNDILTSILGSRSLTGSVIVPVHSKAQSSAQHLNKGNRMADSNILGTSGGEGARSFLGHCGIKKPPHTKGHANIGMLGLGAQKKTIQVPDSSHLDDQIKSPSSLVPSNSSSVSIPGSASLRDHQAVPSMRGRSVDSISAMSKDMKEKKKTFSSQSFSPSCKHIASTIPELDYIWRGGFELSRTVRSTVLCEGLQAHLSCFASPKVLEVAKKFPSNVQLEELPRQNLWPPQFHDNGPTIDSIALFFFARDTESYEIHYRKLVENMLKDDLALRGNIETAELLIFASNTLPNNFQRWNMFHFLWGVFRVRRKDANLPPDLPIYDNNQGCSNGVKSLFHPLVGNPLDGQSHDSITAMFPTNSSAIDDFLPVLTRKDLKLAYSEQKEKMGYPSEGNGCDVNFDVNMKLNTCSFSVIHGKGNESTNNKMDNAEHLMDGDSVNATGVSSSNVHTISHVSGGVRKRSVDVANWDDKVSCAHAFMARKELSKMQQCLRSVGKMSRTKPS >ORGLA11G0088600.1 pep chromosome:AGI1.1:11:8511486:8512044:-1 gene:ORGLA11G0088600 transcript:ORGLA11G0088600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKSVVAVLAVAFFLLAAFASGLSTGDATSGRGTSSGGGSGAARLRQAPPTLAPCPWRLGSGKRRAEQRFDGGDDVFSPPTATRAVVAEMELYDLTGSCAERGLHGDGEPPGPRRGKALGENLRGEEERVRGRGGITRRVARAGAVEDVERKERSADEEAKHSG >ORGLA11G0088500.1 pep chromosome:AGI1.1:11:8506436:8507298:1 gene:ORGLA11G0088500 transcript:ORGLA11G0088500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRPGGSGMRPSDGGADAAASVCMCGCEGQATALLDRATVARPSEGAVRSGSGGVLPCGGSSARPCDSEAAGGAAHDGAAGRGPGGAAGGHGMARQTAGGGTPTIGIHRRLQVGDDGIHRKPQKRNEEDARNSFLQFNVQDTRGF >ORGLA11G0088400.1 pep chromosome:AGI1.1:11:8473657:8479433:-1 gene:ORGLA11G0088400 transcript:ORGLA11G0088400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVLLVLTAAVLLLLVEGGEPPYSCGPRSPSSGYAFCDARLPPARRAADLVSRLTAAEKVAQLGDEAGGVARLGVPPYKWWSEGLHGLSYWGHGMHFNGAVTAITSFPQVLLTAAAFDDRLWFRIGQAIGTEARALYNLGQAEGLTIWSPNVNIYRDPRWGRGQETPGEDPTTASKYAVAFVKGLQGSTPGTLQTSACCKHATAYDLEEWNGVARYNFNAKVTAQDLADTFNPPFKSCVVDAKASCVMCAYTDINGVPACASSDLLSKTFRGQWGLDGYVSSDCDAVALLRDAQRYAPTPEDTVAVAIKVHGMAALQQGKMRESDVDRALTNLFAVRMRLGHFDGDPRSNAAYGHLGAADVCTQAHRDLALEAAQDGIVLLKNDAGALPLDRATVRSAAVIGPNANDPAALNGNYFGPPCETTTPLQGVQRYISSVRFLAGCDSPACGFAATGQAAALASSSDQVIMFMGLSQDQEKEGLDRTSLLLPGKQQSLITAVASAARRPVILVLLTGGPVDVTFAKNNPKIGAILWAGYPGQAGGLAVAKVLFGDHNPSGRLPVTWYPEEFTRIPMTDMRMRADPATGYPGRSYRFYQGNPVYKFGYGLSYSKFSRRLVAAAKPRRPNRNLLAGVIPKQAGDGGESYHVEEIGEEGCERLKFPATVEVHNHGPMDGKHSVLVFVRWPNATAGASRPARQLVGFSSQHVRAGEKARLTMEINPCEHLSRAREDGTKVIDRGSHFLKVGEEDDEWEISFDA >ORGLA11G0088300.1 pep chromosome:AGI1.1:11:8429172:8446199:-1 gene:ORGLA11G0088300 transcript:ORGLA11G0088300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFRIAAAMAVALVLLLLILPGQQQQPHRFCDERLTAEQRAADLVANLTLAEKVSQLGDRAAGVARLGVPAYEWWSEGLHGLSIWGRGIRFNGTVRAVTSFPQVILTAAAFDAGLWRRVGEAVGAEARALYNLGQANGLTIWSPNVNIFRDPRWGRGQETPGEDPVTASRYAVAFVTGLQGIGGEASACCKHATAYDLDYWNNVVRYNYDSKDGASTGKRGETSSQVEKKHGPYEKGYFAVTLQDLEDTYNPPFKSCVAEGKATCIMCGYNSINGVPACASSDLLTKKVRQEWGMNGYVASDCDAVATIRDAHHYTLSPEDTVAVSIKAGMDVNCGNYTQVHAMAAVQKGNLTEKDIDRALVNLFAVRMRLGHFDGDPRSNAVYGHLGAADVCSPAHKSLALEAAQDGIVLLKNDAGALPLQPSAVTSLAVIGPNADNLGALHGNYFGPPCETTTPLQGIKGYLGDRARFLAGCDSPACAVAATNEAAALASSSDHVVLFMGLSQKQEQEGLDKTSLLLPGEQQGLITAVANAARRPVILVLLTGGPVDVTFAKDNPKIGAILWAGYPGQAGGLAIAKVLFGDHNPSGRLPVTWYPEEFTKVPMTDMRMRADPATGYPGRSYRFYQGNTVYNFGYGLSYSKFSRRMFSSFSTSNAGNLSLLAGVTARRAGDDGGGMSSYLVKEIGVERCSRLVFPAVVEVQNHGPMDGKHSVLMYLRWPTTSGGRPARQLIGFRSQHVKVGEKAMVSFEVSPCEHFSWVGEDGERVIDGGAHFLMVGDEELETSFGLWGLS >ORGLA11G0088200.1 pep chromosome:AGI1.1:11:8400214:8412321:-1 gene:ORGLA11G0088200 transcript:ORGLA11G0088200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMRLQQLKDITGNFSKEQELGRGGFGVVYKAILKNGVSVAVKRLEVNPGIQDKQFKNEINLVVLNGVTVMQLSKEYARTVDGMCISVKIPSIAKTIELSVKKSDIVADVKLQIELKEGIHLDNQILMYAGRHLGDSQILSECGLSDDHILHVLVSPADKMCVYINIRDTRTVRVDVRNWYTVADVKLMVETMFGFPECSQTLLPTKSGDAIELNGTQTLKDQNIKNNAVLMLLPDFPIFIKTWEGRTLTMVVSSFHTEEDIWEKIQKKSMINPKNTFSVTLGMF >ORGLA11G0088100.1 pep chromosome:AGI1.1:11:8385629:8386175:-1 gene:ORGLA11G0088100 transcript:ORGLA11G0088100.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVGIGWTARRVHVRRVVRRRAPADQARLPRRRPRQVQPYNAEAITVDFENKAGKARKQINEWTRQVTRGLINSVLPPGSIGLTTAIVLGNAIYQEEAVLPPRRRPRRAVHIVEQLQTVRRRARRVQGAQAPLQRAESP >ORGLA11G0088000.1 pep chromosome:AGI1.1:11:8374757:8374978:1 gene:ORGLA11G0088000 transcript:ORGLA11G0088000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTKGSFTGVHNVIGDHGPLMGCLAIAGPIAPRLDGPERLLRPSRGRTCHGEVVFLRQIVFALHPSPETPLA >ORGLA11G0087900.1 pep chromosome:AGI1.1:11:8329803:8332326:1 gene:ORGLA11G0087900 transcript:ORGLA11G0087900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEIFVGAHSYAAICAFTLIIGWLAHWVYRWINPPCNGRLPPGSMGFPIVGETFQFFRTSPSIDMPIYYKRRLERYGPIFKTNIGGQHVVISLDPEVNQFIFQQEGKLFQSWFPETTLNIFGKKTLTTYNRTAHKLIRSFVCKLYGPENVKKSLLPELENSMRESLASWIGKPSVEVNDGVSNMIFGLAAKHLIGLDITNSGELKKNFQEIFQVMVSIPFPIYFPGTSFYRCMQGRRNVWTTLTNVMKKRLSAPGNKFGDLVDLIVEELRSENPTIDESFAIDTLSGLLFASFAPLSCTLTTTFKFLNDNPEVFDKLKEEHEMILKKREGANSGFTWEEYKSLKFSTQVVNEINRITTVIPGGFRKALTDVQVNGYTIPSGWLVMISPMGVHLNPKLFEDPLKFDPWRWTEEKRISMQRNFMPFGGGIRMCPAAEFNKLFITLFLHIVVTEYRWKDIDGGNVKRISEVLVAQEYHIQLVPQT >ORGLA11G0087800.1 pep chromosome:AGI1.1:11:8302286:8305198:-1 gene:ORGLA11G0087800 transcript:ORGLA11G0087800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEEKEVRRLDGLDQSLKLGWASAVATELCNGELREFANVEIKSNGSGICVDVASCEGCFIGVNDLSGYQNNGRGKETLGEGLRVMEDHRGHPSQGDDDERTAQDFADCVSVDGRYRSILTVNITKIEVN >ORGLA11G0087700.1 pep chromosome:AGI1.1:11:8277384:8277611:-1 gene:ORGLA11G0087700 transcript:ORGLA11G0087700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKFGEGASNPLLRSSNGFLGRETWEFDPNGGSPEEHAVVERLRRDFTRNRFTQKECSDLLMRMQERIYYYMV >ORGLA11G0087600.1 pep chromosome:AGI1.1:11:8274906:8275187:-1 gene:ORGLA11G0087600 transcript:ORGLA11G0087600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAVGVLVASASASPAPLNGLVAAIRSPLGVGFDLNVPLETFGHYCAVLQSEMLCLELEPPPSPSPAPAARLHCFLSEDDTSTSSTQHQLAA >ORGLA11G0087500.1 pep chromosome:AGI1.1:11:8257363:8267784:-1 gene:ORGLA11G0087500 transcript:ORGLA11G0087500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHLSIYVRYAATSTTFRHNEDGGWSTHTLGPSSMFGSCVNYATLRLLGEVLDEHNDGLSKGRAWILSHGSATVAPQWAKIYLSSFSFPGRFWCFCRMVYMPMSYIYAKRFIGPITPTILALREELYDVPYNKINWNNARISCCKDDIIYPPSWFQNIAMASLHKFMEPLFNMWPMNKLRKRALTNLMDHIHYEDENSNYVGLCPINKVLNMICCWIENPNSNAFRRHLPRIHDFLWLAEDGMKSKVYVGSQCWDTALIVQAYCSTGLTQEFSETIKKAHDFIKNAQVTKNCPNYKRYYRERSKGSWTLSNGENGWPIADTLAECLKAVLLLSKIPPTQVGDPIQEQRLYDAIDCLLSYVNKDGTLSSAESKRTTPWVEFINPSESFRNIIVDYPYVECTSSLIQALILFKGVHPGYRREEIDRIIKNGVLFIEKKQKNDGSWYGSWAVCFTYATFFAIKGLVAAGRTFQNSLSIRKACNFLLSKQLSTGGWGEDYLGCQVE >ORGLA11G0087400.1 pep chromosome:AGI1.1:11:8233145:8233342:1 gene:ORGLA11G0087400 transcript:ORGLA11G0087400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSTHRGEEKEKNIRYPCCTNVGSIWVGHAKEDKLCEYFLVMKSGQPLINITLLVNSVFIVNK >ORGLA11G0087300.1 pep chromosome:AGI1.1:11:8225615:8226433:-1 gene:ORGLA11G0087300 transcript:ORGLA11G0087300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLIYAGHVEIDPIPLHRAAMELINMQLDTGEFPQQEIVGSFNSSLFFNYPNYRNLFQIWALGEFRHRLLAKKG >ORGLA11G0087200.1 pep chromosome:AGI1.1:11:8217206:8217484:-1 gene:ORGLA11G0087200 transcript:ORGLA11G0087200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRQRDGRWRAQASGPLAAEEAEEVAAALVDEDSAEDLISLHRFLVPSTCCVMDGAHRACSSSASMSSPEPESVVVSSGSVPGRGETASTGVD >ORGLA11G0087100.1 pep chromosome:AGI1.1:11:8182560:8182820:-1 gene:ORGLA11G0087100 transcript:ORGLA11G0087100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTIFFVHTTSKGHTRCRRTELGESYRDAVRHVIDIFGHRHWEKRRRCLQIRLQAAAQADQRHAAAFSEKRRLESRRRETPVLLISTV >ORGLA11G0087000.1 pep chromosome:AGI1.1:11:8177310:8178179:-1 gene:ORGLA11G0087000 transcript:ORGLA11G0087000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGETSSWTVGLRQINIAPTTTVNAEPKEIHTIEEADSVFMNYVYTLSVDSGSDANPNKMGVGSILNDLEVAETLVANMTLDKIDDMEKQQSSDDGE >ORGLA11G0086900.1 pep chromosome:AGI1.1:11:8135184:8171433:-1 gene:ORGLA11G0086900 transcript:ORGLA11G0086900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:I1QZC7] MWRLKIAAESGGGSPLLHTGNGFLGRAVWEFDPDAGTPEERAEVARLRRDFTRQRFQRKESQDLLMRMQYAKLGHLQPDLSAVIVEDNQNVMEETILSSLRRALNQYSTLQAHDGHWPGDYSGILFIMPLLIFSMHVTGTLDVVLSLEHKREICRYIYNRQNEDGGWGTQVLGQSTMFGSCLNYATLKLLGEALHNNDALAQGRMWILSHGSATAAPQWAKIWLSVIGVYDWSGNKAIIPELWMAPHFLPIHPAKFWCFVRMIYMSMAYLYGKKFVGPITPTILEIREELYNIPYSEIDWKKARDCCAKEDLRYPCSWIQDIVWTYLNKYVDPMFNVWPFNKLREISLRNLMKHIYYEDENTKYIGLCPINKALNMICCWIEDPNSDAFKRHLPRIYDFLWLAEDGMKAQVYDGCQTWETAFIVQAICSTGLVDEFSTTLEKAYGFLKNSQVLHDLPNGKSFYRHRSKGSWTLSTADNGWSVPDCTGETLQALLGLSKISPKLVGDPIKEKSLYDAVDCLLSFSNKDGTFSLYECTRTASWTEILNPSESFRNIVVDYPHVECTSSAIQGLISFTELYPGYRGEEIESCIKNAVMFIENKQQNDGSWYGTWGICFTYGAFFAIRGLIAAGRNYENSQAIRNGCKFLLSKQLSAGGWGEHYSSSEIEVYVDSGSPHAVNTSLAMLALLYSGQIERDPTPLYRAAKQLISMQLETGEFPQQEHVGCFNSSLYFNYPNYRNLYPIWALGEFRHRLVASKD >ORGLA11G0086800.1 pep chromosome:AGI1.1:11:8130273:8132581:-1 gene:ORGLA11G0086800 transcript:ORGLA11G0086800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QZC6] MAATTTSSSSGGGNRIWSSSLAVLALAVALVSLLSLRAPPPAAPSMADDLPTSVHDISVKDIKGNDVKLSEYEGKVLLIVNVASKCGLTNSNYKELNVLYEKYKEKGLEILAFPCNQFAGQEPGSNEQIEQTVCTRFKAEFPIFDKIDVNGKEAAPLYKFLKSQKGGFLGDGIKWNFTKFLVGKDGKVVERYAPTTSPLKIENDIQKLLGTS >ORGLA11G0086700.1 pep chromosome:AGI1.1:11:8111759:8113557:1 gene:ORGLA11G0086700 transcript:ORGLA11G0086700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKGWTIKTFLLLHLLIFSVDPTKEEVDNNLIVKTIQTADGQTFACVSFKSQPSLRHPLLMNHTTQLMPPISFPHSTDDDEGSKFGISNVEMSEIECPPGTVPILTSYNGSMNTRSFDKIIYSENRNDKGNRQMAAVVTVPSTFYRLQTSISIWEPDLGTGRPPRFSGAIVVLKNGGSRVAVGWSVDPHLYGDNLVHFEIAWVDNDKSCINLRCAGFVQMSKKAIPGIIIRPVSTVNGKQYIIRVKIIKFMGDWVLKVGEEIVGYWPSKLLTHMSEAADVISWMGVVEAAPGEPFPPMGSGQPADEGETKAAFFADAKVIDASGSFAAPALKTINTVATEPNCYEVGRPYTTDDGLQFYYGGAGCSPSQPIK >ORGLA11G0086600.1 pep chromosome:AGI1.1:11:8108324:8108907:-1 gene:ORGLA11G0086600 transcript:ORGLA11G0086600.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEGRRLVAVPAVAPHSPPLPDLAEGKEAINGMTTVEGRVVVAPLLPSRPIFGLMRAREGGGVAKGEVAAVAGDDKGQGLHRCMWLIWPWRRWIQPWRQWRVDPVGDPSPRSGFVKRVNAINCGAL >ORGLA11G0086500.1 pep chromosome:AGI1.1:11:8077580:8083671:1 gene:ORGLA11G0086500 transcript:ORGLA11G0086500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGLVDWRGRPVDTKKHGGVRASIFIHAMVLLTNAPNIANMMNMVSYLRGTMHMGVAQASTTASNYFAALQMFSIPAAFLADSYLKRFYTVLLFAPIEIIGYILLAVQAYTTSLHPPPCSPAATAASATTTCEPVRGANLSLLLLGLYLIPIGDGAARACLPALGGDQFDLGDPDEQRQETSFYNWYTFAVSTGGFVGLVFIVWVQNSKGWGVGFAVSAAFVALGLLVWAAAFPLYRNQLPMGSPITRVLQVFVAAFKKRNVRLPENPSELKQINQDDDANAHEVLPKTDGFRCLEKAAVETGNDAGPWSLCSVTQVEETKIVLRMAPIFVAAVLSYIPVPLLLSLTVQQGNTMDTRLGAVHISPATLFLIPTVFQMVILIIYDRAIVPPLRRLTGYVGGVTHLQRIGIGFVATIVATAIAAVVETRRKMTAEESGLEDATTGIPLSVFWLTPQFFLIGIVDVTSFVGLLEFFCSEASMGMKSIGSSIFYCILGVSAWLGSLLIQVTNRVTQRTNGGGWLDGANLNKGKLDRFYVVLCIIEVVALVIYVFFARRYVYRNDQRVVAQEQRKGDTGNGVAVI >ORGLA11G0086400.1 pep chromosome:AGI1.1:11:8074761:8074988:1 gene:ORGLA11G0086400 transcript:ORGLA11G0086400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IWWRPSPPLLHPPDLGGGEESNGGGGATAATTAEEGGDGNPPILSFTTPYLVGREEGNSGGGDDDNNSSRRRRRQ >ORGLA11G0086300.1 pep chromosome:AGI1.1:11:8071912:8072744:-1 gene:ORGLA11G0086300 transcript:ORGLA11G0086300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVSKKSSYIGMSWLMQPIATVVDEIAEPQGKTLSIASGTGLPLVFQEDFNLQLYDVPERAGLQRMVINPEVGRKIRLK >ORGLA11G0086200.1 pep chromosome:AGI1.1:11:8067257:8067478:1 gene:ORGLA11G0086200 transcript:ORGLA11G0086200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGMIGYVKFVSCTRGFPKMFRTSSMSLVRGFRLPTSGINREGA >ORGLA11G0086100.1 pep chromosome:AGI1.1:11:8056703:8056924:-1 gene:ORGLA11G0086100 transcript:ORGLA11G0086100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVYGDFIWHTMELLCVYGMRSRIWKESKFGTIDYVKFLSCTRRFAKVFKTPSMSLVRGFRLPTSGINRGGA >ORGLA11G0086000.1 pep chromosome:AGI1.1:11:8055091:8055970:-1 gene:ORGLA11G0086000 transcript:ORGLA11G0086000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATATTGAHTLQFLPHLRVALPADEIVEGKDEIVDGKDRKNIINPAKTTSQDPPTGRASGRGCSLGGRQRRRCGPARTRPSSHDSVGRSGEERRGVTALGRRSLLAACAQIALESSGARCLIHNLSARRHSEAGLQTTGLVTRCPGKENGGD >ORGLA11G0085900.1 pep chromosome:AGI1.1:11:8048057:8054590:1 gene:ORGLA11G0085900 transcript:ORGLA11G0085900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGLVDWRGRPVDTRKHGGVRASIYIHMLVWLSNVSNIGNMTNIVSYLSVKMNMGVAAASTTSASFVAMMQVFTIPAAFLADSYLKRVYTVLFFAPIEILGYILLAIQAHVPSLHPAPCELAGAAAGAGAGATAATTEAAPGTCEAVHGSNLSLLMLGLYLICVGEGAVRACLPALGGDQFDEGDAAEQRQAASFFNWYAFAVSLGALVGLVAVVWVQDNKGWDAGFAVCGAVVLLGLLVWAAGMPTYRNKVPAGSPITRILQVLVVAFKKRNLQLPENPDELYQPTNDDSAKGLEILQRTRGLKCLDKAAIVRGGGSNGGAWSVCSVSQVEETKIVLRMVPIFLTAALGYMPVSVVLTFTVQQGNIMDTRMGAIRVSPATLFVIPTVFQLAILVVYDRAVVPALRRATGRVGGVTHLQRIGVGFVSSLASCAVAAAVEVKRRRLVASSSSSAMMSVFWLTPQFFLLGVVDVTSFVGLLEFFSSEASDGMKSIGSSIFYCMLGMAAWLNTMLIELVNRVTRRRGGGGWLDGANLNESRLDLFYWLVSGIELVAFMAYLLFAWRYVYRNDQTIAAAAADAVDEQEDKKAASNGSLVQINLI >ORGLA11G0085800.1 pep chromosome:AGI1.1:11:8030120:8031271:1 gene:ORGLA11G0085800 transcript:ORGLA11G0085800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFISLLCFLLSPNLPSLHLSLVLDAVGEHLEPVQVGSAGGCLAAAAGDGSARQVSAPVSRLVESATTSTSGRSVASAAAVGDALVSEMCMQSAKIDAVVRMECERMCVGLEQCQALVRSVSVAAARRLREKEVELEAARRRAAELEEQLRQATAESQAWCGLAHRTRPSRRASEPPSTTSSSAPSPPPNPRLSATLMYCPS >ORGLA11G0085700.1 pep chromosome:AGI1.1:11:8022960:8023472:1 gene:ORGLA11G0085700 transcript:ORGLA11G0085700.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDDLEELKGCVDLGFGFSYDEIPELCGTLPALELCYSMSQRFLDEHHHSPEAEPAPVAPSSPAQPIANWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >ORGLA11G0085600.1 pep chromosome:AGI1.1:11:8012333:8012950:1 gene:ORGLA11G0085600 transcript:ORGLA11G0085600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSAAGGVGRRAAALGGRWQLAGQGQAAARRHGRAASYWTWGSRSACPSTNGSQNVAVKMEEEEGQMEEHVVQELGEERVEENFEGITEFNPDYIISDRGLRASLWVTPPYLDFFPSSATVCT >ORGLA11G0085500.1 pep chromosome:AGI1.1:11:7955370:7961761:1 gene:ORGLA11G0085500 transcript:ORGLA11G0085500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPATSYSTASDQGDPTRPEYVSQRDWNHRHQLFDPARSIKLQNIVVVKDNGSTLSDVSLRFAATTCQTSTTTTTGFTVLTLVLFLSTSVLSRFPTNGKFYSTLTKASCLYGSIPRSTKASTTNVDKALSQILRLLDHSRTVGGSRQGIRNEEMVYPPR >ORGLA11G0085400.1 pep chromosome:AGI1.1:11:7949112:7949536:-1 gene:ORGLA11G0085400 transcript:ORGLA11G0085400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDDPRIKLSDQYSSLCKMFVKIVCRAAKSEETYSMSTNCAEKLAEDIENFLKIRGDPDLDSSPSPQVAKENQMASKPKGIKLKRKEIRGSARPIGGLEKSSQTGKRRRMMTPQPR >ORGLA11G0085300.1 pep chromosome:AGI1.1:11:7926074:7927378:-1 gene:ORGLA11G0085300 transcript:ORGLA11G0085300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMGCAVLPRRAGDDNNDSKPSGGGSGEPGPRRDGSAYVSLWCSRVSTTMVAAARTDLGAVGLHPYWALVVIASAIHLQYELDTLKNSLFPAKWFSIKVNAM >ORGLA11G0085200.1 pep chromosome:AGI1.1:11:7907432:7907758:-1 gene:ORGLA11G0085200 transcript:ORGLA11G0085200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVCAGAGVLDDFLRRQSTLFAVAATSPTSMTSSPSSTPEPAAPGRIWKPAPQRRAPFFALGLHRLPFRRRRLELLLTPSPPALPDLLHPSHHDDQIQEVSPSLWS >ORGLA11G0085100.1 pep chromosome:AGI1.1:11:7888091:7890319:1 gene:ORGLA11G0085100 transcript:ORGLA11G0085100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGAFLTTDINSEYVICLFRFAIDCSTGFSERQASGGGPDDFSGSHPFGDIFTHVRVDIPIFFSIRKLHWPVFSEQGLGGYLTDTLSIPMPPELSPPRCGQGFIGKGMDIRRNTSLLSGTLFVVPKNKRARNSXPRDKEXSVDXLSP >ORGLA11G0085000.1 pep chromosome:AGI1.1:11:7872307:7876362:-1 gene:ORGLA11G0085000 transcript:ORGLA11G0085000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent (S)-NAD(P)H-hydrate dehydratase [Source:UniProtKB/TrEMBL;Acc:I1QZA8] MWAASPAFRRRLFLLRSLSPSPCAALPGNAACSSSPSPSTSIRVNAMSASGPVYEADAEAVVRRITPPLDRARHKGQAGKIAVIGGCREYTGAPYFAAISALKVGADLSHVFCTRDAATVIKSYSPELIVHPILEESYSVSDGEREYVSSRILTEVAKWMERFDCIVVGPGLGRDSFLLDCVSNIMRHARQANIPTVVDGDGLFLITNNLSLVEGNLLAILTPNVYEYKRLVQKVLNCEVNEENASEQLTALCQKIGGITIMRKGKADIISDGKTVTQVSTFGSPRRCGGQGDILSGSVAVFASWARHFLLTNEYPTEKSVNPMMLGCIAGSLLLRKAASHAFEKNKRSTVTTDIIEFLGKSLEDICPAGH >ORGLA11G0084900.1 pep chromosome:AGI1.1:11:7856656:7864787:1 gene:ORGLA11G0084900 transcript:ORGLA11G0084900.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVNHQLSIILQDNTTVKVTQVSTKDGPIESLVGEADSQVAIQKDLVLQTEDEDTEALLDTYIMAIGEAEAFSERMKRELVALESANVYALMETETVIEEVLEGLEIASICVEDFDEWLGIFNVKLRHMREDIQSIEWRNNKLELQSDSNVALIDELDKMLVLLHIPPEYEASLTGGSFDEGNMVKNIEACEWLTSAIKNLEASNLDPIYVKLRAVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQFIKNLDKSCLMPLRKSYCHSLNLLIRREAREFSSELRAGSKASKSSTPLFEGPANANQSISITDTTADAYCKMITVFIPLLVDESSFFAHFMCFDVAALAPSDESDNNNPVAVSEPPGSSAKPINSSAELGVLNQFLQELLDGIQEDFYAIVDWAFKLDPLSCISMHGITDRYLSGQKAEVAGYVHVLLDDLETRISILFSRFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAAHMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWDKCKKEFLDKYATFLKLISKIYPSETVISVNEMKDTLASL >ORGLA11G0084800.1 pep chromosome:AGI1.1:11:7847197:7847403:1 gene:ORGLA11G0084800 transcript:ORGLA11G0084800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDGFSDNILLDNFGYGGSNLTGVRAPTRSEPSSSTTWSIEIIQASSSAAYQKMSDHMISNEYAAR >ORGLA11G0084700.1 pep chromosome:AGI1.1:11:7845046:7845717:-1 gene:ORGLA11G0084700 transcript:ORGLA11G0084700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCTYKEKDDIFKLTLTGGNSGHNYLTEKSLKELKETLATIRGKATPSSRGLVTICSGKSSFCDGIDYTSSPPPAVEELIRGMAEVVRELLGMPFPTVAAVGGDVRSSLALALVLAHDDVAVLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA11G0084600.1 pep chromosome:AGI1.1:11:7840281:7840841:-1 gene:ORGLA11G0084600 transcript:ORGLA11G0084600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKPPAGAAARALITASSAGSFCDGVDYERASPREKQAAADVMVAVIRELLAMPMLTVCAATGGARSLGLVLALAHDDVVVVSGGRYHLGMVERGVAVPPHVGALLREKTDRWYTLGARVMAPRHDQGSYLKQWKVVDGVAGDRDGVLAEAERLAGAWNGDDGEAHAGMRRLLCRDSWEAVSGAQ >ORGLA11G0084500.1 pep chromosome:AGI1.1:11:7819664:7819885:-1 gene:ORGLA11G0084500 transcript:ORGLA11G0084500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLLQLWVAAARVKKAPGLVDWFLDLCLCLRWQQQLWEEVRCILGSGEARFTERGRDQCDMIGIDRFPFVRT >ORGLA11G0084400.1 pep chromosome:AGI1.1:11:7815660:7815884:-1 gene:ORGLA11G0084400 transcript:ORGLA11G0084400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLELQFLLHDLQSYNSSTTPVDISLAAIEACTDGFSESKKVGSGAYGKVYKVYPLCFGLFSQVILVASTSNSGK >ORGLA11G0084300.1 pep chromosome:AGI1.1:11:7786130:7792777:-1 gene:ORGLA11G0084300 transcript:ORGLA11G0084300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGQRGRCGRRRSGRHAQHGGRQAFGAVVWKGATAVRDTEAATARDTEAATARDAEAAAANSTSASSCCRRHRPPPPSRAPPPAASTTARHPYLEPRFQPPSPPATAPIPSTISTAKKTGPSPLRPAPPFLPPRADEPTTRRRRCPGATARGTGGWTSSRPSPAASSASSPVQPPRDAAVGVADIETADSKISEEEVDGGEVPTVDRYFAALEGPELDTLRVRINNSRFCENXHQQIRFSINTIXTLQSQHHIFSGYQSFVARLILLRREYIMGRRLLXRNLICCRDSMIRYSKMNLLTLCLSSIKILXNXLATAMKRKIKLLNTMANLLMLKXVSELSASNIXSVETXQILFLMNLVVDLTGPRGTEXLKGLARDXIIFTMDKKSLFTIWTXSPKIYCXMRTWHRKLQILACPDSLNRQKHIXRKLXMEQXXXXXXNLLIMXEISFMSTPLKLIFISNIFXYIPXVLXFSMSVFENYIFRRYMQNGTKECREYRGMHRAKQTCSQXDNVLNYRXDVWRPTETKGLRXMIXSINXTSXMLRLKTCLCVSPSHFWARKALNPNILGLILSASFDSPLRXRRIYHAVCSXQTRRITSSRLIQRQTKRSIAHNQTKEPLHHGPVAMSLXPXKHRRRHPRICSAMTCSLCRALEXMRTSNLNLKISLKNCSRKQWVRSWMRRSCRSFMWRCPRQKA >ORGLA11G0084200.1 pep chromosome:AGI1.1:11:7779333:7780481:-1 gene:ORGLA11G0084200 transcript:ORGLA11G0084200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDDELDPVIVAGANRAFTDNGRKLAVGAGVIINHHRLSSRLPPMANLILVIVVGADRLSTADTLKLGAVIGVVINHRRLSSRLPPMANLSLVIVLAPVVSLPMTSSALSSLPVPIAHSSSTLEGRFYPITGDHKLGISTDEAVDRCRRPHTSTPPSPSCMGEREAKTDDNDQRSLMRGFSGV >ORGLA11G0084100.1 pep chromosome:AGI1.1:11:7777848:7778096:-1 gene:ORGLA11G0084100 transcript:ORGLA11G0084100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPLLGRRALPSHPLPSPRFVCGEEDGQWEDGSAPAAARGARPRGLGRWSATVGLDLVTTDAARPWAVVLGFQWISVDLCAFV >ORGLA11G0084000.1 pep chromosome:AGI1.1:11:7776248:7776520:-1 gene:ORGLA11G0084000 transcript:ORGLA11G0084000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKVQMPTEAAAPSLSPAPVEGKPREAAVGEKRKATEEPAPAESDLLSDASESAAAAATFADDGGKPASEAAVAEDELPYDEWPFCKIN >ORGLA11G0083900.1 pep chromosome:AGI1.1:11:7676482:7678837:-1 gene:ORGLA11G0083900 transcript:ORGLA11G0083900.1 gene_biotype:protein_coding transcript_biotype:protein_coding HFKVNKTYFVVIDDIWSTTVWTTIRCAFCENHRGSKILCTTRIISVAETCCSADCKNIYEMKPLSDVYAEKLFIKRIFGSEDQCPAYLKDIYIDILRRCGGLPLAIISLASLLANKPRTKEQWGRYRNSICSATENVPSVSNMQRILSLSYNDLPHYLKTCLLYLSTFPEDVLIWRDPLIRRWIAEGFITTQGEGGRTLEEVGECYSNELINRSMIQPEEIQYDGQAHACRMHDMILDLIISKSVVENFIISFSHNYLLGCQDKVIRRLSLDCRERDVILPATMALSSARSLVVYGSTEHIPLISAFHVLRTVAIESNDKLKNCYLRDIGRLFQLKYLRLSEVSISELPEQIGELQELQTLELQRTSIKELPKSIVRLKNLVFLVADGITLPEGIGNMKALQKLVGVKVDISTPVDCLRELGGLNDLRRLYIIWCVSDAYPDKKTYTDSFISCIDELCTFKLRYLQVGCDVTNDSSLDFMLDSWYHPPYPLYNFQMTTYYCFPIIPEWMATLFNVAFLDINVTSVGKDVLRILGDLPSLLSLSITTKTIVSERLVFGSDGFQCLKEFYFHSWHDVVGPLLFEVGAMPKLEKFRFNLSAQTAGSLNSNFYVGLHNMVCLKNLVLEVDCREARAEQVEATEAAAKNAIANNPLPDHLNVQIRRNWVHRIIKDTLMGNSVVEQQEETTVKIHYN >ORGLA11G0083800.1 pep chromosome:AGI1.1:11:7651322:7653154:-1 gene:ORGLA11G0083800 transcript:ORGLA11G0083800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFDLHAEVGPPMDGEMVHHKVPYPTGYDNFTTFRTRRRAVDTEMKPHIVALDPSSKDSLSIQLPGFGGVLHAEGEVEGEAKKLIGDMIPKEYGIMVVRQTEGRTNGLFVGKLPLRENPTKEVVLGDDVEPWSTRKRKLAVAMASASGRAKVARPAKAATLAPSEDPSDADDAEDVEVESEAEADDQGDDYLGEDAMDTNATACFATSESLADAYGEGCSRVVAGFVLSVLKANGCEHVTAFPQMFIGSFPRIERE >ORGLA11G0083700.1 pep chromosome:AGI1.1:11:7643984:7650320:1 gene:ORGLA11G0083700 transcript:ORGLA11G0083700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QZ95] MDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYLVFELMESDLHQVIKANDDLTPEYYQIFLYQLLRGLKYIHTANVFHRDLKPKNILANANCKLKICDFGLARVAFSDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSTEAISRIRNEKARRYLSSMRRKKPIPFTQKFPNADPLALRLLERMLSFEPKDRPNAEEALADPYFRNIANVYREPSAQPVTKLEFEFERRRITKQDIRGLIYREILEYHPNMLREYLEGTESAGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPSVLYSDDRPQNTANIAEDLSKCVLGDNTQKMHQGSASVCANRVPQGGAARPGKVVGSALRYGNCSTSTAEQYEHRRTDRNPALATNTVSPRGSYP >ORGLA11G0083600.1 pep chromosome:AGI1.1:11:7635662:7636203:-1 gene:ORGLA11G0083600 transcript:ORGLA11G0083600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAFLNATPHLCQCSFLVVSTITAHSYASYLAVATVCQDELNHLAALGGDDAIVETDFLKSVSAM >ORGLA11G0083500.1 pep chromosome:AGI1.1:11:7627004:7627648:-1 gene:ORGLA11G0083500 transcript:ORGLA11G0083500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAMAIDTPSPSPSASAAAAAGRRLGEEERWRRFGEEEDGWWGGQAAAGEGTGKKKNKPRDHSQCKPECPWSFGQRLSSIKPLINKVQNINDAY >ORGLA11G0083400.1 pep chromosome:AGI1.1:11:7595979:7596505:-1 gene:ORGLA11G0083400 transcript:ORGLA11G0083400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLESAPTFMLRVSACFATSWLSGSKISTTLLPFLLSGKLAFTQLSTVVEFMPPRITRYLLSCRKERGFLVISVSSPSDDSQHECALPNTSLPQYGNESLLWSMFMRKEMILQCPQVTIKSHRSVPYVVPGN >ORGLA11G0083300.1 pep chromosome:AGI1.1:11:7588168:7588773:1 gene:ORGLA11G0083300 transcript:ORGLA11G0083300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEVSMRMLKADPEDKGEIYNLIKTIKDQVTEKARKDIRTLTKTYTSNTSLVAILLATITFAAAFTLPGGYSNNAGSEGLPIMSRKLAFQAFLISDTLAMCTSLTVAFVCIIARWEDLEFLLYYRSFTKKLMWFAYFATTTAFATGLYTVLAPHLPWLAIAICVVSVLLPILTKLIGEWPLLKLRIRLLGSFESDLIDMV >ORGLA11G0083200.1 pep chromosome:AGI1.1:11:7528156:7529965:1 gene:ORGLA11G0083200 transcript:ORGLA11G0083200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRGRDLAAELNLEFTTARSRDLEVVAAGDLGAEGEGGGGCSLGPSRSRWSPTTLRPDLAPRISGWQRGGGRRPCDGGRRRRRLEHGVRPNPVVPNHLETGSGGKEHVVAKRRQLVTLGSAQRRRRPRDPGGQRRTKEMATRSWQGGRGSRLSEGRGQRPAVNRKVEE >ORGLA11G0083100.1 pep chromosome:AGI1.1:11:7505307:7505714:-1 gene:ORGLA11G0083100 transcript:ORGLA11G0083100.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYNPKRIRFRKQHRGRMKGKSYQGNCICFGRYALQTLEPTWITARHIEAGRRAITRYARRGGKIWVRIFPDKLVTIRPTETRMGSGKGSPEYWVAVVKKGRILYEIGGVPEAVARAAISIAASKMLIRPEISNLSK >ORGLA11G0083000.1 pep chromosome:AGI1.1:11:7494711:7500010:-1 gene:ORGLA11G0083000 transcript:ORGLA11G0083000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAMLVAAAVEVAVPTLAEAAALGAIGAILAGPLMQPTKVRSPVRVRNSNLKCHGTKMRSPSMVSMSQSQRIKIPSYVGLSTVHTPALLTPVISSRSTGTFQKTAKTIQERSHAVMQIPEFTPGTGLRSTNAIQRTTEVLQRHSHVGLRQPHAGRLREMHTASGRPVIGLTPTIVSQKTTKVPKQPRFVARAIDNFSREVMNAIAVAHDEAQYIAHLTIGSTNILLSLISQYICIFLLEIILNKAYKMFRAAVRRATRRAKLATLMEYGTNLTKLAEEGKLDPVVGRQKQIDHVVQILSRRTKNNPCLIGEPGVGKTAIAEGLAQLIATGDVPETIQQKTVISLDMGLLVAGTKYRGELEERLKNILEEIKQNGEIILFLDEVHTLVTAGSAEGAIDAANIFKPALARGELQCIGATTINEYRKHIEKDAAFERRFQPVKIPESTVDETVGILKGLRERYQGHHKVQYTDEALVAAAELSHKHIRDRFLPDKAIDLMDEAGSIVRLRNAQCKPSKKVNDLEAELKKTLKEKNDAISIQNFRRAKQLRDHELQLRTNISALTDKKTQMMEPDTIAMPVVTEDDVRHAISRWTGVPLHKVSMDESRKLLKLEEALHRRVVGQGEAVAAVSRAIRRARLGLKHPGRPVASLVFAGPTGVGKSELAKALAACYYGSSESEEAAMVRLDMSEYMEKHAVARLVGSPPGYVGHGEGGQLTEAVRRRPHAVVLLDEVEKAHRDVFDLLLQVLDDGRLTDGKGRTVDFKNTLIVMTTNIGSSLIVNNGGDGAAVAGRIKNTVTDEMKRHFRPEFLNRLDEVIVFQPLTKLEVGKISGIMLEEFAGRVREKGIKLKVTDKFRELVVEEGFDPSYGARPLRRAVVRLLEDTLAEKMLAGEVREGDSVIVDADSAGNAVVRRSNAMPA >ORGLA11G0082900.1 pep chromosome:AGI1.1:11:7460915:7463511:-1 gene:ORGLA11G0082900 transcript:ORGLA11G0082900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPNFLRSQWVDSQRTGASIAYVNPILVCETAHTVRISENSAVLKNKTDEEKKDYIEHLHKRKMAVENYLAHSMLTHSNKQVIVVPYHFGMHYILFLVYPTDQIVIVLDPADYDKQAYMEFLCLLNFAHGRYRKLGGFVKNPSRDKLYTRGRWPLPSIPYNASRFDQKTLINLCTDFCRFIRRDICNHLGEFHDLHSELAMDPKFKNLREWERKHAMD >ORGLA11G0082800.1 pep chromosome:AGI1.1:11:7458628:7459415:1 gene:ORGLA11G0082800 transcript:ORGLA11G0082800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAVALMVGAAEDGEDVAKRHGAGAEDDGEDVAKRHRAASVTGARARCGGGDGEDGGSGHHGARGGSGGGRRAQRFDHRRTKKKFEKLSAKLQIGES >ORGLA11G0082700.1 pep chromosome:AGI1.1:11:7454952:7455584:-1 gene:ORGLA11G0082700 transcript:ORGLA11G0082700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLGPNKIRLDGIKTLGTNYTGNGIGAAVAGEPRHNNANRGHREKEEATAMLTNTYAAMNGEGDGRRRDRMTARYCPWRRRLSSDVRRQRRGGRPSSWCCDAEGGSSSDQGQLGRRRTAAVDQKTAATHWFTARTASGKISAQGNRLPGFSSSLRIQRWRRRPKETMDDDERRGRGDGALRLERRPEVEREGERGESVSGDGGGRDSRE >ORGLA11G0082600.1 pep chromosome:AGI1.1:11:7438268:7442171:-1 gene:ORGLA11G0082600 transcript:ORGLA11G0082600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTVRRSKPELVAPAWATPNERKYLSDIDNQPSLRFYATFVEFFQPSSTFDGSRPSDPAKAIKSALADALVYYYPIAGRLTELPEGRLVVDCTAEGVVFVEADADVGLEELAYEALRDGNLGNDIMQSTPPGAMVGQYFLFGPAEISAMRSHLSAHLRQSSTIFELISGAIWKCRTAALDYSPGQLVRFMFTLNSRGKWKRNPPVPQGYYGCGLVLPVAETLVADLCGNPLEYAVQLVRKAKFNVTDEYIKSTVDMIASRKWPSLVVDRTYIVSDITTIGEDKIDFGWGKRVGGGIPMAGDIMSKLLSYFMKCKNADGEDCVVVPMYLPSIIMDRFATEISVWSRKQGNKFIVNAFN >ORGLA11G0082500.1 pep chromosome:AGI1.1:11:7435253:7436761:-1 gene:ORGLA11G0082500 transcript:ORGLA11G0082500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWAVGDRRTSGRQDGSHRRPAPLFDGGALTRACSVCTAARAAREAAPYYGSSEKRRYGMILMYGVILNCRRDLFFFPACVALDRHIDAKTPAVTAADGGSSLSRVDSTRYGARPLRRAVVRLLEDTLAERMFTREVGEGDLVIVDADSAGKCRGQEEQHHAGGLQLPTKCATIELDTYENFGKLSKYFSDSHRIMYSLTSRI >ORGLA11G0082400.1 pep chromosome:AGI1.1:11:7421820:7422041:1 gene:ORGLA11G0082400 transcript:ORGLA11G0082400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHMMELLCAYGMRSRIWKESKFGTIGYVKFVSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA11G0082300.1 pep chromosome:AGI1.1:11:7381943:7386951:-1 gene:ORGLA11G0082300 transcript:ORGLA11G0082300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLLNPPPSLRSRAFRTTAATRIRPSSSMANMIPTPPPIRHARLVKASAAGRRELHAPPIAPPILLGLRSPAAASYGRAGGGGGGRRRGARVVARMGFDMFTDKAIKAIMMAQEEARRLGHHAAGSEQLLLGVIGEGTGIGAKVLRGAGLSLKAARAEVEKMAGRGPGMVPMEIKFTPAAKNVLQASEEEAHQLGHNYVGSEHLLLGLLREHGAALVVLKNFQADPSNIRSEVIRMISDTSEDHQPVSAAVGGGSSATKIPTLEEYGTNLTKLAEEGKLDPVVGRQNQVDRVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIAAGNVPETIDGKTVITLDMGLLVAGTKYRGEFEERLKKLMDEVKQNGEIILFLDEVHTLVGAGAAEGAIDAANILKPALARGELQCIGATTIDEYRKHIEKDPALERRFQPVKVPEPTVDETIGILKGLRERYEIHHKVRYTDESLIAAARLSYQYISDRFLPDKAIDLVDEAGSLVRLRNAQLPDEAKELEMKLKEIMAEKNEAIRSQDFEKAGALRGEEVELKSEIMSLVDKSKEMSKAAVDSGESPGPTVTEADVQHIVSSWTGVPVEKVTVDESSRLLAMESSLHRRIVGQDEAVTAISRAIRRARVGLRDPRRPIASFIFAGPTGVGKSELAKALAAYYYGSPEAMVRLDMSEFMEKHTVAKLVGSPPGYVGYAEGGQLTEAIRRRPYAVVLFDEVEKAHPDVFNMMLQILDDGRLTDSKGKTVDFKNSLIIMTSNVGSGVIEKGGRQLGFAGDGGGDGGYGMIKNMVEEMKRYFRPEFLNRLDEMIVFRQLTKMEVKEIAGIMLAEVTGRIGGKGIGLQVTERFKELVVEQGFDPSYGARPLRRAIMRLLEDTLTDKMLAGEICAGDSVIVDADGDGNVVVVGRRSAGLPDLKSPAFTV >ORGLA11G0082200.1 pep chromosome:AGI1.1:11:7376515:7381249:1 gene:ORGLA11G0082200 transcript:ORGLA11G0082200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease III homolog [Source:UniProtKB/TrEMBL;Acc:I1QZ80] MPLALLARAALSSTSSSIAMATTRSSSRVLRASRAELNPGAKEVKRESSVSFDLTKTEAVASMRSKNVKRVLEVTGENIKKEVDIVPDIEDFRYGKASPSLVRLEKKVRVSSAIKVGSPENWEAILKGIKNMRLSGEAPVDTKGCEKAGSLLPPKERRFAVLISTMMSSQTKDEVTHAAVERLSEKGLLDPDAIVRTDEATLANLIKPVGFYQRKAKFIKEASKICLERFGGDIPDSLNELLALKGVGPKMAHLVMSIAWKNTQGICVDTHVHRISNRLGWVFREGTKQRTTTPEQTRMSLEKWLPKDEWEPINPLLVGFGQTICTPLRPKCDMCGINNICPSAFKESSSPNPKQKKMRSS >ORGLA11G0082100.1 pep chromosome:AGI1.1:11:7365013:7370186:-1 gene:ORGLA11G0082100 transcript:ORGLA11G0082100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFPLLLPRIVCAAFFACLPGLVAGIRKDIGLAAPIMCRSTVQGRHLISDDNGYVCSSLSIDPWSRCCPTTGSRFSCQGCKLDLQCCDSYEYCVSCCLNPSRTKETDVLKLKVARPVTSGTYRNVFDFCMGRCHHSSASVVHENAYASDFHHCFLLQQNSSGSADSGSGPRLDGINISIGRRGESCSSVCRAKGQSCVPSRLSVLNKCEILQKYMRCKSGCFSSLGPDQPAQVVDEAPSNLNPGACLYMQMDERLTCDGSHQHTRRLCPCA >ORGLA11G0082000.1 pep chromosome:AGI1.1:11:7363541:7364362:1 gene:ORGLA11G0082000 transcript:ORGLA11G0082000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:enzyme binding;tetrapyrrole binding [Source:Projected from Arabidopsis thaliana (AT3G59400) TAIR;Acc:AT3G59400] MANASLQSFLLHHHHSFLSNGIHEGSSPSVILKLTTNSNSSISFKLFSNTTSSSSSSVTTTASTPNSPVTPAPVTASSPPPPSLELLGAQLAKRDYRQADETTRALLIELAGEPARRRGYVFFSEVQFISADDLRAIDALWQEHSGGRFGYSVQRRLWEKSRRDFTRFFIRVGWMKKLDTEVEQFNYRAFPDEFIWELNDDTPEGHLPLTNALRGTQLLGNILTHPAFEEEQEDELAAEENDTPDNTGQSKDGSKGKERPKFMRDFFKPDYSF >ORGLA11G0081900.1 pep chromosome:AGI1.1:11:7344020:7360486:-1 gene:ORGLA11G0081900 transcript:ORGLA11G0081900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G17680) TAIR;Acc:AT1G17680] MSDTEEERRLGRASVGAEKGADGQEDPDGDEEEEEEEEGEEEYEFCDADEAMQCVEMAERSAPDAGVHDYEALAARKRKALAEERTERDASSKKLRQDGLSEAEAATVFDQLMEGFGLRRKRRSKDAKKRGRKKGTRNKYSPEVTKKLGDATLLFTESRFKEAIPILHEVVRIAPNLSNSYHLLGSIYKECGELDKAINFLMLAAYVSPKDVFLWKKLIDMALKKEDAALARHCVLKAMRADPEDVGLKFDCANIYRALRDYQKAGEIYEQIVRIYPSNIVARKAAAQMYRDCGQIDKAINLLEDYVNAQTTNIDSNLLDLLISLYLRNNAYNEALRLIERAHIVFGSQHNLPVQLQAKAVICHAYLGDMKHAEMFLQNVHLERSKDNTDVIKEVASTLENLGQYEYAIKFYLMIEDVAVHNDGSSYVKVGQCYMVIGEKRKAIPYFQKALQRMEDNIDVRITLSSLLVDVDKSDEAIVLLSPPNNSGSKSATDQPKPWWLDGKVKMHLANIYYNKGMFEDFVGTILIPILETLNIEYANRKQVRKAKKLPTNVLYERAKVLAEQRPESVFQGLRPIASPAELQKASRAKKLLEKRAASNEDTIKDDLQRSKQIPPISGLLTNAENHQLVLHLCQTLALLHRYWEALQVINRTLKLGNDTLTDENKEELRSLGAQIAYRAPDPRHGFNYVRYVVQQHPYSLAAWNSYYKVTSRIEDRFSRHHKFLLRTREEKTDCVPPIIISGHRFTAISQHQSAARDYLEAYKLNPENPLINLCVGSALINLALGFRLQNKNQCIVQALAFLFRYLRLCDNSQEALYNIARAYHHVGLNTLAAIYYEKALAVEVKDYPIPRLPYEENSCAQQDLKPGYCDVRREAAFNLHLIYKKSGADDLARRILRTYCTI >ORGLA11G0081800.1 pep chromosome:AGI1.1:11:7299451:7303536:1 gene:ORGLA11G0081800 transcript:ORGLA11G0081800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKPDMRKILMDLLSQILRNGSPMCFDEQRLIDKLREFLXXXXYLIVIDDIWSTSAWEIVKSAFPDNNLRSRIITTTRIMDVAKSCSANLQEYVYTIKPLNHQDSSKLFFKKIFPSGCGVPQHLKEVSNAILKKCGGLPLAILIIAGLLASKYSYRKDEWEAVHNSIGSELGKNHTLEGFRRILMLSFYDLPHDLKTCFLYLSIFPEDDLIVRKQLVWKWVAEGFIRKERGKRPDQVAESYFYDLINRNMIQAVGVQYKGNIYGCRVHDLVLDLIRFLSAQINFVIAIDDKGYESSPRKIRRLSLQASNLEDQEMQKLVSNQSHIRSLIMFRAFKQAPDLFKFHALRILDLSECSCLEDHHITCIVNMFQLRYLSLPCRITELPEQTGNLQHLEVLNIRRCMIKRLPESVVKLGKLMCLHVKSGVKLPDEIGRMQALQELESISIPCNSVRLIEEIGRLTRLRRLTVETTSTTEKMGDQEVRFREMLVSSLTELGRNGLESLCISYPHGQNFILDSLSGSCCSLPKLHELDIKNYLCWVPRWLTMLSSLVHLCLSMYDIDEEDMRVLNGISTLLFLRLELRNPPEERLVIGCDGLRHLNELHVFCQHSAMPLTFAPGAMPELHHLRLEFGARETLRMYGDFDFGIEHLSGLRDIRVDINYYSGGTDMDAEAVAAKDAITTASIIHPNRPLHDVRMHVTMMFTLKEAAQSVGLQSATKELNVGTDVQRTFVQIEDLDNPEVLRKLQQLLSRESSTRNQ >ORGLA11G0081700.1 pep chromosome:AGI1.1:11:7294104:7294355:-1 gene:ORGLA11G0081700 transcript:ORGLA11G0081700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEGWKWLDEEEEEERRLDEAEERQWRRHGRDGGGSTKRRSGGGLTRRRAGGGSTRRRSDSGVSTMRRRGKGGRVDPAETRR >ORGLA11G0081600.1 pep chromosome:AGI1.1:11:7288047:7289078:-1 gene:ORGLA11G0081600 transcript:ORGLA11G0081600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVSASTGVMESLLGKLSSMLEKEYAKKKTVEKDVLFLRNELSSMNTVMRKYAMSSEPDLQVKAWMKEVRELAYNIEDIIDAFMAQRVGFACVCSXGYQRVYHQQYPEAERDVFRLYYFSGDXRTQEPSSRGEXSQKKVXTXCVRVHGRLXVPXPSSASVLFRGRWTCRYRWAEGQNYQVAKEGGSGXGLWICESTXDGVYHRVWRYGKNHSCQTSVSENQMAVXLRGFGVCISNARHEEDSFGFAFWTWGFWXYMGXXEATHXQDQRIPSXQEVFNCH >ORGLA11G0081500.1 pep chromosome:AGI1.1:11:7271658:7275895:-1 gene:ORGLA11G0081500 transcript:ORGLA11G0081500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAPQPVSSPSAAAGAASNNPHKRKKPAARGKGKGKGRLAKTPRRSDDPGDLPRRRSKPSDRFLKLLRKRARDYNSDDSDEEERLPDEDEDEDEDEEEGASGSGDDEAAGAVTRFEQGCRAFRVAFTKIMAKKLPDDPLGPILSAHKKLVAAKLAEDAEEHKPKGEGRREKRMAAEKGHVIPTNHLDSKEKELIKIATQGVVRLFNSVSKAQNCRKGLNPSRSKDAKVLAKETKQAFLTELSKTSNQSQKGKASSNFSKNNSKDEDEPGWAPLRETYMLGSKLKDWDKMQTSDVVNEQTEIPLDDSSDEE >ORGLA11G0081400.1 pep chromosome:AGI1.1:11:7267185:7270040:1 gene:ORGLA11G0081400 transcript:ORGLA11G0081400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVSASTGVMESLLGKLSTMLEKEYAKKKAVEKDVLFLRNELSSMNTVMQKYVMLSEPDLQVKAWMKEVRELAYDIEDIIDAFMARSEKSNEPTGIRGFIINNILKLRELLSSCTISQEIEKLKNQVLEVNDRRKRYKLDVSVSMGTGCESIDPRLPAFYSEVGGLVGIDGPRDKIIKLLRENAADEDCGFVNRLKMVSIAGFGGLGKTTLAKQVYQKIKWQFDCAAFVFVSQIPDMKRVLLDLLCGLGASGNTWDDEKQLIDKIREFLHDKRYIIVIDDIWSISSWEILKCVLPENNSGSRIITTTRILDISMICCSTFNGSIYRIKPLSDDDSRRLFCRRIFHGEHSCPSHLEELSKAILRKCGGLPLAILHIASLLATKSNTKEEWELVLNSIGSALENSHILQGLKKILLLSFYDLPPQLKTCLLYLSIYPEDCMINSKELIRKWIAEGFIAEDSGKRLDQVAESYLNDLINRSMILPFDITHDDGVQYYQVHDVVLNIIISMSKEENFVTIIDGHKCSSLQEKIRRLSLQFNDSEDVVVPTNITNRSCVRSLSIFGITKQVPYFMDLQSLRVLDLGYCTLLQNQHIECLGSMLQLRYLVLHSQLITELPDEIGNLQHLEMLDVTLCSIQALPDTIVRLQKLVCLYVSTKVKLPEMIGTMQCLEELFHISSNSIRLAGDLKCLKKLRDLAIAVEDPVGTKSSTLRYREVVRSSLTELGRHNLQSLSLNYKGDENFILDSSMGSCFSTQRLRKLIIGKTISRVPEWMSIFDNLTHLQLCISRMEQSDINILKGIDSLIFLRLVFTGHAPHGRIVIDNRGLQALKELYLLCFIPGMWPVFEPGAMQELQKYHLTFKLQKVHCKNSVLDFGLQHLSSLQHISAIIIPSGATSEDTLVAEDAIRSATSIHPNQPIAEIFVE >ORGLA11G0081300.1 pep chromosome:AGI1.1:11:7255490:7263354:1 gene:ORGLA11G0081300 transcript:ORGLA11G0081300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMHGSIPRIDFAGIIDLAALPPPAAPPPPGADHLRPRWAAVRAAVMDALRAHGCFEAVVDGLISPELRAAVLGPGGAAESVLSLPASAKARGNDPGEGKPYHGYVGGIPGLPYESVAISDPLSPTAVRAFAARVWPAAAAAFPEEAVVAYAGRLAAVEAAVRRMVLESVGATASSAGAVEAQAAATAFKLRLSEYAAPGGGEDARLGLPAHRDTSFLAVVTQNGVDGVEVECGRGDGGWARPTLSPSSFLVFSGDTLKALTNGQVYNPLHRVVVSGDEARYSAILFSLPVDGAAVRPLDETVDGDHPAMYRPFDYGEYAVFCYLPENMTPEVMKHAHKLEAFAAVRTTTTASAP >ORGLA11G0081200.1 pep chromosome:AGI1.1:11:7252270:7253120:-1 gene:ORGLA11G0081200 transcript:ORGLA11G0081200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GACPXARKDHVDLPGMNSSFSGIDNIMQPSEILKELEFNGESLPNQVKEAIDKHKDKIHYTYYKWEIHEKTQGNAEANYTNMEALLKISRLHHHDTREGKKYSAVLLFAFLVLISADGNQICCP >ORGLA11G0081100.1 pep chromosome:AGI1.1:11:7246133:7251309:1 gene:ORGLA11G0081100 transcript:ORGLA11G0081100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:diphthamide synthesis DPH2 family protein [Source:Projected from Arabidopsis thaliana (AT3G59630) TAIR;Acc:AT3G59630] MGDDIDARYEVPRTAEFIRARAYTRVALQFPDEMLRDAAAVAQALRRELGGGGVKLFVMADTAYNSCCVDEVGASHIDAQCVVHYGHACMSPTSNLPAFFVFGKTPLDTNACGRSLLECSRESDKRILVFYGLEYAHALDDLKAVVAELYKSHSRSVEVQYADVLCSVMSPSSAAEVEHGQSDGSTHSDDLSIQSDVATFVNNCCNVEGSTRKYGLGGLTWSTSIDDNMEDYLLYWIGQDNSAFANIVLTFNKCDIVRYDTIANQPSRDVSHLMKILRRRYYLVEKAKDANIVGILVGTLGVAGYLHIIEQMKDLIKAAGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALLDSKDFLAPVITPFEAVLAFSRGREWTGEYLLDFKDLITTDKPEISSTTEEARFSFIKGGYVEDNCLEDNEEQPETSLALAEVTEKALSIKNQNNDAVLYQGGAKSAIDYLKARSYRGLTGEYEGPAPDSVLTGRTGRAAGYNNEKKEITQ >ORGLA11G0081000.1 pep chromosome:AGI1.1:11:7235935:7241897:-1 gene:ORGLA11G0081000 transcript:ORGLA11G0081000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPPPPSPGTGGAVPLAPALLGLLRFVLSSHLAAPDPALPLSPSYCSRLLLDDDDDDLLEKLAAGLARCVEEGRLPVAAAAAEAGIPAGEAWSEEREREWEAVVLEKGNELKRMYDAVEFELHVQEPYFTQLRAGTKKVEGRLAAGNYNRIAEGSLLLFNKCLLLNVQVDNTLCQNNPSLSIIVESFVCLIFFQVIRKYASFSEMLQAEMISEVLPGISSIEQGVGVYRKFYTEEKESLYGVLAISVSKPTAQPYIIMTELLAGLGSDGLGRLLGMVKTAGTVQDGLPPPRSVLISSCMKLHQPNVNGCSLTDAARAMAKHVHRSSDGWWGSFHGSDVKKNQLASEIIDRLLRECCWMNIHLTQPYGPVYEIRVHEGYGARWSQDGSKFIGFLEPYSPEGFSRGWKH >ORGLA11G0080900.1 pep chromosome:AGI1.1:11:7230448:7235198:1 gene:ORGLA11G0080900 transcript:ORGLA11G0080900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPVLAPLAGATAVAFLLFKFATVDGDFTLVSRGAPRREKVDGKVVWITGASRGIGEVLAMQFASLGAKLILSARNKEELERVKHNIINKHPNSRVEVLPMDLSSGEESLKEHVHEAESLFSNAGVDYMIHNAAFERPKRRALEETEQGLKATFDVNVFGTITLTRLLAPSMMDRGMGHFVVMSSAAGKVPSPGQALYSASKHALNGYFSSLRSELCTKGIKVTVVCPGPIEAPQSSGATSSSQKPSSEKRVPVERCAELTIVAATHGLKEAWISYQPVLGVMYLVQYMPTVGLWLMDKVGAKRLDVAAKKGNAYGWNLLFGGKKSA >ORGLA11G0080800.1 pep chromosome:AGI1.1:11:7219315:7223125:-1 gene:ORGLA11G0080800 transcript:ORGLA11G0080800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPKKPALRRPETKTTTVAAMDVRRMSSSLNMSSSSLRSSGGADVDRRTTTATVRFAPTPTTLSSSSSSSSATRRAGSSRAVSSSSSSQQARPATARPASVAGTRGMRSLHGGSPATLGPKGLRRSWGWGTGGSGGGGGDGEEKEGGDHRRRGDAVAVAGEVKAPVRSSSVPRRIPADQDKQHPKRETKTKITSNSKTKAVSGSPPKAGEDVSMDRRIPNTAASKTTEKAPRNVSLNNMVRQSPPRKTTPATIGASWESLPSDLQSIGLEVMSYRDAAEVAAVEALQEASSAEILLRAFADLAAVAAEMSPQQTVDEFLALEATLARSAAAGHAEDWLRAAVSSDLGRFSLSAVSPTSQPEEEAGRRRTTWLGAAAREVGEETRGWFVGHVERLIDGDAAGTLGQLKRVNDWLDGAPAPPSEAAERLRKKIFGYLLDHVESAVLALNGGAAAGAHGRRK >ORGLA11G0080700.1 pep chromosome:AGI1.1:11:7213030:7216360:-1 gene:ORGLA11G0080700 transcript:ORGLA11G0080700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAPPQPQPEPEPAAGGAGLEALEGLALDTVIAKAGARQAAALACASTRLRDAAGDDALWRHFCADDLALHAPLAPDGRALPSFKDAYKVWLESFGMYPLPLVRRVKIFWSSLKSWLSENFPEALKTLNKGVSEAQIQSAEDDLGFKLPLPTKLLYRFCNGQLPLSEHHRENMRMAHLGIIGGYVFYDHLINVHLSPLEQIVEETKEFYREFYDQGVFNMTNLIIVATSWYRPKTFFLNCSDDQLYVGTINLQDGEMLPCVPKSLIRPTNTDMPQDGLLLWLEEHLRRLQNGMIKIRMLKTSRYISLFPEASPSCTSAMTNGVKVRASAVFAPEHPESRRPGAKCLYAYSIRLSVPEACMLGGVYYSSCQLYSRHWIIRWRDRVVSDVNGEGVIGKYPLLTPGQEEFVYESCTPLPDSPGSVEGSFTFVPGKLSRPEGKPFEVTVAPFPLEIPEYIF >ORGLA11G0080600.1 pep chromosome:AGI1.1:11:7177264:7184811:1 gene:ORGLA11G0080600 transcript:ORGLA11G0080600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:I1QZ64] MGSTSRPVDDEVLDAATGVHYSALRLEELNMNGSVSGEDQPTTSGVENGHQEPFVIGVAGGASSGKSTVCKMIIDQLRDQRVVVVTQESFYYGLSDEELVHVHDYNFDHPDAFDTELLLSCMENLKHGKAVDIPNYNFKTYKSVASARKVNPSDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKVHPNLYVIQTTYQIRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGKQQLIYHNVPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQETNIIFLNLISAPQGVHVVSKRFPRVKIVTSEIDFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDEKNRVRLL >ORGLA11G0080500.1 pep chromosome:AGI1.1:11:7162657:7163181:1 gene:ORGLA11G0080500 transcript:ORGLA11G0080500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSLRSIARVDRCMQCMALFMWQGAGARQPAAMPACSREAASASASLRRRGFPRLAMVALGWGGDVAARCAQPAESHVAAAASRAKSRRRQRRCRGNCLGQGQCGVDCGAEAGARKPVQPAETAELDVDGHGRGCPSRGGCGIARGEEANMVEPTHVGRRVHASERRRRSSTRR >ORGLA11G0080400.1 pep chromosome:AGI1.1:11:7150332:7154345:-1 gene:ORGLA11G0080400 transcript:ORGLA11G0080400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKAQRRPEGASVLETLPALPLAIIIAKAGPRCAAALACASSTLRAAASGEALWRHFCSDDFALDAPLAPGDLPLPSFKDAYKAWFQSFGMYPLPLVKRVKIFWSSFRAWLCEYFPEGLRTLGEGVSEAEIAVAECNLGLVLPMPTKLLYRFCNGQLHIGRGEEVSYGVMGGYDYVHQRYTVRLLPLAHHAVQKNSNYIVVATSCFGEKIFLLDCASGRLYVGTKYWNEEREIMACVPKATIRLAVDDDHGMPQDGFLLWLEEHLSRLQDGLIKVQSCKFPMLARHISLYPVQLPYCSSASMHGIKVRASAVFAPENSAFADYRCRYSYYFSIRLSLPEAFVVDGKWYSSFQLQSCHYTIQIGDEVLPYTCNYGGHGKCPLLRCGEELFVYGCSISAALEPGSVMGNLTLVPWRCGQPRGSPFIADIAPFPLHPPDYIF >ORGLA11G0080300.1 pep chromosome:AGI1.1:11:7144269:7148368:1 gene:ORGLA11G0080300 transcript:ORGLA11G0080300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRRPRLLPLLLLLALSLSLAAASAFQSDELLLHDDDEFEGAGARPTPGPPAPAAAAVSSSRRRPGDSSAAAAESSAVQFALEHDLGAGFVPAGSFSARLKSSAHGSQTLTKLRFTRNELIGDEKDAFKKLLDEDGFYSIRLLSNVLDPARKDYVVSSIKARCIPRESLDEHIVIHMDGVNILAVNYGSVGGCTYPRPVKMPSKWVFNSYTVLKTSEQAPRTPSFVDQLIEAENGPGEVVKPPEKSFWAKYWMYIIPLGLIVMNAVTAAANMPEEQAGGQGQPGAQRAPNAAPRRR >ORGLA11G0080200.1 pep chromosome:AGI1.1:11:7134380:7137550:1 gene:ORGLA11G0080200 transcript:ORGLA11G0080200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRLEQLVFLLCCFAAITCSLHATQAQAQGQITQHHLKKSSPHNGAVGRILSEMTNRSDSTLSRRIRRVDPLDGLRKYEGGYNITNKHYWSSTIFTGRPGYVIAALWLIGGIIFVGALLISKIFFAKRNTGYGDMNYFLARFHICSMIIFILLAAFVIVASAIAIRGAVRFHSRAEAVKEIIGRTALEATATIYNITEAIEKMQNTSRLYNNNSQAFDHLNSTVKALNSEAVEIQSKAEKNMRLVSKGINILEAVTILTVTLNLFAVLALLVMRPLRLQKLCNLCIAICWILTALIWMYFGLYYFLDEFAGDTCAALEEYQLDPKNSTLGTIIPCSEKFSGSVILHDVGAGIHDIIDQVNSNIYTIKSEYGVKQLDYICNPFAGPPEFRYRPENCPSGAATIGDIPQILRRLTCTDLGGGAHCAPAELSSAIDYGKVETYTSSIQNMLDIFPGTERLLTCELVESGFADIVGRQCAPLSRGARAAWSALAALSAATTALLVLAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA11G0080100.1 pep chromosome:AGI1.1:11:7122558:7123604:1 gene:ORGLA11G0080100 transcript:ORGLA11G0080100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSLLCSALLAGRPLAAGGEEEEEEEKREWNREELTELAKVEWSARGTCRYLRNGCEQRRNTAGVGRQMDGGEQRRCSTVAWGGGTEELAVLVSGRGGTRARTPLHRYAAPRVPEPAGAAPHGDVSLALAKERGPSVLHAQHATPCN >ORGLA11G0080000.1 pep chromosome:AGI1.1:11:7115606:7122291:-1 gene:ORGLA11G0080000 transcript:ORGLA11G0080000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLMLSSALDGLDSYTDLVAGSSVADSIFSALTCFPPSQERLLHVSTPVGSNSRQDDSDVSITKEGTTTRRGDCAAGLASGELVAAGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYARRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQSVQLSTVNAWRHSQSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSVEYGANFGKRNKEVLCIEESACYVNNTRMRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGGEQQLLLDSISATMRRVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLVSSVSPSIEPVLMNVEHKGSDPLPIESELPHLNFEPDCDAYRREHAGQDVLHKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMPNGLYVAREMTGTRTERLEHKPSSRDSFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNKTVVVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSVGLFQLKYKDDEGDWVILASDADLQECLEILDTTRLRILKLQVQDVVCPIGSSSGSCSMLRP >ORGLA11G0079900.1 pep chromosome:AGI1.1:11:7113610:7114755:1 gene:ORGLA11G0079900 transcript:ORGLA11G0079900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07550) TAIR;Acc:AT3G07550] MDDNVENYINFLSDDCLICIFNKLESESDRNAFGLTCKNWFKVRNIARKSIIFHCSFNPKVYKEHANCLSKLLARSPYLNLVSLAGLTELPDAALNQLRISGASLQSLSFYCCSGITDDGLEVVSIGCPNLVSLELYRCFNITDHGLENLCKGCHALKSLNLGYCVAISDQGIAAIFRNCPNISTIIIAYCRGLSGVGFRGCPGTLSHLEAESCMLSPDGLLDVVSGGGLEYLNLYNLKSPTGLDGLDRVGYARSLRFLNVRMCRYLTDDSVTAIASGCPLIEEWSLAVCHGVRLPGWSAIGLLCNKLRILHVNRCRNICDQGLQALGDGCVCLQVLHIHGCGKITNNGLASFSIARPNVKQRADEVMCIGPSIEDLFRLQ >ORGLA11G0079800.1 pep chromosome:AGI1.1:11:7110827:7113573:1 gene:ORGLA11G0079800 transcript:ORGLA11G0079800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSDVVYSCGSCGYPLNLSSSNRSTSDVGSSYQKSVKKGLISFITVDLSRFTQVDEISCFPLTWRSYRPKTKLLCRKCGASIGYGYGEPAVLCSFDPASSSSSTSQKYLIKIQALQPLDSTQ >ORGLA11G0079700.1 pep chromosome:AGI1.1:11:7105689:7105910:1 gene:ORGLA11G0079700 transcript:ORGLA11G0079700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRNRIWKESKFATIGYVKFLSCTRGLPKVFRTLSMSLVRGFRLPTSCINRGEA >ORGLA11G0079600.1 pep chromosome:AGI1.1:11:7076391:7078956:-1 gene:ORGLA11G0079600 transcript:ORGLA11G0079600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SXQSGIRAINSWDFYHGVDWGALQQCPTRVVAXFGQGSXGVEXRXKDIKDCSHKSTMKKEGISIDETLDQLLEKFELMEANRRQEEKFNQILHKLQEIEARWSKAAEEMIATIRATTAILKATLPTAPMASPPLAPTKCLTECPNNNITWVAANSNHIGEMLAPTAAXELGDRKDMDQAPYIAGKDLPKITPTKCSTICSSSDTKPDHTVATVVTCATLAMSSIELVAIDDTNGSTNIDTPDSTKAMPANCSTVGLDVKGGTDHARVTCQTMMGVVLPDASSEVFSPWLIAEMDLVKLMPTWCLMKCLKGIKKLLVGHPKRDPWPPPWSGGLVRGGEVWHIPWYVLDSFWTSVGLMPPWPPPTYNGVINGWDLQPMAGPEFKLNWARVHHLPPWPPPTEVSCLALVCQGNVMILTKQMDINLHCGELKPWPPPNQTNCKLGWEXVYALGWSSGICXIRKVAQWLQYLACRSILMGKNKSYVNHGIQVTNRTSIDIIVLNSWSIVHYYHLGHIVGFACLEALGILVCHEMVKFGWAGTVYSDQDRHTVVRPARAFVRHELGIGNGSHILYVSEAGARCGYMRKLLELIRNEMTFQIKIMVENLLQEVNMISSFETSILEMNTHVLKYSASTQVLDAHALNICNSIGLLNLMTHKQLQCLLIHKTWKFLLSVIVVVHGSRGSSFKAGLLLCAISNKYLAYNSMAIWDTKLLGMTRKDQYYEKSQTNQRWMPKECWAKIDQSKACNFAPKELYRSNNLSTHQFILQEDDYWNSRWFTYICNILHRLKDKPNFKKRGLLGTQLGCIWAMLAILQAQPMETEERIQKAAAALLEASNR >ORGLA11G0079500.1 pep chromosome:AGI1.1:11:7061084:7061305:1 gene:ORGLA11G0079500 transcript:ORGLA11G0079500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTPSMSLVCGFRLPTSGINRGGA >ORGLA11G0079400.1 pep chromosome:AGI1.1:11:7042377:7042784:1 gene:ORGLA11G0079400 transcript:ORGLA11G0079400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TEGVLTEGRRRRKAAGGARAEDGGAAPATSGAREEADEGGRNLARRERASATGCSDEGNAGLPIDAAMPLEEDVRPEMVWSGGEWQPEGAAMMAVRVVWWSDGSSGGMEERRGCRGTGRRNEAVGDGCTEQRRRE >ORGLA11G0079300.1 pep chromosome:AGI1.1:11:7038970:7039784:-1 gene:ORGLA11G0079300 transcript:ORGLA11G0079300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVREKSNDGILKSQINGSVESCKMLMQQPQSPMQQMQRQCLQQQRNSSILQQQLQSMSQASDFNVVINISHAPHAAPAQWSGKKLIEELHQQ >ORGLA11G0079200.1 pep chromosome:AGI1.1:11:6994653:6997310:-1 gene:ORGLA11G0079200 transcript:ORGLA11G0079200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHECYTIDCSHERFREIPPDVRHLSIVMGGAEEDASINETFHGNVILIMKRFKVENLHTLMIFGCYYRSMANTFGDVFRKAKALRVLRLSTMYYPVDHILHNFSALMHLRYLKLGSEYDKISPPRCISRFYKLIVLDLKDWKGSINLPVDMSNLARLRHFIVSHDETHSKICEVGKLQTLQELRRFEVNREKSGFEIKQLGHLIQLSGSLSICNLEKMQAKEADEVNLLSKNSLKKLTLEWDVQRSQKEPDKEQHILNVLRPHDNLQELCIRGHGGHSCPPWLGSKLSVKNLQSLHLDTVNWTVFPPLGEFWLPKEPGQEYLRSVQGKSFQNLKTLELVGLTRLEKWVHNEKFLLFSLLETFIIRDCPELVELPVSQYASQKFKQDVMIDLFPKMQEVRIADCPKLESLPLIPWTDTLLTVDMKNVGSSLEKLVYSTKSSSSKLLLEIKEDHHLECLDEMVAFHNLSKIHELEVSKSPPLMNKHLHWLTSLKTLKISDSSITLPLLGGPDDEKDTLALERLEIKNCSANGKELTQFLLQLPKLSFFRMSSCQNVTSMGVMALLATAEPTSMPSSSTSSNETGSQLQIEEVGDEVGLLLFPKHLTVSLRELQITMNPGLSLLASLPPENNSRPGGLHNLHSLQTLFIRGCPKLLSAYSSSSSYCFPFPSTLDSLRIEDVEDMHTFAPLSNLTSLTYLFIENCGKDLRGEGLWTFFTQGCLTRLCVYRSPNFFDNLVPHQQEELPAYCKIEMLRTDDIAGVLVTPICRLFSSSLNVLGLCSNKEIVSFTKEQEKALELITSLQDLCFFHNEKLQSLPADLRGLNNLRILEILRCSAIRSLPKNAFPNSLQKINVDRRCSEELQHHCIMLEGVTVNIDRPVNTNL >ORGLA11G0079100.1 pep chromosome:AGI1.1:11:6992337:6992774:1 gene:ORGLA11G0079100 transcript:ORGLA11G0079100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRKGTVVQKYKVKLVADVPGTGSSKDGEVQQVPAGTTESGGKGAAEGSGDKGDGSQGVQGEDLGPDGNTSQLQFNNFQDRIDYAVQHALINQYHLRETPAIPSNARRPLLPPSTNAYPWPLLHFSHLPLHLPRLQSPPPALEP >ORGLA11G0079000.1 pep chromosome:AGI1.1:11:6983358:6985476:-1 gene:ORGLA11G0079000 transcript:ORGLA11G0079000.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRPPNRFWRGDAGGRGRFCPLQVGRQEPQGPHPPPPDLNRGVEDGKAKNEEILQAAEEKGKQGKDTLETEGRKEAGPNLQEPSGKMDSVSSGLEAKPNQQENRDESKHQVGESHSQQRIFCSKCRSYSHLSRDSKLSVFCMIFAKETHRTEDCIRKNQKKPIAKVVGCAAPGLGYVLIQSAKGIVQKEHVNPLAIVTIMWGGDLTEQALEEVFTQHFKWNWTWKARMQEKGVSHMRFPNKMKLDELAKFDSIRVKGTSVHVKVKKWTQEAEAVGMLHEVWVTVEGVPDEMKDYDPLHEVGSNLGPVIEVDMVTLKTKDVVRIRVVRVGMMTLKSLPLTMTLVTPKLLVYKAHFKLEQIVELGWFRDCAQEKRAVEVAEQNEPSNIDHMQRNKKPRKEEAASSMGVLEKAGDKKKTIVVEEDSDNESAQAELVKLKQMEIDRELALRVQLEEQFKVKQIQLDKIEEVIYYEDEPTVLLVGSEEIMESQESSDFAVAMGVVLSQTDKDMTEEKRKKSLRLMEKEDKKVADAAAKRKEALNAFIKKGCALEAVDTNLNLIKNLELARINLFLKEKLKTSQKGECENVGENLSDIDINIDEVLHSDDCMSDFDYAENMLRPSQSNSSGKKRRKNNI >ORGLA11G0078900.1 pep chromosome:AGI1.1:11:6976393:6978759:-1 gene:ORGLA11G0078900 transcript:ORGLA11G0078900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSPQAHPCPQTSPNCRQGHWPNPPSPALFSATTAGHQLRRSSITLKIPPDSQELKWTKKAMLKLPKATFHEAISSSLRRRRKGKNSMVLTSSCYKLIYSQALPVVFLSYFVFGSFVCRECVWPVGP >ORGLA11G0078800.1 pep chromosome:AGI1.1:11:6972259:6974206:1 gene:ORGLA11G0078800 transcript:ORGLA11G0078800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKLTNKGNKKLVMADGADHISALPDELLQYLLSFLPSREAVQTCVLSQRWRHQWKYVPALRINDVDSFYSVQQLNKFVHHLLMHRKRTPLYVCELDSFRNGEVAEWYQYAVSCEVEMLQVDTAHSADYCRLPEMAITSNHLTTLEFSGVQLGHISLDFSSCPKLEVLVMRGCKILVQKILSQSVKSLSITQCNFELNTRTHISAPSLISLELADILGWTPALERLPSLSTAFVRLDDRCEDYCLHSYYGDCGDQVSCGKHCTRFYDIHDDDCVLLGGLSNVTNLELITSPKVFIVRKDLLMRPTFSKLKTLLLNVSDADASFGPLVYILRSSPVLEKLTLQLYEEPKAKIETDGSCNLEEQLMASKKLKVVEIKYSKIVVLCRVLQILNTCGVPRKKISIERTELWSFG >ORGLA11G0078700.1 pep chromosome:AGI1.1:11:6969350:6970512:-1 gene:ORGLA11G0078700 transcript:ORGLA11G0078700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDKLRKRTCKLILNVQQLGSSTPGGNTNKTRGGEVPVGEVYRGSVDEGLLMVSAPTIDALDPXQWPQGVVIMVKANAGRNCWDAKVIAMGPTDDTRYTVQERSGKCGARGMAEHGRCMDTAAVVRSFSHPGLVRHNGV >ORGLA11G0078600.1 pep chromosome:AGI1.1:11:6964403:6968471:1 gene:ORGLA11G0078600 transcript:ORGLA11G0078600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLTILHVAMHEAIDSFSQKDYQGGGSWLCLGLRAGRKAISGSVSGDLGPPPMWRLSGRWHMGTGLEVELHNMARMNDPVSHSMTTTTVQIVMSVCGALAAAPTMMHYDVDTHGKRHSESMSTMCDFPRCCHRDDEDLRNTSREV >ORGLA11G0078500.1 pep chromosome:AGI1.1:11:6932837:6934111:1 gene:ORGLA11G0078500 transcript:ORGLA11G0078500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G18480) TAIR;Acc:AT1G18480] MATAAATAAAAAADVPSCRDLPAAVSAFADAFVDFAVSGIFFPSTPTPSPPPPPTPTTFLPSPTRLVAIGDLHGDLPKSLSALRLAGLVPPHDPTSWSAGPTLAVQLGDILDRGGDEIRLLYLIRRLAISAAGQGGALLPIMGNHEVMNVSGDFRFATPQGLREFSAWAGWYRAGLAIKRRCARGGDGGDPPPKNPFLGIPKEFPGVKPEFWDGIRSRLAALRPDGPIARRFLADLPTVLVVGDSVFVHGGLLEANVEYGLERINAEVSEWIRGERGANAVAPEFVRGRDAVVWLRRFSDGVNCDCQRLEGVLGMIPGAKRMIMGHTIQTEGINAVCGAQAVRVDVGLSRGCGNGLPEVLEINGGGTNVRVITTDPAEAWQYRKQGAEKAAIATAVKKKGEVKEGLALLVRESHGLKEVQAKAA >ORGLA11G0078400.1 pep chromosome:AGI1.1:11:6920713:6921223:-1 gene:ORGLA11G0078400 transcript:ORGLA11G0078400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFGFGSAPTACALIFAVILALHGPCFALPEAPGEAKELYIVYLGERQHEDADLVTASHHTMLATVLGRLFA >ORGLA11G0078300.1 pep chromosome:AGI1.1:11:6912523:6913759:-1 gene:ORGLA11G0078300 transcript:ORGLA11G0078300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEKGIWPESPSFDDTGYAPPAAKWKGICQSGMSFRAKSCNRKIIGARWYADDFNKSQLEAAGEFLSPRDFDGHGTHVASTAAGSVVRNVSFYGLASGIAQGGAPKAHIAVYKACWSIGCSEATIFKAIDDAIHDGVDVLSLSILSPTGHTPAFHAVMKGIPVIYAAGNDGPYTQTVNSVAPWLLTVAASTMDRLFPTVVTLGDGQTLVVFSSVY >ORGLA11G0078200.1 pep chromosome:AGI1.1:11:6859123:6861090:-1 gene:ORGLA11G0078200 transcript:ORGLA11G0078200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTTDGLVQLWNEWEIQLVVLLSFILQIFLFFTGRIRRCNINMLLRLIIWLAYVGADMVAVYALGLISQNVQCVNISSVGFSRSSNQLAFFWVPFLLIHLGGQDTMTAFSIEDNNLWLRHLLNLCIQVFLALYAFWXSTGRHNLQLLAPAILMFHTGIIRYGERTWALKCGSRNGLRETSWQLPKLNIEVDKGSYIDTICYVLQSILCVHDLFSGRTISQMKERQVFRFQGDRPLEQVPKLLEIELAMMSDDLYTKAMVLQTRSGIILRSSSKLFTILRKMLNAVNKKLWFRKIWHIKHVKVDKDIMDIMVTWVGRLAREEFTRITQQQSWANLRPIINCTLNIPANSFGDNIVLLHIYTDLHLRKQPDNEATGAESETASSSTADIMDICRKISNYIVYLLVAQPSMLPLSGAADDTTAAFYEKISKKGSSKQDVLETCYQLVEDQLEFGYEECLKEQEQPGPWCETLMEIRDMWLRLLIYIAGKCQVELHAQQLGRGGELLTFVWLLMAHHDIGDVAHQVDLITSSETMSGQFCAFHFPKESER >ORGLA11G0078100.1 pep chromosome:AGI1.1:11:6855036:6858138:1 gene:ORGLA11G0078100 transcript:ORGLA11G0078100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAMVSVATGVASAVLEKISTLMEKEYSKLKGVRDEIISLKDELSSMNAFLLKLSDIEELDVQVKEWRNQIRELSYDIEDCIDGFMHRVNRSSDSSNTKCFFRKVIHQVRTLGARHAISNDILKLKARVDSASERFKRYNIDPAITSSSAIVPVDPRLPALYAEAESLVGIDEPTNDIIKWLTEGDGDLVQKLKVVSIWGPGGLGKTTLARQVYDKIGRQFDCQAFVSVSQKPDMRKVFRNILISVTGVEYIGIEAWDEERLINKLRDFINCKSFTNTYFHDKMQNRYFIVIDDIWSTTDWQTIRCVLLDSNIGSRVLATTQISYVAQSCCPADQDKVFEMKHLSAVHAEKLFLKRIFGSGDSCPPHLKEVSNGILRKCGGLPLAIITMASLLVNKPQTKEQWEKYRDSIVENDPIVNRMQKILSLSYADLPHHLKTCLLYLSTFPEDCIIERDRLVRRWIAEGFIATESGCNLEEVGEDYFNELISRSLIQVVGIKYDDRANTCRIHDMVLDLIVSKSIEENFITFIGYHNRVCGLQDKVRRLSLNFHHQEGRLFQLKYLRLSEVSISKLPEEIGELQQLETLELEHTKINGLPKSITRLKNLVFLRADYTSLPEGVGNMKALQKLSWIKVNTSAPSTTLHEMGSLTELRYLDINWCIGDMCSDMKSYTESFGSSIIKLCKHKLQYLRIRSEGSQGCSLGFLLNSWSCPPHLLQKFDMYTEYYFPRIPDWIASLSKVTFLDIKVNPVDEEAFRILGNLPSLITLWLWTKTVVPKRRFIIHNVGFKHLKEFYFGFWRIEMGPIKFEVGAMPKLQKFLFDIKAQGAGPPSGDFDIGIEHISSLRHLRIGIDCIDARPCEVEVTEAAVRNVTSVLPSNLQIEIERHRAGQMVKEKMGSTDHDGEQNRGIGKHQEQAVEDGSSLKTRKKILERVSTHSFLR >ORGLA11G0078000.1 pep chromosome:AGI1.1:11:6840441:6840875:1 gene:ORGLA11G0078000 transcript:ORGLA11G0078000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICAVVMWRQRRGGRGEVDAWCWRSRRRGGRGEVDAASEDGIGDRDGEVPVERSEEALWQQRGHWQPWWRGRGVVGPDGYDKLLAGRCSNYPRKVVLRGCSGTSGGVIYSQGSSDSAGCAPGRRWSRRCLMQWWCSISRGGGRIK >ORGLA11G0077900.1 pep chromosome:AGI1.1:11:6839435:6839917:-1 gene:ORGLA11G0077900 transcript:ORGLA11G0077900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLARTAEGNTCLHISSMHGHGGFCDAVLELDESLLSLVNSDGETPLLTAITSGHASLAFSLLRRCNKPGLSDSILRHDNNECNALHHAIRGGHKALALELIRTQPALSQGVNKCNESPMYLALTRDYKDVFEELFAIPGSAHSGSYSYNVLHAAVKYGNI >ORGLA11G0077800.1 pep chromosome:AGI1.1:11:6838619:6839360:-1 gene:ORGLA11G0077800 transcript:ORGLA11G0077800.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPPNLFSDIAKKIMESRPWLAREENDSGNTPMQMAVRWDKAEMLRVLLEHDWSLGYARNSKTGKPLLVSAAFQGHVSVARELLRHCPDAPYCQADGWTSLHEAIEFGHTEFVEFILGAPQLGKLINMRDGKGKTALHHAVRKCNPKIVAALLRKGARIDCTMLDQNRDPAIWELSEAMQHAKTLNWVYTKI >ORGLA11G0077700.1 pep chromosome:AGI1.1:11:6824294:6824919:1 gene:ORGLA11G0077700 transcript:ORGLA11G0077700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQFFLNDLPGNDFNHIFRLLEQFKQSTMQHCTHRGLQPPPHYVAGVSTPPLVAQLYLNQFEKDFSRFLQLRCKELVPGGRMVLTILGSTAYSYSRGIAFKEV >ORGLA11G0077600.1 pep chromosome:AGI1.1:11:6805801:6806013:-1 gene:ORGLA11G0077600 transcript:ORGLA11G0077600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALMLLVILAAATAVAPCSIPFPDCGSMCNTPCFKFCFTRCFLFLNLGVELCHKQCTTFPIWCGGFLN >ORGLA11G0077500.1 pep chromosome:AGI1.1:11:6775091:6778963:-1 gene:ORGLA11G0077500 transcript:ORGLA11G0077500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREISGGSSSSLSSGGRFFVPEDRWWSPVVASRWWAPDPVSRWLGTTGSGTPGLRLLPPSPFLTPDPPPPSTCAQVIAVVSSSPLRPSRRRLHPHALTCLQLHTMSSDPVPASPLSPMLPGKSFASNEYVWLSNAQSADRKLFHRALIAKTIVCVPFIMHGVLELGTTDPVSEDPALVDRITASLWDTPPRAAFSSEAGVADIVVFEDLDHGNAAVEATTTMVPGEPEPHAVAGGEVAECESNAHNDLEQITMDDIGELYSLCEELDVLDDDSSSWVADPWSSFQLVPTAEATDVDDAVVAALGAIDGSCRPSPSSFVAWKRTPDSDEVQAVPLISGEPPQKLLKKAVAGAGAWMNNADGSAATMTTDQGSSIKNHVMSERRRREKLKEMFLILKSVVPSIHKVDKASILAETIAYLKELEKRVEELESSSQPSPRPMETTRRCCKSTGKKVSAGARAKRKAPAPEDTDGERRHCVSNVNVTIMDNKELLLELQCQWKELLMTRVFDAIKGVSLDVLSVQASTSDGLLGLKIQAKVVVSAAKSSQQICSIFASPAAVEPGMITEALRKAIAS >ORGLA11G0077400.1 pep chromosome:AGI1.1:11:6766710:6766946:-1 gene:ORGLA11G0077400 transcript:ORGLA11G0077400.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIFIFMFRRKEHHLRSHPHEAFPPPPTRFSLQPSGTRREPPQLLGPHQLDLAATKLVVAKSGGPKDQGKDEVQWWQGWG >ORGLA11G0077300.1 pep chromosome:AGI1.1:11:6760483:6764251:-1 gene:ORGLA11G0077300 transcript:ORGLA11G0077300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTMVSVATGVLSSVLGKLPALVERQCNNSFKGVNEEILTMKPELQSMNALLLKLADMDDLDIQVKEWRNQIRELSYDIEVCIDDFIHRMDGGSSRVHKGFFQKSIHKLRTLGARNEIADQILKLKARVDDASERQKRYNFNGTISSSIDVVPLDPRLPALFAEADALVGIDEPAEELINWLTKGGEKLESRLSVVSVVGLGGLGKTTLARQVYNKIGGQFDCQAFVSISQKPDMRKIFQKMLNDITRIEHASLAWDEEQLMGRLRALDEEQLINKLRETLTGRRYFIVIDDLWSTLAWRTIRVHFQKSCCYPDLNNVYELKPLSNSDANKLFMKRIFGSEDQCPSQLKLVSNGILRKCGGLPLAIISIASLLANNPCTKELWERYRNSIGSQFEKDPSVNDMQRILSLSYNDLPHYLKTCLLYLSIYPEDFVIRRTQLVLRWIAEGFITANGRQNLEEIAEYYFNELINRSMIIPVSIQYDGRVDACRVHDVIFDLIISKPAEENFITVFGYQNHAFGPQDKIHRLVHYHGQEEIMVMSDMNVLNVRSLTTYGSTENVLPISDFQALRTISIRTISIECNDQLENHHLNGIQKLFRLKYLRLNRVSISKLPEQIGELQQLETIDLTQTMIKELPKSIVKLKRLLFLLADEVSLPAGVGNMKALQKLYHMKVDNSISSNTLHELQRLTELRYLGIIWCVNDMYAGGKTHIDNFVSSISTLCKLKLQYLHVQCCTENGSSLDFLLNSWFTAPNPLRYFGMSSNYYFPRFPEWMAMLSSVTFLNINISHVGEEAFQILGKLPSLLALRIWTKGVAPNEKLIIRNRGFLYLKQFVFYSCNIEMNPLVFEAGAMQNLERFRFNLKARETRNPCRQFFLSIQQMSSLKHLLVLIDCRDAKAHEVEAKEAAIREATNHLRSHINIAINTNWTWKMVKDDDDVGIKCKEEDSMKHPREWEKDV >ORGLA11G0077200.1 pep chromosome:AGI1.1:11:6729864:6756126:1 gene:ORGLA11G0077200 transcript:ORGLA11G0077200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDRDFHMMKGDDEFSYAENSRIQRRAILATRPMVEKAVREMCIDLHPQSMVIVDLGCSFGGNTLLFVSEVITTICENRNSALEESTMEVQFFLNDLPGNDFNQIFQSLEQFEQLTKQHCACRGLQPPPYYVAALAGSFYTRLFPSNTVHFFHSSMSVMWLSQVPENLDGSMNEGNVHIGATTRPMVAKLYQNQFEKDFMQFLRMRCREIVHGGRMVLTVVGRKSKDVFDAGRTTTIFELLSQGLRTLVAEGRVEKEKLDSFNIPIYCPSVDELKQLVWQNNLLDISDVQLFEMDGNPMDDLEPIEGTAATQATGQSMSATLRAAIESLIASHFGDSILDELFTVFARNFTSYIESEVEKSTITVITLYLQAKH >ORGLA11G0077100.1 pep chromosome:AGI1.1:11:6709749:6712053:1 gene:ORGLA11G0077100 transcript:ORGLA11G0077100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIERDFHMMKGDDEFSYAENSRMQKRAVLAAKPIVEKAVREVCIDLHPQLMVIADLGCSFGANTLLFVSEAITRICEDHNNTIKESPMEIQFFLNDLPGNDFNHIFQSLEQFEQSTTHDCACKGLQPPAHFVAGLPGSFYSRLFPSNSVHLFHSSMSVMWLSQVPEHLDGSMNEGNIHIGATTPPSVAKLYQNQFEKDFSQFLQMRCMEIVPGGRMVLTVAGRKNKDVFHAGGTTTLFELLSQGLRTLVAEGRVAKEKLDSFNIPFYCPSADELKQLVQQCELLDISDIQLLEIDGNAMDDSEQAEGISATHTAGESMSASLRAAMESLIASHFGEGILEELFTVFARNFTSYIESDVEKSGVTVITLYLQAKH >ORGLA11G0077000.1 pep chromosome:AGI1.1:11:6699522:6701137:1 gene:ORGLA11G0077000 transcript:ORGLA11G0077000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGNIHIGATTPPSVAKLYQNQFEKDFSRFLQMRCMEIVPGGRMVLTVAGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRVAKEKLDSFNIPVYCPSADELTQLVQQCELLDISDIQLFEMDENRMHDSEQAEGITPTHTAGQSMSATLRAATESLIASHFGEDILEEIFTVFAHNVTSYIESEVEKSGITIITLYLQAKH >ORGLA11G0076900.1 pep chromosome:AGI1.1:11:6698580:6699418:1 gene:ORGLA11G0076900 transcript:ORGLA11G0076900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIERDFHMMKGDSEFSYAKNSRIQKRVVLAAKPIVVKAVREVCIDLHPQSMVIADLGCSFGANTLLFISEVITTICEDYNNTIRESPMEVQFFLNDLPSNDFNHIFQSLEQFEQLITQDCACKGLQPPPHFVAGLPGSFYTRLFPCNSIHLFHSSMSVTWLSQVMLIYLCLIA >ORGLA11G0076800.1 pep chromosome:AGI1.1:11:6689479:6691893:1 gene:ORGLA11G0076800 transcript:ORGLA11G0076800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PEWAHGKWSNCFTTIFSLFPLISMQRKAILAAKPTVKEAISKVCTDLHPQSMVISDLGCSFGANTLLFVSDAITTIGENPNNTIGERPKEIQFFLNDLPGNDFNNIFQSLEQFEQSTTKNCTSRGLQPPPHYVVGLPGSFYTRLFPCNNVHLFHSSMSLMWLSQDPENLDGIMNEANIHIGLTTPPLVTKLYQNQFKKDFSRFLKMRCKEIVPGGRMVLTMLGRNSTDVFSAGGTTMAFELLSQGLQTLVAEDCVEKEKLDSFNLPLYCPSVDELKELVWQNELLDITDIRLFEINGNPNGGSDQSAEDAAAAPVIIHGAAAAEAAGKTISTSLRAVKEPLIASHFGESILDKLFAVFARYFTNCIESEVEKSPVPVITLSLQPKH >ORGLA11G0076700.1 pep chromosome:AGI1.1:11:6678613:6679018:1 gene:ORGLA11G0076700 transcript:ORGLA11G0076700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSDGSSSYSQHSSRSSIPYRVGPFDYQPAVMCDCRVKAARWISWSPDNPSRRYFKCRNAREGGCGFYAWYDGPTTTFIREVLNDLRDAVWSARREKEGLVLAIQEERM >ORGLA11G0076600.1 pep chromosome:AGI1.1:11:6675422:6677889:-1 gene:ORGLA11G0076600 transcript:ORGLA11G0076600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAGSMEERVITERIQRKLEEVNAAAQKHLAGVQDHVNFTMQQAYFKCAYECFDRRRSQEGINNCVENCSVPVLTANNVVETEMAKFQERLNRSLMVCQDKFEAAKLQKMKTHATEELEACVNRSIDDSIRVLPHLVDQIKSTLSMN >ORGLA11G0076500.1 pep chromosome:AGI1.1:11:6671691:6673445:-1 gene:ORGLA11G0076500 transcript:ORGLA11G0076500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLAAAPPPPPPPAAALVHHYTRLLFAAASASAAASLRALLPIHARAVVLGISANPAFATSLITAVAPACLAYARRVFDAAPSRDAYMWNTLLRAHAHSRAHARDALALYARMRAAGVAPDHYTYPIVLPACAAARAPRLGRAAHGDAVRFALARDGFVSSALISMYSQEGEVRDAERVFAERDDARTVVSWTAMVAGYAQNCFFGEAVTLFGDMVAAGVLPNEITLISFLPCLRGQEWLPVGEMVHGFVVKLGFDANVPLVNALITMYGRCGSAPVARVLFNGMSSRTLVSWNTMVAMYEQHGDGVQAIKFFRRMLTEKVGFDCVTLVSVLSACARSGALGTGKWVHELARSHGLDTDARIGNVLVDMYAKCGEIAYAKEVFHSHGLRGHSVVSWSAMINAYANHGEAEEALKLFSLMRNEGVRPNSFTFTAVLMACCHSGLVDEGLKHFNSIATDYQMSPTLEHYACMVDMLGRAGRLVEAYGIIRGMPIRPDKCVWGAFLGGCRLHGMVELAEYVAKELFDSGSNDVTFYVLMANMYFEAGMLEDAERIRGVMKEMELKKTAGHSLVCTDRERIAVVR >ORGLA11G0076400.1 pep chromosome:AGI1.1:11:6662513:6667442:-1 gene:ORGLA11G0076400 transcript:ORGLA11G0076400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAASRLAVAGAEPARARRHRPTTVAVCGGARPRSRPAAVVAAAGAAAPSPATGGVAPVPPSPRGSIIKRHTLSVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKILKQVVEQLNKLVNVIQVDDLSKEPQVERELMLIKLNVEPDKRPEVMGLVDIFRAKVVDLSDHTLTIEVTGDPGKIVAVQRNLSKFGIKEIARTGKIALRREKMGESAPFWRFSAASYPDLEVAMPSKSHVNTAMKTANQNSEESSQGDVYPVESYENFTTNQILDAHWGVMADGDPTGLCSHSLSILVNDFPGVLNVVTGVFSRRGYNIQSLAVGPAEKEGTSRITTVVPGTDESIAKLVHQLYKLIDVYEVQDLTHLPFAARELMIIKIAVNTTARRAILDIADIFRAKTVDVSDHTVTLQLTGDLDKMVALQRMLEPYGICEVARTGRVALRRESGVDSKYLRGFSLPL >ORGLA11G0076300.1 pep chromosome:AGI1.1:11:6655347:6656018:1 gene:ORGLA11G0076300 transcript:ORGLA11G0076300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPTKGVVTASTATSTSAAATGCNLLKIKVRNAIKKSHQGSKYDIESSKFQVAGHTWSFFFHLNASKYSGNGYSTVCLKLHAADSGTAAAAGGIRTNVRFRMVNLQPCVPPTNEVRSYATSFHGTGKAEYRCFTFIRHDVLAGQWFSTDDEFAIHCDVAVVEEAAAAATMSTELRPDDLDGLMMICKCSVDNDDEPCKSGGTRQSHKEAFRKYFLGCFGTK >ORGLA11G0076200.1 pep chromosome:AGI1.1:11:6642538:6648144:1 gene:ORGLA11G0076200 transcript:ORGLA11G0076200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPTKDVVTVSTATTTSAAATGCNLLKIKVRNAIKKSHHGSKYDIESSKFQAAGHTWSFFFHLNKPRFVLVGYSTVCLKLHAADPGTAAAAGGIRTNVRFRMVNLQPCVPPTNEVRSYATSFHGTGKAEYRCFTFIRHDVLAGQWFSTDDEFAIHCDVAVVEEAAAAATMSTELGPDDLDGLMMSCKCSVDNDDEPCKSGTQQNLKEAFRKHFVGCFGPK >ORGLA11G0076100.1 pep chromosome:AGI1.1:11:6636535:6636951:1 gene:ORGLA11G0076100 transcript:ORGLA11G0076100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAHIEMAWWSRGRHPRGPGGRRPRPVESGASSGAMRRRESSDTGLGPRRGRPPGGGVDGSLGRRRSPAVEVMSSSLKRKQLGGGGGQATGGDEVSGDRVTRSAMVSEEKQGSAWPAEESRWWWPAEGDVDSGGAVP >ORGLA11G0076000.1 pep chromosome:AGI1.1:11:6628941:6630691:-1 gene:ORGLA11G0076000 transcript:ORGLA11G0076000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGVDGYGMKMVRNRKVVLRRYVTAGYPIVDDMEVVSSTVMPRVPLGTMAPAVMVKNIYLSCDPWMRGRMTKHDDATAEIAEDFVLGEAMVNFGVSKVVDSTHPVFAAGDLVWGLCGWEEYSLITQPETLHKINHPDLPLSYYTGVLGVTGLTAYAAFFEVGKPKKGETVFVSAASGAVGQIVGQLAKIAGCYVVGSAGSDEKVTLLKTKFGFHDAFNYKKEGNDLTGALRRCFPDGIDIYFDNVGGATLDAALVNMRRGGRVVVCGMISQYNLQEPEGVHNVIQILSKTIRVEGFAVFNHFGLYPMFEDEMARYLKEGKVTVLQDVVKGIEKASEALIGMFSGRNVGKLLVAVADE >ORGLA11G0075900.1 pep chromosome:AGI1.1:11:6620675:6622847:-1 gene:ORGLA11G0075900 transcript:ORGLA11G0075900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCFLVAAAAVALAAAAAAPARAIPFTESDLSSEESLRALYERWRSRYTVSRPAASGGVGNDDGEARRRFNVFVENARYIHEANRRGGRPFRLALNKFADMTTDEFRRTYAGSRARHHRSLSGGRGGEGGSFRYGGEDEDNLPPAVDWRERGAVTGIKDQGQCGSCWAFSAVAAVEGVNKIKTGRLVTLSEQELVDCDTSDNQGCDGGLMDYAFQFIKRNGGITTESNYPYRAEQGRCNKAKASSHDVTIDGYEDVPANDESALQKAVANQPVAVAVEASGQDFQFYSEGVFTGECGTDLDHGVAAVGYDITRDGTKYWIVKNSWGEDWGERGYIRMQRGVSSDSNGLCGIAMEASYPVKSGARNAAASNRVVKDEM >ORGLA11G0075800.1 pep chromosome:AGI1.1:11:6617791:6618577:-1 gene:ORGLA11G0075800 transcript:ORGLA11G0075800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFFQGSSRGVPKMSALSSILYLDRYLFYNYTIWINHGEQKVDLDDKEDRSDVDHMDSVDGDSCDDNTIDLVMVSRGCVF >ORGLA11G0075700.1 pep chromosome:AGI1.1:11:6586462:6587379:1 gene:ORGLA11G0075700 transcript:ORGLA11G0075700.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPEEKRRVLEKFLSRVKIDKDKLKKDIQKSKFLSIDEVFKRLDEQKKKNEKPDLISLFEEQKIMKQDLEEIRKRLYMLELKEGFHMEEKDEPIQEDDQVVGTIQKYMKQKWYTEVMYRFIDGSYFQHITLIDSGADVNCIREGIIPHKYFCKAAHRIRGADGGLLTVEYQIPEIYICISEVCIKTSFLLVKNLKQDVILGTPFLSLIRPFLVTNEDIQFEIMGKQVSLRFSSNTDDILDQLVQTKREQVVNTIYLHDNSFPSYLPKSMDLPGKTSWEDRYLLKATKNLNTYAGKWLHRSLNGSRI >ORGLA11G0075600.1 pep chromosome:AGI1.1:11:6585334:6586461:1 gene:ORGLA11G0075600 transcript:ORGLA11G0075600.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIFTLCHQMIMYANACIANGNKEREATNMIVIGFSGQLKGWWNNYLNETQRQEILSAVKRDDQGRPLRDRDGNGNPTGNISDALATLIYNIIYHFAGNYHDIYEKNRKQLINLKCKTMSDFRWYKDTFLSKLYTLPEPNQDFWKEKYISGLPPLFAEKVRNSLRKEEGGSINYHYLDIGKITQKIQLVGAELCNDLKIKDQLKKKRILGKREMGDFCYQFGFQDPYVHRKRKTHSKPMTKPNDKSKMSFQATKRKPKRIYNKNIRTQDTESKETICYKCSLKGHIANRCFKSKVKKEILALLDSESEDVKEKLEAILNNIDNDSNSDEEKNAEINCCQDSGCSCYEPDNSEEESDENILVLTSLEEFVLDTFETI >ORGLA11G0075500.1 pep chromosome:AGI1.1:11:6582224:6583010:1 gene:ORGLA11G0075500 transcript:ORGLA11G0075500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFFQGSSRGVPKMSALSSILYLDRYLFYNYTIWINHGEQKVDLDDKEDRSDVDHMDSVDGDSCDDNTIDLVMVSRGCVF >ORGLA11G0075400.1 pep chromosome:AGI1.1:11:6560932:6561665:-1 gene:ORGLA11G0075400 transcript:ORGLA11G0075400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDGDGWMGVGLIHRPLDHGGGNGKGEAVQFDLEGVTACGRSVRCLVGSGDTCGRRIALGVFLRNLTHSLLDFLS >ORGLA11G0075300.1 pep chromosome:AGI1.1:11:6539158:6543556:-1 gene:ORGLA11G0075300 transcript:ORGLA11G0075300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHELMAVLSHKEPQTNMSHDIGLSEESGSETEEYDSDTSLSEKAEERIDRRLLLAARSGDCTAMRDMAASDPDVLLRTTNHGSNCLHISSIHGHLEFCNDVVRLKQPLLAAVNSYGETPLLAAVAAGHAALASELLRHCRELGFRDAVLKQDSVGCNALHHAIRGGYDDLALELIAAEPALSRAVNKNNESPMFIAAMRNSADIFDRLLAIPYSSHSGCAGDHALHAAARNGNSDIAKRVMETRPWLAKLPNRYGLTPMHHALLCDRVGVLRVLLEHDSSLGYVVAGTEDVPLLVSAAFQGRIGIAREILSYCPDAPFRSKNGWTCLSAAVHADRLEFVEFVLGTPELQKLVSMRDNQGRTALHYAVMKCNPKMVAALLSHGGGDVTMLDNSSSPPSWKLWGLGDHTKTLNWNEVAMLMMEADPRNATSLHYLAMDAKIKVTNDSRTKAMFLTQTNTRSTSLVAILIAAITFVAAFTLPGGYNTDVGSRHPIMAKKFSLQAFLISDTLAMCSSFVVAFICIIAKWEDLRFLLYYWSITMKLMWFSYMATIIAFATGLYTVLPSHLQWLAIAICFVPALLPILTKLLGDWPILKLKFRLGKIYKSGFIDMV >ORGLA11G0075200.1 pep chromosome:AGI1.1:11:6533538:6534053:1 gene:ORGLA11G0075200 transcript:ORGLA11G0075200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHKADADYFNKPLAHYGEMLTIFGSTMATGMYAKDSSSVLGIEDVQADNDEENDGPATTDDRAEASSASKPKKSKTQENEDDGLISAFTSVGDKLASAILKVAEPDNKLPEGLFDTLKTLPGFEEVHRLFYYAHLVANPHIARAFDGLPFENKMHWFAMFISEKFPGST >ORGLA11G0075100.1 pep chromosome:AGI1.1:11:6533129:6533458:1 gene:ORGLA11G0075100 transcript:ORGLA11G0075100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSSKGVSTHASWTSAISSFMLSHLANVVAGGTRTSSGFKAVHLNACARAINERFNSTLTGEQIKNHLKTWQRKFTKKNRLRKVSSASWDEKKFIITLDDEHYNGYIE >ORGLA11G0075000.1 pep chromosome:AGI1.1:11:6514013:6516649:-1 gene:ORGLA11G0075000 transcript:ORGLA11G0075000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLLEAAMVGSATSMKEMAAQDPSLLLGTTPQGNTCLHISSIHGHEGFCKDVLTLNNSLLTVANMDGETPLLTAVTNGHMSLASILLECCCTLGFSEAILQQDRNGCNALHHAIHCGHKDLALELILKEPALSKAVNKYSESPMFIAVMRDFTDVSEKLLGIPGSSHVGTYGHNALHATVRNGNAVMAKKILEGRPWLGKERTRERKTPILMTVIWDKIDVLRVLLEHDVLLGYECYDDGGNLSPLLVAAAYRGHVDVAQELLNHCPDAPYCDRNGWTCLHEAVKEGQTEFVEFILRTPQLRKLINMRNNKDGQTALHQAVRMCNPKIVASLLSHKDTDFTLNDHKTGQSVIWQLCLGSERAKTLNWNEVSMLMTKADPEAATTLHRQFARKRLTDELARNVKSLTQTYTSNTSLVAILMATITFAAAFTLPGGYSNDSGSEGLPVMARKLAFQAFLVSDTVAMCSSLAVAFICILARWEDLEFLLYYRSFTKKLMWFAYMATTIAFAMGLYTVLAPRMLWLAVGICFLSVLLPILTKLLGEWPVVKLRFRLGKTFKTDLLDMV >ORGLA11G0074900.1 pep chromosome:AGI1.1:11:6487973:6490916:-1 gene:ORGLA11G0074900 transcript:ORGLA11G0074900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACAASTATIDWELLEAAISGDTRSMKMKYMDSHDPTILLGKNPQGNTCLHISSMCGHLEFCKDVLSLPQDPTVKKKLLTTVNVMNETPLLTAITSGHVTLAAFLLKYCHEQGFSEVILKQDKHKCNALHHAIRNGHKDLALELIATQPALSKDVNKYGESPMYIALMMRDSKFTDIFEKLLGIDGSSHSGTYGYNALHAAIRNGNPDIAKRIIVERPNLATEENKDGNTPIQLAVRWGKIDMLRVLLKHDRSQGYVINRKNGYPLLLSAAHRGHVAVAREIIKYCPDAPYCKKDGWTCLHKAVKSGNMEFVEFILGEPRLQKLVNMRSSKGKTGLHYAVQKCDPKIVAALLDKKIDLTILGSDGNAAAWELRDALDSAKTLNWNEVSMLMIKADPPNAKSVYNLHEEAKEKLINASRKDARSLTQTYTSNTSLVAILIATITFAAAFTLPGGYSSDAGSQGLPIMARNVAFKAFLISDTLAMCASLAVAFICIIARWEDLDFLLYYRSFTKKLMWFAYMATTTAFATGLYTVLAPRLLWLAVGICSVAVLMPILTKVLGEWPVLKLRIRLGQAFKSEFLDMV >ORGLA11G0074800.1 pep chromosome:AGI1.1:11:6481570:6484369:-1 gene:ORGLA11G0074800 transcript:ORGLA11G0074800.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHXKSETPGVEMNRGLLEAATSGDSRSLKNMVSQDPSMLLGTTPQGNTCLHISSIHGRESFCKDLMVLSPCLVAKVNLYGETPLLTAVTSGHDALASVLLRCCLELGQSEAILRQDRDGCNALHHAIRSGHKELALELIEAEPALSQGVNKHNESPMFIAAMRDLTDVLEKVLEIPNSSHVGACSYNALAAAVRNGNAAIAKKIVEARPWLAREENTKGTSPVHLTVLWDKADVLRVFLEHDQSLGYITTTNGTPLLNAAAYRGHIGAARELLKHCPDAPCCSANGWTCLHQAVHAGNTEFFEFIMRTPQLQRLVNMRDSSGKTALHYAVMKRNPKMVAALLSRKDVDYTMVDNSAQTASSHLWDAKDAKTLIWNEVSMLMLRADPEDATCLSNLLEEAKQKVTNESRKDVKSLTQSYTNNTSLVAILIATITFAAAFTLPGGYSSDDGHPIMARKLAFQAFLISDTLAMCSSLAVAFVCILSRSEDLEFLLYYRTITRNLMWLAYMATTTAFATGLYTVLAPRILWLAIGICFLSILLPVLTKLIGEWPVLKLRFRLGHAFKTKYLDIV >ORGLA11G0074700.1 pep chromosome:AGI1.1:11:6475083:6475834:-1 gene:ORGLA11G0074700 transcript:ORGLA11G0074700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAGWDPIVHPGIPLCHAGDAKFTLESFVALNMFVGFHQWDFGLSALHDRSSYDRXRFFDEFVELKAAPAAEFLDARSSRWGTLDEFPCDRYLSVVHKRMEAAFFGSTAQHGTVASAGARSPTRHGSSSSPRWRATSGYCIASSSRSMAVVQQAI >ORGLA11G0074600.1 pep chromosome:AGI1.1:11:6460906:6461664:-1 gene:ORGLA11G0074600 transcript:ORGLA11G0074600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPRLRAGPARPDGWWPFLGGRRSPWAGTARHEVPIGPCRPDGWWAVPGPGRAGRAIYIDRQLLEAAKSGDSTTLKEDMAAREADVLLRTTKNGSNCLHIACIHGHLKFCKDALEINQSSLLAAVNSYGETPLLAAVTSGHTALASELLRCCSESGLGDVILKQDGSGCNALHHAIRCGHKDLALELIAKEPALSRAVNKDNESPMFIAMMRDFADIFEKLLAIPDSSDVGCKGFNALHAAVRSGNAGEIL >ORGLA11G0074500.1 pep chromosome:AGI1.1:11:6460285:6460641:-1 gene:ORGLA11G0074500 transcript:ORGLA11G0074500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLDPLLVSAGIRGHIGIAQEILIHCPDAPYCTKTGWTCLHGAVPADQVEYVKFILSTPQLRKLTGMRDSHGKTALRVAVHKGNPKMVAALLSHRGTDVTMLDNHGNCNAPIFVRD >ORGLA11G0074400.1 pep chromosome:AGI1.1:11:6438628:6438966:1 gene:ORGLA11G0074400 transcript:ORGLA11G0074400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPGGSLNGGVAILVGNGSEAAVHGGGTVMLDVCVAVLDGDVTVHGGGAMPGVGATVTGSSGVEVTGGSMGSEGGGTGSAGDGMMVTGGEEAASGESTITGGGEEATVAGP >ORGLA11G0074300.1 pep chromosome:AGI1.1:11:6433752:6434036:-1 gene:ORGLA11G0074300 transcript:ORGLA11G0074300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPLQVKTIYVKNLPENASKEKIKEIFEKHGEVTKVVLPPAKDGHKRDFGFVHFAERSSALKAVKGSEKYEFDGNVFSLFIIYHYLWNLVFFV >ORGLA11G0074200.1 pep chromosome:AGI1.1:11:6428745:6431092:1 gene:ORGLA11G0074200 transcript:ORGLA11G0074200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKCSITIIIQLLFCYMLCQPCYGTLSDIQCLKRLKESVDPNNKLEWTFTNTTEGSICGFNGVECWHPNENKILSLHLGSMGLKGHFPDGLENCSSMTSLDLSSNSLSGPIPADISKQLPFITNLDLSYNSFSGEIPESLANCTYLNIVNLQNNKLTGAIPGQLGILSRLSQFNVANNQLSGPIPSSFGKFASSNFANQDLCGRPLSNDCTATSSSRTGVIIGSAVGGAVIMFMIVGVILFIFLRKMPAKKKEKDLEENKWAKNIKSAKGAKVSMFEKSVAKMKLNDLLKATGDFTKDNIIGSGRSGTMYKATLPDGSFLAIKRLQDTQHSESQFASEMSTLGSVRQRNLLPLLGYCIAKKERLLVYKYMPKGSLYDQLHQQTSEKKALEWPLRLKIAIGSAKGLAWLHHSCNPRILHRNISSKCILLDDDYDPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEEPTQVKNAPENFKGSLVDWITYLSNNAILQDAVDKSLIGKDHDAELLQFMKVACSCVLSAPKERPTMFEVYQLMRAIGEKYHFSAADDELTMQPQNAEAEKLDELIVAN >ORGLA11G0074100.1 pep chromosome:AGI1.1:11:6422290:6424162:-1 gene:ORGLA11G0074100 transcript:ORGLA11G0074100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVITVVVVVGVVLALAAAASVMAAEYSVVDYGARAGGRADAAGAFLAAWAAACGDDGERPVMRVPAGTFLVGRAYFRGPCRSAGGVVLAIDGTVVAPPAVGNASWITFHYAHGLAIRGGTLDGNGHAFWACKAAAGRRCPPGTTTLDISQSNNVSVKRVTLVDSKNVHVSIFDCAGVTLQGVRIAAPADSPNTDGIHVALSRDVAVLSATVRTGDDCVSVGPGTSGVAIRNIRCGPGHGISIGSLGGRASEGEVRNVTVESASLAGTQNGLRIKTWGKPFAGRVSGVRFANVAMRDVQNPIVVDQNYCPGNVNCPGQSSGVKISDVEYEGITGTSATTVAVRFDCSGSNPCTGIRLRNINLTYDGGGGKPARSFCKNAGGSASGVVIPPSCLRPATARHGTTPPTYGSKRTMVASTCENLGDLRRKKQAILVGVSGSYDGRP >ORGLA11G0074000.1 pep chromosome:AGI1.1:11:6417051:6418447:-1 gene:ORGLA11G0074000 transcript:ORGLA11G0074000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAHAHAAVAAHLLVSAFVAAAAAAAATYNVIDYGAVGDDGGVTDSARAFEAAWAAACAGDAAAAAATVVVPAGGVYLVSRARFAGPCRSGAVAVNMTGATVVAPVPYAGVQLWIVFQDVDGVSVAGGTLDGRGRALWACRRARRPDCPPATRSLTIYRSRNVAVRGLTSRDSAGIHITVQASAGVAIVDTVVSAPGRSPNTDGIHIKQSTGVTVRNAVIGTGDDCVSMVEGSSDVLIEAVTCGPGHGISIGSLGDTPEQVAVRNITVKGSALAGTTNGLRIKTWAKANAGAVAGVSFSGVVMRNVSNPIIVDQNYCPGNASCPTEARSPETCDLGSGIEISGVSYTDIEGTSATATAVRFDCSPSRPCAGIAMRDVRLRYQPPAAAAAEEQPAASFCRNAHGVAFGDVDPPSCLTE >ORGLA11G0073900.1 pep chromosome:AGI1.1:11:6415010:6416488:-1 gene:ORGLA11G0073900 transcript:ORGLA11G0073900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDFFFLVLQAQGWSSHPFSFPSQPPDIKNWFSSYEYESPEVPELVGGNGGNSGSETQDPLENIQVGVPVDSLLEPTTHDGDGDGDGDSALRGNQCGQQHEHEVSAIREFIPISKSKVERGTKRKQSLWSLFGDGFLDNIGETSETETQAVLSVQRNEAEPLPDRNAMGLPDDDDTQGGQEGAIECSELPVDCNGIDVGDTQEGSQVDQEKEQSKLLIGDGMSSFHADKITPKDGNEQSKLSVDCTRTCKDRTKRRFDGNGIQSSLPSIGCNDIIIPDTEENSPGEETCHGNPAMDDKEQEETVAADGFVAIKRKEKPEQTFNTNKIPKPPTRRAKSTTLQENRDIVEQKVVVQERTSRSPLADMTNVSEVAAAPTTTEIRGKWKCPRKGKHYVGPPLKQLRLGQWLRRLD >ORGLA11G0073800.1 pep chromosome:AGI1.1:11:6413855:6414217:-1 gene:ORGLA11G0073800 transcript:ORGLA11G0073800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDESAGDMGRGRGKGRGRGGRGRGRGYHYHNNNQQSYHNHQQHNHQNSNNRNTAHPVGTPPSNHPAKNEQQQQAQPQPPAGANKQPPGPRMPDGTRGFAMGRGMPQTLTTTPSASSGSEP >ORGLA11G0073700.1 pep chromosome:AGI1.1:11:6412392:6412817:1 gene:ORGLA11G0073700 transcript:ORGLA11G0073700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSKLACCFRPPSSSSAPAPAPAVRRLPDKAEKRRWVDEQVGLHLAARAWDGYGYGAGYGKGGAVDVSRYGDIGLEAALGYEFERRWWFAEMTRLLALVDEDDAAAAAPDAGKSDGVVKVHPLAAAEEQNAATAAAAKP >ORGLA11G0073600.1 pep chromosome:AGI1.1:11:6391952:6394747:1 gene:ORGLA11G0073600 transcript:ORGLA11G0073600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLFEAAKSGDCRLMKELVAAMDPSILLRTTPQGNTCLHISTINGHEEFCQEVLMLDNSLLTVANSHGETPLLTAVTNGRTALASVLLRRCCEAGLREAIFKQDENGCNALHYAIRNGHRDLALELIAAEAGLSQGVNKYRESPMYIAVMRDFTDIFRKLLGIPGSAHVGCHGRNALHAAVRNGNPVIAKELVEKRPGLAREFDDEMDTPMHHAAMWGKTHVLGALLQYDWSLGYVLSNNKDSVPLLNSAAYRGYVSVARELVHHCPDAPYYDANGCTCLHQAAFKGHLEFVEFILESPYLGKLVNMRDNGGNTALHYAVQNCNPRIVAALLSHGDTDVTVLNYTGNEAVWQLGGAADYAKTLNWIQNPIGQSSKRENKIKTSLKADDADVAAGFTAVVVAVGQLFWRDLGRXVXGVGP >ORGLA11G0073500.1 pep chromosome:AGI1.1:11:6384603:6385031:1 gene:ORGLA11G0073500 transcript:ORGLA11G0073500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEFFPKLASCFRPPSSSSSSSAAAAAARRGRRQEAAARRRPDKAEKKRWVDEQVGLHLAARVWDGYGYGAGYGKGGAVDVSRYDDIGLEAALGYEFERRWWFAEMARLLALVDEDDAAAAAGNNGDIPPAVKGVSGSSSN >ORGLA11G0073400.1 pep chromosome:AGI1.1:11:6377190:6378998:-1 gene:ORGLA11G0073400 transcript:ORGLA11G0073400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRMSKPAPAASSSSASKPRRRASPSPSPSPGTAPKTAKNAVGGGGGQRRRSPLSDLNSGDASAARGGCFRFLVSSASSGSRSRTPVASPKVRKPRPEIGRRRVVAAADQESRTRVVEKMMTRGRAETETARKQAPPARGLPLLGEALTPQRKADAGATPAVSGATPPIHASISPEVLACGSATPACFAAGHHVVPGVADRRKCRPRGILAIAGEGASEELDPDPSRASIHWLSSPSGELPGTCSTKCTNEASVNWLPSPREERGVDLLGDEIFVPRCSLEDAFWQISPKSTGLLSSPVLNGLLDLGTPASELSETTPSSGFLPLQKTPSTGDSLSPFSLIVKRASQSLSSRGLKSLCSQQGPGSCSYSSAADQTAISGESWSSKCSGLTRTCSRPLTKMDPVVECLEMMTLSPRPGDADYCENGALPAPLPDLSFQFAGAPMSLESIDLTSFKRSPCGIELKGEKSASFQKPVLAETRISWREGLVSRMFDMGDLDCCKWWSDDEDGPVFQGNEEALRHTELQSVIPSCLQECGDQTAAACGFGSVEFGCSGGGGEMCNDSKPSPNPVSVAESMRAEGFELVSSDDSDWTLFYKNGLFES >ORGLA11G0073300.1 pep chromosome:AGI1.1:11:6370763:6374830:-1 gene:ORGLA11G0073300 transcript:ORGLA11G0073300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bacterial hemolysin-related [Source:Projected from Arabidopsis thaliana (AT3G25470) TAIR;Acc:AT3G25470] MMMMASRLRFHQLRLIGVRPTCCSPSRDFAAVRTQKLQLAKKKRRLDEVCLERFQQYSRTYIQSWILQGKVLVDGRVVNKAGTQVSDKSIIEIKAEIPKYVCRAGHKLEAAIKEFGIDCDEKVALDSGLSTGGFTDCLLQHGASHVYGVDVGYGQVAEKIRVHERVSVIERTNLRYLTELPQLVDLVTLDLSFISILLVMPAVVKVMKTDSTLITLIKPQFEARRSQVGGGGIVRDPLVHKEVLDRIISGVEQFGFCNKGWIESPIKGAEGNKEFLACFHRIPVQELQPEEAKST >ORGLA11G0073200.1 pep chromosome:AGI1.1:11:6363215:6365607:1 gene:ORGLA11G0073200 transcript:ORGLA11G0073200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:I1QYZ0] MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGDYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDIKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGSEFDDGDEGDEGDEY >ORGLA11G0073100.1 pep chromosome:AGI1.1:11:6361745:6362061:1 gene:ORGLA11G0073100 transcript:ORGLA11G0073100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WHWVGAVQRAQWGPAAAEMQRRXWMRKLVWRRLRSTGGGNATSSSLETTTCFSSTWREEGGRKCRLVTSIVALATGQLSHTTNARGEL >ORGLA11G0073000.1 pep chromosome:AGI1.1:11:6346163:6346480:-1 gene:ORGLA11G0073000 transcript:ORGLA11G0073000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARQRRNPLIPRPNGSKRHLQHQHQPNAAEKKTAATSNYFSIEAFLVLVFLTMSLLILPLVLPPLPPPPSLLLLLPVCLLILLVVLAFMPTDVRSMASSYL >ORGLA11G0072900.1 pep chromosome:AGI1.1:11:6339795:6342844:1 gene:ORGLA11G0072900 transcript:ORGLA11G0072900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVRSAAVKLMEEQLDSSEMDMDEPGGAAAAAADANNGAGSKDCPLPPPPPKTAKACAAAKKPRSVSSDFGEELDLEQLGAKQQQQQQERKLSRQDRVELSRSFQHAVSSHDWDGAEALVRRADAQGLNDVLCVAVDAIWFLSDKEELAAVVALIRRIVSEGANDFTRAALRTSFLASCVSACRGRTTSLADAVSFMGQKLHERLQESHGDEVLKAEASAKVHKFTEWALKCIGLHSRVRENKGRGNHGTIIEVQLQLSAFKTFLDLADNDLTGKDFTEAFDAACFPLTLFSNTFDQGWASGISAAAIQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQIARRNSLDIDVDLALGFAAHYGKLDTMGCLVEEGNAVAFLGPLMRAAERGCLQVVEWFVNLGCRDMELCLALTAATSSSQVAVAAYLLPLVPKHVLAPLSVEILKAAGERSTGSLHGVDFLLRSNFLNDPAATYAVADNIAKSADEAVDAKLRSFMLEHWSEAAFSEGFASAQEHFVNFMRIMEKGESPICLRDLPLELVIAMAYLPLYRECVESGGRLLPQKLRGQLVEAAGRLEGRQLDRASQGTELLAILEHHLPCFMIQT >ORGLA11G0072800.1 pep chromosome:AGI1.1:11:6332842:6333947:-1 gene:ORGLA11G0072800 transcript:ORGLA11G0072800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSQDQSSHSDESIEAELEAELEAELEAELGAELEAESTGSSIRRGGYTRSSSRRSKKRCGTSFRGFAKMLGHHTSPSTAMGTGTTCRYNVCMCYFTQHDSRG >ORGLA11G0072700.1 pep chromosome:AGI1.1:11:6313389:6320741:1 gene:ORGLA11G0072700 transcript:ORGLA11G0072700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein with PDZ domain [Source:Projected from Arabidopsis thaliana (AT5G27660) TAIR;Acc:AT5G27660] MLRAARPRRAGALLLAAAAAASSSGALAYDRRGGDGDGEAFSTTTTAVRISASSPLRRALSSAASGILPGGSAHLLPSPLPLGEEDLNVYSLRAGFSFLNFFTSASNWSAGFPTQNSFASASVPPTNLSNQSSDGNSDDSKCCPGCINRNTIAKAAAAVGPAVVNISSTQETHGWVLEKSIGSGTIIDPDGTILTCAHVVLDFQSTKPILRGKVSVTLQDGREFEGTVLNADRHSDIAVVKIKSKTPLPSANLGSSSKLRPGLERIRTSTLITLKLLFDELVFLFKFGILKDEKGNSGGPLVNLDGEIVGVNVMKVWAADGLSFAVPIDSIVKIVENFKKNGRVVRPWLGLKMLDLNPMIIAQLKERSSSFPDVKNGVLVPMVTPGSPAEHAGFRPGDVVVEFDGKLVESIKEIIDIMGDKVGVPFKVLVKRASNVTVSLTVIPEEADSSR >ORGLA11G0072600.1 pep chromosome:AGI1.1:11:6283656:6284807:-1 gene:ORGLA11G0072600 transcript:ORGLA11G0072600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRSRALERDAGGVAVEHVELIPGMPDDVAVDCLARVQHGSHRAMRRVCRGWRSAAATPAFAMARAEAGANEDLVYLLQFANPAAAAAAAEEAKEDGDAPANSPAYGVAVYNVTTGEWRREKAAPPVPMFAQCAAVGTRLAVLGGWDPETFEPVADVHVLDASTGVWRSAPPMRSARSFFACAEAGGRIYVAGGHDKHKNALKTAEAYDAVADAWDPLPDMSEERDECDGMATVAGDRFLAVSGYRTARQGGFERDAEWFDPAARAWRRLDRVRAPPSAAHVVVRGRVWCIEGAAVMEWLGSRGGWREVGPSPPGLKAGTARAVCVGGGERVVVTGAIEDSDGGSGRHALWVFDVKTKNWTVVRPPPEFAGFAFSVAAVRI >ORGLA11G0072500.1 pep chromosome:AGI1.1:11:6274907:6276263:1 gene:ORGLA11G0072500 transcript:ORGLA11G0072500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRNHLLPLLHAATSSSSPLQLTRHRLLSTAARSPFSVEHYLVATCGLTAAQARRASPKLSRLNSASNPDAVLALLSSSTAGLDSLSLSRADLTAVVAAEPRLLRARPGTIARRIASLRGRANLSAPQIRSFLMSAARRTSPRPTSPRSSRSGSPSWAPSTCSSRSSGGATRSSPPTSTRWSGPTSRCSGSAGXVFVILSRXPRPPRGCSRSTQSDXRLSCGAPRSSVCPLHRGRSRTPCAPSPVTTKAPSPRGWSSCAALLVAPWTSYALRSAGSRVFXDSRRRRFAAKXSSCSLRSDWSLNTSCRGLXCSRSAWTSGWRRGIMFYKPLWRRGXSKMMLITTVVFVLAMNISXRGTLIATRMLFLVSRMPMPLFMLANRLHKVXLNIFRQTDIGVNGRTTNHLIANNANAS >ORGLA11G0072400.1 pep chromosome:AGI1.1:11:6267060:6270938:1 gene:ORGLA11G0072400 transcript:ORGLA11G0072400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFKLVIEAAERSPRRVELERWLWKLKTAFYDAEDLLDMHEYKLLEREATCNNPTSSTSNALLAHMSAVSNLLPANRKLLRKLKELKNILVEAKNFHQEFLSADGTAAAIMGPNVNSSNTTTTSLPTSKVFCRDADRDHVVRMLCNPDDADASGERNYSTLAIVGHGGSGKTTLAQYIYNDERVVNHFDVRMWICISRKLDVHRHTSEIMESATNNNQETLSKGDCFRLEDSVTEIPHSVRHLSVYVDTLEHHKQSICRLIHLRTVICMEPVMDDVNKLFREVFCKTKKLRVLLLCFHNGNKLPQSIGELKHLRYLNIHKTSISELPGALCTLYHLQFLRIHGDVNNLPTKICNLSKLRHLEACSRYPRPSSGFIGQLVVPKIPYIGKLTKLQHILEFCVAKQKGHELQQLRDMTELSSSLIIKNLENVRTKDEALEAVLDNKIRLRRLLFSWSYPNDLHIDDSLHLEVLEGLRPPRELRHLSITGYRSPVYPSWLCEDYIVNLNALDLRSCSAVEGLPPSVQLMKHFHHIFLCNIRNLKTLLCFPGGLQSFTISGCPLLRFISSGELGQHELHTNLMKADNLSSRLSMIWETQRGSEVHKRKIKDALVSESSSMKQLMALMDDDISAQLQILKSASGSERDEVLLEEDTIKAWLYCQEQRTKLIYARPSENLLLLPSSLWFLSLSSCSLTDGALAVCLQRLTSLKSLYIMQIMSLTSFPSPQVLQCLTVLENLHIRSCWCLRSLGGLREAISLSELEIDSCVSLELVDGNGIATMPPSIEKLSVSGCVLGTDFLTTDFPHLHSISITCCRSSSSLAIGHLHSLESVSLNNMPDLCFLEGLSSCSHLQDIHLINVPKLITESFTQHNACKSLAINSEAMLSLMLSIKDFVLPEKLCFENYDEASLTFGTSANFTSIKSLEFNDSKVMYLPNSLKNLSSLERIKFDRCPNLSLLPDFPDSVQQIEIQECECLKRSCQAPNGENWPKIEHIRWKLIEFSKHDEGIMWCNVSFDTSISAIIIFFDQSYKKLRPYYYVHMLDKKLAGYN >ORGLA11G0072300.1 pep chromosome:AGI1.1:11:6254295:6257953:-1 gene:ORGLA11G0072300 transcript:ORGLA11G0072300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGQEIGASAGEKGSEQHAIDVRPGEHGAIGVVAGAAAEAPEKERLAVVEEEPRKKSKRVAALDAFRGLTIVLMILVDDAGGVYERMDHSPWNGCSLADFVMPFFLFIVGVAIAFALKRVPKLGAAVKKITVRTLKMLFWGLLLQGGYSHAPDDLSYGVDMKKIRWCGILQRIALVYFMVALIEAFTTKVWPTTVRSSPYAIFHAYRWQWLGGFVTLFIYMVTTFSLYVPDWSYVYHNDGDVNDGKQFTVLLAVFPDHVQCGVRGHLDPACNAVGYVDRVVWGINHLYTQPVWIRSKFNIVDSVRDNWDPLWTCSRSFQAIPINKQLYSLSYVCFTAGAAGVVLSAFYILIDVWGLRTPFLFLEWIGMNAMLVFVLAAQAIFPAFVNGWYYDSPGSTLVSWIQKHVFINVWHSQRLGTLLYVIFGEIVFWGVVSGILHKLGIYWKL >ORGLA11G0072200.1 pep chromosome:AGI1.1:11:6253407:6253709:-1 gene:ORGLA11G0072200 transcript:ORGLA11G0072200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRDHILETNRPSQCKFRVRISDDHDLQSLQTEKNQISHSLTERLTAVSEEHGQVATVVRDPETSLPVLSTDCSDIFLQSTKRPILHTWITVKLAGKNA >ORGLA11G0072100.1 pep chromosome:AGI1.1:11:6240004:6245612:-1 gene:ORGLA11G0072100 transcript:ORGLA11G0072100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G49800) TAIR;Acc:AT5G49800] MSSGGGSSPTSSPSVCSRSWSISEDSLKRYVSYASESCIQELLAASDSGGGGGGGGGDDGWKVLAYCNGVEISKRRAGAAHVFRSRWLLHAVSPGKFMAVANAVDAAKQWESDLVDARYIRELGDDLSIVHLKLGADASKRTAGLFRRRDVVVYERRQTMDDGTLVVAVASLPKEIAAGLLPPGSSSGARGAGLLLQSGWVVEKLDAGDGGDGPPCCVVTYVVQLDPAAGWLPRCFVSRLNSKLVIMIVAKLKKMALATMHSAAADDGEVMP >ORGLA11G0072000.1 pep chromosome:AGI1.1:11:6227147:6227809:-1 gene:ORGLA11G0072000 transcript:ORGLA11G0072000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGVVDGKRRGEDGGGRVGAARRRRPPLPSLPLLPPSLHRRGGSGVRRPVEEEQRAAARGRGAACGGQSPGLLLLRRRRMDHAAQLPDLHGRGDGPLAAGHSSPLRSVGTMELEKGRRERRDVLEPRKGGRSTAAATAAGHGRSGSGGLGRGRGRVVRGTVGGGVATANEAGGGRQGEALRRRRLRRRRMYGEERERWRRRRHARSLTDESTTTWRS >ORGLA11G0071900.1 pep chromosome:AGI1.1:11:6216239:6221554:1 gene:ORGLA11G0071900 transcript:ORGLA11G0071900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPTSWRIIHLLVFLIIVLDHALIISADTDPQDTSALNGIAASWDNAKSKLSEWVGNDPCGEKWPGVYCTQNRVTSIRLSSFGLSGSLSGDIQSLSELQYLDLSYNNLSGPLPPNIGSLSNLESLSVVGCQFSGDIPKELSQLPKLRFLSLNNNRFTGSIPPSIGNLSNMYWLDLGENRLTGSLPVSDGTNTGLDNLTNALHFHFGVNQLSGTIPSQLFKSNMKLIHLLLDNNNFTGGIPPTLTLLTKLEVLRLDRNYQLTGPVPASINSLTKLQELHLENNKLTGPLPDLTGMDSLYVVSMGNNNFSSSNVPTWFTALSALTSLNLENLHITGELPQPLFKLPAIQTLGLKGNNFNGTLSIGSDYSSTLSLIDLQDNQITTLAVSGAQYNKKLILVGNPICVQGNNEALYCKSSQQANPAAKPYSTQSICPGLPPTCLSDQYLSPNCTCAVPYMGTLHFRSPPFFDLSNDTFFVLLEENMKEAFLGKQLPVESIALDNPAFGPSNNLDINLRVFPSGKIRFGKEDISYIGFMLNNQTYKPHAPGINYGPYYFIGQSYPFAETLSAPRQTKKNQSLIIGVSAGGAFVVVSLLVLFTVLFFRRNKRPKLQPQPRSPSYASWDIKSTSISTPHLQGARVFTFDELKKITNSFSDANDIGTGGYGKVYRGVLPNGHLIAVKRSEQGSLQGNLEFRTEIELLSRVHHKNLVSLVGFCFDQGEQMLVYEYVPNGTLKDSLTGKSGVRLDWKRRLRVVLGAAKGIAYLHELADPPIVHRDIKSSNILLDGNLHTKVSDFGLSKPLNQDGRGQVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLLLEVITARKPLERGRYIVREVKGAMDRTKDLYGLHELLDPMLAPTSLAGFELYVDLALKCVEEAGMDRPSMSEVVAEIEKIMKMAGVNPKVDSASNSMSYNSRTPRHPYSGESQFDYSGGIPSSSRVEPK >ORGLA11G0071800.1 pep chromosome:AGI1.1:11:6211443:6213702:1 gene:ORGLA11G0071800 transcript:ORGLA11G0071800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSPEARQTHGEIAGAAAPERRLKLYSFWRSSCSYRVRIALSLKGLDYEYKPINLLANEQSHPEFEKLNPMKYVPALVDGDDTVVVDSFAILLYLEDTYPQHPLLPQDPKMKALNIQIASIVGSSIQPLQNNSVLDFIEEKLDSQEKVNWIQYHLNRGFTALEKMLKGCTTTYATGDEIQLGDLFLEPQIYGGIKRFGIDMTNYPTLARLHEAYMEHPAFQAALPERQPDAPSSPEI >ORGLA11G0071700.1 pep chromosome:AGI1.1:11:6208267:6209234:-1 gene:ORGLA11G0071700 transcript:ORGLA11G0071700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRPPPGPTSVAASGHPVSTRASEVPPVVAGVCVPPPPTQQQPVAGLGADEVRVFGDQVPVGMAPAAAKRKVEDAPELWLDDGSAASGFPASSRATEIHCLDEEVPPPVVPELCAPLPPPQPVAEVQVCSEEVLVIAVPVPNEERAIVLHKPDDAARNLLLGSLRPEFPLRVSPDWIHGLKSTGLREARDLHGRDLHRALFEELTMDETSNLTMVPWVPVPSNSQEASTSGAATTTTEMMDAEDTSMEVKQGGGSG >ORGLA11G0071600.1 pep chromosome:AGI1.1:11:6205616:6207469:-1 gene:ORGLA11G0071600 transcript:ORGLA11G0071600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGAAGDEDAAAVTGPSSAGEPAAGMEFASPEEARAFYCTYAARAGFRVRSSKSFASRIDDAIIMRRFVCTRQGLPSRKDTLLDASKKRRNRASARAACPAMLQVNRRPSSRWLVSRCVLLHSHPLASSSSSADAAAADAAEPNDSSSAEQDGGAAAAAAALAPGGGVAQGLLDHFRKLQLDNPAFCYAVQIDRSGCIANFIWVDARARSLYRRFGDAVVLDLTCRRNRRAVPFAAFTGMNHHRQAIVFGCALMTDESENSFAWLLETWLAFMGGKKPMSFTIGYSRDVEMAAMKVFGGDVRHRFCRRDIFFICKQKLASLYSEHSTLKDELKECVTELERIDEFESTWRMLLSKYNLFGNEWLQTIYSIRHQWVPAYLKDSFFGEIINAPKLETMFKFFQRNSITTTTLRDIAFQFDKAIARDYQTELQEDFATFSSKPVMKSSHPMEKQASELYTKVMFDLFQDELIESSGFLVQNVESGDISRFEVTQSENANIRYTVLYSEPRASVSCSCHKFEFAGVLCRHALRVLTTIGIPVLPENYILKRWTRNAKNNILSQVPANTKGSLAWRCNDLCRDGIRFAEEGATSEEIYKTAKEALQKAFAEILPQSEAHLK >ORGLA11G0071500.1 pep chromosome:AGI1.1:11:6196200:6203191:-1 gene:ORGLA11G0071500 transcript:ORGLA11G0071500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAHRTPEDVFRDFRARRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDTWLLAVAFYFGARFGFDKESRKRLFSMINNLPTIYEVVTGTAKKQSKEKTPKTSGKSNKSGTKPSRQPEPNSRGPKMPPPKDEDDSGGEEEEEEEDHENTLCGACGDNYGQDEFWICCDACETWFHGKCVKITPAKAEHIKHYKCPNCSSSSKRARA >ORGLA11G0071400.1 pep chromosome:AGI1.1:11:6190448:6191674:-1 gene:ORGLA11G0071400 transcript:ORGLA11G0071400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFKGKIWAALGSLMDHSNSKASSAAMPTAAAAPVPDRALLADIEAAVERCTAGGGGGDDERHVHEILFLVSNAPGAITFLSRRITARLEAARAPPAAALRSLLLVHRLLRAGDRYFEQDLRGLWASRDLRIDAPRCSCSPHAAGGGGGGGEYATATGTCSFLHGYSAYLEERMQWAINQAGNLEPARPRPPPHDGGDDHAAGAAAAEAPLVFKLAMSQRLLDLAIQLLPDNNTSATSAAARSAFGIVLRESFKVYDAFKDGLDALLRSLAAAGGGGKASRASSSAHEILKKARAQTPELKEFYHKCKKSSNVSSKSLDYPVVRVVTSAMELVMPPPVIDDEDDDDGGAATTEEAAGVAAQEASHPQPFATKLETTISTVWVEFDDGDGDGGGSGDHSLQGVQSSYL >ORGLA11G0071300.1 pep chromosome:AGI1.1:11:6175047:6182045:-1 gene:ORGLA11G0071300 transcript:ORGLA11G0071300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVEGCVGPSELHLKKELTALRKARFLRDPETCSSWRSPLSSKSFMTTSCVINPNEIGSNVSQKHIEPAAAPPKGEKKRKKVYLYNWRHHSNKSSESGIKLDDDDRQASADCSLESPCISNGVDSRSETGLEVPVSIYSVQGSNSGTPVTRTVRRVRRSSLSKKGAIRNSTVSKLLDLHVNSGEQSEDTDNYNSENHELLQKGGYFSHSTSPLFAASGCLSSSNPSKFLKVPRREGSSFSCTPVSTSSYYRYRGRNPSTVGSWDGTTAASLDEDGLNQPELLRSQRCASDEVSTIFGELDLEALSRLDGRRWSSCKSQDGIALPMSGADHAVSDQRSLSQKYRPRSFNELVGQSFVVQSLNNAIIRERIAPAYLFHGPRGTGKTSAARIFSAALSCVAIGENKPCGACMECTDFFTGNGINLIEVDASNRKSINRIRHLIENIPASATSSRYKVFVVDECHMVSSKVWSAFMKFLDEPLPRVVFIFITIDPENLPRAVISRCQKYMFSKIKDIDIVCRLRKIAVKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSADTAETVKRSRELMDSGVDPMALMSQLAGLIMDIIAGTYRLADPTCGGEGIGGRNITDAELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLGSGHNSEMIQSRSSSKQSAKATSEIVMDAVRESSASRTTAHPLFTLRGSRKTLDLRTASGHSSPQGVVSVSSRMRANDNLKHGECRSVDRVLQDSAQRSNSSELRPMTNDGVQTFHEGYYIAFIAFEGSDIKSRAQRFTSSIRNSMETVLRCNVEVRIGLMPELLAGGLKREEDLDERDEFDALSCSTNSDRLKGIRNPSRNLNYSEEIEKKLEKFSCASAASGGLQSCTTEGNTGMHRTRGKEVSVEQAKAVTVEEQRLESAWLQAVEKHAPGILNQMRPERNQVVPRISGEQYHRMPETDTVFPSRQVDKELSNGLKSLNIGSHGLRQNGQMENGYAISPSLLHSNNHLANCDNESVVSEPGAPGCHGLFPCWKTEKSKRRKKKACPLQTLLKSFVQRRFKTRYSCLPRSRAHIPKFREFDGLPWCTSQPIQFMNL >ORGLA11G0071200.1 pep chromosome:AGI1.1:11:6172178:6172798:-1 gene:ORGLA11G0071200 transcript:ORGLA11G0071200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10250) TAIR;Acc:AT4G10250] MAAVAERKVLGMVAAVAAMVVMMAPPAAALVPYGYGYMLDDPFRVLEQSPLRPAGGVAAAAAAGEPAAVALARCDWKETPEAHVVTVDVPGVRRGDVRVEVDEASRVLRVSGERRRAGAAEEEEGERDGVRWHRAERAAGRFWRRFRMPPGADVGRVAARLDDGVLTVTVPKVPGHRGREPRVVAIDGAGAGDMEAEVVKASKAEM >ORGLA11G0071100.1 pep chromosome:AGI1.1:11:6167614:6169050:-1 gene:ORGLA11G0071100 transcript:ORGLA11G0071100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVRVVSTRTVKPPPRPRERIPLTSWDVAMLSANYIQKGLLFAPPHSSSSALLSTTAHVVEHLAAALAATLRAYYPVAGRFVTEKRPGGGGCSVSIDCDGQGVEIVHAVAGGVSVADVVPPDADVPCGLVHSFFPLRDAVNYDGHHLPLFVVQVTELDDGVFVGFAYNHALSDGTAFWDMVNAWAEIARARLTTAAAPQPTSRPPPLLKRWSPEEDDDATVVLPYADVSELIERLDTPPLRERMVHFSPESLAALKERARQELLDAGDTAGAAAITKFQALTSLLWRCITRARCSSPDQETVCRVAINNRGRLRPPLPREYFGNSVYAIGTEASPVRASELVQERGHGWAAAAVGRAVAAHTDAGIRARVAAWGARPTVYTARCFDASGVMVGSSPRFDMYGCDFGWGKAVAARSGKANKSDGKASLYPARDGGGGVDAEVVLAPEHMAALELDGEFWAAVTPDHVLRACSSTKA >ORGLA11G0071000.1 pep chromosome:AGI1.1:11:6135902:6136821:1 gene:ORGLA11G0071000 transcript:ORGLA11G0071000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIQQNIKYGTMDQYRIICMAKSIVFVHGTHMVFVIVDDVDAMDQVLQVNVGHNHNAFPFDLNFDADEEDLQYHPDMQEYGVYAGDVEVVFEQEELDDSDDEGEHQNKNLTKIQRQQIYAALAGKTNNGILRKKCYNLSCSNV >ORGLA11G0070900.1 pep chromosome:AGI1.1:11:6134551:6134793:1 gene:ORGLA11G0070900 transcript:ORGLA11G0070900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLNIALDDGDEHAVPNLNEAVAEEAAVADQEDDQVGGDLQGGANHELPGGDFQGGANHVLPFDLNLYASDHQEEIHLG >ORGLA11G0070800.1 pep chromosome:AGI1.1:11:6127012:6132257:-1 gene:ORGLA11G0070800 transcript:ORGLA11G0070800.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDGGCDEYDTPYTGGNGSFPPSCGRLPELLGGGGXDGVVGVRVPNLHRQRFPRPLSSAPWASSRSSIRILIIINLEGSICVSPRSGLNRFVDAYKFLVQGIVMEEAILILGVDEELKELQRRMKQIQCFLHDAEQRRIEEEAVNNWLCELKNAIYDADDIIDRAKFEGNKLLANHSSPSPLPIKSISCCNLSVTSCVRNVQTRRKIALQIRRVNYNLQRISIDKTFLALENVKATYRVLAPSKRHTSHLVEPNLVGKEIKYATSRLVEMILTHREEKAFKVAIVGTGGVGKTTLAQNIYNDQRVKGNFSKHAWICVSQEYSEVNLLKELLRNMGVHERQGETVGELQSKLASTIKDESLFVVLDDVWQSEVWTNVVRTPFHDAAKATILVTTRDELVVRRVGAEHLHRVEMMSTDVGWELLWKSMNIKEEKEVETLQHIGTKIVNKCGGLPLAIKVIASVLATKEKTKNTWEKVVESSAWSMSKLPAELRGALYLSYDDLPHNLKQCFLYCALYVEGQMMHRADLVRFWVAEGFVEEQEGQLLEDTAEEYYHELICRHLLEPDPFYFDHYRCKMHDLLRYLAQHLSREECYFDQLPLEPTWSKLRRISIVNKTDMLSSVVEKGHCRVRTLMFCMSPNIDSDVFMRFPHLRVLDLTGSNVQRIPDSINSLIHLRLLDLDATDISCLPESIGSLTNLQILNLQRCYALHDLPMAITKLCSLRCLGLEDTPINQVPRGISKLSLLNDLQGFPVGHSYVNTRKQDGWNLEELGHLSKMKRLDMIRLENAMPCGTSSLLLDKKHLKFLNLRCTTHAKESYTMEDINNIENVFDELKPPCNLEDLSIAGSFGQRYPTWLGVDLSSLKILRLIDCASWAHLPAVGQLPNLKCLKIMGASAVTKIGPEFLCDKTATPRFLGAVAFPKLEWLVISDMPNWEEWSFTEEVVGASDGKSCSENNKRVLQVMPLLQKLELGDCPKLRVLPQQLAQATSLKWLHIERAQALKVVEDLTSLSDSLLLNKCEGLERLSNLPQVRTLYVSECPALRCAGKLDCVQQLWLSKDLQMEFPLWLSLLKQRHQQLHGEELDLYTW >ORGLA11G0070700.1 pep chromosome:AGI1.1:11:6123914:6124174:-1 gene:ORGLA11G0070700 transcript:ORGLA11G0070700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNGQRVSHRRRARASNAGLECRRARQWISHEGARQARVVAVTPPHVDLSAAALPRADPAVGALGSGSAGWWLAHRLRQRLIRRR >ORGLA11G0070600.1 pep chromosome:AGI1.1:11:6108877:6110990:-1 gene:ORGLA11G0070600 transcript:ORGLA11G0070600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILQLQTRTEASPASSASAAATRIFAVRRQQQEQEGEEEEEFEFQERMDLSGAQGELPIPMHASAAASPFAGMGAHGGAGGGHVVELHRHEHVGNNGQAMAMASPPPTNVAVAAEQEGSPVAGKKRGGMAVVGGGGGVAVKYRECLKNHAAAIGGNATDGCGEFMPSGEEGSLEALKCSACGCHRNFHRKEADDLDADSCAAALRAAAGRHHHLLGPALPHHHHKNGGGLLVAGGDPYGAAYAAAXXXXXXPPPPPHGHHHHHQIIMPLNMIHTSESDEMDVSGGGGGGGRGGGSSSSSKKRFRTKFTAEQKARMLEFAERVGWRLQKLDDAMVHHFCQEIGVKRRVLKHAVGDVTVAFMSDHLTSKIKGVKTEEIKKGV >ORGLA11G0070500.1 pep chromosome:AGI1.1:11:6089238:6091392:1 gene:ORGLA11G0070500 transcript:ORGLA11G0070500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:light harvesting complex of photosystem II 5 [Source:Projected from Arabidopsis thaliana (AT4G10340) TAIR;Acc:AT4G10340] MAALAPSKMLGTRLNFAGSSRYATAAPTTGAQKIVSLFSKKPAPKPKPAAVTSSSPDIGDELAKWYGPDRRIFLPEGLLDRSEVPEYLNGEVPGDYGYDPFGLSKKPEDFSKYQAYELIHARWAMLGAAGFIIPEACNKFGANCGPEAVWFKTGALLLDGNTLNYFGNSIPINLIVAVAAEVVLVGGAEYYRIINGLDLEDKLHPGGPFDPLGLASDPDQAALLKVKEIKNGRLAMFSMLGFFIQAYVTGEGPVENLSKHLSDPFGNNLLTVISGAAERTPSL >ORGLA11G0070400.1 pep chromosome:AGI1.1:11:6085056:6088339:1 gene:ORGLA11G0070400 transcript:ORGLA11G0070400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSKKKSGGGDDQRPLLWRLPEVTSTELGKIGPAFGLGVGCGVGAGVGFFGGAGLGYGFPGLTLGFGVGAGCGVGFGFGYGLGKGIAYDQNKRYSNVGKMFQEAPSLPMDTVAGLVDELVVNTKKLVRATSKEIEKWR >ORGLA11G0070300.1 pep chromosome:AGI1.1:11:6080838:6081788:-1 gene:ORGLA11G0070300 transcript:ORGLA11G0070300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMHARRAKLKSQLASAKAKLKHHVTPRRLLLLSAAAASAFLLLLTLRTLSAAAANTSSPAPVVVHRSQQQQRDDQCDRVPAGVAEALVHYATSNATAWGRGRRRSAEEVAATARAVSRRAPCNLLVFGLGHGAALWAALNHGGRTVFLEEDDALVSGASPASLAIEAYRVAYLASAADADELLALRDSEHCTGAAATQLSPGHFDRSPCKLAVRGLPAAFYEAEWDVIVVDAHAPPPPTTTAMMGAIYTAAVAARARRPAAETETDVVVHDVDKPVQDRFSTAFLCGGYLKEEVGNLRRFAIPSHKEGMPFCP >ORGLA11G0070200.1 pep chromosome:AGI1.1:11:6074421:6077654:1 gene:ORGLA11G0070200 transcript:ORGLA11G0070200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTRKPLQSEVHVQFLRSSGRALHGLIDQLCSKCPKHDDGATLQSETTSSKFKCLYKFFRPSSEGDTAAVDNVLRNDGNTEVVAIQSKENGRNSNNILEDGEKSVLSSDHSKKLSAHHRLKIWITSGHSGIIGRYGKKLELNAANVPKQFPSEHVNTVWPDWLMKVAPEAVQGWFPRRAESFEKLGKIGEGTYSSVYKARDLKTGKIVALKKVRFVNLDPESVRFMAREILVLRKLNHPNVIKLEGIIASPVSTSLYLVFEYMEHDLTGLAATPGLKFTEPQVKCLMQQLLSGLDHCHSNGVLHRDLKGSNLLIDSNGVLKIADFGLATFYDPNNQQPLTSRVATLWYRPPELLLGATKYGVSVDMWSTGCILAELLASKPIMPGRTEVEQIHKIFKLCGSPSDEYWQKLNVPQTGMFKPSRQYRRCIADNFKHFPQPAIVLLDNLLALEPEARGTAASTLQSDFFRRKPLACSPSSLPKFPPSKEYDARLKLEEASRQRKVGGSVRPGRENVRINRGNGSIQPKKHTYISGNLHLQGDPRTLATRVRSPGLNTTWNNRDDSMTVVKYLDVSTTLCG >ORGLA11G0070100.1 pep chromosome:AGI1.1:11:6069594:6072423:-1 gene:ORGLA11G0070100 transcript:ORGLA11G0070100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rieske (2Fe-2S) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G71500) TAIR;Acc:AT1G71500] MASITTTAAAAAAASSFLHRRRALAAAASPPPPRATATLRHHHIQHHQRVVGCRAAEVSAAAAEEEASGDGNWVPVVPLAALPRGERRVIVQDGEEILLLWYKEQVYAVENRSPAEGAYTEGLLNAKLTQDGCIVCPTTDSTFDLRTGEIKEWYPNNPVLRALTPALRKLFVYPVKIDGENIYISIKGAVTSGGSAEIIFSGKAQPGFTASDVNIEEVRMVIDEDVGGFGFTNKNELINGKAAIIGFLLLIDFELLTGKGLLKGTGLLDFIYAISRAFSS >ORGLA11G0070000.1 pep chromosome:AGI1.1:11:6056674:6057279:-1 gene:ORGLA11G0070000 transcript:ORGLA11G0070000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVMQNGGGGGGGEMAGQYRGVRKRKWGKWVSEIREPGKKTRIWLGSFESPEMAAVAHDVAALRLRGRDARLNFPAIAHRFRRPDTAEPGDVRAAALEAAAQVRFTPDLLVVAGGDDAGRRCCSAGEAEAAAVAAAAWEWDVVVGGGGDELEAKSPNMWAELAEAMLMAPPVWEGGGETDNDEWAQQAASLWDPSVWDY >ORGLA11G0069900.1 pep chromosome:AGI1.1:11:6049024:6049269:1 gene:ORGLA11G0069900 transcript:ORGLA11G0069900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGRRGSDARRRERREQRAAASAAREEGAAPAGEEGVRVVGGGKTDGGVDGVRFRGPGLPSNEHYAREERRRRHGGAPS >ORGLA11G0069800.1 pep chromosome:AGI1.1:11:6023975:6033416:1 gene:ORGLA11G0069800 transcript:ORGLA11G0069800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:I1QYV6] MVENGVLEQPKGVSRNRPRAQSNDHPVDPGYLPELTWEHKLSNIGYDLPSFRLTWRETFQLAGLGLRLGRHILEETSKGRAAVIDPMKKRIAKSGQGVPLGGIGSGSIGRSYKGEFQRWQLFPGTCEERPVLANQFSAFISRKDGRNYSSVLHPGKPDLPKGSNISGIGSWDWNMSGQNSTYHALYPRSWTIYNGEPDPDVNIVCRQISPIIPHNYQQSSYPVSVFTFTVTNSGNTAADVTLLFTWANSVGGKSELTGYHSNSPMIEKDGVHGILLHHRTANGQPPVTFAIAAQEKEDVHISECPYFIISGSSDAFSAKDMWNYVKENGSFDNLDLTKTSMCSKPGLSIGAAIAASVKLPPQTTQNVSFALAWACPEVKFSSGKTYHRRYTKFHGTDNDAAASLAHDAILEHNSWERQIEEWQNPILQDERFPDWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTGIGEKKFSLDMQNGDADDANGIIPRNNTASDILNQMASVLERIHASMESNSAIGTTLLQGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLIMLFPKLQLSIQRDFAAAVLMHDPEKLRMLHDGKWVARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDRDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAHEVGDKASEKLFWDKYEKAKSVYGKLWNGSYFNYDDGDNIMSASIHADQLAGQWYAKACGLFPIVDKDKAESALEKIYSFNVMKFKDGKRGAMNGMWPDGTVDMSAMQSREIWPGVTYALAATMIQEGMVEKGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDDEYRSLCYMRPLAIWAIQWALSNPKLHKQTADIPQDSFPKNQFSYARIAKLLHLPEDESPKSFLRVIYEIVRNRYRS >ORGLA11G0069700.1 pep chromosome:AGI1.1:11:5986841:6003520:1 gene:ORGLA11G0069700 transcript:ORGLA11G0069700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKDAGLSPPVAAPPPLSLAGVPVLTGSLRPAECADLLGLVAGVKRPLENVVADFLARIQPERRLRFGAAINFVLKDKMMLRPAERLVAFTILHQGYSSQLENPFVPLIIHAACDETSDKPEQLFLQLLLNSTNGDNDREILKHSAADYLEESAYASQVLLTREQLERQYTCNEVQPQPSTGSFREATVRSVIPDPDISQSCTDSSEISITKSNRDNLVTGLLQQTSLDGIGPQWIRPPPPRLEILDGELQWLNLDNNHELLWDSSMCADTSRGAAIRDLVGKACKGPLSPAQQEQVLVELAKDWKLVYHCGMTPQKLPDLVEHNPLVAVEVLSKLINSPDMDAYFDILVHMEMSLHSMEVVNRLTTAVDLPPGFIHDYISNCIRSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIAFSRIREAAGLFRLLKSLE >ORGLA11G0069600.1 pep chromosome:AGI1.1:11:5974140:5974711:-1 gene:ORGLA11G0069600 transcript:ORGLA11G0069600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVLVLHQVAILALPAAETEAAIAAAPRRGGGGGVCERCELHIHIQGRGCSEEQDHRDEQQLTRSYGSLSGSLRRQIPICMLAEWQ >ORGLA11G0069500.1 pep chromosome:AGI1.1:11:5964144:5965199:-1 gene:ORGLA11G0069500 transcript:ORGLA11G0069500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTKKGVAPPMAWRTRLSILAAGCLTDATCRADGTINRRLLNFLDPHVPPSAAPRNGVASRDVVVDPAIPLRARLFYPCPSGGGGGTGDATKPLPVVVFFHGGGFAYLSAASRAYDAACRRIARYAGAAVLSVDYRRSPEHRYPTPYDDGLAALRFLDDPNNHPLAADDGDVPPLDVTRCFVAGDSAGANIAHHVARRYALASTTFANLRLAGLIAIQPFFGGEERTPAELRLVGAPIVSVPRTDWLWRAFLPPGADRTHEAAHAASPAGAAGIDSPAFPPATVVIGGYDPLQDWQRRYCETLRGKGKAVRVLDYPDAIHAFYIFPEFAEARDLMLRIKDIVAGAGGEH >ORGLA11G0069400.1 pep chromosome:AGI1.1:11:5926542:5927639:-1 gene:ORGLA11G0069400 transcript:ORGLA11G0069400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTTPTPTPTPPGQRAKPKPPMSRLMRLSLRAVDWATDATRRADGTLNRLALSVLDPRVPAFSSPCRGVASRDVVLHPPTRLRARLFYPSAAAGGKDERSPPPQPLPVIVFFHGGGFAFLSAASAAYDAACRRIARYASAAVLSVDYRRAPEHRCPAAYDDGIAALRYLDDPKNHGGGAGAVPPLDAARCYLAGDSAGGNIAHHVARRYACDAAAFENVRVAGLVAIQPFFGGEERTDSELRLDGAPIVTVSRTDWMWRAFLPNGCDRTHEAANFAAPSAAPGVDSPAFPPVLLAIGGYDPLQDWQRRYAEMLRGKGKDVRVFEYPNAIHAFYVFPAFDDGRDLMIRIAEFVAESAAGSGGSE >ORGLA11G0069300.1 pep chromosome:AGI1.1:11:5902368:5905334:-1 gene:ORGLA11G0069300 transcript:ORGLA11G0069300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESAPVNSGRGGHRRGGSRCRSAVAAEEIGEASLVLSSTVGGGADEGEVDLSGVEPGDAVAALPPPLVSASPPAPAAAGRLKRPTPLASSSSFPHGPTVNRPSAGGATECDDSARVRGPLGHYVRRQRRMVEHRVPMSLHGTWTPGAVGVGAVVADAERLVDGPAGARPGSARSLPFTAFVLLSSLALLKLVPLCAL >ORGLA11G0069200.1 pep chromosome:AGI1.1:11:5897283:5900661:1 gene:ORGLA11G0069200 transcript:ORGLA11G0069200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVEQIVVSALTGAMSPLLRKLTNLIEKKYMEVKGVRKKLEQLTKELMTIGIALEKYAAMDNPDVQVKAWTAEMRELAYDMEDSIDLFTYRIDHEPADTTNGVKRVLHKTLRKVKKFHHRHKFAKEIEELHVLVNEAYERQKRYRIEEGTSSKLCREIDPRLPALYVEKEKLVGIQGPMKEIINWFGSEEVEPIGQHKIVSIVGQGGLGKTTLANQVYQKIKGQFSFSAFVSVSQKPNMDNLLRELLSQSKSTEPTESFSYQQLIDKLRTCLKDERYLIVIDDIWKRSAWKTIQCAFPINKHASRIITTTRIKSVAQSCCGASDEGFVYQMKPLNKSDSENLFLTRTFGAEKKCPSQLEGIISDILYKCDGLPLAIITIASLLADKPRTREEWERVLNYIVSTSEKDNDLEVMDKILFMSYNDLPHHMKSCLLHLGTFPEDHKIGKDVLVWRWIAEGFITKKQGFTLQEVAESYFYELINRSLVEHIQIMPDGEDEGCQVHDIVLNFIICQSTEENFLTKLDCYDHPSSRKRIRRLLVGNKEEHTRAKSQGTMNSSNLRSVNIYHVDGRMMSPLLNLPALRVLNLERCDLRNSYLDCIVGLFHLRYLGLRWSRIDCLPVQIGKLEYLQTLDLRHTSLLVMPESIVQLKRLMRLVGHHLILPDGFGNMESLQELGFIGCCRSSTNLLKFQKDLQLLRNLRVLKVRFLSEGETGKEAMIQSLCKLGGNNLREVYISSFNGSGDCFADSWCPSPCFLEKFVYESSYTEHYLSRFPKWIHPSLSRRLTYLDIDVKRMEREHVRILEDLPALIVLHLDIEEALVYGIRISHGAFQCLARLRFCNRSGPGLVFKGGMPKLEWLSVEFGAERAQSTYGSLEVGIRHITSLKHIEFSILVLTDDMERKIKSSINDQVKMLPQRPEVNIKTVHLPFIK >ORGLA11G0069100.1 pep chromosome:AGI1.1:11:5867933:5869909:1 gene:ORGLA11G0069100 transcript:ORGLA11G0069100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGENIMVSALAGMMSPLLGKLSYLIEKEYAELKGVRKKLEQLRKELMAINLALEKYASMENYDLQLKAWMIEMRELAYDMEDIIDLFTYRIDHELASTTVGVKRIILKILRKVRKIHHRHKFAKQMHQLQVLVNEAYKRQKRYRLEEGISSKPHTEIDPRLPALYVEVEKLVGVEGPSKEIMEQLIGEKPTRQHRVVSVVGSGGSGKTTLAKQVYERIKGQFFCSVFLSVSQKPNINNLLRELLSRIWDGSGSSGATELYSDQQLIDKLRACLDNERYLVVIDDIWQRSAWETIHCALPKNNHASRIIITTRIKSVAQLCTSAEGFVYQMKPLNTSDSESLFLRRTFGAEENCPSQLEGVINKILYRCDGLPLAIITLASLLADKPRREEEWERVLNYMGSMPKKDSELEVMDKILSLSYNDLPHHMKNCFLYLGTFPEDHDIGKDILVWK >ORGLA11G0069000.1 pep chromosome:AGI1.1:11:5860421:5863861:1 gene:ORGLA11G0069000 transcript:ORGLA11G0069000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WEELGRRSXXVPWXGXXALCLASLVTXLRKSMLSXRARGRSXSSSXKNSWPSTLRWRSTQAWRILMCKXRLGTIEMHELAYDMEDSIDLFSYCIEHEPVSTTMGVKRVILKILRKLKKIHHRHKFAKQMHQLQVLANEAYNRQKRYKLEEGSSSNSFVEIDPRLPALYVEVQKLVGIEGPSKEIIEQLIGEEPTWQRRVVSVVGSGGSGKTTLAKQVYERIRGQFSCAAFVSVSQKPNINNLLRELLSRIGSNSESLRARELYSDQQLIDKLRACLENERYLVVIDDIWQKSAWETIQCALPKNNHASRIITTTRIKSVAQFCCTSDEGFVYQMKPLTKSDSENLFLKRTFSSEENSPNQLQKVINKILYKCDGLPLAIITLASLLADKPRRKEEWERVLNYIGSMPKKDSKLEVMDNILSLSYNDLPHHMKNCFLYLSTFPEDHEIRKDILVWKWIAEGFIITKQGFTLEEVAESYFYELINRSLVQPVNMVHGAIEQGCKVHDIVLNFIISRSVEENFLTMVDGQELPSPKSRIRRLSVWNKQEFPRFILKGSMNLPHIRAISICHVDGWTMPSVLNLPVLRVLDLEGCHALRNDHLDCIVSLFHLKYLRLSKTSIDRLPAQIGKLEYLQMLDVSSTQVRLLPESVIQLKRLMRLVGNELILSDGFANMESLQELGVLDACNCSINFGKDLELLSNLRVLRIMFRCEEITSDPDARKKSLMSSLCKLGGNSLRSLYYQSSTTGVDCSADSWCPPPILLQKFEYRGVRYFSSFPKWIKHSLVDLAYLDFRIEHMERKDLHVLESLPPLTVLCVTVKRVPEDGLMISHGAFQCLTRLEFCNTDGPGLTFEADMTRLEWLKLEFNADKALATYGSLVVGIQHLCSLKCIDLTIGMLSEDENDPPKETIKSVISDKIKMLPHNPKVNITFL >ORGLA11G0068900.1 pep chromosome:AGI1.1:11:5851768:5853782:-1 gene:ORGLA11G0068900 transcript:ORGLA11G0068900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHRLRAQAQGQAPAAAVAAAAADRPAPTHADLFARRSNSEGQVPKKTTSMGFERWWLPPPPEVKKPRSLYNAASLAYLGDCIYELYARRHFFFPPLSINDYNKRVMDVVKCESQDLLLNKLLGEDFLTQEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLIGYLYLTNFKRLEQLMFQLGFTSGASSHHIADELISSFKKKKSTSVQSQQQAAQ >ORGLA11G0068800.1 pep chromosome:AGI1.1:11:5850704:5850934:1 gene:ORGLA11G0068800 transcript:ORGLA11G0068800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMKTVLDFAEKAASATATVVGKVLRITGKAAWVVGTTGIVLGVPLIWAMGREQTQLEYESLREAEQRTLLGL >ORGLA11G0068700.1 pep chromosome:AGI1.1:11:5848028:5848732:-1 gene:ORGLA11G0068700 transcript:ORGLA11G0068700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGRLRLPPASGTKLLLLLTVLLVAVMMHSGCAIAAQAAGGGGGAWSRMLRAGFLSSMHVPIKFLTNYSLRSII >ORGLA11G0068600.1 pep chromosome:AGI1.1:11:5773387:5780325:-1 gene:ORGLA11G0068600 transcript:ORGLA11G0068600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphate synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G10120) TAIR;Acc:AT4G10120] MAVGNEWINGYLEAILDAGVKLREQRGAAAVQLPPLLPAPEDAASAVATAATYSPTRYFVEEVVSRFDDRDLHKTWTKVVAMRNSQERNNRLENLCWRIWNVARRKKQVEWEFSRQLSRRRLEQELGSREAAADLSELSEGEKDGKPDTHPPPPAAAAEAAADDGGGGDHQQQQQQPPPHQLSRFARINSDPRIVSDEEEEVTTDRNLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALAATPGVHRVDLLTRQISCPDVDWTYGEPVEMLTVPAADADDEDGGGGSSGGAYIVRLPCGPRDKYLPKESLWPHIPEFVDRALAHVTNVARALGEQLSPPPPSDGAGAAAQAVWPYVIHGHYADAAEVAALLASALNVPMVMTGHSLGRNKLEQLLKLGRMPRAEIQGTYKIARRIEAEETGLDAADMVVTSTKQEIEEQWGLYDGFDLKVERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVDTQDLAADGAGGAGDAADLQLLINPNKAKKPLPPIWSEVLRFFTNPHKPMILALSRPDPKKNVTTLLKAYGESRHLRELANLTLILGNRDDIEEMSGGAATVLTAVLKLIDRYDLYGQVAYPKHHKQTDVPHIYRLAAKTKGVFINPALVEPFGLTIIEAAAYGLPVVATKNGGPVDILKVLSNGLLVDPHDAAAITAALLSLLADKSRWSECRRSGLRNIHRFSWPHHCRLYLSHVAASCDHPAPHQLLRVPPSPSSSSATSAAAGGGGAAASSEPLSDSLRDLSLRISVDAASPDLSAGDSAAAILDALRRRRSTDRPAASSAARAIGFAPGRRQSLLVVAVDCYGDDGKPNVEQLKKVVELAMSAGDGDDAGGRGYVLSTGMTIPEAVDALRACGADPAGFDALICSSGAEICYPWKGEKLAADEEYAGHVAFRWPGDHVRSAVPRLGKADGAQEADLAVDAAACSVHCHAYAAKDASKVKKVDWIRQALRMRGFRCNLVYTRACTRLNVVPLSASRPRALRYLSIQWGIDLSKVAVLVGEKGDTDRERLLPGLHRTVILPGMVAAGSEELLRDEDGFTTEDVVAMDSPNIVTLADGQDIAAAAADLLKAI >ORGLA11G0068500.1 pep chromosome:AGI1.1:11:5768097:5771812:-1 gene:ORGLA11G0068500 transcript:ORGLA11G0068500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71340) TAIR;Acc:AT1G71340] MRRLLGLGRRRQQQPPPLPLFPPPATKRASPPSSSSSSSALLRRILPTNRLLRLLLLLAALSLIPPAFFHFRLRRFHRMRERRCGWIASPPMVCAHGGDSTNAFPNSMDAFRLALDARVDCVEVDVSRSSDGALFVLHDRDLQRMSGNSTAKVGYWSSDEIKALSTRFQLSKTVQNQEVPKAQDVLALISQSVRQVILDVKVGPPSFEKDLAEDVLSIIGRTQCKNCLVWAKSDNVGRDVIKLSKDITVGYIVMVDKSTGRTTELVRITGSKVAGVYHRLIHEKLMKVMHRNDKKVYAWTVDDADSMKRMLYEHVDAIVTSNPSLLQQLMQETRTECMEDGFALP >ORGLA11G0068400.1 pep chromosome:AGI1.1:11:5761065:5761559:-1 gene:ORGLA11G0068400 transcript:ORGLA11G0068400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKMYVADKSTFKELVQRLTGQPPAEAAAVTALAPAPVAGAPRRGRLGVHNPPAFKPTPHRPKLPIIRPEHPRLLAGFASPPSPPSLSPWCSGAGQCVQNMQDELPPSPTSASSTLAEEVVVGETVSEEGKPDHMHQPPPVRTGEAKLLNLFPLTASCSEDR >ORGLA11G0068300.1 pep chromosome:AGI1.1:11:5744368:5745886:-1 gene:ORGLA11G0068300 transcript:ORGLA11G0068300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQANTIQVPTDAELLQAQADLWRHSFSYLTAMALRCAVKLGIPTAIHRLGGEEAAASLPDLMAALSLPASKQPFVRRLMRLLVAVGVFAADGVADERYRLTPLSRILVDGVAAADDHHHHVLQTPFVLTATSRQYVEAALGLDEWLRKDAPPAPAPVPSPFEDAHGAPLFDEATAAAIDPEFAAAADDALAAHDSLGIGAVLRECGDLLRGVASLTDCAGGDGATARAIAAAFPHIKCTVLDLPKVIDKAPVDDGVVNYVAGDLFHAVPPAQAVLLKLVLHFWSDDDCVKILSQCKKAIPSRKEGGKVIVIDILIEPSLGPAMLEAQLLMDMAMMVNTRGRQRDESEWRDLFFRAGFSDYKIAKKLGARAVFEVYP >ORGLA11G0068200.1 pep chromosome:AGI1.1:11:5723103:5727721:-1 gene:ORGLA11G0068200 transcript:ORGLA11G0068200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESRQGPKGGDTMAASEVSVDWRGRPCGSRKHGGMKAAVFVLGIQAFEMMAIAAVGNNLITYVFNEMHYPLSKSANIVTNFIGTVFLLSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLAVQAHLPQLRPPACDMMAAAAAAAEGGCEEASGVKAGIFFAALYLVALGSGCLKPNIIAHGADQFRRGGGGGGDGDGDDGGDGKRLSSYFNAAYFSFCVGELVALTVLVWVQTRSGMDVGFGVSAAAMAVGLVSLVAGVFFYRNKPPQGSIFMPIAKVFVAAVTKRKQVCPSSSSTTAASHAVISATGAAPVHRINKFRFLDKACVKVQDGHGGGGDGGKESVWPPCTPAEVEQVKVLLCVVPIFACTIVFNTILAQLQTFSVQQGSAMDTRIGGGGGAAGFHIPPASLQAIPYLVLIALVPAYEACFVPAMRRATGVDTGITPLQRIGVGLFAVTFSMVAAALVEAHRRRHAGLLSIFWIAPQFLVFGVSEMFTAVGLIEFFYKQSLSGMQAFLTSMTYCSYSFGFYLSSLLVSLVNKVTSGDVAGGGGWLSDNDLNKDRLDLFYWLLAGLSLLNFFNYLFWSRWYSKSVETTVQVAGVGEEGGGGEQQEEKSVDEVNMGH >ORGLA11G0068100.1 pep chromosome:AGI1.1:11:5700906:5701346:1 gene:ORGLA11G0068100 transcript:ORGLA11G0068100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVPPWTLSPARRSREVEDEADRDDGKAAVRGAEGCRPQIEEAVVDVRAPPGTTPTPTPTRKRTAAASPLGATPAPAPERKGMAAASLPRATPTPTPATERKGTTAASPCGTQSTTPVRKGLAVASPLGKPLPTPGRKRNFVAGD >ORGLA11G0068000.1 pep chromosome:AGI1.1:11:5687592:5690926:-1 gene:ORGLA11G0068000 transcript:ORGLA11G0068000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRGASAVAVHGGGGRVPKRARVTAEPSLLDVRAFPGQKEEGEKQPARGGGGGGGGGGKKSSSSSSSSSTFRAQVRGFLARCAVAAPASEAGELSPGMSSWHVGFTTAGGEAVAVMEVVEEEVARARRVYCEHCTVAGWSKHPVCSKRYHFIIRNENEIGTSKTCRRCGFMVAPQETRCPSCNHVGLSRDDPEDWDYVQLESPRHLLHGIVHENGFGHLVRINGREGGSSVMTGSQLINFWDRLCRYLRVRKVSVIDVSKKYGADYRSLHAVATGCSWYGLWGFKLSSGSFGITPQDYSKAVESLSSVPLSNFFPHTRSPRNQLQNTIAFYQSLSRHPLTTIRELFAYIMELATGKSVHKEKMAYDANYQEEFPEEELQRATNIALKILRAADRWVATRTLKAVTSHPIGSPQLVDYCIKTLGGTRTDDGMVVAIRCNSEMNTVEYRLTTETLFPANNVCTLTQDHLFRDIKFLYDALLYPYTMHPYMPEENYQHAKRSAMILLDCKQFIKHYDLEEDFLPQNPSQLHIWCQVELADQVDDPPCIPTELITLPQAATIADLKGEAARAFRDIYLMLQSFVADQLLDCATASDTTQIKLLFGAKGAVHIKGRCIGGERRFAIYRMERGVDKWTVKCSCGATDDDGERMLSCDTCHMWMHTRCAGISDFDRVPKRYVCKSCKLTHKPKSSGPRLMYSSAPNKRCKTGTGSFSNAAEGLLWPHIS >ORGLA11G0067900.1 pep chromosome:AGI1.1:11:5676609:5677012:1 gene:ORGLA11G0067900 transcript:ORGLA11G0067900.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKFLLAGPEVSVGRNEPVSPVASSSDALILPKAPVKKRDGKTILFDPVRRQGSRLRALSTDVAADPRMGIGKPRGKSAKKLKELADGSRDVRPIS >ORGLA11G0067800.1 pep chromosome:AGI1.1:11:5666339:5668315:1 gene:ORGLA11G0067800 transcript:ORGLA11G0067800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRRPAPLRLVVFLAIVLLRWSTNGNAAAGGDDALRGDALALVRLRASLRCHAHALRDWSAGNVAAVCAWTGVRCAGGRVVSVDVANMNVSTGAPVSAAVAGLDALANLSLAGNGIVGAVTASALPALRFVNVSGNQLGGGLDGWDFASLPSLEMFDAYDNNFSSPLPAGVVALRRLRYLDLGGNFFSGEIPAAYGGMAALEYLSLNGNNLQGAIPPELGNLTSLRELYLGYYNVFDGGIPPELGRLRNLTMLDISNCGLSGRIPPELGALAALDTLFLHTNQLSGAIPPELGNLTALTALDLSNNALTGEVPATLASLTSLRLLNLFLNRLHGPVPDFVAALPRLETVQLFMNNLTGRVPAGLGANAALRLVDISSNRLTGMVPEMLCASGELHTAILMNNFLFGPIPASLGSCSSLTRVRLGQNYLNGTIPAGLLYLPRLNLLELQNNLLSGDVPANPSPAMAAASQSSQLAQLNLSSNQLSGPLPSSIANLTALQTLLVSNNRLAGAVPPEVGELRRLVKLDLSGNALSGTIPAAIGRCGELTYLDLSKNNLSGAIPEAIAGIRVLNYLNLSRNQLEEAIPAAIGAMSSLTAADFSYNDLSGELPDAGQLGYLNATAFAGNPRLCGPLLGRPCGYGGGGAAAAGRAGRRRRRW >ORGLA11G0067700.1 pep chromosome:AGI1.1:11:5646335:5646810:-1 gene:ORGLA11G0067700 transcript:ORGLA11G0067700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSWRRGGGGGKMAAAGRWRRGGGGGKWHGSRASAAATSPLPDPVGGEAVAAAEPSPPPDPAAATISFAWGNDGGWLPIGDDVGAGIAWLDASA >ORGLA11G0067600.1 pep chromosome:AGI1.1:11:5641738:5641968:-1 gene:ORGLA11G0067600 transcript:ORGLA11G0067600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYTFLVRFCYISLERFFLISHSIFSLKFTVHFLVSYSVIYETYTVTFVSYTVIFESSHVNFKFCIGFGLFLEDMVI >ORGLA11G0067500.1 pep chromosome:AGI1.1:11:5640595:5641038:-1 gene:ORGLA11G0067500 transcript:ORGLA11G0067500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPMASKTRARRGRGSGWVGAGKLRPLTMSSPSPMLCMRCGFLLHPSSCCIACRVVVRAVLPFQCTCVRLCAVHGGLFAELLEDSVVASLPLLDLLHSDPPPCSPSSPCIAPPSGAAKGGAGGCQHPPYPNTTTTTPSNFYTILTLT >ORGLA11G0067400.1 pep chromosome:AGI1.1:11:5631647:5632714:1 gene:ORGLA11G0067400 transcript:ORGLA11G0067400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGYCGKVDAGWHMFKYIYKGHDRISFSVETTSTEVEVNEICQFRDDSHETREVVDEMSIQVDQCHVDLYDSLNPKQQYGFDEIMGHVDSGVGNVFFIDGPGGTGKTYLYRA >ORGLA11G0067300.1 pep chromosome:AGI1.1:11:5618161:5623704:-1 gene:ORGLA11G0067300 transcript:ORGLA11G0067300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCKILGEEEAVQTGVNLVDNGGPRGDGGLGVRVEEDILGSGGGGLRFRQDLWTSAEAAALGAAAGYTGVNILDLLCHEAFEIVDSSCQGQDNPEHHLLHAMRRHILVCHLPLIILLSSSLSSSCRSDHQIQIQALVQFKASLVDPLGNLQSWTTNATSSPCSYLGVQCDPVTGAVTEISLASMNLSGRISPAIGALAALTRLDLGDNTISGGVPPELSNCTQLQFLNLSCNGLTGELPDLSAKLAALDTLDVANNCFSGRFPAWVGNLPGLVILAVGENSYDRGETPPSIGNLKKLTHLYLSSCYLTGEIPESIFGLTALRTLDMSKNYLTGGIPAAIGNLCELWSIQLYSNNLTGELPPELGKLTGLRELDVSGNKLSGEIPASLAVLRNFEVIHLQWNNLSGPIPPAWGELRFLKRFAVYENHFSGEFPVNFGRFSPLYGIDISENSFSGPFPRYLCHGKNLHYLLTIGNSFSGELPEEYSACHHLVIFRIHSNRLTGSLPSWVWGQQSAEIIDVSDNGFTGRISPAISKAQRLKELWLHNNRLDGEIPREIGRLWRLKKLYLSNNSFSGVIPPEIGSLSKLTELTLGGNMLTGWL >ORGLA11G0067200.1 pep chromosome:AGI1.1:11:5592447:5595492:-1 gene:ORGLA11G0067200 transcript:ORGLA11G0067200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHILVICLPLITLLSLSISSSCQSDHQIQTQALLQFKAGLTDPLNNLQTWANTTSPCRFLGVRCDRRTGAITGVSLSSMNLSGRISPAIAALTTLTRLELDSNSLSGSVPAELSSCTRLRFLNLSCNGLAGELPDLSALAELDTIDVANNDLSGSFPAWVGNLSGLVTLSVGMNSYDPGETPASIGNLKNLTYLYLASSNLRGVIPESIFELAALETLDMSMNNLAGVIPAAIGNLRQLWKIELYGNNLTGELPPELGRLTGLREIDVSRNQLSGGIPPELAALEGFEVIQLYRNNLSGQIPAAWGELRSLKSFSAYENRFSGEFPANFGRFSPLNSVDISENAFSGPFPRHLCDGKNLQYLLALQNGFSGELPDEYSSCDSLQRFRINKNKLTGSLPAGLWGLPAVTIIDVSDNGFTGSISPAIGDAQSLNQLWLQNNHLDGEIPPEIGRLGQLQKLYLSNNSFSGEIPPEIGSLSQLTALHLEENALTGRLPGEIGGCARLVEIDVSRNALTGPIPATLSALSSLNSLNLSHNAITGAIPTQLVVLKLSSVDFSSNRLTGNVPPGLLVIDGDVAFAGNPGLCVGGRSELGVCKVEDGHRDGLARRSLVLVPVLVSATLLLVVGILFVSYRSFKLEELKKRDMEQGGGCGAEWKLESFHPPELDADEICAVGEENLIGSGGTGRVYRLALKGGGGTVVAVKRLWKGDAARVMAAEMAILGKIRHRNILKLHACLSRGELNFIVYEYMPRGNLYQALRREAKSGGGAELDWPRRCKIALGAAKGLMYLHHDCTPAIIHRDIKSTNILLDDDYEAKIADFGIAKIAAEDSAEFSCFAGTHGYLAPELAYSMKVTEKTDVYSFGVVLLELITGRSPIDPAFGEGKDIVFWLSTKLAAESIDDVLDPRVAAPAPSSSSAAAARDREDMIKVLKVAVLCTAKLPAGRPTMRDVVKMLTDAGAGPCSPRGQPPAARACARSKSCC >ORGLA11G0067100.1 pep chromosome:AGI1.1:11:5588582:5588947:-1 gene:ORGLA11G0067100 transcript:ORGLA11G0067100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDDVDDVDFSPHQATPKESMNSKNDAKVHPSSGQTPNKGNYYMHHANAIMMLFQYIRDGCRRRVESSMEDYKCKKVEEGHGEKRKVGEGYDPRWSSLIEITMKICNDDVFDEATEKEP >ORGLA11G0067000.1 pep chromosome:AGI1.1:11:5584023:5585593:1 gene:ORGLA11G0067000 transcript:ORGLA11G0067000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPAAPPCPGLTELALRVARRIQAGGAPDGNLVFSPLSVYAALALVAAGAGGDTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRGFPGRARRPRRPPRGAGARRPVPRRRAARVVRVRRVARQDQDALAVVPRRRRPVLHGGDARRRLPRKARGGGEPDQRVGEEGDEQAHRHGHRRWIARRHRGRRRQRRLLQGQMEGSLHEGVDQDRQVPPPRRRRRRRELHAEGHLLRHGRLNHLPRRVQGAPAAVRRRTAPLPGVAAAAAVDAALLAVRLPPRRARRALGPPRRDRVNPGLPPGEAADEARVRRRAQAAQVQAHLLRRHRRRPPRPGPGRHLQRRGGRLLQDGGGRRRQAAAVDEEPRPQGRDRGERGRHRGGRLRPQHGVRHEYDAGATAGAGGLRRRPSFRLLRHRGDDGRRRLRRPRP >ORGLA11G0066900.1 pep chromosome:AGI1.1:11:5567099:5568911:1 gene:ORGLA11G0066900 transcript:ORGLA11G0066900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRAKMRKMSAASSGRVKIGDLPEDLLRRVVSLLSARQAVQTSALSRRWRHLWRSAPLLRIVPDEGFQTVRGLNEFVKHLLLLRDGAAPLDACVINFYCCEFDSYRYPSSDEPDVGLWLRHAVSRGAQLIRVEVYVEDEPVCLPDLPLVSNHLRVLDLRLVEIKDSLVDFSGCPSLEHLKFQGGFINARRISSPSVKHLIIDGSGFNRKFRTRISTPGLISLELEFWGSTPLLEGMPLLVTASVNLDHECRDRCANTEFWDCGDPECDDCDVMVSDGDGCVLLQGLSGATTLELTTESRVFMFRRDLMWCPIFSKLKTLLVNEWFMTSNMSGLACLLEHSPIVEKLTLQLSKEPRNFVEIEDSDKPCKQAFLFKNLNIVEIKCQEGDERVKKILKILSQNGIPLAKINVLQTKRRPRRKLSTVVHIILCLDI >ORGLA11G0066800.1 pep chromosome:AGI1.1:11:5561720:5563450:-1 gene:ORGLA11G0066800 transcript:ORGLA11G0066800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPIGVWNICFATSGDQQWRVATTWDRINHRSSTLPFHGKLYVLLRPHSVRGEHEVIQIDPPQNSISEPSPKLIAKFKWPTSDESFRLYSYRLVECNSEILVIGTKWDAVYYSVYRLADLMLGRTVHVTSIDGNALFIGMRSLCVSSKAFPTIVPDTIVMPDTKIYLSQYHLSNGTLSQATDGVIAEEKDIPGPYSIMCHIITCCSPPYWNKGKISCKELPTWRVKKKFRFGVA >ORGLA11G0066700.1 pep chromosome:AGI1.1:11:5545842:5547937:1 gene:ORGLA11G0066700 transcript:ORGLA11G0066700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLRARVHRGECACVIFYSDARRKSLVAANTSAFAARSSRCLHSMGSLGSPAGRRSARRFHDLRDVVSEHADAATATLSVVVFSAVAGILHLMQDTDEARKEDTPTKTNPYFRSNQLMEDLIRERASYNETTPDEKTVVREYMEDDEAMRARFKDWMKEHGRTYKQDEVEEARRFKIFKSNAKFTDAANADSAIAGHSTRFGLNEFSDWNEKELARMCCCMPAGSGIRYS >ORGLA11G0066600.1 pep chromosome:AGI1.1:11:5541899:5543693:1 gene:ORGLA11G0066600 transcript:ORGLA11G0066600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPAAGAPRRRWCAIQGLVVLFLVYVLAVLVLAGGELFHDDQLQPRFPSSPGIGSSSSSSSARILLSPRSMIRRLGEIARRGGSRRWWTGGVRPESGSPRSEGGNSSATDQACSRRCAASGLAGMALRLAERLSLEEDSVGGGNLVFSPLSIYSALTVVTAGARGTTLAELLAALGAPSSRDALAEDAGEIVRALPGGSGTATGGPRVAHACGLWHDRRRNVKPAFRDAAAASFQATTRAVDFLANPEEARNEINSWVAAATENLIDTILPPGSVSTDTRLVVASAIYFNATWQTPFRKQDTKKDKFHILGGGGDVDADFMRSGDDQYVAAYDGFKVLKMPYNTRASRTHTQPQYSLCVFLPDERNGLWTLADRMEAGGGEVFLREHMPEKRVKVGEFRIPRFKLSFDGSIKTALQGVGVRAVFDPAAADLSDVLEEGNSGDPPLFVSDVLHGAAIEVNEEGTEVAAATVVIMKGRARRPSPAPAPVDFVADHPFAFFVVEESSGAVLFAGHVVDPTNPSQL >ORGLA11G0066500.1 pep chromosome:AGI1.1:11:5530577:5532303:1 gene:ORGLA11G0066500 transcript:ORGLA11G0066500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPPPRRRCCAIQGFVVLFLVYVLAVLVLAGGELFRDDHPLDLRLPSSPGIGSSSARVLLSPRSLLLRLGEIARRRGRWWRPESDSPTTDGRKEGNSSTTEACSRRCAASGLTEMSRRLAEQLSAEDGGAGNLVFSPLSIYSALTVVTAGARGTTLTELLAALGAPSRDALAKNAAEIARALPGGTATGGPRVAHACGLWHERTRKLKAAFRDAAAASFNAAVRAVDFLANPEEARKEINSWVAAATENLIDTILPPGSVGTATRLVVTSAIYFNGTWQTPFRKQDTKKDKFHLLDGHGTVDADFMRTGEDQYIAAHDGFKVLKMPYAYDHATPQPSPRYYSMYILLPDERDGLSSLEDRMAAAGGGGGGEGFLREHMPVRRVEVGEFRIPRFKLSFSRSVVRALRGVGVNAMFDRAELPDMIEGEPLRVSDVLHKAVIEVNEEGTEAAAATAVLMEGAARYAPPPPPRENFVADHPFAFFVVEESSGAVLFAGHVVDPTKS >ORGLA11G0066400.1 pep chromosome:AGI1.1:11:5526734:5527222:1 gene:ORGLA11G0066400 transcript:ORGLA11G0066400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWARSFAGSGMAALSMRLTKQLSTGGDHLAAAGVQQNGGASKAGPSNLVFSPLSIYSALSVVAAGARGRTQSELLKALGAGSREELAESVAKMMARALPDGTPQRGGPRVAHACAVWHERARTVKPAFRDAAAASFKAVTRAVDFLRNVSNTYRGRDLSI >ORGLA11G0066300.1 pep chromosome:AGI1.1:11:5482922:5485654:1 gene:ORGLA11G0066300 transcript:ORGLA11G0066300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMLLAISKISVALGDEATRAVIAKLSGKVTNLRELPNKVEYIRRELRVMKDVIQDLDSTNTNMNVVKGWIDELRKLAYRVEDIMDKYSYYACQLQQEGSVMRFVRGAHYASVFSEVASEVMKIKGDIEQVKRQQMEWLPTVQLIPRTPTDIETPRSQGRRKLLECGDPVGIEYNRKRLFELLYSEEPGHKVITVSGMGGLGKTTLALDVYEREKIKFPVHAWITVSQTYTVVSLLRQLVSPLILMEQESSESKEDLINKMGVHELTEELKRKTENCTTCLIVLDDVWDQNVYFEIKGMLKNLQESRIIITTRMEHVAVLAPSECHLKIQALGEIDAFNLFCRRAFYNRKDHRCPPDLENVVASIITKCKGLPLAIVTMGGLMSSKLPTEHVWQQMYNQLRSELAKNDDVKAILKLSYHSLPADQKNCFLYCSLFPEDFRISRESLVRYWVAEGFAVRVEHNGPEDVAEVNLMELIHRNMLEVDEYDELGRVSSCKMHDIVRNLALSIARQERFGYANDFGAVEKVDWEVRRLSLFLNNGKGCGSTVKFPHLRALLETISHPPGMLSSILSESKYLTVLELQDSDITEVPACIGKLFNLRYIGLRRTRLRSLPESIEKLTNLQTLDIKLSKIEKLPRGITKIKKLRHLLADRYVDETQSGFRYITAIKAPKDLSNLEELETLGTMEASKHLAEQLKKLMKLRSVWIDNISSADCGTIFPTLSNMPLLSSLLLSATDENEPLCFEALKPRSTELHRLIIRGQWTKGTLDYPIFHSHGMHLKYLAVSWCHLGEDPLRILSSRLDNLTYLRLNNMHSAKRLVLDATAFPCLKTLVLKHMPDVNQLKIMNGALPVIEDLYIVALSGLESVPPGIETLQTLKKLWLLDLHRDFKAHWIDSEMHQKMQHIPELRV >ORGLA11G0066200.1 pep chromosome:AGI1.1:11:5471667:5471918:1 gene:ORGLA11G0066200 transcript:ORGLA11G0066200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLKTLVLKSMPDVNELKIMNGALPAIEGLYIVSLPGLERVPPGIETLQTLKKLWLLNLHKNFEADWIGREMNQKMRHVPQLRF >ORGLA11G0066100.1 pep chromosome:AGI1.1:11:5463278:5463987:-1 gene:ORGLA11G0066100 transcript:ORGLA11G0066100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNPPGGYFVGRPLNHEEQQASRPAEEQNAQTPGYYNVNPVRPNDAKGEQRNEPGFFKKLFGCFTGGQNVN >ORGLA11G0066000.1 pep chromosome:AGI1.1:11:5460628:5461336:-1 gene:ORGLA11G0066000 transcript:ORGLA11G0066000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVPGYFVGRPMNHAEPAKEQQQGADEQRPATNAQIPGDYFVGRPANPQQQQQPPPRPAQERPSFLAKCCPCLAGGGAES >ORGLA11G0065900.1 pep chromosome:AGI1.1:11:5451830:5454253:-1 gene:ORGLA11G0065900 transcript:ORGLA11G0065900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEWFLKKVFIKGSHYVIVFSQIADEVVKIEKEIEQVIQIKDQWLPLCQLVPDPLTEMERQRSQDSFPELVKDEDLVGIEDNRRLLTEWLYSNDMGNKVITVSGMGGLGKTTLVTNIYEREKINFSAHAWMVVSQTYTVEVLLRKLLRKVGYTGNVDEKDAYDLKEEIKRTLKDRKCLIVLDDVWDQEAYFKIRDAIEGNQASRVIITTRKNHVAALASSTCRLDLQPLGDTQAFYLFCRRAFYSNKDHECPDELVKIATSIVERCQGLPLAIVSIGSLLSSRPRTHYVWNQTYKQLRSELSKNNHVRAILNMSYHDLSGELRNCFLYCSLFPEDYPISCESLVRLWIAEGFVQSKESNTPEVVAEENLMELIYRNMLQVKENDELGRVSTCTMHDIVRDLALSVAKEEKFGSANDLGTMIHIDKDVRRLSSYEWKHSAGTAPKLPRLRTLVSLAAISSSPDMLSSIFESSYLTVLELQDSEITQVPPSIGNLFNLRYIGLRRTKVKSLPDSIEKLLNLHTLDMKQTKIEKLPRGITKIKKLRHLFADRCVDEKQSEFRYFVGMQAPKDLSNLKELQTLETVEASKDLAEQLKKLIQLKSVWIENINSADCDNIFATLSNMPLLSSLLLSARNENEPLSFEALKPSSTELHRLIVRGQWAKSTLDYPIFRSHSTHLKYLSLSWCHLGEDPLGMLASNLSDLTYLKLNNMQSAATLVLRAKAFPKLKTLALRQMPDVKQIKIMDGALPCIEGLYIVLLPKLDKVPQGIESLNSLKKLSLLNLHKDFKIQWNGNEMHKKMLHVAEIRV >ORGLA11G0065800.1 pep chromosome:AGI1.1:11:5447825:5448118:1 gene:ORGLA11G0065800 transcript:ORGLA11G0065800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSCFLISSTFSGSSFKLVTFSDTLAMTALAASSANTLPTFLTARSTASAICSERTHTIMLQKRTTSGLRFLVSNLTYISKEKCVLSLNRTPMVSA >ORGLA11G0065700.1 pep chromosome:AGI1.1:11:5435043:5438970:1 gene:ORGLA11G0065700 transcript:ORGLA11G0065700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAILSGLLKILASRMLSLVDQKYNLYKGFKGDAEFLLKELRMIAGAIDEQLLRTVSRGSVLLLSIEELRDLARDIEDCVDRIMYQKTRDQQASLFSINSVTGTSKLQLAKEMKKLRKRADEAKERRERYTVVAGHQSSPVSSDEQRCSGASDGRNLQADLVGIDLPREELLEHLKEAEPKKLKVISIVGFCGLGKTALARELYNNSGLGRSFSKQAWVSAAHGDPSKVLREIIGQLVSNPPSDASVVDLDQLIVNLTDQLTNLRYFIVIDDMRKDLWSTIESAFPKDGFSSRIVVTTTVQSVAKACSSANGYLYKIRRLDKIHSKKLFLKNACPVEYQDYIQPDSVRILKKCDGQALALLTVGQFLRKMGWPREPKCEDACNQLCNHLEDDDTLERMRQVLIHEYSTLSCHALKACLLYFGMFPSGHSIRRKRLLRRWSAEGFVEALPSGSFPDPAVENFNKLMDRNIIQPIDLSSNEEVKTCQTYGMMREFILLKSISQDFIAVFGDKKLQYQHVRRLCLQNNSAVDSSNLDIDLTLVRSLVVFGKAGKAILDFKKYQLLRVLDLEECTDLDDDHLSQVCNLFLLRYLSLGGKVTKLPEEITKLKLLETLDLRRRREVTINLSTEVIKLPYLINLLGKFKLLNKAKRLNELQKFLSENCRLQTLAGFSTDGSEGFPELMGHMKQLRKVKVWCTELSASSSGFTNLQNAIQKFIHDEQNGSNDPRSLSLNFDNCPEDFLYEIKAPCYLRSLKLHAKLLESPKFVVLLRGLQELCISSSATKLTTGLLSALSNLRKLKYLKLIADQLEEFIIKDNALPSLLSLCFVLNRPTFPVIKGNALRFLKSLQLLCKNLVGLSEININCLKRLEEVVLHPCVNKATKVTWERAAKEHPNRPKVLVLEKVDLADGGHEEDSDSTPTEIVTNKESTVAGNGADIDKQNYPTSNMSRAMVSPALTEPCSAGNGVEPSCA >ORGLA11G0065600.1 pep chromosome:AGI1.1:11:5431504:5431816:-1 gene:ORGLA11G0065600 transcript:ORGLA11G0065600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VALGRAMTRAGGGIGRWGLSDGPITVGEERRTACGASGERPWRASDLASGDGEWVGGGEVARRGETNTAHARA >ORGLA11G0065500.1 pep chromosome:AGI1.1:11:5421004:5429225:-1 gene:ORGLA11G0065500 transcript:ORGLA11G0065500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVPTSASMGAMGSLLRKLHVLLTPEYQQLIEPVKDGIELLLQDLEAVKAAMVDLSEMETPTMMARHWMNEVRDLSYDIEDYIDNTMDSCSNSGADLESFDCGRIGEFGASIKEARQRHEIYELGRCTSRFTCPQIPAPNWKGINLVGMGKSKVELTNKLTDGSLPQLKVVSILGCAGVGKTALAKQVFCELRGRFECRAFVQVSRMPDTKRLLRSMLSQFRRHNRLPADRCTVQDLIDNIRDHIQDKRYFIVIDDLWETTSWDIINSAFPKGQDCSRILMTTTIEDVALECCDYQSDDICKMKPLSRDDSLNLFLNSAFGSEQNCSERLREVSTEIVRNCGGLPLGIINIARLLASEVDNLELWHHVQKHLSSSMATNHTSEDKLRNIVRLCYRCLPCRLKTCLQYLTMYPEGCIIWKADLVKQWTAEDFTRETEREDANAVADSYFDELVSRGMMQPNNRTYSDMVLSCTVHHMVFDVVKDMSVEENFTTALDYSQPITRLNFKVRRLSLHVSNTKYATKPVHISLSQARSLNFYGLAECLPSTLQFKQLRVLILEFWGDQEEFDLRGIFRLLQLRYVQVTTDMIVKLPATVQSLQYLETLQLNARVANVPSDIVHLPKLLYLRLRDVANLPDNIGCMASLCTLESLDLSNNSEQNVWGLGEMTNLQELYLNCSTELSGRLKRNLTALASSLGKLRKLRTLILAPSSGTSMYMDCSSIVSSPPLCLQRLELLPTICIFSRLPKWIGQLKRLRILKIVVRELLCSDVHSLTMLQELTVLSLDVQQSTEETIVFNDKAFPVLKYFKFRCGVLRLAFQAEAMPNLQKLKLEFNVHRGEQYGDILTGIEHLLNLQEIIGQIGAAAGAEESDKRAAEFALSDATKKHSRISRFNIRWINCVEEDVRVSKISDLQGISSSSDEEKQKANEPSGTDITIEDESPFSHEPNYDVPRAYKAEIESEEVPHAELADASRSSDSIDNRRRQRRSIEPSSFSRDRLSQPRKHSDPTDVASAVPKFGEWDSPLRPADNYTSIFNRAREEKRSQVEKPSVFDKDDLPVRRSRNDGHASTKCSCFGWCK >ORGLA11G0065400.1 pep chromosome:AGI1.1:11:5382259:5384988:-1 gene:ORGLA11G0065400 transcript:ORGLA11G0065400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLLALTKIGDALANEIAKELIAKLSEKVNNLKDLDEKIEQMRKQLTTMNNVILQIGTTYLTDEVVKGWIGEVRKVAYRVEDVMDKYSYYSVQMAEEWFLKKYFIKASHYVIVFTEIANEVVKIEKEIKQVIELKDQWLHPSQLVSDPLTEMERQRSRDSFPELVKDEDLVGIEDNRRLLTEWLYTDELDSKVITVSGMGGLGKTTLVTNVYEREKINFSAHAWMVVSQTYTVDALLRKLLWKVGYTEPPLSSNIDKMDVYDLKEEIKRMLKVRKCLIVLDDVWDQEAYFQIRDAFQNDQGSRVIITTRKNHVAALASSTCHLDLQPLSEIHGFDLFCRRAFYNIKDHECPTELVKVAKSIVERCQGLPLAIVSIGCLLSSRSRSHYVWNQAYNQLRSELSKNNHVQAILNMSYHDLSGDLRNCFLYCSLFPEDYPLSRESLVHLWIAEGFVLRKENNTPEAVAEGNLMELIYRNMLQVTEYDELGRVNTCGMHDIMRDLALSAAKEEKFGSANDFGTMVEIDKDVRRLSTYRWKDSTAPNLKLLRLRTIVSLGAFSSSIDMLSSVLSHSSYLTVLELQDSEITQVPSSIGNLFNLRYIGLRRTKVKSLPDSVEKLSNLYTLDIKQTKIEKLPRGITKIKKLRHLLADRYADEKQSEFRYFLGMQAPKDLSNLNELQTLETVEASKGLAEQLKKLMQLRSLWIDNISSTDCASIFAALSNMPLLSSLLLSAKDENEPLCFEALKPRSTELHRFIIRGQCAKGTLDYPIFRSHSKYLKYLALSWCHLGEDPLGMLASHLSNLTYLKLNNMHSAETLVLDAEAFPYLKTLVLQKMPDVNQIKIMDGALPFIEGLYIVSLPNLNKVPQGIESLSSLKKLWLTSLHKDFKIQWNGNGMHQKMMHVAEVRI >ORGLA11G0065300.1 pep chromosome:AGI1.1:11:5375464:5378992:1 gene:ORGLA11G0065300 transcript:ORGLA11G0065300.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKRSQRMERVHYGTMTLHWVGFSDFGQSEMEGESSTQNKAGNKSHYPITIPKNPTLDSLKVITDNFSSKREIGRGAFGVVYKGVLENGEVIAVKKLERTSGIHARRFQNEANNLLELEHKNIVKLIGSCCQAERQVVEHDGKYVFTDVVEKLLCYEYLPNGSLDNYIYDELNGIDWPTRFKIILGICNGLHFLHKERNEAIIHMNLKPSNILLGDNMVPKIADFGLSRLFGQEQTRLITQNVVGWIGYIAPEYYYRGEISEKSDIFSLGVLILEIVTGLKNDPSSQEVSSSILIDNVQRNWLKSSQITSKYPSLEEDDLLQAKRCIEIGLNCVETDPKKRPTIGEIIVKLTDKGTEVKQGVLPNGDLVAVKKLLDSVTAVNQDKQFQSEAGILIDLNHKNIVKLIGYCYEIRKEVVENNRKFFFVETPKKLLCYEYLPTGSLDKYIYGESNELKWDMRFKIIEGICQGLKFLHELKRPIIHLDLKPGNVLLDDNMMPKIADFGLSRLLGEEQTRTRTLTVVGSIGYIAPEYRYSGEISTKSDIFSLGVLIIEIVTGLKVDTSSQDVTSKGFIENVRNNWAKMPQIASNYPLLEANCLQQVKRCIDIALACVDKNPKGRPSIGEIVDRLNWRKG >ORGLA11G0065200.1 pep chromosome:AGI1.1:11:5368419:5371569:1 gene:ORGLA11G0065200 transcript:ORGLA11G0065200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLHRLKLGFNAHKGEKYDNILVGIEHLLNLKKIAVRIGGAAEAKESDRMAAEAALKEAIRKHLMFLDDLDIARVECVKEEYKCIKKKHKIKIEDSISEKNGDSKKQHSVEKKAVWGKTMKNIADSGVFPEDYTMSREQRIAEGFVVGIEKCRAEDAAERIIRNVPVGYGELGRVSISKIQDHLPELAPRAVQNEKFGSSNDLSIMIQIDKYARLPSYEWRDTDISKLNFRLLRASILLEAVTARCHLLDLILIGSNNITVLDLGRSTITKLPASIECLPNLQYLRLRGTPLKSLSEVIVKMPTTRVLDIKNTKTEELPQGILRMKKLSYLRNIQVFMGKMQTLAETVQDSDDLSDETEGIADDDKGEFSTRANASTPKVDEDEVDRRANNFIARFRKQIRIRNSGFAKKERSIDDDCGYEISMSANSPRKKSMSEVDDNEN >ORGLA11G0065100.1 pep chromosome:AGI1.1:11:5359019:5360096:-1 gene:ORGLA11G0065100 transcript:ORGLA11G0065100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSVPSWVELLLSTQFFTTCSSHLISARNECNFFCIDCQTPQASFCYYCRLSHHSSHHVIQIRRSSYHDVVKVSELEDILDISDVQTYVINSSRVVYLTERPQLRSCGVSKCKVIIITNL >ORGLA11G0065000.1 pep chromosome:AGI1.1:11:5352688:5354722:1 gene:ORGLA11G0065000 transcript:ORGLA11G0065000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATAATLLLAVSGLASGLVVPADERCHSTDNAVLGIDIGATYSCVAVYCKGRVEIIPNDQGNRLTPSWVAEGGGRLVVGEAAKEQAAGSPGRAVVHDFMRLLGKKFGDDDVQREMTRLPYAVVDMEGKPHVRVEAADGDVRVLSPEEIAAAVLAKMKETAEAHLGRTVSSAVVAVPVYFNDAQRRAISDAGGIAGLDVMRIVSEPIAAAVAYGLDNAKSDGKRVVVFDLGGETLDVTALVADNGFFDVLATNGDGAQHQVRVEIEALLDGGVDLSETLTRAQFEELNDDLFARTMAPLRKTMADAGLEKGDIDEIIPVGGSTRIPKVQQLIRDYFDGKKEIVKVNNPDETVAYGAAVIGRHVAGDDDDKPTMLGPLDLPSFLSDTISIETAGGAVTPMIPRRSRLPAERTHVFTTYLGRQTAVAINVFQGEGSTAKDNTLLGRLELTGIPPASVWNWGWRWRPIQVTVKVDELGDIHVEAADEGSGKSERLSIVSGEGHEHGRLSKEEIDRMIREVAEDLVEEERIVKERVDALNMLETYIVKNTAVTGGETDCEAKARAASEWLDGNPAAEKEDYEEKLKELEDACGPFMAAVHETSGLG >ORGLA11G0064900.1 pep chromosome:AGI1.1:11:5337419:5341754:1 gene:ORGLA11G0064900 transcript:ORGLA11G0064900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYPLDIIDLEWITTADRDSVEYFRGVTALGAAATNTNLVDASEYIWCPCHDCKKDRQLPAQRVHSHLFTRGFMNNLRSEEEQGEGSAGNCRGHDADVGCEEEEDQTCVDQVLHDGERFDTDDREYHKFTTRVKDSKTPVYNGCKAEHSKLQVVLSLLHLKTRYLEDEKPLKSYAHPMLENLDKEGCGLGADEVTARIEGVGGAGQQCPSCAVDKYKELSMLGMGRSRGGLNLMDFLAVWEAFGIWQAPESVVNSLPCKSYKKQTAQCSDDM >ORGLA11G0064800.1 pep chromosome:AGI1.1:11:5245067:5245456:1 gene:ORGLA11G0064800 transcript:ORGLA11G0064800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSPPGQRQHHKDETLKAMPPRRSRHQGCRHRPSKEMWFSPRENSPREKGILASALKGVTTPKSVGAASPMNHQARLSPGNLSTLLEVRRPSTQEPPHKQISTRPPPHRAGAPPPADHHTCYIELPPP >ORGLA11G0064700.1 pep chromosome:AGI1.1:11:5243546:5243956:1 gene:ORGLA11G0064700 transcript:ORGLA11G0064700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKATTLLLLLAAAAAAALLCHVHVAVAAADPEPCDPSDITIATVKTGRVVGGLPEFQVTIGNECSCPEGDVVLSCLDGVPAGVDRSKIHTAGSDGLCLVNDGLQIVKGSPVVFTYAASAPISLAFDNATPRCQR >ORGLA11G0064600.1 pep chromosome:AGI1.1:11:5233007:5234578:1 gene:ORGLA11G0064600 transcript:ORGLA11G0064600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVFSGYALFFVLFLTGSTIGGCFPTVEELAVHGWIPPDDGSTEYYGLEATMDVYGFNLEHGQQTGGFIWIYNSDETPAANVIHAGWNVDPESYNDSQTHFTTSCYVEESKKGCLDMRCPGFQRTGGSHPFVPGQVINPVSSTSRRKQYINVRVSKDQNSGDWEIYFGFDGKAKIIGYYPRSLFTSLSNKPVNIVFGGFAFWKEHKPSPPMGSGIAPPKNAASFSNLKFFDAAGNAHPIDHDLAHVSYCYPVTDVRDGMFSYGGPGNVC >ORGLA11G0064500.1 pep chromosome:AGI1.1:11:5206920:5209358:-1 gene:ORGLA11G0064500 transcript:ORGLA11G0064500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRHGHGGARLLVFLLPVLLHLRTAASNTLSTFAMAKAESTTIVCALLPSAASPLLVDLNCTEAGGDHERQETYPSSHPFSALAGGDHFLCAVGPSALRAGDVAMRWWDLSKNRTNTPETNGTKDGGGRSKRVYLGPPIQALSSGGYRVCGVLSSGELHCWRWRGLKIPDGLRFVSVAVGDWFVCAIQAARPASIRCFGNDTEAVSSAPEGGSFDVVAAHGRRACALSTSGALSCWGHGAPLVGGGEDEATTGYAALALGADGVCGLRTNGTIRCFGDGVAPPPDSLAGFQYVDVQAHGSVFCGVLMANYSLVCWGGHEFNATNRLVFDRVLPGPCVTMSSCRCGVLPGSANLCASGRCICVDCAFELNVATPNASSLGPGPGKSSSKRSRIIWVAVAAGAFLVLLVALQFALLMWCRRRRRRGRGGQADQAAAMSLMLPRHGSSKGPGSVVEHFALEALQAATDGFSDERRIGSGSFGSVYRGTLTDGREVAIKRAEDQAKSSSSAARPARRRDRETAFNSELTALARANHKNIVCLLGCCADAGERVLVYEYMANGTLHDQLHGRSPMAPPVSAWRGRLTIALDAARGIEYMHVYAVPNIIHRDIKSANILLDDSWTAKIADFGLSSILDPATAAAAAGGGGGGGEGSSSRPLYTGGTVGYMDPEYYRMQHLTDKSDVYSFGVVLLELMSGCRVVQRYAESVTPKNVVEFAVPHILADEVPRVLDPRLPPPTPHEADALAYVGYLAADCVGPVGCDRPSMTEVVDALERALAACAAASLSRSGTVTGAGRRALSRSGTDQFDLTDTD >ORGLA11G0064400.1 pep chromosome:AGI1.1:11:5201082:5201796:1 gene:ORGLA11G0064400 transcript:ORGLA11G0064400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWTVDEWDTLASRGGHLISFLYCHILIADWLWRCSSPSGWRRHHQLGLGSWGLEASGLRQHTEGGSAEAEATAMARAVDAWAMSARWRLEAVLVDRRMAGGGDAGAVETXGGGRAVAALARCWRRRWHGAGGGAGAVEAGGGGQTGGGVERDGEKCGAALW >ORGLA11G0064300.1 pep chromosome:AGI1.1:11:5195814:5196404:-1 gene:ORGLA11G0064300 transcript:ORGLA11G0064300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGSAPRHSSHALLLLSAAGSASVLPSTATTSLPPSTPLPTPPTVGREEVPDPLLAASSPVLLPPRAAAAPFVVVARRPPTLSRRRIRPPPSSRRLDPAPSSRQPMAERRRPRSAAAPEALPIGRCGGSGGDGSRRWWGGDRSWQRWRRERGETQEGREVERPRRDKGSGLCVVDFIHPKFFLILPTSSENVADT >ORGLA11G0064200.1 pep chromosome:AGI1.1:11:5182993:5183835:-1 gene:ORGLA11G0064200 transcript:ORGLA11G0064200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIELGPVGVHGQRGVTMLPSSLVRTSGLAWRGQMMGFWVRSSRAGGVPAQILASRVRAIDVSLTLESLMVSGDLYFVVGKDGEKVPVAWLVGRTGLLYFSAHWCSPCRKFLPKLIEEYIKMREETSSDVEVVFVSNTDGQEKRNCHLTWQGGPRPRGPIEGLWIEMG >ORGLA11G0064100.1 pep chromosome:AGI1.1:11:5180395:5181757:1 gene:ORGLA11G0064100 transcript:ORGLA11G0064100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1QYP9] MKDRNASAEPVVKPGLSPSRFVKVFMHGEPFGRKINLALHNNYDSLSFTLKKLGNNYSMSPFELEGLVNKEEDGAIDSDFDLLYDDMDGVRYFLGDVPWEVFTTTVKKIYIVPAEQQNENDYQAEEEDNAAAAATADEDGDGAAADDGVAAAADDVDDVAGYTSNDDPSFD >ORGLA11G0064000.1 pep chromosome:AGI1.1:11:5159835:5165635:1 gene:ORGLA11G0064000 transcript:ORGLA11G0064000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1QYP8] MMNLISFETPPLGRSQDGGGSSITAATTTINKAKEAASHLDLSLGISLSHGGDAGTKASSCCYGGGGGGGGDGGGCMGSGMLTAGVLGVGHGGSSHDNTTASGGGGGSWTAAFMPSPTGFMHPWSLAARQQKAAAEQERSGVARLPPATTYMPRAAATVISLPAAVGWPPVHTSRRNLVATINNVLKPDTTAAVKPDRPTQATAMFAADETTAAAAAATEASRTLNMFAKVHMDGYKVGRKINLRAHRNYDSLCRVLTKMTHNFFCPADYSSTNKGEEDCAKSDEFIFLYEDFEGDRMLVGDVPWELFLASAKRLYIAKNPAPRNKEHAEIAKRKETEDAIDN >ORGLA11G0063900.1 pep chromosome:AGI1.1:11:5155938:5156324:-1 gene:ORGLA11G0063900 transcript:ORGLA11G0063900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIVTSIPSTIGPHKRTPTRNRRLRRRTMTRPMMRRAAEEVVEAEVTKVGAEAKAEERASVAMVMAATAASTSDTVEEEGMAGARAGAKGGPCAVEVSERARCAVVPKSATSTQPRHMRAALMQPSC >ORGLA11G0063800.1 pep chromosome:AGI1.1:11:5145344:5147684:1 gene:ORGLA11G0063800 transcript:ORGLA11G0063800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPYCVHLVSWEKENVSSEALEAARIACNKYMTKNAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKESNAKHAEEALRRAKFKFPGRQKIIHSRKWGFTKFTREEYVKLKAEGRIMSDGVNAKLLGSHGRLAKRAPGKAFLAETIQASA >ORGLA11G0063700.1 pep chromosome:AGI1.1:11:5134599:5135855:1 gene:ORGLA11G0063700 transcript:ORGLA11G0063700.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFRSTTEYRRGVNNFIEFAFTHSEKGNKILCPCKKCVNCSWLEANIVIEHLICDGFKHGYRLWIFHGEASSSAHCTTHEQVQVEQRAVDRDEIPEMLRDMAYGLDQMGEGGAADGSSGDANNDVDDFYRLVDDASQELYPGCKTFSKLNFLVRLLHTKFLGGWSDKSFDMLLDLLREAFPEGAALPKNFYEAKKTVKSLGLGYINIHACENDCILFWKQYENYTSCPKCNTSRWKMERKSLDGKRFHKVPRKVLRYFPIKERLQRLYINPEMAKNMRWHDEGRTKDGLLRHPADSPAWKHLDTVHPTFGNESRNIRLALATDGFNPFRSLNSTHSTWPVIAIPYNVPPWMCMKQPNFILSLLIPGPTAPGNDMDVYTEPLIDDLESLWREGIRTYDASKGEFFQLRAAVLTTISDYPGL >ORGLA11G0063600.1 pep chromosome:AGI1.1:11:5129005:5130960:1 gene:ORGLA11G0063600 transcript:ORGLA11G0063600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAGGPLPGERRLSPADPEEPSPPASSSDGTGSGGGGGDNSVEEGDGEGPGSGSGTPTAKRQLFDADGSASRPTRRRRIASDDEITQAFTGETTSENTSSTNESNPFIAVLDSMWSKKRELDAIKEAGKDERHEKLLSLQKERLALERQKLEYERNLLEFKRNQRDERIMNMDLSDMTGQLREYYLRLQGEIMARRSG >ORGLA11G0063500.1 pep chromosome:AGI1.1:11:5127276:5128106:-1 gene:ORGLA11G0063500 transcript:ORGLA11G0063500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALIVLLMNKNLYRPGIRSYALIICMVAGMFGVMGAYAAGSSMYLRTFIIVLVLVLVAFVGVVFLAINHFNKLHKKKMEKNKPPPPAQQQQPPSPKKSSLTTFAMQKDMLKYLMLVGILAATATYLTGLKPPGGLWKDNDDGHSAGNPVLYDINKKRYNIFFYSNSTHFMASITVIVLLLQRMVLPERNGGKVFWSMHTVMLLDMLALMVAYAAGSVRDWETSKNVFLLLLPIHIFVGGLLFICKQIQPSPQDEASPNAGANTQEISNPTPSS >ORGLA11G0063400.1 pep chromosome:AGI1.1:11:5114039:5120021:1 gene:ORGLA11G0063400 transcript:ORGLA11G0063400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cam interacting protein 111 [Source:Projected from Arabidopsis thaliana (AT3G56690) TAIR;Acc:AT3G56690] MPPKAKKKQSAASPQPSPRTPASRGGEGGSVAGGGGGGGALDLPSVAAAAAARHPALVPRGGEGCFSGTVADVVPRGGSRGGEARLWLSEPAMVGAALRPGCLVSVSLISSNRGRSDGSPLDSLFEECNEFFDLDVDNDLMPNEAGRNFVIAKVFPSREVQKNGIKLSWDLACSLGNPSVGCSLFFSPLYTSQAPKETDVDILRVIKCSNLYLSFVPAKVGSSSEIESESVHHPIRNGMVIESPKRNSSVLSGRNESYDIASHSGPSLCLDPATARSSLADEKINELLQTCASRWLSGRHLLKANYVPLLMCGKLSMFIVMGAEVDGSAPDMVHDKDKLPSNEEFSGKFGEAPVSFLVDRTTKVHLSGSVCSEEIAFVKPGPSAHNSFRTDARNGDFNHGPRLGGLSKESKEIKEIISFSIKDQIGLQRVKDNLWYRGILLSGPPGTGKTSLATSCAYDEGVNLFTINGPEIISQYYGESEQALYDVFSSAKQAAPAVIFIDELDAIAPERKDGSEELSIRIVATLLKLIDAMSPRDRVLVIAATNRPDSIDPALKRPERLDRKIEIGVPSPVQRLDILQHLLVGVQHSLSCEQLESLASATHGFVGADLAALCNEAALSALRRYISLKKSSQQLGYYDNNAEKPDIREINDPLGYQVNSIASSLSKLTMSVDDVLCTSRSNDTENNGSSGKKDDLLLLVTTEDFEKAKIKVRPSAMREVSLELPKIRWEDVGGQVRIKEQLIEAIELPQKNPKAFENMGVSPPRGLLMIGPPGCSKTLMARAVASEAKLNFLAVKGPELFSKWVGDSEKAVRSLFAKARDNAPAILFFDEIDGLAVTRGCENDSISVGDRVLSQLLVEMDGLEQRIGVTVIAATNRPDKIDCALLRPGRFDRLLDVQPPDEADRVDIFRIHTRNMPCSHDVNLNELARLTEGYTGADIKLVCRETAIAALDENIDIPEVEIRHFMSAISRIKPSDVKFYQELAAQYSRFVDPMSQSKQ >ORGLA11G0063300.1 pep chromosome:AGI1.1:11:5111467:5112284:-1 gene:ORGLA11G0063300 transcript:ORGLA11G0063300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGRSTVAPSTTPSCRPAARFVTPRSLFNWGGRSAPPPPEFQYHDVVPPFPMSLVANTHLRGRELRCCYKATVDGFSATDFHRRCDFKGPCVVVGRTAAAGGGVRFGGFSPEGYRSTDDYYDTLDAFLFYWPETDTDAAAAAEEEAAVVVLPKVGGSGAALFDYARGGPQFGADGLLIGPPLTAVMGVFTGPDSSAGAGDLRGARSRLGLSYARRPDGKESLFGDESRAELDEVLVFCSPQIASLY >ORGLA11G0063200.1 pep chromosome:AGI1.1:11:5101065:5103443:1 gene:ORGLA11G0063200 transcript:ORGLA11G0063200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSAPAPGHGNSAPASSRVTFEDMLRGCDQSYYQMLGFPDAASYFEAKERNLLAQYSRQVFPLLWPILEKDSLTRFNRLCQGWSRLMGLRGFIYPEILSSIVANNALRCARVALQGSSPLRGRRADPNGRHPYGYTALHLAAETFSVEMVKLLLRHGASANHRTEGDYVIEGLLPLHVAVENASMHKYLEDHWADGHPLDNLISLLCLPEMKMCLDTTRLIAQHTHNIVDELLDYIDNKKVVQLAILLLASQKQLRGPINRSCGKAYLNGFESVRLRTYDAIGALHDKMIAMVNEGKNGRALKKLKEKEEALLTAATLVGIVDKAGQALEDYIQTCSELLCIIPSSHHPIKKN >ORGLA11G0063100.1 pep chromosome:AGI1.1:11:5090585:5093822:-1 gene:ORGLA11G0063100 transcript:ORGLA11G0063100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent protease La (LON) domain protein [Source:Projected from Arabidopsis thaliana (AT1G35340) TAIR;Acc:AT1G35340] MAASALLRLLSPSSPASLHARATRRRHPRAVVRCSSPSPASLDLPLLSFQPAEVLIPSECKTLHLYEARYLALLEEALYRKNNSFVHFVLDPVVSGSPKASFAVRHGCLVQIESVERLDIGALVSIRGVCRVNIINLLQMEPYLRGDVSPIMDISSESIELGLRISKLRESMCNLHSLQMKLKVPEDEPLQTNIKASLLWSEKEIFEEYNEGFIPALPERLSFAAYQTVSGMSEAELLSLQKYKIQAMDSTNTLERLNSGIEYVEHNIGMIAARLAIQNI >ORGLA11G0063000.1 pep chromosome:AGI1.1:11:5086654:5087445:-1 gene:ORGLA11G0063000 transcript:ORGLA11G0063000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFLASSGREGGEGEVAGGGPVEGETECSGGKDEWSARMAGPEVVVDDWKFAAEQLVRWSDHARVLADRVPSTAKQLTRDPTVFHREGNLPS >ORGLA11G0062900.1 pep chromosome:AGI1.1:11:5076906:5077061:-1 gene:ORGLA11G0062900 transcript:ORGLA11G0062900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEPTDGPTLSVVSKWLHALRKKHNKIIEMEEPLADRRKLNKEQEEVP >ORGLA11G0062800.1 pep chromosome:AGI1.1:11:5069132:5069835:-1 gene:ORGLA11G0062800 transcript:ORGLA11G0062800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIPRPADNKVNIRVQTTGDPAIDVFKDGLQDLIVMCQHVRGTFDNAVVDFRSKVPAQQMDIDSK >ORGLA11G0062700.1 pep chromosome:AGI1.1:11:5065763:5068198:1 gene:ORGLA11G0062700 transcript:ORGLA11G0062700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMANAKLPKQALLPPRSPFPTAAGPYAGDHGPIARPQGAPHHRHGHGHGHHQRTSSESFIEEQPSWLDDLLNEPETPVRQHGRAGHRRSSSDSFAMFDGGAAAGAYANGFEGMGGGGGGGQAAPWGGVQEYYAKPSSFGRHQGRPWEQGMNNLVNYRQSGGPPMPAKEKVGGHHGSPSVLRDHDHGMDRRSSDESGHDQKVGPERKEGVPPKHAQSEADTKRAKQQYAQRSRVRKLQYIAELERKVQALQSEGIDVSAEMEFLSQQNIMLDLENKALKQRLESLAQEQLIKRFQQEMFEREIGRLRSLYQQQQQQQKQPQPTTTLSRSNSRDLDSQFANLSLKHKDPNSGPLRTQSSSIL >ORGLA11G0062600.1 pep chromosome:AGI1.1:11:5039570:5049029:-1 gene:ORGLA11G0062600 transcript:ORGLA11G0062600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSMDLNASPLPEEDEQPYEQQVEVDFAQEEHVESAVATMRREREERRKKLKREQQDEGSRLHSQQIRNDYAPYNRAGRGRIKEAPDGWMNCPAFGEPIDKIIPSKVPLDETFNDSVPPGKRYSSKQVVNKQRKAGREIGLVIDLTNTTRYYSPAEWTRQGIKHVKIPCKGRDAVPDNESVNWFVYEVMMFLDRQKQSKNPKYILVHCTHGHNRTGFMIIHYLMRTQVSCVAEAINIFAKRRPPGIYKRDYIEALYSFYHEVPEHMITCPSTPEWKRSSDLDLNGEAKQDDDDENGDLAPVHNEVEDKVITNDDVLGDAVPYDQQDALRVVCYRLLEMPPVRGHAQFPGSHPVSLNSENLQLLRQRYYFATWKADGTRYMMLIMRDGCFLIDRNFCFRRVQMRFPHRNLNEGLHEMTLIDGEMIIDTVPDSGLKRRYLAYDLMALDAVSKTKLPFSERWRLLEDEIIRPRYYEKKQFESGVKSNPMYKYDMELFSVRRKDFWLLSTVTKLLKEFIPSLSHDADGLIFQGWDDPYVTRTHEGLLKWKYPSMNSVDFLFEVGGDNRQLVFLYERGKKKLMDGSRIAFPNEEDPSSISGRIVECSWNKEEGCWVCMRIRSDKSTPNDINTYRKVMRSITDNITEEKLLEEIHEITRLPMYADRIKQAQAKMAQHRRR >ORGLA11G0062500.1 pep chromosome:AGI1.1:11:5036996:5038860:1 gene:ORGLA11G0062500 transcript:ORGLA11G0062500.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSGETFVGYILESRYTGNGQDCERRRLLHPLFDSSRFSGFSLDFRRIRSAPAMGELGALQSIVYHRGSLRLLDQRKLPLEVDYIDVKCSGDGWNAIRDMVVRGAPAIAIAAALALAVEVSGLEDFTGTPAEAAAFVSEKLEYLVSSRPTAVNLSDAATKLRSLVSRTAETEKDAKAIFQAYIDAAETMLVDDVSDNKAIGSHGAEFLKQKLEVSKDISVLTHCNTGSLATAGYGTALGVIRALHSGGILEKAFCTETRPFNQVDIPSFAE >ORGLA11G0062400.1 pep chromosome:AGI1.1:11:5024820:5028084:-1 gene:ORGLA11G0062400 transcript:ORGLA11G0062400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSAPLAAAPLPPPHQVSRPWLPAAGFRSSAAASAAARGDDYGRRDVDEKISPDEILFEGCDYNHWLITMEFPDPKPTREEMIETYLQTLAKVVGSYEEAKKRMYAFSTTTYVGFQAVMTEEMSEKFRGLPGVVFILPDSYLYPETKEYGGDKYENGVITPRPPPVHYSKPSRTDRNRNYRGNYQNGPPQGNYQNSPPPYGSQQDGRGYAPRQNYADRPGYSGTSGGYQSQTTQYQGHANPAGQGQGYYNSQERRNFNQGQGGDFRPGGPSAPGTYGQPPAPGNYAQPHPPTYPGSNQGAPGVNPGYGGNNRQGPGPAYGGDNWQGGSNQYPSQSEGQQESWRGRQ >ORGLA11G0062300.1 pep chromosome:AGI1.1:11:5017114:5019090:-1 gene:ORGLA11G0062300 transcript:ORGLA11G0062300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIGYEQGSKAYRMYDPVERRVCVSRDVVFDEAATWAWRDPETEATGEEEFTVDFFVNPVESAIADLGEQASTPVQGGASPASTATPPSPPEAPAGVEFCSPPNSITPGTDESPVRYHRVQDILSATEPVLDFDYSDQCLLASEEPMSLAEAEQQLCWRQAMQEELKSIEDNQTWSFAELPVGHKAIGLKWVYKVKKDPSGVVVKHKARLVAKGYVQQQGIDFEEVFAPVARMETVRLLIAVAASKGWEIHHMDVKSAFLNGDLEEEVYVVQPPGFIEKGKEGQVLRLKKALYGLKQAPRAWNAKLHNTLISLNFIKSETESAVYVRGTGSSRLIVGVYVDDLIISGAQASEIDFFKEEIKKKFRMSDLGLLSYYLGMEVVQKDDGVFLSQTAYAAKILEKTGMEGCNSTQVPMEARLKLKRESGGEGVDSTMYRSTVGSLRYLVNTRPDLAYFVGYVSRFMEKPTSEHWAAVKHILRYIAGTLDVGCCFGRREKVELRLIAFSDSDMAGDLDDRKSTTCVLYMLGDSLISWQSQKQKVVALSSCEAKYIAATTGACQGIWLNRLLAELLGEDPGQTVMKVDSKSAINLCKNPVLHDRSKHIDTRFHFIRECVEKKQIAVEYVRTEDQLADIPTKPVGRVRFLELRKKMGLEQAHQD >ORGLA11G0062200.1 pep chromosome:AGI1.1:11:5005231:5009472:-1 gene:ORGLA11G0062200 transcript:ORGLA11G0062200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G31390) TAIR;Acc:AT4G31390] MELCTSSVSGSVQWSRISAFDCRTRSHGYASSSSWVCQRRRKTSFYVMNAASTGALPPTKKLIPRTNVRNISGDKPSSALEQLDIERGVCIPFRKYTPEMVRNRVLGSRGSVLSLASRGVEIIWKLGLYWSALMYDFLVGRDEEIVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFSIIEEELGQPLERLFSRISSRTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEATNIEDFLENFKDDPTVKIPQVYKQYSGSRVLVMEWIDGIRCTDPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQVVLLLFSYVQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIVPALEAIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLQPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGLFQWKRLENLIVLAKENVSKMSSNPALKKNSSQIERNRQMEKKLDLTDTIKDGARLFLIDAGIRRQLIMAFTEDSKLHVEELVDVYRLVEDQIDIPSVALEVIQDLPSVARDFMLSWSDSILSDRQY >ORGLA11G0062100.1 pep chromosome:AGI1.1:11:5001542:5002728:-1 gene:ORGLA11G0062100 transcript:ORGLA11G0062100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G09350) TAIR;Acc:AT4G09350] MAASTASAPFTPLLHRRRASVHGRRGSGRAFVAVVVAAAAGGAPETEPSPATAAGAAAQGKKKTVDTRIHWSDPDEGWVGGNGKKDGGGRKKEPLGGRFADLINNPSESHYQFLGVEPKADIEEIKAAYRRLSKEYHPDTTSLPLREASERFIRLREAYNVLSREESRRFYDWTLAQEAESRRLQQLRSRLEDPYQQDLDSYQSVPDMVDRLGGRNMDLSDQAMTALTIDIGIIIFCVFCLIYAVFFKEQY >ORGLA11G0062000.1 pep chromosome:AGI1.1:11:4995511:5001175:1 gene:ORGLA11G0062000 transcript:ORGLA11G0062000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:I1QYM8] MQGANMLLDEPVRLTSVLTPVKPKVFPSLTKIVGTLGPNSHSVEVIQECLTAGMAVARFDFSWMDASYHQETLNNLRKAAQNVNKLCPVMLDTLGPEIQVHNSTGGPIELKAGNHVTITPDLSKAPSSEILPIKFGGLAKAVKKGDTLFIGQYLFTGSETTSSWLEVVETSGENVECLVTNTATLAGPMFTLHVSKAHVSLPTLSDYDKEVISTWGLHNSVDIISLSHTRSAEDVRELRSFLQSHGLQDTQIYAKVENTEGLDHFDEILQEADGVIISRGDLGIDLPPEDVFISQKTAIKKCNLAGKPVIITRVVDSMIDNLRPTRAEATDVANAVLDGTDGILLGAETHRGPYPVDAVSTVGRICAEAESVYNQLVHFKKLVKHVGDPMPHEESVASSAVRTAMKVKAAAIVVFTFSGRAARLVAKYKPPMPVLAVVFPREGSDPTKWRSYGTTQARQCFAARGVYPLMASTEEAETGGLTREEYGIKLAQNYGRSVGMLKPYDRLIIFQKIGDSSVVKIIECDSS >ORGLA11G0061900.1 pep chromosome:AGI1.1:11:4990551:4991112:1 gene:ORGLA11G0061900 transcript:ORGLA11G0061900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSAASSSSPASSWPPPSPPPASSSLAAPPWQTTSSRRPPWRRAIPSAYVPGAAAGATPEAMAAAAMAGGNESESALPPRQLDRPDSSGLPTTHQSWIYHEPVAMPYSTAPPAAISLVGATAAATAVFSTMLLAAAAAR >ORGLA11G0061800.1 pep chromosome:AGI1.1:11:4982118:4985842:-1 gene:ORGLA11G0061800 transcript:ORGLA11G0061800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAISWISGALFIWMFLIDVAEPTKRAIKSIKADDGDVIDCINIYQQPAFNNPRLKNHTISTIKTRPGKLPFSKRAKTARQAWQNNGRCPDGTIAIRRATQQSQLEVDATQPNGCYIEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFVDPQNGVDCFNLNCAGFVQISNEYAFGAALAPLSEYGDVQYETHLTIYKDMLSNRWCAMYGDTMLGYWPLEAFPAFDKGEEAFWGGQVCNMHEGQEYTTTGMGSGYHPIEGMGKSAYIHGIQVMQIDKSWQRPTRTFGNMSNQPCYGVEPYESKDGALSIFFGGTANMACCGLACQSPRK >ORGLA11G0061700.1 pep chromosome:AGI1.1:11:4973316:4976333:-1 gene:ORGLA11G0061700 transcript:ORGLA11G0061700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIIFLLFLIACVVDRSVNVHCEKQLVSSFDKHDNASSSLAELFSGKRIPLFRYITNKTSRLSTKAVQVGWDRGLQTSLYVISVGLGTPAKTQIVEIDTGSSTSWVFCECDGCHTNPRTFLQSRSTTCAKVSCGTSMCLLGGSDPHCQDSENYPDCPFRVSYQDGSASYGILYQDTLTFSDVQKIPGFSFGCNMDSFGANEFGNVDGLLGMGAGAMSVLKQSSPTFDCFSYCLPLQKSERGFFSKTTGYFSLGKVATRTDVRYTKMVARKKNTELFFVDLTAISVDGERLGLSPSIFSRKGVVFDSGSELSYIPDRALSVLSQRIRELLLRRGAAEEESERNCYDMRSVDEGDMPAISLHFDDGARFDLGSHGVFVERSVQEQDVWCLAFAPTESVSIIGSLMQTSKEVVYDLKRQLIGIGPSGAC >ORGLA11G0061600.1 pep chromosome:AGI1.1:11:4971079:4971591:-1 gene:ORGLA11G0061600 transcript:ORGLA11G0061600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFLLLVLVLLAPLLPGTTGDQQHDHLPAAAAGGNTTTAPSRDPLRIVVRAAGGGASPSGTVAAATGDDASNPPPPPPQLSRPNRDLPTVPSPHDHEPVPTPPSPDFFPDSALRTIPANAIAMSAILLLLLIAATH >ORGLA11G0061500.1 pep chromosome:AGI1.1:11:4965161:4965703:1 gene:ORGLA11G0061500 transcript:ORGLA11G0061500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QYM3] MPLLLQLALAVAVAVAMAGTATTTTTHTRFYMHDTVTASASGGGPAATAVRVVRGAAAAALPGDAVNRFGDLYAVDDPLTDGADAPSAAVGRARGFYMFASRTDSALLFSATMEFTAGVHRGGAVSVLARDAILDEVRELPVVGGAGVLRGAAGYGLLRTHSFNATTNNAVLQIDMYLTV >ORGLA11G0061400.1 pep chromosome:AGI1.1:11:4957667:4958236:1 gene:ORGLA11G0061400 transcript:ORGLA11G0061400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QYM2] MASLSSLLLGCFLLAAAVFLHRNGASTTTTTTHLHFYMHDAYTGPAPTAMRVVSGRSLLDGDNGNGNGNGDDGSPPRQFGDIVALNNALTEGPSAGSARVGTAQGFAVRVSEGGVVSDLSLHMVLEAGEHRGSSVTAKGRIDMDAGERESVVIGGTGRFRLARGYMVTKNYDYSLATGGIVEIDLYLKH >ORGLA11G0061300.1 pep chromosome:AGI1.1:11:4947479:4948057:1 gene:ORGLA11G0061300 transcript:ORGLA11G0061300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QYM1] MAASSSLSPSLLLGCSFLIAAAVLLRRHDSAAAATTTTTHLHFYMHDAYTGPAPTAMRVVSGRSLLQSTTDIVDGSSPPRQFGDIVVLNNALTEGPDAGSARVGTAQGFGVRVSEGGLVTDLSMHLVMEAGEHRGSSVAIKGRIDVGVGVRESVVVGGTGRFRLARGYMASSSYDYSLAAGGVVEIHVYLQH >ORGLA11G0061200.1 pep chromosome:AGI1.1:11:4934828:4935163:1 gene:ORGLA11G0061200 transcript:ORGLA11G0061200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QYM0] MASLCSLLLSSSLILAVAVFLRHHIGASTTTTTTTTHLHFYMHDAYTGPAPTAMRVVSGRSLLDNGDGNNNDTTSSPSSSSPLRRQFGDIVVLNSALTEGPSANSARVGTA >ORGLA11G0061100.1 pep chromosome:AGI1.1:11:4912386:4913765:-1 gene:ORGLA11G0061100 transcript:ORGLA11G0061100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSGHNKSNVLKWIKAKKVFSRQYVFVPIVIWGHWNLLVLCNFGETDYLGTDKGPRMLLLDSLKTTNPTRLRSNIKRFIADIFKTEEREENEQFINKICLEFPEVPQQNGDECGIYVLYFIYCFLQNKALGEDFSQLFDDPEEWENFRKGVHSFRENRENEIAE >ORGLA11G0061000.1 pep chromosome:AGI1.1:11:4902523:4906039:-1 gene:ORGLA11G0061000 transcript:ORGLA11G0061000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1QYL8] MAATVAVVAVVGCVLLGGGVWGFPEEDLVARLPGQPVVGFRQFAGHVDVDEKAGRSLFYYFAEAAEGAAAKPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRGLRLNKKSWNKVSNLLFVESPAGVGWSYSNTSSDYNTGDARTANDMYKFLLGWYKKFPEYRSRALLLSGESYAGHYIPQLTDVLLTHNEKSNGFKFNIKGVAIGNPLLKLDRDVPATYEYFWSHGMISDEIFLSISHSCDFEDYTFSNPHNESKSCNDAIAEANSIVGDYVNNYDVILDVCYPSIVMQELRLRKYVTKMSVGVDVCMTYERYFYFNLPEVQQALHANRTHLPYGWSMCSDVLNYSNKDGNINILPLLQRIVEHKIPVWVFSGDQDSVVPLLGSRTLVRELAHDMGLHVTVPYSSWFRRGQVGGWVTEYGNILTFATVRGASHMVPFAQPDRALGLFQSFALGRRLPNTTHPPIN >ORGLA11G0060900.1 pep chromosome:AGI1.1:11:4898790:4900202:1 gene:ORGLA11G0060900 transcript:ORGLA11G0060900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14170) TAIR;Acc:AT4G14170] MAAPVAGRLSGLLRRCAAAGAVRPGEHAHARAVVGGWLPDATLETDLVLMYCRCGERRRARRVFDGMRAPSMHAYNVLLAASPPRDAMEVFSRLLASGLRPDGYSVPAVVRACAELPDAVLGGVIHGFAVRLGLMGNVVVAAALLDMYAKAGFLDDAVRVFDEMTERDAVVWNCMVAGYARAGRAVETFEIFSRAQVEAVNMVNGLQAVPSVLNICAKEGELMKGREIHGRMVRCLAFDSDIAVGNALINMYAKCGRVNVSQAVFSGMQQRDVVSWSTMIHSYSIHGKGEQALKVYMEMLSQGVKPNWITFTSVLSSCSHSGLVTEGRKIFESMTKVHGVHPAAEHYACMVDLLGRAGAIEEAVGIIRKMPMEPSASVWGALLSACAMHNNVDVGEIAAFRLFELEEGSASNYVTLCGIYDAVGQSDGVAGLRSRMRELGMVKTPGCSRIDVKGRAHAFYQGSIPRYLRG >ORGLA11G0060800.1 pep chromosome:AGI1.1:11:4892929:4895247:1 gene:ORGLA11G0060800 transcript:ORGLA11G0060800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNVIRLELSEASLGGQVLQGRMSPSLSSLEHLEYLDLSALVLPSINSSSPKFLGSMTKLRYLDLSGCLFSGNVPPRQPFQIGVPXSLFLNLVRXGSTXARXPDKVETSGPWXHATYVLGRYLLDYSSAILGVSXHELGESQHGSTWLAPCAEHNSIFGGSQPCEMHTSXYTPSTRATKPHQTRAARSLVEQIGPSNPIMLVLEPDALELSETFLHGPFPTALGSFTALQWLRFSDNGNAATLLVDMRSLCSMKSLGLGGSLSHGNIEDLVDRLPHGITRDKPAQEGNFTSLSYLDLSDNHLAGIIPSDIAYTIPSLCHLDLSRNNLTGPIPIIENSSLSELILRSNQLTGQIPKLDRKIEVMDISINLLSGPLPIDIGSPNLLALILSSNYLIGRIPESVCESQSMIIVDLSNNFLEGAFPKCFQMQRLIFLLLSHNSFSAKLPSFLRNSNLLSYVDLSWNKFSGTLPLWIGHMVNLHFLHLSHNMFYGHIPIKITNLKNLHYFSLAANNISGAIPRCLSKLTMMIGKHSTIIEIDWFHAYFDVVDGSLGRIFSVVMKHQEQQYGDSILDVVGIDLSLNSLTGGIPDEITSLKRLLSLNLSWNQLSGXIVEKIGAMNSLESLDLSRNKFSGEIPPSLANLTYLSYLDLSYNNLTGRIPQGSQLDTLYAENPHIYDGNNGLYGPPLQRNCLGSELPKNSSQIMSKNVSDELMFYFGLGSGFTVGLWVVFYVVLFKKTWRIALFRLFDRIHDKVYVFVAITWASIGREATTD >ORGLA11G0060700.1 pep chromosome:AGI1.1:11:4877162:4884062:-1 gene:ORGLA11G0060700 transcript:ORGLA11G0060700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTNVPYQLLKEITDGFSEERKLGKGGYGTVYKGEHKNGDKIAVKILHTEGFDDNKFNNEFGNLMMANHTNIIRLVGYCYEIKYTTGLAKGRLALIATIDRALCFEYMPRGSLENYLSDEDDGLDWPIRYKIIKGTCEGLKHLHVEMNPPIYHLDLKPANILLDNNMVPKIADFGLSRFITEEKTMITKNLVGTLPYMPREYIERKEISNKLDIFSLGAVMLDIIAGPTGRFRSTKMPSLEFTNQVQGKWKNRLQTRRNGPLLEAYCQQVKTCTEIALKCLEIDRENRPNILEIINQINEKEDIIGELPIDHALEKLPSYNNESINLESKLASHLNLNETRENQDHHNESCSKEKEEDQEEDQIIPMEHPDFPRDVHPSEPWILTSNMFGSVDILNCNTQETVNLIQGSYGPLVTAAKFIARKQWFVVGHHDGFIRVYTYESPVKQVKRFKAHVWNITTLDVHPTEPYLLSVGSQDQIKLWDWNKGWECIKTFDLHGLAYQIKFNPKDTHKFAIASLKDAQVWNIRSSRHEFTLSGHGYIVSCLDYFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNSITFKLEGVLDFELGQVTAIVCLKGSKRVVIGHDAGLVITEIRHEKPGPSNR >ORGLA11G0060600.1 pep chromosome:AGI1.1:11:4871421:4872042:-1 gene:ORGLA11G0060600 transcript:ORGLA11G0060600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWERLKEWELGCSGRRADGSGGIDGLLGTDLKLELTCAEMPKEGLGNVPLNLDLACAKLPETEA >ORGLA11G0060500.1 pep chromosome:AGI1.1:11:4858912:4861949:-1 gene:ORGLA11G0060500 transcript:ORGLA11G0060500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTNVTYELLEEITDGFSEERKLGEGGYGTVYKGEHKNGDKIAVKILHDTQDFDGKKLQNEFGNLMIVSHPNIVQLVGYCYEIKHTHGQVKGRPVLVATIHRALCFEYMPRGSLENYLSDKVDGLDWPIRYKIIKGTCEGLKHLHVEMRPPIYHLDLKPANILLDNNMVPKIADFGLSRFITEDKTMTTKTLVGTITYLPREYIERKEISNKLDIFSLGVVMLEIIAGPGPTGRFRSTEIPSQEFTDQVLGNWKTRLEAGRNGPLLEAYCQQVKTCTEIALKCMETDRENRPNIVEIINQINEKEAIIGELPIDHALEKLPSHNNESVTLESKLASHLNLNETKENHEADHHNSSCSKEKEEDREEDLIIPMEHPDVPIDVHPSEPWYVNSLSFLVLRGDKLHSMF >ORGLA11G0060400.1 pep chromosome:AGI1.1:11:4854621:4856118:-1 gene:ORGLA11G0060400 transcript:ORGLA11G0060400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTVTAAKFIARKQWFVVGHHDGFIRVYTYESPVKQVKRFKAHAWSWTITTLDVHPTEPYLLSVGSQDQIKLWDWNKDWECIRTFDPHGVAYQIKFNPKDTHKFAVASLMDAQVWNIRSSRHEFTLSGHGSIVDCFDFFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNATTFKLEGVLDFELGKVTAIVCLKGSKRVAIGHDAGLVITEIRHGKPAPSNR >ORGLA11G0060300.1 pep chromosome:AGI1.1:11:4846624:4848951:-1 gene:ORGLA11G0060300 transcript:ORGLA11G0060300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDASSNGETKSSEVPITATYIPRDVEYLREAMALEWVADKEDEETTADLLDAPPVFLIDYPDLFERGWGWERLFPYHSSSVEWPQFKKYLEEYSSHNAGQVATLCSQLRSVQGQGIPPAGCGVLHDAANLCIKIENKLLRSCHSALTVEEIILSSKIKECASHMIQSEGESSAAAEGLVGIAKEARKLSYLLSEDDPDMCLKYDMCEYIRAYAAKVLTKLEKEFSCNTAGHAAENGITASDKSEKPIGNERDLKDSNVKWNSNMKKLKKARKKRLKRAEKRLKREEKRKSEDQTEG >ORGLA11G0060200.1 pep chromosome:AGI1.1:11:4825561:4826391:1 gene:ORGLA11G0060200 transcript:ORGLA11G0060200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPSLRKRSSSSLAISMSSTSSSPSSSSSSSMDGVRSSAVWERPDDEMAGGSSNIFPSLNLATSVDGDGDDRGNPQPPPTTADDRGGGILQLMTAMTVEEESPASSVDGILDTATDAAAPQALAAAPRPRSSSRSRLLQWQPTDDDDGLRLLFRSGPPHLLIHLPFLTAATSTAAAVAAALTTNTICGCVGRSGGGGGGDGAARRGGRGSRGDGAGEGGGGGNHLPWLQSRGPLVAHRRRDAVRAPPWXPTHTPLSLLLWLPDGLDTTAHCLSQ >ORGLA11G0060100.1 pep chromosome:AGI1.1:11:4819492:4824818:-1 gene:ORGLA11G0060100 transcript:ORGLA11G0060100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDDEERSLWVVSGDPIAMMDQPFDFNYSESFYLLSDEEITDGFSEERKIGQGAYGTVYKGEFRNGKEIAVKMLHNDTLGFDDKQFENEFQNLMRLEHPNIVRLVAYCYETQHKYAEYKGRIVFAAIIHRALCFEYLTGGSLENHLSDEFHGLDWPTRFKIIKGTCEGLKYLHEGLKPPIYHLDLKPGNILLDKNMVPKLADFGLSKLFTEEKTRVTQTPIGTVGYLPPEYIEKNVLSNKLDIFSLGVVILNVIAGPRGRSRSAEMSSQEFTDLVLGNWTVRLQATWNGSSLEAYRQQVKTCTEIALKCVEIDRHKRPNILDIVNKINETETMIGKLPISHGSEVRFVNDHREKPTSYSDEFITLESRLASHLNLSDTQVNQEAYHHNGSSFKEKEEDREVHQILPMENPDIPIDAHPTEPWILTGNIFGSVDILNCDTQNHLLLILKISGNIVKFAYASEIHSSAAKLIARKQWLVVGYHDGFIRVYTYESPVQQVKRFKAHSWNITCLDVHPTEPYVLSVGLLDPIKMWDWNKGWECIRMFDMQGVLAHGIKFNPHDSYKFAAASVMNVQVWNFRSSRREFTLFGHGSAVSCFDYFTRGNQQYIITGSLDKTARIWDCKSRTCVQILIGHMDCVTCVCSHPDLPILLTGSNDETVRLWNSITFKLEGVLDFELGKVGAIVCLKGSKR >ORGLA11G0060000.1 pep chromosome:AGI1.1:11:4811957:4815131:-1 gene:ORGLA11G0060000 transcript:ORGLA11G0060000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAATGQFLLVLMACSVIQIVCQSLHGNETDRLSLLDFKNAIILDPQQALVSWNDSTQVCSWEGVFCRVKAPNHVVALNLTNRDLVGTISPSLGNLTFLKHLILTGNAFTGQIPASLAHLHRLQTLSLAANTLQGRIPNLANYSDLMVLDLFRNNLAGKFPADLPHRLEKLRLSFNNITGTIPASLANITTLKYFACVNTSIEGNIPDEFSKLSALEILYLDINKLTGSFPEAVLNISALTGLSFAINDLHGEVPPDLGNSLPNLQAFELGGNLFHGKIPSSITNASNLYLLDVSNNNFNGGLASSIGKLTKLSWLNLEDNELHGRNNEDQEFLNSIANCTELQIFSIAWNRLEGRLPNSFGNHSFQLQYVHMGENQLSGHFPSGLANLHNLVAIGLAGNRFSGVLPDWLGALKSLQKLSVGDNNFTGFIPSTLSNLTNLVQFFLYSNKFSGQLPASFGNLAALERLGISNNNLDGTVPEDIFRIPTIQYINLSFNNLKGLLPFYVGNAKHLMYLILSSNNLSGEIPNTLGNSESLQIIRFDHNIFTGGIPTSLGKLLSLTLLNLSYNNLTGPIPDSLSNLKYLGQLDLSFNHLNGEVPTKGIFKNATAVQIDGNQGLCGGVLALHLAACYIAPLSSRKHGQSLVIKIAIPLAILVSLSLAVLVLLLLRGKQKGQSISSPLSHTDFPKVSYNDLARATERFSMSNLIGKGRFSCVYQGKLFQCNDVVAVKVFSLETRGAQKSFIAECNALRNVRHRNLVPILTACSSIDSKGNDFKALVYKFMSGGDLHKLLYSNRDDGDAPHQNHITLAQRINIMVDVSDALEYLHHSSQGTIVHCDLKPSNILLDDNMVAHVGDFGLARFKIDSTTSSLSYSNSTSSPVIKGTIGYIAPECSDGGQVSTASDVYSFGVVLLEIFIRRRPTDDMFMDGLSIAKYTAINFPDKILEIVDPKLHQELIPCSTEMEELDPCQETPIAVEEKGLHCLRSMLNIGLCCTKPTPGERISMHEVAAKLHRIKDAYLREY >ORGLA11G0059900.1 pep chromosome:AGI1.1:11:4764231:4767293:1 gene:ORGLA11G0059900 transcript:ORGLA11G0059900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTGTTSSRNPRTQNKIPKEVYTITEVDDVRYPMAPTKAVKKFPTICGVLGRRKLHYSEGPHQSGPSRRKRRGLEVVQGKLPIPYRGQGRTQAAGYPQDGELLEKFQDNAGHGVRPQPPPARTIWEIPIHHPNRVGXVPCRQMTKCLGQKARPTGICRQGTFTCTGLAPEAMRRNRQNGTRRMKLQRNLTPRKCLLISWSNRQGTGQGQGRHRILLVITPKWSLVHYLNSNIKPEIYDWSAIESALNEAWDQYVARGGRHKDGHPKLGHKKDFPIRQQVGDQCGFHVCHNTRSFADKVTLLDPDVCPSIVYTHIGRQIYKYVD >ORGLA11G0059800.1 pep chromosome:AGI1.1:11:4685784:4686242:-1 gene:ORGLA11G0059800 transcript:ORGLA11G0059800.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKNFPFHERGGEKVVRRRNFLAAIFGDGGRHAHNDDVGGGDDDVSAKDPPRRSTSQLSSIVQHKRRPDADSAVESPQPPLDEAPNSPEGSSTSSWWFPFPFPARQVGSTAVGTLAWAPAVTGSQNRRRQRCVCFGNFSEVADYLYLCCTLFY >ORGLA11G0059700.1 pep chromosome:AGI1.1:11:4682159:4682425:1 gene:ORGLA11G0059700 transcript:ORGLA11G0059700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKMAVVAILAALLLMAAAEPALATAPSLVPARKLQMPRIMDVISAESKLACLPAGGFCMFRPMDCCGNCGCLYPVGVCYGSRCEE >ORGLA11G0059600.1 pep chromosome:AGI1.1:11:4674652:4680268:1 gene:ORGLA11G0059600 transcript:ORGLA11G0059600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVRSNIRRFMPSQSDEEIHQLSYLQKHMANILSLLADSVEGEGDDSLVLTMETFEHLGFLVQFSEGTSLSQAATFFANSDPDMPAAPVDVAEHSFFTVIFCXEVCSKGKQPANRVXSXCNHGXGQYKSYTEQHRVPILHITEMXCLXRAFRKPLLIYVLLLXHVQNFMLSPSDMQCKVEFRSSELPWVVLMLQLFLVLLARXXRWSIVKECISLQLQNEFVLPTVVSASSTWGLITNLLYWVTTITCKLPHSILATHSCGIIXCKLVLIPVSTNGTNLLCWELLIHTIHYPILLGFLMLKLNLLHVCILIYLPTFXFLVGLGMKRKSLQTAIRSHCLKLMGHSKAKSILLWKIFKRRFGSCSLMRIGKGTWRLLFMLSSRIGYMLIDRHAVRW >ORGLA11G0059500.1 pep chromosome:AGI1.1:11:4664095:4668974:-1 gene:ORGLA11G0059500 transcript:ORGLA11G0059500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRLKILYTALCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTELSPGDHVLPVFTGECGDCRHCLSEESNLCDLLRINTDRGAMIGDGQTRFTARGQPVYHFLGTSTFSEYTVVHVGCIAKIHPAAPLDKVCVLSCGISTGFGATVNVAKPPKGCTVAIFGLGAVGLAAMEGARISGASRIIGVDLNPAKFELAKKFGCTDFVNPKDYDKPVQQVIIEMTNGGVDRSVECTGNAAAMISAFECVHDGWGVAVLVGVAGKDAAFKTHPTNFLNERTLRGTFFGNYKPRTDLPGVVEMYMNKELELEKFITHSVPFSEINTAFDLMLSGESLRCIIRMED >ORGLA11G0059400.1 pep chromosome:AGI1.1:11:4657634:4658503:1 gene:ORGLA11G0059400 transcript:ORGLA11G0059400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQGKTLEMGKRALPRSSDGDEDRTGDLPDGILHHILGSLPARDAVRTCVLARRWRHLWKFATGLRITDREMREPAPMEKLQDFVDHLLLLRGRAPLETCWLNLTRLSSDGDARRVNLWFRHAVLCEVQVLRLDLILNGFQLKLDDLPLASRCLAKLNLSGVHLMHSFPDFSCCPVLEHLDIFFCDLSDAKKITSQSMKCLNIRHCTFSQISRTRISAPNLISLRLENYWHRTPVFEVMPLIVDAFVRVHDRSGDWYSCTSGNADFEECLCEDCDFCHSNTNCVIMQA >ORGLA11G0059300.1 pep chromosome:AGI1.1:11:4654192:4656608:1 gene:ORGLA11G0059300 transcript:ORGLA11G0059300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family-6 [Source:UniProtKB/TrEMBL;Acc:B9V0K2] MPPRRRGSRGEEMAEASKEGGINALPDELLQHVLSLLSADEAVKTCVLSRRWRHLWRSTDVLRLDADKERWKSSKRFKKFVNHLVLFRGCSPLREFDLEFSSCREKDEKGDDSDEDESDDDRGEDESDEDSDGDESDEHSDDGESDDDSDEDEDSNPFQCVMMWVMYALICQVQVLKIHNFNERYIEIDGGMPLVSQHLTKIELSGIVLKDCFLNFSSCPALKELYFTKNCCFDSVKKIFSQSMQCLRIFCCQFSEYHRTLIYAPSLIRLFLEGFWGRTPFLERMPSLVEASVRPHQDCDDWCSNTYTGNCEDEDCDGCHGMIDKIGNSSNCVLLGGLSEAKSLKLIAGPEIIIFGSDLRWCPMFSKLKNLLLNEWCLLSNFWALACILEHSPVLRKLTLQISKEAKSMMQTEENDNPLRKPAAISEHLKVVKVHCKEVDEVVYKIGKWLSTLDIKVLIKRRNQSPKRFCSSKV >ORGLA11G0059200.1 pep chromosome:AGI1.1:11:4646700:4647686:1 gene:ORGLA11G0059200 transcript:ORGLA11G0059200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGEAGRRGKGAARPSDDRIGHLPDEVLHHIIGLLPAPDAVRTCVLARRWRHLWKSATGLRIADDDGVGLVPMEELRDFVDHLLLLRGRAPLDTCELSFAGLSSDGGGGDARLVDLWFRHAVLCEVQALRLNAPRSASRLVLDGLPLVSRRLAKLELAHLNLVHNFLDFSSCPVLEHLEIVLCSLSDAERISSQSLKRLNITACDFSEIFRTRIDVPNLLSLRLDNYNHRTPVFEGMPLLVDAFFGVTFASGDIRCCPGVNDDLEECPYDDCDNCPSDNNCKVLQAFSQAKNLALVADSQKVCFCYAFFSHELPFTEPAFPSQIIC >ORGLA11G0059100.1 pep chromosome:AGI1.1:11:4633316:4634413:1 gene:ORGLA11G0059100 transcript:ORGLA11G0059100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGETGKRVKGSPSRSGGADEDRIGCLPDGVLHHILGFLPAPDAVRTCLLARRWRHLWKSATGLRISEGYGVEKLHDFVHHLLLLRGGAPLDTFELGSIDLAEGDNRSMNLWFRHALLCQVRVLRLNVFGLELHDLPFVSRHLVKLELRFVILMHSFLDFSSCPVLEHLEIVSSELSDAKRISSQSLKHLNLTHCTFSENVRIPIDVPNLLSLWLQDYRCRTPVFEVMPLLVKAFVSVTGDSGDWLTCTSGNADSEECPHDDCELCYSNTNCMLLQALSQARSLMLVSQNQEVSLCLHFYLSYAESMCTHHWIFVIKNCKYLFCMQCHHKGVLKSLLTSSFYGSLLCLFCPVCFQKRHDAVPYI >ORGLA11G0059000.1 pep chromosome:AGI1.1:11:4620162:4621355:1 gene:ORGLA11G0059000 transcript:ORGLA11G0059000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSSQLRGSMVLHSPIPTAVGFGELGVDRLLLLRCGGRGCRLRVCEASISSCSHPPLXVPSYLLLALQPRRTPPVRRNASGGSPTSDRRRXDRGSAGWCPPTHPRLPPAAXGRADLRARAALAPPLEVRRGLAHHQLLGLEEGGAHGGVPVFRAPPPAPPRARAYRRIRARSHRAVRPGHPPREPLVPACSDVPGPGATAGYPCEQGIRARARNLPVDSRHLQKLDIYGVKLMHSFLDFSSCPVLQHLEIAGCDLSDSNARKISSLSLKHLNISGCNFSDTFHTHIYAPNLLSLGLVHYMNRTPVFEGIPSGSFITGQEVGVVSSGTRF >ORGLA11G0058900.1 pep chromosome:AGI1.1:11:4605416:4614543:1 gene:ORGLA11G0058900 transcript:ORGLA11G0058900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLRSLSFGPEESPREITVAGGGGGDAAAHPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHQGVRDYLCNRMYTLPLPGLEAYLFQVCYMMVHKPSPSLDRFVIDTCSKSLRIALKVHWLLAAELELEDTDDLDGIDRVQEQCQAAATVQGEWPPLVRPAPPSPIASPRGNPMLSRIRSSKQRLLSLASSPSLGLSPPAGASNAAAAEDVGGSGVKQPATPSSEDNKLLKRLSIGPKVRDAASFFRRSVEKDDEQDKEGFFKRLLRDSKDKEEEDGDKEGFFKRLLSKEKENEEEEGDRDGFFRRLLRDSKDEDMELTPSSEGLLKRLFRDKEDRQGDDEEKEGFFRRIFKDKNEERRESLHGRHGDEERVGKSLEDDDKEGFFRKIFKDKNEERKDGGHSKQQDDKEKTAGNIEDDKRDGFFRQLFKEKNEEKKEGTTPNKKEEDDKGHRTMDDENFFRRLFKDKNEEKKGAAHDRNDDDKCEEGDKENFFRKLFKDKHEERRSDGLDKHDDDGKGTSGIDDEENSEFLSFRRLFRVHPEDAKSGHIESSQPNGISEGSPGSESFFKRLFRDRDRSLEDSELFGSKLLKEKNSVPTGNGDKQSGKPPLPNNAIAELRKGCYYASLELVQSLCDTSYGLVDIFPMEDRKIALRESLTEINSQIASTEKNGGVCFPMGKGIYRVVHIPEDEAVLLNSREKAPYLICVEVLKAEAPSHSKGSSDVNKLSKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLKSTSQVIDQAMAQLWEAKVKFVNVSFSVEKLGRSRSVAISDSGHRSRQSTADSNEPSGDSQPIADQPIEWVKVTLSAVPGVNMDDVDDNEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQNAQNIDSKATDGGDPKPTDALAGELWAVKRERIRRSSVHGKLPGWDLRSVIVKSGDDCRQEHLAVQLVAHLYDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSIKSRFPDITSLRDYYVAKYEENSPNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSMDAWRTRQYDYYQRVLNGIL >ORGLA11G0058800.1 pep chromosome:AGI1.1:11:4591224:4594929:1 gene:ORGLA11G0058800 transcript:ORGLA11G0058800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGAAMDGGGGGEAGVTTAAAKATSTATLLSLLRAKSERSAEAEEKVEWVRSQLVGAGAEFDTPFGRRPLVYADHTASGRGLRYVEDYVLHHVLPFYGQYHLPCRFSSHAICELDHDVHGDGEVIDAMLVGNTHTEDSYVGSRTTRMARKAASYIKRCVGAGGAAGGDVALLFCGSGATAAVKRLQEAMGVAAPPGPLRERAAALLRPEERWVVFVGPYEHHSNLLSWRRSLADVVEVGAGDDGFLDLAALRRALRAPEHADRPMLGSFSACSNVTGVLTDTRAVARLLHQHGAFACFDFAARYTCGPYVEIDIRPGEVDGYDAVFLSPHKFVGGPGTPGILLMSRSLYRLSSQPPTTCGGGTVAYVNGASERDTVYLAGVEEREDAGTPPIVGKVRAALAFWVKARVGRGGAVALRERAHADAAMAWLLGNPNVEVLGNVAAPRLPIFSFLVFPGDGDDRRLPLHGRFVAKLLNDLFGVQARGGCACAGPYGHALLGVGDELSLRIRAAIVRGYHGVKPGWTRVSFAYYLSGDELRYVLAAIDFVAAHGHRFLPLYAFDWATGDWSFRRAALKRQLMARELLHCHLGSSSATSSDSDGGEFQTARKTTAAGKVGGDVSADKFEGYLERARRIARSLPDTWQATVTVPEGIDPDIVLFRV >ORGLA11G0058700.1 pep chromosome:AGI1.1:11:4587093:4587401:1 gene:ORGLA11G0058700 transcript:ORGLA11G0058700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTIESFFLLMCKNFFFPYTILISSPNASPRQLERKIGQLQDLKNSAQEVSSDDTLQMFHESILQSSHSLEEPHATLKRATDSSRLQCLSEDVAMLCCMNAQI >ORGLA11G0058600.1 pep chromosome:AGI1.1:11:4564716:4566130:1 gene:ORGLA11G0058600 transcript:ORGLA11G0058600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QYJ4] MGRSGGGGPAILLVAAVLVAGAAVSNAQLKVGFYSKSCPTAESTVASAVRQFADADSTILPALVRLQFHDCFVKGCDGSVLIKGVGNNAEVNNNKHQGLRGLDVVDSIKQQLESECPGVVSCADIVVLASRDAIAFVHGRAVVRRADGAARRADVEPPRRRRAPRRQGLHRRPPLQVRRQWPRRQGPRPPQLGAHGGDDGVLLPAGQAVQLPARRRRPRRGPVDPGGVPVGAPVAVRARRLQHAAAARPRQRGRVRHLHPPQHPQRLRRHRLRRRALQRHGHRRRRRHLLQHAQRLLRPLLPAGLRRRHGQDGERRRAHRRRRRGQEGLLQVQLSLKKS >ORGLA11G0058500.1 pep chromosome:AGI1.1:11:4551597:4551899:1 gene:ORGLA11G0058500 transcript:ORGLA11G0058500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein-RZ53 [Source:UniProtKB/TrEMBL;Acc:B9V0J4] MGLSCLGAGMMGKKKVSPAKQQQQPVDQNQQQKIQYCSKSKDADAAEVGEEEKKIGGGSDGDHHAGVEKVERRKKKSGSSSSSAPILMYQFPFHSRPGLL >ORGLA11G0058400.1 pep chromosome:AGI1.1:11:4546935:4549854:1 gene:ORGLA11G0058400 transcript:ORGLA11G0058400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase 1 [Source:UniProtKB/TrEMBL;Acc:B6F2C5] MATAGKVIKCKAAVAWEAAKPLVIEEVEVAPPQAMEVRVKILFTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTDLAPGDHVLPVFTGECKECAHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHVGCVAKINPAAPLDKVCVLSCGISTGLGATINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGIDLNANRFEEARKFGCTEFVNPKDHDKPVQQVLAEMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNYKPRTDLPNVVELYMKKELEVEKFITHSVPFSEINTAFDLMHKGEGIRCIIRMEN >ORGLA11G0058300.1 pep chromosome:AGI1.1:11:4541401:4544021:1 gene:ORGLA11G0058300 transcript:ORGLA11G0058300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKGVFAAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELAPGDHVLPVFTGECKECDHCKSEESNMCDLLRINVDRGVMIGDGKSRFTIKGKPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCILSCGFSTGFGATVNVAKPKKGQTVAIFGLGAVGLAAMEGARLSGASRIIGVDLNPAKFEQAKKFGCTDFVNPKDHSKPVHEVLIEMTNGGLDRAVECTGNINAMISCFECVHDGWGVAVLVGVPTKDDVFKTHPMNFLNEKTLKGTFFGNYKPRTDLPNVVELYMKKELELEKFITHTVPFSEINTAFDLMLKGESLRCVMRMDE >ORGLA11G0058200.1 pep chromosome:AGI1.1:11:4530066:4531787:1 gene:ORGLA11G0058200 transcript:ORGLA11G0058200.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAAKFPILLGVILATLLLVSHDVAHAAEQAGPSEPQLPEGYGSGYMQPGHGGAYYTPPYTGGGGNGQNGGGGSGYYHNP >ORGLA11G0058100.1 pep chromosome:AGI1.1:11:4524896:4527757:-1 gene:ORGLA11G0058100 transcript:ORGLA11G0058100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSACVSIAVLVVVLSSTSCYSSPSPTTTANGSSDTDLAALLAFKSQLTDPLGVLTSNWSTSTSFCHWLGVTCSRRRRHRRVTGLSLPHTPLHGPITPLLGNLSFLSFLRLTDTNLTASIPADLGKLRRLRHLCLGENSLSGRIPPDLGNLARLEVLELGSNQLSGQIPPELLLHLHNLQVISLEGNSLSGQIPPFLFNNTPPLRYLSFGNNSLSGPIPDGVASLSQLEILDMQYNQLSSLVPQALYNMSWLRVMALAGNGNLTGPIPNNNQTFRLPMLRFISLAQNRFAGRFPVGLASCQYLREIYLYSNSFVDVLPTWLAKLSRLEVVSLGGNNLVGTIPAVLSNLTRLTVLELSFGNLIGNIPPEIGLLQKLVYLFLSANQLSGSVPRTLGNIVALQKLVLSHNNLEGNMGFLSSLSECRQLEDLILDHNSFVGALPDHLGNLSARLISFIADHNKLAGSLPEKMSNLSSLELIDLGYNQLTGAIPESIATMGNLGLLDVSNNHILGPLPTQIGTLLSIQRLFLERNKISGSIPDSIGNLSRLDYIDLSNNQLSGKIPASLFQLHNLIQINLSCNSIVGALPADIAGLRQIDQIDVSSNFLNGSIPESLGQLNMLTYLILSHNSLEGSIPSTLQSLTSLTWLDLSSNNLSGSIPMFLENLTDLTMLNLSFNRLEGPIPEGGIFSNNLTRQSLIGNAGLCGSPRLGFSPCLKKSHPYSRPLLKLLLPAILVASGILAVFLYLMFEKKHKKAKAYGDMADVIGPQLLTYHDLVLATENFSDDNLLGSGGFGKVFKGQLGSGLVVAIKVLDMKLEHSIRIFDAECHILRMVRHRNLIKILNTCSNMDFKALVLEFMPNGSLEKLLHCSEGTMHLGFLERLNIMLDVSMAVHYLHHEHYEVVLHCDLKPSNVLFDNDMTAHVADFGIAKLLLGDDNSMIVASMSGTVGYMAP >ORGLA11G0058000.1 pep chromosome:AGI1.1:11:4521224:4521598:-1 gene:ORGLA11G0058000 transcript:ORGLA11G0058000.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGSMGKASRKSDVFSYGIMLLEVFTGRRPIDAMFLGDLISLREWVHQVFPTKLVHVVDRHLLQGSSSSSCNLDESFLVPIFELGLICSSDLPNERMTMSDVVVRLKKIKVAYTEWISATQNPAQ >ORGLA11G0057900.1 pep chromosome:AGI1.1:11:4518620:4520437:-1 gene:ORGLA11G0057900 transcript:ORGLA11G0057900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLPFYNILPVYPIRAGLLEDAAPRLPTPPPATPPQKSPGEALRSSAAPRRAEDRREVEAATQPLVKPGDHPISSCLCVAQCPGYARHLFDGMSPSEECGIDDLPDELLQQILSLLSADEAVKTCVLSRRWRHLWKSTDILRVAFSTERWKSSDEFKKFVNHLVLLRGISPLRELDLRFNARRYEDVVHDGGSDPYQCVMLWVMYAVMCRVQVLKIHNLDQIDIEVYKGMPLVSPHLTKIELSGIELKNCFLNFSSCPALKELYFTKNCGFDSVYEILSRSIQCLHIFHCQFDEYCDGCHCSYEVSDDSESVLLGGLTEAENLKLIAGPNIESKSMVELETEENDNPLWKPAAISEHLKVVKVHCKEVDEGVYKIGKWLSTLDIKVIIKQRKQSPKRKLTIDIFHSSSIS >ORGLA11G0057800.1 pep chromosome:AGI1.1:11:4515003:4517043:-1 gene:ORGLA11G0057800 transcript:ORGLA11G0057800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLHTHLGFFLLLLFSLHNPSCSAAVNDTLAAGQVLAVGEKLVSRNGKFALGFYKPALPEGIASKYGNITSPGWYLAIWFNKIPVCTTVWVANRERPITDLEIKLTQLKFSQNGSSLAIIINRATESTVWSRQIANRTAQAKTSMNTRAILLDSGNLVIESIPDVYLWQSFDEPTDLALPGAKFGWNKVTGLHRTGISKKNLIDPGLGPYSVQLNERGIILWRRDPYMEYWTWSSVQLTNMLIPLLNSLLEMNAQTKGFLTPNYTNNNEEEYFMYHSSDESSSSFVSIDMSGQLKLSIWSQANQSWQEVYAQPPDPCTPFATCGPFSVCNGNSDLFCDCMESFSQKSPQDWELKDRTAGCFRNTPLDCPSNRSSTDMFHTITRVALPANPEKIEDATTQSKCAESCLSNCSCNAYAYKDSTCFVWHSELLNVKLHDSIESLSEDTLYLRLAAKDMPATTKNKQKPVVVAVTAASIAGFGLLMLMLFFLIWRNKFKCCGVTLHHNQGSSGIIAFRYTDLSHATKNFSEKLGSGGFGSVFKGVLRDSTTIAVKRLDGSHQGEKQFRAETLGWRHLWEGILVGF >ORGLA11G0057700.1 pep chromosome:AGI1.1:11:4501859:4508511:-1 gene:ORGLA11G0057700 transcript:ORGLA11G0057700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLPSTPISDSSLLISMHTPSCSATNDTLAAGQLLAIGEKLISRNGKFALGFFKPTLPEDAGSKYKNIASPGWYLAIWFNKIPVCTTVWVANRKRPITDHELKLTQLKFSQDGSSLAIIINRATESTVWFVQIANRTAQAKTSMNTSAILLDSGNLVIESVPDVYLWQSFDDATDLVLPGAKFGWNKVTGLHRTGISKKNLIDPGLGSYSVQLNERGIILWHRDPYMEYLTWSSVQLINMLIPLHNSQLKMNSQTRGFLTPYYVNNDEEGVLYVPLIRRMSFLICLTSXAFGRKLINTGKKYMPIPLIPALHLLPADHSVSALLIADLLASADGNTKPFCDCMVFFSRKSPQDWELVDQTAGCFRNTTLDCSSNRSSTDMFLAICRGVLPTNHKIVEDATTQSKCEEACLSNCSCIAYAYEDSTCYAWRGELLNLRLQNSIESLSEDTLYLRLAAKDMPASTKNKRKPVPAAVTIASIIGFGLLMLLLLFLISQNKLKCRGVPLHHTQGSSGIVAFRYTNLSHATKNFSEKLGSGGFGSVFKGVLSDSTTIAVKRLDGDKRLLVYEYMINGSLDAHLFHSNGAVLDWSTRHQIAIGLARGLSYLHESCHECIIHCDIKPKNILVEASFAPKIADFGMAAFVGRDFSRVLTTFRGTKGYLAPRVAXRSRCYFKSXCLQLWYGTARNHIRKEEFIRSIHKQPLSLXLFSCASHQQISXGKCAEFAXSRITWXFQFGRCXKGLXSYLLVHPRKXNXXANNGXSGSFSXGSTGDXYAPMPRLLAAIT >ORGLA11G0057600.1 pep chromosome:AGI1.1:11:4480278:4492081:-1 gene:ORGLA11G0057600 transcript:ORGLA11G0057600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKGLTSKKMAEAREEGGIDVLPDALLQHILSFLSADEALKTSVLSRRWRHLWKSTPTLRIVKTEDRWDWESFEDFNSTLTFMHQTSLRSILTFYGAEFHFXKAFRHYLRDLSERSRIVMTTVVILTLATVKTVMVVFVXXMRLAMIVPNACSWEVYWKLRIWSXXLSLKCLCYXMSGVLLIISGHXLVFSSSHQFXRTSLFKFPRTINLXXREENYNVLVKPVVTSKHLKVVKVHCTEVDDGIYKIVKFLTTLNIEVVIKRMDRSAKQVLADLNEQLKPAVQEEDQNHGVQEDEHVGIGVQGGANHAVHPFDLNPDASEQQQEMHPDDLLDDLCLIELIILNILLQSSASRKEFNLG >ORGLA11G0057500.1 pep chromosome:AGI1.1:11:4475132:4477265:-1 gene:ORGLA11G0057500 transcript:ORGLA11G0057500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKQKSLRSKSMAEASEEDRIDVLPDALLQHILSFLPAEDAVKTCVLSRRWRHLWKLTPILCITNTERWRSPKDFIKLVNHLVLFRGSSPLHKFEIKINSCAHWMIMSGDSNPFHCAIMWVMYALMCQVQVLTIKNVHAYIEMDDGFSLVSRHLTELNLSGLDFRKFVLNFSSCPALEYIYFSASCCFNSVEKILSQSVKYLTFDFPEFSEHHRTHIYAPNLITLRLDDCWGRVPFLESMPSLIAAFVRPHRDSDDLCSNTYSGNCEDEYCRGCYGMVDNAGNDSAKCVLLGGLSEAKKLELIVGPEMRIFRSDLRWCPLFSKLKSLLLNEWCVANNFWALACILEHSPVLLKLTLQISKETKPMIGAIENYSALVKPAAISKHLKVVKVHCKEVDEGVCETVKFLSTLDVVVLIRRMDRSTNLFSFEEGNSSSSHDEGSSR >ORGLA11G0057400.1 pep chromosome:AGI1.1:11:4452507:4452909:-1 gene:ORGLA11G0057400 transcript:ORGLA11G0057400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAKEVGLLNGVIPHLVDDGLSILQYADDTIIFLEHDLQQEFRCILGSFLIKIGNLLKRELRKSLVVGKASIYLLVVDWC >ORGLA11G0057300.1 pep chromosome:AGI1.1:11:4425438:4428014:1 gene:ORGLA11G0057300 transcript:ORGLA11G0057300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKIHKSTASRGLRSGVQSPKNPGKRAASRSGASSSDGPRFGRFTCYHGEEEKRWHPPANEILRSIQKLYDEAARRLPLDEIPELVDCLGEVGHCFGLADPVSNIILNAIAHLSGSPPLQLQDHPPPLPRKRTRRSYSEWWGFISSTSLNGLIAFMKVYFRYLIGDQARRYLYLASYDLLLAIKLVHHDRHLPLPPSPLLPDGGKMKNALRIAALKAGHPAPDDLARTMTAQYPSHLLSPIVHKLQGSDLFTTHDVQSIKDLLLNAFQWPPPNMDFLCCPNGESCAQAAPDRFAGISPNHVQFQKLLYISDLTIDSAAVETKLSKCVAKVLGGCEEHGHVNYDASPPCEHILSLNMCLLDTIHCFYIRALAVLPLPVSDDSMRRGRLLRAILMSGQCFGPLDPVSNIIINTLWYDAAYPPLYSKEGGGGDCEVELPQDISDTRAMSRIASRSLNGLVALLRAITTTTTGCSLSKHEAVEYLWSRQCDLTDKLQQTVMTNKNPYSAAAKASEHPQHTMLGSFLMSLCGENLDRLRHLLRSISDGSGCVISNADWEQLNAMIQEQLTTMIGRKRLPPFDTQALAALSRRRSDYVNRQRFVRSKLEELLVTYSRQHPWEPRYKLDLICGVEEPQSHYWRCYHANFLASTHGTVLKLNREATTTPNPKRTLFFAQFWDSQPGRFNESNSKPICCPVQDSTCFGLCTTCEGNASTILHPPSGYLFEDDVDDAIEVYDVDAAIDVFGSASCSLSKDKDKGLLESDFIYFDHGRNANLTKILNDDSIL >ORGLA11G0057200.1 pep chromosome:AGI1.1:11:4390996:4391799:-1 gene:ORGLA11G0057200 transcript:ORGLA11G0057200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRIRRAPARRTAPEEVPDRVSALPDEALHAVLSLLPAHDAVRTCVLARRWRHLWEHAPALRVTDVEGWNPRLRGEAGLRKFIRFVDGLFVSRRRCDAPLELCDLDFDFPEEDKGKDWHVNRWIMLALLRHHARVLRISLPAYVALPDVPLISQRLTRLELDGVLGNDNILDLSCCPALIALKMKCCRINAEKMSPSVKILSLASCEFYPATRTQMSFPSVVSLELDGCSGSVPFLESMPSLVAAIVRFDDDYADRCDNSVLGDWR >ORGLA11G0057100.1 pep chromosome:AGI1.1:11:4377137:4378831:1 gene:ORGLA11G0057100 transcript:ORGLA11G0057100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLLPSRDAVRTCALARRWRDLWRSVPAVRVAGARGWASFDALARFVDSLLRLRRGGAALDACDFDLRFDGAFPGEELQGDTWIRRALRRQVRALRFAVSTHPRVPIPLSDSPLVSHNLTTLELRGVQGNDQVLDFSSCPSLVDLKMKDCYVGGLEMWSPSLKHLSMTYCVFYCDYRTRMDFPSLVTFKFNTNTGRTPLLETMPSLATAAVRLDHFCHDRCANGWYDDCGDAGCKGCHDYYRPDEYDCVFLEGLTEATDLTLLAYSKVYLFNRDLKWCSTFSKLKTLFLNAWFVAPDLSALAWFLQHAPLLERLFLRVSKVPKNLVGMDGSFNQLEQPFAASHLQIVEIYCREVDGIILKILKVLNANGVPLEKISIRCSGCELSMNAHASYYAVVNMCCRAKHCP >ORGLA11G0057000.1 pep chromosome:AGI1.1:11:4373044:4374334:1 gene:ORGLA11G0057000 transcript:ORGLA11G0057000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMEDVVVLIVGAGPAGLATAACLAQRHVPYIIVERESSTASLWRHRAYDRLKLHLAKEFCELPHMAYPAGTPTYVPRDMFVEYLDSYADQFGIRPRYHTAIESAIHDRGKNQWVVLVRDMDTSVVARLATQFLVVAAGENSAASIPPIPGLSRFEGEAIHSSAYKSGRAYTGKSVLVVGAGNSGMEIAYDLATHGAHTSIVVRSPVHIMTKELIWYGMTMVQNLGLNVTTVDSLLVMAANFYFGDLSKHGIMRPKMGPLLLKSQTGRSAVIDVGTARLIKGGVIKVFQGI >ORGLA11G0056900.1 pep chromosome:AGI1.1:11:4369535:4370402:-1 gene:ORGLA11G0056900 transcript:ORGLA11G0056900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEDRISALPEDLLHQVLSLLPSRDAIFDEHLVSQNLTFLELQGVRASNRVLDFTSCPALLDLKMHGCQMDALEMCSPSVKHLSIMFCSFFTNHRFWISFPSLVSFFFDKNSGRAPRLDGMPSLATATVRLGYGCDDQCLNGFYNDCEDDECMACRDYDGHDECVFLKGLTEATELKLLAFPKVVCL >ORGLA11G0056800.1 pep chromosome:AGI1.1:11:4360825:4364907:-1 gene:ORGLA11G0056800 transcript:ORGLA11G0056800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEEVVVLIVGAGPAGLATAACLAQRHVPYVIVERESCTASLWRHRAYDRLKLHLAKEFCELPHMAYPMGTPTYVPRDMFVEYLDSYTDQFGIRPRYHTAIESAIYDGGMNRWSVLAQDTDTSVVTRLTAQFLVVATGENSAASIPPVPGLTKFEGEAIHSSAYKSGRAYTGKNVLVVGAGNSGMEIAYDLAMHGAHTSIVVRSPVHIMTKELIRFGMTVVQNLGLTVTTADSLLVMAANFYFGDLSKHGITRPKIGPLLLKSQTGRSAVIDVGTARLIKGGVIKVFQGISKINTDSIEFHGGKQIPFDAIVFATGYKSTVNTWLKNGESMFKDDGFPMKFFPNHWKGENGLYCAGFARRGLAGIAMDAKNIADHIVATMDQVSC >ORGLA11G0056700.1 pep chromosome:AGI1.1:11:4352667:4355465:1 gene:ORGLA11G0056700 transcript:ORGLA11G0056700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 69 [Source:Projected from Arabidopsis thaliana (AT4G33450) TAIR;Acc:AT4G33450] MASSSTTNTSDGAGKPASSSSSACPRGHWRPGEDEKLRQLVEKYGPQNWNSIAEKLEGRSGKSCRLRWFNQLDPRINKRPFTEEEEERLLAAHRHHGNKWALIARHFPGRTDNAVKNHWHVVRARRSRERSRLLARAAAAAAHPPPFSSYASQLDFSGGGASSGARNSSLCFGFGMINRSSSSSSSPAAAPFLIKSFNGASYGTLLPATTSMAAAAQPVSTITFSSTPMRETLELMDAGGHENHGDVDGGGDKRKGVLYFDFLGVGV >ORGLA11G0056600.1 pep chromosome:AGI1.1:11:4341107:4341430:1 gene:ORGLA11G0056600 transcript:ORGLA11G0056600.1 gene_biotype:protein_coding transcript_biotype:protein_coding KYTNAGAHVFVTMLLTVVFTAAVALALINAVNSHDFAAHLAGVDCRMGLIGPVRCLASGFVELLMPALHVVGCVLAILDRLHACLMSPSLQLSIASPCTRAGGSAAK >ORGLA11G0056500.1 pep chromosome:AGI1.1:11:4338448:4339378:-1 gene:ORGLA11G0056500 transcript:ORGLA11G0056500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFLLVLDGASSYPRGMNDILDTLFMKSRAGSKAIITTMYQHLATKINKHENLPVGFLAMEDLGCMFMENALGGAHPEEYQKLLVIGKKIAVTLRVCSPLAAKVVSGLLRENLNEKYWYIVLNRCQQFVASSSRFVTPFILGCKLLPKHLQRCFGVLGTYPRWTFTREELISYWMNNGVVVSDNCMINSIENVATDYFDDLVRKAFIQPSHIPGLYKADDMLRDIALYIGPMPVPKTRIHLANLIGEGFSIHERFWLMRLAE >ORGLA11G0056400.1 pep chromosome:AGI1.1:11:4335875:4337167:-1 gene:ORGLA11G0056400 transcript:ORGLA11G0056400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRGRVKHALRGADAAFRVLAGGRRGIHFVPGPTEDEEEEHATPPSRRWYRAAYARLLRLAGSLRGVERGDGGGPRHAETGSVVADARRVADRVAEFDALAARYLAAGQRAPPLKATSLSSLTRVCDVLGVSAQLRKSVRLAICPQLTQHHIWRGALEEVLRDLRADMAALGHPSPATQMADQIAAACVHFLSDTADEATSSSPSWMRPTPFNKPANSPPPPPAKTWQEVLDMFTDLAKSLDADARLAGHADKVAAMKEGLYQIRNVFVERDIAFKEARRQDCLVQKKLSKSLGHSSKCLYTLLLFYLYGNVRDVEVHAGKRLSGKGGKRVTVHAAKFLIDGDEPAIRNAVKQLSRAIGVFRFVWEAAHADNGDHANGNGKGGAMAKKGHGDDAKGLLKLQGHIWGLGVEEKEVTYRGDVFHVHQIQLP >ORGLA11G0056300.1 pep chromosome:AGI1.1:11:4331143:4335323:1 gene:ORGLA11G0056300 transcript:ORGLA11G0056300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWKRGKGKKGKGGSAAAGEEEEGRRKAGSFDEALLAGKQSREEKVVVVVGHPLPRPASLPAPSAASSSAAGSASASSAGSSSLGSSAASDEPPDLGTYRVPDASYVLPGRTLAIDSRRQNLAVEEGRFFANNQGLEHPRLSETSVSPRKESYLHNLDLSNDRTAYCHGRKSTEIVFSTRVPISPPGSKGQIPCPTSPVQSRAFGQCPGSPTARQDDSRSSSSPHPLPRPPGSPCSSSRVVSSQWKKGKLLGSGTFGQVYQGFNSEGGQMCAIKEVKVISDDSNSKECLRQLHQEIVLLSQLSHPNIVQYYGSDLSSETLSVYLEYVSGGSIHKLLQEYGAFGEAVLRNYTAQILSGLAYLHGRNTVHRDIKGANILVDPNGDIKLADFGMAKHISAHTSIKSFKGSPYWMAPEVIMNTNGYSLSVDIWSLGCTIIEMATARPPWIQYEGVAAIFKIGNSKDIPDIPDHLSFEAKNFLKLCLQRDPAARPTAAQLMEHPFVKDLVANRSFRSGMTRDTFPTSFDGKGAMVQTSNRSLSPLRDPDLTMRNLQVPTSAIPSISTRRISAINPSNVRMNMSLPVSPCSSPLRQYRQSNRSCMPSPPHPAYSAGAANYSPINNALYPKRPSNHVPDPWLEISQLKAPPFDSPRRL >ORGLA11G0056200.1 pep chromosome:AGI1.1:11:4317405:4317941:1 gene:ORGLA11G0056200 transcript:ORGLA11G0056200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPHSLVRNIVSTSTTLHPALQQERGRGGGEGARSRVRYAPSEEQEDAAVEHVFVLEMGLQLRRRKEGKDGEWRGKIRGNFGHWINITAGGDVTVCLKVGGGLVC >ORGLA11G0056100.1 pep chromosome:AGI1.1:11:4300825:4307677:1 gene:ORGLA11G0056100 transcript:ORGLA11G0056100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGPPNPMGPGQPVGAASLLRTSSSLLSGGQQGMGSGGGMLPSQSPFSSLVSPRTQFGANGLLGGGSNVSSLLNRSFGNGGHMLGPGSMPGGGGLPMNTLQQQRGGLDGAGDLVGAGGSDSLSFPSSSQVSLGNQLGSDNLHPPPQHQQQQQHLDAMQDLQHQHQHQQQLPMSYNQQQLPPQLPQQPQATVKLENGGSTGGVKLEPQMGQPDQNSTAQMMRNASNVKIEPPQLQALRSLSAVKMEQQSSDPSAFLQQQQQQQHLLQLTKQNPQAAAAAQLNLLQQQRILQMQQQQQQQQQILKNLPLQRNQLQQQQQQQQQQQQLLRQQSLNMRTPGKSAPYEPGTCAKRLTHYMYHQQNRPLDNNIEYWRNFVNEYFSPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYVDMPRESQNASGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSAVQNSTNLSTQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNGSGPIASLHSFPRRTSSGGVNPQQSQQQQPEEQQSIPQSSNQSGQNAAPMTGVQASASANADVTSNNSLSCAPSTSAPSPSVVGLLQGSMNSRQDHPMSSANGPYTSGNSAAIPKVNSTTSLQSTPSTSFPSPVPTTSNNMMPAPQNTNQLSSPTASSNLPPMQPPATRPQEPDPNESQSSVQRILQDLMMSPQMNGVGQLGNDMKRPNGLTSSVNGVNCLVGNAVTNNSGMGGMGGMGFGAMGGLGPNHAASGLRTAIANNAMAISGRMGMNHSAHDLSQLGQLQQQQQHQHQHQHQHQQQQQQQQQQQHDLGNQLLSGLRAANSFNNLQYDWKPSQ >ORGLA11G0056000.1 pep chromosome:AGI1.1:11:4279838:4287228:1 gene:ORGLA11G0056000 transcript:ORGLA11G0056000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGQPVGAAASLLRTSSSLLSGGQQGMGSGGGMIPSQSPFSSLVSPRTQFGANGLLGGGSNVSSLLNRPFGNGGHMLGPGLMPGGGGIPMNTLQQQRGGLDGAGDLVGVGGSDPLSFPSSSQVSLGNQLGSDNLHQPPQHQQQQQQLDAMHDLQQQQQHQQLPMSYNQQHLPPQPPQQPQATVKLENGGSTGGVKLEPQMGQPDQNSSAQMMRNASNVKIEPQQLQALRSLSAVKMEQPTSDPSAFLQQQQQQQHLLQLTKQNPQAAAAAQLNLLQQQRILQMQQQQQQQQQQILKNLPLQRNQLQQQQLLRQQSLNMRTPGKSAPYEPGTCAKRLTHYMYHQQNRPQASFWDIPNKITILSTGEILSMSIFLQMLKRGGVYLSMEVVAKLLEFSLRMYGIVRYAIGSLGEALNASGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSAVQNSTNLSTQDMQNNCNSATHHNAISSIYSQSNEFCPVFLDELTKIRHARSLSLSRWAVAAVSNAAPLLSSGHPASGRMGPTPLCTHRTPPHSPDEDSWRQSSMRIFVHEHDEVGGVQWSWRRPLLLEEAAVALKEAAGARAWGGHRHGSWKRPVVRAAMQELELFSSVSASIHGRLVCWLDAATSKLEKAEQQQQHVKRKNISAKYSGPMGVWFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNGSGPIASLHSFPRRTSSGVSPHQSQQQQPEEQQSIPQSSNQSGQNAAPMTGVQASASANADVTSNNSLSCAPSTSAPSPSVVGLLQGSMNSRQDHPMSSANGPYTSGNSAAIPKVNSTTSLQSNPSTSFPSPMPTTSNNNMMPAPQSTNQLSSPTTSSNLPPMQPPATRPQEPEPNESQSSVQRILQDLMMSPQMNGIGQLGNDMKRPNGLTSSVNGVNCLVGNAVTNNSGMGGMGGMGFGAMGGLGPNHAASGLRTAMVNNAMAISGRMGMNHSAHDLSQLGQLQQQQQHQQQQQQQQHDLGNQLLNGLRAANSFNNLQYDWKPSQ >ORGLA11G0055900.1 pep chromosome:AGI1.1:11:4260274:4265027:-1 gene:ORGLA11G0055900 transcript:ORGLA11G0055900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVDGGSWEDMLRRILPPGAPIPEAPPNLDYSIALEYDGPPVAYDLPRVDPVEIPTAETVSGPQRLGNGGGLPVVEPIPLPVSRIARCAEPVSAQVGGGSSDSVNSVLQNEEFDDDDDDSRSLSHDSAQSSPGPQDRSHRTQEGRRGATVTFGFTPDSKCGSKEFEEMSEQYVAVTKKEKRRRACYRCGKRKWESKEACIVCDARYCGYCVLRMMGSMPEGRKCVTCIGQPIDESKRSKLGKNSRTLSRLLSPLEVRQILKAEKECQANQLRPEQLIVNGCPLGPDELTDLLSCSRPPQKLKPGKYWYDKESGLWGKEGEKPERIISSNLSFTGKLQANASNGNTQVYINGREITKIELKILKVANVQCPRDTHFWVYDDGRYEEEGQNNIKGKIWESALTRFACALFSLPVPPGNSNETRDEVHYSARTVPDYLDQKRIQKLLLLGPDGAGTSTIFKQAKYLYGTRFTQEELENIKLIIQSNMFKYLGILLEGRERFEEEALSRSNCTISEDEETQQDENKANGSNSCIYSINPRLKKFSDWLLDIIAMGDLDAFFPAATREYAPFVDEMWKDPAIQATYKRKDELHFLPDVAEYFLSRAIEVSSNEYEPSEKDVIFAEGVTQGNGLAFIEFALDDRSPMSEPYIENPEAHSQPLTKYQLIRVSAKGMNDGCKWVEMFEDVRMVIFSVALSDYDQVGPPVYGSSRPLLNKMMQSKELFEATIRQPCFCDTPFVLVLNKYDLFEEKINRAPLTTCEWFNDFSPVRTHHNNQSLAQQAYYYVAVKFKDLYYEHTGRKLFVWQARARDRQTVDEAFKYVREILRWEDEKEENLYGGGEDSFYSTTEMSSSPFIRAE >ORGLA11G0055800.1 pep chromosome:AGI1.1:11:4243426:4244604:1 gene:ORGLA11G0055800 transcript:ORGLA11G0055800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRQCLLPLLRAAAAAHPHTSAASSSSLHLSRRLFSTAAGPDTAPPFSVEEYLVATCGLTGAQALKASKKLSHLRSPAKPDAVLAVLSGVGLSRADLAAVVAADPMLLCARARNVARRLHSLRDRVGLSDADIARFLLAGGAMGLRKCDIAPRLEFWIGFVGSFDKLLPALKGNNGILMSDLDKVVKPNIALLQECGLSVCEIAKLSTLKWTVLSLSPERVKASVLCVEKLVVPRSSDRFKDVLKSACWISEDMIAMKMEFLRSTLGCSEDKLRAAVCISPHIFYLSDKNLCRKIDFLISEVGLEREFIVERPWVLGYSLEKRMVPRHSVMKILRTMGLMKDAVDFSSSLVYSEKKFVARYIDPYKQAAPTLADSYAAACAGKMPAHAHR >ORGLA11G0055700.1 pep chromosome:AGI1.1:11:4242089:4242313:1 gene:ORGLA11G0055700 transcript:ORGLA11G0055700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDIGELLPPWTSPSKIELPFRVAAYITLYESSSFAFNALLRSPFLATAHHAHNTLAPIQSGVTRLIGGPYDF >ORGLA11G0055600.1 pep chromosome:AGI1.1:11:4239771:4241159:1 gene:ORGLA11G0055600 transcript:ORGLA11G0055600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPATHYPLSTSAPSAYGLRNCNIASRLEFLIPLLGSYNVLLKTVKRSYRILTSDIEEVIKPNFAQLQECGLTVCDIVKTNPRLLSFNPERIKRYVHRADMLGVPCCSPAFRMAVCSTNEGSVTARMEFLSRTLGCSMDNILMAVGKRPTILGLSMDNLRRKIEFLVTEVGLKPECIVECLGILRYSLEKRMVPRHSVMEILQARGLMKKDASLYGLIMQGEADFVARYIDPHKDMVPGLADAYSAACSGKMPVVPDSTVKKRHGRHDISCRGFAMFEIWNLLSYMLDNYTCRSALHLADTLLEFSELQQ >ORGLA11G0055500.1 pep chromosome:AGI1.1:11:4235649:4238980:-1 gene:ORGLA11G0055500 transcript:ORGLA11G0055500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELLLAYVCWXWXQXCRRXDGRPRWXRAVPHSCEYGDQVGDWGRGLQSLGTAXHTWHLRDELLDGDGRDTERKNLHPNTTGIVTFAEAGARCGELDGGQPWVAALGEGDADASHSKHSGMCGHAVVRVVEEISGRAGGAR >ORGLA11G0055400.1 pep chromosome:AGI1.1:11:4233226:4234587:-1 gene:ORGLA11G0055400 transcript:ORGLA11G0055400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRRRLLPLLRVPSSPHASASSDAHLRRLRLHLSTAAPFSAEDYLVATCGLTGDQAFKASKKISHLRSAANPDAVLAALSGVGLSRADLAAVVASDPHLLCARPDNVSRRVASLRDSVGLSDPQIGRFLLAGGAMAVRKCDVAERLEFWIPFLGGSFETLLKMLRRNNAIVRADVEKVIKPNIALFQECGLTVRDIVKMPGWLFTFNPKRVEAAVERTGKLGVELASSRLKYMLSIAGNITEGNASARMKYLSSTLNCSMDKVEYMVGKMPTIITLSEEKLRSKIEFLSSTLNCCVDKIGHMVCKEPFILAISEEKLRINTEFLSSALGCSIDNICFMVYKMPSILGLSVNNLCRKIEFLVTKVGLEPDYILSKPVLFACSLEKRLMPRHYIVEVLLAKGLIKNAGFLTYAILREKDFIARYIDQHKNAVPGLADAYATICSGKVPPELQL >ORGLA11G0055300.1 pep chromosome:AGI1.1:11:4227562:4228791:-1 gene:ORGLA11G0055300 transcript:ORGLA11G0055300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSFCRPTAGGGLAGAMLHLLPLLRARAATHLPTSSSSLHLSRRCLLLLSTAARSAAATPFSVEEYLVDTCGLTGAQALKASKKVSHLKSAANPDTVLAVLSGVGLSRADLAAVVAAEPQLLCARADNIARRIASLRDRVGLSDPQIGSFLLVAGGAKGIHACDVAPRLEFWIPFLGSFETLLRILKGNNVLVLSDLEKVIKPNIALLQECGLTVCDIVKMARFAPRMFTSNPKQVEGFVRRADELGVPRTSGQFKYMVGIFANISEGSATARMEYLSRSLGCSMDKLRSAVQKLPQILGLSETNLGSKIEFLVGKVRLEPEYLLKTPKLFTYSLEKRLVARHYIVQVLAAKGLKGLKKDVPFCSYVQLGESCFVKNFIDQHENVVPGLSDAYAALRAGKVPPEVQH >ORGLA11G0055200.1 pep chromosome:AGI1.1:11:4224797:4225036:1 gene:ORGLA11G0055200 transcript:ORGLA11G0055200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDDHKGPLEGALEAAVLEEVCEITSNGGGGGGGGEGGRGGGGGGGIESMAAAARVQAATASNLFVPLLFLMLPRCGL >ORGLA11G0055100.1 pep chromosome:AGI1.1:11:4179297:4180860:-1 gene:ORGLA11G0055100 transcript:ORGLA11G0055100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKRGRRMVDQDGGGGGDRVGALPDEVLHHMLSFLPVRDAVQTCVLAHRWRDLWKSATGLRIGSDEEDTARVREIREFVDHLLLLRGCAPLDMCELKFWFDSDEDDEEEDEESKNDARRVNLWIRSAVASKVRNLVLNNICSGSFELDDLPLVSRHLTRLELFNLELTNRFCNFSSCPALEHVKIANSTVSCPRIISSSTGSLLRLIITRCSFVVGTSFRTKICVPSLVSLQLDSNSKTPLLESMPSLAEATVRVTAGCSDVCGNADSGYCGFEDCKYCYPIDDNRNCVLLNGLSEAKNLALTAECKTFIFKRDLQWCPTFSKLKTLLLNDHWCVAPDFHALSCILKHSPVLEKLTLHLFSKGPEHKVELNGSFGLMDRPTGISERLNIVEVKCKVVDENVSKVLKFLCACNIRKLTNCS >ORGLA11G0055000.1 pep chromosome:AGI1.1:11:4169865:4170219:-1 gene:ORGLA11G0055000 transcript:ORGLA11G0055000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQDMMEFMDHLFLLRGLRAGAARHARAQDFDSLRWTTCLLSISRHLKRLELVSHSANQQILRLLELLGAGTSED >ORGLA11G0054900.1 pep chromosome:AGI1.1:11:4166455:4168685:-1 gene:ORGLA11G0054900 transcript:ORGLA11G0054900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRGGSKRACVGSGGGGDRISDLPDEVIHRVLWFLPTHEAVKTSLLSRRWRELRKSTRRLSIAGLSRSPHLLSTTGSGGSSPATVDKLSKFVNHLLLSRKQGPLDECRFSFDGFKDMDGAQVDMWIRYVLDNVWQLRVLLINLGTSIHVKLAGTPLVSENLDNYDALCGLCANCRDNDNISGTCLLLRGLSRCTYLELSPSYQMLTFERDLRWCPTFSNLRTLVLSDYNLDGGFHALLCFLQHTPVLQKLTLKLRKIHGPTVDISSYLKRPVVLRHLRIVEVKCPVSVQEEIFKLWKILITWGRYIVQFNIESTHYRLDWTIKMPKLSGRLAKQHRSMAKEARSTTPVFMCCYAHIDCSGQASGVQRLRLSVRGETSDKNVLVE >ORGLA11G0054800.1 pep chromosome:AGI1.1:11:4160512:4161909:1 gene:ORGLA11G0054800 transcript:ORGLA11G0054800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTKKAKKAAAAGGGDLTGALPDAMLHRILSLLPAQEAVRTCVLARRWRHLWRSAPGLRVVRAAGRPPATVEELQGFVDHLLLLRGGSPLDTCELSFDQIRRQDIPRVNLWIRHIVMCKVRVLVLHFHPHCQLDKLPLVSQHLTRLELSGLILNDSFLDFSSCPALDYLDIVQCYFSSSLTNITSQSLKRLRIIKCYTGSRPHVHATNLVSLHLDTITRTPVLERLPSLVKADIKLNSQCRDFCSFDDFSGGCNHEFCGGCRGVQAENCVLLRGLSEAKNLALVAETKMFVFRRDLKWCPIFRNLKTLLLNECWCVPSDLSALACILQHSPVLEKLTLQLFSMGPKHKVEMKGSRHPSGVSAAMLKYLEIVEVKCEVVDESVLDVLKFLSSLNICKITTGTHAFYIHSICI >ORGLA11G0054700.1 pep chromosome:AGI1.1:11:4158627:4159052:1 gene:ORGLA11G0054700 transcript:ORGLA11G0054700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CMFKQDLTWCPRFSKVKTLLLDGWVVGHDFYAVVCFLQHTPILEKLTLQLCKGHERMVEIEESNRSVGRMVQFEHLQTVEVRCLRNDEWVHKILKILNTYGITPDKITIQIQV >ORGLA11G0054600.1 pep chromosome:AGI1.1:11:4157150:4158259:1 gene:ORGLA11G0054600 transcript:ORGLA11G0054600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRDGRSEGLTAEEDGGEDWLSTLPDEILHNVLSFLPAHEAVWTCVLSRRWRNLWRSAPVLRIRDADRWGGMAKFDKFVNNLLLLRDPVPLDELEFQTHVLDICQLHPLQPSFRLLKYANTWIRHALMCNVRVLKVLVQYQYELPLLKVSMPLISEHLKTLELRRVLLDKRALDFSSCPSLENLEMDSCGNSTANKILSQSLKRLCITCCWFADDLPSLPALQDLEMESCGICTEKLVCESLKHLCLTRCHFEKPTHISAPGLISLHLNDISGWTPSLETMPLLVTASVKLGKGSTGCCSECTFHPGTCADCDGDPDSSFKCEFLRDLSNAVNLELAAEDGMVHSCSFLTCLLANFCHSYYQTLHIH >ORGLA11G0054500.1 pep chromosome:AGI1.1:11:4151914:4153327:-1 gene:ORGLA11G0054500 transcript:ORGLA11G0054500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSLKHLCVTKCNFEMSTHISVPGLISLRLGDNYGSIPLLESMPLLVTASVKFGTLSXGCRKCRYDPGTCVCCDGDPDGDGSVKCKFFRGLSNAAKLELVAEAGMQDLTWCPTFSKLKTLLLDGWVVGHNFRALGCFLQQTPILEKLTLQLCKGHEDMVEIEESSSSMGQLVRFENLERVEVRCLRNDEWVQKVFQILNTCGVSPDKITIQRYIYIINMKQALRFSNRSVAEVSSSSEVESQVLRL >ORGLA11G0054400.1 pep chromosome:AGI1.1:11:4147054:4148079:1 gene:ORGLA11G0054400 transcript:ORGLA11G0054400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEGANVRGSHKIKKTAADVEGGGDWTNALPDEVLQHVMSFLPAKQAVRTCVLARRWRHLWKSMPVLRVFHPRIMKVELHEHNDNFSLAKLPLISQRLTSFPALEALWMKFCYIDGEKISSQSLKELTMIDCIFFRQFRISAPSLVRLEITDCVGKAPVLEIMPSLVKAFIRFRDSRDICGKEEFGGSCTNASCDNCGANGVDSGDCVLLKGISMAKSLELVAEPGAYMYSVN >ORGLA11G0054300.1 pep chromosome:AGI1.1:11:4128982:4131758:-1 gene:ORGLA11G0054300 transcript:ORGLA11G0054300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPMPPSGQRRLEFSFQGSSASAVGGGGGEFERVRMRSPLGNPMAAREGEEESRWLQASRVGSPESGTPSPEFWGQQQQQQLQRLYPASAGSSPSRAQAIAGYRREMLDLVRGLPESCYELSLRDIVESPPPPPPHPLPPPPPTPPPPTAEATITAAAAGAMGEEASKKQGKSTTAKTARKQRTIGRTRSRSMDRSVSLDTGLLIKLFLPLSVGGGGGGKKKVSPKPPAAAAAGGKKNKTKGKKKKKQEAQLQEEEWWSKGGEFSEAGTSSRTSSTNSTNSTSSGSHGSSSSSSIGNGHGGGNPKAQTTRSRSRKRIGCYGFFKKNKSKNGGAED >ORGLA11G0054200.1 pep chromosome:AGI1.1:11:4117881:4123868:-1 gene:ORGLA11G0054200 transcript:ORGLA11G0054200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVACAERATSDMLIGPDWAVNIELCDIINMDPGQAKDTLKLLKKRLGNKNSKVQILTLYVLETLSKNCGDVVYQQIIERDILSEMVKIVKKKPDLNVREKILSLIDTWQVAFGGASGRYPQYHAAYQELRSAGVDFPPREENTVPLFTPPQTQPLRQPHLYPPPGQSYEDAAIQASLQSSAPSAPALSLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYQGRVMDLVSNTGDESLLFQALGLNDELQRVLQRHDDIAKGVPPGSGPAPAAANVNQGTAPPRPTGVSFSPLLNVHHEDDEPEDEFSVLSRRSARDGTATQGNLPSAPKSERPYPSPLLPPPPSSKRPVFTEASSVDYLSGDSYKTEKVSDDFINPTAPANIPAPSHSKTETNPPPSYDSRSESVSDDFINPTAAPSFSMPSRPMSESNRPVVNRQESLPDDDFINPTAMPGFSSSSNANKYGDSGEDLPKAPWEAQAPGSLPPPPARYGQRQQYFEQQHGLPSGNNGAGYNGLVSQTEGLSLNQRNTENERGSSVPTASRQTKPEDSLFKDLVDFAKNKPSSPSKPANSRRTR >ORGLA11G0054100.1 pep chromosome:AGI1.1:11:4114961:4115779:-1 gene:ORGLA11G0054100 transcript:ORGLA11G0054100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT5G49400) TAIR;Acc:AT5G49400] MSGNDDKSQAAAERIKAQALSAAKGLSRTQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAVILGVKPKAAQTSSSGGAYTQCQKCFQPGHWTYECKNERVYISRPSRTQQLKNPKLKKTAAPVSYQFQNPDLEKEKEEERKLMKAKLKKEKSEKSKRKSKRKYRSRSDSDSSEASVFDSDSESSVTGSEYSSGSSSSYSSSDSEDKKRRPKRKQQKRRHRRETSSSASSESDSESASASDSDSDDKGSRKKSRKRSARR >ORGLA11G0054000.1 pep chromosome:AGI1.1:11:4108063:4111324:-1 gene:ORGLA11G0054000 transcript:ORGLA11G0054000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:I1QYE8] MAISKAWISLLLALAVVLSAPAARAEEAAAAEEGGEAAAAEAVLTLDADGFDEAVAKHPFMVVEFYAPWCGHCKKLAPEYEKAAQELSKHDPPIVLAKVDANDEKNKPLATKYEIQGFPTLKIFRNQGKNIQEYKGPREAEGIVEYLKKQVGPASKEIKSPEDATNLIDDKKIYIVGIFSELSGTEYTNFMEVAEKLRSDYDFGHTLHANHLPRGDAAVERPLVRLFKPFDELVVDSKDFDVTALEKFIDASSTPKVVTFDKNPDNHPYLLKFFQSSAAKAMLFLNFSTGPFESFKSVYYGAAEEFKDKEIKFLIGDVEASQGAFQYFGLREDQVPLIIIQDGDSKKFLKAHVEPDQIVSWLKEYFDGKLSPFRKSEPIPEVNDEPVKVVVADNVHDFVFKSGKNVLVEFYAPWCGHCKKLAPILDEAATTLKSDEDVVIAKMDATANDVPSEFDVQGYPTLYFVTPSGKMVPYESGRTADEIVDFIKKNKETAGQATEKAESAPAEPLKDEL >ORGLA11G0053900.1 pep chromosome:AGI1.1:11:4095630:4098853:-1 gene:ORGLA11G0053900 transcript:ORGLA11G0053900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILQWNRELAGVTDASGSTALHFAASAEGPEIDIENSSLLRWLRFRXPCQGRQTPSQLLLEADPSLACRPDSNGEYPIHVAASMGNLKLVALLLHKCPECAGLHDARGRTFLHAAVDQRREEIVEFATDGGRESAMAAILNAQDDDGNTAMHLAVVGGVLKVFCYLLRNRKVRLDLANNDGLTPADLSRSTIPTGLYYKTNARTWILWSLVVAKARGGNIRRDHFHEQYVPKLDESAESKKMTESTQIMGIGSVLVATVAFAVAFSPPGGYAAPGGVDGNGRGNLIGAPALAGRYAFDAFMYAVAVAFTCSMLATFSLIYAGTAAVEWKIRYMYFKHSLSWMRKSTRSLLVAFALGVYLVLAPVSRATAIGVCALTAGTMLFRNREVFRMLICAYVLKKRMGIRVVLKIGAPIAVVLLQSSLVYFVIFGGPLWTPLCVLLFVGKILHDDLLRILRHIYVKYI >ORGLA11G0053800.1 pep chromosome:AGI1.1:11:4083073:4085049:1 gene:ORGLA11G0053800 transcript:ORGLA11G0053800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKMLQWNDGLSGEADASGSTALHFAASAEGPEIELEKSSLLRRLALRWPCSRNGRRRRRTSTQVLLKADPSLACRPDSNGEYPIHVAASMGNLKLVALLLHRCPECAGLRDARGRTFLHVAVDRGREEIVGFATDDRRRRDGSQLATPILNAQDDDGNTALHLAVASGVLNVFCYLLRNRRVCLDLANNDGLTPADLSRCTIPAGLNYKTNARTWILWSLVVAKALSGNIRRDHFQQQYVPKLDEIAESKKMTESTQILGVGSVLVATVAFAAAFSPPGGYAAGDGNNNNGRGNVVVVAGSPALSGRYAFDAFMYAVTVAFTCSMVATFSLIYAGTAAVDWKIRHRYFKHSLSWMRKSTRSLLVAFALGVYLVLAPVSRATAVGVCVFTAGTLLFRNREVVRMLICAYVLQRRMGITVLAKIGVPIAVDLVKSNLVYLVIFGGPLCTPLCVLFFVWRLAPVIMRYVHRKLI >ORGLA11G0053700.1 pep chromosome:AGI1.1:11:4078156:4078976:1 gene:ORGLA11G0053700 transcript:ORGLA11G0053700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLLRAAGRGDYAVLEALLLGAAAAAAATPNQQVAIDVGSGQQSPLLLDAATTPQGDSALHVVAASGDGEGSLRCARTIYSHAARLLDRPNARGDTPLHCAARAGNAAMVRCLLDMAREEELAGSAGRVDEVMERQNGRRETALHDAVRLGDKQLVDHLISVHPRLARLPGGDGMSPLYLAVSLGHDHIAEALHQQGDELSYAGPAGQTALHAAVLRGKEFSFIYAIHVTQE >ORGLA11G0053600.1 pep chromosome:AGI1.1:11:4076210:4077038:-1 gene:ORGLA11G0053600 transcript:ORGLA11G0053600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGCLGIDDGDRGASPSLASAPPVGSALACRHPRLPLRCLDPTAFAHRRSLMEVGNSYFFQNEKGSTSAEENNDNMRCGGVTVETMELSTDLALRGRLII >ORGLA11G0053500.1 pep chromosome:AGI1.1:11:4071879:4073333:1 gene:ORGLA11G0053500 transcript:ORGLA11G0053500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPVAAVLMSRRLCRPLAQATARQRKGRGRAAAAARVPPPESPDAGGDAGARRELLGIDVEHIVGVALPLPPPPPLNPCATPATPSARTWILWSLVESNALGSNFRRNDHFEEEYVPKQDESAESKKMTESTQMLGVGAVLVAAVTFAVAFSPPGGYGGDGGGAPALAGQYPFDAFMYAVAIAFAYSMLATFSLMYSSTAAVDWKLRRAYFERSLAWMRQSTRSLLVAFALGVYLVLAPVSRTTAIGIMVSASGTQEQGGAADADVRVRAAQEDGDHGAGEDWCPNGRRPTAVQPYLRGYLWCTPVSSVMPSGFRWEASAXGCLVPKICKWKVGVRIGLYPRLYLNRVAHLL >ORGLA11G0053400.1 pep chromosome:AGI1.1:11:4069234:4071103:1 gene:ORGLA11G0053400 transcript:ORGLA11G0053400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLLRAARCGDFAGLEALLLGAAAAAAPNQVAIDVVVVHHHGAAAAPGQQAPEAAPVPHLLDAAATTPQGDSALHVVAASGDGEGFLRCARAIYRHAARLLDRPSASGGGGDTPLHRAARAGNAAMVGCLLDMARQEEEELAGGTGGSRVAEVLEKRNARQETALHDAVRLGDEQLVRHLMSVHPRLARVPAPGGGMSPLYLAVSLRHDRIAEALHQQGGDEVSYSGPAGQTALHAAVLRSAEMTEKILEWNKGLAGEADASGSTALHFAAASPENNPETDSSSLLRRCLRSPSSHGRRTPTQLLLEADPSLHFRPDGDGEYPIHVSAAAGNLRLVALLLDEHCCPECAGLRDARGRTFLHVAADRGRQEVVGFAADDKRAVAASILNAQDDDGNTALNLAVVAGDLGSFWCLLRNREVRLDLANNDGLTPVDLSRSTVPAGLYYKTVNLD >ORGLA11G0053300.1 pep chromosome:AGI1.1:11:4061313:4062334:-1 gene:ORGLA11G0053300 transcript:ORGLA11G0053300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCADAMRLVKRMQRELLVMFKKADVPVGSAVSYGGGGGGGDGGGCWFEHYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGGWWLEEEPGGDDAGAIRHRLSDVRAAVSEAERLGRKIMSSSSGGGGAGDDDAGGMVVVMLVAKITMAVVSMFVLQALTSPIVPLAADVDDGHCTLGRAAAVPVPELQPWRESLSVITDRFPRRPGVAEHERVAMVVKSMMISTKMEGEEETKNGKQEQEDDHVELLRTRSGELREGVEMFDCVLDEVFDEVIKGRNEMLGIFRDKALTLG >ORGLA11G0053200.1 pep chromosome:AGI1.1:11:4056132:4060293:1 gene:ORGLA11G0053200 transcript:ORGLA11G0053200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGGFFDYRGGHHHALPEYHRPLPHASKPSRIRRPGKPARRRSPAAAAAVASALLLAGVFLLSRRLSRQPAEISQDLGGGGKGLPEWNRSKELKFGHGGGGRSAQDSRYWDRDDRRRDEDYSEDEKEKISGASGNNAGVSDKVVTSDPAVEEKGLTMDTGGAADKEAAEVAEGGKGGTLYNEGGRKELEQYEAAAMGAAGTGIREVDPDDEYDDGIDAQDDLDDAQSHSSDGGRKLGDSSHESTESKENIAHDSTGNKESIALERRTETGAGISDGVDVIDAANVNQKKVSATGDKKHASKKKSKRKKTGSTCEMRFLNSTAQLVEPAKNEKFASFNLEYVEVEDKPLGSEYWEPRFAGHQSLQEREESYLAHDQQLNCAFVKGPNGTSTGFDISEENRKYMSKCHIAVSSCIFGNSDRLRTPFGKTITSLSKKTVCFAMFLDEITLRTLESEGQKMDSSGFIGIWKIILIKNMPYNDMRRVGKIPKFLAHRLFPSSRFSIWLDSKLRLQNDPILILEYFLWRHGYEYAISNHYDRHCVWEEVAQNKKLNKFNHTIIDQQFEFYQADGLTKFNPSDPNKLLPSYVPEGSFIVREHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYLKLRRMNPEKPFRLNMFKDCERRSIAKLFHHRSEERRSSPQLTR >ORGLA11G0053100.1 pep chromosome:AGI1.1:11:4047512:4047763:1 gene:ORGLA11G0053100 transcript:ORGLA11G0053100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRIRPVRHVVEDAAISLLSSCSPPAPIGRPASTRARPERWRRRRRRRRQHLLRAEQSGFGERGRDEEEERVVETDTWVPLS >ORGLA11G0053000.1 pep chromosome:AGI1.1:11:4032949:4035232:-1 gene:ORGLA11G0053000 transcript:ORGLA11G0053000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFFGVGKEGIQLIRIRFEHLKIPSSFNQCLQNQPTGMVSLVDRSGNKWSAELTSDSEGFFFVHGWKEFVRDNSIQCGQFLVFTYDKRSQFSVTVFEPSGIDKISTFSAHPSKNVIIKTESDEGGMVTAAITTEKMAPALKENNGITGKRTRDVDYLMEDRVVVFKKSSEANVCESSRRKRAGASAGKSKVTSTSHNSTRGSSCSSDEDNSSSKSPNPPFLMRFLSGEVSRRGRCVSKGQRQLTVISQRRPVTEAEKDHALQRAREFKSKNPFAVQIMMESYVYVGFFMNIPCEFVRECLPRTNKRITLWDPQGKAWEVNYVYYSDRSVGSFSGGWGKFAVGNNLEKFDVCVFELVQKDNIKVHIYRVVPEITPHKLRSDPK >ORGLA11G0052900.1 pep chromosome:AGI1.1:11:4030388:4030804:1 gene:ORGLA11G0052900 transcript:ORGLA11G0052900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFTFFPAATSPRRDHRLGLVGSPPALLFAAVVEVDDGDDGEEEEKMDLLWEDFNEELARAPPVCPLSPLNIKGGGLTATTAMAKDDGGGGEKQARRMYSGSVVRRRRRWSLLLMLRLLKNLFLAKNTRNNPRTAPI >ORGLA11G0052800.1 pep chromosome:AGI1.1:11:4007486:4011887:-1 gene:ORGLA11G0052800 transcript:ORGLA11G0052800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:I1QYD6] MAAPASAAAAAGLAGMSTDNAKGLVLAVSSSAFIGASFIVKKMGLRRAADSGVRAGYGGFSYLMEPLWWIGMISMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHAILQEKLHTFGILGCVLCVVGSITIVLHAPQERNIDSVREVWDLATEPGFLCYAAIVVAAALVLIYFVVPQHGQTNIMVYIGVCSLLGSLTVMSVKALGIALKLTFSGVNQLFYPQTWAFALIVATCVSTQINYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIVTELCGFVTILSGTFLLHKTKDMTDSTGPSLPTSRSKSASQNRFSIEVVPLKYRDSVDEETLPLSLPKADNHYLMEDFPVRYKDLNIA >ORGLA11G0052700.1 pep chromosome:AGI1.1:11:4003217:4005148:1 gene:ORGLA11G0052700 transcript:ORGLA11G0052700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVISWHRAPASSSSLCLLFLIFLLSVSMAAATEKSPMQLNKAQENIMRDILSLVSSAMDSSLTKSWNASSNPCEWSGVHCTSAASSSFVTRLSLPGYGLSNATILASICLFDTLHSLNLSRNSFTDLPSQFSPCPMKAELQVLDLSYNRLSSHLGNFSGFHELEVLDLSFNSLNDNISTQLNYLPKLRSLNLSSNGFEGPIPTSMVTSLEELVISGNNFSGRIPMGLFRYGNITLLDLSQNNLVGDVPDGFLSFPKLRILLLSENNLTGKIPQSLLNVTTLFRFASNENKLSGSIPQGITKNIRMLDLSYNMLNGEMPSDLLSPDSLETIDLTANRLEGLIPGNFSRSLYRLRLGRNMLSGSIPESIGNAIRLAYLELDDNQLSGPIPSQLGKCNNMVLMDLSTNKLQGVVPDELRNLQQLEVIKLQTNNFSGYIPRIFSGMTNMEVLNLSANSLSGEIPSTLVLLSKLCYLDLHGNNFSGVIPPSISSLQFLSTLDLGNNQLTGTIPTMPTKIGALILSHNHLQGSIPSSIGALSNLLLLDLSDNHLSGQVPSSFANLKGLIYLSLCYNQLSGPMPELPIGVKLDVAGNPGLTKCTEDSDSQYNMASTEDDFRSTTWVATVSFVVGFIISFYWAGIRKYCY >ORGLA11G0052600.1 pep chromosome:AGI1.1:11:3997258:3999141:1 gene:ORGLA11G0052600 transcript:ORGLA11G0052600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMSRHHVPDSSLCLLLLLLFLLLGVPMAASTEQSPARLNKAHEDIMRDILSSVGSTKNWNTSSNPCQWSGVHCSSVASSAFVTRLSLPGCGLSNATILASICNLHTLRSLNLSRNSFTDLPSQLSPCPMKAELQVLDLSSNMLSGQLGDFVGFHKLEVLDLSSNSLNGNISTQLSDLPKLRSLNLSSNGFEGPVPTSIATSLEDLVLSGNNFSDHIPMGLFRYGNLTLLDLCRNNLRGDVPDGFLSFPKLRILVLSENNLTGKIPRSLLNVTTLFRFGGNQNNFVGSIPQGITRNIRMLDLSYNMLNGDIPSELLSPDTLETIDLTANRLEGFIPGNVSRRLHSIRLGRNLLGGSIPESIGNAIDLVNLLLDGNKLVGYIPRQLSRCKNLALIDLSSNQVQGNIPIGLGNLEQLVVLKLQKNNLSGDIPSSFSDMSALEILNLSHNSFTGELPFTNSTQSLKLCYLGLHGNKLNGVIPSSISLLQSLITIDLGNNELTGIIPTNIGTFLKLERLDFSKNYLSGQVPSSVANLERLMCLFLSDNNLSGPLPELPKWVMVNVTGNPGIILDTEENRTSGSMKGSQDDFRSAIWVAAASFVLGFSLSFYWAGPGEKLMPRLETLHCDD >ORGLA11G0052500.1 pep chromosome:AGI1.1:11:3980840:3981730:-1 gene:ORGLA11G0052500 transcript:ORGLA11G0052500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRVEVDTARPFRSVREAVAVFGERILVGDGHSRRPSNGNGNATAAVVDIAIAKHEASSGSDATVSSPDAMEAEPEVEEDAAPAVVPMMYWAPSSPQSSPPPPNDGADADDERDGGVVDEEVTAAMMRSVKRLEAEVAETRQEVAQLKKRGSEMEMAVASLNAQLHRGLSKLAEMEAGDTAAAAAAAARRSIGGETDVSSAVATFRSERWGGVVGDRAAVSRATSCEYLPSFSHALSLGEVDDGELVGRRRKARKVKPIVPLIGDIIFSKRKSTKDKGDDGFYGNNGDLYSVLG >ORGLA11G0052400.1 pep chromosome:AGI1.1:11:3979480:3979794:-1 gene:ORGLA11G0052400 transcript:ORGLA11G0052400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPMQEGEERPGGSACVWMVTALLLLSVLAGGGCLAGYVVLPPHEAPHWLPAVGLALVALPWAFWVATCSYRCVRRRAADRQAMGSAAVAPAATGSMRSRADS >ORGLA11G0052300.1 pep chromosome:AGI1.1:11:3972164:3973797:1 gene:ORGLA11G0052300 transcript:ORGLA11G0052300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAAMEVSASAAAEAGMMVGHGEWRDDDGRARRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFAFVIYYTSTLLAECYRSGDPCTGKRNYTYMDAVRANLGGAKVRLCGVIQYANLFGVAIGYTIAASISMLAIKRADCFHEKGHKNPCRSSSNPYMILFGVVQIVFSQIPDFDQIWWLSIVAAIMSFTYSTIGLSLGIAQTVANGGFMGSLTGISVGAGVTSMQKVWRSLQAFGDIAFAYSYSIILIEIQDTIKAPPPSEAKVMKRATMVSVATTTVFYMLCGCMGYAAFGDKSPDNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVFVQPIFAFVERWAAARWPDGGFISRELRVGPFSLSVFRLTWRTAFVCATTVVSMLLPFFGDVVGLLGAVSFWPLTVYFPVEMYIAQRGVRRGSARWLCLKVLSAACLVVSVAAAAGSIADVVDALKVYRPFSG >ORGLA11G0052200.1 pep chromosome:AGI1.1:11:3935503:3944588:1 gene:ORGLA11G0052200 transcript:ORGLA11G0052200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGAAAASLSSSAPSILLVSAVTPPPRLLPTSSILSPVYSARPKRPPTLSCNAAAATVAAAAAGKPGSWRDLCSLNAWVVRDYRRLVDAVGALEPRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGQGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKADERRANYRFSKITHNLQELGFDYLRDNLSRNKEQLVMRWPTPFHFAIVDEVDSVLIDEGRNPLLISGEDNRHAARYPVAAKVADLLMEGVHYTVELKSNNVDLTEDGVTCAEMILETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINEIIMWNLSFKVVMPILQYCIVPINGISIIILTLFELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKTPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFHLGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLTHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQRSERNEWPFQKAKSTIAESVEMSHTIGMEKLQDRLAEESEMYPLCDTIGLAYLTVLRDCEIHCSTEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADDIILGNVDPQKPPNTWKLANLLDEFGSLGGTLLDEPFKEIQEEDLLSSLEQIHECGPVNVDNFTLPNMPVSPNSSRGIWKRTSSMMRWLAICVDDASKKGRYTYIVNMLRKYFGDFLIATYLDAVQESRYDDAYIRGIEREILLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPMESEEIFNTGDK >ORGLA11G0052100.1 pep chromosome:AGI1.1:11:3934280:3934612:-1 gene:ORGLA11G0052100 transcript:ORGLA11G0052100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVTVAAAIPMALPVTTRDCSPVLSGEEQVISSSSSPKPPLMLPQAPSSSGSGGVESGDMGDENEQLRREDVQLARELSQMRKLCNNILPLMSKYFDIELLRGAPSSGR >ORGLA11G0052000.1 pep chromosome:AGI1.1:11:3913086:3916585:-1 gene:ORGLA11G0052000 transcript:ORGLA11G0052000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVPDGARGGGGGGGGGGGAVGLEERSDAAEDSLVSVLAVYDGFCNLKQINLELKVCGGSSIRKTLVKEAASYGAAHLILGVAKNSLSFSRSSSISVAKYCAKRVPTGCSVLAVNNGKILFHKDAVQQEPYHSASTMTETPRRSYRKLLTSVIGEKLRDECEQDNRSIFRAVTMPPSSPAPTREVSLALVPMKVHRRESPEVATGWSFLRKKFLPDRKPASHDRSKMSVVQWAMRLPSRYSSASPVCSEYRTTTPDGITSASRILRDRVAVPSRSNSGKSSVVIEELDNSSDKEIPEELIALREKFPSVYSTFSHSELAKITSDFSPECIVGQGGTSQVYKGCLENGKELAVKILKYSDEVLKEFVSEIEIVSSLSHKNIISLAGFCFKDTDLLLVYEYLRRGSLEEILHGEKGCDNFFGWTERFNVALGVAHALDYLHGSGNNRPVIHRDVKSSNILISEDFEPKLSDFGLALWDTDATSQITCNDVAGTFGYLAPEYFMHGKVNDKIDVYAFGVVLLELISGKKPLCTGCPKGQESLVMWANSIIQGGKLTQLVDPNLPTEDHANKVERMTLAASLCIRPTPQRRPHIAVVLKLLNGENGILKWARSEVGLSYESDGDEPVVTLPENNRNIQSYINLAFDVDDDSASVSSNDFIAANTSLEEYLRGRWSRSSSFD >ORGLA11G0051900.1 pep chromosome:AGI1.1:11:3909841:3911636:1 gene:ORGLA11G0051900 transcript:ORGLA11G0051900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDLYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKTETTAGN >ORGLA11G0051800.1 pep chromosome:AGI1.1:11:3902520:3903080:-1 gene:ORGLA11G0051800 transcript:ORGLA11G0051800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGLLVLVGLQVVVGSMAAQEAGDAPASITGPCSRTGDKKACVELLSGIPEARKATTVGPLAELYLQAIANHTTEAKAMATKLLATMKGKGVPPVCLQQCTASVDTLSNALAAFFSASADVNKKYRDLDGFLVGFLKQPPICMSACPIRSCDMEEVTIADKFHQAWKMLGVAHDLITQILGTKS >ORGLA11G0051700.1 pep chromosome:AGI1.1:11:3891669:3893840:1 gene:ORGLA11G0051700 transcript:ORGLA11G0051700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1QYC5] SSSSIHGDDATVVSLSHTDRWIRRRLQLIHGMVDGKAVVETVVVCKDGSGNFTTITQALGAAPPRGKFGIFVKAGVYEETVNITRADVVLWGEGIGKTVITGSRSCPIENNKTKTDMMPWTATVTVQGHGFIAQDVTIENKAGPTGTPAVALRCDSNRSLIHRCXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAGAKTRDRVKWKGVRVITAAEANRFTVDHFINGNQWLPNLVNGEQINYTHGLI >ORGLA11G0051600.1 pep chromosome:AGI1.1:11:3879664:3880047:-1 gene:ORGLA11G0051600 transcript:ORGLA11G0051600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QYC4] YFDTGVMVVDLGRWRRTGYTRRIERWMEIQKSPAGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLNGDNVFGRCRDLHPGPVSLLHWSGSGKPWARLGAGLPCPLDTLWAPFDLYGPTDSAAEGSR >ORGLA11G0051500.1 pep chromosome:AGI1.1:11:3870209:3870898:-1 gene:ORGLA11G0051500 transcript:ORGLA11G0051500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSLASPRSLQLPLLLLFLLVAAAIGSYDPKAFCSKTTDVASCLRVFPTLPDIVTKAQDNKELYKRLVRYCSFKTYEARSLAESMIATTTAANPANIATFFEQWKGDEAITTKTPPGKCLLSCNKTIGEVDAILTCGHTYMEDRPPIIHQNLTVLFHGGHPPSLCKSGCLDGSSSEGEALLATKFNYIWSLLDLMEAVLPEYLSETGTATTTVPSPDVAAAAAPAP >ORGLA11G0051400.1 pep chromosome:AGI1.1:11:3859032:3859592:1 gene:ORGLA11G0051400 transcript:ORGLA11G0051400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGLLVLVGLQVVGGWVAAQEAGDAPASIVGPCSRTGDKKACVELLSGIPEARKATTVGPLAELYLRAIANHTTEAKAMATKLLATMKGKGVPPVCLQQCTASVDTLSNALAAFFSASADVNKKYRDLDGFLVSFLKQPPICMSACPIRSCDIEEVTIADKFHQAWKMLGVAHDLITQILGTKS >ORGLA11G0051300.1 pep chromosome:AGI1.1:11:3850203:3855932:1 gene:ORGLA11G0051300 transcript:ORGLA11G0051300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G21640) TAIR;Acc:AT1G21640] MLAVCARHGPAKLPPPPPPLAGERAAAWVVGRWWWRPAAAGRRGVVAARASFFSSRIGLDSQNYHTRDLSQLLWVGPVPGDIAEIEAYCRIFRAAEQLHTAVMSALCDPETGECPVRYDVQTEDLPVLEDKVAAVLGCMLALLNRGRKEVLSGRSGVASAFQGSEDSTMDRIPPLALFRGDLKRCCESMQVALASYLVPSEARGLDIWRKLQRLKNACYDAGFPRADGHPCPTLFANWFPVYFSTVPDDSLSDELEVSFWRGGQVSEEGLEWLLSKGFKTIVDLREEDVKDDLYLSAIQEAVSLGKIEVVNLPVEIGTAPSAEQVQRFAEIVSDSAKKPIYLHSQEGISRTSAMVSRWKQYVTRAERLATQNRSLNGNGKHVRNDQTEQLTNSPGFSSEGSENSTPLESDRTMEGETCDIDIETARHNLEITNSLPSEQSTEQGELHGTRTELQSNFRLESNPLKAQFPSCDVFSKKGMTDFFRSKKVYPKSVLNPRRRSNSLLVSRRKQSLSAEQNGAIDYEAAEFKVLKSSNGASFDNDYILSVASGITNGKPSNNGASTSVEDREMETSVVTVDPRTSDTSNSNGNAPLGSQKSAERNGALYVEREKSDHVDGNMCASATGVVRLQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSPPKTVLLLKKLGDELMEEAKEVASFLHHQEKMNVLVEPDVHDIFARIPGYGFVQTFYTQDTSDLHERVDFVACLGGDGVILHASNLFRTSVPPVVSFNLGSLGFLTSHNFEGFRQDLRAVIHGNNTLGVYITLRMRLRCEIFRSGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHNHLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGDSVQISMSQHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL >ORGLA11G0051200.1 pep chromosome:AGI1.1:11:3839223:3846989:1 gene:ORGLA11G0051200 transcript:ORGLA11G0051200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGPQCILSCAKEHRFLPKQGYTCCLAMDGVKILCSGFEKDEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVDERRELAKIIVQNGGQYSANLTRRCTHLVSNEPGGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCENSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELHVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKESSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCVVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRTFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQAAKTISRFDCSESHTESQLPRSSSKYNSGNASVSEEPNDPGVSKRRRLSEFGKANDTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIQDVQPPGSIFAPDDSVLNQDQENTHSFGISRHWLNM >ORGLA11G0051100.1 pep chromosome:AGI1.1:11:3824073:3824378:-1 gene:ORGLA11G0051100 transcript:ORGLA11G0051100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVNRYQSQETVLVSQEDRISQQQRSLYYRVGVQSCWAADRSRAHNCITNGKRKPRLGPNITGATWELGRNPKTHRSRLAPGRTPRQRDPLHLLQQLGGLFI >ORGLA11G0051000.1 pep chromosome:AGI1.1:11:3805614:3806019:1 gene:ORGLA11G0051000 transcript:ORGLA11G0051000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSDGSSSYSQHSSRSPIPYGVGHFDYQPAVMCDCRVKAARWISWSSDNPSRRYFKCRNAREGGCGFYAWYDGPTTTFIREVLNDLRDAVWSARREKKGLVLAIQEERM >ORGLA11G0050900.1 pep chromosome:AGI1.1:11:3794953:3804873:-1 gene:ORGLA11G0050900 transcript:ORGLA11G0050900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:I1QYB7] MSSGGRGGKRRGAPLPGPSGAAAKRAHPGGTPQPPPPAATAAAPVAEEEDMMDEDVFLDETILAEDEEALLLLDRDDALASRLSRWKRPALPADLASGCSRNVAFQQLEIDYVIGESHKVLLPNSSGPAAILRIFGVTREGHSVCCQVHGFEPYFYISCPMGMGPDDISRFHQTLEGRMKDSNRNSNVPRFVKRIELVQKQTIMHYQPQQSQPFLKIVVALPTMVASCRGILERGITIEGLGSKSFLTYESNILFALRFMIDCNIVGGNWIEVPAGKYMKAARIMSYCQLELDCLYSDLVSHAAEGEHSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLVTLQGEGQPFVRNVMTLKSCSPIVGVDVMSFDTERDVLLAWRDFIREVDPDIIIGYNICKFDLPYLIERAEVLKIVEFPILGRIRNSRVRVRDTTFSSRQYGMRESKDVAVEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNIKGQVSGQDTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVPPEDARKLNLPPESVNKTPSGETFVKPDVQKGILPEILEELLAARKRAKADLKEAKDPFERAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLGGYEHNAEVIYGDTDSVMVQFGVSTVEDAMKLGREAADYISGTFIKPIKLEFEKIYFPYLLISKKRYAGLYWTNPEKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILVDRDVPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKAAHVELAERMRKRDAATAPTVGDRVPYVIIKAAKGAKAYERSEDPIYVLDNNIPIDPQYYLENQISKPLLRIFEPILKNASRELLHGSHTRAVSISTPSNSGIMKFAKKQLTCLGCKAVISGSNQTLCSHCKGREAELYCKTVGNVSELEMLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARVQLQRWDF >ORGLA11G0050800.1 pep chromosome:AGI1.1:11:3788294:3794301:1 gene:ORGLA11G0050800 transcript:ORGLA11G0050800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDRNQKQRSSLCSTATVVVFVALCLVGLWMISSPETIPAAAANVSKKPDVVAVKEEDSSLDATNNVKQNSANVVAETAAADEAAAADEDDNPAKPAAGEKAAAAAASSKDQTFDDENGRTEGGALVKPESGGGDEAASDVKEIGSLEQAAIDMKDTTEHSVGDTTKEPGVVQDKSSEEITTAASDARESSDGGGGGGAAKNKQTFDDENGKLDGVNLVKDVENKTMSEEGAKPLPEETTTVSSKNSIVAAAAMSDEKLTDNNGEQAQPVEALPNGQAELLTERAAQNGSFTTQAAESIKEKKKRAEKKKKKKKKVKAASAAAAEEEEGGGGGAASLGWRLCNTSAGADYIPCLDNEAAIKKLKTTAHYEHRERHCPASPPTCLVPSPEGYRDPIRWPRSRDKIWYHNVPHSELAAYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIELIQSSFPEVAWGRRSRVALDVGCGVASFGGYLFDHDVLTMSLAPKDEHEAQVQFALERGIPAISAVMGTRRLPFPSNVFDAVHCARCRVPWHIEGGMLLLELNRLLRPGGFFVWSATPVYQELPEDVEIWGEMVKLTKAMCWEMVSKTSDTVDQVGLVTFRKPADNACYMKRRQKEPPLCEPSDDPNAAWNITLRACMHWVPTDPSVRGSWWPERWPERMEKTPYWLNSSQVGVYGKPAPEDFVADQEHWRKVVRNSYLTGMGIDWKTVRNVMDMRAVYGGFAAALRDMSVWVMNVVTINSPDTLPVIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKSRCEVLPVIVEVDRILRPNGKLIVRDDKETVDEIKGVVRSLQWEVRMTVSKNREAMLCARKTTWRPTEAEAR >ORGLA11G0050700.1 pep chromosome:AGI1.1:11:3782519:3786822:1 gene:ORGLA11G0050700 transcript:ORGLA11G0050700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1QYB5] MAEEEVAAVVGELRGSFRSGRTRAAEWRAAQLRGIVRMVEEREGDISDALHSDLAKPRMESYLHEISLAKAACTFALKGLKTWMKPEKVPAALTTFPSTAQIVSEPLGVVLVISAWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPATSALFAKLLPEYVDSSCIKVVEGGVPETTALLEQKWDKIFYTGSGNVGRIVMAAAAKHLTPVALELGGKCPAIVDSNTDLHVTMKRLAVGKWGCNNGQACIAPDYVITTKSFAPELVDSLKRVLKRFYGEDPLQSEDLSRIVNSNHFRRLTNLIEDKKVAQKIVYGGQTDEKQLKIAPTVLLDVPLDTTLMAEEIFGPLLPIVTVDKIEDSIQFINSRTKPLAAYLFTKDKKLQEEFVSNVPAGGMLVNDVALHLANPHLPFGGVGDSGIGSYHGKFSFDCFTHKKAVLIRGFRGEATARYPPYTIEKQKILRGLINGSFFALILALLGFPKERR >ORGLA11G0050600.1 pep chromosome:AGI1.1:11:3755354:3755515:-1 gene:ORGLA11G0050600 transcript:ORGLA11G0050600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKEPVHSHLTVGLASLALLSDGRAAVALLTVGFAAVPVSCPWVASPLLFSS >ORGLA11G0050500.1 pep chromosome:AGI1.1:11:3743973:3744311:1 gene:ORGLA11G0050500 transcript:ORGLA11G0050500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKHAAHTRKSSVSTALPLLLMFLLLATAAASASASPSAISHQPNDLEDFATCFRASSCYDTGCAIRCRDLGLNPAGSRCKVLPGIGQCCCCGRLPPPASSSSPVFPSIVA >ORGLA11G0050400.1 pep chromosome:AGI1.1:11:3726589:3726933:1 gene:ORGLA11G0050400 transcript:ORGLA11G0050400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLQLAMHSRKKPSPVAAAAAAVPLLLMCLLFAATAMAASAAAAAAAAASFVEPSDADTYSTCFEVGGCNNTGCAIRCRDLGHNPAGSACRTRDTAIYCCCGVGRDTPPSVA >ORGLA11G0050300.1 pep chromosome:AGI1.1:11:3724331:3724660:1 gene:ORGLA11G0050300 transcript:ORGLA11G0050300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLATRSSKSLSLLVVVAAVIAVPLVLMCLLVAVAAASASAAASSGEYRPSYGDTYATCIPVAACDDTGCAIRCRDLGYNPGSACWTSKDIKLYCCCGHGRRLPSVA >ORGLA11G0050200.1 pep chromosome:AGI1.1:11:3722601:3722927:1 gene:ORGLA11G0050200 transcript:ORGLA11G0050200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLATRSRKSLAIAVAAAVPLLMCLFLVAAAAAAASSETAVASSPQYQPSYGNTYSTCFEVSACDDTGCAIRCRDMGHNPAGSACWTSNVATIFCCCGRGRPPPVA >ORGLA11G0050100.1 pep chromosome:AGI1.1:11:3711694:3712041:1 gene:ORGLA11G0050100 transcript:ORGLA11G0050100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQRSGRSNMALFFVVTIVAAPLLMHDDLLAAAAQAADGGGSGSGSGQMQPEGILYAGCFRAGGCKLTPEWCPARCIYLGFSPGAGCEVMDDGHIYCCCGPSRTSTNADPSTNA >ORGLA11G0050000.1 pep chromosome:AGI1.1:11:3689132:3690720:-1 gene:ORGLA11G0050000 transcript:ORGLA11G0050000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGALQLPPGFRFHPTDDELVMYYLCRKCGGLPLAAPVIAEVDLYKFNPWDLPERAMGGEKEWYFFSPRDRKYPNGQRPNRAAGTGYWKATGADKPVGSPRAVAIKKALVFYAGKPPKGVKTNWIMHEYRLADVDRSAAARKLSKSSHNALRLDDWVLCRIYNKKGVIERYDTVDAVEDVKPATAAAAAAAKGGRIGGGAAAMKVELSDYGFYDQEPESEMLCFDRSGSADRDSMPRLHTDSSGSEHVLSPSPSPDDFPGGGDHDYAESQPSGGCGGWPGVDWAAVGDDGFVIDSSLFELPSPAAFSRGGGDGAAFGDMFTYLQKPF >ORGLA11G0049900.1 pep chromosome:AGI1.1:11:3684645:3686725:1 gene:ORGLA11G0049900 transcript:ORGLA11G0049900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARLALLASLLLLLQLVPPSSAVVLELHGNVYPIGHFFVTMNIGDPAKPYFLDIDTGSTLTWLQCDYPCINCNKVPHGLYKPELKYAVKCTEQRCADLYADLRKPMKCGPKNQCHYGIQYVGGSSIGVLIVDSFSLPASNGTNPTSIAFGCGYNQGKNNHNVPTPVNGILGLGRGKVTLLSQLKSQGVITKHVLGHCISSKGKGFLFFGDAKVPTSGVTWSPMNREHKHYSPRQGTLHFNSNSKPISAAPMEVIFDSGATYTYFALQPYHATLSVVKSTLSKECKFLTEVKEKDRALTVCWKGKDKIRTIDEVKKCFRSLSLKFADGDKKATLEIPPEHYLIISQEGHVCLGILDGSKEHPSLAGTNLIGGITMLDQMVIYDSERLLLGWVNYQCDRIPRSASAITSRL >ORGLA11G0049800.1 pep chromosome:AGI1.1:11:3677516:3679721:1 gene:ORGLA11G0049800 transcript:ORGLA11G0049800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRADDPLCLALHEDGRSGDGNHMSPTQCDYEIKYADGASTIGALIVDQFSLPRIATRPNLPFGCWYNQGVGENFQQTSPLKMLGIITKHVVGQCLSSGGGGLLFVGDDNGNLVPSSRVTWVPMSHSNINTKFIQXANVNELLLTWLSNTVLRXTTFTRHEPDGCGIXQWKYIYILHXAAIPSNCLCDQRWSQQHLTXTGFRSXSSTVLERAEGIRICVXCEERIQVIAAELWXQCCHGDPSXKLPHCHXIWECVLGNPSWIXTEFQHNWRHYNAGSDGDI >ORGLA11G0049700.1 pep chromosome:AGI1.1:11:3676446:3676890:1 gene:ORGLA11G0049700 transcript:ORGLA11G0049700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARWAPIAGFLLVLPALLLLPPAASSAAMVFPLHGNVFPSGRFFLTMNIGVPAKPYFLDIDTGSDLTWVECDAPCQSCHQACMHALLLTPNALHLSFL >ORGLA11G0049600.1 pep chromosome:AGI1.1:11:3673625:3673981:1 gene:ORGLA11G0049600 transcript:ORGLA11G0049600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLATATTGSIQVGKCGDLSPAFPGNCAADVCQFRCAVMGGDREKAYCDAATGRCCCPPGSATLCRPLDGCRSRIPACRIKCKSVFRDPGRAFCQDGSPGFGDSCCCPPNNVEDSSN >ORGLA11G0049500.1 pep chromosome:AGI1.1:11:3668270:3671013:1 gene:ORGLA11G0049500 transcript:ORGLA11G0049500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMGRPLLLITLLLGALLCNNVAYAKFSRYSFPKDFIFGTGSAAYQYEGAYKEGGKGPSIWDTFTHIPGKILNNDTGDVANDFYHRYKEDVNLLKDMNMDAFRFSIAWTRILPNGSLSGGINREGVAFYNSLINDVIAKGMIPFVTIFHWDTPQALESKYGGFLSENIVYQPTQKGQIGMVVVTHWFVPYDDTVADRGAVQRSLDFMFGWFMDPLVHGDYPGTMRDWLGDRLPKFTLAQLAMVKGSYDFIGINNYTTYYAKSVPPPNSNELSYDVDSRANTTGFRNGKPIGPQEFTPIFFNYPPGIREVLLYTKRRYNNPAIYIIENGIDEGNNSTVPEALKDGHRIEFHSKHLQFVNHAIRNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIYVDRKTLTRYRKDSSHWIEDFLKKQY >ORGLA11G0049400.1 pep chromosome:AGI1.1:11:3662943:3663761:1 gene:ORGLA11G0049400 transcript:ORGLA11G0049400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRQAMAMGSSDGDVLYARCLVTLYLLSPLNVFVLWFMSAPYGKLSRPGWGPTVPAALAWCLMESPTLWLPPLVLSTTTTSLSTPTLRVTILPAALYMLHYVHRTLVHPIRLLRLRRAPVPMPILIIMFGFGFNLLNAYIQARSWALDAVPPATAAPLTVARCLVGLALFVWGMWTNIAADRELLRLKEAGKGYQIPKDGLFDVVTCPNYFGETVEWLGYALVAWTPAAWAFFLYTCVNLGPRARDQRQWYIGKFGDKYPASRKAFVPYIY >ORGLA11G0049300.1 pep chromosome:AGI1.1:11:3656259:3659720:1 gene:ORGLA11G0049300 transcript:ORGLA11G0049300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARWAPVVVLLGLLLLPFAPAPAGAATPARSPPSSASSAVFLLSGDVYPTGHYYVTMNIGDPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPLYRPTKNKLVPCANSICTALHSGSSPNKKCTTQQQCDYQIKYTDKASSLGVLVTDSFSLPLRNKSNVRPSLSFGCGYDQQVGKNGAAPATTDGLLGLGRGSVSLLSQLKQQGITKNVLGHCLSTSGGGFLFFGDDMVPTSRVTWVPMVRSTSGNYYSPGSATLYFDRRSLSTKPMEVVFDSGSTYTYFSAQPYQATISAIKGSLSKSLKQVSDPSLPLCWKGQKAFKSVSDVKKDFKSLQFIFGKNAVMEIPPENYLIVTKNGNVCLGILDGSAAKLSFSIIGDITMQDQMVIYDNEKAQLGWIRGSCSRSPKSIMSSFP >ORGLA11G0049200.1 pep chromosome:AGI1.1:11:3643885:3651697:1 gene:ORGLA11G0049200 transcript:ORGLA11G0049200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNSSERRDYREPTSPSPSTSSSRSRDRSDLAEVDDPESAMSTVAQLLEQLHTSMTSLPEKEVTTKRLLELAKEKKEARVLIGSHSQAIPLFISILRSGTSIAKVNAAALLSALCKEEDLRVKVLLGGCIPPLLSLLKSESTEAKKAAAEAIFEVSSGGLSDDHIGMKIFVTEGVVPTLWDMLKPRSHQDRVVEGFVTGALRNLCGDKDGYWRANLEAGGVEIITGLISSKNTTSQSNAASLLARLVSAFGDSIPKIIDAGAVKALLRLLNRDNDISVRESAADALEALSSKSSIAKKAVVDAGGIPVLIGAVVAPSKECMRGDTCHSLQSHAVHALSNICGGTVSLLLYLGELCQAPRPPVPLADILGALAYTLMVFSGTDGKSFDPIEIENILIVLLKSYDSNLVLDRILEALASLYGNACLSGRLNHSNAKKVLVGLITMASADVQKNLVHALTSLCSDGIGIWDALGKREGTQLLISFLGLSSEQHQEYAVSLLAILSDEVDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAHILWNLCCHSDDISACVESAGAVLALLWLLKSGSPRGQEASAKALKKIIRSADSSTINQLRALLLSDSLSTKAHAITVLGHVLVMASQRDLVQNGAPANKGLKSLIDILESSNEETQEQAATVVADIFSTRQDICDILATDEIIQPCMKLLTSGNQVIATQSARALGALSHSANAMLKNKMSCIAEGYVQTLIEMSKSPSIDAAETTIAALANFLSDAHIAKEALDGNIVLALTRVLKEGSLEGKISASRSLCQLLNQFPLNEVIPDYSQCYFIIHALLVCLSGINLENATNLDPLNVLAWMARTKEGAHFSSPLWSAFLDVPESLEPLVRCISVGLPPIQDKAIRILASLCQDQPSLLGEHLNRSQGCIASLASRVIEATNMEIRIGSAITLISAMRHSREHSIDVIEESGHLKNLISASIDMMKQDSAPTSLDIEVWKPYPENSLYNYDKDVLGVSGSGKVLEETVALWLLSLICSSHLSSKLTVMDLGGVETISDKLASYTAKQQDSMVVQSPAIMRTIPSLASLLKSDKIIDKYFAAQSLASLVSTGSRSIQLAIANSGAVMGTIAMIGQIESTMPNLVAMAEEFKLTENPSKIILRSLFELEDVRTSATARRSIPLLVDLLKPMPDRQGAPLVALHLLTQLAEGSETNKVAMAEAGVLDALTKYLSLSPQDSTETTIINLLRILYTNPDLLYHESSISTSNQLVAVLRLGSRNSRLSAARTLQNLFDSENIRDTEVAWQAIPPLLDMLESGTETEQQAALGALIKLSSGNISKASAMFDVEGTTLESLYKILSFSSSLELKNDAAQLCYILFENSTIRASPIASECLQPLISLMTSGSTFVVEPAVRALNRLLDEEYNAETAATSEVVDLLVSFVPGTNHQLSEACIGALIKLGKDRPNCKLEMVKAGIIEHVLDMILDVPVSVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKLSPSQIIEPLISFLESPSQAIQQLGTELLTHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALESISQSWPKAVADAGGILELSKVIVQDDPQPSQALWDSAALVLCNVLRYSSDNYVQVSIAVLVRLLNSTIESTVTIALNALLVQEKSKSRCALAMAEAGAVRALLKLLKSHRCEESAARLLEALINNARVRETKVAKYSIGPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSVLEDQPTDDMTMVAICALQSLVLHSRTNRRAIAEAGGILVVQELLLSPNVDIAGQAALLIKYLFLNHTLQEYVSNELIRSLTAALERELLSTSTINEVILRTIHVIFNNFKKVRFSEAATLCIPHLVCALKDGNEAAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHERADSLLQCLPGCLTVTILRGNNLKQTMGSTNAFCCLQIGNGPPRQTKVVNSICPVWNEGFTWLFDIPPKGQKLYILCKSKNTFGKSTLGRVTIQIDNVVTEGVYSGFFSLKHDGGKDGSRTLEIEIVWSNRPSNDNM >ORGLA11G0049100.1 pep chromosome:AGI1.1:11:3626297:3633268:1 gene:ORGLA11G0049100 transcript:ORGLA11G0049100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKASSTSDSRLKWRKRKRNPTASPSPSRRSSAAAAAADHSDDSDSAAVNEDDDSAVPEDADDETLAGAEDPVLDLREAEVLPSAEPVSAFPVATRRVVNRPHPSVLAVIAAERSACAGEGSAAVAAAVLENISYGQQQVLSGVLPDHASLATDTDKPSTYVCTPPNLMEGHGVTKQFQGRLHVVPKHSDWFSPGIVHRLERQVVPQFFSGKSPGNTPEKYMLLRNKVIAKYLENPSKRLAFAECQGLVANTAELYDLSRIVRFLDTWGIINYLASGSVHRGLRMATSLLREEPTGELQLLTAPLKSIDGLILFDRPKCSLQAEDISSLASNSEVVHFDAGLAELDEKIRERLSESSCSYCLQPLTSLHYQSLKEADIALCSDCFHGARYITGHSSLDFQRVDGDSNRSENDGDSWTDQETLLLLEGIEKYNDNWNNIAEHVGTKSKAQCIYHFIRLPVEDGLLENIEVPDVFVPFRAETNGYPHSDCNGSTSGNLPQRIPPGNQLPFINSSNPVMSLVGFLASAIGPRVAASCASAALSDLTIDDDSRVNSEGICSDARGHGAHPNFRDHNGGVSSSISPEKVKHAAMCGLSAAATKAKLFADQEEREIQRLTATVINHQLKRLELKLKQFAEVETLLLKECEQVERIRQRIASDRVRIVSTRLASPGNSLPGGSTSTMSSNPMSMSPRPMGVPGSMPQSSMPAPFANNMQGHGHPQMAFLQQQQQQQRQQMLSFGPRLPLSAIQTQPSPQTSNIMFNPGMPNSVTPNHHQLLRSSSGNNSSVG >ORGLA11G0049000.1 pep chromosome:AGI1.1:11:3614121:3614354:-1 gene:ORGLA11G0049000 transcript:ORGLA11G0049000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHRQMQDIQLARCSSQHHGSEAAVTARGDSAGSLEMCPALYLAAYKGRAEEVMALLLQPRHGGVAQGNLDQVNGK >ORGLA11G0048900.1 pep chromosome:AGI1.1:11:3562898:3570361:-1 gene:ORGLA11G0048900 transcript:ORGLA11G0048900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGARTRPGTRPYIXQQGMVMAPPWRLLSRHTQRRPSXTKSACLRCTWRXXAGRCLLXEQXLPSDAXAVGPSSQNALHAAVFRSLEMVHLLLQWKPELASQVDCYGSTPLHFAASDGNRKIIRAIMATAPPGTVYMKDSDGLSALLVVAKLGHADVVKQLIGICHDAVELRDSHGETFLHSAVREKRSSIVSLAIKKHKQVGGLLDAXDGDGNTPLHIAVVGSAPGIVNALLQKGKVQTDVLNDDGHTPLDLVNLSPSLFNMVRFVMALLVAFGAQCRPRRNDHVKPWSGHDNIGKGIERTSDSLAVVAVLIATVAFAAGFNMPGGYTNDGLASLEGMSLFRWFVVLDAIAVASSVIAVILLVYGKASRSTGSWKSFVAALHCIWVSLVSLILAFFAAFRAVMRTSTAESIVYIVIYVGLIVLSLFVAQWIGPVTTARAFWRFLWLSHRAHTVRRQYPFAVASIYNWLLFLHITYIMFAGLGVVHNHSNSDRGGLSSSWNPNHISPAPAPM >ORGLA11G0048800.1 pep chromosome:AGI1.1:11:3525651:3526945:-1 gene:ORGLA11G0048800 transcript:ORGLA11G0048800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCMATYGAAAVRVGRCRSDVVVAVRVAAPVGSGHGGDWRGVTGVVLDGRWRHGDVESDRGCGDTVLKAEIGGCTETVDEPEIGGGTEETGEAEFLVEIGGGAEEIGEKGDEWQSGEWRRQLAGWEGGCGVRRATAEWAMRSGRRVGAQAPGDDGGGDVHRRDGRENWRRRWISRSEGKCDYFRGAGVREGGCYSGRQIS >ORGLA11G0048700.1 pep chromosome:AGI1.1:11:3519348:3523383:-1 gene:ORGLA11G0048700 transcript:ORGLA11G0048700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPSMDQPAASNGDSTPRMEMCPLLYRAARSGRAEEVMALLLQQRPGAGAAAHRQVAGIIQHRQCNLLEVTGERNTILHVAAEKGHGEVIQELYHRFIRDNSLLFRRNSALDTPLHCAARAGHAGTVTILVNLTQDCEENILGCQNTAGDTALHLAARHGHGATVEALVAARAKATELNKAGVSPLYLAV >ORGLA11G0048600.1 pep chromosome:AGI1.1:11:3508805:3514451:-1 gene:ORGLA11G0048600 transcript:ORGLA11G0048600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSSRGRSGSAGLKRRRGPGPGGGSGGGGSGSTAQALNDDTLRSVFSRLDDHFDLARCSAVCNSWNRVIDTAHLMRDLYYKRNPQARSSGSNTSIKSYFKELALDEHASSFSRGPAEVYQWIGHPNQATICRMKSGSILTGVGDKTLRLWSAESCKYMNEYIVPSSKMLVDFDFDENKIVGLTSSQLCIWRRSEPRSIFQSRGASFNRGLCMSFADPEVIIGCEDGRAFVYDMYSRSCSSIYRLHSSPLTCLTITDDQLIAAGSTFGNVAIADQTSGQKLGVLKSAFAPTAIRSLSFSTSGHLIFAGSSAGYAHCWDLRTLRPLWEKRVSPNVIYSAHHLPGDTATLAVGGIDGVLRLICQRTGETIRSFIVNADRPAASSSHQQVEKKSVRQVAPNARLDNIPTRLRPQITCLAVGMKKIVTTHGENYIRVWKFRPKSS >ORGLA11G0048500.1 pep chromosome:AGI1.1:11:3499806:3501694:-1 gene:ORGLA11G0048500 transcript:ORGLA11G0048500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGTQEMECCFVVPSEKTPKHVLWLSPLDIVLANRGALTPLVHFYRRRHDAAGGGGGFFDVGRLKEALAKALVAFYPLAGRFRVGGDGRPEIDCNADGVFFAVARSELAVDDVLTDLKPSPELKRLFIPRTEPPSAVLAVQVTFLRWGGIVLGTATHHAAVDGHSMFHFLQTWAAFCRDGDAAVVELPCHDRALLRARPRLAIHPDASSVFCPKLNLRPPSASGSGLISAKIFSISNDQIATLKRICGGGASTFSAVTALVWQCACVARRLPLCSQTLVRFPVNIRRRMRPPLPYRYFGNALVEVFAAAAVEDIVSGTLAAIAARIKGVIGRLNDDEMLRSAIDYNEMAGMPDRPDNGSLPETELRVVSWLGIPLYDAVDFGWGKPWAMSRAESLRGGFFYVMDGGAADGDGGDAAAVRVLMCMEAANVEEFERLLRAKFVYPRI >ORGLA11G0048400.1 pep chromosome:AGI1.1:11:3493843:3494631:-1 gene:ORGLA11G0048400 transcript:ORGLA11G0048400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIVLSSFAFELKDQERNQEEIERIEAVISVEMAAAAMDDSFRRAGAIPFKWEICPGTPKHARSASASAASAAVVSPVPPALAKVATRQQLALPPCMTSPRASPSPYYHSPRLSSAAACRSAASASPCRSRYAGGGSAYRPRPTAFLDLAPRATTAPDLYGAAHEADHDEPAAAPAYGCFPLPLLRRKGSSKKRGGGGYSSGSGGSSSSGSFRSDGEPGGGLRRSASSSFSFARGGGNRIRLAAGARQQEEVEAASGSWFF >ORGLA11G0048300.1 pep chromosome:AGI1.1:11:3475594:3481443:1 gene:ORGLA11G0048300 transcript:ORGLA11G0048300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALQPPPASSPGRERERGDAAASSAGGRRRSRSPPWSPRARSPSLSRSPPSSSSSSSEDERENCETQTETAWMELGFPNSGPRSVTEITYLLRSHELKISELESKEQIYCNSLVQLEERIASLEYYKEKLLTRLSWTEEANSTLRSDNSKLKNLAYSLKERVDELEKDNFMLGLKMNEFAQEMERFQMQVGSTNTQMQDNLDDMAKAFESKERHVTFTQQKLADSINHHEERIATLEQDISQCTQHVQSLQVKVKSNTSQLETNNNDHHSLENRIQVLESTERQNASRLVKMEESIIHQHERTIGVEQDLSANITQHGQQIQTLQNKVKSNTSQLQTNNNEAHLLENRIQVLESAERQNASRLVKMEDSIIHQHERIIGVEQDMSANITQHGQQVQTLQNKCSYEVFSVASHLYGTIYCCVSAINASSQSDFGEYEKDLVIQVSLVCGLPGVMAMVFAHLASGPFWTSIVASLTLYFVIYSITLVYYAFIRLLPWSPWTRLQFYATVILLAIFLIVVLTMLIVWGSFVKKPKFTRWIASYFSCCPGPE >ORGLA11G0048200.1 pep chromosome:AGI1.1:11:3470230:3473670:1 gene:ORGLA11G0048200 transcript:ORGLA11G0048200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSWGWLCGRRNGPSGFGGASTADEVTAGVDASRLTVVVTGATNGIGKETARVLALRGAEVILPARTLESGMKVKQSLAEEIPSSKLHVMEMDLSSLDSVRSFAKSFNSSYRHLNVLINNAGVMSCPFGLSKDGIELQFATNHVGHFLLTNLLLDKMKATAKETGLQGRIINVSSISHRGSDGSCFDLDKLNDKSKYRPFKAYGHSKLANILHANELSRRFQEEGCNLTANSLHPGVIATNLPRHILTNSLIISIFSVMKPFLKSIPQGAATNCYLALHPGLKDVSGKYFADCNEATPTAVARDAELAKKLWEFSEELTSGDQKLKEK >ORGLA11G0048100.1 pep chromosome:AGI1.1:11:3467179:3469483:1 gene:ORGLA11G0048100 transcript:ORGLA11G0048100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEWPWDRRRRGPSGLGPTSTAEEVTAGVDATHLTAIVTGATNGIGRETARVLARRGAEVIIPARTMESGNAVKQSIAEEVPGSRLHVMEMDLASLDSVRCFATAFDSSHTHLNILINNAGIMGCPFKLSKDGIELQFATNHVGHFLLTNLLLDKMKSTARKTGVQGRIVNVSSIAHKRSDGSCFDLNKLNDKSRYKPLIAYAHSKLANILHANELAKRFQLTHLIYSSFFSDEITVWIYHPLGNNNLDSIQEEGCNLTANSLHPGVILTNITRYVVTNSVMVSILSVGNLFLKNTQQGAATTCYLALHPELKDVSGKYFADCKEATPRPAARDAELAKRLWDFSEQLVDTNRRGEFNRQK >ORGLA11G0048000.1 pep chromosome:AGI1.1:11:3464040:3465944:1 gene:ORGLA11G0048000 transcript:ORGLA11G0048000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAAAAAVAWAPSPSPSTSTSSPPPFKVGIASPCGTAAHASSAPRLVAAATHRGRRRQQQVVKAIANPDPAVELPLTAENVEIVLDEVRPYLMADGGNVALHEIDGNVVRLKLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELNQENIEKVLDEIRPYLSGTGGGELEFVAIEEPIVKVRLTGPAAGVMTVRVALTQKLREKIPKIAAVQLLS >ORGLA11G0047900.1 pep chromosome:AGI1.1:11:3454614:3458600:1 gene:ORGLA11G0047900 transcript:ORGLA11G0047900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:I1QY87] MVEEGRSLAETPTWSVATVTTLMVAACFLVERGISRFAKWLRKTKRKAMLAALEKIREELMLLGVISLLLSQTARWISEICVPSSLFTSRFYICSETDYEDLVVGGKRSTMEMNQTVVPNGLFGIQSQNVCSEGHEPFVSYEGLEQLHRFLFILGITHVLYTFVTVVLSMIKIYSWRKFETQACQLPTEQLQARRTKVMQRQSTFVFHHTSHPWSKNKILIWMLCFLRQFRRSIKKSDYMALRLGFITYHKLPHSYNFHKYMVRSMEDDYNGSVGISWPLWAYAIICIFVNIHGLNIYFWISFAPAILVLLVGTELQHVIAQLALEVVGATAPYVGTQLKLRDDLFWFGKPRVLWWLIQFISFQNAFEMATFVWSLLELSAQSCFMKNHYMIVLRLTSGILVQFWCSYNTLPLNVIITQMGSKFKKSLVSESVRESLHSWCKRVKDKNRHNLASRSVCSLDTTYEETDHETATVGTLSRTVSATSLDEELTVATVEDNDDDEEMSRIEQEIDRSL >ORGLA11G0047800.1 pep chromosome:AGI1.1:11:3452428:3452667:1 gene:ORGLA11G0047800 transcript:ORGLA11G0047800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLTTGRSPWRRRKRGTATTARRRRTPTSLRALWRRIVPRTSTTTAPRVRTRKPGLLSRALRVLSCGGGGRSRAARRW >ORGLA11G0047700.1 pep chromosome:AGI1.1:11:3444934:3451202:1 gene:ORGLA11G0047700 transcript:ORGLA11G0047700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:I1QY85] MHRRGGHHLHLLLAAAVVLLLTVAGLPLASASESDHKYKAEETVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPAHKWGGLGEVLGGNELIDSQVDIKFLKNVEKGPICTIELDDNKIQQFTDAIERSYWFELFIDDLPLWGFVGETDKNNENKHYLYTHKNIVVKYNGNRIIHVNLTQESPKLLEAGKKLDMTYSVKWVQTNVAFARRFEVYLDYPFFEHQQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRSLVFLSAFVGIGTQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKSMILTASLFPFLCFSIGLVLNTIAIFYRSLAAIPFGTMVVIFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSTLFVRRIYRNIKCD >ORGLA11G0047600.1 pep chromosome:AGI1.1:11:3440255:3442007:-1 gene:ORGLA11G0047600 transcript:ORGLA11G0047600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAATAGATRATGGFLGTSRRRPQSSRGDSAPGLRFWFAIGGVVDSRRVSSVNHDSLTXMFTNTXGLPQEFAFCLREKCLMKRLDKSKFMLQENGGDLISKALQLMRRRRRVTEKFLISSEHHQQIDQ >ORGLA11G0047500.1 pep chromosome:AGI1.1:11:3427043:3428364:1 gene:ORGLA11G0047500 transcript:ORGLA11G0047500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIKANGEGCWRSLPKAAGLLRCGKSCRLRWINYLRTDLKRGNFTEEEDELIIKLHELLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGVDPQTHRPLNAAADHHQQQQLQAPRRFAAAPAGHHHHHPDHFAVLSNSPEACSHSSDDEPSSATPPPPPRHLGIDLNLSISLAPYQPQDQTSEPMKQEDDEASATANGAGNAAMTTTATTAAVCLCLNRLGLHGGEPRPE >ORGLA11G0047400.1 pep chromosome:AGI1.1:11:3423047:3423389:-1 gene:ORGLA11G0047400 transcript:ORGLA11G0047400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTVGGQTVYGAVDVDLVYSWGIPRKGREAKRRKGKRRDAAGSRQQQQQVAAGGVIIKVCQ >ORGLA11G0047300.1 pep chromosome:AGI1.1:11:3398499:3410865:1 gene:ORGLA11G0047300 transcript:ORGLA11G0047300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTVTRTSRSLVAPSSPTPAETLPLSVLDRVAGRHLVQSLHVFEAGGGNGGGGGEPAREALGKALVEYHPFAGRFVEVMGADGGGEVAVVGVGRWLAGCNDVDFDWEAAVQEIDRRCALASSSAASESAPPPEPSAAAAAAGTRQLTLDRFVDSFTRRRQMAAMERGPPVPASPPAAAPILPSGARAPPVPGSPPAAAPVPPSGGWGRPSDRAGEGCSRRADEDVVPKPCAVALDHEAARTWIYPTNVQVREYQKKFVEKALFTNTLVALPTGLGKTFIAAVVMYNYFRWFPEGKIVFTAPTRPLVTQQIEACHNTVGIPQEWTIDLKGNLSPSKRSCFWKSKRVFFVTPQVLQNDIQSGICMVNQLVCLVIDEAHRASRNYAYCVVVRELEAARVPLRILALTATPGSKQPAIQNVINNLRISELVHCDESDPEVSRYIQRRTVEPLEVCMDSDKFIPVGDEAEQVNDKLLDVIRPHLVKLRSARVIDHRDASNWSPHQLRMLKDKFDQAPPPNIPLADKKEIGISFQALTLLYGIMKMLLSYGIKAAHQSIEAKYKEGSWKVLTRNNTFLEVKKTMENFLSQGILSPKVRTLVEVLLDHFRKNPKDSRVIIFAHYRECVKEILCSLRNIDGELVRPAAFIGQSSTGDQLKGQTQKMQQAILHKFRSGEYNILVATSIGEEGLDIMEVDLVVCFDANISALRMIQRMGRTGRKNEGRVDILFLEMKGYLSKQGNTKTMKKLLRDRRRFEYHDSPRMVPHVYNPEVKFVELSIEKYIPCSKKSKVDVNVASPIFNKMSQDDGRLIARYFGACKEDFWKPSLVTFPSFQVSPCDIYRVPHSFRTTNMLIDAMQQLQDLSFSRTKCASPLEGPADVPVAMDEAPEGLFGADGSKEVIPQEYCGLEVLSGEAAWSKNVLVPSSPIKKYPVHSFFSGDYVAVDVSGYVSITFVPALPRTSEFHKDARNVNWHQKVQNKTTSVKLAADISRPTIEFDCLAGFAYSSKPILTDEFGLAPHSPEYTERYGRTDDRHVRGTPPPKTLVSPKEICHKPCNSKPVSPGLSGQEDMELSPRLTYYIEEGIVPESPMLEVGHKHLETDSAANADFVQQKVDFSKSHSEGAKANELKSRNGPLNFEGKGQYFSEISRLAVSPGENALDQTQANKEERMHPSNVKIHSPAAHTPMANLLCDSFSDDWQLRSGGDTPGSVREAPKYKRLCKYADKIKRVSSMSLDDRYDIAAGGNHNFATKRNKRRAKMCLDTFIDDEAEVSEDADVSADEGNDHSEDNYEDSFINDQATPTGQFTQSVHRGENSGDMMAFYRRSLLTQTPIVLPSRYQDVPDNSASRSGSASASCSSENLHNSMETPQGIHQPHHTIGPSPLGDQQSFVARASSMKEQGETSLAHCESSTTLDCRKRKLSFQQAASIPVINLEPELAPAPAPQPSSHITTGVNNNFVWDDDDFFESLDLDAIEAQATELWRLKKEQSTQRSFGN >ORGLA11G0047200.1 pep chromosome:AGI1.1:11:3393406:3393609:1 gene:ORGLA11G0047200 transcript:ORGLA11G0047200.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGSFFFLKKLNGLDLFSYTLIYSINCIFKYTLILVSRLDTCLLKVSGLDTCSLKDFILQNSGSISAP >ORGLA11G0047100.1 pep chromosome:AGI1.1:11:3374562:3376902:-1 gene:ORGLA11G0047100 transcript:ORGLA11G0047100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSVRRTSKGISGNPSEDSNKPVAKSPTLKTVIGSIRNYIATKKGRKIKILAFEVANTIAMGSNLMNFLSEENIRYLKRVVLQNQGVQSLISDDQSQLLALVGDEIRQQFKDFAASVARLGNMCRDPKWHNLDEHFTGLEYGPITQEYSHEKAASKMEDLMELVTKTKILFEALRRLGVSEKMYREAKQTGMPLETFQNAVNIEKEIVQSAKKKALWVKKIEKIVEELVYVVHYLPSEINCVFYKEHEEDRSVKANGSPQQTLGSADLQLNYARIVIAIQVLVSVASSVPQCAVDSLFHALPYRIRSVLLPRMRHGDFDDQRTETQIADEMTRRLEWLYPMAEFTIRLSQHTGMIRECLVSGSLSDRDQRKMLKVQTLYHADKMKTDGCIIDMVMDLHLLIKAARLRADAPHHSGPLDQPVSTSGSSTSSASTGISGSTSFGNISTTWSDIDEDFIAVID >ORGLA11G0047000.1 pep chromosome:AGI1.1:11:3372801:3373358:1 gene:ORGLA11G0047000 transcript:ORGLA11G0047000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QY78] MEAKGVVVITLVVTLAVVARAARPEETGPGLARRGSASSPTTHLHFYFHDKVSKPSPTAVRVVDPVDPSSRSFFGMINVMDDPLTEGPEPESKPMGRAQGLYMGSDQAKLGFLQAMNLVFTDGTYNGSVVTVLGRNCPFDDVREMPVIGGTGAFRFARGYAQARTHTLDLKTGDAIVEYNVYVMH >ORGLA11G0046900.1 pep chromosome:AGI1.1:11:3364264:3364485:-1 gene:ORGLA11G0046900 transcript:ORGLA11G0046900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDRDSIWHTMEVLCAYGMWSRIWKESKFGTIGYVKFLSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA11G0046800.1 pep chromosome:AGI1.1:11:3353067:3353621:1 gene:ORGLA11G0046800 transcript:ORGLA11G0046800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QY76] MAKEIVVMSVLLFVLAATTKADTQSGYGPRCSSLCNSSAPTHIHFYFHDKITGPSPSAVQVVSPPNKTSPTSFGTVYVMDDPLTEGPDPRSKPVGRAQGMYLSSDQVRIGFLQAMNIVLTAGLYNGSVITVLGSNHISDSIREMPVVGGTSAFRFARGYAQARTYFLDSNGLDAIVEYNVYVFH >ORGLA11G0046700.1 pep chromosome:AGI1.1:11:3340264:3340812:1 gene:ORGLA11G0046700 transcript:ORGLA11G0046700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QY75] MARGLAVMSVLLFVLATTTKADMEGRSRPNALGNSSAPTHLHFYFHDKVTSPSPSAVRVVNPPNNISLTFLGMVVVMDDPLTERPDPASKPVGRAQGMYVSSDQTRIGFLXAINIVLTAGSYNGSVVIVLGSNHISDIIREMPILGGTGHFRFARGYAQARTYFLDPNGLDAIVEYNVYVFH >ORGLA11G0046600.1 pep chromosome:AGI1.1:11:3333556:3334092:-1 gene:ORGLA11G0046600 transcript:ORGLA11G0046600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QY74] MAASSSFVLAAAALLLLAVTAAAEAAGQQKETRMRVYWHDVVTGPNSTVAKVADAPTSRASATVFGTVYVIDDPLTDGPSLTAPSRLVGRAQGMYVSAGKETMSLLMAMSFVFAADGPYNGSSVAIFGPNPARPVREIPVVGGTGAFRFARGYLRATTYWYNAAGDATVQYDIHIRHD >ORGLA11G0046500.1 pep chromosome:AGI1.1:11:3329335:3330138:-1 gene:ORGLA11G0046500 transcript:ORGLA11G0046500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRKRSARSLQEDDQTSSAAEAPAAVREDEERVAVAGMEAWRFGFSRFSGFPAFKFDPTDADIVASYLLPRALYGRGHAAVIQDDVSRCEPWTLMREHGHAASAHAFFVHDHESVGGGGRRKVQRAVKNGGGVWRIQKGEVATLTIVRDGGGGGGELDVVYKRRNLSFHRRGESSSSGWVMHEYEITSPPLPATVLSRIRATPRAKDKKLCIKEEPSCSTSAAGDGDGERSGPNPDHIAAGAGDSATANHNNTTSAATTMAAAV >ORGLA11G0046400.1 pep chromosome:AGI1.1:11:3325158:3325691:-1 gene:ORGLA11G0046400 transcript:ORGLA11G0046400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QY72] MAASSSSFVFAAALLVLAAATAAQAQRETKLRVFWHDVVSGGPNSTVAQVAEAPTTNASATGFGAVVVIDDPLTDGPNLTASRLVGRAQGMYVAAGKDALSLMMAMNFVFAGDGPYNGSSLAILGANPAERAVREMPVVGGTGVFRFARGYCQATTRWFNATTGDATVEYNIHLRLD >ORGLA11G0046300.1 pep chromosome:AGI1.1:11:3319091:3319627:-1 gene:ORGLA11G0046300 transcript:ORGLA11G0046300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QY71] MAKAALQLLLLLCVAAVAWAADDGGGSGAGMTKIKVYWHDVVAGPNPTAIRVAQAASTNASSTYFGAVVAIDDPLTSSPAAAAAGEVVGRAQGTYTFADQRVIGLLMDMNFVFTAGDHNGSSLAIMGRNEVMSPVREMSIVGGSGKFRMARGYAEARTVDSGFKSGETIVEYTLFVKA >ORGLA11G0046200.1 pep chromosome:AGI1.1:11:3315915:3316445:-1 gene:ORGLA11G0046200 transcript:ORGLA11G0046200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QY70] MAASPAFLVLAVLLCAAVAVARAADDGAAGMTKFKVYFHDVVGGTKPTAIRVAQAASTNGSSTFFGAVVAIDDPLTTDAASSSSEVGRAQGSYTFADQKTFGLLMNMNFVFTAGDHKGSTLAIVGRNEVLSAVREMSIVGGSGKFRMARGYVEARTVDSGANSGETIVEYTVFVKA >ORGLA11G0046100.1 pep chromosome:AGI1.1:11:3258380:3261855:-1 gene:ORGLA11G0046100 transcript:ORGLA11G0046100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding casette family G25 [Source:Projected from Arabidopsis thaliana (AT1G71960) TAIR;Acc:AT1G71960] MPPNGQDLHGGGRGGVVVLPPPPSSPPPPSKMDCFLSSVCTPLNLQFIDVAYRVKVSTTAAAAKGAPPGRISHAGGTGGGGGAQEERTILKGITGEARPGEVLAVLGPSGSGKSTLLSILGGRLAGRHAGTVLAGGRAPCRAVQRRTGFVAQDDVLHPHLTVRETLLFCAMLRLPASSPAAAKAAAAEAVIAELGLAPCADTIVGNAFVRGVSGGERKRVSIGHELLVNPSLLVLDEPTSGLDSTAAARLVATLSSLARRGRTVVMSVHQPSTRVYRMFDSVLLLAEGTCLYFGAGRDAMDYFAAVGFSPAFHVNPADFMLDLANGFAQTEYDNCNAADGGNVKQSLISSYNRVLAPRVKASINAGDVHGGEQQPPPPASESCSGCTSWSNQFAILLRRSLKERRHEAFTSLRLFQIIAPALVAGAMWWRSSPAAVGDRMGLLFFVSIFWGVFASFNAVFAFPQERPVLARERASGMYALSSYFMSRMAGDLPMELALPAAFTVIVYLMAGLNPSPAAFALTFAVILSYVLVAEGLGLAVGAVMMDAKRASTLVTVVMLAYLLTGGFYVHNVPGFMAWAKYTSFTYYCYRLLIAVQYSGRLARLLPPEEARGEASPAACVAALVAMFFAYRLLAYLALRRVRT >ORGLA11G0046000.1 pep chromosome:AGI1.1:11:3252597:3253139:-1 gene:ORGLA11G0046000 transcript:ORGLA11G0046000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQPYRSRREPKTPPPPPAAAESDQRREEDGGGGGAGGAGKRSSMAVVARSLVHSASAAAKGSECGASMAPPRWWWWRRRWWWRDDGCAGARRSGAGGRGSGGVGGELDGWREVLGMPGWCSHENGTTEVAVGVAAVAAAALVLVVRPRGDGEGEEAVEEEEAVEREQEEEEEARWRR >ORGLA11G0045900.1 pep chromosome:AGI1.1:11:3245634:3245855:1 gene:ORGLA11G0045900 transcript:ORGLA11G0045900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHMMEVLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTLSMSLVRGFRLPTSGINRGGA >ORGLA11G0045800.1 pep chromosome:AGI1.1:11:3238654:3239010:-1 gene:ORGLA11G0045800 transcript:ORGLA11G0045800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAPATWRSAALAICLMPVVLPLALLCLPLLCFAVTVVRFRRRRRLRMAARKGKAPGCCFVDGEERTSPEKEEGGGSRAALLLQYLEDQMELVGGGSGGEAEEGKKASAPIGDEHHELS >ORGLA11G0045700.1 pep chromosome:AGI1.1:11:3234661:3236452:1 gene:ORGLA11G0045700 transcript:ORGLA11G0045700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAQRRREGKGKLRGGAALRRHVRPVSAIRLGHRVRPVPWRWRRRRSPRGNAEAVASDLRLPLSCTAAVSRDKAATLSVLLRVSSLLLLAVVAAAAHSHLANEATTLIYTCRKTXGLPQDSGRRTGRARRRGGGGRRRRRLRHHVRPLLAVGPVRGAQRVGAVPRWRRAGGRRVGTLVGDVRRARRVGRLPRRDRRARGHLPR >ORGLA11G0045600.1 pep chromosome:AGI1.1:11:3229775:3231400:1 gene:ORGLA11G0045600 transcript:ORGLA11G0045600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLSLLFVAAAASLLPRGGGGFEKEEDYFAAAVWRLSPLIGGYLLVWTAAVSWSTAARAAVLVRVAFLLLLADATGAVGMFLGTVYTAAVLGYAVAERRRHHHSAIAEAPPAYESEAERAHRESSKRCLLSLIVAGTAAFAGIVTMMMLPEFPPPVGLVVFDVAVFSGPYFLCLVSFVNGTLLRGELVADGAKSAMAVTGLGMWWVIFPMIAGVVLHSLVVALFVYWLTGLAMAGVLGYTLAVYDHYNELMCTIRSQPRTAADASGLLVGRETSDACPAQIDENASVRLSHQEGCFSVSGHCPSCRCSRGHGPLIRF >ORGLA11G0045500.1 pep chromosome:AGI1.1:11:3227093:3228886:1 gene:ORGLA11G0045500 transcript:ORGLA11G0045500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLAMSVLSILFVAAVAHLLPRGDGDEENFPAAVWRLSPVICGYLFLMTAAMWRKSATPTRLVGVTASLLLADAADSLVAPLRLPARLAMFAATIYSAAVLGHAVAELRHHAAGRRPPSDATADATPVYESKAERDRREHGKEGILVAVVLVKVTVAASLVLTSWVVGKEAPAEGGGGGGMPTAADVLCLAASVSGPYLAGWTLFVTSTLMRGSFISGDTMWIVMACLGASWLIVPAIAGAALHLFVAFIYGHWLFGIAMAGFLGYTIAVNDHYQELMRIISCDFTGANLGRRLTLPACSSDEKLAILVQLRSMKVPALDSLINTAASAFLAIAHLVIVLEINVPDP >ORGLA11G0045400.1 pep chromosome:AGI1.1:11:3222664:3224454:1 gene:ORGLA11G0045400 transcript:ORGLA11G0045400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRVEGHGDALPPLPRLRLLPPRRRRLPGRATRRRGAHAGRHGLLRRGGRLRRRRAPAPPGIRGIGRRRRRRRGDAGYESQAERRHRETCKKFIALIVFFVEAMLAAITYLAWSLQPNENDAPPPQPTGHDDDDEPSPASIVVCVAATLSGPYLGVWALFVRSILLRGCFVAGDAMCVAAVCVGMSWLFVPVVAGIVLRQINAVLYGHWLYGIAMAGFLGYSLAVNERYQELMLIIGKSQPRTAADASGLLVGRETSDACPAQIDENASVRLSHQGGCFSVSGHCPSCHCPRQVSEP >ORGLA11G0045300.1 pep chromosome:AGI1.1:11:3213380:3217436:1 gene:ORGLA11G0045300 transcript:ORGLA11G0045300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVVVVVVAVMRGDGGGLKGARGRQGRGAAVAGRWRRVAVILLALAYAASMLVVFLGGGAGGVAVAGAGAGALRQRGAPAPAGSVYRSHLVLDRLLPEMRASSASRPHPLMTPQNKKSGKRWAPCITKKLRRSELPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSKFGDIFDEDHFIGSLRKYIRVVKELPEDAFVNFDHNISMIPNMRTKAFSSESYYLQKVLPKLLELGAVRIAPFSNRLAHSVPPNIQALRCFANYEALRFSEPIRMLGTNMVDRMIKMSSLTGGKYISVHLRFEEDMLAFSCCIYDGGWRESIEMENARERSWRGKFHRPGRVINPEANRRNGKCPLTPLEAIICWAIQLHPGESEGCAFMQNNIPQAIPSLAQYAVLDVIYVTFFRLKMPTQISDVGMMLQGMGFDNTTSLYVASGKIYNAEKYMTPLRQLFPLLQTKDTLASPEELAQFKGHSSRLAALDYTVCLQSEEFVTTQGSNFPHFLIGTGVICMEEMRRQ >ORGLA11G0045200.1 pep chromosome:AGI1.1:11:3206315:3212925:-1 gene:ORGLA11G0045200 transcript:ORGLA11G0045200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVENTSKRKAPESEHAAAVSGEHPPPPPPPDAAAKRRNLSRSCIHEVAVPKGYAAAKDEAVHGTLSSPAFHGEMAKAYPFQLDPFQSVSIACLERNESVLVSAHTSAGKTAIAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDEDGQFREDNFLKLQDTFTKQSNQVDGRKGGGPKASGRIAKGGSASGNSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTDEEKDNIEQVFSSAILCLSEEDRGLPAIELMLPLLKRGIAVHHSGLLPLIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDTNRYIASGEYIQMSGRAGRRGKDIRGICVIMIDEKMEMSVIKDMVLGKPAPLVSTFRLSYYTILNLMSRVEGQFTAEHVIRNSFHQFQYEKALPEVVQKITSLENEATLLDSSGETDLAEYHKLGLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPQSGTLPPALSASRGNNYIVDTLLHCSSSSNENGFRSKPLPPRPGEKGEMHVVPVPLPLLSGLSSVRINIPPDLRPPEARQNILFAVQELGKRYPQGLPKLDPIKDMGLQEPELVELVHKLDDLEQKLRSHPLHKSDQSEQQLSWYQRKAELNHEIQMLKSKMRDSQLQKFRDELRNRSRVLKMLGHIDADGVLQLKGRAACLIDTGDELLITELMFNGTFNDLDHHQVASLASCFIPCEKSSEQIRLRSELSTPMMQLQEAARKIAEVQKECKLEVNVEEYVESTCRPYLMDVIYCWSKGATFGEVIEMTDIFEGSIIRLARRLDEFLNQLKAAAQAVGEVNLENKFGAASDSLRRGIMFANSLYL >ORGLA11G0045100.1 pep chromosome:AGI1.1:11:3200516:3204971:1 gene:ORGLA11G0045100 transcript:ORGLA11G0045100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAGAQNRCVFVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNADRNREKGRGGPGMASSVDTQKQLAGTPVVGDTGLHQPVGLPSAIHAASVMAGILGGSQTANVQNGLPVQYGLGNDPLTHYLARMSKHQLYEIMSELKSLTSQNKDVANKLLQGIPQLSKALFQSQIMLGMVTPQMMQMAKSQQPSSSLAQSSSHISEPFPQPDAMIPSVPRPSASLPNPNVLQDPSAQLHNFPQYPHSSQPAGTIFPHGSQSGVGIHPPIVSQPLGASSSVPPLPLATSGSLISQVQPPFMPHHPRPPAMPAGMQQLPLTHPHVPQVPAIPDIAQKEMRFPEQANRSTEFAHHPKLRKLEDGTSTPGIVNNNPAVYPAPSQGMLPGGPSGSYNSAAVSFQQPENEVPQLTPDVESALLQQVLQLTPEQLSSLPVEQQQQVIQLQKMLSAGK >ORGLA11G0045000.1 pep chromosome:AGI1.1:11:3186888:3193106:-1 gene:ORGLA11G0045000 transcript:ORGLA11G0045000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVAQITADLRSSDALRQSSALLQALQQCAAGRDVSAVARTVATEILAAPSSAVCKRLALDLLRALPLPPDLLDPLLLSSLASDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHADIAAALSSPAESLRLAAVTSLSSLLPRDDLALMCSSNPSLMAHATTWWGRLAELALDSADAVAAAAFEALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAADAINFIWSRRNMLIARSMVMPVERFRVTVYPLVHAAKMVASGVVNTLRRIAKPGDTTIADSVESSAEKLVGVSDIVSHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAATTAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDVRAKDDLNSITSKSLFREELVASLVESCFQLSLPLPEQNNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCNGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIRDLREVHTPRISSRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFNTESSTTTSNRIQDMQSVLICAQRLGTRNARAGQLLSKELEEYRASTSADFVTKHQSRYVLQIIKYVTSHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAILELWRPSPTQLSLLQTKGIGALHKELPKAYTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTELELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFDGSVGSADYEGDYEESSQMTRQKRAFRAELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDESITREIESDLQGWMDDITDGAVEYMPEDEVKSAAAERLKISMERIALLKAAKPKVPPAKTKEEEEEEKKKQNEDLDEFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAMKAQ >ORGLA11G0044900.1 pep chromosome:AGI1.1:11:3165270:3168349:-1 gene:ORGLA11G0044900 transcript:ORGLA11G0044900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDLRTLESWAKEKPEIEQPALQAVVGGGGLRAAAAAAEGGMEQQAAPSSSTSTSTNSSRSTSDHHAAAAAAAAAAAAQVAHQHQHQHHPFYYAAAQGGANTMPAPASFMGSLAIVPAAAAPGGGGGQVQAAAAPVASSEKKAVVAAGAGAKRPTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAIVAATGTGTIPANFSSLAVSLRSAASHSSSPRAAPFHHLQQQQQHDVAAMLGFHHHHHQLLPPPPPHQHPEPTPQDPGAGEFMRKRYREADDLFKDTSRQDPVDGATGEAEQKARAAAAAAAPPPTAPSAMWAVGPNTTGATAAFWMQPAWAFPPGAGAAGNTVQAPLQFMSRSSFPTAMNVTMADNNNSSNNNLGMLAALNAGGGGRSGEHQHQHEGQSPAEMDHQRRANGGGGEAGGAASSQFRMIINQFAIVRGFTSPVIYLNHENPIKVAEN >ORGLA11G0044800.1 pep chromosome:AGI1.1:11:3156107:3161720:1 gene:ORGLA11G0044800 transcript:ORGLA11G0044800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G47570) TAIR;Acc:AT1G47570] MDAGECSSSQPCASSSPDAAGGVWAKLVPSDSAFPEVELAEDDAVVCSRVTPDGGGEVVAWCVIRRGGGDGDASSATIRNLSSDAIIVDGRVIQQEAVDIKPGSEIVSGPQKDGHLLYTFDITGLNDQDKTNIKIVLDIENAKCSICLNLWHDVVTVAPCLHNFCNGCFSEWLRRSSANSRDKSQSAACPQCRTAVQSVGRNHFLHNIEEAILQAFSSLQRSDEEIALLESYASVKTNIAWHAFIPFPWSYAGAMILRSTMGINICQREVLGKQKIQSRKRRLPRSNDEANHTNHADFLCPQCGAEFGGFRCSPGAPHLPCNGCGGMMPARPDTSIPQKCLGCDRAFCGAYWCSQGVNSSQHNPICDQETFKMISQRHISSVPDTVHGGNQYEKDITERCIQQSGKALQAIISEWIVKFDNKELDRSRLQLNHVDAITSRTYVCNQCYSKFIDFLFYWFRVSMPRNLLPPDAANRESCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNP >ORGLA11G0044700.1 pep chromosome:AGI1.1:11:3147626:3150738:-1 gene:ORGLA11G0044700 transcript:ORGLA11G0044700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSSHVSIASEAEINLDLSRLLIDKPRLTLERKRSFDEQSWSELSHRQNDGFDSIMHSPAFPSGFDSPFSLGTLGDPHPLVNEAWEALRKSVVYFRGQPVGTIAAVDHASEEVLNYDQVFVRDFFPSALAFLMNNETDIVKNFLLKTLHLQSSEKMVDRFKLGAGAMPASFKVDRNRNRNTETLVADFGESAIGRVAPVDSGFWWIILLRAYTKYTADTSLAESPECQNCMRLILNLCLSEGFDTFPTLLCTDGCSMIDRRMGIYGYPIEIQALFYMALRCALQMLKPDGEGKDFIEKIGQRLHALTYHMRNYFWLDFPHLNNIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFLGNVSPAMMDFRWFALGNCIAIISSLATPEQSVAIMDLIEERWEELVGEMPLKICYPAIENHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELAESRLLKDGWPEYYDGKLGRFIGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPVKPTMRRSASWNA >ORGLA11G0044600.1 pep chromosome:AGI1.1:11:3145428:3146522:-1 gene:ORGLA11G0044600 transcript:ORGLA11G0044600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLRPVATATATLPSGVPPAAAAARGYPGWVMLMPYATAEREVDDSEYSTSNSGGAKITGEASRSSSGHLVRVSLRLDAPPAASGLSFHCSPCGGSGYGAHHYRPPMXSSLPTATPCSSRCITRRKGTNNTGSITSSTMPATATTPAPATRTHHGGRRRCRCSRLIRVPIPSRPGCVEVAPASSCAAAATTTTTSSWWRRSSPRSTAGATRWRAPSSSCSAPASGAPRRSRRSSTTTARARSSPTGRPTWPSPSATGCCATSTCTAASSSAATTCSTKFPRGCSTCRSPWRPPPARSTRSTSTARATTIGVVCSTLEPSSAPSTAAAPRSNSSTSPPAAAAAAWASTPPATVRAAPSSSEPGH >ORGLA11G0044500.1 pep chromosome:AGI1.1:11:3114731:3115425:1 gene:ORGLA11G0044500 transcript:ORGLA11G0044500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGGGSAPISSKRSCLFVDITNVINAKLTNKHAAVIQSEINVPKDRENYQQINKNSTSKIQRASTIGFGNENNASVIRHVRLPCTDKAELSYGTPNMHEDVTNLSTAELKRKRARERYASLTKELKEDGNKKRRDSRKRRKDESIGFYKLTHLLLSKFGLFEHNTLLLLN >ORGLA11G0044400.1 pep chromosome:AGI1.1:11:3110242:3111770:1 gene:ORGLA11G0044400 transcript:ORGLA11G0044400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTENDSATYADSGKWQTGTPCLDFFFHVAVIHGARVSVSEVEALFELFKSISGSVIDDGLINKVNI >ORGLA11G0044300.1 pep chromosome:AGI1.1:11:3103836:3108663:-1 gene:ORGLA11G0044300 transcript:ORGLA11G0044300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta [Source:UniProtKB/TrEMBL;Acc:I1QY51] MEKPCTLLVHFDKGSPSMANEIKADLEGSDVAAKVDAMKRAIMLLLNGETLPHLFITVVRYVLPSEDHTIQKLLLLYLEIVDKRDVASGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNEPELLEPLVPSILANLDHRHHFIRRHALSAISAIYRLPHGDQLLPDAPEVVERALTGEQDASARRNAFLMLCACAQERAVAYLLTNAERVAEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIISLLSAPNSAVVYESAGALVSLSSAPTAVRAAANTYCQLLSSQSDNNVKLIVLDRLHELRASHRDVMVDVVMDVLRALSSPNVDVRRKVLDLVLDLLTPRNVEEVVMYLKKEVVKTQAGDLEKGGEYRQMLVQAIHSCAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSCALWILGEYSLSLSEVESAISTIKQCLGDLPFYTVSEEGESTDASKPAQPVVNSVTVSSRRPVVLADGTYATQSAATETAISSPAVAPGSLSSTQNLRSLILSGDFFLAAVVACTLTKLVLRLEEVQPSKAEANKASTGALLIMVSILQLGQSSYLPHPIDNDSYDRIVLCVRLLCNTGDDVRKVWLQSCRQSFTKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDAVQDDLKAATGEFTKDADDANRLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVAFRNMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTPPSALDGECGFIAANLYAKSVFGEDALVNISVEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGSS >ORGLA11G0044200.1 pep chromosome:AGI1.1:11:3099932:3103653:1 gene:ORGLA11G0044200 transcript:ORGLA11G0044200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMPIGLLLLVLIAWSSEAVICNSLNESEIDRRSLLEFKKGISMDPQKALMSWNDSTLLCNWEGVLCRVKTPRRVTSLNLTNRGLVGKISPSLGNLTFLKFLLLPTNSLTGEIPSSFGYLHRLQFLYLSNNTLQGMIPDLTNCSNLKAIWLDSNDLVGQIPNILPPHLQQLQLYNNNLTGTIPSYLANITSLKELIFVSNQIEGNIPNEFAKLPNLKVLYAGANKLEGKFPQAILNISTLTGLSIAYNNLSGELPSNLFTYLPNLQDLGLAANLFQGHIPNSLANASKLYMLDIALNYFTGIIPTSIGKLTELSWLNLEHNRLQARSKQDWEFMTSLANCSELNIFSMKDNLLEGHVPSSLGNLSVQLQHLLLGTNKLSGDFPFGIANLPGLTMLGLEDNKFTGIVPEWLGSLQNLQGIELANNFFTGVIPSSLANISMLEELFLESNQLYGYIPSSLGKLNVLSVLSMSNNSLHGSIPEEIFRIPTIRKISLSFNNLDAPLHDDIGNAKQLTYLQLSSNNITGYIPSTLGNCESLEDIELDHNVFSGSIPTTLGNIKTLKVLKLSNNNLTGSIPASLGNLQLLEQLDLSFNNLKGEVPTKGIFKNATAMRVDGNEGLCGGSLELHLLTCSNKPLDSVKHKQSILLKVVLPMTIMVSLVAAISIMWFCKRKHKRQSISSPSFGRRFPKVSYHDLVRATEGFSTSNLIGRGRYGSVYQGKLFEGRNVVAVKVFNLETRGAGKSFIAECNALKNVRHRNLVTILTACSSIDSAGNDFKALVYEFMPQGDLHNLLYSTRDGDGSSNLRNVSLAQRLSIAVDVSDALAYLHHNHQGTIVHSDIKPSNILLNDDMTAHVGDFGLARFKSDSATSSFVNSNSTSSIAIKGTIGYVAPECAEDGQVSTASDVYSFGIVLLEIFIRKKPTDDMFKDGLSIVKYTEINLPEMLQIVDPQLLQELHIWHETPTDVEKNEVNCLLSVLNIGLNCTRYMAFRGHQERSTPGLKSKSQGEYKGEQRIDSIIKHLGAHITVL >ORGLA11G0044100.1 pep chromosome:AGI1.1:11:3093143:3096303:1 gene:ORGLA11G0044100 transcript:ORGLA11G0044100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITAVGQLILVLMACSSHAVICSTFGNGTDQLSLLEFKKAISLDPQQSLISWNDSTNYCSWEGVSCSLKNPGRVTSLNLTNRALVGHISPSLGNLTFLKYLALPKNALSGEILPSLGHLRRLQYLYLSGNTLQGSIPSFANCSELKVLWVHRNNLTGQFPADWPPKLQQLQLSINNLTGTIPASLANITSLNVHSCVYNHIEGNIPNEFAKLPNLQTLYVGSNQLSGSFPQVLLNLSTLINLSLGLNHLSGEVPSNLGSALPNLEIFELPVNFFHGRIPSSLTNASNLYFLELSNNNFTGLVPRTIGELNKLQMLNLEWNQLQAHREQDWEFLQSLGNCTELQVFSMTGNCLQGHVPSSLGNLSDQLQELHLAESKLSGDFPSGIANLQNLIIVALDANLFTGVLPEWLGIIKTLQKVSLGSNFFTGAIPSCFSNLSQLGELYLDSNQLVGQLPPSFGTLPILQVLIVSNNNLHGSIPKEIFRIPTIVQISLSFNNLDAPLHNDICKAKQLTYLQLSSNNISGLYSXHFGXLXKFGRHRVGPQRFQWKHPCISRKHKDSKSSQLVLQQPVWINTGITWXSTTCXTTRPIIQQSXGRGPNKRYIQEHNCYKGWWKSRTLWGFPGATPTHLFXHSFEFSXAXAIYFSESSSPNSYHDFTCHRHLYYVVLEXKTKQTVYFFTFIWQEISQSFLQXSCESNRGILCIQFNWQRKIWFCISGETISREEFGCRESLQSXNKGCRQEFYRRMXCPEKCATSXSNHYPYCMLQYXFIWKXFXSPSVXIYATRGLTXLTILNSRCNGSSNLSYVSLAQRLNIAVDVSDALAYLHHNHQGSIVHSDLKPSNILLDDNMTAHVGDFGLAAFKSDSAASSFGDSSLTSSFAIKGTIGYVAPECAGGGRVSTASDIYSFGIVLLEIFIRRKPTDDMFKDGLSISKYTEINFPDKMLQIVDPQLLRELDICQETSINVEKNEVCCLPSVLNIGLHCTKLVPGERMSMQEVASKLHGIRDEYLRGY >ORGLA11G0044000.1 pep chromosome:AGI1.1:11:3084631:3087787:1 gene:ORGLA11G0044000 transcript:ORGLA11G0044000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XRLPLQLHISSGCSWPPSPIQSSVQLSETKRIDCHCLNSRTRSLSIHINLSSPGMTAPTSAAGKASHAAPRTHLASPPLILGIKAWLATSLLHLATXHSXGICHXRRMGSPDRFLNLSATCVVSDHSTXATTRCRGSYRASPTALSSRCYGWITMISPEDSLAACLLAFRNYSSRRTDLSGQSLPRSATSRRXGSSASRSTASPAASPVSXRRXVGWRSSTPAATGCXVGFQRRSXTCRRWLRLASARTASVVSCHRASVACCPILGSLPLASTSFMETSLLRWRMLPIWSRLIYLKITLLGLYLPPLASLPILQGXILRXTSSMLVASKTGSSWIAXPIVLSYKESLXRGIKWKERYQNQLSGSFPSGIANLPNLIILGLDYNRFSGSVPQWLGGLKTLQKLSLSTNSFTGYLPSSLSNLSHLTEILLDTNQFIGNIPSSFGNLQFLTTITITDNNLHGGVPKEIFRIPTIAEVGFALNNLSGELPTEIGNAKQLIYLQLSSNNLSGDIPNTLSNCENLQHVELDQNNFSGGIPTSFGKLISLKFLNLSHNKLSGSIPVSLGDLQLLEQIDLSFNHLTGQVPTKGIFKNSTSMQIDGNLALCGGALELHLPECPITPSNTTKGKLPVLLKVVIPLASMVTLAIVILVLYLIWKGKQRTNSISLPSFGREFPKVSYKDLARATNGFSTSNLIGEGRYGSVYQGQLFQDINVVAIKVFSLETKGAQKSFIAECNALRNVRHRNLVPVLTACSSIDSSGNDFKALVYEFMPXGDLHKLLYSTPHDETSSDLCYISLAQRLSIVVNVSDALAYLHHNHQGTIIHCDIKPTNILLDDNMTAHVGDFGLARFKNDSRQSFGNSHLTSSFAINGTVGYVAPECAGGGQISTAADVYSFGVVLLEIFMRRPTDDMLKDGLSIAKFTEMNIPDKMLQIVDPRLVQELSLCKEDSVINDENGAQCVLSVLNIGLCCTDSAPSKRISMQEAADKLHTIRDSYLRGY >ORGLA11G0043900.1 pep chromosome:AGI1.1:11:3077865:3079076:1 gene:ORGLA11G0043900 transcript:ORGLA11G0043900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIYGTQNCDPNNEHHITCYRTTISIMLLRRRKHEGNSTSLPSFGRKFPKVPYNELAEATEGFSESNLIGKGRYGYVYRGNLFQGTNVVAIKVFNLETMGAQKSFIAECNALRNVRHRNLVPILTACSSIDPNGNDFKALVYEFMPMGDLYNLLYAPQCDSNLRHITLAQRIGIVADVADAMDYLHHNNQGTIVHCDLKPSNILLDDNMTAHVGDFGLARFNFGSTTASLGDTNSTSSAAIKGTIGYIAPECAGGGQVSTAADVYSFGVVLLEIFIRRRPTDDMFKDGLTIAKFTEINIPDKMQDIVDPQLAQELGLCEEAPMADEESGARCLLSVLNIGLCCTRLAPNERISMKEVASKMHGIRGAYLR >ORGLA11G0043800.1 pep chromosome:AGI1.1:11:3070420:3073560:1 gene:ORGLA11G0043800 transcript:ORGLA11G0043800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIAIGQSFVLLLVFSTVSVVICSDGNETDRLSLLQFKQAISLDPQHALLSWNDSTHFCSWEGVSCSLRYPRRVTSLDLSNRGLVGLISPSLGNLTSLEHLFLNTNQLSGQIPPSLGHLHHLRSLYLANNTLQGNIPSFANCSALKILHLSRNQIVGRIPKNVHLPPSISQLIVNDNNLTGTIPTSLGDVATLNILIVSYNYIEGSIPDEIGKMPVLTNLYVGGNNLSGRFPLALTNISSLVELGLGFNYFHGGLPPNLGTSLPRLQVLEIASNLFEGHLPYSISNATSLYTIDFSSNYFSGVVPSSIGMLKELSLLNLEWNQFESFNNKDLEFLHSLSNCTDLQVLALYDNKLKGQIPYSLGNLSIQLQYLFLGSNQLSGGFPSGIRNLPNLISLGLNENHFTGIVPEWVGTLANLEGIYLDNNKFTGFLPSSISNISNLEDLRLSTNLFGGKIPAGLGKLQVLHLMELSDNNLLGSIPESIFSIPTLTRCMLSFNKLDEALPTEIGNAKQLGSLHLSANKLTGHIPSTLSNCDSLEELHLDQNFLNGSIPTSLGNMQSLTAVNLSYNDLSGSIPDSLGRLQSLEQLDLSFNNLVGEVPGIGVFKNATAIRLNGNHGLCNGAPELDLPRCATISSSVSKHKPSHLLMFFVPFASVVSLAMVTCIILFWRKKQKKEFVSLPSFGKKFPKVSYRDLARATDGFSASNLIGTGRYGSVYMGKLFHSKCPVAVKVFNLDIRGTQRSFISECNALRNLRHRNIVRIITACSTVDSKGNDFKALIYEFMPRGDLYQVLYSTCADENSSTSHFGLAQRVGIVMDIANALEYLHNHNKGIIVHCDLKPSNILLDDNMTAHVGDFGLSRFEIYSMTSSFGCSTSSVAISGTIGYVAPECAESGQVSTATDVYSFGVVLLEIFIRRRPTDDMFNDGLSIAKFAELNLPDRVLQIVDPQLQQDLETCQETPMAIKKKLTDCLLSVLSIGLSCTKSSPSERNSMKEVAIELHRIWDAYLGEN >ORGLA11G0043700.1 pep chromosome:AGI1.1:11:3047457:3067057:1 gene:ORGLA11G0043700 transcript:ORGLA11G0043700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTASGHLLLVLFASIFHPAVSSISGNGTDRLALLEFKNAITHDPQKSLMSWNDSNHLCSWEGVSCSSKNPPRVTSIDLSNQNLAGNISPSLGNLTFLKHLSFATNEFTGRIPESLGHLRRLRSLYLSNNTLQGIIPSFANCSDLRVLWLDHNELTGGLPDGLPLGLEELQVSSNTLVGTIPPSLGNVTTLRMLRFAFNGIEGGIPGELAALREMEILTIGGNRLSGGFPEAIMNMSVLIRLSLETNRFSGKMPSGIGTSLPNLWRLFIGGNFFQGNLPSSLANASNLVDLDISQNNFVGVVPAFIGKLANLTWLNLEMNQLHARSKQDWDFMDSLTNCTQLQALSMAGNQLEGHLPNSVGNFSVQLQRLYLGQNQLSGSFPSGIENLPNLIVFGLDYNRFTGSVPPWLGGLITLQVLSLTNNNFTGYIPSSLSNLSHLVELYLQSNQLLGNIPSSFGKLQFLTRIDISDNSLNGSLPKQIFRIPTIAEVGFSFNNLSGELPTEVGYAKQLRSLHLSSNNLSGDIPNTLGNCENLQEVVLDQNNFGGSIPASLGKLISLKSLNLSHNILNGSIPVSLGDLELLEQIDLSFNHLSGQVPTKGIFKNSTATHMDGNLGLCGGAPELHLPECPIVPSNKSKHKLYVTLKVVIPLASTVTLAIVILVIFIWKGKRREKSISLSSSGREFPKVSYRDLARATNGFSTSNLIGRGRYSSVYQGQLFHDINAVAIKVFSLETRGAQKSFIAECNALRNVRHRNLVPILTACSSIDSSGNDFKALVYKFMPRGDLHKLLYSNPNDERSSGICYISLAQRLSIAVDLSDALAYLHHSHQGTIIHCDLKPSNILLDDNMIAHVGDFGLARFRIDSRTSFGNSNSTINGTIGYVAPECAIGGQVSTAADVYSFGVVLLEIFIRRRPTDDMFKDGLTIAKYTEINIPDKMLQIVDPQLVQELGLSQEDPVRVDETATHCLLSVLNIGLCCTKSSPSERISMQEGKKRTKSIHLPSFGTEFPKVSYSDLARATNRFSTANLIGKGRYSSVYQGQLFQDLNVVAIKVFSLETRGAQKSFIAECSTLRNVRHRNLVPILTACSSIDSSGNDFKALVYQFMPRGDLHKLLYSTRDDGDASNLNHTTLAQRINIVVDVSDALEYLHHNNQGTIIHCDLKPSNILLGDNMIAHVGDFGLARFRIHSSTSLGDSNSISSFAIKGTIGYIAPECSEGGQVSTASDVFSFGVVLLELFIRRRPIDDMFKDGLSIAKHVEVNFPDRILEIVDPQLQQELDLCQETPMAVKEKGVHCLRSVLNIGLCCTKPTPSERISIQEASAKLHGIKDAYLREN >ORGLA11G0043600.1 pep chromosome:AGI1.1:11:3035996:3039170:1 gene:ORGLA11G0043600 transcript:ORGLA11G0043600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAATGQFLLVLIACSVIQIVCQSLHGNETDRLSLLDFKNAIILDPQQALVSWNDSNQVCSWEGVFCRVKAPNHVVALNLTNRDLVGAISPSLGNLTFLKHLNLTGNAFTGQIPASLAHLHRLQTLSLASNTLQGRIPNLANYSDLMVLDLYRNNLAGKFPADLPHSLEKLRLSFNNIMGTIPASLANITRLKYFACVNTSIEGNIPDEFSKLSALKFLHLGINKLTGSFPEAVLNISALTELSFAINDLHGEVPPDLGNSLPNLQAFELGGNHFHGKIPSSITNASNLYLIDVSNNNFSGGLASSIGKLTKLSWLNLEENKLHGRNNEDQEFLNSIANCTELQMFSISWNRLEGRLPNSFGNHSFQLQYVHMGQNQLSGQFPSGLTNLHNLVVIELSGNRFSGVLPDWLGALKSLQKLTVGDNNFTGLIPSSLFNLTNLVHLFLYSNKFSGQLPASFGNLEALERLGISNNNFDGTVPEDIFRIPTIQYIDLSFNNLEGLLPFYVGNAKHLIYLVLSSNNLSGEIPNTLGNSESLQIIKFHHNIFTGGIPTSLGKLLSLTLLNLSYNNLTGPIPDSLSNLKYLGQLDFSFNHLNGEVPTKGIFKNATAVQLGGNQGLCGGVLELHLPACSIAPLSSRKHVKSLTIKIVIPMAILVSLFLVVLVLLLLRGKQKGHSISLPLSDTDFPKVSYNDLARATERFSMSNLIGKGRFSCVYQGKLFQCNDVVAVKVFSLETRGAQKSFIAECNALRNVRHRNLVPILTACSSIDSKGNDFKALVYKFMPGGDLHKLLYSNGGDGDAPHQNHITLAQRINIMVDVSDALEYLHHSNQGTIVHCDLKPSNILLDDNMVAHVGDFGLARFKIDSTTSSLCYSNSTSSLVIKGTIGYIAPECSDGGQVSTASDVYSFGVVLLEIFIRRRPTDDMFMDGLSIAKYTAINFPDRILEIVDPKLQQELIPCSTDKEDLDPCQENPIAVEEKGLHCLRSMLNIGLCCTKPTPGERISMQEVAAKLHRIKDAYLREY >ORGLA11G0043500.1 pep chromosome:AGI1.1:11:2999397:2999928:-1 gene:ORGLA11G0043500 transcript:ORGLA11G0043500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEDRISQQQRSLYYRVGVQSCWAADRSRAHNCITKGTRKPRLGPHITGATWELGRNPKTHRSRLAPEGTPRQRDPLHLLQQLGGELFIWSKGEYESTQQAMGNKCLMQASKKGCCFCN >ORGLA11G0043400.1 pep chromosome:AGI1.1:11:2990332:2993463:1 gene:ORGLA11G0043400 transcript:ORGLA11G0043400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIEPGQFLLVFLVCSAHVVIHSFSGNEADRLSLLEFKNAITLDPQQALISWNDSNHVCSWEGVKCRMKAPHRVISLNLGDQGLVGTVSPSLGNLTFLRYLFLQENLLAGQIPLSLGHLHHLKYLYLSNNTLQGEIPDFANCSNLKMLGLGGNHLVGQVPTDANLPPSLYGLDISNNNLTGTIPSSLFNITTLAELFIQHNQINGEIPREIGKSRFLQEFSASGNKLSGRFQQTILNISSLAIIDLGTNYLHGELPSNLGSSLPNLQMFGLGNNLFGGHIPSSLANASKLFLVDLARNNFTGVVPSSIGKLKELSWVNLEINQLQGLEFMNNLKNGTNLQKLSVASNQLEGEIPNSFGNFSVKLQVLYLGSNRLSGRFPPGIANLHYLSGLALESNCFTGPIPDWLGNLKTLQVISLFDNMFTGFIPSSVSNFSTGRGLIGFXPLLCPYTTRFGKPQSTTSTEYFKQQSSWXHPKRDLQHSYNKGGXAIFXXTGWTSSNXNGKCQTITKSGAFIKXPNRCYSEYTGXLXEYGGNQIGSEFSXWKDSYFIWQHKKLGSAQLSHNLLSGSIPKSIGGLQDLQQLDLSFNNLEGEVPEIGIFSNITAVRIAGNTGLCGGAAMLQLPPCSSRRPSSTKHMVSVVLKVVIPFACIVSLAMGISALLFWRKRNERKSMSLTSFGRKFSKVSFNDLASATDGFSTYNLIGRGRYSSVYKGRLLQYGNVVAVKVFSLQTRGSQKSFITECHALRNVRHRNLVPILTACSSIDSKGDVFKALVYEFMSQGDLHMILYSTQNDENNSALIQIALAQRLSIVVDVAAALEYLHHNNQGTIVHCDLKPGNILLDDNMIAHVGDFGLARFKVDCTVSSSGDSTISSAINGTIGYVAPEYAAGGEVSTYGDVYSCGIVLFEIFLRKRPTDDMFKDGLNIATFVEMNFPDRISQVVDPELLENQNGISHETLVDMKEKDLECLHSVLNIGLCCTKPSPYERMDMREVAARLRKIKAAHLSSN >ORGLA11G0043300.1 pep chromosome:AGI1.1:11:2985648:2987744:1 gene:ORGLA11G0043300 transcript:ORGLA11G0043300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYLYLHSNQFYGHLPPSLGNHKLQQLTIGYNNIQGVIPKEIFKIPSLILIDLSFNNLDGSIPIEVGDAKQLTYLRLSSNKLSGDIPNTLGNCESLEKITLDQNIFSGSIPTTLGNILNLKVLNLSQNNLSGSIPPSLGNLKLLEELDLSFNHLKGEVPVKGIFKNASAIQIDGNEALCGGVPELHLHACSIIPFDSTKHKQSIVLKIVIPLASVLSLAMIISILLLLNRKQKRKSIDLPSFGRKFVRVSYHDLAKATEGFSTSHLIGRGRYSSVYQGKFTDEKVVAVKVFNLETMGAQKSFITECNALRKLRHRNIVPILTACASTSSNGNDFKALLYEFMPQGDLNKLLHSTGAEEFNGENHGNRITLAQRLSIIVDVADAIEYLHHNNQETIVHCDLKPSNILLDDDMIAHVGDFGLARFKIDFMGSNDSNSIYSTAIKGTIGYVAPAXXHFEXTVPIYGGPXSMLQVLKCRPMEMSLALGLFYXKYFYEKNQPTICSRMASTLSSLSRXTFQIGYRRLLTQNYYKKHMWVXKKGSWVVXIQYXTLDFAAQRHPHMSAWTCEKWLQGLVKSKRCFSVAT >ORGLA11G0043200.1 pep chromosome:AGI1.1:11:2954979:2956416:1 gene:ORGLA11G0043200 transcript:ORGLA11G0043200.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLTGSIPGSIGNLQFLEQLDLSFNHLKGEIPTKGIFKNATAFRIDGNQGLCGGPPELHLQACPIMALVSSKHKKSIILKVVIPIASIVSISMVILIVLMWRRKQNRKSLSLPSFARHLPQVSYNMLFRATGGFSTSNLIGKGRYSYVYRGKLFEDDNMVAVKVFNLETRGAQKSFIAECNTLRNVRHRNLVPILTACASIDSKGNDFKALVYEFMGRGDLHALLHSTQNDENTSYLNHITLAQRISIVVDLSDALEYLHHNNQGTIVHCDLKPSNILLDDDIIAHVADFGLARFKTGSSTPSLGDSSSTYSLAIKGTIGYIAPECSEGGQVSTASYVFSFGVVLLELFIRRRPTDDMFMDGLSIAKHVEMNFPDRILEIVDPQLQHELDLCQETPMAVKEKGIHCLRSVLNIGLCCTKTTPIERISMQEVAAKLHGIKDSYLRGN >ORGLA11G0043100.1 pep chromosome:AGI1.1:11:2951469:2951879:1 gene:ORGLA11G0043100 transcript:ORGLA11G0043100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGHEVEDSFDPCFTDAHTVSPSRTSTPRLLASASSLRRRCRPTRPHRRWAVASSSVRAAGDSALIRITCGSPDHLQHIQGLLLWKITGLSG >ORGLA11G0043000.1 pep chromosome:AGI1.1:11:2945459:2948354:1 gene:ORGLA11G0043000 transcript:ORGLA11G0043000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVIGLFQLLLMACSAVQIICSSSYGNETDKLSLLEFKKAITLDPQQVLISWNDSNHFCSWEGVMCRKKTTNRVISLNLTNQRLVGVISPSLGNLTFLKFLYLDTNSFTGEIPLSLGHLHHLQNLYLSNNTLQGKIPDFTNSSNLKVLLLNGNHLIGQFNNNFPPHLQGLDLSFNNLTGTIPSSLANITELLGVGFMSNNSRETSQMSSQSSSRLGILLRVKTCCQEGFHKPSXISLLLMFFTLVLIIXVEIYHQIFLTRYPAXKYFHWEGTSSKGISPALWXTLPTXVYXTFHLIILLGLYQVPLENLPNCITXIFSPINFKHTESRIGTLXMAXPTVLGYKXSRXLITVYKAIYQVHXVTFPLNLECYIWEEIKYLVFYLRTXKTSPALLISGLIPMKLQVSFQNGLVLLNTYKYXAYLTTTSRGLFHRPFQICLSCASHNNLQDGQLPANIGNAKQLSKLSLASNKLSGDIPNTLGDFESLEYIDLSWNNFTGIIPASIGKITSLEVLNFSHNNLTGPIPSLLGTYIFLSNWICHSTILKVRFRXKEYSRMXQPXALVEMRDYVVDHESYTYSHALSYLWSHLSTRNQFYXKYXSQLPAWYHLLWSYLFFLLGGGNGRENPYHYLHLVQISPIFHIITYSKQLRGSHHPIXSAKEDIVMSMXENYFKTTLLLLKSSVXRQGEHTRASWQNVMPXEMCGIAIYFLSSLHVLVLILKAMILKLXSMSSCHKGTCINFYTQPEMIXTCQIXITLHWLREXVLWWMYQTHWSIYTTTINGPLFIAIXSLVTFFXMMIXLLMLEILALQVIKPIRQCHLXAIQIQLLHSQXREPLDILLQNVHMVVKFQLPRMFTALELFSWKYLFGEGQLTTCLRMD >ORGLA11G0042900.1 pep chromosome:AGI1.1:11:2940143:2943123:1 gene:ORGLA11G0042900 transcript:ORGLA11G0042900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNDSIHFCNWEGILCSLRIPYRVTSLNLTNRGLVGQISPSLGNLTFLSILSLTENSFSGQIPASLGHLNHLQTLWLSNNTLQGVIPDFTNCSSMKALRLNGNNLVGKFPQLPHRLQSLQLSYNHLSGTIPASLANITRLNVLTCTYNNIQGDIPHEIGKLSSLQFLYVGANKLVGRFPQAILNLSTLIGLSLGFNNLTGEAPSNLGNCLPNLQLLELEDNCFQGQIPSSLINASKLYRLELASNNFTGVVPRSIGKLTKLSWLNLQSNKLQACNKQDWEFLDSLANCTELKAFSIASNHLEGHVPTSLGNLSVQLVQLFLSGNQLSGGFPSGIANLPNLIYIGLDNNQFTGAVPKWLGTLSNLQQILLHENMFTGFIPTSLSNLSVLGSLWLDYNKIGGPLPASLGNLQTLETLSISNNKLHGSVPMEIFRIPTIRLIDLSFNNFDGQLSARVGNAKQLMYLYLSSNNLSGDIPSSLGNCESLEGIKLGSNILSGSIPTSLGNIRSLKVLNLSHNNLSGSIHANLGKLWLLQQVDLSFNNLSGEIPTEGIFLNATAVHINGNEGLCGGALNLHLPTCYVMPLNSSRSERSILRYLVILFASLVSVIFMYLLLLWRGKQKKKCTSLTPFDSKFPRVSYNDLAKATEGFSASNIIGRGIYSHVYKGELFQGRDVVAVKVFSLETEGAEHSFITECNALRKVRHRNLVPILTVCSSLDTKGNDFRALVYKLIPQGDLYSLLHSTRDSENGFTSNIITFSQRLSIVVDIADALEYLHHNNQETVVHCDIKPSNILLDNDMKAYVGDFGLARLKADAAVPSVGDSNSTSMIAIKGTIGYVAPEYASGGQVSTAADVYSFGIVLLEVFLRKGPTDDMFKDGLDIAKFVSMNFPDKILDIVDPVLLQDELDCSKESAVAMKEIFSECLHSVLNIGLCCTKQSPYERMDMREMAAKLHGTRRHISEATR >ORGLA11G0042800.1 pep chromosome:AGI1.1:11:2935968:2936870:1 gene:ORGLA11G0042800 transcript:ORGLA11G0042800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1QY36] MAAARPRLRRPHVLCSLLLVMVMPCAISAADLASDGRGRLLQVAMDQAARALAEARARRDDPRDGVARRGAAQAWADCDQLVAFAVGHLNRTVAAAARGVDGDDVAAWLSAARTTVGTCLDGFGELGASPGPEFAAALANVSRLVTDALAATALRRGTEDGARAATNSGDDDGRMLPLDMARPGDADVVVAKDGTGHFCTVGEALKAAARRATNGGGRTVVYVKAGVYNENVEVWTTNLVLFGDGIGRTVITGSRSVRGGYTTFSSATFGTPRSSCSRFSFLASCECDTDMDHGWMSMKP >ORGLA11G0042700.1 pep chromosome:AGI1.1:11:2927365:2930593:1 gene:ORGLA11G0042700 transcript:ORGLA11G0042700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTTIGQYLLVLMASSVIQITCSSLYGNETDRLSLLEFKKAISMDPQQALMSWNDSNYFCSWEGVSCRVKTPHRVISLNLTNRGLIGQISPTLGNLTFLKFLFLPANSFTGEIPQSLGNMHHLQTIYLSNNTLQGKIPNLANCSNLKVLWLNGNNLVGQIPADLPQRFQSLQLSINSLTGPIPVSVANITTLKRFSCLYNNIDGNIPDDFAKLPVLVYLHLGANKLAGQFPQAILNLSTLVELTLASNHLSGELPSNIGDSIPNLQKFQLGGNFFYGHIPNSLTNASKLNLIDISINSFTGVVPRSIGKLTKLSWLNLELNKFHAHSQKDLEFMNSLANCTELQMFSIYDNRFEGNVLNSFGNHSTQLQYIHMGLNQFSGLIPSGIANIPNLIALELGGNLFTNVIPDWLGGLKSLQTLSLFNNLFTGPIPPSLSNLSNLVELGLSTNQLDGYIPPSLGYLQVLEGFSISHNNINGRVPNEIFGIPTISLIWLSFNYLEGELPSEVGNAKQLMYLHLTSNKLSGDIPSTLGNCESLVDIKLDQNVFTGNIPITLGNLSSLRGLNLSHNNLSGTIPVSLGDLELLQQLDLSFNHLTGHVPTKGVFKNTTAIQIDGNQGLCGGIPELHLLACPVMPLNSTKHKHSVGLKVVIPLATTVSLAVTIVFALFFWREKQKRKSVSLLSFDSSFPKVSYHDLARATDGFSASNLIGRGRYGSVYKAQLFQGRNVVAVKVFSLETKGAQKSFIAECNALRNVRHRNLVPILTACSTIDSRGNDFKALVYKFMARGDLYELLYSTGDDENTSTANHITLAQRLSIIVDVADALEYLHHNNQGTIVHCDLKPSNILLDDNMTAHVGDFGLARLKFDSTASTSADSTSSIAIKGTIGYIAPECASGGGQVSTVADVYSFGIILLEIFLRKRPTDNMFKDGLDIAKYVEMNFPDRTLNIVDPELLDDKQLQEIPVTMKEKCIECLVSVLNTGLCCVKISPNERMGMQEVGARLHVIKEAYAKAISGNNGIICIILYK >ORGLA11G0042600.1 pep chromosome:AGI1.1:11:2915469:2920959:-1 gene:ORGLA11G0042600 transcript:ORGLA11G0042600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVGGGGGGGGPDRGVRGVQGGSRAEEHLPQRAPVAAACGGGGAGRGGEEEDPPVMFGRHPECHVLVDHPSVSRFHLEVRSRRRQRRITVTDLSSVHGTWISGRRIPPNTPVELTAGDVLRLGGSRREYRLHWLSLREAFDMEDLLPPLLEEDKEELSTCQEASKQLEPDQKESADTETHQETSQQVVSEQIDFHANVIPSAPPIPEFADLFALEESSVPEFDDSREGRIEGNLIEENHVIYSVESSITQPMLATVEDAGRSVKSDEKDTSNARRSKLKSVKTLRIETGRSKERITPLSYSYQKEENQNENPICSQNCGIECEACMVLFNNSYVGEAEEKEKMNILDRIMMEENQEQTSHLQSKEFVHYVAPLNLDYETFSDNENCMLSVAKETEHNDFNSVNCISQDSVCENPQKISELLHSVSPLVFKGDDFTDSKILQLCASVHKELSGPILENPFMQDISDENTNSNKDTGHEGLTLLNLDATLTSNENFAQSKIFVAPEDSESEGTISENLFEISNMKGNEENEENSPWDKENITPFVSGDIIVERSQLRLKPTTISQELMDSISPLNLEHNDFSDDENSILSIGEQMNSNELIAKNVIPLTSVDANMQKSHAGFMPIAHLDFKDSILTDEETSVLSPEKYDTISPVRQGNLFPDKENVTPASRDLKPIIGRKVLGPRVDNSLSVECTSKRRIHRQEPNELSAKSKVCHAVDDDVFYSDKENLTPISSGGIKARRCLPKSLTVDADQDQEAFYSDKENLTPVSSASRKTKDLSENRARMESTITKKRVVDRLPFQTLLSNSPLRHTSSLDSTQVNPRAVDVAMKLEGELNNVPHKGQESEKTKEGMKVWTMVTDMECLLDDESRKSIMLLRGLKGTQLVIPMIVIRELECLKKRERLFRMLSKATSMLQWINECMEKESWWIHVQSSTEMLPVAPTPPATPTALCNNGEREISAGTFNPIALLSPRSFSDIVSPKTEDCVLDCALLFNKLKGNQNIVILSNSVTLKIKAMAEGFPCEGAKEFRETLVKPCSSRFMWAASAPRGSAWSCLDETTLEENYYNSHHGARRRIPRPMEPAKGLKLILLHNSHYGQATNFVENRPLAPMASW >ORGLA11G0042500.1 pep chromosome:AGI1.1:11:2903785:2907371:-1 gene:ORGLA11G0042500 transcript:ORGLA11G0042500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPSGSGGDGNANAKAKLAPPPVTAAGGRPVSVLPHKTANVRDHYRIGKKLGQGQFGTTYLCVDKASGGEFACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYTERAAAQLIRTIVAVVEGCHSLGVMHRDLKPENFLFASAAEDAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPESDVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLCRDPTKRLTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFRMIDTDDSGTITFDELKEGLKRVGSELTEHEIQALMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACREFGLDDLHLEDMIKDVDQNNDGQIDYSEFTAMMRKGNAGGAGRRTMRNSLQLNLGEILNPSNS >ORGLA11G0042400.1 pep chromosome:AGI1.1:11:2901464:2902192:-1 gene:ORGLA11G0042400 transcript:ORGLA11G0042400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAAEICRIGMPGWCTAMAVSPEMETQLLFMMNNVKWGNQKRYQLWFARKHLMVPGGEERIPDIVRFICCGYHPTNKVMQSGVIARWAVIGWLLTSCNKSYVQANAKVALFYDWLFFDEGKGNVMNIEPAMLLMVNSVSQYTDITNMLLEFLFLLVENYDVRRKEAIAECVRNAFAVLVKKGVVPSLDILTCCEKLSLMLRQKLVAFLSSASPEETEKTCAQPIDEASKAMDLNNRVCSN >ORGLA11G0042300.1 pep chromosome:AGI1.1:11:2897197:2899715:-1 gene:ORGLA11G0042300 transcript:ORGLA11G0042300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:I1QY31] MASATLLKSSFLPKKSEWGATRQAAAPKPVTVSMVVRAGAYDDELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSTVDGKKIVDILTEQKIVPGIKVDKGLVPLAGSNNESWCQGLDGLASREAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAQKVWAETFFYMAENNVMFEGILLKPSMVTPGAECKDRATPEQVSDYTLKLLHRRIPPAVPGIMFLSGGQSEVEATQNLNAMNQGPNPWHVSFSYARALQNTCLKTWGGQPENVKAAQDALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYVY >ORGLA11G0042200.1 pep chromosome:AGI1.1:11:2893460:2894311:1 gene:ORGLA11G0042200 transcript:ORGLA11G0042200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSSIKALLNSQEASASVAAGRTRSSPEELAQKKNELLRSYLGNAFADIEHLVGQIEHIQREIKGEGETHKILAWHIATSLCQIKLLEQQATGRWRDDLYNLTLPEGGGDGELADVWPHYVTAVTLSNYCGIIDIGALLSEELVAAFGGGAEVELWKRLGKFWAGFLLHLSASTRAAKHQVHLRGSGELTTHLWVLLSHAGFLGETSHGDQMLDPADLTNS >ORGLA11G0042100.1 pep chromosome:AGI1.1:11:2891492:2892934:1 gene:ORGLA11G0042100 transcript:ORGLA11G0042100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVLTILHLITTVGGLFRHDSTTGAASVEFWVAAATTLLLIKFAVDSIGPRFSSQKYINPAVQLLRILNHYAVSYTLGLMPPSSSHQGTVANAFFKVWAVLIVTMQDSIRIGRPYQPKEMTLVDMLTSLWSANQLRAKTAIHLRVPLWLMWSIHASRIVWYYITSSAAARARDDDMKLVSDYMAMPTQHTSNDANPATMAGYKYIVLGEEKLKQQIKVEPPSFTQAQLAQEEVITVEKVWSQGSSDALLGEAGDSSNRFKDVCLSFALYKLLRRRFFNFPIHEASHAGTRQLVADAILDDKNGYERAFRVTEVELSFLQDFFYSKHADVFASGFPCVRLLLSLLMTAAASYLAYAVHDMPSVSTGLTAKGRLARISHGVFVTHCIIAILVIRELWEIIVYVFSQWTNVLIICSYIRLRGRQGCWIQGLRLWMMEKVARIMFWLIGRGRSRRDRNIRQCNLVMSARTGSIARVTRVIFR >ORGLA11G0042000.1 pep chromosome:AGI1.1:11:2884116:2886668:-1 gene:ORGLA11G0042000 transcript:ORGLA11G0042000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVRAVIESFFVMLKENIYVLIRIESLVALVTLIFLAMFIIDFYRCRTRSSILTTILESIDELSDQIVVYLIGAMQSARFENELFPVWAIVLVSLRTSLGYLSGYGISDRDRQFMELANVIKFIGAGVLVGTRGLKYARPLWSFWAILQLKSMYRFFAHGMANESLWHGRSSEFIPEYMRTFIPEDQETGVNHDDRNTSTMMPGKKYLICGESNKDITLKKPQYTINISNSSAQSLVTLGKIQEYNWKGMNNRDGDSKFKDLSMAFSLSRLLRCRLEDVTLNKDSINDMQHLIISEFIPDSRGQRQEEKVDEHQAVEAERTFRILELELAFVRDYFYTLYPLVFWEGLGSLCLSLLLSAATFAIAFWLAVGIRKVYQPPEGNLVLWVDGCNFDIIMTWVFMFCVMFKEIWEIVTYLVSNWTRLLVLCKYVQDQAWFVSERLTKHLVRSFFESKIGEPWHGRIDQYDFLQQITYKPTLWKLANVITLGKIEGKLDGKKTGEAIKIPQCVKLAILQAICRIGLTSENSGLSAGLKTNYHIAISLSQYCAYLQVFRSELLPDSFLVPEVLFVETLKHAREQLKDCNLKWCRYNKLMGIALQATTSSVDEKLKMNILQQGVTLAKDLIRMKDDEACWKILAEVWADLLVHIAPSWNASDHKNNLESGGEFITLIWALLWHCGIEKSSLWHKDEAFENNSQVPQESSTETSNVIPMDEPANEDGIESSEELKTRRFRRGREHRNGPKDTTKQSHGENEEKNGIPSSSFRS >ORGLA11G0041900.1 pep chromosome:AGI1.1:11:2876774:2881548:-1 gene:ORGLA11G0041900 transcript:ORGLA11G0041900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGVALNRRTRSRPPSVASSQKSDDPAAAVAAISTAEATPSPSHAAGERTVKKLRLTKAVTIPEGTTVAEACQRMAARRVDAVLLTDANGLLSGIVTDKDIAKRVIAEGLRVEQTITSKIMTRTPVYVMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSALAAAVEGVERQVGDNLPDHSSVIETLRERMLKPSLSTIISENTKVAIVSPWDPVCVAARKMRELRVNSVVITAGNSLQGIFTSKDVLMRVVTQNLSPELTHVEKVMTAHPECATLDTSILDALHIMHDGKFLHIPVVDGEGRVVACLDVLQITHAAISMVEGGPETTNDVANTIMQKFWDSALALEPPDEEFDSRSEISLLMPSEAGDGRSSINPPVVGNSFVFKIEDQKGRMHRFACGSESLHELVSSVVQRLGIDGEKGTVQLLYDDDEGDRVLLTTDTDLTGAVLHAKSSGLKSLRLYTDESNSSSEVTKHSSEVTKHASEVTKHTSEVTKQPPELTSSHTSQLTPARYGLMAGAVVLTGVAVMIYLRRSKV >ORGLA11G0041800.1 pep chromosome:AGI1.1:11:2862250:2865835:-1 gene:ORGLA11G0041800 transcript:ORGLA11G0041800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEGGIASPGEKPIPNGASPNHSQSPKICSRITDNETQGTATAKSLNEKLVLETVSDDSSTQHCQSPQPDVFTNVKDEDMQDSVKSLSEKLASALLTINAKDDLVKQHTKVAEEAVAGWEQAEAEVSTLKRLLEASTQKNASLDDQVNHLDDALKECVRQLRQAREEQEEKIRDAVAKKTQELDSHKSELQNHIYELKQQLEAAKLEAATVAVQHDLQDKLQVAEKENKGLKIELLTLAKDLKRLSLERDLSNEAAETASKQHLESVKKIARVEAECRKLRHLTRRTSLANDSRPAPNNACMESLTDSQSDSGERMLTVDSEMRNSDSWASALIAELDQFKNSSASSRDVVNNHVEIDLMDDFLEMEKLAALSEVERVSSSFGTETDSDQAVAIDKASKVETETLKSQVTDLQAKVEKLETEKRDLEMALAEARVQLDVSCDALMAANNKLAELQMQFNLANESKIAALGQADQLDAERGSLALQLESKSIEVEKLQAVVASLEESTDKKELESQLESTSVELADLRKTVASLQEQIDAERTLSLQHKAYADMADADKKSLEAQLQSAHADIGKLRGSIETLESELQKEKTMYEELVVQMESMKIESEKKLGVESAKEALEARLLVVNSEIAKLHGTVNDLECDAAKEKAFSSELKMQLEAVEGIRKMLESELESSHQETMKLQEKISLLEVRLKDQTALLVEFTAKAEDAAAGRKAMEGQLEGAKLEITKLTNRVSLLQGKIEQEKLLSEEYEAKCRKLEAQLSRDSREARLWRLANTNGDLKVKQDKELSSAAGKLAECQKTIANLGRQLKSLTDLDSVTAAPEKLESGDALLDFREPDVEVPPAGFANGLYDLDLPKSNGSCLSPIPHVQSSSTHSQTSVFSGGLSSLGSYRSKTRK >ORGLA11G0041700.1 pep chromosome:AGI1.1:11:2855473:2861733:-1 gene:ORGLA11G0041700 transcript:ORGLA11G0041700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYISCGMVALYRTLESSPIVEQAKVFVLLELSXAHQLPSMGVFSSAPKVYKPASEVNLGADSNEFYISPNVKAPRVAGLLVKIFAWVLEAPIIGSIVLYILKRDNLVNKLVSDAEIPEPPLFTAAHTWQDIPEQNVSLTKPDMSPAERVQEAVVCLPARLESVLADPPSPGFRRWTIRDFTSAYISGEITPVMVARRFLAAVKECSGPDLNMALFISCNPQDIIRQAEASTLRYQQGAPLSAMDGVLVAVKDEIDCLPYPTTGGTRWLQRMRPCVQDAAVVAQLRACGAVLAGKTNMHELGAGTSGINPHHGSTRNPYNTGKVAGGSSGGSAAVVCAGLCPVALGADGGGSVRMPAALCGVVGLKPTAGRFSKDGLLPLNWTVGMPGILAATVEDALIAYAAIADQSQPSHLQANPELNLPLLKAASSMPTIRLARYAKWFNDCSEDIRSCCYKAVHTLRTRYGWETADVTIPEIEEMRLAHYVTMGSECTASFDKYLKKLSKSEIGWDVRIALSAYGSFSSRAYLNSQRIRNRQMYFHDKIFETFDVIVTPMTGVTAHELQDNAGHTGELDYINGAALVRYSIAGNFLGLPAITVKVGYDREGLPVGLQFIGRPWSEATLLHLAYAMQEACGKNYRKPMVYYDLLNKNKY >ORGLA11G0041600.1 pep chromosome:AGI1.1:11:2851187:2852944:-1 gene:ORGLA11G0041600 transcript:ORGLA11G0041600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:I1QY24] MSSVFSGDETAPFFGFLGAASALIFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >ORGLA11G0041500.1 pep chromosome:AGI1.1:11:2845916:2850343:1 gene:ORGLA11G0041500 transcript:ORGLA11G0041500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFIGFAIPFLASLLFTKRKGEKKRGVPVDVGGEPGYAIRNHKFERPVETHWEGVSTLAELFEQSCEQYVYMPLLGTRKLISREMEAARDGRSFEKLHLGQYEWKSYADAFKTVCNFSSGLVRIGHQRDERVAIFADTQAEWQIALQACFRQSITVVTIYSSLGEGALCHSLNETEVTTVICGRKELKKLVDISGQLDTVKHVIYVNEEGVSSEVSLAQKCTSWRVESFEEVERLGLETPVEAKLPLPSDTAVIMYTSGSTGMPKGVMMSHRNVLAVVSAVMTIVPALGKKDVYLAYLPLAHILELAAETVMSAVGASIGYGSPLTLTDTSNKIKKGTQGDASALKPTLMTAVPAILDRVRDGVRKNVDAKGGAAKKLFDIAYSRRLAAINGSWFGAWGLEKHLWDMLVFQKVRAILGGRIRFILSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDNSVGRVGAPLPCSYIKLIDWAEGGYLTNDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDERGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSVCPYVDNIMIHADPFHNYCVALVVVAHSELKSWASQQGITYSDVSDLCEKQETVKEVLQCLAKAAKQARLEKFEIPAKVKLVPEPWTPESGLVTAALKLKREAIKKAYEDDLAALYS >ORGLA11G0041400.1 pep chromosome:AGI1.1:11:2841902:2843632:-1 gene:ORGLA11G0041400 transcript:ORGLA11G0041400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKASPFLSLLLLLLITIYIAHGAAGDAIGGDPWQEPEVAQQPAVVLAGEWQLLHQNTGVSAMHMQLLPGDYVLMFDRTDSGPSNISLDALSPCAAAATTALAGGGGGAVDCTAHSVLLDLRSNALRPYPLATNPWCSSAALLPNGTLLQTGGFSNGERIARLFSPSTGWVDLPSFLAVRRWYATDILLADGRVLILGGRRQFNFEFFPHDDAPAPQPTLFPFLEETTDMDAEDNLYPFLHLLPDATVFVFANDRAVVFDPYNRAPLRRLPAIPGGVPRNYPSSGSSVLLPLRPDSPSHAEVLVCGGAPRGAYRLALRNGTFAPADRTCGRIAPTDANPVWAMEEMPLPRAMGDMVLLPTGDVLIVNGAAAGTAGWELGREPVTYPVLYKPDMQLGARFEVLAASTIPRMYHSSATLDTLGRVLVGGSNPHVGYVFDNVTYPTELSLEAFLPPYFDARLDGVRPRLVAAPAEVGYGEAAAVRFEVPGGAVSGGPEEVRVAAVAPAFATHSFGMNQRVVSLAVGTVAQLAAGLYEAQVAAPPSPSVAPPGYYLWFVLHAGVPSTAAWVRMRPLGAAT >ORGLA11G0041300.1 pep chromosome:AGI1.1:11:2840359:2841642:-1 gene:ORGLA11G0041300 transcript:ORGLA11G0041300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSAAGAASPSNSSAASASDPTPSWWESVSQARSRILALSSILPAPADSDVAALADSDRPARALLRSSAAYAALSAALRSGGGADDPACHWLYDTLLSPDPDLRLAALAFLPLLSSLYLLRLPPALPSSLSGFEAVLLAVYSSEAKNRQGKPVLVQVPDLSVPSLYHTPLSSPSSKSPRRPQPPPIPPPAGNVVVGVLSPPLEPQAAVKSTKRAGIIGVAFEAYYAKISQMPPASKVDSCNAVAAWAGQYCKCRFELDEELEEEEADSLGSVSPLSSEAENGKALEEEMAKMRVNGDTNGRNCGEREGRVPLPWELLQPVMRVLGHCLLAPLNPTEVRDAAAEAVRVVYARACHELVPQAILASRSLIELDKSARKATKEAAAAASGAIVSVGTAGSTASSSRPSSKPNTPGKQRKPDVLLLSK >ORGLA11G0041200.1 pep chromosome:AGI1.1:11:2830297:2832505:1 gene:ORGLA11G0041200 transcript:ORGLA11G0041200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAAKEKDKGGKKMSRVGSWGSMAFYSSSSSSRSQPVSAAEGKNGGKKEKRRSSISRSITCAGSICSTKESSVSSRGRGRRRRSGGGGGGDGGGGGSTSSRSLMGPGYHGDSAAAVSASSSFNSVMTAATSATATTTTTTTSSSATSPPSALSSPLSSIGGSFRAMQIRKLSGCYLHCHSVLDPRTLAAVVFSCPDCDEVFVKPDSLELHRSTRHAVSELGADDTSRNIVEIIFQSSWLKKNAPVCRIERILKVQSSDKTIKRFEQHKEAVKEKARSAGDEAGRNPRCVADGNELLRFHCTTFACSLGLAGGTALCCASSSPPPLHCKLCSIIKDGFRVDGNGRITTMATSGRAHDTAEVSPDGEKRAMLVCRVVAGRVKKLHSSNSSEYHDCDSVSPCSEGVYSDLDELFVFSPRAILPCFVVIYSGY >ORGLA11G0041100.1 pep chromosome:AGI1.1:11:2825229:2825677:-1 gene:ORGLA11G0041100 transcript:ORGLA11G0041100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEEFGFTGDDGRITLTCDASVMENQPLVFHSVGEWRAARDRMPRPAEVGLHATAGGGTAEEVGVSVDAAVLEREACGAGLGGDGVLLAAEGEACDDVAVLEDGGGVAEDELDTDKL >ORGLA11G0041000.1 pep chromosome:AGI1.1:11:2816668:2818201:-1 gene:ORGLA11G0041000 transcript:ORGLA11G0041000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKVETIVAGNYVEMEREGAATAGDGVGGAAAASGRRRGKLAVSSLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMASGVAFQVFYGLMGSWTAYLISVLYVEYRTRRERDKVDFRNHVIQWFEVLDGLLGRHWRNAGLIFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFVPSFHNYRVWSFLGLLMTSYTAWYLTVAAVVHGKVDGAAPRAGPSKTMVLYFTGATNILYTFGGHAVTVEIMHAMWRPRRFKMIYLAATAYVLTLTLPSAAAMYWAFGDALLDHSNAFALLPRTPWRDAAVVLMLIHQFITFGFACTPLYFVWEKAIGVHGGAGVLRRAAARLPVVLPIWFLAVIFPFFGPINSTVGSFLVSFTVYIIPAMAHMATFAPAAARENAVEPPPRALGGWPGTFAANCFVVAWVLVVGFGFGGWASTVNFVRQVDTFGLFTKCYQCPPRH >ORGLA11G0040900.1 pep chromosome:AGI1.1:11:2806356:2811942:1 gene:ORGLA11G0040900 transcript:ORGLA11G0040900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: vesicle-mediated transport, vesicle docking involved in exocytosis; LOCATED IN: cellular_component unknown; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterP /.../AIN/s: Sec1-like protein (InterPro:IPR001619); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G42700) TAIR;Acc:AT2G42700] MGSVDLIAACLDSIRQIGDEIADALVYLDAGTLEAFQFIGAFPLLLELGARAICSLENASPLDAAAEWHSSFAHPARKIVVITSRLLSDAHRYILRCLGNHGTISHCTVLTAISEVGHSAYVDSPFGPDAFREYETLLTQDHEELLKKYEKSDEHKDTTFYTGKDFTSDGGRYSNWESGVHYGSNSESSPTKKDLFADESSQLETKGKRLSVTVTHFPMIFSPISSRTFVLPSEGTMAESCLSNHHEDSLSPGLPPISTGRSFDGDEIPPGVTLTAQFLYHLASKMDLKLDIFSLGDTSKVIGKLMMDMSSLYDVGRNKRSAGLLIVDRTLDLLTPCFHGDSFLDRMLSSLTRKEGTSSYFVSKNPQTPSKHSEATVKRIPLDIKVPFEKVFSKEEPKSRTSMLPESIMSFVSGWNSAEVESEVTWLPDYADKAHDDKLSSQHGSLNGSFLSNYAGVCYLESLLDRGAKDGLMLIKKWLMEALQHEKLSFPSKGRQGAASVSELHSMVQMLSRDQLSLVRNRGVIQLALAAEMALQEPQSSRWDAFTSAERILSVTSAETTQSLASELRDFINTSTSVESHKQANKTESSQGLLSFQDVLLLTIIGYILAGENFPTSIAGGPFSWEDERSLKDIVVDSILDRPSSVKLRFLVGLENELEAKARTKDGDKSNDSIEASKSTDDFDDQWDSWGDDDDNTDDQKDEAYGDMQLKLEVRDRVDQLFKFFHNLSSMRLRNQTLGEGLAALSRFETDIYSRKGLLYKLLLAVLARYDIPGLEYHSSAVGRLFKSGLGRFGLGQSKPSFGDQSILIVFVVGGINALEVREVMKAISDSSRPDVELILGGTTLLTPDDMFELMLGSSSFS >ORGLA11G0040800.1 pep chromosome:AGI1.1:11:2804582:2805091:1 gene:ORGLA11G0040800 transcript:ORGLA11G0040800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DHKANADYFNKPLAHYGEMLIIFGSTIATGKYAKDSSSVLGIEDVQDDNDEENDGPATTDDRAEASSASKPKKAKTQENEDDGLIGAFTSVGDKLASAILKVAELDNKLPEGLFDILKTLPGFEEVHRSFYYAHLVANPHIARAFDGLPFENKMHWFAMFISEKFPGST >ORGLA11G0040700.1 pep chromosome:AGI1.1:11:2804165:2804443:1 gene:ORGLA11G0040700 transcript:ORGLA11G0040700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNSGKGGSTHASWTSAMSSFMFSHLVNVVAGGTRTSSGFKAVHLNACARAVNERFNSTLTGEQIKNHLKIRQRKFSKINRLRKVSAGWLG >ORGLA11G0040600.1 pep chromosome:AGI1.1:11:2802619:2803530:1 gene:ORGLA11G0040600 transcript:ORGLA11G0040600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRESFFRFCKLFKDRGLLEDTIHMCIEEQVAMFLHTVGHNLRNRLVRTNYDRSRETVSRYFNKVLHAIGELRDELIRPPSLDTPTKIAGNSRWDPYFKDCIGAINGTHIRASVRKNVESSFRGRKSHATQNVMAAVDFDLRFTYVLAGSEGIAHDAVVLRDALERENGLHVPQGKFYLVDAGYGAKQGFLPPFRAVRYHLKEWGNNLVQNEKELFNLRHSSRLIGQVRTLMNRQPAKRMTMH >ORGLA11G0040500.1 pep chromosome:AGI1.1:11:2783596:2785486:1 gene:ORGLA11G0040500 transcript:ORGLA11G0040500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42440) TAIR;Acc:AT5G42440] MDGVLQALVVAVAASAAILFPCLAIAFFCRHRHRHRVVVKPRCRCLQPLSSAATLPVTAPSSRSCERVSSWSFYGGGGDAGDRSLKMLSLDDLAGATGGFSPDNIIGDGSFGFVYRAVLPDGARVAVKRLSADHASGGEGNREFRAELEVLGTLSHPNLARLLGFCAAGADRLLVYELLERGSLDAWLYGDAAGAAGQLPWPARLRIARGVAAALAFLHHGNETAILHRDIKASNVLLDEGFEAKLADFGLARIAAGGAAESHLSTQAAGTAGYMAPELRAGVGASVKADVYSFGVLLMEMVTGRRPSWPVKINMKGKEVEMLKWARDKVDKGQALEILDRQMGIQWEGREADQDEMIAYLDVARRCTEESPKHRPSMEEVVEMLNKI >ORGLA11G0040400.1 pep chromosome:AGI1.1:11:2777195:2779412:-1 gene:ORGLA11G0040400 transcript:ORGLA11G0040400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGKVASPPGPRLPRLAGAGGEEEEEEAAAGMLSRHGQAREMSVMVSALARVVAGGGGGEAEEWWPPAYGAAPLPPSTSPASHEHAAATAAGQYAPATSSAMASPREQASSPSSGDAAGGGGGGGGRKRYRGVRQRPWGKWAAEIRDPVKAARVWLGTFDTAEAAARAYDDAALRFRGCRAKLNFPEDAALLPPPPPPPAPAPTPTPPQSQGMVGVGEEYSEYARFLQGAGEPPHFLEQIMEDSPRPSTAAGASSSSSGQSSFPLFYSFAGHELGGNEANLARPPESGGAGGDGGRGSSPPATWPGYGWGAPPPWDPSR >ORGLA11G0040300.1 pep chromosome:AGI1.1:11:2768749:2772263:1 gene:ORGLA11G0040300 transcript:ORGLA11G0040300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRNETPISENEIRITAQGRMRNYISYGMSLLEENGHDEIVIKAMGRAINKTVMVVELIKRRIGGLHQITSTESIDITDTWEPLEEGLLPLETTRHVSMIAITLSKKALDMLSPGYQPPIPAEEVRPAFDYEHEESFPANRGRGRGGGRRGRGRAMSNGPPAYDYGEEWEEEGDYYNYRGRGRGRFRGRGRGRGRGGYYGGGRRGGYGYDYGYGGRGDYYEDQGEYFEEPEDYPPPGRGRGRGRRGGGPGPFRGRGRGRGRF >ORGLA11G0040200.1 pep chromosome:AGI1.1:11:2763932:2766314:1 gene:ORGLA11G0040200 transcript:ORGLA11G0040200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPKDDVNKPCHLTSFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPIVVVGLVAYVKTPRGLRSLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQMQLEKMKKYASVVRVIVHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEIPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHAACTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRFFGKLKA >ORGLA11G0040100.1 pep chromosome:AGI1.1:11:2760417:2762881:1 gene:ORGLA11G0040100 transcript:ORGLA11G0040100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQDPFYIVKDEIQESIEKIQDTLHQWKQTPENTGEHVHLTKEIIASCESIQWQVVELEKAISVAERDPAYYGLNEVEIGKRRNWTSTARNQVVSIRRCVEAGKQKSAFGHSVNPSELVRSKQHIAQDNDDFIASESDQQMLLIKRQDEELDELSASVQRIGGVGLTIHDELVGQERLLGELSLDMETTTNRLDFVQKRVAMVLKKASLKGQIMMIAFLVVLFIILFVLVFLT >ORGLA11G0040000.1 pep chromosome:AGI1.1:11:2758069:2758503:-1 gene:ORGLA11G0040000 transcript:ORGLA11G0040000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVKLAVLLLLAAAAAGGSSTTTVPPLEERLGAAFDGMAAAAEGGGGGGWMMECWSAVTKLGSCTNEIVLFFVNGESYLGPDCCVAIRTVTRRCWPAMLASIGFTAQEADILRGFCDAELAAPPPPSTNASSAAPAPAPASA >ORGLA11G0039900.1 pep chromosome:AGI1.1:11:2748303:2748838:-1 gene:ORGLA11G0039900 transcript:ORGLA11G0039900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHHLFHHKKDDEPATGVDSYGEGVYTSETVTTEVVAGGQDEYERYKKEEKQHKHKQHLGEAGALAAGAFALYEKHEAKKDPENAHRHKITEEIAATAAVGAGGYAFHEHHEKKKDHKSAEESTGEKKHHLFG >ORGLA11G0039800.1 pep chromosome:AGI1.1:11:2739178:2740393:-1 gene:ORGLA11G0039800 transcript:ORGLA11G0039800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRVDLRILMTAARRTQRRQLRPQQQQPNCIWPYRLGGACILVDLPSILCMDARSQVHPCLRVASTGHRVEVRRPV >ORGLA11G0039700.1 pep chromosome:AGI1.1:11:2734982:2737051:1 gene:ORGLA11G0039700 transcript:ORGLA11G0039700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIPPQKSSSFSPATFREERLGRNLSLGAIKISEHAPAVRVKEEAEEGRGGVDNAGAGEGEGAAGEEAAPPEEAAEPDLAMLSAEVDAFLAGREGDAPTSISEVTLDKFASAVEQEMAQSEGDDDKWAVGENGQAAPLLAAIRRISALAAALTAVPEGSKFTIGVHRVTGVLHRAMAFVEDEFHTMLEDPRVAKAAQNGDTGSATGKSMRRGPSFNHAGGDPASDGGGGGGDTPPPFPPETVDRLRAMADAMIAVGYMTECTQVFLVARRNALDASLQSLGYEKASIDDVVRMAWESLESDVATWIKAFHHTINVGLSAEHDLCARVFAGCDAAVGRAIFVDLARCAMLQMLNFTEAVAMTKRAAEKLFKVLDMYEAVRDAAPVIDAFIAACSTTDAAADEPDTTTDALTDIKTELASVRSRLGESAAAIFCDLESSIRADAGKQPVPGGAVHPLTRYLMNYLKYACEYKNTLEQVFHEHHRTDIDADDEGSDPFAAQLMEVMELLHDNLEAKSRLYKDPALCSIFLMNNGRYMLQKIRGSPEINAVVGEAWSRKRSTDLRQYHKNYQRETWSRVLTLLRDDGVITVKGSVQKPVLKERFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFSQSFSAGRQAEKYIKLSAEDLEAIIDELFDGNAVSMPRRRN >ORGLA11G0039600.1 pep chromosome:AGI1.1:11:2725336:2729874:1 gene:ORGLA11G0039600 transcript:ORGLA11G0039600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFLTVTDSSIGPSGAAQYANGLLGLDARGAAHCWKTRIGRGEGGKWVAARRRGGAAGRGGRWPISPALVERSGVGEASPAAGRRRGGWEAVMETAHEVAIYIDRFHNLDLFQQGWYRLKISAAWEEDEYRAPVSPARVVQYEVPDIGSKGAFGLWKIDDVDNSFYTQPFQIKYSRQDIYLSVMVSFYIPNTEDEGPATSSVILKFELIYVPTLEDRTEIEDPSDIYVPVHEFRIPYKALLGLHAYFPVHFDAFHPVLVDLTMHIVYLKAGVTKSSQKASEQGLCSKSCYIIKALLSSREILLEEVMKMSAGIGKTLEDLDDADLTLGKHEPIDSSKAGLPKYSKGLYIPTKCIGHLTGVLHDLIERSDNVVRSTNDILLYTLSKEDLLELFQAPVQNSSSRAELHRRSIAQMKVGSQSMLKTETICITPKKRILTQIILQINARFIQDMQIYANPSEIPVVHIEQHVMVVPQHGSSKRLGHHLDLRLIRNQWILRDPGAECLLSQTNEDRTCGDFKEMGRRLSNEVVAFLKRKIDRYSRNGGCKDLKLSFVGHSIGNIIIRSALADPKMQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGVQCMHQLTFSDEQDPQNTFFYKLCKLNTLDNFKNIILVSSPQDGYVPYHSARIDSCPASSSDNSKKGQVFTEMLNNCLDQLRAPSSETRVFMRCDVSFDQSSHGRRRSLNTMIGRAAHIEFLENDLYARFIMWSFPDFFR >ORGLA11G0039500.1 pep chromosome:AGI1.1:11:2718693:2722576:-1 gene:ORGLA11G0039500 transcript:ORGLA11G0039500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLLPRSSILLVLRPRVPSTPHRCFAIGAAAAVDGGETWRGQLRQGEGEGRSRAVKVSVWWDFQSCHLPQGANPCRVATRVTAALRDAGIRGPVDITAFGDAYMLPRAVQEALAATGVAFSHVPSSGKGGPDQLFMADLTYWIAQNPPPAHFFLISGNKGLANILHRLRMSNYNVLLACPSADSSVLCSAATIMWPWDALVKGLDFSPKHFNQPPDGISFSWYGHYRGPLDDLFLNSESDDSMAESEDSLAESRDSKAFQPHTKSVKPPILPKSVANGVRQVLYSFPEGISLPNLRAELRKNHVFMDKGLFGFKNFSSLLQAMPDVVKFIDPLPGERNQPAVVGVSKRSMEPAEQIYKGKSSAQSSGEFKRLVQTLNEKPPSSHVPSSSSDILSADRKKVLAVDAPSSQSDLLSRSQEKAPPVDLTTQPETPASCMEADVESVAGASAFTGEQITVDKKGLFERICVLWNDTEPVKPMLSPSQDDTHSKGSNDLLTQYANSNEHNSLLTRTLKIFSTTDNSDGDNVDSTSAISSSFSNMSANDHSDKLNVKENVGNTIIHSSRSVDTSNAEHKVGFIEKSKGIFSWAAKWWASGKPDTDDNLSSVHINDGTREESEKESAFVKTAATASEQQVGVELFMKPYFWDALQQYLSTPHGSDLVSKAKTREELAHGLQKQGHWPLKCLGGKHHHQLVDLLISEKQWIKESSSQTSPFRLALPQRRACSPLSFFTNGRPSDQRKHVDDRSPTLSRTSVHVLPTKNGKGQASCKSNENQSKSDDFLEKELGPVSDSGKPYRQNDKAVRHHPPTCSDDEFSDDENHEVVQEAGRDAAQSSLFKIIDSWNTSKTICSSKKQHGIGGIVDCSRINRGNGGDNSITENAEKATSLSKHSYMTSDSDEEKLFNSVLGSLQNAKNSSLPG >ORGLA11G0039400.1 pep chromosome:AGI1.1:11:2714698:2717494:1 gene:ORGLA11G0039400 transcript:ORGLA11G0039400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRRRLIGVASPAATELRRAFRSEAALEAIRAHSKDADGPAHLALYNYPTFAGGYAALAADLFHRRLRRRLLVLPFSFVEPFRAGDFEGAGFQTCYLLDFIGPNNFALELSRFIPSVIAFDHRRSTLARIPHLGRCPSNLELNIDTTKSSARATFDYFSRNLAEIKSDSDMCEKLLDQEDEERVFNVLKYIEDADLRQWKLPNAKEFQTALRDERAKLNCITNPLVFEQLQQLDVCNLLSRGKSLAHDHFEAAGKLIHKPFRIHLGRGLHGECLAIRADGNSKLSHEIGLELSKMSTAAGLRPIGAVVFMQRGLLKICLRTTDSSTNTAEIAKAYGGGGKPSSSSFALRMDEFNAWISVNS >ORGLA11G0039300.1 pep chromosome:AGI1.1:11:2711941:2712144:-1 gene:ORGLA11G0039300 transcript:ORGLA11G0039300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASIWCPLCSRAGIYPSFSSIWFSLLIYLSVNLPTFLTQFWNRKFYRLSKPIFWMNLSRINVLELI >ORGLA11G0039200.1 pep chromosome:AGI1.1:11:2701510:2703981:-1 gene:ORGLA11G0039200 transcript:ORGLA11G0039200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor (TFIIS) family protein [Source:Projected from Arabidopsis thaliana (AT5G09850) TAIR;Acc:AT5G09850] MDRDDERLGRALAAFGGGGGGGGGVWELVDAALACAARDRPDELRARRDGIVERLYAAAGGGGGNCGAAAATTPSPRGAAVAEGEDDDDEAAAAAADGLEIKILAIKDFLEDEDQSEDELLSLLQSLADMDITYKALQETDIGRHVNGLRKHPSGEVRLLVKQLIRKWKEIVDDWVRLHNSSGDASNSIITDGNSPEKIQGKNQQSSQVSEFKYSPSPSRHNNSSSERVSNGIASIAATKHRASPAPAHHNARQINNTHHSTTSSSAPARMVKEQKDSHLDLERLDSARKRLQENYQEAQNAKKQRTIQVMDINEIPKPKNRNAFIRKGNGGGFPARHR >ORGLA11G0039100.1 pep chromosome:AGI1.1:11:2688113:2688991:-1 gene:ORGLA11G0039100 transcript:ORGLA11G0039100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:I1QXZ9] MAVRVEDVEFNVRTGNYPEFMNNLRDRLAEHPDLDDVYAGCPVLAMQRCPKQPARWMYINLVGNGDDRATLAVRDDNVNLIGFRNLKGQWFHMGFSGMSKPILPEPSTFLGCDGSYPSLLGGRDEDDVKNMLVNDIDLRQVVLLDAVHKLSGYEQPPDPYGAADDDTKLDLVHLTVVFCEAARMALDYDAVNAFDRQISLNERQVDYLRNWWLMSRALLQDETTPWPRRLSSETGINDDEQARRVVLLVLNTSTTVHVHGAAERRRSDWLYFRTGPEAAAAAGAGQTGRGGRF >ORGLA11G0039000.1 pep chromosome:AGI1.1:11:2659216:2660056:1 gene:ORGLA11G0039000 transcript:ORGLA11G0039000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVHHRIIIKNTFDFTQFTVGNIMLTGPSFATSAYAPVIFTLCLHDGSQEEDNNENEVEIICNTCNGLFNNYNNTIIETVNTGYGPAEVSYAVLTNVVEGQVTVKLVRRDEHNDPTAITGVLGRIITRSKLLSVGCVLFYSDFGSIPHIGSDGLIPLARRALAVPAMMSLTIELDLCSSSGDEIVGAAVEFDPTTSDQHVERVIGMGGHEIQVTISWLDFPW >ORGLA11G0038900.1 pep chromosome:AGI1.1:11:2645785:2646355:-1 gene:ORGLA11G0038900 transcript:ORGLA11G0038900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAAEIDNRDVCVRMLAAPINPSDLNRVEGVYPVRPPLPAVVAGYEGVGQVHALDAAVDSTLLSPRDWVIPSPLRCASPIRRHHHRQSTHRAQDAPRLDAAMAFNPLSSTPPWLSRTWPAPHPDNTRPRRLRMLRRRRRRGRAGGQGGDGDHEAEP >ORGLA11G0038800.1 pep chromosome:AGI1.1:11:2641447:2642556:1 gene:ORGLA11G0038800 transcript:ORGLA11G0038800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRSPSRSPLDDDDLLGEILLRLPPIPSSLARAACVCSRWRRLVSDPALRRRLRAHHRDHLPLHGFSYHSDHSARFWPILERPDRIPAWRVAPTEKLLPGWQVLSCSHGLVLHKDREKFMVLDPVAGEQHAVPFPSSVEDTSGFVLGMVVPSRRSSSYRVVALFAGRSTSTRVAAYVYSSESGSWGDSDSPIATLVLPSKAKHRARHGTIVGSVIHWFLDGHKVLTFDLERQILAIIELPPEVVKDTDSFYEFRCQIIPALDDGVGEVRLAVLADPNMQFWERKKTGGDGSGAAYTWVLSSTVRLNFPSIESIRSDLKYQVLGFDDESNAIFIWVQNVLFMVYLRSMRSRKVLDHWPPADIFPYSSL >ORGLA11G0038700.1 pep chromosome:AGI1.1:11:2628671:2629209:1 gene:ORGLA11G0038700 transcript:ORGLA11G0038700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKCDQGADNCSTLRQILSMNKREYRGKTKGKIKVSKGNLVITGPSFAISVYVGIDLINLHDGSQEEDDDEEDNVGEIFCNTCTCDFTDYNRAIVETVITRYGPAEVTYVVLTNAFQ >ORGLA11G0038600.1 pep chromosome:AGI1.1:11:2594439:2594911:1 gene:ORGLA11G0038600 transcript:ORGLA11G0038600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVREIATYWFENQRASRIISRTPIEVAVRESATYWFEDQRTCRIISRTTMEVGRDDSAFVEIVLDNAVYLTTTYSSAQLFLKNIF >ORGLA11G0038500.1 pep chromosome:AGI1.1:11:2588170:2589111:-1 gene:ORGLA11G0038500 transcript:ORGLA11G0038500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNGTQTQQQVRGDMHAPAGSHLFHFSNSWKKRQETNTSSSSQCNSTPQKGLPNDTAHIITPATHLHKVSVTATADDESLFIRMIYDSHEEQKLQRIQDSDWMMMMETQYYIFRGLSFGVRDNYKSRTMKKIAQKTEILGSSTSTKVEILYRSTSNQAELQSRHAPIQ >ORGLA11G0038400.1 pep chromosome:AGI1.1:11:2585894:2587153:1 gene:ORGLA11G0038400 transcript:ORGLA11G0038400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDYQGSSSTHSHPSPFSSFGRSLLSLRRDSPAAAAGASPAMASGEEADLEAFQRHVAAHLADLRGGEDELLSIEWIRRLLEAFLLCQEEFRVVVALARRRGALSAAAEKMVGDFYERAVKALDVCNAARDGVDQVRRWERLAGIAASVLLVPGEIHEGQLRRARKALSDLSVLLIDDAAAAAGGGGVASFLSSHRNRSFGRGRASPSRSATLASSSSSSSSSSSSSHFRSLSWSVSRNWSAARQLQAIGSGLAAPRPHEGGLVAPVYSMGCLLHLAAWALVAAVPCPDRAAALQAHHLPAAPPRAAFPWAPPLLALQERLTEEGKRKDRRNSCGLLKEIHALEKCTQRLAEAIDAAPVPLSGEREAEVREAAAELAAVCAAMRAGLEPLERQVREVFHRIVRSRMEGLDSPMLNAD >ORGLA11G0038300.1 pep chromosome:AGI1.1:11:2574720:2577840:1 gene:ORGLA11G0038300 transcript:ORGLA11G0038300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:I1R8E9] MSFRSIVRDVRDGFGSLSRRGFEVRILGHRRGKSHGAVHELHDPVPVIQSSCWASLPPELLRDIIERLEESEATWPSRKHVVACAGVCRTWREMCKEIVKNPELCGKITFPISLRQPGPRDGTMQCFIRRDKSTQTYYLYLSLGSAVLVDNGKFLLSAKRNCRATCTEYVISMNANNLSRSTNTNIGKLRSNFLGTKFVIYDTHTPYNATSDSQSGKTSRRFSNKGTAKHPCSTYSIANISYELNVFGTRGPRRMRCLMHSIPASSLEAGGTVPSQRDSILAHSLNESSFRSVSFSKSSVMDHSMHFSSARFSDISIGDGPRIGGRVLSDDEECKETPLILQNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPVPPPPPEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAISLSSFDTKLACE >ORGLA11G0038200.1 pep chromosome:AGI1.1:11:2569084:2570538:1 gene:ORGLA11G0038200 transcript:ORGLA11G0038200.1 gene_biotype:protein_coding transcript_biotype:protein_coding NIHVLDFQKFIPNCHFRLYACASSCTPVSILYGTNKKLKCLGDRFGEMEGSNPPGNMSQGPSYGSLDLHGIPKQMHPPNSGNQGFNQPQIPGNFTIPMDRVTEPDNISDRVQLGQHGKIAHHHHQHHHRHHSKNRGSDEEEHDMNEDAADGKDMKGSPWHRMKWTDSMVKLLITAVSYTGEDPGADLGGGRRNYSMMQKKGKWKAISKVMGERGCHVSPQQCEDKFNDLNKRYKRLTDILGRGTACNVVENHTLLDHMDISEKMKEDARKILNSKHLFYEEMCSYHNNNRISLPEDPALQQALQLALRCKEDNDFMRHASGDAELDDDQSEDSDYEENEEEHRAVDTNIRAPSMHKRMWHVVDHGDVGFVTSCSNDGSGRSDPYDVLDINKPFPDGADLALVQKDLALKAADIQKHRLQIETKAVQLAKQRLKWERFRKNKDLELEKLALENEQMMLQNKRFELDLRHKELELEIKIKGNANHP >ORGLA11G0038100.1 pep chromosome:AGI1.1:11:2562819:2564220:1 gene:ORGLA11G0038100 transcript:ORGLA11G0038100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELEAAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQVNLTPTLYEVARMRVFLLYVNIILLASMLQMWISKGEYDESGPAIVHRKCF >ORGLA11G0038000.1 pep chromosome:AGI1.1:11:2547791:2549794:-1 gene:ORGLA11G0038000 transcript:ORGLA11G0038000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPNQISGGGSVVIEIPAATEIAGVDTAPAKVCDDDPRDQPLRDREWVSQLPPDHPLRYTCGLPNGVFLSMSFVTIIYAVIAPWMLWRVATDHVSLMWTSSILACSYGALWTIALSERLAGAFLAIIFRVSYVALVAFASTHLIGTANGISIVYLDTFYVAGMLGYAVAEYRLRRGTEQCPSAILAAKPPPLEDQERGDEEAGLYYMGFLFGSVSLCLVGRMAWLLLYPCGGKCLISYVIEELSFEASMLIYIWVIFVSLTQLEGALVCYNTLFCKMPIYFGAWFVLGVLLGVPVSGAIEMLIFWIGTMALAGFFGYCLAVHAYCKRNQKTPA >ORGLA11G0037900.1 pep chromosome:AGI1.1:11:2544210:2544479:-1 gene:ORGLA11G0037900 transcript:ORGLA11G0037900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDSYCVWVSSPSPASSPSRPPRKSGSTGSITRWRRISKLVIGRNHNDGKEKFRAVVPGQGAPQTEAHDEGRHRHQTAFFTDGGKEAA >ORGLA11G0037800.1 pep chromosome:AGI1.1:11:2528996:2533777:-1 gene:ORGLA11G0037800 transcript:ORGLA11G0037800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILAYLFLLVVAIIGVFAVLASLLDPIHGFYLRSIKEALEWAEFIFTWTSSLYFILPIERVEGAKGTWRRRELGGRDDGGVKHRAPPALRPLVSKGRRLLVPEVHGLHATRLRVVSPLAPEVLLSARVRRRAAAVALVPPTRTGFGRGEGYRKEREGVFFSNATNWASTFYDCFTPLTLWLEGIYSGIVLLLFVLFIGNLDENWGRIMIEFSGVAIQMAGIFCFVMIKVSRDDNYTMKEAQKQSIMALVIFKIFSFIILTFIKAKLVPTEDNVVIPRAFAAIGCLIQFVLMLSSPIGRWLDNNFNDDEPTTRKIKLSAALIRLITLSFDCWLFTVRGYWSSPLKKPLAEMTATNLVFTSIVVVCRYIGPNKLRNFCVDIWNWLRAVPEKLQGKWNSFIQWKTDNWTPFTNTVKVALQSFCTGCCTMFQTGWNALKNRVSQCIEDMWNILAGPGGMH >ORGLA11G0037700.1 pep chromosome:AGI1.1:11:2526074:2528318:1 gene:ORGLA11G0037700 transcript:ORGLA11G0037700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit 6 [Source:UniProtKB/TrEMBL;Acc:I1QXY5] MADEEPVDPKKYLEERCKPQCVKPLYEYEKCVKRVEKDDTGHKHCTGQYFDYWSCIDKCVAPKLLEKLK >ORGLA11G0037600.1 pep chromosome:AGI1.1:11:2524512:2525594:-1 gene:ORGLA11G0037600 transcript:ORGLA11G0037600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDDEMSLADDTPTDMDIDGVEEDVVRKTVDADIPAMEILGDTAGEVKEKVLSTESEKPAPPLSRRERIALLPPNHPYHYTCGLPNFVFFAMAMVSFLYAVIVPFLLWRAAADKPTSLIWTWSILACSYGIFWIISLSEVLGVIVVFFRVSYVALVAAASTQLVGSITGVSIVYLDTFYVAGMVGYAVAEYRMRRGIEECPSAVAAMPPLISQEQERNREVNVFYAAFMFGLVSLVTVGRMAWLVFFSNAGGGGGGRISSVLEELSVETCFVSFQWTAFVALPLSLVSFNALFCWVPICYVAWHVLGAILGALVGSVDIEVLFFWLAAVAMAGFFGYCLAVHARCKRXLTLVICSSLAR >ORGLA11G0037500.1 pep chromosome:AGI1.1:11:2516656:2519951:-1 gene:ORGLA11G0037500 transcript:ORGLA11G0037500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13 [Source:Projected from Arabidopsis thaliana (AT3G18770) TAIR;Acc:AT3G18770] MAAAAEPPMVEQVITEFFAKSLHIILESRSPYESSRNFTLPSPPSSPLSGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVLLCRDSTSNTAAGSGKIIERWVIQYEARKSGGGNGNGSKNNGRKSRNSSAEDHSLYRATYQGSTVLLRSLHLLVRLLPAYSLFRELNSSGRIRPLNLSHKISSFVEPFTRAEDAEMKHYAFAPIETLFGRLSLSVSYVPVLEVVAASEPTSPMPPEIITDYVGSPTTDFLKKFNSLPSAGIAPACAAMTRRHSWSIEHGAGTSASPSPSPTKAQSRGSPQLGVPLHVSLKTCSHPQNASSSGQKKYTPFEECYPSPPLSPSPSQSPSANYPKNPLFRYESAPVTIPTLKSGGGGGSGLPPSPCSKGKHQFSSHNDNLAHSPDHNSNVRKDLVRLGEFEKDMALQKVLSYSKYDLGYFHGLKLTRTSSKLFIMDELDEHELVFAWEDRDTIIDQLNRADISDREEQKNQDAGGSSTRSPAAAIGALVHLLKTAPSLREGLQSDAAAVVPQEPSSVQKVVTEEHGSIASSSTPVTATDALEELKKYREVKESILNRGKTQVSGTNLGEKLTDGEP >ORGLA11G0037400.1 pep chromosome:AGI1.1:11:2512314:2513741:1 gene:ORGLA11G0037400 transcript:ORGLA11G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLISAVASDLVSRFISYLVHKSEKQHTTTEQDLERLKSVLLRIYTIVEEAEARQIRNRGMILQLKGLMEGMFLGYYVLDSFQFQCVEEEGVDEDHQVSCKRLRFSTCTRSTSLLSLGTENTPVLKNVIESLETKICDVRELVVLLASCPRLPQQPYCTYLFMEKSLFGRHVEKEQVIDFILHDDQNLAVLPIIGPHRIGKRTLVHHACQDERVRGRFLNIVFFHGDDLGNISLMPSTKYLCIVEFSWDVDAEAWKIFRSSMKKAAISGSKVIIIGRTDEIAKWGTTPAIRLNRLSPEMYWYYFKALSFGSMNPDDHPKLASLGMQLATELQGSFLGANILGHILRGNPSAEIWSGFLMSLRRMSRKRLSIFEEHPPEKNHPSHTAEVAFMNYRGCMLYDLREAGHFQSDIPRLTPAGVELEGKIPCDLGFDVLVWRSQIPPFCNYIATFLQPKPRRIVRRNKNPLAVSITYQS >ORGLA11G0037300.1 pep chromosome:AGI1.1:11:2509387:2510733:1 gene:ORGLA11G0037300 transcript:ORGLA11G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVVEEAEGRHITNQGMLLQLRGLIEGFYHGYHILDKVTFQPPEEESIKDEVIHEINSSALITSNSAKRFRFADALRKHTPISFASRSTTNLKGVVEDLETKIADMREFVMLLGSYPRLPRQPYSTYLFMDKCMFGRRVEKEKVIDFLLCSDLPDTYVSILPIIGPHRIGKKTLVQHACQDDRVKSCFSHIFFFKEDDLKMGELLLNSKASPGKYLFVIEFICDVNEAAWTKFQSYLQNMPSTEIKVVIIGRTEDVTKFGTTQPIRMKRLSEEEYWYYFKALSFGSMNPDEHPKLASLGMQLATEMNGSFLGANILGELLRANPNTQLWQSILLSLRGFVQKNLCCFGVHPEDLLERNTPVDFTRMAFLGAQVHGCLVYDLRVAGPAQSQLPKLTSREVLLGGNIPVEQKFDVLVWKSRIPPYCDYIATFEKQKPRRVVGKRNTIYH >ORGLA11G0037200.1 pep chromosome:AGI1.1:11:2505137:2505451:-1 gene:ORGLA11G0037200 transcript:ORGLA11G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGYIAGSLVGSFAIAYLCDTFVSDKKAFGGSIPKTVSEKEWWLATDTKFQAWPRTAGPPVVMNPISRQNFIVKSAE >ORGLA11G0037100.1 pep chromosome:AGI1.1:11:2497361:2502935:1 gene:ORGLA11G0037100 transcript:ORGLA11G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEEGGLTEASTVAGFLGVPWDSHRRPRDSVFAPVNRRRAPRALVSMEILISAVASDLISRFISSVTQNYRSHIHKEDDRRRLERILLRMHSVVEEAGGRHITNQGMILQLKGLVEGFYLGCYMLDKIKFQPPEYEVSHEIQSFALSARNYAKRFRFADAISKRTPVAFGSRSRTNLKDVVDGLETKIADMREFVILLGSHPRLPRQPYSTYLYIDNCMFGRRIEKEQVINFLLCNDPDDPSVSILPILGPPRMGKKTLVQHACLDERVRNCFSHIFFFKEDDLKTGELSLNSKASEGKYLFVIEFIWDVDEAAWTKFQSYLQNMTGIGIKVVVIGTTEDILKFGTAQPIRLKRLSEDEYWYYFKALAFGSMDPDEHPKLASLGMQLATEMRGGFLGATIYGELLRANPNTQFWNRILLFLRELARKQLTSSGLHPEDLFERNIPVDMSRLAVVDGQVQCCLVYDLRVAGPAEGELPKLTSRDMLLGGDIPVEDKFDVLVWRSRIPPYCNYIVTYEKRKPRCMVRKRNMVYL >ORGLA11G0037000.1 pep chromosome:AGI1.1:11:2485651:2487419:1 gene:ORGLA11G0037000 transcript:ORGLA11G0037000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGRWLLTEACVAWDSRRRPPDSRFAPVTRRRAPRALVSMEILISAVASDLISRFISSVTQNYRNHIHKEDDRRRLERILLRMHSVVEEAGGRHITNQGMILQLKGLVEGFYLGYYMLDKIKFQPPEEESIEYEVSHEIQSFALSACNSAKRFRFADAISKRTLVAFGSRRRTNLKDVVDGLETKIADMREFVILLGSHPRLPREPYNTYLY >ORGLA11G0036900.1 pep chromosome:AGI1.1:11:2476103:2477584:1 gene:ORGLA11G0036900 transcript:ORGLA11G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVSAVASDLIGRFISSLAQNYNNHTCKEDDRRRLERILLRMHSVVEEAERRHITNQGMLLQLKGLIEGFYLGYYMLDKIKFQPPEEESIEDEVSHEIQSFALSACNSRKRFRFADAIRKRTPVAFGSKSKTNLKDVVDGLETKIADMREFVILLGSQTRLPRQPYSTYLYIDNCMFGRRIEKEQVINFLLCNDPHDPYVSILPIIGPQRIGKKTLVQHACLDERVRDCFSHIFFFKEDNLKTGELSLNSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPGTGIKVVVIGTTEDILKFGTAQPIRLKRLSEEEYWYYFKALAFGSMDPDEHPKLASLGMQLATEMRGGFLGATVVGGLLRANPSTQFWQSMLLCIREVAQKHLSSFGVHPEDLLERNAPLDFTRVAFVGAQVQGCLVYDVRVAGPGSELPKLTSQDLKLGADIPAEDKFDVLVWKSRIPPYSNYIVTYEKQKRRYKVGRRNHQALREI >ORGLA11G0036800.1 pep chromosome:AGI1.1:11:2471506:2474201:1 gene:ORGLA11G0036800 transcript:ORGLA11G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYQLVHLFGSTKLQQPCKEDDHKRLERVLLRMYSVVEEADGCHITNQGMLLQLKGLTEGFYLGYYMLDNIKFQPHEEESIKDEVVVIGRTEDVPKFGTTQPIRVKRLSEEDDRYYFKALAFGIMDPDEHPKLASLAMQLCHARGPGWNAENLIICKRGGQMAQKHLSSSGVHPDYLFERNTPVDFSRIAFVDGQVQGFLVYDVRVASPAEEAILQNPAFILEDSSTSLLPNIPTCVSYQAFEFPLRNASCHQAHDIVKKDRTGLSP >ORGLA11G0036700.1 pep chromosome:AGI1.1:11:2451935:2457496:-1 gene:ORGLA11G0036700 transcript:ORGLA11G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSASRYAAYWAADALAGDDALDFSLTKALVGVSPDSLTGAPEAVRERVALRCLQEVVSLASDGDCEATPTAGGVLSVDASRSCEDSLLQLIREVGSSGSLEKVMLLPFSQDVQKLISIKRPTLPETSFELLREVYPEITPVVLPSPVEQNGNDQHDNVSHDLENTEKTGFNTDGAQLQQDDLANLVNESNTGNLQKDAMATPDFHQPCTSENRCFDQPQEDSINAVGVNIRSPKDSPTNVDRHIQVAAEPSLATSADLLGSNTGTISERDMIDDTTMVQSQSRGVKNPNTLNYNNGDEPLVASIQSPKDSIHEGPTMQATVSPALDRSNDALPASTSEISQLPEFITVDDTVMNSEPRINKSHPNSPKHDTGDKANQDVDYGSVGIQKVAAFLSEGCNGAIQGEKSETKDPPENTVEHTQMFEQENSDKAQLEVSCSDRVNQDLQDDGNIMENNMVCGGLNVQTAPESHTCSMVLHNKNLEANHLSKQSIGRNTTAVQKDCCSVPISPQDVNDTRVKQASNKRTMGNTVVETSHVHSSDDSLSGFAATGLLSMADKIPFCTQDQDANGPVEGLSEQDLCIKCGKDGQLLKCSSCLLAAHDTCFGSLATLDDSGQLYCPVCFYTKATEAYQKAKKTYSEARKNLSAFLGRKQLAEQHQQAAVGQRAANSEDHLNGCNNASKRKDNHQSEGNNLSHRDEDPIRQRKKQKTNATSDACAQEVVTEKVPVVQNSDVAPMNKQSVLQNNRKQAQVAEHEQPEENAEASGESGNDNSLHKTTHSSQNKCSPAVNQNVDADKENGLASSQQSEDSDEIEATSSNDSTKKSPPPWRKLRHRKAIYQDKDTAMPSNSKKVLGNHDQHMASPSRKRNYAYPPKRYSNPIAPAGRRTKLCWTEKEEITLREAMAKFTPRDNGPIPWVQILEHGRDVFHRTRLPSDLRVKWRNMKKKSGS >ORGLA11G0036600.1 pep chromosome:AGI1.1:11:2446545:2448575:1 gene:ORGLA11G0036600 transcript:ORGLA11G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSNELHQHDAPDVDVSINCDDRIFGQESVNLAAIQEELLEEDSLSDLLLAGAEAVEAGDSILASVAFSRLDDFLSGIPENGAASSFDRLAYHFDQGLRSRMSSASTGCYQPEPLPSGNMLVHQIIQELSPFVKFAHFTTNQAILDAIIGDMDVHVVDLNIGEGIQWSSLMSDLARCGGKSFRLTAITTYADCHASTHDTVVRLLSEFADSLELPFQYNSICVHNEDELHAFFEDCKGSVIVSCDTTSMYYKSLSTLQSLLLVCVKKLQPKLVVTIEEDLVRIGRGVSPSSASFVEFFFEALHHFTTVFESMASCFIGSSYEPCLRLVEMELLGPRIQDFVVKYGSVRVEANASEVLEGFMACELSACNIAQARMLVGLFNRVFGVVFKKISLLMVYYISLGKNDLREPNKVIWSSLAAGCGSHGIVVLAFYAADKLLEFKPKGIETYIHPAVERAHFHKEDRMWQEYEN >ORGLA11G0036500.1 pep chromosome:AGI1.1:11:2436114:2439305:1 gene:ORGLA11G0036500 transcript:ORGLA11G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFPPPAPSGGAPPRGHHRRAHSETFIRLPDADLLLDPDGEFGFSDLDFPSLSDDSPAASDPTPPPPPPALPQAAPRPPGGAHLRSLSLDAAFFDGLAFQGGGGGGGAGSGSTGGGAGHKRSGSMDGESSLFEGESAPPDYAKKAMPADRLAELALLDPKRAKRILANRQSAARSKERKIKYTGELERKVQTLQTEATTLSTQLTLLQRDTSGLTAENRELKLRLQSMEEQAKLRDALNDALREEVQRLKIAAGQVPNMNGNSFNGGLQQQQQQQMPTYFSQQQQMHYLSGHQGRHHHPNNPHNSLNGGQSMSGQTLNDSMDFM >ORGLA11G0036400.1 pep chromosome:AGI1.1:11:2429710:2430663:1 gene:ORGLA11G0036400 transcript:ORGLA11G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTTTSTRVEVAIKMSYLVVVLAIASLSPCRVDATSRSLLVTGGQAAAPAPVWSFGALPPLIEPAVVEPPTAVAPVHAVAVGEAPPPLKEESGSGHVKKSKHKDEERAPPPKKHHEKAPPKSKHHGRHAPPDQPELSPPAPPPESYTPDAPPAPEAASPHHGGGENPAWPRPGNNQWPPLPPFNQPPTPEWPHPGNKWPPLPPFHPPPTPAWPHPGGNKWPPLPPFPSHPPPTPAWPQPGNKWPPLPPFPSHPPPTPAWPHPGNQWPPLPPFPFHPPPMPAWPHPGNQWPPLPPFHGSDVPPATKKITKEEEVHE >ORGLA11G0036300.1 pep chromosome:AGI1.1:11:2424217:2427214:-1 gene:ORGLA11G0036300 transcript:ORGLA11G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPKPAAEDVADEQPEPPDEDPDVAEADPTGRYLRYREIIGSGSSKTVYKAFDAVDGIEVAWGKVEINERIMGSSKELQRLRTEIQLLKSLQHKHILKLYASWVDTNRRTVNIVTELFTSGNLREYRTKHKKVDMKAMRRWAKQILTGLEYLHSQKPPIIHRDLKCDNIFINGNHGKVKIGDFGLAMVMQQRKTRSIQGTIEFMAPELFGENYNELVDIYSFGMCMLEMVTCECPYSECKGFIQIYKKITEGVKPAALSKVKDAEVRGFIESCLASVSDRLPASELLKSPFLQSDDANHRSSNSVQEPVKFPENNFTKDEPIFVSLAPNNGTVNGKEQSFILVLQKSDFLLEGNMSTTNPVMLFLRFPGPDGKFKNVQFPFDMEKDTSLSVSTEMVEQLELPEWNNPVLAELIDAFLLHILPSWKPCVKVGKMLPSSS >ORGLA11G0036200.1 pep chromosome:AGI1.1:11:2409895:2417786:-1 gene:ORGLA11G0036200 transcript:ORGLA11G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLCEVCGDVGWEELILHCNKCKNATRHQYCSDPVIYDGSLVEWLCDDCLPNGNEVGNLLDISNQKKSSQTELGFSITKETNVKKMKLTKGLWSWGHHRNRSFKARCDGSDSKTKHFASGNAFSSSEVVTGEMSKINDCEMEGRGKNEYSSHSALDHASRVEQHINIQNPMGIIKPSLNSIKRLNLSNEKDDRFSSSDHVEGSIPQVNHVERAHLMIEDGSNPTFTCVEHMDLVHKKQLLQPSSLERNSIGTSIPCSENMDVLHKMQLLKPSPLDKKYVDRSIPNSENMDVVLMRRSCTLNNSMGSCEKQVVTKVDPIEPSRQFDRACLEVSSNAHEIHEADDGSKGAQSIKNGKPKKQRRLILPYEEDKDAEPIQVDDMNRQSCGINGQVKKPVEIVASLGDINAGCGQDVCSQLALPTIAVKGQCGLSSTPFIPKYFCVQPIDEPNWTGIMKIGTNYIPVGAHFSNKACKKVCELSMSLPQIMKVTELPKLKAWPKSWEKASVPTAESIGLFFFSQNTRSNKEFDDLVKHVIDYDIVLETDVSFAKLLVFPSVVLPAEYRVFQGKHYLWGVFKRSKDMAERDALVEQNCTTCLADEDVPEQNALDIVPCKALDQEMALAVSDIHHDNQPSLTTSQEVESEDSSDKGPSHPVINSPERPMYLILDTSCKVLKKWSCERMDTKSSML >ORGLA11G0036100.1 pep chromosome:AGI1.1:11:2407753:2408475:1 gene:ORGLA11G0036100 transcript:ORGLA11G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQRSASLPSRLHSTESNVEEELHGLRSCISSPSATISTMCDGLRRLGEVYNSIEEIMFLPSNQAGLSLHQQRKMVEEELDRSLLLIDLCNSMQESLSEMKMSIHELQLLLKRGDSVAVHNKIESFVRLAKKAQKMPFKKTSIGAISESCKMLRLLGEAREMAVSVLESTYLLLPKQIAKNSASKWSLVSKRFQRRNVVVCEELQALEHSMGDLEDGAEFLFRRLIQLRVSLLNILSS >ORGLA11G0036000.1 pep chromosome:AGI1.1:11:2403454:2404176:1 gene:ORGLA11G0036000 transcript:ORGLA11G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSSSLPSGPHSSVAKVEEELQGLKAHISSPSVTVAAMCDGLRKLGDVYNSIEGIMCLPSNQVVLSLPQQKQMVEEELDRSLVLIDLCNSMQENLSELKMSILELQLVLKRGDHAAVQLKFESFVRMARKAQKPFKKTGSKATAECCNLVRIMAEAREMAVSLLDSTSGLLVKKIGALSSSKWSLVSKRFQKRTVVCDEEQLQALEHEIGDLENGAEFLFRRLIQTRVSLLNILSS >ORGLA11G0035900.1 pep chromosome:AGI1.1:11:2399189:2399581:1 gene:ORGLA11G0035900 transcript:ORGLA11G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINEIGGGGGMRLVSLAYAVLSLYLLLWRVPGDQPLTWVTDFSLLACTYMYFWIISLSETITKVAAFTGITCGILLSFAAAQLLGPITGMAVMVLDMLCAAGVLGHAVAEHRATASAARRPRPRWPHGR >ORGLA11G0035800.1 pep chromosome:AGI1.1:11:2393654:2394619:1 gene:ORGLA11G0035800 transcript:ORGLA11G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCLCHVLALSPLRRTLPMPSSSSMAAYTHRRRWRPPTNQLDLEFWTSSLLALSYMFCWIISLSEAITKLVAFTSITYGILMAFAVAHLLGRVVGMAVIVIAVLYTTGMFAHAIAEHRQHTGSDTAADALLTKKLTTEQMQREELRRLPFIVLGAYSLFVFGCTAWLVFTEMGSISTATVIIVLAEVSIGTCFISYLWSILLSVGLLHDTFVSHDTIVFKAGSYSIALYFLAFLFIAMFESKLLGLSVLLLIPMAMAGFLGYVVAVYSHYKSLRDKLDINNLK >ORGLA11G0035700.1 pep chromosome:AGI1.1:11:2383901:2384173:-1 gene:ORGLA11G0035700 transcript:ORGLA11G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDKISQQQRSLYYRVGVQSCWATDRSRAHNCITKGKRKPRLGPNITGATWELGRNPKTHRSRLAPGRTPRQRDPLHLLQQLGGIIYIEQG >ORGLA11G0035600.1 pep chromosome:AGI1.1:11:2370776:2373630:-1 gene:ORGLA11G0035600 transcript:ORGLA11G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIEFRQTWVANYLTGQIRNHHQYKDADVIAFHILFIGLTRHNTGKVLIEYGGLALQLVAVFVYVMLKVGDKKYVLSEERNKILTHSEAKTHNIGLLSFFMIAVLAAIIQIQFQFPFPENYSTLAKAIGIFGMFFQVKGENGFKNDAPHIRKLKITATLIKIMTLSYELWAQISQGYRQSVLTKPLYDMTIAALIVNCVLFAARYIGPKTIKEYFFPPSPPTETRKEYFTRTLALLHKDDFPSAVQRPMRNMI >ORGLA11G0035500.1 pep chromosome:AGI1.1:11:2358534:2366011:-1 gene:ORGLA11G0035500 transcript:ORGLA11G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain;Phox-like;Sorting nexin, C-terminal [Source:Projected from Arabidopsis thaliana (AT2G15900) TAIR;Acc:AT2G15900] MRRAMVGVDDLIEEAKVRTVWWALCVFAISYFLTHVGIGEEILIGCKVGRDKLLLHTGDQLLTLLGILDLDDVYYTSKSMWTNVPMSILILAFLRYLSFKVEFHWRGQPVQKQTHLSQLSKRQLSAHDHRLSTVAPVSRWRRKVGSPSVEAAFESFIENILRDFVVDLWYSSITPDREAPELIRGLILHALGEISGRVKEMNLVDLLTRDMADLIGHHLDIFRKNQSQIGVDVMGTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVFQDIVGGIMALVLRPQDAQSPLVRCFSRELLTCLVLQPVMNFASPIYMNELIIYLMNNKDTNSGGGNLDNSNSSVTVTNAHSAHKGSSQGCQVESRNLSQESSGLVPANSSGMRSLVTHDGDKSKMSKIEHGSAIQSRQPDWAVGLDAATKRRSQVLAPENLENMWAIGRNYQKKMVKFEHSRGKSSGIDNIPSAGAAGKELSPNFNERITSVDDKYMVNLMQGSNRNAQSTYVTGSHPLVSQEWQDTDEAKPNEGSQVDCSSTEKPCETINNTKAQLKRSNSTPDIEKRYLAKSNQTMVSSEIARKNQGDRGSFPVSHGEVVLYVPKIRCRVVGAYFEKLSSKSFAVYSIAVTDAENKAWFVKRRYRNFERLHRQLKEIPNYSLHLPPKSFLSSSIDDYLVHQRCILLDKYLQELLSIPNIAEQHEVWDFLSATSKNYSAGKSTSVMKTLAVNVDDAMDDIVRQFKGVSDGLKRAVGTSPTSAPSSHLAENQMSLSWNQEEIDNLNLHNRNLSGAHSLSDGDSNYEDPSSSVNSASHSDNELNNSRYGSNDIKLNEAYSGFDAQASQQIEKPTRAYSDSSNMSSLNTFEDPAGIPPEWTPTNVSVHLLNLVDKVFQLKRRGWIRKQVLWISKQILQLVMEDAIDEWILRQINWLRRDEVIVQGIRWIQDTLWPNGVFFTRLDGYQGNAGPSQFDKHPSGSANQASGNRKDSASSFEQQLEASRNASEVKKLLLGGTPPTLVSIIGYKQYQRSARDIYYFLQSNVCVKQLAYAMIEQVLVSLFPELRQLIEDIHEKGRKEQASFTYQL >ORGLA11G0035400.1 pep chromosome:AGI1.1:11:2340312:2341810:1 gene:ORGLA11G0035400 transcript:ORGLA11G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding CIQMSTQAHTKLLKLINQQFLSHTCTLSQRTRRAELKKILELRKKKAKAKVKKKPKKKNKKAKKKKKKKKKKKKKNKKKEEEEESDVRQQKYTKVSCKGGCRSVGDEDIGVAEQQCTTDTASPSKISLS >ORGLA11G0035300.1 pep chromosome:AGI1.1:11:2334650:2339824:-1 gene:ORGLA11G0035300 transcript:ORGLA11G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYHGGAGTDIQSGTDGLQTLYLMNPSYAGYGDAAAAPGAAANMMLLNSAVTSMTPVSFGHQPSPSSSSAAQHFVGIPLQAPPASGYNLWTPAAATGAGDMSPPTPQHQHQKAHGGGAAGVSAVLSLSSREAAPPVTVAAVVAAGDEGKYLQAVAQGAASQGQMVMSSKYLKAAQELLDEVVSVSKGVDDVKAAAAAKSPASVKKKEDSEGVSGGGTEDGGGAKSGGAPPPPEMSTAERQELQMKKGKLINMLDEVEQRYRQYHQQMQVVVASFEAVAGGGSARTYTALALRTISRQFRCLRDAIAGQVRAASRALGEAVDADGGCGRTVGSRLRYIDHQLRQQRALQQLGMMQSSAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKDQDGGGGGAGDEGSKPGGSKGGGAGVNGGVVDSAAKMDSKAAHMESGGGVHPSLLELAGDHQAQAGFYDDDDEEDGGAAAALQQKLKKARTEEQQQAAFHVSDVATLHAHAAAAAAARHDEVSHRELLMKFMESGSAGAGAAARDHHHEHHGGVGYSLFAPAPYGQFATEQFAFAGHGGGGGGGGVSLTLGLPHGAEQTASFLMTSSNGSDGAGHVAGGGGGGGYDMNMQSTKSFAAQLMRDFVA >ORGLA11G0035200.1 pep chromosome:AGI1.1:11:2331704:2333522:1 gene:ORGLA11G0035200 transcript:ORGLA11G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMDGCKPPGLSLERTSPLFMEQSVENDLFDILGPQFHHLCHNAGADLVHWTDAKPESSDRDVPESSIHADSAPLFSSRDNELYSGIFSLTDTDQLLDAVISNVNPAGKQSSDDSASCKTSLTDIPATSYLCSKEMKQCGSSGVPSVLIKNEFAQFIKQPCLAENAEDGCLSQNNGMHKSQIRLWIESGQSMKCESASASNSKGLDTPSKANRKRSRPGESPKPRPKDRQLIQDRIKELREMVPNGAKCSIDALLEKTVKHMLFLQSVTKHADKLKDSTESKILGSENGPVWKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMICEDRGIFLEIADFIKGLGLTILRGAMEARKSKIWARFTVEANRDVTRMEIFLSLVRLLEPNCDSSGAAENANNVNMPLGLVHQPVIPATGRIQ >ORGLA11G0035100.1 pep chromosome:AGI1.1:11:2328292:2331270:1 gene:ORGLA11G0035100 transcript:ORGLA11G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEVGWSYAVFWKAIGAADPVHLVWEDGYCGHASCPAGSDPSEALPTDVGCAAAADTMTMCSLVNKVMASQVHVVGEGTVGRAAFTGNHQWIIHGTANDHGIPSEVAAEMSYQFRVGIQTIAIIPVLPRGVLQLGSTGVVLENKSFMTHAKKLCSQLNNRSSMAVSSSVKNSSSQQGRSRPLHGASNVQSTENRSKLFSQFPVTCEQYNHPDTMAVSGSTSLNACMNGSLLKIAQLNGQAVREHIVYSKPDVRFIQQVYRDGQLGSNAQSIAMSSDLISSSLRSVQKQPLLMNNISQLEYGDGAETSADLRKNVLLKPPCVP >ORGLA11G0035000.1 pep chromosome:AGI1.1:11:2326742:2327205:-1 gene:ORGLA11G0035000 transcript:ORGLA11G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIDVASLLNLSKRPAGQMTIVEVGSVGLIVDVINVVAKAEAQHNIVAILFYLSSNNPDASRSATNQGRAIAAGTVPALAALLSTDDLARDSIALLSRIAKKPSSIVAVLSQSGLVAHSAASL >ORGLA11G0034900.1 pep chromosome:AGI1.1:11:2316724:2321071:1 gene:ORGLA11G0034900 transcript:ORGLA11G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGVDTRPAAAAAGGGGAGAGAAGEGALSFLSRGLREDLRLIRARAGELETFLTAPVPEPELLARLRRAYSSSAGTTRLDLSAIGKAFGTGVVGRGSRGARWGWEEVQEAEEWEPIRMVKARLREMERRRQWQATDMLHKVKLSLKSMSFVPEASEEVPPLDLGELLAYFLKQSGPLFDQLGIKRDVCHKLVESLCSKRKDHLAYNSFPASEPSAFSNDNAGDELDLRIASVVQSTGHNYEGGFWNDGHKYETADKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSSKQDVTLVVPWLCKSDQELVYPNSMTFSSPQEQEAYMRSWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNLVARAYCHKVLRLSGATQDLPKSMICNVHGVNPKFLEVGERIAAERESGQHSFSKGAYFLGKMVWAKGYRELIDLYAKHKSDLEGIKLDIYGNGEDSHEVQSAAMKLNLNLNFHKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNDFFRSFPNCLTYKTSEDFVVKVKEAMARDPQPLTPEQRYNLSWEAATQRFMEHSELDKVLSSSNRDCTTSTSGCGKSGDNKMEKSASLPNMSDMVDGGLAFAHYCFTGNELLRLSTGAIPGTLNYNKQHSLDLHLLPPQVQNPVYGW >ORGLA11G0034800.1 pep chromosome:AGI1.1:11:2313649:2314236:1 gene:ORGLA11G0034800 transcript:ORGLA11G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHYAVLLRRAASLPSLPLVASLHAAALRRGAVLAPSLIHAYSACGDLASARNLFDELPPRDRTLSARTALASAMSAHGRCREVLDLFAGLAEEEMDDKAVTAVLAACARAGMVDEGRRVFATVRRRPALQHYTCMVEMLGRAGEVEEAERLVARMGARPDRVICAVLLAACRVHGRVDVAGRVHGLMRRYGIA >ORGLA11G0034700.1 pep chromosome:AGI1.1:11:2309760:2311007:1 gene:ORGLA11G0034700 transcript:ORGLA11G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCDGDRVVIVGGGIAGALLAKTLQNHADVVLIDPKEYFEIPWANLRAKMDPAAVARTVIPHSEYLTQAKVVTAAAVGVDDSVVLTSAGGAVGYDFLVVATGRECSRPQKREDRLQMFEHDKARIASAGSVLVVGGGPIGVELAAEIVMASPEKRVTLVHGGPRLLTVMGEKASAKALEWLRSKNVTVLLDQTVDLAAAAAGANTDDKVFTTSAGETVAADCHFVCTGRPVASGWLRESFLGEHVGGDGKVAVDEHLRVGGLRNVFAIGDITDVPEAKQGYLAQRHAMVVSRNLRLLVKAGGGDGGGSSKERKLHRYKASKAAITVTLGRRDALAELPFMTVIGHLPGVIKPRDYFIARTRRMMGLRTGARYDQSMFRI >ORGLA11G0034600.1 pep chromosome:AGI1.1:11:2308693:2309022:-1 gene:ORGLA11G0034600 transcript:ORGLA11G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKGGAWGRDEPLSGDLISELRLSSSLPSRDPFGPDRCHLFTAGLLLSRLVLPSLLHTRQMGGKRGGRMARSTSSRRGTGTQGAQADGTTDEVEVGREEVAGEVPGL >ORGLA11G0034500.1 pep chromosome:AGI1.1:11:2306804:2307343:-1 gene:ORGLA11G0034500 transcript:ORGLA11G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGCYCVREHQLEDDDAVGHRLLPPEILVEIGIIAAADHTAAVHARRDAVVEELAARLVGILGLTSPPSAGAGRLGEKRAAAATTTTSSGGATPPYGRSHVRRREQHLAAGGGGGGVIDGGMARYHAPGFGMTQWLAPPAWCGGGGGGTGVFLPRGEVYPTRTSNPPRKQVRNRRVC >ORGLA11G0034400.1 pep chromosome:AGI1.1:11:2299359:2302601:-1 gene:ORGLA11G0034400 transcript:ORGLA11G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSPPLPPPSFLGYLNHYSGGFPAPAYGMGGRGELVMTVSGGGSPENAVVWTTVAEPGHWFYVPPSGQYGGAIMINSAAVTGDATGITGTGIGTGVFIPERPRIRRMKHPINWVPWVPDRGGNGRRPELKTSNASKGKGIQMSYAAAVKTAGPSHEAVRANQSKQGHKFSRQKKSAATAAVEAPAPEKKEEATATTVEDIPELALLPEEWVY >ORGLA11G0034300.1 pep chromosome:AGI1.1:11:2294547:2295131:1 gene:ORGLA11G0034300 transcript:ORGLA11G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGAVVGPAVMLAVSVIVLLSAACSGAGEGPDASLLCVSECGTCPTICTSPPPPPPPAPPSSLSPSTPSVLPLYSAPPPPYLTLLLPPPSPADESDMFPPPEAPTTTNPPPSPPPPPSSPPPPTTKSSSGSTASPPSSSSHFSSPPSPPSSSNPYYYFYLSGSGRRCGGGGAASVYTALILAALLPIATFLT >ORGLA11G0034200.1 pep chromosome:AGI1.1:11:2289497:2293871:1 gene:ORGLA11G0034200 transcript:ORGLA11G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WDQILPRRSLRVLLVEHDDSTRQVVTALLRKCGYRVAAVADGMKAWGVMRERAYAFDLVLTEVTMPTLSGIELLSRIVASDECKNIPVIMMSSQDSIGTVLRCMQKGAVDFLVKPVRKNELRNLWQHVWRRHAMNSQTNASENNAASNHLSANGGNGSKTGEHSDEESDAQSCGSKREVEIQSAEKLPEVVADGGAGSSREHKIQNGFIDGMNTKSHALKGNDDAPSGNACGDSELQVLSTEKNVRSKFLNGITSAKVAGQIMDNALRFADSSSLRSSDPGKDLLVVAQTTADRKCKSSALENNAVMENNLSENSKGTATGHAESCPSHFVEINLEKQHHLNGYTNHKLNEKDIFNHSNSSAFSRYGNKRIESSAQRPFPPSFRVVHQQPVYDKNPQSSRVLLSREHNTRESTVQAQVPLDRSTEGAAILCSSSVREDAGTSSSSPRKDSLTHPSYGFIPVPIPVGAAIPYHYGAIMQPMYYPQGAFMHCDSAAINKTAIQHASCQSNYHENLGKPPQIDEHKQPEENHQLHHSRQILRESGEPVDLAKAHMERINQSASCSQDIRKGSGCTGSGETDANTNTVIALESGNESGVQNCSNNVLDGDRSRREAALLKFRMKRKDRCFEKKVRYHSRKKLAEQRPRVKGQFVSQKLKSAITTEAETD >ORGLA11G0034100.1 pep chromosome:AGI1.1:11:2271150:2275717:-1 gene:ORGLA11G0034100 transcript:ORGLA11G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAARPQTAEALSKRASMLRDSLQRSQGNTDGMVTILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIDKTIKAADGILSQFDLARRAEAAVLKGPHEDLESYLEAVDLLKGIVRFFSTNKNFKSSEGVLNHVNNLLAKSALKIEEEFRQLMTTYSKPIEPDRLFDCLPKSLRPTKDDPDAEPGNAGHSEHPSKSLETAVYRTPTLIPPRILPLMNDIAQQLIQAGNQQSCYKIYRDTRGSALESSLRKLGVEKLSKEDVQKMQWEALEAKIGNWIHFMRIAVKLLLAGERKICDQIFDGVNFNKDQCFAELTANSVVTLLSFGDAVAKSKRSPEKLFVLLDMYEVMHELQPEIEVIFEGKSCSEMREASLGLAKRLAQTAQETFADFEEAVEKDASKTIVNDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFETGSETESQLAVVTMRIMQALQNNLDGKSKQYRDPALTYLFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWAKILQTLSIQGAGSTGSSDLSSSGVSRAMIKERFKSFNMQFEELHAKQSQWIVPDQELRESLRLAVAEVLLPAYRSFVKRFSNLVENGKNPHKYVRYSPEMVEQLLGEFFEGQQWGEQKR >ORGLA11G0034000.1 pep chromosome:AGI1.1:11:2268425:2269171:-1 gene:ORGLA11G0034000 transcript:ORGLA11G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSMARRGGGGGGGGGGRHQFPVGRRRRHVPVVDSGCGCRPRRPRLLSLASFIWPSSMSSACKQAAAAAVAVPARGGGGGSKSKSSPYYFRSSSSAASFSSSSAATTYSTASYYSSSRGGGGGGAKKKKQEEEPPYLAPPKGKAAAKSPSRRKKKTAEEDDGGGGVGVAVEKESSDPRADFRESMVQMVVEMGLCHWDDLRSMLRRLLALNAPAHHAAILTAFAEVCAQLAAPSPPPPLYGGHHRRS >ORGLA11G0033900.1 pep chromosome:AGI1.1:11:2261259:2262281:1 gene:ORGLA11G0033900 transcript:ORGLA11G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKGFTSIFSRLVVVTGGGDDERGGGAAATTSPSPPPWPWPSCGNRPRTVSSRRDGGCSTSAAAAASAAAARRMAGEMYKTVNSVYFDYSAADGYSCFDDDDGRVVDDDDDGDSFSTTTASEEWSEAVIRSLGRTSTDRFFFDPGPPTNSILAAAAAPEMKPVAAAPVFHDEEKEKLPEPPASLVEESVAVAVESEDPYSDFRSSMEEMVAAHGLRRWDALEELLVWYLRVNGKHNHALIVAAFVDLLVGLAAAATTTGTTPTTTTTTSSGRSTASTSTACDITTTTTITTSSAMATMEPCPCGGGSSDDLEEEEEARVMISLGASSCSLAPSTFANS >ORGLA11G0033800.1 pep chromosome:AGI1.1:11:2242825:2248342:-1 gene:ORGLA11G0033800 transcript:ORGLA11G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHLVASLLLFFSCCHAVAAGAGVRGRLPPTLATWRQYAAAAAPPPQVVQYETRYFTQRLDHFNELPASNGTFRQRYLVNGTFWGGAAAPVFVYAGNEGDVALFASNTGFMWEAAPRFRAMLVFVEHRYYGESLPFGGTRAAAFADASAAGYLTTAQALADFAELILSLKSNLTACKAPVVIFGGSYGGMLAAWMRMKYPHIVMGAVASSAPILGLNGLSDPYSFYNVVSNDFKSESKHCYDVLRNSWSEMYKALATDAGRARLNQTFNMCKGNVDGIPGLVEKALIYGSMMDYPTPSNFLTSLPAYPVREICRAIDKPTSGNDTVSRIKDAMTIYYNSTGGLACFPGAGAEDDDPYGMFPGWTWQACTEVIMTMSYGIGNATVFPPDPFNLTAYLAGCLATTGVPPRPHWIQSYFGGYDIRNVLKRSGSNIIFFNGLRDPWSAGGILKSISNSIIALVEPKGGHHVDLRFSTKEDPEWLKKVRRQEMRIIADWLKQYYSDEAIDSMMN >ORGLA11G0033700.1 pep chromosome:AGI1.1:11:2239168:2239796:1 gene:ORGLA11G0033700 transcript:ORGLA11G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRTADDIRIADCTVAAGGDGCQPWRILQVKEADEQVKVKREAGAIANGRARHTQASASANSAATTNQQRTQQTSTAAGSFPHSNSLAAAAAAARFLVACMHNCIEYAAAAAE >ORGLA11G0033600.1 pep chromosome:AGI1.1:11:2233689:2234739:-1 gene:ORGLA11G0033600 transcript:ORGLA11G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNHPLFSQEQPQSWPWGVAMYANFHYHHHYEKEHMFEKPLTPSDVGKLNRLVIPKQHAERYFPLGAGDAADKGLILSFEDEAGAPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVVHFERVRGSFGVGDRLFIGCRRRGDTAAAQTPAPPPAVRVAPAAQNAGEQQPWSPMCYSTSGGGSYPTSPANSYAYRAADHDHGDMHHADESPRDTDSPSFSAGSAPSRRLRLFGVNLDCGPEPEADTTAAATMYGYMHQQSSYAAMSAVPSYWGNS >ORGLA11G0033500.1 pep chromosome:AGI1.1:11:2227448:2227858:-1 gene:ORGLA11G0033500 transcript:ORGLA11G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:I1NTN6] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ORGLA11G0033400.1 pep chromosome:AGI1.1:11:2215679:2222643:-1 gene:ORGLA11G0033400 transcript:ORGLA11G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCGSPICSKKDVVSCAFKEILDSSTCMNHQVVFGITALLTVALALHLLIKIPKSRASARQLAAFNSPLQLAAVVFNGCLGLLNLGLGLWMLRISFSQDSSISQSHWWLVILAQGFNLILTSFTFSVRTRFLGATFVRFWSVGLTICAAFICCCSVVYMVEEKEITFKASLDVLLLPGALILLLYAIRHSHDEEGYETNANALYKPLNTEKDHDTADSEIHVTPFAKAGFFSVMSFWWLNPLMKMGYEKPLEDKDIPRLGSTDRAQNQYLMFLDELNSKKQSEPHATPSVFWTIVSCHKSGILISGFFALLKVLTLSSGPLLLKAFINVTLGKGTFKYEGIVLAVTIFFCKCCESLSQRQWYFRTRRLGLQVRSFLSAAIFKKQQKLSNLAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALAILYNAVGLAMISSLVVIIITVICNAPLAKLQHKFQTKLMEAQDVRLKAMTESLVHMKVLKLYAWETHFKKVIEGLREVEYKWLSAFQLRRAYNGFLFWSSPVLVSAATFLTCYLLKVPLDASNVFTFVATLRLVQEPIRQIPDVIGVVIQAKVAFTRVVKFLDAPELNGQRRNKYRAGAEYPIALNSCSFSWDENPSKQTLRNINLAVKVGEKVAICGEVGSGKSTLLAAVLGEVPKTEGTIQVCGRIAYVSQNAWIQTGTVQDNILFGSSMDKQRYKETLVRCSLEKDLAMLTHGDCTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTASSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGEIIQSAPYQDLLACCEEFQDLVNAHKDTIGVSDINNMPLHRAKEISTKETDDIHGSRYGESVKPSQADQLIKIEEREIGDTGLKPYTLYLRQNKGFLYASLAIISQIIFICGQISQNSWMAANVENPSVSTLRLIVVYIAIGVCSMIFLISRSLCIVVLGMQTSRSLFSQLLNSLFRAPMCFYDSTPLGRVLSRVSSDLSIADLDVPFFFMFSMNASLNAYSNLGVLAVVTWQVLFVSVPMIILAIRLQRYYLASAKELMRINGTTKSALANHLGESVSGAITIRAFEEEDRFFAKNLELVDRNAGPYFYNFAATEWLIQRLEMMSAAVLSFSAFVMAILPPGTFSPGFVGMALSYGLSLNTSFVLSIQNQCNLANQIISVERVNQYMDIESEAAEVIEENRPAPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSADITTIGLHDLRSCLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEHGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCTMVLAMSDGKMVEYDKPMKLMETEGSLFRDLVKEYWSYASNGNI >ORGLA11G0033300.1 pep chromosome:AGI1.1:11:2205485:2211346:1 gene:ORGLA11G0033300 transcript:ORGLA11G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGALVVQVMDDSSNGGVGAAAAGGSFSSLRAYGRALAQTPRRLALRACAATSPGEEMSRVRARSGARMARRLRWHDLVGLGLGGMVGAGVFVTTGRATRLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIAVPGLPKGFNEVDLVAVGVILLISVCICYSTKESSVVNMVLTAVHVAFILFIIVMGFWRGDTRNLTRPVDPAHNPGGFFPHGAAGVFNGAAMVYLSYIGYDAVSTMAEEVERPSRDIPVGVSGSVVLVTLLYCLMAASMSMLLPYDAIDTEAPFSGAFKGSSGWGWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLAKVHPRTATPVNASAFLGVFTAALALFTELDVLLNLVSIGTLFVFYMVANAVVYRRYVAAADDDADHRRAWPTLVFLAAFSLVALCFTLLWQFAPAGRARTGLLAACGAAAVATVGAFRALVAEARRPELWGVPAMPWVPAASVFLNVFLLGSLDRPSYVRFGFFTAAAVLVYVLYSVHASYDAEEGGGAGAGGAALDGAKVQDEAADFKV >ORGLA11G0033200.1 pep chromosome:AGI1.1:11:2187920:2188540:-1 gene:ORGLA11G0033200 transcript:ORGLA11G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHLPSFPFPLPSHLLLPSPSLHLLFRKRAKRRSDDAVCRDWRCLATSLDFLLTHHRHQLSHPLVFGCTRWRSNAAAAAAADAAVDSVDLGHHLDELVRDRDLVDGGWTTGGAVPRAVIVAGVGAVELLDELFFFFSFSPELETTAYLSILHANSPMKACSTGLSQYSVFSPIGAGDVAAHRKPPDASTAPPLVPTAMSPPSSS >ORGLA11G0033100.1 pep chromosome:AGI1.1:11:2179423:2180391:-1 gene:ORGLA11G0033100 transcript:ORGLA11G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRAPACGGGDGGGVGTVIEDLPTDVLALVLRRLDGASLAAVGCACSSFRELAVDQETWRGLCLALWPSVRDVLGCGGGGGGDGYRAFFADAFPFPEAAAAVASAAPAPVPGSLPSRLVSAVDLHHGGVCIMSRVVETDTSSAWFLGSPFRVDALVQEGFSAPSPITPSSLTLSWILIDPASGRAMNASSRRPVAVDRKWLTGETVARFTVMLGGGVALDAAVTCDDRYGHVREVSLCVEDGEGGGVSGQDGLGAVAAAMAGARRGKGAEAAARQRYEEFVKGKRARKEWKARREGIVDLCCSGVGAAAFVGFLVMLTFR >ORGLA11G0033000.1 pep chromosome:AGI1.1:11:2175474:2178439:1 gene:ORGLA11G0033000 transcript:ORGLA11G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPQPQPTTTATTSANGGKVTPNLTMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQHDCEAVASLFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPSPATTTIVSDAPDESLVNYFSSAASIGSVSNAPMAGRAHPSSESVATPHVPLIIPSATPTHQIPPPLVGSSAPPLPLHDTNVHTARSANLVTPAFFTPPSSSSTSMVPPASSMMPTAPPLHPTSASAQRATYGTPLLQPFPPPTPPPSLTPSYNEGPIISRDKVKEALLRLVQNDQFIDLVYRELQNAHM >ORGLA11G0032900.1 pep chromosome:AGI1.1:11:2171229:2173454:1 gene:ORGLA11G0032900 transcript:ORGLA11G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALADMAGAAPGQPEASPPPHATQEAAAAAVAKGEQEDEAEMASTRLSLELGKVGIQSSSPCSSSSSAGHPAMQPAAAATAAPGYGPRPRHMLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSQNETMKKVAIRTIKKAAVAEPMDTAPPAQQQAETAAAVAPPTTATPPSTAPQPSFLYTAAPAGVAPVPYVWGSWPPCGPTGYEHGNPGGAAAAAAPPPLCLPPCAWYYPVVADPRVSSPPTSTYPQPYQEQPTSSPGGGTAEEDTDDDPCSLTLAIDVDKRSAPGAGGSAAGAGQHASISDREKATAAAEARKRRKKLTKLKQMHGGGGGSRPGGGGEHW >ORGLA11G0032800.1 pep chromosome:AGI1.1:11:2163246:2164214:1 gene:ORGLA11G0032800 transcript:ORGLA11G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSGGGGAISDPFATPGFRFYPTEEELLGFYLRHRLAGTRPDVERVIPVVDVYGYHPSQLAAVAGEASARDTEQWFFFCPRAERELHGGRPARTTPSGYWKATGSPSCVISSATNRVIGVKRTMVFYQGRAPTGTKTRWKMNEYKAVADDADAAAAAMLHPMAPPRLRNELGVCRVYISTGTLRSFDRRPLDNQAAAPTQQQVMPSLTAAAAVNTNLCGGGGGVVFAGAQGDSSRDCSSSSGSRELAGGADGSEDDAIDWNSLISSATADDLGFNTVVGFDPSIVGSWPQV >ORGLA11G0032700.1 pep chromosome:AGI1.1:11:2140753:2141268:1 gene:ORGLA11G0032700 transcript:ORGLA11G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLHFRPPKPTSANAVAAAATADGDLLELDVLWPASRAPDLLAALPEEDGKRRRKRGGSGSGSVAVRSAARPIPETAALTLVPSSSAAAMAKSAPVRIPSEAAAARRGVMWAAQAGSEDGEAAMVPPHEIVARRAAAHSSVLEGSGRTLKGRDLHRVRNAVLRRTGFLD >ORGLA11G0032600.1 pep chromosome:AGI1.1:11:2137872:2139152:-1 gene:ORGLA11G0032600 transcript:ORGLA11G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAASNCGLQRRRRRRPSSDDRLEGELGRNLVGEVVAVPPAGAGDDDDGRGSPWSDLPPELAGMVFCLLLSHGDRRRFRAVCSDWRLAAREQVAVTTGPSSSSLQLPPSLPWLALDRRTYQSLPDGEVHRFADGPGIMVCRGSFDGWLLYHRNGYRDIRSSFLWNPFSGAVLDLPSRCDDAAGGEPMCFVNATKRKIVVCSPDLVAAAVEYTSLIFHLPNKHSSWARTNPNICCHDIAFHHGKLYSINNNDELFVHEFFTTTAADRGGGSARVTASSDWAAVTDARPPREHLGNHGYHLRFTSYLVASLAGKLLLVRWSLPDELFSGEGGGAPRLLPAEQPYHGQGVRGGHGGAAVDGGDGHRRRPGSLRQRDLLQGTPIAGQQWRRPPWISPRQPRVHRGIRFGPTLRRRRRRHWLLLLLMRCV >ORGLA11G0032500.1 pep chromosome:AGI1.1:11:2135400:2136773:-1 gene:ORGLA11G0032500 transcript:ORGLA11G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAKLDQEVVVLVPPASGVDERASSPWPDLLPELAGMVFCRLLSYRDRLRFQAVCRSWRLAARRQHPLPPPLPWLSLDRATYQSLPDGEVHRLVPDPDDDELPGTVCRGSFDGWLLYHRPPEQPPPQPQRQPECFLRNPLSMAKIALPNHAPVGLCPGGCYDAMCFPKPKEFMRKIIVRSPDHVAAMTDYFILLHLPPKPPQLPYWSFTAATISIDDGGLFTSHHCWRDMALYHGKLYSISTGEELFVHEFSSSEAVSSPSSSTTTATQHRPRYCRGEVVIDTAPPLDDDEQGYYWVRNLHLVESCTGDKPLLMVRWRWRLPAVYDYRHWCADELSKEIKLDVFEADMENRRWSEVEEIGDQAIFLGNTNSKAVPTLPDHGSSIFFLGSIVTDYCLDGIIDGIGDCAYGVYNMKNGTFRFDNPVSIKRDRVPLGLDDDGYPPKRWRPRWIADWFFPS >ORGLA11G0032400.1 pep chromosome:AGI1.1:11:2130779:2133313:1 gene:ORGLA11G0032400 transcript:ORGLA11G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease [Source:UniProtKB/TrEMBL;Acc:I1QXT2] MAAAVAPEWATKEPCLMGIDEAGRGPVLGPMVYGCMYCARSYQDTLATLKFADSKTLKEEQREELFESLKDNSSIGWEVDVICPKELSAKMLKRSKVNLNEISHNSAMGLVKRALDMGVLLAEVYIDTVGDAEKYRIKLTEKFPGIKFVVAKKADSLFPVVSGASIVAKVTRDRALRNWVFDETAQNMHMNTGSGYPGDPATKQWLEDHKHPIFGFPSLVRFSWGTCTPFFNDAIEVTWESDELEEDAGSNGSVKRQVKLSSLGFTGFKRKSEEIDSSGKGRCKFFQARKLELVRKFQ >ORGLA11G0032300.1 pep chromosome:AGI1.1:11:2123058:2128703:1 gene:ORGLA11G0032300 transcript:ORGLA11G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKQKWSKGKQKEKVNNSVLFDKATYDKLLSEVPKYKQITPSVLSERLRINGSLARQAIKDLESRGAIRVVSVHSSQLIYTRATNA >ORGLA11G0032200.1 pep chromosome:AGI1.1:11:2118240:2122380:1 gene:ORGLA11G0032200 transcript:ORGLA11G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSSTTLALSSPPTAAARRSPAKAIVASHHLRHSSVPALHLRAVPGPSFRALPSPGFPGWRRKRGSGLVVRAEMFGQLTTGLESAWNKLRGTDQLTKDNIAEPMRDIRRALLEADVSLPVVRSFIESVTEKAVGTDVIRGVKPEQQLVKVVNDELVQLMGREVSDLVFAKTAPTVILLAGLQGVGKTTVCAKLAYYLKKMGKSCMLIAADVYRPAAIDQLTILGKQVGVPVYSEGTEAKPSQIAKNGIKEAKSKKTDVIIVDTAGRLQVDKAMMSELKEVKRAVNPTEVLLVVDAMTGQEAASLVSTFNVEIGITGAILTKLDGDSRGGAALSIKEVSGKPIKFVGRGERMEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKILSAKFNFNDFLKQTQAIAQMGSFSRIIGMIPGMNKVTPAQIREAEKNLKFMESMINVMTPEERERPELLAESRERRIRVAKESGKNERQVSQLVAQLFRMRAQMQKMMGAMQGQDTPDMEGLMDSIKAEEQAAAGTGKRRRKYGNLRRRDLDAMRGFRRR >ORGLA11G0032100.1 pep chromosome:AGI1.1:11:2113212:2117683:1 gene:ORGLA11G0032100 transcript:ORGLA11G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALTLSSRPAAASRSPAKGTFASLHPRRRFSAHAVHLRAAQSASLRAPSPGARRRRRRGSGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIIEPMRDIRRALLEADVSLPVVRRFVSSISEKALGSDLIRGVRPEQQLVKIVHDELVQLMGGEVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTILGEQVGVPVYSEGTEAKPAQITKNAVEEAKRKNIDAIVMDTAGRLQIDKSMMVELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVMRQEDAVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVVGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRDRRIRVAEESGKSEQEVSQLVAQLFQMRAQMQKLMGVMTGQEALPGMGNLMESLNADEKAPPGTARRKRRHSKTRQRELDAVPSS >ORGLA11G0032000.1 pep chromosome:AGI1.1:11:2109306:2110169:1 gene:ORGLA11G0032000 transcript:ORGLA11G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGALKRLFEKPLPENPTLLEALSAWNHTHRKKLVDPASFTEIFGELHFQEKPPVVDSLARAAARPSPSPPPPPPPRRTVSWLDITDAATADNDNDKSKDDSSLDALLKPPRPASGGATVKRSASFCLKSSTSLLLCTEGLGSESTVDADDMVKDGDGSGAVVDSGMDVDDDASDVAAAVAGDDAFGAGGKENRPPPPPPSFPPPIRSIGRGGKPSVCFRSVRAEGRFVLMEVVIPGKDLLRATREGGRLRLQFAAAAAAVGVIDDEEMHGQEAAACVGGDTFA >ORGLA11G0031900.1 pep chromosome:AGI1.1:11:2102917:2106959:1 gene:ORGLA11G0031900 transcript:ORGLA11G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEVALLRGPTNLASPTSSTLRYLANGDSDVSLPRRSSREEESPAGLQEEEEEEEERWSFLALLLELLRKSLLRCSTVQEGGGECGMEIGWPTDVQHVAHVTFDRFHGFLGLPVELEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLRAEGIFRINAENSQEEFVRDQLNSGIVPDGIDIHCLSGLIKAWFRELPSGVLDSIPPEQVMQCQSEEDCARVAKCLPPAEAALLEWAVNLMADVVQEEQINKMNARNIAMVFAPNMTQMSDPLTALMYAVQVMNFLKMLIQKTLKGRQESNLEDTSLPHKDPSDESGHHKPCLTLESLLEEESRRPSFVEEEPILNSPAHGTGYNPIEVNPVQGKTAASIAQTSEVQTIIEGSSSCSRPSLTDPPATADPVCAEAANSLQRKGSRSLNSRRTRKGKGQSGTSATSSAEKSKGTSIVSRINSKIERIEAWR >ORGLA11G0031800.1 pep chromosome:AGI1.1:11:2092676:2093401:-1 gene:ORGLA11G0031800 transcript:ORGLA11G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARDLSGDPPESTRLRIGDDIAWSDVGGVYDRDDSLKENTNPKCILKNHLPGAHNGGSQRFSGNLKPTAAPIIGISGKLGQGGKNRHHPPAMFPKKVAVTGGGGRNPKAAVPEHEPTSPKVSCIGKVLSDRERARRGRRPAGRIVPAGGCCPGLGGLFRRSHSRKKNAVECVDQSPPPPPPWASRRGEPKEVEEATPAAAAAMAPGLGGMMRFASGRRAADWATEMEPDGRVARSGPL >ORGLA11G0031700.1 pep chromosome:AGI1.1:11:2091148:2091696:1 gene:ORGLA11G0031700 transcript:ORGLA11G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMAFEPDKVGTVTVNGKVYSFRRVHWHAPSEHTINGEKHPLELQMVHAAADGSLAVIAILYKYGAPDSFYFQLKRKLAELAADGCSFGEENAQVALGLVHLRSLQKRTGSYFRYAGSLTAPPCTEDVIWSVVGKIRQISQEQVALITALLPAGGARPTQPLNGRTVQFYNPPNSTISFKV >ORGLA11G0031600.1 pep chromosome:AGI1.1:11:2089966:2090383:1 gene:ORGLA11G0031600 transcript:ORGLA11G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHAAIVFFLVAASSLLSYGEAAPKMTAVAADYGYPADYGYAAGSKLGPENWGKLSPAYKLCGDGKKQSPIDIVTKQAISNPNLDSLNRTYTASDGTLVNNGKDILASHLT >ORGLA11G0031500.1 pep chromosome:AGI1.1:11:2085333:2085539:1 gene:ORGLA11G0031500 transcript:ORGLA11G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVSVLPSPLLSPTSLAVAEPHRILLAAVADVNTPSAAQVRPAEMRGEKKKKKKKKKKRERERERG >ORGLA11G0031400.1 pep chromosome:AGI1.1:11:2078482:2078847:-1 gene:ORGLA11G0031400 transcript:ORGLA11G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVIQDDRDKEIKIMGMDGGEILKLHRDAAVSDSDGPAADMAKAAAAAAAAAAADTAGGVVRVKLVISKQQLKKMLHKDGVSLDDMVSLMQREASEQEMISCRGWRPALKSIPEGSDC >ORGLA11G0031300.1 pep chromosome:AGI1.1:11:2064406:2069616:-1 gene:ORGLA11G0031300 transcript:ORGLA11G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQQGGANYFGELEEALMQQVATLRRTQQTATTTSTLHHGDTTPFSTTATAAATARPPPTLDIFPSWPMRSLHTPKEGSNVTADTTDSESSSKNNSNQNASSDQHVLVGDMAGQFDQIPQQEQHKKMATNSPTHSSKTGKALDPKTMRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQMEQDIHRARSQGLLLGAPGGNTSSGAAMFDVDYARWLEEDSRRMAELHGGLHAHLPDSDLRAIVDDTLTHYDHLFNLKGMAAKADVFHLITGMWATPAERCFLWMGGFRPSELLKTLTPQLDPLTEQQVVGICNLQQSSQQAEEALSQGLDQLHQSLAETVAGGSPLDDPNVGSFMGHMAIALGQLSNLEGFVIQQADNLRQQTIHQMHRILTVRQAARCFLAIGEYHNRLRALSSLWASRPREILVADEGNCGELSIAAHPSESQYSAF >ORGLA11G0031200.1 pep chromosome:AGI1.1:11:2054704:2055613:-1 gene:ORGLA11G0031200 transcript:ORGLA11G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLVVGRVIGEVLDTFNPCMKMIVTYNSNKLVFNGHELYPSAVVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVISYESPKPNIGIHRFIFVLFKQKRRQTVIVPSFRDHFNTRRFAEENDLGLPVAAVYFNAQRETAARRR >ORGLA11G0031100.1 pep chromosome:AGI1.1:11:2051416:2051844:1 gene:ORGLA11G0031100 transcript:ORGLA11G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGVKGAAAVVFVDDDDDELFDIDIALLDRHYYHQRRRDDDDEAEDEDGGGGDALLANCLLPVSSVSKAVPVTATTTASSSSASTFLPAVRYGGSRSMLLFNGRGGGGRRLVRRGSNSSARFWLAARGLDAAMGNFQRY >ORGLA11G0031000.1 pep chromosome:AGI1.1:11:2048291:2048764:-1 gene:ORGLA11G0031000 transcript:ORGLA11G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRRGDDNTSPLSLPSCPRPRAGDLGDAHRRAAPPPLLLRLSTLLAISDPQRLVAEDDGRQHWKGYTGAGMCRHRCTEGHHLRSRCCFRSDGRWRKGDDRGGGERKRRRGSGRGWGGVGVYRERETGKRNGVGPAVGQPILAGQVWPAKTSLASYI >ORGLA11G0030900.1 pep chromosome:AGI1.1:11:2046408:2046966:1 gene:ORGLA11G0030900 transcript:ORGLA11G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEYXRYSPPIHAYKYSRREGRGSMDRVTNLYLENLCIMQENERLRKKAQLLDKENKALLAKLKLKNNPSTAAAAAASSPSSQQQPDAGASAAASVVKAGAAAPSSSYGGKKTK >ORGLA11G0030800.1 pep chromosome:AGI1.1:11:2041249:2043085:1 gene:ORGLA11G0030800 transcript:ORGLA11G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYEEKEKELEAIDDCSEALGDVDCDDDEEEEDEGTCELCMNERLAPNPRNHGEHLCPVYLEMVNQQILEEIKDQDLHNEDNNIFXRFGVMVDWEDEDEDDDDEEFEEINKKFIQLLAHGNELAREIMDGAPAA >ORGLA11G0030700.1 pep chromosome:AGI1.1:11:2027966:2029528:-1 gene:ORGLA11G0030700 transcript:ORGLA11G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMV7] MDATTTVSMEMELPWGARCAGLAFFAFSVCLAALGVVLLVARRWPWCSCHVCRAYLTGSWAREFTNLGDWYAHLLRRSPTGTVHVHVLGCTVTANPANVEHMLRTRFDNFPKGRPFAALLGDLLGDGIFNVDGHAWRHQRKMASLELGSVAVRSYAYKIIAQEVEARLMPVLADAADRGAVLDLQDVFRRFAFDNICKISFGLDPGCLDREMPVSELADAFDAASRLSAMRGAAASPLLWRAKRFLNVGSERELRKAIKVVDELAAAMIRERQKLGVGSSHDLLSRFMASTGVDDAAADDKFLRDIVVSFLLAGRDTVSTALTTLFMLLSKNPEVAAAMRAEAEAGDGGETGAAITYEHLKGLHYTHAVLHENMRLFPPVQFDSKFCAAADVLPDGTYVGGDARVMYHPYAMGRMPHIWGADYAAFRPARWLTGPGASFVPANPYKYPVFQAGQRVCLGKELAVTEMKAASVAVVRAFDVEVVGENGRSGGAAAAPRFVPGLTASISGGLQVRVRRRVHT >ORGLA11G0030600.1 pep chromosome:AGI1.1:11:2019936:2020397:-1 gene:ORGLA11G0030600 transcript:ORGLA11G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMV6] MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSTELRHKYNVRSIPVRKDDEVQVVRGSYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKASGRAADKAKGKFTAEDVAAAGAAGASLQEID >ORGLA11G0030500.1 pep chromosome:AGI1.1:11:2016334:2017616:1 gene:ORGLA11G0030500 transcript:ORGLA11G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSAVALAAFLLLAVVVAAAAQPKPKPGKGGKPEKGETPGKGKPEERETPPGKGKPEEKEKPEKKKIKVKCQESRKLYPYCSAKMMECPDTCPTSCFVDCDACKPVCVCNVPGACGDPRFIGGDGNAFYFHGRRDADFCVVSDRDLHINAHFIGKRGADGMSRDFTWIQAIAVLFDDGGAHRLYVGARKTAAWDDDVDRLEVIVDGEPVLLPEDSGATWTSAAVPALTVTRTKAANGVLVALDGRFKLRANAVPITAEDSRVHRYGVTGDDCLAHLDLAFKFDALTGDVHGVVGQTYRSDYVNKFDVRASMPTLGGDHSFATSGLFAADCAVSRFGHGGRAAAVAMAA >ORGLA11G0030400.1 pep chromosome:AGI1.1:11:2013185:2013658:-1 gene:ORGLA11G0030400 transcript:ORGLA11G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFVGLGKGDCRAEDIVEKEAVGALSRAESAVEVDAASQRHRLIDLLKIVESRLGRHAVADAVRSWHAAAAVRPELPFTRNEGFVGRESELLDLEADLFGKRPMHLVEVEVFGGEPAFMDGKECQGSTKIML >ORGLA11G0030300.1 pep chromosome:AGI1.1:11:2005373:2009279:-1 gene:ORGLA11G0030300 transcript:ORGLA11G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSRLDAEVSSPVVGSGGGGGGGGEDGAATKLQKMYRSYRTRRKLADTAVVVEELWWQALDYARLSYSTISFFHDPNPETVASRWSRVSIIASKVGQGLSRDAKARKLAFQHWIEAIDPRHRYGHNLQCYYDVWCQSQAGQPFFYWLDIGDGKDADLPECPRAQLKKQCIKYLGPQEREQYEYIITEGKIIHKYSEEPLDTSQGSKWIFVMSTTKRLYAGKKEKGVFQHSSFLAGGATIAAGRFTAENGVIKSIWAYSGHYKPSAENLSNFMNFLEENGVDLNNVVRPSDDDAWYEEPVPNKVQSPITAIIESNPPQLILPQCRRRQCCHRASKANLPKNLIRWATKP >ORGLA11G0030200.1 pep chromosome:AGI1.1:11:1995019:1999405:1 gene:ORGLA11G0030200 transcript:ORGLA11G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGEPDPAADELQSLSFASSDRSRSRSASTVSTATTTSTTTTTTTPPRLGAVALSDIRFLKRLGAGDIGSVYLAEVRGAATALVAAKVMDRKELEGRNKEGRARTEREILEAVDHPFLPRLFGVAEGDRWSCLLTEFCPGGDLHVLRQRQPHRRFSESAVRFYAAEVVAALEYVHMVDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPIALAGGHSSSSSSCIIPSCIVPAVSCFQLFPRRRRRRWRGRKKPSSGGGGNGGGSFPSGGLELEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGVFIFELLYGVTPFKGHDNEMTLANIVARALEFPREPPVSAAAKDLVTSLLAKDPARRLGATVGAAAIKRHPFFGGVNWALLRCATPPYVPPTFSVATATAANAAGANAGMSDDDDDDSCPGTPVEYY >ORGLA11G0030100.1 pep chromosome:AGI1.1:11:1989889:1990976:1 gene:ORGLA11G0030100 transcript:ORGLA11G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:I1QXQ9] MKFFAGPAGRAGLGAVVLVAASRCPLFSFFALLVLLLFQPHHQLAASHVAVNQQVSLVPDAAAAKAAGVGNSAVVDVGDEEEEGSGSRWMLIVVTTMRSGGRERRRRNAALAHVEKHYFSGVVHFADAAGVYDAHFFDKIRQTNWPRPRTASPPSTPCSPADALLPSLAATSPVGEGLDGDVTAGEEVDAGLPAPLACLPHPSMAVRLCACGFCNGGREQWRRRVASRQPPSSCRPSPAAAALVLSLLAPPKAATHRRPPQLPTQTGEE >ORGLA11G0030000.1 pep chromosome:AGI1.1:11:1984041:1986430:-1 gene:ORGLA11G0030000 transcript:ORGLA11G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVSTSMVLTLLGFCGSVLFIVFVCTRLACSLLRRHRRRRRARLPAASSHFLSSVYVVDHHRHLPPSGLDPATVAAFPTRAFLGAAPRGHASASSSSSSSSDAAAQCVVCLADYEEKDVLRILPYCGHDFHAVCIDIWLMQHSTCPVCRISLCDYPDSKQTMSPLPSEVIIPPCSPEPSRSDQCNCLFVGTGHSPRTSQVLINEPDQSNRTLYSPSVEGDNNLPSSEVNPPGEINNQTMKKHVENHRIQDRS >ORGLA11G0029900.1 pep chromosome:AGI1.1:11:1982215:1982898:-1 gene:ORGLA11G0029900 transcript:ORGLA11G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress responsive A/B Barrel Domain [Source:Projected from Arabidopsis thaliana (AT5G22580) TAIR;Acc:AT5G22580] MGEVKHLCLVKFKEEVLVDDILQGMTKLVSEMDMVKSFEWGKDVLNQEMLTQGFTHVFSLTFASSEDLTTYMSHERHQEFAGTFMAAIDKVVVVDFPVVAAKPAPPAAPAAAA >ORGLA11G0029800.1 pep chromosome:AGI1.1:11:1978132:1979148:1 gene:ORGLA11G0029800 transcript:ORGLA11G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSSVRDFASCIGDGAVRVADVAACTAPSSTRVSTCSSSSSTTASSSSPTLSVTVSYRATLLAVPAPPLQLRLTWGHSPLGPTLSFAPSPTARAIQLRRRRGSRSLPSGSSSGDENGGGGDESGTTTPPPPPPLALFWDLTAARYDPAASSPEPVSGYYVVAVASAEVVLAVGDLAAEFVKAKFEGQIPRARVAPVSRVERVVVSDPAAMHAARVRFAEGGPEHEVSVSCAPAAPGSGGGGDELWVCVDGKRAVQACRLRWNFRGNQTVFVDGAPVDVMWDLHGWWFREPPGCAVVMLRARSALESRLWLEEEAAAPGFSLIVQAFKSPP >ORGLA11G0029700.1 pep chromosome:AGI1.1:11:1974106:1975121:-1 gene:ORGLA11G0029700 transcript:ORGLA11G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQSALGCESVIHSSGTENQALDPRGIRKLEQGQLDNANIISTENTKEAYVEKITLQFLKIQQAQVDVGGIYVSNLLNKLLDNIMQFE >ORGLA11G0029600.1 pep chromosome:AGI1.1:11:1968434:1971431:1 gene:ORGLA11G0029600 transcript:ORGLA11G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglycerate/bisphosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G22620) TAIR;Acc:AT5G22620] MLLLAPSPAAAAAAARRRKVGGSALRVRCSSVRELERSPNPRPGEWLPPLREAKRVVLVRHGQSTWNAEGRIQGSSDISVLTPKGESQAETSRLMLLSDSFDACFTSPLARSRRTAEIIWADRDDDLIPDSDLREIDLYSFQGLLKNEGKERYGVIYRQWQKNAANFSIDGHYPVRELWDRAQNCWERILAHEGKSVLVVAHNAVNQALVASSLGLGTEYFRILLQSNCGASVLDFTPQAGGGPPAVCLNRLNQTPNSPVASGSSAGRKTSKRIILACQGATQNSAEIGVSGMGYAPLNMLGIIQSQKTAELLLDQKVNGILCSPQVAAFDTATTICEVQEAADCLGADCVPRYVEMKKLLELEIDDAFQTKQKSFGEIAQSGWLGSMEYKTLEGLWNQSKAAWQALLNELQDDTSERILVVVGHPGINLALICRCLDLTMDYMSSFHLDDGSISVIDFPDGPKGRGVVRCTNYTAHLGRWSIPITRTMETDEEF >ORGLA11G0029500.1 pep chromosome:AGI1.1:11:1963853:1965376:1 gene:ORGLA11G0029500 transcript:ORGLA11G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMV1] MRLDKGDPAIAGVVAALCVASAVMVAVVDGAKGDGRVTQLSNGFTARHSPDAPAPFEPVLYAANGAFAFGFLRVGAASLDLAVVHLASSFPVWRATPARVGDWSRPATLTFDTGLVLAGADGAAGVLWQTLNAIGDTVVLLNSSNLVVRRFAETRPAWQSFDNPSDTLVLDQNLTVSSPPLISGNRRFALRLAKTYMSLHMEFYGGRATPMYWQHTALEAQPENATQPPVYGCLDGRGFFGLYLQGSGEKVDVLSFDTFVQNLTGAFRRMTLEDDGNLRAYYWTDDAKAWTADYKAITAPCELPTSCGAYGLCVPGGGEAKCQCLTNSTATSPPCSAEETTDLCGDGDSDGGQVFDEVRLKRVSVAYKERLPFEANATAEQCEQACAGNCSCWGAVHSGASGYCYLLDFPVETMVYEADDRKVGYFKVRRPPRSSTRRGMSPGAKAVTAALSLILASLAVAGAYVGHRLWQRRQRRRRAGGMEQELTSSGPYKDLKSMGSSNSSFKS >ORGLA11G0029400.1 pep chromosome:AGI1.1:11:1960927:1961832:1 gene:ORGLA11G0029400 transcript:ORGLA11G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAFDNLVTLLEAYQRLGDSDDDDGDGGIDRPAAAANGDGGDGEGDGEEEDSDALSQYASFLLGNGDNGGGSGQGGAEHGEVRNGDDDDGGGFAMGAVESHSYEDAIIVGSTDDAGSSLHHGDDELPVPLPPAELPPPGSAGNAPPAPLEAMTMSFLQEAAMRRRQGTTNGDGQTILIQRLGELLRAYRANAAGGANGGGAPRSGRQRPASAAAVAALEKRKHSCGGGGGAAAQCVICIEDYEVGDDISVMPCSYGHSFHHACLADWLARSRFCPLCRHKLPAADDDDQDDAPDGQAP >ORGLA11G0029300.1 pep chromosome:AGI1.1:11:1938660:1939235:1 gene:ORGLA11G0029300 transcript:ORGLA11G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMV0] MSTTRGVSSSSAAAALALLLLFALCFFSFHSAAAARAVPRDEHQENGGVKAVAAVAADQLVLQLEGDTGNGDEVSELMGAAEEEAAACEEGKNNDECVQRRLLSDAHLDYIYTQHKNKP >ORGLA11G0029200.1 pep chromosome:AGI1.1:11:1928051:1928437:1 gene:ORGLA11G0029200 transcript:ORGLA11G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XSPLLAALSLSSFVIGNLXFCSLLXFLXSXVSVASNSSILQTMIQTKNXKFLLIFMKLPCTSLLCITITSDCCFKSLLLQATCDFVQSYDSSDVKSQWHEIRLRFADNDPSKDIPSHLHLRRRINMDT >ORGLA11G0029100.1 pep chromosome:AGI1.1:11:1926129:1926715:1 gene:ORGLA11G0029100 transcript:ORGLA11G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRFRGLGFRGGGGGRRSHRGGAAARTPSRLASGIAARWHRLRMCSTPPAGAGEVVRHPGADSLEMDRLHRRRHRRLKGEARGAFSLETSLKIHAISLHPGASIEHQLSYVFANCGCNSIFNVSELAICLIG >ORGLA11G0029000.1 pep chromosome:AGI1.1:11:1923876:1924316:1 gene:ORGLA11G0029000 transcript:ORGLA11G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMRVTHRDEEGKKVTEKVPIPETRRPDTARHFERKLEEQGFHRLERHPANGPARAGIGAPPPKSGRGGKFTWEGPDGPVDAQLQPAPPAVDPNDPNYDEGDGAGVDEEVAKEVVIGEVEVAKVAEARDGVDVVAPAPLLQQEQQ >ORGLA11G0028900.1 pep chromosome:AGI1.1:11:1918573:1921139:1 gene:ORGLA11G0028900 transcript:ORGLA11G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding CYMEGGEGIAVAGAGGGHEAGFGLFRAADVTMTEAQEAAKEYQSSPSSPSTSPTPSPPPVAASGHGGEAAATPTMWSLGGEKMPSEAAGDNGMQMSGHSEHASLSSGRRRGRPKGSGRRQILATLGEWYALSAGGSFTPHVIIVGTGEDVAGRIMSFSQKGPRSICILSANGTISNVALSQPGSSGSTFTYEGRFEILQLTGSFTMAEEGGRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNSLKQHQRRMGLQQQPSAAPALPPPMAPPPVLTAAMPISQAAPGTNGCHAPQVSSMHPQAHTGVMEHSATASSAMNLNSSSSTGFTMVGWPVSSQSMGHRPSPDINVCLTPQE >ORGLA11G0028800.1 pep chromosome:AGI1.1:11:1906410:1908404:-1 gene:ORGLA11G0028800 transcript:ORGLA11G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMU6] METLPTRGRSNTKKVKASGGSTDEARQLEAKRLSLYSRRNHKRRTTQEITFFGLIWKRSKNDLRSSKLKADDVILRSRDGVGSPMKPTCFLCFKPYRSDLMYIRCESCRNWFHGDALELEEGRIAQLISYRCCRCRRRPLPKCPHSDFYYSKVPEPQPVSQENADDMLSSEEAAGADGDPPLDSSGGVEPTVEETVGANFSANMKSSVPGSVQETIYMDGSSHPTHPVSKGVAKEVRPYDACVAWYVPGTCRRSAPGDDSPPQAATVGSNFANDHSVILHQAYDGFRAIAAETGSLYEHLRQKDHQTSDDIMITLDKLQQIALHHMKDIACHQANNVVQPSDQSNSRAPVPDTDAAPP >ORGLA11G0028700.1 pep chromosome:AGI1.1:11:1895727:1903216:-1 gene:ORGLA11G0028700 transcript:ORGLA11G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPMEVSDRMLHASPAAAAAAPATADDARETEIAGGIASASAAVEDPPASAPPASEAAGEGVIAVEHERAAAHPVSDTKMDVDEVGTADAEQAVAPAAGEVKAEVNGGSIPDKEQDAAACTPTQVKTEVYENGVQEQVHTVVAVASEVKMEGCEGGVVDQKPTTTPADGCQMKEEGECLVGRYISRSVAGHGRILLGKVASYDGSTGIYSVVFEDGQGEDLELAQLQSQLVGEENGAFGMKVSCRKRKLDLLVSSGGATEVKGPPITRQRVNESEVSTRPDESQESGSGSDASEDVESSSNSSNCTKELPVEHYPPVQVLELPPSSGDIAVPEEAISYLFSVYNFLRSFSVQLFLSPFGLDDFVSSVNCTTQNTLLDAVHVSLLRALRRHLETKSSEGLKLASNCLKYLDWTLLDSLTWPAFLLEYLYVMGIIKDLGGRRFGRSVLAIEYYKLPVTLKLRILQLLCDHVIDSEELKTELEEREGYSEEMEYEMDSSTFAEVGSRSVLTRGSKASACKKLDALQNLETAPNGNNPESASTHASQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGQNKAFLPQGDWFCPECVVNKLGPTSSRIERGARGAQLFGIDMCGRSFLGCCNYLLVIGTSSDVEFCARYYNHCDVAKVVQILASSDAYTDICRRMTEYWSHLLDIFQNERSKIGKEVGGSLMSQSNILSTATPAKANNGSVQATLKDGQDSKMAVLSQTNAHQVMDNQFTLCSANNNEAFRQTPLAKTYVDNAYRNGAFGPSGTSSISHQSMSMVTVMPNRTQAQPAHGLIRPDLSCGSVIGNGMSRENIRSSISARADLISPPHKSKPPVQLITENMSGGKPAKFSSFRPQAYMNLYNHGNVAASAAANLAVLKSDEGKAPTSHLTTNQRKKLAADCALQVKAFSSAALQFVWPSTEKKVMEVPRDRCGWCLACQSSAGGTKKACFLNMATANASKGSARILSGMRLIKNSDSHFPSIVTYLSHMEESLRGLLVGSLQDVQRRQQWYNQLKDASNCGNIIPLLLELESNIRGVAFSTSWLKLIDDWPVESPSASAGASRPAAYQKRGTGGRRGRKRSMASESAPVTDDDNSWKEVNWWSGGNVSKRILQRGALPILTVRKAARQGGKKRMFSVSYHEGSNFPRRTRQLAWRACVGLSQSSSQLALQVRYLDAHIRWKEFIPPDQIPSEGKSVDSDYSVLRNAVVCDKKIVDNKIRYALKFPNQKHLPVRVTKNILEAEDNQDGDGKFWFSENHIPLYLLREFEQKAGVSSLPTPGMLDSNCFANFYQRRVKSSIGDVFFYLLHKGDVYPCTSCKKDVSFRDIIKCSSCQGNCHKECTLRSVGSKEGNAASSLTCKLCLQKRSLMLTNYNTNASFILPQQKSNVHHAVAAPKIIFKVGSSHSAGPATKVEAPPIVKVEAQPVVKKETWPVVKMETQPTANVEAQPTAKVEAFPISNSATQNNAGVQVQPKTKSKKPKPEKPRKSKKTEEIKYFGLVWKKSTNDKNNNENSGEVFRANDVILKGKDGVGSSIKPTCCLCNKPYCPDFLYVRCERCKKWFHGDALQLEEEKIFELVSYRCCRCRRRAIPKCPHSDDYKKPEPEYSEQTVATSSQSTMLSSEENFAVADQDPLLASYGRVEPFGAQTMDADLSMNMMSFNPGNQKLSVRRGQNKNCEYVDQSSIHVDDYYIQNQPQGNANINFSHSNEFSLSEADGVDASELLGWDFSQGNTSAAPSDFAANCPWNDISCGSVAGDEYEPQTYFSFTELLEADDTQFDNTFGMSNSVQDDGDQQGIGFDEMAFMMEDGASNMPFPAIDSASDEVACDRCKNPQPPPDLKCAVCGLQMHRHCSPWEDGEQPSDSADWSCGACREWR >ORGLA11G0028600.1 pep chromosome:AGI1.1:11:1893770:1894565:-1 gene:ORGLA11G0028600 transcript:ORGLA11G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMU3] MALSTSRPHHLLRPLLRGFHATSQAMARPEPHEFSKPSDYLGSWEPAGDPREAWARLERLRKGYARDVRQLRRQYSYEMQLLEAERQRKAEARAEAARLANEERKATKAAAAQTRAAERRAFEKDFRQALMKERAEKLESWREKEKLKVQKKADHRELLRKKSSMWVAEDKLETTILDAIKNTTAL >ORGLA11G0028500.1 pep chromosome:AGI1.1:11:1886983:1890653:-1 gene:ORGLA11G0028500 transcript:ORGLA11G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:I1QXP3] MHSTNLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRAVDTISSCLKAGMSVARFDFSWGDAEYHQETLENLKLAIKSTKKLCAVMLDTVGPELQVVNKSEAAISLEANGTVVLTPDQGQEASSELLPINFSGLAKALKPGATIFVGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHCSQIHIDLPTLSDEDKEVIRRWGAPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKRTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >ORGLA11G0028400.1 pep chromosome:AGI1.1:11:1882365:1886038:1 gene:ORGLA11G0028400 transcript:ORGLA11G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMU1] MDAVAFPPPPAPFLDDDLDFGDFAFADPQPAAAAAFDAFGAYDDDWGDFVDSRLGSNPDGGSSAAAPAEKPPSWEKPRGPLPLSLFGADEEEEEEEGPAELPPTAADQRGASHASSNGSKPADLKDLIAGLYGSHPQPSSTDAAEMGTQEGSAAAAAEEEEEDGDGFEDDGWEFKAAAPSSSSDAVQDGGRQAHGDLTQDVPKSMSSDQEGWSLFTSVSENLNNVQTTDHVGTRESAGQSVKAFSYFPPNNAAILDLYKESEPIDAVHIMQCSSESVQSSSDMFSNTEMNSSFGTDENHSIKSASDRILIDFYHKLREESLTVISQYKKDLKESQKNSMLSDEKNEVMTETEREIQEICKELQDSSLAKGFCKDEHPSMDVCISELLNSAKEDHLKDFDKEYHLTEIIAMALEDMSSAVKLYKHSVSILRTLEIASKEEQCDYVSAWYSMLLSCAQELQHGAMIWQESCHANVGETVISQGAHYFIALGEIYRVAQILHISMLSFKPWVLADPGMLSKMLVCWNSCVNSWTSGLGMALTMVVDSKNLHAPVAKVLLESIININDIEVPNLQSFLPSDKMACKLTLLPTSLVPGMEVIIWDADHYFVKVANLWANQISSDPPQFSVSRVA >ORGLA11G0028300.1 pep chromosome:AGI1.1:11:1877621:1881247:1 gene:ORGLA11G0028300 transcript:ORGLA11G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1QXP1] MAVVEEEEGSPPAPAAAADPASSGSSDNEITVEEASFVHTEPPQDGSVPPVVSSNMEVLHDKVKKQVIKEGHGKKPSKFATCFLHYRAWVQGSLHKFEDTWQEQHPIELVIGKEKKQMSGLGIGVGNMRSGERALLHVGWELGYGKEGSFSFPNVPPMADLLYEVELIGFDDVKEGKARSDMTVEERIEAADRRKIEGNEYFKEKKFEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCSIVLAEDENNVKALFRRGKARAELGQTESAREDFLKAKKHSPEDKEIQRELRSLAEQDKALYQKQKELYKGLFGPRPEPKPKASNSIVRFWQWLVSLIGYLIKLFKPKNE >ORGLA11G0028200.1 pep chromosome:AGI1.1:11:1872510:1875743:1 gene:ORGLA11G0028200 transcript:ORGLA11G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMT9] MAVVVAAAAAKRLAGRVTKRPVLEKARMAGLAVAVAAAAALVLLLCAASLRCSAAVGLALSAAPGKLWSGGVSIAAEAAAVEARAEGEEEEECDLFDGEWVWNDSYPLYHSTDCPFLDVGFRCSENGRPDASYSKWRWRPSRCDLPRFDARNMLEKLRNKRVVFVGDSIGRNQWESLLCMLSVAVPDKSSIFEVNGNPITKHMGFLIFKFRDYNCTVEYYRSPFIVLQGRAPPGAPGVVKYTIRVDAMDWLSDRGQWRDADVLILNTGHWWNYEKTIRSGTYFQEGDAVKMDMTVGDAYKRSIQTLFGWLHNEVNSSKTHVIFRTYAPVHFRGGDWKTGGNCHLETHPDVTPVKSLEQWADFLNPVNDVLGNSFRPKLLGLDILNVTQMTAQRKDGHVSVHLSPSGPVPLYRQDCSHWCLPGVPDTWNELVYNLLLKRQSMIGQNVPLVGTKTLKVGWRKLNKYNLTI >ORGLA11G0028100.1 pep chromosome:AGI1.1:11:1861408:1864250:-1 gene:ORGLA11G0028100 transcript:ORGLA11G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAACAASVRADPPGILDYGAIHACLLGGDRRASLPALALLLLLHFRVLAAAAGTHFSPAVSRLAARLRLSPSMAAVTLLALGNGAPDAFASAAALGGAGGMRRAGLAAILSAGAFVSAFVVGAVALIAAPFAVPPASFARDVFFYLLAASGLFYIYLSAEIYLWQAIGLVLFYVFFVGLVVYMDLDAEGKAVSTTELEVVNGIGRVVMDLPVTMEDRKQQHPTLCTMFSKLLQSNGSFRWGWFTRCKMPPGCNTTSYLGS >ORGLA11G0028000.1 pep chromosome:AGI1.1:11:1856591:1858018:-1 gene:ORGLA11G0028000 transcript:ORGLA11G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKPPQRKDRPHRAIAASIAFRAATPQRRTDDAVRRAPASRSPPAFYHDDPQDNFSGCHSHERERVKTIMFDSFSQITTSSTSITYLEEKIYRKGCDKHKV >ORGLA11G0027900.1 pep chromosome:AGI1.1:11:1848095:1851162:-1 gene:ORGLA11G0027900 transcript:ORGLA11G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFSGAVVEVPAELVAAGSRTPSPKTRASELVGRFLTAAEPAVSLQLGDLGHLAYSHANQSLLRPRSFASKDDIFCLFEGVLDNLGRLSQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTSSLLVASDPEGKVPLFWGITADGSVAFSNDIDLLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKNKVTAVPANEEEICGATFKVESATAILTALH >ORGLA11G0027800.1 pep chromosome:AGI1.1:11:1829024:1829215:-1 gene:ORGLA11G0027800 transcript:ORGLA11G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREISLALTVAVEEPAAAPARVHRRRQLHLFSVAAEAHEVSVGERRERGGGADAGAHRVFFG >ORGLA11G0027700.1 pep chromosome:AGI1.1:11:1820537:1822430:1 gene:ORGLA11G0027700 transcript:ORGLA11G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMT4] MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSIDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKVGVAAVNNQFQKLHLDGGGGKGQPKDGGGKGQPKDAGGKGQKGGGGGGGGGNGGGGSKDVKMMMPPQMPQPTPQQIQQLQQQLQMKGLKLPQFMDAAKMAPFAAAAPIKDPKSVKFNLPPPEDDFGDDGSEFDDEFDEFDDEDDFDDDGLDDDYFDDPKMMKQMAMPPPNAGGGGDKKGGNNGGGAGNGGKKSGGGNEIPVQIKGNANNAAGGGKKDSGAKQNQGGGGKNGGGQPNNAKGGGGAPNGGGNHPAQGKKGGGGQGGGVGGPMGGMPAQQQAMMMRPNMMGGSAGFPGMGQMGGGPMTMPMGHHPHMGAGAVQGMPPAAFYQGGGGMPSGPEMLQAAAAAGNPMAQQQYMAMMQQQQQQQQMMMNGHGHHGHHGHHGHGGGAAPAGYPAMGYGYGRPPMPYPMHYPMQPHPHADPYNYFSDENPNSCSVM >ORGLA11G0027600.1 pep chromosome:AGI1.1:11:1806316:1809372:-1 gene:ORGLA11G0027600 transcript:ORGLA11G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQRDGHVIKLLTSASLLKAFNDESQDHDNLKVEVHHKDAVVIGSLREYHLDHNMAAVVVENLPDLRPVPFNNVQKFVPHSMVVALGRDISGKLMATGGLLIGGSSGSYNPKLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSLTTEGTLFVPGDRVLDQLVNWILHHEVKFAARLAALKALRSSFIYTFLNKDQYGDLESLGYPEPPISNDGMILANTFEEPFGNVCGKGVWSELSEDVASNICENIVALASFNGEKRSFACTGFFIEWNECATILTSANLLRDPRDADKIIKNLRIKVLLPNNRRTKGTVQHYNLRYNVALVSVKDYRVLQPVKIQPYRHYASELLAVGCIFKSGRLMASRGRQLPIGVTHDRKFLGYSQCTITKVGIGGPLLGFDGNFVGMNFYDEGVEGTPFLSWCEICEILKYFKTKGTVAEHRHGNPSDVLDWKIVGDDSVFPARWLVPKPYWCYPEFLVQHKLAVKMSRIETIPC >ORGLA11G0027500.1 pep chromosome:AGI1.1:11:1800814:1801038:-1 gene:ORGLA11G0027500 transcript:ORGLA11G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AWQEFDHYDEPRPHCAVTSSKWDLRTALVSSALQTVDIVWVKLDSLCRGELNHSNVPYSRFCSCVIRVIPTPEV >ORGLA11G0027400.1 pep chromosome:AGI1.1:11:1796935:1799564:1 gene:ORGLA11G0027400 transcript:ORGLA11G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMT2] MASADLLRREEEFYASLFDSAKGGDAVKSRGQMIERKIEVLEDMAAKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPVSAFCRTSVGEWDAFRSIDMDVEARLMQQMKQSSTKQKNHLDRDELVALNSWHHIDRQTREAIKRNFLPDLLEIYEERVRTFIEDTSGKDMLVLNVQDPFQRLLLHGVCEFYNVSSTTTTTVRDGKLWKTTTIKKRSGTGAPSRITLVSFLRMKKKSH >ORGLA11G0027300.1 pep chromosome:AGI1.1:11:1784015:1792716:-1 gene:ORGLA11G0027300 transcript:ORGLA11G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNGMGREEEGNSPMGRRLRAVSAHLLPPASTTTTTGGVDLAANPTAGEYAHASPVQGYSAVLPEKLQTGKWNVYRNAKTPLRLIDRFPDTPDIATLHDNFVYAVETFRDCRYLGTRICADGTVGDYKWMTYGEASTNRTAIGSGLIYHGIPEGACIGLYFINRPEWIIVDHACAAYSFVSVPLYDTLGPDAVQFIVNHATVEAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDNANMTSTPTSTGVEIITYSRLLNQGKMSSRPFRPPKPEDVATICYTSGTTGTPKGVVLSHRNLIANVAGSSVVIKFYPSDVYISYLPLAHIYERVNQIALLHYGVAIGFYQGDNLKLMDDLAALRPTVFSSVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIMNGRNPSPMWDRLVFNKIKARLGGRVRLMSSGASPLSADVMEFLRVCFGCLVIEGYGMTETSCVIATMDCDDRLIGHVGPPNPSCEIKLVDVPEMNYTSEDQPYPRGEICVRGTTIFFGYYKDEIQTREVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAVVAVEPEVLKAWAASEGIQYEDLRQLCADTRARAAVLADMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKIKRPQAKAYFAKEIADMYAQLREAESTTSKL >ORGLA11G0027200.1 pep chromosome:AGI1.1:11:1780933:1781426:-1 gene:ORGLA11G0027200 transcript:ORGLA11G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKEGVLLQFVEKGCVLSLVFRMHDFQTARRRGEAMNPYQLAYHLCELAKIPLDVSRGGDDDEEENDDDKD >ORGLA11G0027100.1 pep chromosome:AGI1.1:11:1776377:1778211:1 gene:ORGLA11G0027100 transcript:ORGLA11G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMS9] MNPTMENGGSGGDGSAAAAAAEGSAIWKSELVPQLQLPPGYHFVPTDEELVDFYLRGKIEGRDPPRRFISEENIMRYDPQKLIEKYKGYGEDRWYFFMVREPSKTKKKDEPNRKVVVDGVEEGSWSATGSVVQIHSTKETNRKAIIGSKRVLTYKSARSAENDMWSMHEYVLAGKSQMGQYVLCAIQLKQTYEREEKAREEQKNDNKRNKKAARRKNMQQQPTACQAQDEQQETAPTPGEETIVDPDQFMDIAHSMHMMFGGVDQDAPPFMPSLIAPCNNDDGMLQLQPLQLQNPNPAMLYSNQLEPSYVGDQSMFTPCCCGRNCISCRQLQFYQQQQAEDGSVAFGEADLYQQHDRALGNTGVYPDNVWVDGNMADYAQRQIYNDQDNGGVLMQGPEDSATFPDNFLMLDEMAAGSDDASGFDYEVDQSRAVVPHVADQTVDDIMSSLLN >ORGLA11G0027000.1 pep chromosome:AGI1.1:11:1772025:1775747:-1 gene:ORGLA11G0027000 transcript:ORGLA11G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYDEGGQLQLMDAERIEEEEECFESIDKLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVCKIFDAPNLPEGEAISFCIVHTCLLKLLVFQVTSGGIMDAKD >ORGLA11G0026900.1 pep chromosome:AGI1.1:11:1764096:1769989:-1 gene:ORGLA11G0026900 transcript:ORGLA11G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMS7] MSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGADLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPEAEDGKDTQSRSTKEDQAVSFRTAAAKSVYQWIIKPQSIIKSNEMFLPGRMAFIYNMEDGLTNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGKNSLASGDYDEVARPGQTNGSALKHQFEKDMPPPPPPRNNNLSKNEKPSVPVARADEDDIFVGDGVDYSVPNKEMSQSPVSEDMDESPHNHQKQSYFTEEKPIYGPIPPSDPAQAWPQPNAYDAIQAQMVAAGYQGEWSGYQYGEQQMAYPEQYMQQSAQDCDVLADPNITQDPRLMTQADKDRGLGSVFKRDDERLKQLREKDAREKDPNFISDSYSECYPGYQEYNHEIAGSDEEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGDKDGGDDGGHYDDDMPSGKKQRA >ORGLA11G0026800.1 pep chromosome:AGI1.1:11:1762257:1763474:1 gene:ORGLA11G0026800 transcript:ORGLA11G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G44810) TAIR;Acc:AT2G44810] MAASISGARTCYPSAAVARRGGGGGRCCSVVRSSLATSSPATCKPSGMMRIGRQWTELQGARDWDGLLNPLDGALRGELVRYGEFVRAAYASFDFDGGAPSSYGSCRFPTSSLLRRSGLPETGYRVTGILHAASTSAPGWLSCRSSYIGYVAVCDDEDEIERLGRRDVVIAFRGTATCGEWVDNFKSTLTHLPPRSGDGEAAPPMVESGFWRLFTTPGEAHGSLQQQVRGEVQRIVSEYGGEGMPPLSITVTGHSLGAALAVLTAYDITTNSPMQRHGGGDDDDGEAPMVTAVSFGGPRVGNAAFRRRLEESGGKVLRVVNSNDVVTKVPGFPVDGDDCGGGAREGDAPARRKPRLPRWLVSKMGWEYSDVGRELRLCSQGDTARNVVASHDLDLYLKLVAACTY >ORGLA11G0026700.1 pep chromosome:AGI1.1:11:1759159:1760895:-1 gene:ORGLA11G0026700 transcript:ORGLA11G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMS5] MSNTPHGPRPDTPLKKHVLKISPIRKFSHGVVASPARWEMKLSATFRSAKIPRALPPKSGEPAAAASASCAPGSSPAEAAKGKAASGWCVYLIASSWIPRTYVGVTTDFPRRLRQHNGELKGGAKASSAGRPWNLACLIEGFVNRSEACEFESKWKNISRKMARKRSEPSMTSLLQHREMALSRLKTDLACSHLKIKWHSS >ORGLA11G0026600.1 pep chromosome:AGI1.1:11:1755641:1758296:1 gene:ORGLA11G0026600 transcript:ORGLA11G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVAAYDALPAPPSPPSPALPPPFQPPPPDTPISDATPSAAETPNLPDTPASASPFSDAALAADASDADASAVAAPPDDDGTNPLGGAMKHMALAPPPPPSKKSKKKNSNSVWTRPNSRKGKKKAKQPANALAGGSAGANGRLPKPSSGDDELVLTPAPRFAAERNDDAPDLPVLLSRVFKSDKVEVSDDRLTAGSTKGYRMVRATRGVAAGAWYFEVKVLHLGSTGHTRLGWATNNADIHAPVGYDVFGFGYRDMDGTKVHKAWRANYADQGYGEGDVLGFYIHLPDGELYEPKQPFLVHYKGLPFRAEAPKAAEQKTPDPVPGSEICYFKNGVCQGTAFVDIPGGRYYPAASMYTLPDQPNCEVRFNFGPNFEFFPEDFGGRSVPQPMNDVPYRPYQLANEVPAENGTAEKTIKLQ >ORGLA11G0026500.1 pep chromosome:AGI1.1:11:1749271:1752199:1 gene:ORGLA11G0026500 transcript:ORGLA11G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRYYLNLDFDVSDTKPLPDYCFSEAWEVWEAHADQSDYEREQAVLRFYEEKEKEIKAAYSEALSEEDDEEKDGEICQLLGYEEEHGQVSAGMEDIPENHVKKGCTYASPEYLAELTQKILLEINVNEDLGNLSLLESWKVMRTRKLLKQVLCHEMKHARNEGGQVFEGELEHKKARMAESSQNKGEDEETLRPVGLTPDDI >ORGLA11G0026400.1 pep chromosome:AGI1.1:11:1742388:1744802:-1 gene:ORGLA11G0026400 transcript:ORGLA11G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSSPRELTGKKKLTKHDHEALRFIELAENGTDPATIDASEAKVDDIIDGGITPLACAAYNGSVTSMKTLLQAGANVQISKPIGKAIVADLTSSESMVQILLDSGANADAIDEVMFEDPPIIAAAKRKQMNVVQLLLSSSTPIEGVDWSLNGIIAYTESVTFKAEDDVHTAARVTALRERMFNALENINYLLADICCKALRNDVSTTEWDRLRNLNLLYLSHSFHGQKPVLSSDAIVNMALVYQKQDKGKEVMCLKAALALNPQNERAESLLS >ORGLA11G0026300.1 pep chromosome:AGI1.1:11:1740424:1741008:-1 gene:ORGLA11G0026300 transcript:ORGLA11G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEVTPSRSPQASPSAPPPPTPSTSTTTATKTSTPSSTTLTPTTTTSTRRRSASPATPTSCCPAETSTRATYAVTSPTAPASISGRTAACTRGRGAAAAASSRGRRAPSTRATSPAGTCTARGRTSASSGTRSPGCGRTTSGTAAARRRTSTATCTMATGATGCRTGTASTSGAAATSTSGRGRPARCTAAGR >ORGLA11G0026200.1 pep chromosome:AGI1.1:11:1739669:1740337:-1 gene:ORGLA11G0026200 transcript:ORGLA11G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGLWCQESGETQGKGVYYPPSGGPAVPLPREPKEVITKLLEELEMSEGKTVSLLPSQKVLTWPGVEPVTKKPVWRPPEVAADQGMWRPPEVGPDQGRRSSRRNNMSSDIDSLVEGEDGGEESRNDRSWVRTPSCMRAPTLPKPGKKQGETISKGHKNYELMLNLQLGIILDVPIMYELIIWIKLDVPIIYLYLVFTVHHQRVFFFQITLKFLAFDRHKDSC >ORGLA11G0026100.1 pep chromosome:AGI1.1:11:1739107:1739523:-1 gene:ORGLA11G0026100 transcript:ORGLA11G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRGGGESAGSDSPCRREEAKSRTPRPQPGSAGERSAAASAEMSSREGANLAEAIHCSQAKPASMVWRPPPPPWRQAPRSPRSGARRPRPTRLPPLMNPCWCSIQQARRWGIVRLTLLLLVWVQKTQASRSVQLVPW >ORGLA11G0026000.1 pep chromosome:AGI1.1:11:1729431:1731750:1 gene:ORGLA11G0026000 transcript:ORGLA11G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRTPPPPTMRLPPHPLPRSTPASADTPMSDDTPSAADTPNLPDTPASASAEPDTPFSDAALAADASDADASAVAAPPDDDGANPLGGAMKHMALAPPPPPSKKSKKKNSNSVWTRPNSRKGKKKAKQPANALAGGSAGANGRLPKPSNGDDELVLTPAPRFAAERNDDAPDLPVLLSRVFKSDKVEVSDDRLTAGSTKGYRKVRATRGVAAGAWYFEVKVLHLGSTGHTRLGWATNRIRILCLHTNQSMHSIRLDKKKRVRREKKQQEARNDGLDAQATKDNISGNNNRDFEQYGVINTFTQLFMRLMLTETHELPDGMHALVAAWSNGIHALFCFVIVIMFQIPYNKHVESLHYRGGGGVDVHVSRWIVLDGGYYLTARWCLHGVKAEDPNPHQQNYADIHAPVGYYVFGFGYRDMDGTKVHKAWRSNYADQGYGEGDVLGFYIHLPDGELYEPKQPFLVHYKGLPFRAEAPKAAEQKTPDPVPGEYHCVTSCV >ORGLA11G0025900.1 pep chromosome:AGI1.1:11:1726589:1726810:1 gene:ORGLA11G0025900 transcript:ORGLA11G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMY0] MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHIILKDYEIHDGMGLELYYN >ORGLA11G0025800.1 pep chromosome:AGI1.1:11:1724852:1725707:-1 gene:ORGLA11G0025800 transcript:ORGLA11G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIMVPVQEQDKQLVVDLVSTAVDQLARMCSAGEPLHRQRGTEMMAVEEHARMFRCEILMASMNYQGTAIKYLIIAHTRKPSRRSNGKYQVDTIKSQNHETMDHQKDAKD >ORGLA11G0025700.1 pep chromosome:AGI1.1:11:1720013:1721461:-1 gene:ORGLA11G0025700 transcript:ORGLA11G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:G2XMS0] MANAANQHTCDGPQPSPPTHFLIVAYGIQSHINPAQNLAHRLARIDASSVMCTLSIHASAHRRMFSSLITSPDEETTDGIISYVPFSDGFDDISKLSILSGDERERSRRTSFESLSTIVSRLAARGRPVTCIVCTMAMPPVLDVARKNGIPLVVFWNQPATVLAAYYHYYHGYRELFASHASDPSYEVVLPGMQPLCIRSLPSFLVDVTNDKLSSFVVEGLQELFEFMDREKPKVLVNTLNVLEAATLTAVQPYFQEVFTIGHLVAGSAKERIHMFQRDKKNYMEWLDTHSERSVVYISFGSILTYSKRQVDEILHGMQECEWPFLWVVRKDGREEDLSYLVDNIDDHHNGMVIEWCDQLDVLSHPSVGCFVTQCGWNSTLEALELGVPMVAVPNWSDQPTIAYLVEKEWMVGTRVYRNDEGVIVGTELAKSVKIVMGDNEVATKIRERVNSFKHKIHEEAIRGETGQRSLQIFAKTIIESD >ORGLA11G0025600.1 pep chromosome:AGI1.1:11:1717872:1718642:-1 gene:ORGLA11G0025600 transcript:ORGLA11G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein (Dtw domain containing protein, expressed) [Source:UniProtKB/TrEMBL;Acc:G2XMR9] MDFEPLPSAAGDATADETPPGRAVCSSGCGRPSTVCLCPYLPATPLPTSTTVVVLHHPHALRRNPLSTLPLLARSISNLRLIPGRRLLPSSGPLIPNPVLLLFPSPGAADLASWCRSTPPAARANPTLLLLDGTWRQAKEMHAASLPFLSSFAVPVSLPVDCGVDGDSMFEGELVVKKEPHKGCVSTMEAVARALRLLEPEGRGAEIEETMVGVLRAMVAFQAEHLQHRPMKPRVKMRKKKDIKREEEMKRDARLE >ORGLA11G0025500.1 pep chromosome:AGI1.1:11:1711821:1715392:-1 gene:ORGLA11G0025500 transcript:ORGLA11G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLPPPASRLWEASIRKLKYSTILRGSVVPSGAAFDGAAAAAATGGDPVTLTPSLSVISSTSNTIYQYEDGDDDIDSVLDDVDTDDDDVDEASLGEPSHSDQLLPSGDFYQGDLRGDLPHGAGKYLWTDGSMYEGSWRGGRAAGRGKFSWSSGAIYEGDLAGGYMHGQGTYIGELGDTFAGLWANNLRHGRGTQAYVNGDVYDGHWREGLQDGHGRYIWRGGHEYIGTWKAGEMHGRGTVIWADGDRYDGAWEDAKPKGQGTFRWSDGGMYIGLWCQESGETQGKGVYYPPSGGPAVPLSREPKEVITKLLEELEMSEGKTVSLLPSQKVLTWPGVEPVTKKPVWRPPEVAADQGMWRPPEVGADQGRRSSRRNSMSSDIDSLVEGEDGGEESRNDRSWVRTHSCMRAPTLPKPGKKQGETISKGHKNYELMLNLQLGIRHAVGRQSAPASLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPGDYMLSICGDDALLELSSPGKSGSFFYFTNDDKYMIKTMKKAEVKVLLRMLPAYYKHVRSFDNTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSNYSIHRRFDLKGSSHGRTTDKPIDQIDETTTLKDLDLNFIFRLEGSWYEDFCRQVDKDCEFLEQERIMDYSLLVGVHFKDRCKDSSSPDNETTQTALEDEERRKAPVKLGISMPSRVENVVKNPESESQLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYRAFSEDLQ >ORGLA11G0025400.1 pep chromosome:AGI1.1:11:1708431:1711091:1 gene:ORGLA11G0025400 transcript:ORGLA11G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMR8] MAADAYADAAPPRRAPAPATSTVAKEAEFLWELRKYVLLLATLAATVTYTAGLDPPGGFWTDNVGELLAGDPVLQKTYPRRYKAFFYCNATAFVASLVIVNLLLVRFLCRRRWWLRALQAAMTLDMFGLMGAYAAGSSREAAMSAYILVLVILVCSYVSAHVLLYGLTAQVSAPDAPERVERARKYLLIFATLAATVAYQAGLSTPGGFWLGSLDNQHLAGDPMLRGNHPYRFMVFFYFNTTAFVASLVTIMLLMSRTVSRHGFRSSALWVCVGAAMVGLMGAFAAGSCRSFKTSIYVIALVGAVLLYIAIQAMVFFSEPVKDWLHRAGETLQKCLKLDELEQRNQQQITLSNQGNGDAYLLLKKSRMYLLLLGILAASVTYQAGLNPPGGFWQSDGTDGYRHYLAGDPVLHITYHRRYMVFFYSNATAFIASLVILILLLSNMISTQGIKYCALQVAMILNLFGLIGAYAAGSCRQASKSVYVSVLVLPVFLYVGIHVLVFMLEVSPTWATWRGRVREKLKQRMPEWLKNLLELEKHVEEEEEEWKLEKRRKLLLLVAILAASLTYEAGMNPPGGFWQEGKSGHVGDPVLNNDNYRHRYLAFFFCNTTAFVASLAIIMLLVNRKLSARGIRSYALRVCVILVLVGLMGAFAAGSCRKVKTSVYVFVLVLAVLLCIAFQVALVVSGSLRRLVNSLLSKLGAPLEEDAGERLPHTAADEPRDLWDEKLPKYLLLLAALAAAVTYQAAMSPPGGLWDDGQTEHIVGDPVLLTNYARRYKAFFYCNATSFMASLVIMVLLLIKRVSNTQPALLALHAAMILDLFGLMGAYAAGSCRRVTTSAYILALLVGVSAYIVVLVVVSIGVARWMKKVMDKVGEKLTHCFSFEDL >ORGLA11G0025300.1 pep chromosome:AGI1.1:11:1706380:1706735:1 gene:ORGLA11G0025300 transcript:ORGLA11G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIELVGYFAMPCVTSMIGVAKCDDKDARHEDCEKMIHVFDKDGDGRISLDEFRAV >ORGLA11G0025200.1 pep chromosome:AGI1.1:11:1703624:1704013:1 gene:ORGLA11G0025200 transcript:ORGLA11G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEPIQDAGRMEAMRAGHLRQLLSRLEILQAHSAPAFPGVACHRLLQLGHGLARRGDSAEPTVPVRILLHYSIHGNGSGSATALTLKNMIANLINKLLQEVGVQFYAPPTNVRPQALANDLMSFFLR >ORGLA11G0025100.1 pep chromosome:AGI1.1:11:1694210:1694593:1 gene:ORGLA11G0025100 transcript:ORGLA11G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMR7] MDPTTTTTDSECDFIKKIPSWMIRNSPMHCMEAGHFGKDAAAAAATADAMEHALPCSDLHHLRVGKTAEQSCSVCLKNFEEGDYIWSMPCSHTFHQLCILGDRSCRVCHPAAPPSTEEKPEAPRTVN >ORGLA11G0025000.1 pep chromosome:AGI1.1:11:1692222:1692909:1 gene:ORGLA11G0025000 transcript:ORGLA11G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWVVQASVVARRGGRAAGMPPRWPGVARRPDFGGAGSERQRHRRPDGSAKGAGGGGSSSSLPVGTLGLHGAPPLLCGEFLCWIEAVAPQWGSSGYRSNATXSRVLLRPNLARRPVGGGTEESWASSQGWXWAMAASWMS >ORGLA11G0024900.1 pep chromosome:AGI1.1:11:1688344:1688781:1 gene:ORGLA11G0024900 transcript:ORGLA11G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMR6] MTKVFLPLFQPLNFLLCDKHNTHSTCPSEHSETKARTELTHGDVAVVMAALGLSFNAEGNEVEDEALVLLEEKQASWEELEEAFSVFDGDGDGFISPLELQNVMRRLGLQHDAGHEECERMLKVFDRDGDGINFDEFKVMMQGVV >ORGLA11G0024800.1 pep chromosome:AGI1.1:11:1686268:1687575:-1 gene:ORGLA11G0024800 transcript:ORGLA11G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKRKTRDRHGARGKASYDKPKPSITDPELEETKDADQFESGHLEIIGGDSDEDQADCDQPMQEATEDLNQPGIVSDELDEGHGRSVYLVACHWDWSRYSKPYSVYNVGVTATATATSSPPQAKRKRLRRITHLPTAAGGKSFTSVRSIHRVWIIGVGGDPGDTIIFDTRTEKVIHGPALNSAKWCPALMAVGDKVYAMSKSPSWIADPDFPPWFELLDLSQSKVVTATAGRGYHLEGCSXIKLPHPPCFPWKLRPVDYTLLPVIIVMSYVVVDAYILVSFNQPWGTYAFDTNSIKWHKVDNKKLPFTGCAAPHGSVFLGLSKDNGPINAYRINVTTSDKNHDPCVSIVVLPVKYMEHEVDARSCFFSLEDGLFCSLSFSLDSNSVILSKNLDFFPTKAHVDLRTYQTENTSPLEAPEETLLAVKPEVTVCNQ >ORGLA11G0024700.1 pep chromosome:AGI1.1:11:1682876:1684107:1 gene:ORGLA11G0024700 transcript:ORGLA11G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPDDDDDAGESTDEFANPFDDTMEFAKPVYLVAVRDDDQAAAYSVLKIDAAAVAGNDEPRRVCAVAVLTTGTEPGMSLVTARSRHGSWIVGVGGGLRAGTIIFDPGTSRTFQGTWLGYPKHKPVLISHGSEPELVVGTYAFHVVNKIWEKIHEKNLPFVGQAVHLGGNLFAACPISNTASTSTSASVFHMSIKVSSSIPSLSIQKFKVMASVDKITIPLFCPMGMGSFCCIRLGPSRLRHRRKTNYRRWRSPKTSCLKEVHVISTAFRIENIEAIMTHCQSQESKAKDQLLALQVKEQMHSCESKEIHGLLGSGIPVVAALSM >ORGLA11G0024600.1 pep chromosome:AGI1.1:11:1678564:1682610:-1 gene:ORGLA11G0024600 transcript:ORGLA11G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMX8] MRRLLLAGILRRASSSPSSHHHLHLVRALSASSPLPASDADLRKYAGYALLLLGCGAATYYSFPLPPDALHKKAVPFKYAPLPDDLHAVSNWSATHEVHTRVLLQPDSLPALHDALAAAHGERRKLRPLGSGLSPNGLALSRAGMVNLALMDKVLGVDAKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGIIQVGAHGTGARLPPIDEQVISMKLVTPAKGTIELSREKDPDLFYLARCGLGGLGVVAEVTLQCVERHQLIEHTFVSNADEVKKNHKKWLSENKHIKYLWIPYTDTVVVVQCNPPSRWRTPKFTSKYGKDEAIQHVRGLYHESLKKYRTKAESNDPEVDQLSFTELRDRLLALDPLDKDHVIRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSETCFPAGTLAKPNMKDLDYIEELLQLIEKEDIPAPAPIEQRWTACSRSPMNPASSSQEDDIFSWVGIIMYLPTSDARQRKEITEEFFNYRSKTQTNLWDGYSAYEHWAKIEVPKDKDELAELQARLRKRFPVDAYNKARMELDPNKVLSNAKLEKLFPVTEVQHEK >ORGLA11G0024500.1 pep chromosome:AGI1.1:11:1674176:1676629:-1 gene:ORGLA11G0024500 transcript:ORGLA11G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSPSQQSFTTIESAESATAATSIETAESTTAARDVSPPAAAPAARDVSPPPAAARDVSPPSAAHDVSPPAAVSAPPPAVVPAAARDAPPPAVALAAEMEVDDVDVHEVPECIASMIDRGSVESHRLFLARRTAMEMLRDRGYSVPEAEIARTLPEFRAWWAEKPGIERLAFTTTLVSDPSKKVQLVFCPPEPVKIATIREIYLQTKEENLSRLVLILQSKILSRAREAIKEIFKFKVDIFQATDLLVNITKHVLKPKHEVLSADQKAKLLKEYNVEDSQLPRMLETDAVARYYGFDKGTVVKVTYDGELTGKRVAYRCVF >ORGLA11G0024400.1 pep chromosome:AGI1.1:11:1672162:1673771:1 gene:ORGLA11G0024400 transcript:ORGLA11G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIEAPFHVLAVDDSLPDRKLIERLLKTSSFQGLAFVCVVTTVDSGSKALEFLGLHDHEDSPISTQSDQQEVAVNLIITDYCMPGMTGYDLLKKIKESSYLRDIPVVIMSSDNIPSRINRCLEEGADEFFLKPVRLSDMSKLKPHILKSRCKEHYQQEQHLQSNSESNNSSNPTSENSSSSTSSNSHKRKAVDEEILPHTIRPRHS >ORGLA11G0024300.1 pep chromosome:AGI1.1:11:1665897:1668727:-1 gene:ORGLA11G0024300 transcript:ORGLA11G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLLLAAAAAVVVVAMVLRWLLLLGGPAAGRLGKRALMPPGSTGLPLIGETLRLISAYKTPNPEPFIDERVARHGGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVHSSYPSSIATLLGARSLLLTRGAAHKRLHSLTLTRLGRPASPPLLAHIDRLVLATMRQWEPAATVRLMDEAKKITFNLTVKQLVSIEPGPWTESLRREYVKLIDGFFSIPFPLANLLPFTTYGQALKARKKVAGALREVIKKRMEEKAENGGSIGDDEGKKEKKDMVEELLEAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPAALAELKEEHANIRDMKGKKQPLEWSDYKSMPFTQCVINETLRVGNIISGVFRRANTDIHYKDYTIPKGCKIFASFRAVHLNNEHYENARTFNPWRWQINNKLQNAVGANIFTPFGGGPRLCPGYELARVVVSIFLHHLVTRFSWEETEEDRLVFFPTTRTLKGYPINLRLLSESIC >ORGLA11G0024200.1 pep chromosome:AGI1.1:11:1657521:1658216:1 gene:ORGLA11G0024200 transcript:ORGLA11G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEPWSSEASDDVPDTSHMSDEQFQQFIDQYWAEQGFNIWSWIRASRTSSSSTPGPTRRTAASWQAVTFDGDGVARFSGNSDRSGGLDDQATSGFSIVDLLDGILQADDDGNGGGATPASSMAIVNLPEITVGDEKGEAKDCPVCLQGFEEGDKLRKMPCADSHCFHEQCIFSWLLINRHCPLCRFPLPAETEEDEEVVQAENDDDDDDEETILCLHRLFADAADEYTS >ORGLA11G0024100.1 pep chromosome:AGI1.1:11:1654382:1656113:1 gene:ORGLA11G0024100 transcript:ORGLA11G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQSIVMSEQQRAVFCLTLMAVTYGHSRQPADAELLQRLQRADQPPPIRGARVVENTEPNVLFAYTPHDADDDEAYSNGGFGAVPALSEAIVSLPEMAVGCGCGGGGEAREKECGVCLEGFEEGDKLRKMPCEHYFHESCVFKWLQGPSYVPHGVESAYIHINCDIEEDDDTYSDDGFCAVPASSDAIAALPVPETTVSETETREEEACAVCLEGFKEGDRVKKMPCSHDFHANCISEWLRVSRLCPHCRFALPAERDSEQKNTEEA >ORGLA11G0024000.1 pep chromosome:AGI1.1:11:1648280:1653724:1 gene:ORGLA11G0024000 transcript:ORGLA11G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding QHSCIPRNPRCHVQIRAPPLTHTHITEKQRSRASASPSPTIWFDSPKPPSRRRHKPRRPPWPPPSSPPQNPPPSPPQTPPPLHAHAPKPSPPSAPPPPHASPTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEDGHVPVICGLSRCNKRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGTHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGEEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFSRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >ORGLA11G0023900.1 pep chromosome:AGI1.1:11:1645514:1647330:1 gene:ORGLA11G0023900 transcript:ORGLA11G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTAKLLALAVFAAAAILSLDSRSDVRQLEIRDGDVELIPLLDGAAGPESIVFGDAGDGPYTSVSDGRILKWLPPPERRWVEHSCSVPELLDSCRGSKDTKREQECGRPLGLKFNSKTGELYVADAYLGLRVVSPGENVSRPLVPKRTGSPFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLRFPNGLAMSIDGSYLLLAETTTGKILRYWIKTPKASTIEEVAQLPGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRKLILKLPAQRIQRITSFLTGFGRQVIALRLSEDGKTIEAMSVHGDVRKLFKSISEVEEKDGNLWIGSVLSPFLGLYRI >ORGLA11G0023800.1 pep chromosome:AGI1.1:11:1640011:1640229:1 gene:ORGLA11G0023800 transcript:ORGLA11G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSSFYEFYNYSFSKSGPKAVLIGGASDSRRSCSGWKLSQIGPKMVMNSTSNADPSLLLLMFREFGKQGGMA >ORGLA11G0023700.1 pep chromosome:AGI1.1:11:1628128:1630475:1 gene:ORGLA11G0023700 transcript:ORGLA11G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPPPPPLNWPMAGGGGGGSGGGGRGGAGGGGGAPATPELVIPVSSIAAPPSTSPSTRSPLRAPSASSSSPCWFRYCAENSGTRRVRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCNRDVVKALLELGAADVNSRAGPTGKTALHLAAEMVSPDMVSVLLDHHADPNSRTLDGVTPLDVLRSLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDDGAPVTGGAEAGGSDGGNFPRSDADDSLVSLTMNSTLMYQGQEMAAAVAAGEGRKSNNGRGSPPPAMYFPNGFA >ORGLA11G0023600.1 pep chromosome:AGI1.1:11:1622935:1623375:-1 gene:ORGLA11G0023600 transcript:ORGLA11G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLSSLSSRSLLSLPPFSPAHYRRLAGGDGSGDRALPSARSGPSGGRGGGGGELEGSPWLQLLGGRTGGGGDALPSTRSGRRGGSGASALPDPAGRGVNDGRRGGSSKWRGSRAVAATTSPLPDLAGGEVMAAAEPSPLPNPARSR >ORGLA11G0023500.1 pep chromosome:AGI1.1:11:1619561:1620613:-1 gene:ORGLA11G0023500 transcript:ORGLA11G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLGHPSVYLDRRSVRAARRGFFELSPFPKVAFVVGNRAIVEAVENESLVHVVGMSGPFTQPCQWIQLLHELRRRPEGPPRVVRLTVVHDDGELLAKMEELVSDEAEELGMEFQFHGVVGQLEDLDFSNLRNVLEIKSGEALVVSCTLQLHRLLAADDDAMYSSRSAHLNQMASIAQLQHMAVNSCPSSSGGGSVQYKDDDPYRSPATPLTFVSPPVSTPHFQTPAALASFLSAVRALSPKILVVAEQDADHNGVSFRKRFCEALHHYAAVFDSLDAAAATTSAASRLWSPDERAQVERVVVGEEIKGVLLRDGAHRRGTTGCGNGRRAWRWPGSPACRSATPPSGRGTTW >ORGLA11G0023400.1 pep chromosome:AGI1.1:11:1617376:1618011:1 gene:ORGLA11G0023400 transcript:ORGLA11G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRWWRQQRRIRSSRRRRSGGERGDCCAWRAPAVLDTPGFYGDEDRYFFCRWDTEPECSSVGCYDYIENDVLKTEQIVQVGHGARGDVTILPTLVINNVQYRDLFCYNYNGEPSECGGVEDESFPDGAMDEQLLELSMPTPASARRSAR >ORGLA11G0023300.1 pep chromosome:AGI1.1:11:1608717:1609304:1 gene:ORGLA11G0023300 transcript:ORGLA11G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMQ6] MMKLAHLFGSSSSSSSSSSKKENKVSSKKRRSGAKSCSFGSTTSSSSSSLAASSSDDSAATTPRSVLPASSSGTKKPAAVAVTREDLEVALRRIVSSKEELAAMLAEAECAGELVLEEIAAAAADEGELKETFAVFDADGDGRISAEELRAVLASLGDELCSVDDCRRMIGGVDTDGDGFVCFDEFARMMMYGCA >ORGLA11G0023200.1 pep chromosome:AGI1.1:11:1604398:1604892:-1 gene:ORGLA11G0023200 transcript:ORGLA11G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPEGKVACETFTKTNMVMVFGEITTKATVDYEKIVRDTCRNIGFVSDDIGLDADRCKVLINIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDKTPELIPLSHVLATKLGARLTEVRKNGTCA >ORGLA11G0023100.1 pep chromosome:AGI1.1:11:1599799:1600815:-1 gene:ORGLA11G0023100 transcript:ORGLA11G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:G2XMQ5] MAPSFPLSFAPQSADEATAHKEIYDQLRQAVETFPTAPNSSTSFTYSRHPDGWYTFPEGVVSAMVIKSHLTARTTDIFMVTFPKSGTTWLKALLHSALHRRADDLAAHSPHQLVPFLETQVFIKDRIPDLSSLPAPRLLMTHIPSQSLPDSVADSSCKVVYLCRDPKDCFISLWHFLNRFRPWDINEAHRNFCDGVSLFGPYWEHVLGYWMWHVKRPSQVLFLTYEELTTDTLGQLRRLAEFVGRPFMVKEQEIGVDRKIVEACAMESLSRLEVNQSGTTDMVDKTYANNIFFRRGVVGDWRNHLTPEMARRIDEITEIKFKGSGLLLHPQFLQAKRE >ORGLA11G0023000.1 pep chromosome:AGI1.1:11:1596383:1597246:-1 gene:ORGLA11G0023000 transcript:ORGLA11G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1QXI8] DHGGVVSAMVIKSHLTARATDIFLVTFPKSGTTWIKALLYSALHRRADKLVAHSPHQLVPFLESQVFVKDQIPDLSSLPEPQLLMTHIPSQSLPDSVAASGCKVVYLCRDPWIASSRSGTSGTSSASSYWNWHVERPSEVLFLTYEELAADTLGHLRCLAEFVGRPFTMEEQDAGVDRKIVEICAMESLSGLEVNRSGMTNFTEKDVPNNIFFRRGVVGDWRNHLTPEMARRIDEITEIKFKGSGLRLHPQFLQAKRELNKL >ORGLA11G0022900.1 pep chromosome:AGI1.1:11:1582576:1584928:-1 gene:ORGLA11G0022900 transcript:ORGLA11G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1QXI7] MAPSFRLSSAPESADEATAHNKEIYDQLRRVAETFPSAPSLIGLPCSRHPDGWYTFTNGVVSSMVIKEHLTARATDIFLTTFPKSSTTWLKALLYSTLHRGTDELVAHSPHQLVPFLESQVFANDRIPKLSSLPSPRLFMTHIPSQSLPDSVAASGCKVVYLCRDPKDCFVSLWHFWNRFMPWDIDEAHRQFCDGARSLGRSGSISSATGGGTSRSRTRKNNFFLQGLRTSWLPPQLPNRPTERLTRKSMTNSGKSWRPSRRQSAASANHTVATLTDGTCPGGGL >ORGLA11G0022800.1 pep chromosome:AGI1.1:11:1577336:1581159:1 gene:ORGLA11G0022800 transcript:ORGLA11G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMQ3] MVEVEEVSNKMQAQMRLHPAAAAEEEDADLPLPALFDKASHLHSLASSSSLDQEGIRKGVDLLRRCDEMVSKLGLFSSNETKDDVSTANLKYLLVPYYLGEMTERVAQEDRIPVLKASQDHLKEFISICEALELISEDELEISRQKQPDTMANRRAQKVAQFKRQKAAETKLLEIKERKERRRRSLRAAALSAPIEAGEEDAFEDDGEEEREAWLATISLALCKAFDLLDMLKKEEEMLLAVKERKAKDGNAFAREMLDERTKRAEAWHHNAANRAPYSKPADPITCATFAQDVIEGRASVSQAHEHKHQPLIFGPASLVGGGLTSERERMAAQVFQPSYRLPTMSIEEAGLREMKMMEKWQERTAKMIQESNSAWHKDGSRSAQEDEDAEEEKARGWDDWKDDNPRGAGNKKLTPCG >ORGLA11G0022700.1 pep chromosome:AGI1.1:11:1570290:1574947:1 gene:ORGLA11G0022700 transcript:ORGLA11G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWNHHRRPWYRRTRHDRAPRPPSPPPDLGHNCHPIPLWEREFCIYVGGISWHRFCDNKRYVCMYKNIEQWDDSEAFDNFKNAKARFWANYHGQPSDISLPDPDMYIDKVDHNSKIDPELIADLNMVRLPFEMDDELLPADGLGSTDTDNKCQQRQNQSRNWDIYVEKPTEVNKWEQDSRSNMDWGTKHESWNEWSKNCSGWGSALADSSWGNWNNSNNHHSSNNRASFNGINRNRYQDPSSISGRKRNSGGYIQQRNSRQRNQIEGYQGSRW >ORGLA11G0022600.1 pep chromosome:AGI1.1:11:1566835:1569925:-1 gene:ORGLA11G0022600 transcript:ORGLA11G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVAMAARPVSSTTSTCRPCLPAQFSASKPSTSSSPGTGVLVGVPRERGSSVSKAAIRGARLEAAARCSLVRQRPMLLATVAVGSLVAAGAANATEIGDSLLGSSGLALADLSVGDWFGNLLYSAGQQANEAVQDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKDRSEVVGNSVAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAVIMGLNLLEVIELQLPSFFSDYDPRAAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIVGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSAWINPISGAFLLGGGVYTLLDRLFPATSMVM >ORGLA11G0022500.1 pep chromosome:AGI1.1:11:1561960:1566009:1 gene:ORGLA11G0022500 transcript:ORGLA11G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRACMPLPPAAVASSSAAPSTDAQAQRRSSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNREKGESLASKLGEESEFVQVDIRDRNMLEEMLQDVDLVVHAAGPFQRENECTVLQAAIATKTAYIDVCDDTDYSWRAKGFHEQAKDCGIPAITTAGIYPGVSNVMAAELVHAARSENAGEPERLRFFYYTAGTGGAGPTILTTSFLLLAEDVIAYNKGEEIKLKPYSGALSIDFGKGVRKKDVYLLNLPEVKSAYKVLGVPTVSARFGTAPFFWNWEFLRDKNKVLKLVGFVDPFVRAIDGIAGERVSMRVDLDCSNGKNTIGLFSHRKLSVSVGYATAAFVLAVLEGSTQPGVWFPEEPEGVAIESRKVLLERASQGTTIFVMNKPSWMIETDPKEVGLGIYV >ORGLA11G0022400.1 pep chromosome:AGI1.1:11:1552407:1555321:-1 gene:ORGLA11G0022400 transcript:ORGLA11G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPNPGSGYPYGGAGGYGAPPPPYGSSPAPSAPPYGEKPPKEGKTSSSSAPYYGGGGGYGAPPSTQPYGSGGGYGAPPSTQPPQSYGGGYGAPPSSQPYGAPYGAPPPSSAPYGAPGGYGSPFASLVPSAFPPGTDPNVVACFQAADRDGSGMIDDKELQSALSGYSQSFSLRTVHLLMYLFTNTNVRKIGPKEFTSVFYSLQNWRSIFERFDRDRSGKIDATELRDALLSLGYSVSPTVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTEKFKEKDTAFSGSATFTYEAFMLTVLPFLIA >ORGLA11G0022300.1 pep chromosome:AGI1.1:11:1547590:1550113:-1 gene:ORGLA11G0022300 transcript:ORGLA11G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDDKKQKGPDVTVPSGYFFVPKPEQIIRDYLNHWITGRPIEELRDIVREADVYGSDPATLTEEHSAYGHDGKSWYFLTVAKWKGGRGGAGTAGRINRCVEGGGTWHNSQRRRVIEGYGDRQAFEYRAPGNKKTDWLMEEIASNLPAAITDEGIMVICKVYLSPRAKEATADDEERQETNVVPGPKRLREAEATGYDAPAPPQPDVGCSYSDGSETSQATASMDYFAARRRHTRRTTRPMPPTTTATLMPSSQMLTTAATTASASTQTGSWFSAATATVASGRRDRCHWQCRTPTGRXPCSHQXTAMVLASMRRXDKNHKXKVRWRWIISSTICSSTSTAPVISIPIPTGVETATATYYVN >ORGLA11G0022200.1 pep chromosome:AGI1.1:11:1541383:1547042:1 gene:ORGLA11G0022200 transcript:ORGLA11G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:I1QXI0] MEKLDRYLQEHFDVPAKNPSEEAQRRWRQAVGTIVKNRRRRFRWVPDLDRRSLDKAKVRSTQEKIRVALYVQQAALIFSDDELALITSKHDSKALKMHGGVDGISKKVRSSFDHGICASDLDTRQNIYGVNRYAEKPSRSFWMFVWDAFQDMTLIILMVCALLSVAVGLATEGWPKGMYDGLGIILSIFLVVMVTAVSDYKQSLQFKELDNEKKKIFIHVTRDGRRQKISIYDLVVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLSGESDPVYVSQDKPFILAGTKVQDGSAKMIVTAVGMRTEWGKLMSTLSEGGEDETPLQVKLNGVATIIGKIGLVFAILTFLVLLVRFLIDKGMTVGLLKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSVTSNTISGELNSVVSSRTLSLLLQGIFENTSAEVVKEKDGKQTVLGTPTERAILEFGLGLEGVHDAEYSACTKVKVEPFNSVKKKMAVLISLPSGTSRWFCKGASEIILQMCDMMVDGDGNAIPLSEAQRKNILDTINSFASDALRTLCLAYKEVDDDIDDNADSPTNGFTLIAIFGIKDPVRPGVKDAVKTCMSAGITVRMVTGDNINTAKAIAKECGILTEDGVAIEGPEFHSKSPEEMRDLIPNIQVMARSLPLDKHTLVTNLRGMFDEVVSVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRKGESFITKVMWRNIMGQSLYQLFVLGALMFGGESLLNIKGADSKSIINTLIFNSFVFCQVFNEINSREMQKINVFRGIISNWIFIAVIAATVAFQVVIIEFLGTFASTVPLNWQHWLLSVGLGSISLIVGVILKCIPVGSGETSATPNGYRPLANGPDDI >ORGLA11G0022100.1 pep chromosome:AGI1.1:11:1539182:1539652:-1 gene:ORGLA11G0022100 transcript:ORGLA11G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSAPSSSTHPLLGHMGQTHTTRLQTGWGVTGRDCRLGQENSSFCVKGWESRLGWKCATNPKELRSRKHEEKRRNRFHARKRDLAGEDFTSAYSADGAVEGLVDDDIVAGGDLVGNEIAWGSPCASGRSPERERAKLVSVVKTEEDSVRFLEGNG >ORGLA11G0022000.1 pep chromosome:AGI1.1:11:1522615:1525080:-1 gene:ORGLA11G0022000 transcript:ORGLA11G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLAASALRRGGANDGGVLAAVRAEIAHELSSSPSSSPPSLQSQDIPDFSTVSDAPRGQEVLLRRRDASEEVLVSAVLAPLRFEGEEPLPRDALMKVFVSKPDVKPVMRFDCRAFAAEGDGGSADYDVTAVCYHPFAGECDAGEDKYEGPEFRGEARRPILLSQSLRACWAKIIPLGSSSRPRLPVSRSVPPALSSLQLLGKQPSLPQATPRRHFFQKRHVFRQHQSHLHLARCAPQAPTPLRFSQPPDSPPIGPLPRRVLQPPSPMSDWCHPVPATQAIW >ORGLA11G0021900.1 pep chromosome:AGI1.1:11:1510005:1514523:-1 gene:ORGLA11G0021900 transcript:ORGLA11G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVQTPVEQKLSNGGGGVEAATMVFVYGTLKRGFPNHPLLAASGSPLVGTASTATPASLVVGPYSVPFLLPRPSSSSGSHLVSGELYAVSPRALVDLDALEGTHLGVYERRKVTVVVEGGSGEVVEAEAYFAHTSYTEAMWLRCGGEAAEIGEYTMEHAAKDLDPQLQVALKGYLVARGVNSKLASSLHHHLVEKERWQYMNWLKTLEDMFSHHLVEKERWQYMNWLKTLEDMFSKDH >ORGLA11G0021800.1 pep chromosome:AGI1.1:11:1501087:1503112:1 gene:ORGLA11G0021800 transcript:ORGLA11G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLPFCHFDERSSSCGAALLEFMGPAAPPSEAAAAADDVVLPYISRILMEEDIDDDMFFCLYPDHPALLEAQQPFAQILSSSSGIAGEVNSAPMEDSAALMMQGSGNGRGRKGSKHGGDELEAEVGRASKLMATPEEEEDDDDGVGEMLEKMMLNGDEDEAFHGETNAPRVPAEKKCGKAARRRRQAKGEVVDLRELLMSCAQAVASGNRRSAGELLEQIKRHSSPTGDATERLAHYFADGLEARLAGAASLECRLVASAEERASAMELLEAYQVFMAACCFKWVAFTFANMGILRAAEGRSRLHIVDYGGQYHGLQWPSLLQRLAEREGGPPEFRAVAAARWETVTAEDVVGVDPDDEAAVVVNDVLSLGTLMDESGVFDDPSPRDTVLGSIRDMRPAVFVQAVVNGAHGAPFFPTGFREALFFFLALFDMLGATTPEEGSHLRVVLERDVLRRAAVGVIAGEGAERVERPETYRRWQARNRRAGLRQAAVEGDVVEAVRRRVRRRHHEEFVIEEDAGWLLQGWKGRILYAHSAWVVAEDGAH >ORGLA11G0021700.1 pep chromosome:AGI1.1:11:1493947:1496940:1 gene:ORGLA11G0021700 transcript:ORGLA11G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTDNAASANSVEPDKSEECLEFDDEEEEVEEEEIEYEEIEEEIEEEEEVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQKDVTGKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKVVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKAVEEVGPGVLKADLMKVSSANRNRGYGFVEYYNHACAEYARQEMSSPTFKLDSNAPTVSWADPKNNDSASTSQVKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGAAPASTAQPMLYAPRAPPGAAMVPMMLPDGRLVYVVQQPGGQLPLASPPPQQAGHRSGSGGRHGGSGSRYGGGGGSSGSSRPGAKRQRGDDNSSSRHKGRRRPY >ORGLA11G0021600.1 pep chromosome:AGI1.1:11:1490052:1491218:1 gene:ORGLA11G0021600 transcript:ORGLA11G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMP8] MGAAGDNAAKAPAAAAGSNGKGTAASMDVVSSSSSSSPAPAPSVLKSVLLSYAYVSVWITLSFSVIVYNKYILDPKMYNWPFPISLTMIHMAFCASLAVVLVRVLRVVAVPASPPMTPSLYAASVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVAFRTDSFRRASMLNMLGISAGVAVAAYGEARFDAFGVMLQLAAVAAEATRLVLIQILLTSKGMSLNPITSLYYIAPCCLVFLTLPWYFVELPRLRAAAGAAARPDVFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPVNLVGYGIAFLGVAYYNHAKLQGLKAREAERRAASMATAKDGDAEAGARLLPEKDAGEQKN >ORGLA11G0021500.1 pep chromosome:AGI1.1:11:1481483:1484259:-1 gene:ORGLA11G0021500 transcript:ORGLA11G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XLE5] MGWKAAEKLIRHWKILRGDNVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVLDPVTGKPCKIGYKYLEDGTKVRFARGMNASGAVIPRPEILKERRKPRPTSPGPKDTPIEHVLEKTYDAKAGIGMPDL >ORGLA11G0021400.1 pep chromosome:AGI1.1:11:1479369:1481234:1 gene:ORGLA11G0021400 transcript:ORGLA11G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQMAATKERQEAANPTTTTRTLVESVTNWIRVYSDGSVDRLGPPEAAAFMVLVPPYDDPRDGVTVHDVATDHGVDVRLYLTTTAPARRRPVLVHFHGGGFCLSHAAWSLYHRFYARLAVDLDVAGIVSVVLPLAPEHRLPAAIDAGHAALLWLRDVASGGSDTIAHPAVERLCGAADFSRVFLIGDSAGGVLVHNVAARAGEAGAEALDPIRLAGGVQLHPGFILPEKSPSELENPPTPFMTQETVDKFVVLALPPTKDTEKFICRADVYGSEPSDLAGKFAPVPRCEKGGRLFFTSCKRQEGSSTRKERTAGDGTWVRQNSKGVKNKAGVKVGETQNFRFKKDGSYTDWLMEEHHCCRQQAVAGDEEPVICRMYVSPRAPPDSAARQESAAFVQQQPALQVSEPPCDKKKRDDVAEEAPAAA >ORGLA11G0021300.1 pep chromosome:AGI1.1:11:1475767:1476837:1 gene:ORGLA11G0021300 transcript:ORGLA11G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMP6] MPSTTTAPETDPSKTVVEEVTGWLRLYSDGTVERLTPPGAEPFTVIVPPYTEPRNGVTVHDVTTARGVDVRLYLPAEPPAAAPRPRRRRPLLLHFHGGGFCLSRPSWALYHNFYAPLAAELDVAGIVSVFLPLAPEYRLPAAIDAGHAALLWLRDVACGDEGNLNPAVERLRDEADFSRVFLIGDSSGGNLVHLVAAHAAAKDDGAGADLHAVRLAGGVLLNPGFAREEKSRSELENPPSLFLTEEMVDKLLALGVPLGMNKDSPYTSPSLVAEAVARLHMPPMLLVVAEKDLLHDPQVEYGEAMARVGKTVETVVSRGAVAHVFYLNFFAVESDPLTAERTRELIDTIKTFIDRY >ORGLA11G0021200.1 pep chromosome:AGI1.1:11:1473102:1473611:-1 gene:ORGLA11G0021200 transcript:ORGLA11G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEEGDGGGARAVGIGPTGSGRVEKEASPPPPSPCALAVGLPTPLAGRPARDWEGKVEEVTVGPKGGDGIGVPQLGRRRRLQLHLLDRRDEDAAAQIWQLSDVCRSPSWEEAGGGPNANEGSGGRWRGCGRHRAMSPGLVEEETIMVLDDEVSKGDREAGLHGGGPVREGG >ORGLA11G0021100.1 pep chromosome:AGI1.1:11:1468840:1470087:1 gene:ORGLA11G0021100 transcript:ORGLA11G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMP5] MPAAESCHSRSLSWLVKSCIPADPARHISVPVLCPTPQPPPPSSPPAPPISTLPDDLLLECLARVPRASLPPLPAVSRRFATLLASDAFLHLRRAHAHLRPSLLALSVSDNGCVPQALLRFESSVPVLEVAPLPLPPTLLHCGGSVFAHARAVVLGRDVFLIGRGATLRVDALTGAARACAPTLFPRKKFAAAAVGDRIYVAGGSARTAAVEEYDPEVDAWRVVGEAPRRRYGCAGASAGGVFYVAGGVAVSGEGARALEAHVCAGSVDALHVASGTWARPRALPGGGCVVGACGVGDHLYVVASHAVELSFWRWSGATGRGGDGRGWGGWVALEAPPMPRGSVGLSMAVRVAMAGLGTNRVAAVVSAAAVRGHNAGGGALEGMVLVYDIAGGKWSRAPDLPPGFRRAACAGVEC >ORGLA11G0021000.1 pep chromosome:AGI1.1:11:1466510:1467957:-1 gene:ORGLA11G0021000 transcript:ORGLA11G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIHIFSSLXSVAAWQIADASTNHNDSMSERTIPPPVSSHGEDFAEVVVVRHGETSANALCIIQGQMDIELNEAGRQQAVMVARRLAKEAKPVAVYSSDLKRAAETAQTIATACNVSNLVLSPALRERHMGDLHGLKFDDAVRSKPDAYKAFSSEDRSQEIPGGGESLDQLSERYVSYLNTIAGKYKGERVIVVSHGASIEELCRHADPTSSVRRRIPNTSICVFNISGTTGHWILERFGDVAHLNEDDFP >ORGLA11G0020900.1 pep chromosome:AGI1.1:11:1461439:1461660:-1 gene:ORGLA11G0020900 transcript:ORGLA11G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGDDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFVSCTRGFPKVFRTLSMSLVRSFRLPTSCINRGGA >ORGLA11G0020800.1 pep chromosome:AGI1.1:11:1445572:1448034:-1 gene:ORGLA11G0020800 transcript:ORGLA11G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding APAPHHPAPAPPPPSQSQPKPTNLPRRRCFPRRRPRHPLHEPHLGTVPRGAAPLPALRRPPRRRCLLPAPDPDLAVALLSWSQSPDHHVALQDPTPLAHSALLRLLARSRRFDAVDDTLQSMSLAGPAPTRACLGALVAAYADAGMLGKATEMCERVREQYGSLPVVTHCNRLLKLLVEQRRWDDARKLYDEMLAEENAADNYSTCVLVRGLCLERRVEEGLKLIEARWGAGCIPHVVFYNVLIDGYCRRGDMGRGLLLLGEMETKGFLPTLVTYGSLINWLGKKGDLEKIGSLFLEMSKRGLSPNVQIYNSVTDALCKCRSATQAMVILKQMFASGRDPDIITFNTLITGLCHEGHVQKAEHFLREAIRRELNPNQFSYTPLIHGFCMRGELMTASDLLVEMMGRGHTPDVVTFGALIHGLVVAGKVSEALIVREKMTERQVFPDVNIYNVLISGLCKKHMLPAAKNILEEMLEKNVQPDVFVYATLIDGFIRSENLGDARKIFEFMEHKGVRPDIVSCNAMIKGYCQFGMMSEAILCMSNMRKVGCIPDEFTYTTVISGYAKQGNLNGALRWLCDMIKRKCKPNVVTYSSLINGYCKTGDTDSAEGLFANMQAEALSPNVVTYTILIGSLFKKDKVLRAGLYFETMLLNHCSPNDVTLHYLVNGLTSCTPCVINSIWCNISEVHGKDALLVVFKKLVFDIGDPRNSAYNAIIFSLCRHNMLREALDFKNRMAKKGYVPNPITFLSLLYGFCSVGKSMNWRTILPNEFQQEEFEIIFRYKFLFDQYATESVCCEVSRVLQQYLAECKSLQRVEQKFANS >ORGLA11G0020700.1 pep chromosome:AGI1.1:11:1440973:1441620:1 gene:ORGLA11G0020700 transcript:ORGLA11G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPARRLMDSRAAAQLEAPLPDPYAAETFEDLLRELGVDPSIHTVVRSAGRWMDPAAAAARVPVRFHRTLQRLGIDPNSDARSIRDMLQEFYRVVYQGEVYWAGRVIRPRPSPVLGRRRRAADGDAPMQPPSKYARVRGVSRDVLLGLALTKACDARQEECAVCLSDFEEKDRLRTMPCSHSFHEICLFRWLSESCLCPLCRYALPKQQQVQSC >ORGLA11G0020600.1 pep chromosome:AGI1.1:11:1439099:1440442:-1 gene:ORGLA11G0020600 transcript:ORGLA11G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMP2] MEVQVKRTLVVPPLPSRETEEAPLTVFDLVAPTYHVTVLFAFSPPNPTTSALLDALSATLSHFPLLTARIERRGGRRRPFFVTGRGGAGALVVEAEVSSELADHLPLAPSPELARLHPPVNTDAPTPHVLLVQINRFACGGLVVASSAHHQAADGFSMSTFFHAWTDAVRRNGAPLLDRPVPYGPGALSPRRPPRCEFAHRSKEFLPHDGVASRQGQGADTGAVRINPSEVANVLLHYPSEFVAELKRRAQGKYTTFETVSAHVWKKITAVRGLDAGARTSVNVSVNGRARLGTAAVPNGFFGNLIITASSGATARELTTGTLADAAALVRAGIRAVDRRYFQSFIDFGALHVDGGSDEEEPLEPANVEDPGVLSPDVDSDSWLHLELHRLDLGRGGRLAGIMPAKVPEDGVVVVMPSLRKDGGVEVFVALWEKHAHELTNIAYTMD >ORGLA11G0020500.1 pep chromosome:AGI1.1:11:1426226:1428716:1 gene:ORGLA11G0020500 transcript:ORGLA11G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYFHCEHCKGELLPESEKLTLDEIVCGGDNAIKHKHDKLKDMQQRMEVITFYSVRNLFPNLGAXIKHKXCXNCSIFSTVYILXLLENNXICFALVRKTKLVYLHFGQXSDCYPVNCAXTFYIFFTLHLDNTXFNIYLTIIAFVIIARXQYSISLLXEGLSLTXTIYIVSISIAEMEIFLXIGYVIYEASLRXSLVYVYDSANAFISYIKXIXRCMFSHIGXACPSRCXLQGSFXLKHGXQSACSGILMIVWIVMLSFCRFLLXHXTYMNEEQLKPLIAVLDRVKDLPFPSFMSLQDWERATMEASANGAVGSSQNSEGRYSSKPMPFLGETEVEVNFLGSTGAQEGVESGMESIKPQPSWMNRKSTVLTGEHKGEISNTADLDQSSEAKSDKKQLSEEDEMKSIQETYAKVYYEAIRKRQEDEDKRMIQEERLTCISDQPFASDAQFERRLGAKSKRDDGGDSGDDGIEMKVEQPTGNIGEVYKLADLDVETQESIDDDDDDDLVWVEG >ORGLA11G0020400.1 pep chromosome:AGI1.1:11:1405189:1421902:-1 gene:ORGLA11G0020400 transcript:ORGLA11G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSPAAAAAQTDAEREEALDRMLTRLALAEDARLAPLLARVLPYAITSLASPAASVRKLVMEILSHINKRVKHRPEISLPMLDLWRIYTESTSSTIVRNFCIVYIEMAFERLLSEDKGSIAPDLLINISNVTEQHQGIILRLVVKAIGECNTHKVGDNVASKYQSISGSNDGLVFADFCFHTVLYQTPPQGVGCPAGLSVAQSDRVTGKQPLKGDTLTSRKLGILNVIEAMQLAPEIVYPLYLAAASDSQESVTKRGEELLKRKASAVNLEDSNLMKKLFTLFNGTASAENIAVELKVAPAHSSLRVRLMGVFCRSIAAANTFPYTLQCIFGCIYGNGTTSRLKQLGMEFTVWVFKHAANDQLKLIGPVILSGILRALDGSSTTEADSSSRDIKVFAYQAIGLLATRMPNLFSNKTDMAIQLFTALRLEEQSLRLTIQEAATALATAYKGASVVILKDLEALLLENSQMEQSEVRFSAVRWATTLYDMKHCPSRYICMLGASDVKLDIREMALTGLNLLNDEKESSAIATDSNYPDIVDMVNYVYSQQPQLLHCDEQRNGKLLFPTKTFLAMIKFLMKCFQKSDGSDFLQEDLSNCPVSKLCVILEHAMSYEGSSELHALALKSLVDISSRQPKLVSSRYVNRLLWLRTLLGHVDADAREASSRLLGITSSALSSTAALDLLSELTSTFDQNRPSRFENYHGLLCAIGYITAGCLKESYVTEEIVQKSIDILVKVVESEGSALASTAMEALGHIGLRCLLPSINRNSSQAALLTILNEKLAKLLSENDTKAIQKILISLGHLSWNELSFAHLNNALDLIFSLSRSKVEDVLFAAGEALSFIWGEVPVTTDVILETNFVSLSQATNYLTGDAPLLVSSNSSKGSDCEEAHAMAREEIIKRLFDTLIYSSRKEERCAGTVWLVSLTMYCGQHPKILELLPQIQEALTHLLGDQNDLTQDLASQGMSIVYELGDASMKEQLVHALVNTLSGAAKKKRAIKLMEDSEVFQEGTIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAAINSKRGAAFGFSKIAKQAGEALQPHLHTLIPRLVRYQYDPDKNIQDSMAHIWKLIVADPKKTIDEHYDLIVEDLLVQSGSRLWRSREASCLALADIIQGRRYGQVSKHLRKIWITTFRAMDDIKETVRNAGDSLCRAVSLLTVRLCDVSLTTSSDANETMNIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALKPHLSELVSCMLECLSSLEDQRLNYVEMHAGNAGIQTEKLESLRIAVAKDSPMWETLDICLKVVDKESLDLLVPRLAQMVKSAVGLNTRVGVASFITLLVQKVMVEIKPYAATLLRLLYSAVLEEKSSAAKRAFASSCAAVLKYASPSQAQKLIEDTTSLHFGEKNAQLSAAILIKSYLSNAADILSGYNAVVLPVIFASRFDDDKDIGALYGELWEDIPSSERVTLQLYLLEIISLLCDSMSSSSWAGKRKSAKAIKKLCDALGESLSVHHNNILESLLKELPGRFWEGKDAILDALAALCSSCHTAMSAEDSGMPSVILNAVCAACSRKSKLYREAAFSCLQQVITAFKDPGFFNIVFPMLYEVSNRSVICKTRDSSSLTSSSSAEQDENEGVSVSLDKVLNCVASSITVAFPQDIINQRKNILEIILNSLSPEEGWQIKLSSFLCIKELCYKFQNSDGNNTWPEETTNLVEELFHSSAPKVVDVIRLVKIAQVHTAASECLLELSKLYRDFPLVDRKGAKFSGELAELCESEKSEQAKAFLKQCMDILKDFEDATGLAMEMD >ORGLA11G0020300.1 pep chromosome:AGI1.1:11:1401855:1404605:1 gene:ORGLA11G0020300 transcript:ORGLA11G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPNACRASADDRSTSNYKHHNGGNNQTIFHSSSHSWHMQGQYTDSSCNGVDMEFRALPRKVLWELPRFVKIVEVGPRDGLQNEKSTVPASVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVLQGIRHVPDVRFPVLTPNLRGFEAALAAGAKEVAVFASASESFSKSNLNCTIKESLVRYRDVVTSAKKHGIRIRGYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSVLAMLEAVMSFVPVDKLAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >ORGLA11G0020200.1 pep chromosome:AGI1.1:11:1394250:1398902:-1 gene:ORGLA11G0020200 transcript:ORGLA11G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar ribonucleoprotein protein MPP10 [Source:UniProtKB/TrEMBL;Acc:I1QXG0] MAMDLDEPLDAEKGEAALRRLRDADPALYLSPSADLAAAAREASKHLYASLVPFSPAQPPPLSNLLAGPAFDAEQIWSQIELLSRPLIPHLRRQLRRLEKQPPSQPPPRTESKSADAEEKSEEEDEEDGEGDEELEELDDVDDEEESEEEEEEEEEEGKEGLEGKAGNQVEDEFFKIKDLDKFMVKGEEAEYGGGAKQGKKKKKTENWMEEDSDEEDEEDLDEDEDNEDEEDDDEHLDLEDFDDDEEEEGAVGDIMYKDFFEEADDQKVRKKGGSKKVQFKDEPDEPEVDDKNDDGNDEQGLSTHEKARLKMHAKIEEMEKANLEPSTWTMQGEVNASSRPKNSALEVDLDFEHNVRPAPVITEEVTASLEEMIKKRIIEGHFDDVEKPSPLQFKSPKEQKDLDESKSKKGLAELYEDDYAQKAGLASAPLSISDELKNEANTLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEIAPVAVSDAAMLAPEEIFEGKGDVKEEAELTQAERKRRRANKKRRYAGSHKERPAKMQKD >ORGLA11G0020100.1 pep chromosome:AGI1.1:11:1388053:1390736:-1 gene:ORGLA11G0020100 transcript:ORGLA11G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:I1QXF9] MITAVDLYHVLTAVVPLYVAMTLAYASVRWWRIFSPDQCSGINRFVALFAVPLLSFHFISTNNPFAMNLRFLAADTLQKLIVLALLALWCRLSARGSLDWLITLFSLSTLPNTLVMGIPLLKGMYAAAAAAVDSGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLVMEQFPDTAASIVSFRVDSDVVSLAGGGGGGGGGGGELQAEAEVGEDGRMRVTVRKSTSSRSEAACSHGTQSHSQSMQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVSSNGKQGDEEKGGQSPQPVVGKRKDLHMFVWSSSASPVPERAAAAAAGAVHVFGGGGADHGDAKGAQAYDEYSFGNKNEKDGPTLSKLGSNSTAQLRPKDDGEGRAAAMPPASVMTRLILIMVWRKLIRNPNTYSSLLGVIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSLASYAMAVRFLVGPAVMAAASIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPNILSTAVIFGMLIALPITLVYYILLGL >ORGLA11G0020000.1 pep chromosome:AGI1.1:11:1386231:1386472:1 gene:ORGLA11G0020000 transcript:ORGLA11G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINIHPPEATTYKPTHGSEEEDYPVVDYESDLQTNMLITVR >ORGLA11G0019900.1 pep chromosome:AGI1.1:11:1381988:1384238:-1 gene:ORGLA11G0019900 transcript:ORGLA11G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRGRLFFGDINDAIAALTTTAADTGGFTHLLSVVSSASISFITDCRPGLSIPNEEVRRVVAGEEGAPPVSAVAPGRLMRVVEHAGVGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDEGRKEGNVLVHCFAGVSRSATIIVAYLMRTEQKSLEEALESLKEVNESVCPNDGFLEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIGSYVFEDDPGLSGQPNSSTQDLPNKHTQQTAYRCKKCRRIIAVQGNVVSHTPGEGESCFQWQNKRKGERSYSKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDISTT >ORGLA11G0019800.1 pep chromosome:AGI1.1:11:1379551:1381042:-1 gene:ORGLA11G0019800 transcript:ORGLA11G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRARPMTGLLLFTGVNLVLLNTITPVYDFVCFHPYWERRVGFNHVVYKMTEQKEGTNPSSQQLARTMCKTKHEPELNLKCKYAIGKKIPLYTLYSW >ORGLA11G0019700.1 pep chromosome:AGI1.1:11:1377376:1379491:1 gene:ORGLA11G0019700 transcript:ORGLA11G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCTGGGKAVAGDEAEPGTSKAAPPSRGTSSKNGSAKQQPCSPAAKAAATEAAAAASSSKKPAGPIGEVLERPMEEVRTTYSIGKELGRGQFGVTHLCTHKATGEKLACKTIAKRKLANEEDVDDVRREVQIMHHLSGQPNIVDLRGAYEDKHNVHLVMELCAGGELFDRIIARGHYTERAAAALLRAIVGIVHTCHSMGVIHRDLKPENFLLLSKGDDAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYIFLAGVPPFWAESENAIFTAILRGQIDLASEPWPKISSGAKDLVRKMLNINPKERLTAFQVLNHPWIKEDGDAPDVPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLEKQGTKFSDNEIEQLMEAVSPHSEATNFSSVRDEMLKLTGLLEIPFNFQADADGNGIIDYEEFVTATVHMNKMDREEHLYTAFQYFDKDNSGYITKEELEQALKEQGLYDANEIKDVITDADSNNDGRIDYSEFVAMMRKGSGCAEATNPKKKRRDLVL >ORGLA11G0019600.1 pep chromosome:AGI1.1:11:1375523:1376837:1 gene:ORGLA11G0019600 transcript:ORGLA11G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEEKQSSVGRWRCRWLVDVGRWRPSPAEFQAAAAALLPPHDLPAIHRFVREDDRKRALVSRLLQYSLVHHALGMPFHQIRINRTLEGKPYLQNKNANLPGFNFSTSHQGEYVGIASEPLCLVGLDIVCISKPQRETALEFISNFTSYLTDHEWNCIVTADSHDGMLTEFYRYWCLKEAFVKATGTGVGFGLQRLEFHHMNWTNISLRIDGEEDRKWRFWLFKIDEMHLASIAKGHPEDAIDSFRRTLLSDVVIQEEELHMAIEIPEEAFTLLTVEQLIQLHD >ORGLA11G0019500.1 pep chromosome:AGI1.1:11:1365180:1373359:-1 gene:ORGLA11G0019500 transcript:ORGLA11G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAATPLLETAYQYHEGCPACAVERSKALNPGIPYMRFFHIWIIILVSCLPISSLFPFLYFMIRDLHVAKRVEDIGFYAGFVASILQPGFTGLCCXSLSTRASIHWIVIGXHIMGNRSHCWASYRWISCTAIRKISNSISSKLIIWKVPIFSTLFMYIDLLLRYSHKLHLAPEFVKQHTGPTKDKSLFKNWPLMSSIVLFCIVSFDDMAYTEIFSLWSESDKQFGGLNFSSEDVGQVLAITGASILIYQTFIYQHIVKVLGIINTSRVAVILSMALLCSYPPMTYLSRPWLSIVVNIASMLKNNFVVTINTCSFILQNNSVPQHQRATANGLATTLMSFFKAFAPAGAGIMFSWAQNRQHAFFFPGDQMVFFILIIIEFLELIWTFKPFLAVP >ORGLA11G0019400.1 pep chromosome:AGI1.1:11:1354660:1357795:1 gene:ORGLA11G0019400 transcript:ORGLA11G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1QXF2] LSLLFYTGMFMISKAAFNHGMNIYIFVFYRQAVGSLILLPTALLQRRSARPAMTLGVLIKLFFCALIGITLGVNLYHVSLKFTSATVASAVDNSLPAITFFLAVLLRFIIIDITKA >ORGLA11G0019300.1 pep chromosome:AGI1.1:11:1339998:1349785:-1 gene:ORGLA11G0019300 transcript:ORGLA11G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGRRRQAEAAAPLLEKKGSTGTGVGDGSCIEGCPGCVVDRRKAASSGIPYGSFLFVWIVTLCTALPISSLFPFLYFMIRDLHVAKRTEDIGFYAGFVGASFMFGRCLTSTIWGIAADRIGRKPVVVFGIFAVVIFNMLFGLSFTYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPAEKFPNVFSPDSLFARFPYFLPCLCISVFAAVVLIGCIWMPETLHKHKADANRSQTVEALESHLIDPKEKADQNGSLDCKKSLLSNWPLMSSIILYCVFSFHDMAYTEIFSLWAESDRKYGGLSLSSEDVGQVLAITGASLLVYQLFIYPRINKVIGHIKASRIAAILCIPILFAYPYMTYLSGPGLTIILNIASVIKNNLGVTIITGCFILQNNAVPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQQASFLPGDQMVFFLLNLFELLGLILTFKPFLAVPQQHDTN >ORGLA11G0019200.1 pep chromosome:AGI1.1:11:1336082:1338324:-1 gene:ORGLA11G0019200 transcript:ORGLA11G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P0 [Source:UniProtKB/TrEMBL;Acc:I1QXF0] MAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAAVAAADSGAAAPAASKEEEKKEEPEEESDGDLGMSLFD >ORGLA11G0019100.1 pep chromosome:AGI1.1:11:1331562:1335312:-1 gene:ORGLA11G0019100 transcript:ORGLA11G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFFVAVTTLASSLPITCLFPFLYFMVRDLQVAQTEEDIGNYAGFLGASYMVGRSFAAIFWGVVADRIGRKPVIMFSILSVVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKAYSIEVCQTEHQALGLSIVNTAWGLGLVVGPALGGYLAQPVEKYPHIFSKESVFGRFPYLLPCLGVSLFAAIVLISCIWLPETIHKHKSPEKDIKRIKELSLQQAYWDSPRKKSLLQNWPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQVLAAAGASLLAYQLIFYHWVHKFLGPIISLRIASALSILILSTYPFMTYLSGTGLSFALYSAAMMKSALAVSIFKVLP >ORGLA11G0019000.1 pep chromosome:AGI1.1:11:1318502:1322994:-1 gene:ORGLA11G0019000 transcript:ORGLA11G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAMEQRKEEHKGIPYKEFLFVAITTLASSLPISSLFPFLYFMIRDLHIARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLISCIWLPETLHKHKGLETGVEAAEASTTQGSAESHKKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASVLSIPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAVAPAGAGVLFSWAQKRQHAAFFPGDQMVFLLLNLTEVIGLMLTFKPFLAVPQQYK >ORGLA11G0018900.1 pep chromosome:AGI1.1:11:1310661:1315701:-1 gene:ORGLA11G0018900 transcript:ORGLA11G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLGASYMIGRCFASLFWGVVADRIGRKPIIKFSILSVVIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNVFSEKSIFGRFPYFLPCLCISLIALVVLISCIWLPETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEIFSLWAVSEKRYGGLSFSSKEVGQVLAVAGAGLLVYQLFIYRSVHKFLGSINSSRIASALSVPILATYPFMTHLSGFRLGIALYLGTILKGVLSITIITGTSLLQNNAVSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQERQNEAFFPGDQMIFFILNVIELIGLALTFKPFLAIPN >ORGLA11G0018800.1 pep chromosome:AGI1.1:11:1308926:1309951:-1 gene:ORGLA11G0018800 transcript:ORGLA11G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:metacaspase 9 [Source:Projected from Arabidopsis thaliana (AT5G04200) TAIR;Acc:AT5G04200] MERGQKKKLATLVGCNYAGTPNELQGCINDVAAMRDALVARFGFAPADVTVLTDDRGSPVLPTGANIKRALADMVARAAPGDVLFFHYSGHGTLVPPVKGRRDGHGECDEAIVPCDFNLITDVDFRRLVDRVPRGASFTMVSDSCHSGGLIDLEKEQIGPSVLAAGAAPAAAAASTTATRATAARFLPYAAVVEHLSGVSGVDAAHHVADHLLALFGADASAKFHRDAEQPARTDDDGILLSGCQTDETSADVPGDDEVAAGGKACGAFSNAIQTVLASHPAPVSNRQLVSMARAVLSDQGFEQHPCLYCSDANAETPFLWQEEDKKKTVTAAAEQAMSAL >ORGLA11G0018700.1 pep chromosome:AGI1.1:11:1307513:1308702:1 gene:ORGLA11G0018700 transcript:ORGLA11G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVHFYIYKDKFVYKEGRNMKTILSYFVFFLVILQISHRLVAGQNYEFQSGINHGFVNSRKNLYKHAIPRILTELGELASREDSTTADNNVDLTPKHQSLTASKMETIHVRAKAHINPDEELTTEDYPRPRPNHPSIALSNEEFTTEDYPRPRPNHPGVTLSNEQFTTEDYPRPRPNHP >ORGLA11G0018600.1 pep chromosome:AGI1.1:11:1302973:1306908:-1 gene:ORGLA11G0018600 transcript:ORGLA11G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIKVKKKKASSKKAEASSSRVPSAPAKVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERATWNYIGIFKICNINGKKREPIPASTIDGDSDMDSESSSDEEDEAVNEDTMPILHLKKVAHAGCVNRIRSMNQEPHICATWGDTGHVQVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFVGHTASVEDLQWSPTEADIFASCSADRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQGQKDLKELHWHPQIPSMIISTAADGFNMLMPSNIDTTIREADA >ORGLA11G0018500.1 pep chromosome:AGI1.1:11:1297096:1300584:1 gene:ORGLA11G0018500 transcript:ORGLA11G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QXE3] MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTNIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEPEALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKVCSPCLTTICMNLRFKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSRTLKDVVWKSDDLQNQLS >ORGLA11G0018400.1 pep chromosome:AGI1.1:11:1283319:1288279:-1 gene:ORGLA11G0018400 transcript:ORGLA11G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAACTKLPLLLLLVVVGGGVAVAASSASSLTPLHAAVPAKGPRPGGSVTLHLDHRQVMVDNGIVQVTLSNPGGHITGVRYNGERNLLHYDGEANSAGYWDVVWNFPGSNSPRGMIDMLVMLKGSSGFYCYAIFEHVRDWPALNISEARLAFKLNTDKLNYMAISDDIQRFMPSATDRDAPRGSPLAYKEAVLLVDPKEPQFRGEVDDKYEYSLDNKDNRVHGWISSNHPNPMGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFLGTHYVGSDIVLKIEEGEYWKKVMGPVFIYLNSNPRRGDLHSLWVDAKVQAEVEARKWPYSFPESPDFHKAGQRGSVTGRLFVKDRYVSSKDIAAGMAYVGLASPGQPGSWATESNNYQFWTRATPCGSFSIGNVRVGVYNLYAWVPGFLGDYMYTSQVTVTPGRSINLGDLVFEPPRSGPTLWEMGVPDRSAAEFFIPDPNPKYLNKIFITKDKYRQYGLWERYAELYPDGDLVFTIGENDISKDWFFAHVTRKQGDGYAPTTRQIRFRLEHVVADATYTLRVELAAAQMSRLQVVVNGWADEALTTAAAFGDGNAIARHGVHGVQWSLDFAMKGYMLQEGDNVVMMTQTRALSPFFGVMYDYIRLEGPPSPSWRDPTTQV >ORGLA11G0018300.1 pep chromosome:AGI1.1:11:1278810:1279121:1 gene:ORGLA11G0018300 transcript:ORGLA11G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIVSSLSCKHIEHNIKLIKGPQDSGKTKLILAILASIGHTLCCVVYAPSASDIVGILNETKNLNMSHDQYKQFSEKAIVFERTCDLGADFGHMSAEKAKLI >ORGLA11G0018200.1 pep chromosome:AGI1.1:11:1276530:1277201:-1 gene:ORGLA11G0018200 transcript:ORGLA11G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Complex 1 LYR protein (InterPro:IPR008011); Has 45 Blast hits to 45 proteins in 14 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 45; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G51960) TAIR;Acc:AT5G51960] MMAAAAVYRRVLKAVQKHVGGGDSKKHFREFVASEFRRPTGTDADARARLRLAGDYAYLLASVHHHKDLLFSYNIAVDRSEEMKKILNKSAASVGLQLPDVYQA >ORGLA11G0018100.1 pep chromosome:AGI1.1:11:1273176:1275923:1 gene:ORGLA11G0018100 transcript:ORGLA11G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QXD9] MIMASSQEFEANDPQQLHAKPKRLYQVWKGNNIFLCGGRLIIGPDAASLLLSMFLILGPAIVFSYQIESTIHRSQQRMRRAAQLIVIITTAADLFFLFMTSARDPGIVPRNTRAPPETDEFLGSTTPSMEWSSGRTPRMRFRRAKDVTVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVEKFDHHCPWVGQCIGLLKKTHQSSKLNYTRLNRNYRYFFLFVATSTFLCIIVFIFSWVNVYYERGDDGGSIWKALRKETYSFVLIIYTFIVVWFVGGLTVFHLYLISTNQTTYENFRYHYNKKDNPYRKSVAANFVEVFFTKIPPPQNNFRSWVGEGALEAGFYTPYIALDLTDPREKIDLEMGNKDIIVGGMQIPTVLQNIDYGSFEDNPDDKNRNEDDRLVPFASTWVQQANEGARTSEIATVEYKDEISEDGGKEIISSNTSSEQTSIEANAAASEDESNEDIAGKSNSSDRSSTQNLGDVN >ORGLA11G0018000.1 pep chromosome:AGI1.1:11:1265894:1270624:-1 gene:ORGLA11G0018000 transcript:ORGLA11G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIAVDADVPSCASTSAVPPYAGAPPLVFRQEGKIENLVRSVYSEVDEKDVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNNEVLKETLIRHGFTFESDTDTEVIPKLAKFVFDKAHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQNSGKPFHDVKALTTNGKPKELFFSSDLFAIVEHTKNYLAIEDDEIVHIKDGSVSILKFDHEKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRVKDSGVLLGGLKEKEYLKTIRRSRRLVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAFFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVVMVMVALAVGSDQISTQVRRQAIISGLSNLPSNVSEVLKLDTEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >ORGLA11G0017900.1 pep chromosome:AGI1.1:11:1263290:1264825:1 gene:ORGLA11G0017900 transcript:ORGLA11G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHRGAMMGGGGVSDGYEGSKRPRMIESNPYFAVTAGSPLDVSKRARMMEPAPPYFGAMGSSAAGGTSAFYQPYGANLPGAGANSAIQNFPGVRLRGLPFDCDDLDICKFFVGLDIVDCLLVHKNGRFTGEAFVVFPSAMQAEFALHRNRQNMGRRYVEVFRCKKQEYYSAIAAEVNQGGFFDSEYRHSPPPPRPKKPAEDKSSMEYTEVLKLRGLPYSATTEDIIKFFVEYELTEENVHIAYRPDGKATGEAFVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTPEEASRVKSRARQ >ORGLA11G0017800.1 pep chromosome:AGI1.1:11:1253092:1255578:-1 gene:ORGLA11G0017800 transcript:ORGLA11G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMM7] MMSSRLATFALLVIITLSSSSRPCPRRVDAAREWLARGASIAVEDHATDVLRSPDGTFAAGFYDASPTVFTFSVWFARAADRAVVWTAARARPVHSKGARVTLDARHGALVLTDYGGEVVWNSSTPAAGGSGGARVRLHDSGNLVVEDAGGKTLWQSFDFPTDTLLPTQRLTAATRLVSRDRLLSAGYYSLGFSDYAMLSLFYDNGNFSSIYWPNPYFSYWQNNRKIYNFSREAAMDALGQFLSSDGTTFEAADLGAAGVRRRLTLDTDGNLRAYSLDGATGAWSVSWMAFGNPCNIHGVCGANAVCLYSPAPVCVCAPGHERVDASDWSRGCRPTFRLECGRPAKLVALPHSDFWGYDLNDGEVMPLGDCANKCLDNCACVVFQYKEHMECYLKSVLFNGKTFPGLPGTVYIKVPADFDVPEFQVHQWQRGGDGGGGGLAIQEDIAGCAATAADSNRKVLLNVSSSLSSHDAGKPVWPYLYGFLSALLVVEAIVIGFGCWLFSSKGLFRHSRVYAIDQEGYKLITSHFQRFTYVDIKKATANFTGVIGRGGSGVVYKGVLDDERVVAVKVLKNVSRQSEEEFQAELSVIGRIYHMNLVRMWGCCSQAKHRILVSEYIENGSLAQRLFDHGFDDDVLDWNQRFKIALGVAKGLAYLHSECSEWIVHCDMKPENILLDKDLEPKITDFGLSKLLNRDGSDAILTRIRGTRGYMAPEWVTNLPVTEKVDVYSYGVILLELVKGIRISEWVIHGIKVCEMDIRMVVRATRQMMGSNEERSIEDLVDYRLNGDFNHVQVKLMLEIAVSCLEEDRSKRPNMNSVVQALISVEG >ORGLA11G0017700.1 pep chromosome:AGI1.1:11:1250397:1250889:1 gene:ORGLA11G0017700 transcript:ORGLA11G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKVIGVFCVLAFLAISSSPSHLQAEGCENEKNIVMNKDGCYHNIERHLGDQFPKRHSHCCQTVESADVSCICRTFTAADKAKIALSKWVNVAKECGNPLHAGTNCAGYRVPLLP >ORGLA11G0017600.1 pep chromosome:AGI1.1:11:1245517:1248054:1 gene:ORGLA11G0017600 transcript:ORGLA11G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QXD4] MSTSCSGALRAATTKALLLLVVAVFVVSLSRPFPCAAAARDSLLRGASIAVEDHATDVLLSPDGTFGCGFYVVSPTVFTFSVWFARAADRAVVWSANRGRPVHSKRSRLKLNGRRRALVLTDYDGEVVWNSTVSANATATATAARARLHDSGNLAVEDASGNVLWQSFDHPTDTLLPTQRIAAGEAMVSSDKLLAAGFYSFRFSDYAMLSLVYDNHEMSSIYWPNPYYSYWQNSRKIYNFTREAFFDASGHFSSSDNATFDASDLAKNVAVRRRLTLDTDGNLRLYSLDEVTGTWSVSWMAFSNPCIIHGVCGANAVCLYSPAPVCVCAPGYARAEPSDWSRGCRPTFNSSDDGGRPRAMKMVPLPHTDFWGFDINSSENLSLDECSTRCMSEPSCVVFQYKQGKGECYPKSLMFNGRTFPGLPGTAYLKVPADLDMLEIHIHQWQADSDGHGIAAIQEDIVGCGGMSSPEFLLNVSNASSSKSNQGKSIWFYFYGFLTAFFVIEVFVIAFGCWLFSNKGVFKPCQVSALDEGYRMVTNHFRTYSYAELQKGTRKFQSEIGRGGSGVVYKGVLDDERTVAVKVLQDVKQSEDVFQAELSVIGRIYHMNLVRMWGFCLEGIHRILVYEYIENGSLAKVLFQGRNSGMFLGWKQRFNIALGVAKGLAYLHNECLEWIIHCDMKPENILLDEDMEPKITDFGLSKLLNRDGSGPDMSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGMRISDWVLDGKEGLEADVRSVVKMVVSKLESNMESWVADLMDDRLHGEFNHLQARLLMQLAVSCLEEDKNKRPTMKYIVQMLISAEDEAHAFT >ORGLA11G0017500.1 pep chromosome:AGI1.1:11:1242236:1242736:-1 gene:ORGLA11G0017500 transcript:ORGLA11G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVCSAPRAEPPCPCHVRVGPPLPLRVRWRRAEARHGPLRAADQEQLLTALREQPDPDTVLRMLNAVLAWNDFAPGPEVYEEIIRKLGAAGALDLMKVLVAEMRREGHQVKLGVVHSFLDCYARQQLFDDAVDLVLNQLDPLFGIQADTVVCTTTFSMFLWRGAR >ORGLA11G0017400.1 pep chromosome:AGI1.1:11:1233523:1236048:-1 gene:ORGLA11G0017400 transcript:ORGLA11G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMM5] MSKNCSRAAATVPLLAAVVVFLSLSRPFPCEARRDSLPRGASIDVEDHATDLLLSPDGTFAAGLYGVSPTVFTFSVWFARAADRAVVWSANRGRPVHGARSRLALDGRRGALVLTDYDGEVVWNSTVANTTAARARLHDSGNLAIEDASRNILWQSFDHPTDTLLPTQRIVAAGEVMVSAGKLLAAGFYSFRFSDYAMLSLVYDNHKMPSSIYWPNPYYSYWQNNRNIYYNFTREAFFDASGHFLSSDNATFDAADLGEDAGVRFRRLTLDTDGNLRLYSLDETAGTWSVSWMAFVNPCVIHGVCGANAVCLYSPAPVCVCVPGYARADPSDWTRGCQPTFNYTNGGGGGGRPPAMKLVALPHTDFWGFDINSSAHLSLHECTARCMSEPSCVVFEYKQGTGECYTKGLMFNGRTHPAHLGTAYLKVPADLDMPELHVHQWQTHGDGHSLAIEEDIAGCSGSSSSEFLLNVSDMSSSSSNNQGKSIWFYFYGFLSAIFVIEVFLIAMGCWIFSNKGVFRPSQVSVLEEGYRIVTSHFRAYRYSELERGTKKFNNKIGHGGSGIVYKGSLDDERVVAVKVLQDVRQSEDVFQAELSVIGRIYHMNLVRMWGFCSEGTHRILVYEYIENGSLAKVLFDRRDSSKFLGWKQRFNIALGVAKGLAYLHNECLEWIIHCDMKPENILLDEDMEPKITDFGLSKLLNRDGSSSEMSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGRRITEWVVDGKDGVETDVRSVVKMVVDKLDSKDESWIMDLIDDQFGGEFNHLQAQLVIKLAISCLEEDRNKRPSMKYIVQMLISAEDEAHAFT >ORGLA11G0017300.1 pep chromosome:AGI1.1:11:1225751:1231197:1 gene:ORGLA11G0017300 transcript:ORGLA11G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAFGQRNLRVFPLRRHIWDAVFQHGPYNCTIQRRWKKPVDSARTRQEGRTRDHKLDKLMIQLKNLRLALDLHELISQQRNGFASLQLLSRWRHEVGLNIEIGAFLKKYPHIFYIYVHPVKRNECCKVTPKMAELIAEEDAFIRENEPAIVKRLKKLLMLMKDGTLNMHALWLIRRELGLPDDYRCSILTNHQSDFSLGSPDTLTLVTRDETGFKIENGFRGKLGNWQRLPYTKAYDKNDLHPIHNVGRLEKRIVGILHELLSLTVEKMIPLERLSHFRRPFGMEVNLRELILKHLGIFYISTKGSTQHVLVRESYSKGCLVDPNPVYNGKVFQREGALHHRLRAVLSLNYEQAAVEYSKEVRKRWDIIWKRQQMPTESELYVNNGILMSNLHDCFRFVEVDYEFGLPKTCITLTKLDCSFQANIIYLRCILGMFVLAIYSSSDHLSKTATMDAPFLSTSLAVLATLFLLALPLSAATHDILPLKSSLFVEEYETNILQSSDGTFSCGFYNITNAYNITSAFTFSIWYSNSADKAIVWSANRGRPVHSRRSEITLRKDGNIVLTDYDGTVVWQTDGKFPNVRYVQLLNTGNLVLKNSSGNIVWQSFDSPTDTLLPTQRILATTKLVSTTGLQVPSHYTFRFSDQSILSLIYDDTNVSGVYWPDPDYLYYENNRNLYNSTRIGSLDDYGDFFSSDLAKHQARVASDRSLGIKRRLTLDYDGNLRLYSLNNSDGTWTVSWIAQPQTCMTHGLCGPYGICHYSPTARCSCPPGYKMRNPGNWTQGCMPIVEIACDGKQNVTFLQLRNTDFWGSDQQRIEKVPWEVCWNTCISDCTCKGFQYQEGNGTCYPKSFLFNGRTFPTPFVRTMYIKLPSSLDVSEKPIPQSSIHDYTLSGLDCDHLNTITTEAVRNMNKIGGEEPKWFYFYGFIGVFFIVEVFFFAFAWFFVLRKEMRSSQVWIAEEGYRVMTSHFRMYSHRELVKATERFKHELGWGGSGVVYKGILDDDRAVVIKKLENVTQNREEFQDELHVISRINHMNLVRIYGFCSERFHRLLVLEYVENGSLANVLFNSKILLDWKQRFNIALGVAKGLAYLHHECLEWVIHCNLKPENILLDENLEPKITDFGLAKLLSRSGSNQNVSRARGTIGYIAPEWISGLPITAKVDVYSYGVVLLELVSGRRVFDLIVGEDKTKVHEMLKKFIKMICYRLDNEKSLWLAEFVDSRVGDEFNYLQAKILVKLAVSCLEEDRKKRPTMESIVESLLSVDLARS >ORGLA11G0017200.1 pep chromosome:AGI1.1:11:1220349:1224433:1 gene:ORGLA11G0017200 transcript:ORGLA11G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XGLFLHSLHQAYCWLQRQLLFMVYISIPMTLSRPXRASAVILLTAWTYTSNQASRIHCSKIIKSCLNQAWIVPRXLSRWWCWEETTRSNSGSRHGIEAVYPQFFCDDLPRLYIYSINDGGVKLKCFNLECSFVQTSKKHAIGAKYDKFSTVGGTTYFTHVVIYRDDGPAVWWVSLMDEPIVYFHESAFAAPFIESFHNEMGGHVLDRRPGGRHTLTPMGSGMYPSDGLQNAACIHAYLAIAYTGADQVDDPVNSIVTHPKCYDVKDDGPDLYRPGINVAFGGPGGYDCDHN >ORGLA11G0017100.1 pep chromosome:AGI1.1:11:1219209:1219502:-1 gene:ORGLA11G0017100 transcript:ORGLA11G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPPFYATARSLLGCNLRHLEANPSSPTVTRGMPPNHAASWNREGQGGLAQSGGRVTQVRRGDGKRGARARARCCQGLKARGVEAPCHDVDGATRQKK >ORGLA11G0017000.1 pep chromosome:AGI1.1:11:1214481:1218255:-1 gene:ORGLA11G0017000 transcript:ORGLA11G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEINRDLVAADAVSDDRAKDAYGDVLGMVFSPIPFQPDAIAATHEPPAVTEAAEPAEVVPRTSLASTIAESFKQMLFPSCDPNLLQEIDTQKVSWNPHKHCLAFVSGKNQVTVHDFEEPDNKESYILTSDHQKDVKAVEWRPNSGKMIAVGCKGGICLWSASYPGNVASVKSGVTSSSFGAFPRGSGGQWILVDILRGSSAELVSALCWKPDGRYLVSASCNSPSFTIWDVSQGLGTPIRRGLSSISLVRWSPSGDYLLTAKLDGTFHLWETNTWTSEPWSSSNGYVSGANWDPEGRIALLSFSNSTTLGSIHFSSKPPSLDAHLLPVELPEISSLIVSRGIDKLAWDSSGERLALSFKDGNEMYHGLVAVYDVRRSPLVSVSLVGFIRGPGEGAKPLAFAFHSKFKQGPLLSVCWSSGWCCTYPLILRPH >ORGLA11G0016900.1 pep chromosome:AGI1.1:11:1206822:1213570:1 gene:ORGLA11G0016900 transcript:ORGLA11G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKETYFSAFCYLLLFSVSCKCQAAELDMAQTAVLEVDASWNLSRKIPDTLFGLFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDESSIYVTTDCSSCFSQNIVALRMEILCDNCPAGGVGIYNPGFWGMNIEEGKAYNLVMYIRSLESVELTASLTCSDGLQNLASVSIHGIDLSNWTKIELQLLAEGTCRTSRLELTSMKKGIIWFDQVSLMPSDTYKGHGFRKELLYMLLELRPQFLRFPGGCFVEGDWLRNAFRWRETIGPWEQRPGHFGDVWNYWTDDGLGYYEFLQLSEDLGAAPIWVFNVGISHHDEVDTTIIEPFVKDVLDSLEFARGSAESTWGSVRAAMGHPERFPLKYVAIGNEDCDKGFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSRIGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGLEKNSDLVQMASYAPLFVNDNDRTWNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVIHPITIGSSYSDSLAASAITWKDTHDIFLRIKIINFGPNAVNLTISSRGLQAGVNTAKSTVTVLTSDNLLDENSFAEPNKVVPVKSELPDASEEMEAVLAPYSFTSFDLALDQYSKLVAEM >ORGLA11G0016800.1 pep chromosome:AGI1.1:11:1203106:1203681:-1 gene:ORGLA11G0016800 transcript:ORGLA11G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMM1] MVSSHGIANAFFPFAVALVAASQAQHAANADSFMLGACKIFAGSSSGVISVTFCMDALGSDSRSLSASHYSDLAIIVIDLLTSNTTSTKAKIDNILKDDGNGLKPGDATTVCFQSCQAAYASVLQGQLGIFYNVQAGRFPEAMSALEKLANMVEEYEKGFGKSNVKSLLAIENHDSFELAKLGALLLNEEH >ORGLA11G0016700.1 pep chromosome:AGI1.1:11:1196107:1199281:-1 gene:ORGLA11G0016700 transcript:ORGLA11G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKGKKKAATLNPPAYRVSPPPIRRSPRPPPHRHRSPPRRPPARRHRVLRGCHHLLNPASHHPIARWPPSSTVCLLSPLHHRGTSPSRKKRHCTMASRRNVWGYAPLPTEDRDDSNLTDDVDLRFTYTPKSLRKIPWKSIALALFLLLLGCSLLFLSYFIFTGHMEGDNSQAYGLLFLGILAFLPGFYETQVAYYSSRGAPGYTFASIPDY >ORGLA11G0016600.1 pep chromosome:AGI1.1:11:1192813:1193448:1 gene:ORGLA11G0016600 transcript:ORGLA11G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNANNGVSVLFLFAVLLIAAPQLAAGINSFLYGACKTIAGGSELLSVTFCIDALSSDNRSSNISSYKEFAVIAVDLLTANATSTKSEIDVKLRNGGGGGGDAATTRCLQSCQAVYGDILQAQAAVGAAVKAGRFQEAISSLEKSASEVKECQDGFGKSNVTSPLSVENDDAFQLAELIVLLIRDEQINNVFCLNCLFLIHVFPGFSII >ORGLA11G0016500.1 pep chromosome:AGI1.1:11:1185304:1190386:1 gene:ORGLA11G0016500 transcript:ORGLA11G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEGKAYNLVMHIRSLESVELTASLTCSNGSQNLASNSVRETNLSTWTKVELQLLAQGTCRTSRLELTTRKRGVIWLDQVSLMPSETYKGHGFRKELMYMLLDLKPRFLRFPGGCFVEGNWLKNAFRWKETIGPWEERAGHYGDVWHYWTDDGLGYYELLQLAEDLGATPVWVLNIGMSHHDAVNGTMLAPFVKDAIDSLEFAKGSDKSTWGSVRATMGHPEPFPLKYVALGNEDCAPFKLIYRENYPMFYNAIKEAYPDIQIISNCDGSSGPLDHPADLYDYHIYENASTVFLKKNEFERTSRNGPKIFVSEYAVNGEDAGNGSLLASLAEAAFLIGLEKNSDVVQMACYAPLFVNDNDRVWNPDAIVFNSWQQYGTPSYWMQTYFRESSGAMIHPIMISSSYSDLLAASAITWQDAENTFLRVKIVNFGPNAVNLTISSSGLQAGVDTAKSTVTVLTSSNLLDENSFSEPNKVVPVTSELPNAAEQMQVLHLPYSICSFDLALV >ORGLA11G0016400.1 pep chromosome:AGI1.1:11:1175655:1181737:1 gene:ORGLA11G0016400 transcript:ORGLA11G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRESSFMSTIFFFLLLFSLGCKCIASELHLHATQTAVLKVDASPQLARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYSPGFWGMNIEDGKTYNLVMYVKSPETVELTVSLTSSDGSQNLASTTIPVSGVSNWTKLEQKLVAQGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSSPLDHPADLYDFHVYTDSKTLFSMKNTFDRSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFGESSGAIFHPVTITSSYSGSLAASAITWQDSENSFLRVKIINFGSDPVSLTISATGLQARVNALGSTATVLTSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLVPHSFSSFDLALAQSKLVAEM >ORGLA11G0016300.1 pep chromosome:AGI1.1:11:1166715:1168613:-1 gene:ORGLA11G0016300 transcript:ORGLA11G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEDEAESDKLPLDLEPLRSLAPKFPTILGYDVETQSTDPLLVYATPSIPCSSSEQPQEAPASFSLPLPKSPVPIKATPISAAFPTPQHEDESSDQDYKPFCKNKKPAMPKRAKRPQQAEKSNDANVKRRSIRRNLDNEFNLCSSSSDNPKESVEGILMMFDSLRRRVLQLDEKEDASRRADLKAGTLMMQNNLRINNHKMIGHVPGVEVGDIFFFRIEMCIVGLHAPAMGGIDYISSKNKDETLAVCIISSGGYENDDDDTDILVYTGQGGNSRHKEKHDQKLERGNLALMNSKSKKNQIRVVRSAQDPFCNSGKIYIYDGLYRIEDTWTDTAKNGFNVFKYKLRRDPGQPDGISLWKMTEKWKANPATREKAILLDLSSKVEHLPVCLVNDVDDEKGPSHFNYVAGVKYLRPLRKTKPLQCCKCPSVCLPGDPNCSCAQQNGGDLPYSATGLLAKHTPMVYECSSNCQCSHNCRNRITQKGIKLNFEVFWTGDRGWGLRSWDPIRAGTFICEYAGEVIDETKMDIDVEEDKYTFRASCPGNKALSWNLGAELLEEKSTAVITKNFKKLPIIIRANNEGNVARFLNHSCSPNLLWQAVQYDHGDDSYPHIMFFAMEHIPPMTELTYDYGT >ORGLA11G0016200.1 pep chromosome:AGI1.1:11:1162873:1164738:1 gene:ORGLA11G0016200 transcript:ORGLA11G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVSLPVAAQVHMGPATAAAAAAEAALGRRAADPLPALRRRDALPLPLPARLFAQLHGLLLTAGLARHSPNFSLLRLAFPLLPVPHLLRLLLSSPLPPTTFLANSLLLASSSPGCLPSALSLYVLLFLSSSSPPLLRPNAFTYPPLFRAAPPALALALATHSIKFLGAHAASCDRLLGAALLDVFARCGRIASCRKVFDRIANPDLPAWNALLSAYARLRARDVACASSAADAILEMFVRMLSLAIKPNEITLVAVIGACGELGAVSHGVWAHTYAVKRRLAVNCIVATALVEMYAGCGRLDLAEQVFAAASDRDTRCYNAMLHGLVVHGHGRAALSLFDRMHGEGVPVDGVTVLSVMCACAHAGLVDEGLDYFDRMEIEFGIEPSIEHCGCMVDMLSRAGRLNDAEKLIHGMPIVPNAAIYRSLIRACGIHGKLELGKKMIAELMRLEPDDSGNHVLISNFYATTNRWDDAKKARKEMKSMGIDKSPGSSFVDINGVLHEFLVGDKTHPASKEIYAMVEDIETRLSECGHRSSTSSALFDVEEDKADALSYHSERLAIAFALIASNPGAPIRIIKNLRVCADCHESAKLVSRVYGRDCHEGPYPVPSLQRRGVFLWRFLV >ORGLA11G0016100.1 pep chromosome:AGI1.1:11:1160794:1161987:1 gene:ORGLA11G0016100 transcript:ORGLA11G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVRLPEQQRRRPLTIANLPEEILSEILLLLPPKSILQCRAVCKAWRDVTSDRAFLLAHHCRQPPQRLLTFIRDVGSRHDDLDILDYCVEAVDFRTHQFQSLARFTGQDYDCSLEDSPFTVHASCDGLLLMSYNNYLHLCNPTTRQWLWVFPPALQHDTVLGLYSHGHSSEYRVLYYREIGLGPEFYISIVGSGKERSIWPHSSSASLRKWLAKGKEETQFNEPFLFHGNLHWLPHLGGQNKIVVFDTLDEVFRWLHVPFKMHNVSSLLEIEGSLAMSNSHIGSSKVDLWLLQDYKHMVWVHKYRIELPVIEIRRFEEDDGWYLHIVSQEGDVLVDGFDXQFHYDIKGNLLEKFQCSGRMLNITPHILQESLVPHEVFQILDNESRHEPHFFSGL >ORGLA11G0016000.1 pep chromosome:AGI1.1:11:1157522:1159698:-1 gene:ORGLA11G0016000 transcript:ORGLA11G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTLPLLLVHRSTPPTPRPTAPPLLHSRRLTLPSRLASLPATIAVVHPRKGVRLSKLHAASCCDSASAAGVTTGGGAGGGGAKGAMDWRLLLAWYLLALDKHPITTKAVTSAVLTLTGDLICQLAIDKVPKLDLKRTFVFTFLGLVLVGPTLHVWYLYLSKLVTINGASGAIARLLLDQFIFSPIFIGVFMSLLVTLEGKPSLVVPKLKQEWLSSVIANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVTVK >ORGLA11G0015900.1 pep chromosome:AGI1.1:11:1153300:1153854:1 gene:ORGLA11G0015900 transcript:ORGLA11G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAAMHMLDSSTSSSPWLPPDLMPPPPPPPSAATLHRHFRGAAAAARTSRRIAKRRPRPSRRLPTTYISADPANFRRMVHQVTGADDLPPPPPPSLSPTTTELLRHAAPAGSPGPAGALMLPTLDTSAFLLGRRAEPTAAAAPCDVSVALVGGAGNSYSNNSSCSSSGNCGGGFPTLDSWDLL >ORGLA11G0015800.1 pep chromosome:AGI1.1:11:1143393:1147820:-1 gene:ORGLA11G0015800 transcript:ORGLA11G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGICRGCEAATGSQPLHMCRMRASWTKYGSLLPKLVEVLKEEYDLHKGVRKKIKHLSQELESMNAVLLKVGEVPPDQLDELVKLWAGDVRELSYDMEDVVDAFLVHIDGPEPLDTHMLRRFRKKMANFFNKCKHHHKIAGAIQDVNKKVEEVASRRDRYMVDNIIAKVTGPVTIDPRLQALYKKTTELVGIEKQSEKLVKILSLGDDVHASDEKMKIVSIVGFGGLGKTTLSKAVYDKHKLAFDCGAFVPVGRDPDMKKVLRDILIDFDYMNPNVMILDERQLINELRKLIQNKSILFMLSMRMVMNNCLRKSFGYDILGYKAKCIQRFLFVIDDIWDKKSWELIRCALQHSNCGGRVVVTTRIFEVATHIGDIYKMQPLSRDDSEILLYSRINDGEDRFLDSLSTEACDKILKKCGGVPLAIITIASLLASKSGEDWSNVYNSIGFGERGNDIVENTRRILSFSYYDLPSHLKACMLYLSLFREEYGIEKNLLIWKWIAECFIQNEHATGIGLFELGEGYFNELINRSMIQPMELEDNGYVYGCRVHDMVLDLVCSLSSEEKFATILDSDDQQKQLMVGSNARRLAVHGRSVEEHNHPQLVNVGLEKVRSFSATQCGDINVVTSYFRVLRVLTLEDCSVTGEACGKHRLEHVGNLRHLRYLGIWNTRIDEFPKEVGDLKFLQTLNLSGTGIQQLPEAVGLLKQLLCLRINDSIAVPAGLIGNLTSLQELKIWPVDDVSTRQFVKELGKLRELRILRCTIHISDEGMERDLLESLANLHKIRTLCILGSALPSGITREACFVTPQRLGQLCLECFKFSGLPVWINSSLLLNLTHLDVSVHVVQEQDMETLGRLPELCYLKLNSDYTRLVSIRNGDLQRYLFRKLRFFVSPFLFARFDDSHGRENDGGICIAVAPSIMMPSLESLVFCVYVRFLKDMVEMQPGFDNLHMQLGFEKVASSSLQRVTATIQCEDATAAEVEEAKTALAHAADLHPNRPTLTTQMVNKHKMLSSDRELRV >ORGLA11G0015700.1 pep chromosome:AGI1.1:11:1137696:1140140:-1 gene:ORGLA11G0015700 transcript:ORGLA11G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAVRPLLFKPTFYLRVKFRKIYMAFGANDIVLHIKRLMLCPSLFNFLLSSSPVFLLTALLLGALLSYGEPAVLVLGENQQTLSFKSKISITDCSIDKVETVAVEEHLDKTTTSNEVYVRERTFEGNIHDTHWEEKNGTYMTVDTALNDEIHTKDGTSDYDLQDTHREGKGITSVETDTVPCVAPSSFAYSGVTVETEDVGENSKKNDELQELGSINPESDNNKVQYQYQLGEFMSSCWEPVMRQEPQDACSDSESDLTESSPDASMTDIIPMLEELHPLIDLQTGHPSLVSRDNLNTSSDDDEDDLEEEDASTDENQLEGKIDDFANWKDVIDLNYLDMDNNSKLEGMMDLQRAKNILKFELDRRLMDLQAADAVQKMEEASRFRVQHRLVSFHGKIYLIFAVNQNMAHDSPLQETWTPLSYFSARRHRKHGNLYVRHSTSLHHNSFKLEKDEISENDAHNSQSDCDAKQEGNNSKLFGSLEAHIGEEIKILGAAISDVGVLEVNSAMDSGNQNADFSDDISLSPIQKSRQSTFEAKEAVHAGIEQLTSCSPYKVNNFEGHIVEADSIDEFNSLFKCRMEEVLVQSISESSISQPLTVKLEDELSEPLSSDSGTGTHFIDGSSVEDSDPQFAQLKDEALVSATSNATCRNESIEEKSSEALLAGNEDYSELPNELLKSGDPQFADSSEIQMQVIEATGH >ORGLA11G0015600.1 pep chromosome:AGI1.1:11:1135431:1136611:1 gene:ORGLA11G0015600 transcript:ORGLA11G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEAEAEAEAEKNGRRAAGSQDGVNRAFILECSKHSDGSIYSGDDFWHMFYKVADTRETRMEAMMLSNPTNCRPHMWACKAHSVQFMMQIFSLKLSNITAAVDGPVHLYGYFAVWEPR >ORGLA11G0015500.1 pep chromosome:AGI1.1:11:1133338:1134729:1 gene:ORGLA11G0015500 transcript:ORGLA11G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTAATIFWHRFYKVADTRETLKEAMMLSNPTNCRPHMWACNIHEEQFMMQIFSLKLSNITATVDGPVHLYGYFAVRDHLDPLRNYIFNRTRDDPFIMGQDNGVDSDNSLIPMPGPKRGIGNQARVLIEFDMKIKNGETRDDDFPLIDGAIICSEFVLPNRVFTQRIEGDCGAVDISLALLHSAVEATVQVSISQVHGNGFSLSLYSYTSRIPEKIQLFDGFISKPCDLNRFVVAVVVNTPLILIFKIDKRDGSDHVPGCCAFKARTHGYEYDMQELKLGCTNILVKLSWSTL >ORGLA11G0015400.1 pep chromosome:AGI1.1:11:1129764:1131185:-1 gene:ORGLA11G0015400 transcript:ORGLA11G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSMNANIKASTIRLFDEKTLQNILTGIPSVLPHVETLYVEVHVKTQMSGFTQSPLKFTQLKCLTLEITFERGSFDRNSVFQLTCLFVAAPFLEDLYLDMYCSLNRCPLDLDDIVDQPHYHLKMVCIFGFCGNTGQVELAKCILRNALILEQMIIDPKGRYRLDGYFGRQEADEKLVPEDIDGVLTIL >ORGLA11G0015300.1 pep chromosome:AGI1.1:11:1119348:1122248:1 gene:ORGLA11G0015300 transcript:ORGLA11G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWRHHTLLQALLSRGPLSERDFHALFSAISGGKNPATHRQLFNDTLLKINKELTYLQFELRAGINQYDGTVYYGVVNNIADEESKLGSKFSVPQIAFYKGLLEAIVHEAGNDGSITNIDALNTRIENQVVIADASQGSQSRLPTSITNFSLSQKEKTLNELIQDRWLSYTPTGKIGLGIRSFLDLRSWLRSNDIPSCEVCNEACIKASCCPNEECNVRIHGYCLKKKFSQRKASRACGCGTEWPRLEGEDDGAEDEDVNEPEEDQVPSANQHSRTRRRGVKSELVEENERAGPSARMTRRALRSSKAEAVEAAQEVPSAAGPSQSTRASKRRKN >ORGLA11G0015200.1 pep chromosome:AGI1.1:11:1117523:1118098:-1 gene:ORGLA11G0015200 transcript:ORGLA11G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSHSAASLHAARLLPQQRTPTAPRTLLPVGGGLLLRRPHPPLHQQRRSRXXXXXXXPPLVLTSAAAAASSPRGVTTTSTRTMPMKKEMRRRSRKSXVGASTRRWRCSTAASSTRATTWWRSSGTPPRSPPGRCSTPSSSAPSPSTTSSTKTTVAP >ORGLA11G0015100.1 pep chromosome:AGI1.1:11:1108832:1115559:-1 gene:ORGLA11G0015100 transcript:ORGLA11G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKADAKAEAKAETIGGGGSGSFSEQAFVEKLNKLNNTATRIQTLSNWCIFHRKRARKVVDTWEKQYNSANKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLIDIWDERKVFGTRIESLKDDILGGSTHTVGNNGNSSNPSSNPSSVSKAVRKDSGTVTKKLTIGGMPEKIVTAYQFVLDQHFDEDTALNKCNNAVSVLERMDKDVDDACTQGXVELGKTKANMRTHKMHIVCSDTKRSLXTGXLLNLLEIGPAHWEVARAKAEHAMQLKQRLGSALNNGAGSSSSPLMVTLPPGQTAAMMQTSAAMPIFPHYQPLHPATSLPATSSAVGDEPKKTAAAMADKLASLSAPEKVLSSIFSSLAAEQARNSGSTSGDLSAGPPGFESNKKPRLDNPIHVSDMGAPPFFGQVPQVQPQIGATAALGGTQPPTQANQATSSFPPPPPPLPLMPQFVQNTGGMFGMGPFGMVSGSAPPPPLLPNIMSAGFPRLSAPPPLPLPTQSQNQSQPQQQQSPQAPQQSPTSTGFFQPPGAGFFPPVQVQQSPSAQRQ >ORGLA11G0015000.1 pep chromosome:AGI1.1:11:1099931:1102686:-1 gene:ORGLA11G0015000 transcript:ORGLA11G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFKSTTRRTLHPAADDRPPARPRKAPPPCPRRSRSASVEPRARGIGEYAAGNTRTNPLFDDSASPPPPQPQVDMEAAGCRGGEARRERGREVARNGSCAGGSGRARSVSLAPRGRGADSSPSWGNGNGGGGRRAXXXXXXXXXXXXXXXXXVIWQSNHSNVPVQQVIEIPPEFDPDSSEFVSDISDYTTEFKKEEILHIPFEFDLDRADLAPDIEHHSIELQREQMEIPLDFDPDSAELSPDITEYTTKLKQSHERARKLRADLAVEEQREQELSRVLKGIVTTPNFTEAHKRRPRRKSSVERLKVSKHLAEEAINYFEECVSISTLDSTDFSSLEDPQINSVLNIPQKSRNTSFNKGGSSIAEIHYPTDRHWHNEESDNQTQCSVSLTGSDVSGGRTFSHTMMFPVSRTTNNSSDDLDGFDTPKSRSSCFSFTHEPTKTVEGDDVQQYLRSFGRGISKDLREIRSSYCDDDYVFQKMNADLLMDIVTFKNRVNFGGLLICNIRRY >ORGLA11G0014900.1 pep chromosome:AGI1.1:11:1092058:1097296:-1 gene:ORGLA11G0014900 transcript:ORGLA11G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSSPDPASSSPSASSSPSSPSSSSSEDSSSPMSMPCKRRARPRTDKSTGKAKRPKKESKEVVDPSSNGGGGKRSSIYRGVTRHRWTGRFEAHLWDKNCSTSLQNKKKGRQVYLGAYDSEEAAARAYDLAALKYWGPETVLNFPLEEYEKERSEMEGVSREEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGNKYLYLGTFDTQEEAAKAYDLAAIEYRGANAVTNFDISCYLDQPQLLAQLQQEPQLLAQLQQEPQVVPALHEEPQDDDRSENAVQELSSSEANTSSDNNEPLAADDSAECMNEPLPIVDGIEESLWSPCLDYELDTMPGAYFSNSMNFSEWFNDEAFEGGMEYLFEGCSSITEGGNSMDNSGVTEYNLFEECNMLEKDISDFLDKDISDFLDKDISISDRERISPQANNISCPQKMISVCN >ORGLA11G0014800.1 pep chromosome:AGI1.1:11:1088901:1091258:1 gene:ORGLA11G0014800 transcript:ORGLA11G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRKPYTADIDRSEKQMETIIPDSVREPLLGNRTHESKSERHEPNMQPNLWDGKGQERLGWMHVISTFIAQSVRKIGNALSQFGPLLAKFFSRSCASHGSHDEQAVLLDLSPLQEERLRFLRQRLNVPFDSSSVKHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFRAGGFMSLENLIYFARNYPDSFHSLLHKADGKRSEWEYPFAVAGVNISYMLVQMLDLQSGKMGTKVSSQFVQLLREDEMAFDNLFCMAFQMLDAQWLTRQASYMEFNEVLKSMRIQLEQELTIGSISCVQEMPSFRLLKR >ORGLA11G0014700.1 pep chromosome:AGI1.1:11:1087000:1087901:-1 gene:ORGLA11G0014700 transcript:ORGLA11G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPLLLLLLLRIVASAPASPPATALFVLGDSTASCAATTLPLNLSLTSSSGNCLFPSAHRLLPDLLAAKMGLPPPPLITTLNGTATEVARGVNFAGEDGGRGAIFRLGAVGQQLRMATETLQLLRLEAPTPQDADAAAGGAVFVLSFGTDAYARVLSRGAGADASAPKHGRRGLARLLADRVARAVEELYEAGARRTAVMGVAPLGCAPRVMWEGLHVVDGRSCVEEANELVQGYNARVAARLAALRPRLAGADVVFCDIYKGIMDIITH >ORGLA11G0014600.1 pep chromosome:AGI1.1:11:1059593:1059757:-1 gene:ORGLA11G0014600 transcript:ORGLA11G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMVISFWIPVFGQLAFVFFGGCPLTWTGFSSSAFTDLGAIMKLSLSSGVMLW >ORGLA11G0014500.1 pep chromosome:AGI1.1:11:1043095:1043256:-1 gene:ORGLA11G0014500 transcript:ORGLA11G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVKDAKPLLKKMEKNRIGLKRSAFYMAYALYYEKHKRFEDAENMYRLGTQK >ORGLA11G0014400.1 pep chromosome:AGI1.1:11:1013598:1013915:1 gene:ORGLA11G0014400 transcript:ORGLA11G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQQDRSAAKPYANGSTAAAAAAGRKENNKVVRYRECQRNHAASIGGHAVDGCREFMASGAEGTAAALLCAACGCHRSFHRREVEAAAAECDCSSDTSSGTGRR >ORGLA11G0014300.1 pep chromosome:AGI1.1:11:1000612:1001061:-1 gene:ORGLA11G0014300 transcript:ORGLA11G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRPPPGTVQCFGRKKTAVAVSYCKPGRGLIKVNGVPIELIRPEMLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEASKKEVKDIFARYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >ORGLA11G0014200.1 pep chromosome:AGI1.1:11:995142:995438:1 gene:ORGLA11G0014200 transcript:ORGLA11G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVATPSLLFSSPTPRRPSSCLPPPPPCSSSSSSYASHGFKLLQPQLLFINRLTSRNSNGSSRRSISILSLRCSSSGTDSASSSATSERWVLEPAG >ORGLA11G0014100.1 pep chromosome:AGI1.1:11:984577:984831:1 gene:ORGLA11G0014100 transcript:ORGLA11G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSTSLVKLEQDGSLFLPPGFRFHPTDAEVILSYLLQKFLNPSFTSLPIGEVDLNKCEPWDLPSKPQVSYAYILNSLLLSRV >ORGLA11G0014000.1 pep chromosome:AGI1.1:11:968413:969282:1 gene:ORGLA11G0014000 transcript:ORGLA11G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEWYFSRKDMKYPTGMRTNRATKEGYWKATGKDREIFKPAIYEGSSKNNKQLVGMKKTLVFYMGRAPKGTRTNWVMHEFRLHANLHNHYPNLRLNPNEWVVCKVFHKKQRDEAINNQQQQPAVDQADDDDIFQLDDIFADPSIYDFSNSSANVLSAPPNNNAVHSSVSAGTTMTSTTTASSFQHQPNCYSAPLQQHVSSWNNTPGAGGAHGIGSSYYNLQQQQQQQAAMVKDLEDIIAVPDYGTLLPSSNKGSSIRSATAGVSQQNPLGVPQYKIENYGDHYISRE >ORGLA11G0013900.1 pep chromosome:AGI1.1:11:958438:958617:1 gene:ORGLA11G0013900 transcript:ORGLA11G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGGAMPALPPGFRFHPTDEELIVHYLMNQAASVKCPVPIIAEVNIYKCNPWDLPGN >ORGLA11G0013800.1 pep chromosome:AGI1.1:11:947228:947512:1 gene:ORGLA11G0013800 transcript:ORGLA11G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHVMGIVAAMLLLMASSPAVADTAVLGRKGGAMTDDDAVEGEATMGPGRYAVILDAGSTGTRVHVFRFDKKIDLLKIGDDIEVFAKVIITL >ORGLA11G0013700.1 pep chromosome:AGI1.1:11:930909:931169:1 gene:ORGLA11G0013700 transcript:ORGLA11G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHLVVAMLLLLALSPPAVADDTAVLGRKGGVVEGQAAGPGRYAVILDAGSTVTRVHVFRFDNKLDLLKVGDDIELFAKVTTLI >ORGLA11G0013600.1 pep chromosome:AGI1.1:11:914533:914934:-1 gene:ORGLA11G0013600 transcript:ORGLA11G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEEDVPAAKKMKTRTEDDHEEVLLAYPPRKKEDGKKMKKVVKRLGKEEVERLLSVTVTVPTLSKEAMEEDDEEDVREREMLLRADRTLREAVMSMRKDQDLIRSQFEAKGYFDVLDEVSDDDDDMEMEPL >ORGLA11G0013500.1 pep chromosome:AGI1.1:11:910221:910448:-1 gene:ORGLA11G0013500 transcript:ORGLA11G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKELDGESTCAAPCEKKRLIPSCFEWGTVPATKKMKTEEDLEVLLAYPSLGEGKKKKKVVVKRLGKEEVERLLL >ORGLA11G0013400.1 pep chromosome:AGI1.1:11:883862:884332:1 gene:ORGLA11G0013400 transcript:ORGLA11G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAAYHLLLLRRAAHPPPSPATATGAACALRHVRLFSPPPHPPSSRPTEAEAEVTAAEARRLVRLVGVEALKRRLRDGREEVVGYGELLDACVEAGAARTRRDAEALARAMDEAGVVLLFRDKAYLHPEKVTFLPNYPHSPCTCMLQFLICNSL >ORGLA11G0013300.1 pep chromosome:AGI1.1:11:875169:876800:1 gene:ORGLA11G0013300 transcript:ORGLA11G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLLLFPSSSSSATHSSYSPSSHAITSLLPPLPSDHHLLLYLDHQEQHHLAAAMVRKRPASDMDLPPPRRHVTGDLSDVTAAAAPSSASAQLPALPTQLPAFHHTDMDLAAPAPPPPQQQVAAGEGGPPSTAWVDGIIRDIIASSGAAVSVAQLIHNVREIIRPCNPDLASILELRLRSLLTSDPAPPPPPPPSHPALLPPDATAPPPPPTSVAALPPPPPPQPDKRRREPQCQEQEPNQPQSPKPPTAEETAAAAAAAAAAALAAAKERKEEQRRKQRDEEGLHLLTLLLQCAESVNADNLDEAHRALLEIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPNPSPAAARLHGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMEALEATGKRLSDFADTLGLPFEFCPVADKAGNLDPEKLGVTRREAVAVHWLRHSLYDVTGSDSNTLWLIQRYYTFLLSSLVNSGPPGIMATLHPIFPIDIVTY >ORGLA11G0013200.1 pep chromosome:AGI1.1:11:854594:855604:1 gene:ORGLA11G0013200 transcript:ORGLA11G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVVHGAGVRVGADHGDGEPFPGGMVVKPARRLVFYKRRTVAWAHPESELRVLACVHVPRDVPALLTLLDVVTPSSRSPVGVLALHLIEFVGRSSALLLINASAPSSSSYDASVHGRSHTEMQFKHISHAFMAYEEQSVGVSARTMAAVSPYESMHEDITSAAENQHSALILLPFHKYRSVDGGLEVSHPAIQPLNCNVQSFSPCTVGILVDRGLAAVPGGGYRVVALFFGGSDDREVAALATRMVRNPTIDLTLLRFVQKGGNFIASEFDALKERKADEGCLRDFLERANEGGGATVEYRERGVFNASEMVGEIQSVEAMGNKDLFVVGKVPGGSG >ORGLA11G0013100.1 pep chromosome:AGI1.1:11:852542:853791:-1 gene:ORGLA11G0013100 transcript:ORGLA11G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYKLPAAIQCLKKKKLYETQIEQLANFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIHQSVSIDDIENAIEEANEHTENMRQIQEALATPIGASADFDEDELEAELEDLEEEELDHELPEPPQTTRMEPSARVTTSSQPANDLAELTKLQAEMAL >ORGLA11G0013000.1 pep chromosome:AGI1.1:11:845708:851198:-1 gene:ORGLA11G0013000 transcript:ORGLA11G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGSSSAKLVAACVIGGIVLGASVVALHLAGPVAIPALPPVDALRRRFRRGRRRPVRVYMDGCFDMMHYGHCNALRQARALGDELIVGVVSDNEITANKGPPVTPLHERLIMVRAVKWVHDVIPDAPYAITEDFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKVGRFKQIKRTEGVSTTDIVGRMLLCVRERSASDSHNHSSLQRQFSHGHGQKIDDSGSGSGTRISHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGPHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFMKDDLNPYAVPRAMGIYHRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYDSKSFVNGE >ORGLA11G0012900.1 pep chromosome:AGI1.1:11:822209:823928:-1 gene:ORGLA11G0012900 transcript:ORGLA11G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSKPLILLECWRTQPHSLPRLQALLVSTPEFALAVVFQDTGDIGQHIPSSEPVRCPRRPARFVSPLKIGHTRPLPDSVKVVALRERILSPARFGSANIIEVGISAATASDIASSFTDGSMKKGLFIIDAFINLILHDEQEFSPMSAGDQILLPTSVSNLLNCDYLDPPLKADFNEQCLAEHLREVLPPAKDLQSWKMYKDHHGDLGRRVVKRLSDGLYAAARTAFKRFGNQKLVRNKCPVMLKPNDCAFFVMRYMELYDGNDSPLIQAAESEEYNDLRSQMLYYMVFHSKNAPLPPELEEL >ORGLA11G0012800.1 pep chromosome:AGI1.1:11:813221:818581:1 gene:ORGLA11G0012800 transcript:ORGLA11G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNTNYPITSLTPGGTHDSSVITRATEVYASHLLQSGILNYSDLGDEKGDGSSQPPRWQVAGEKGEFSSVERHRSRQVNRCKIETHLIAGESRPGADLRCSYQSLSVENEAKQLYITICDCSQDCKDGPTGEELTEHIIWCKVCKNIFKMTGTLPHWVSNGIKGGNWPHFNARSVSNFCLSLNXFSKVVTNHCFKENDNRXDPCEVLQDNGQYGYVVSCMFIVAFYMLKXYMVNIISLQLXSVIINFLCRFKLSVAEFXHLNPTSRSKEVAKEFAKMIXEXIYCTIIXQSLPLKMCTFFFLKDMPMNFFVTRCKQXFCKFPYXNAIYYAVALFRVLAHRTLFRAPHVKPSVRKNRVRLPTVVGWMMTWCRSKMWYSKAMTVYMISMRNMLTMLGLT >ORGLA11G0012700.1 pep chromosome:AGI1.1:11:811331:811645:1 gene:ORGLA11G0012700 transcript:ORGLA11G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAVAVARGALAVSARAGCWSASELTSMTAWRSALRRVILPWGRATRAEALPHRRRRLGCKGLCRRPRRAPPSSVEKLRRLERLGRYVQGRSQGDEMGFVLC >ORGLA11G0012600.1 pep chromosome:AGI1.1:11:783528:784051:-1 gene:ORGLA11G0012600 transcript:ORGLA11G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTSPYTRYVIDFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLAARSGQSGDSETRRVDSGLEDDGGFDEKRRKMGNNEKERKEDGEGANETVEVSGLQALHRARHPPLHPLIQVEERSSFPVFL >ORGLA11G0012500.1 pep chromosome:AGI1.1:11:774617:775788:1 gene:ORGLA11G0012500 transcript:ORGLA11G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRYSLAGPTAKRYAGGGVAQVAVGADGGALRQCLSGAKSTEIAALLGEVPVNCPDEHDEAEIPNEPTNQEIRGTQK >ORGLA11G0012400.1 pep chromosome:AGI1.1:11:772772:774025:-1 gene:ORGLA11G0012400 transcript:ORGLA11G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLAAGGPRIQQPWRRSSEEDASAQRSRTTKVAGHERIRDGGGGGGIHGSGSKEALVYGAGGARARGSAAALSDGVGGARVQQLHLLQHPVKNAVAERKHTRISSDMSDPSTPRKIEVEDAKNISIYNDVIDLLFELETITKSFRADYLLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHRGWLVSCQLVQYSLWLPS >ORGLA11G0012300.1 pep chromosome:AGI1.1:11:761262:765380:-1 gene:ORGLA11G0012300 transcript:ORGLA11G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLHLLQHPVKNAVAERKHTRISSDMSDPSTPRKIEDAKNISIYNDVIDFTLFELETITRSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVRFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRTATPLSWATRMSIALGAAKGLACLHNAERPIIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREHSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVETLEPLQGSGGSDGAVQSVLGSGLPSYRVNRRLTMNSVHCRALPNPKCSPAVPACRVR >ORGLA11G0012200.1 pep chromosome:AGI1.1:11:758196:758661:-1 gene:ORGLA11G0012200 transcript:ORGLA11G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPHVSISLTGLAMVVFLIFSSSFLQAAQGPDKKMVMKYDVPVKRLMYRPAAIGTEAAAYEPFELCMGCRCCASSNASSCVDTRCCYAIDCNIPGKPFGVCAFSPHTCDCGATNCTSQQP >ORGLA11G0012100.1 pep chromosome:AGI1.1:11:755926:756117:1 gene:ORGLA11G0012100 transcript:ORGLA11G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRRHPNPLPAAGVPAHLIRRFSTLPDVDHPPLPTSTPTPPPPRAPPSSTSSSPSAARPT >ORGLA11G0012000.1 pep chromosome:AGI1.1:11:754005:755862:1 gene:ORGLA11G0012000 transcript:ORGLA11G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLPRSDADRKRWRESSPAVAGAAASAGGVPGSSGWLTRRAGRRVERRPRVRRESRRALAAGDVRRGGGSDAGEGETAVAGAAGAEEGVGGQRQATRPRRWRRRCRRRGGGDCRHGLALLDEFP >ORGLA11G0011900.1 pep chromosome:AGI1.1:11:749932:750153:-1 gene:ORGLA11G0011900 transcript:ORGLA11G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFSMIGYVKFVSCTRGFPKVFRTPSMSLVRDFRLPTSGINRGGA >ORGLA11G0011800.1 pep chromosome:AGI1.1:11:744739:746361:-1 gene:ORGLA11G0011800 transcript:ORGLA11G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQGTDGFSVYDHAGTLAFRVDNYSRRRKLFSGDLLLMDGHGSPLLALTPQIISMHDQWNCYRASEEGQGKRTRSQQLFSMRKCSVMQSSHEAEVHMSGCTHASSDRTGHVPGFSIEGSFRRRSCKIRNSVGEEVARIRRKKAGAASLSLTLAEDVFSLEVQPNVDCAMIMAFVIALDRICWKPYTPMICSS >ORGLA11G0011700.1 pep chromosome:AGI1.1:11:738660:740237:1 gene:ORGLA11G0011700 transcript:ORGLA11G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSTTTTASYHRLLRRFPRRSAAGGHQLHALLAKLGLLHHPEFLSALLSRIPPSPSALSLLLEASPAVLSPSLVCPVIVAFSSSPAPSSALILFNHASSCSLPTPLPTFPALLKSCARAFNRSSRAGVASVFVSKGMELHCRVLKLGCGKDRYVRNALVSMYGKFGRLGDARKAFDEMPDKNAVSWNALVGAHRAAADWMGAERVSQAMLERNLSCWNAEIARNVSIGYMDEASRLFREMPQRDVVSWNSLISGYTKLGKYTKALEIFQEMKENAIEPTELTLVLILGACAKDGKLELGTDIHINLQSKGIVSDGLVGNALIDMYAKCGRLDLAKKVFDRMSMRDITCWNAMIIGFSVHGCSYEALELFDSMKIEPNPVAFLGVLTACSHGGLVNEGRKYFNSMIEDYRIVPDVKHYGCMIDMLCRYGRIEEAYLMIKENPSTANSVLWKMLLAACRVHGHIDLAYKFFHELHELILTDNGRIVTISNVYAEAKRWDDVEHLRMKVRRNSALKHAAHSQMDVM >ORGLA11G0011600.1 pep chromosome:AGI1.1:11:731963:738345:-1 gene:ORGLA11G0011600 transcript:ORGLA11G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDRTHPRPSPAAPRSPPIASAGGHLFRRLLHRLNPSSSGGCSTSPEEGGREEATRGVGPGSHGDAHGRQPGLLAGARARAHAAQPDPSSISPEAWDPLEAAAGAVVARIQPNPPSEDRRAAVIAYVQHLLRCTVGCQQVFPFGSVPLKTYLPDGDIDLTAFGHSSDEILAKQVQAVLESEEARKDAEFEVKDVQYIHAEVKLVKCIVQNIIVDISFNQFGGLCTLCFLEKVDQKFEKYHLFKRSIMLIKAWCYYESRILGAHHGLISTYALEILVLYIFHLFHGALDGPLAVLYRFLDYYSKFDWDNKGISLYGPISLSSLPELVTDSPDTVNDDFTMREDFLKECAQWFTVLPRNSEKNTQVFPRKFFNIVDPLKQSNNLGRSVSKVLFVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNLSKDRSPSGRGGRGKTHFLPHNHQRPHHYGRADMSADLTPSEELRHIYDPDANDLGIPSSLRISIPSPSSEAPREIVHGNGFIQPPAKKLEFGTLGALPLEVTSQEFGINRLNTASDSQPSASASPMSLANNPGISSNQMRNAQPYHLKDNGDFPPLSS >ORGLA11G0011500.1 pep chromosome:AGI1.1:11:721049:721348:1 gene:ORGLA11G0011500 transcript:ORGLA11G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFLSSNKKQCSSSSLLLLVLLLLLVFFAQHGSCSRPLLLPSPTPMQPQLKHESETASADTTTTTEEQVVQQQQLSWLRSMKPRGRPQPSSPSKRTN >ORGLA11G0011400.1 pep chromosome:AGI1.1:11:713782:715050:1 gene:ORGLA11G0011400 transcript:ORGLA11G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTPRSSVARSTCNVPGLVLGFSKLCKITKICAAPEFADTKTEFGDYCGGYDQRLIITRLFEEIGALKSAYIKLQKAHIPYNPPKIAFADEIITSELDSVTALQSLCSWNGSVGSLINDRWSLVQELEAETRKKDSDIMLLRRELDGLKSANSRLNKQISSSKPSVNHHKDYSIVLKKLTTPSAVLDLFKVASTSVHDFAELIFSLISSSDHRCTNNADEHSPYKRYSLEAYLSRTMLAVHDGAEDDDELDLARFDRIMRCCDPLDALMEHPNSSFARFCRTKYLAAVSSEMESAMFRNNLDVRAFVSRGGHPRTWFYRAFATMARSAWALQVAVTARRRCSGRGSVRMLYARRGSRYAAEYMDSVVAAAAADAGRGGGDGVAFTVTPGMKVGETMVACRVFLCHDQQDTISDETNPKFR >ORGLA11G0011300.1 pep chromosome:AGI1.1:11:711101:711502:1 gene:ORGLA11G0011300 transcript:ORGLA11G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDFMDSWLMWGNIFFFFLALAITVEVDLIRLRRNDDGNNKSAHQYDMLIERLLLLRPKDDRDNEQCVICLSENEDDVDGGGGERGRWRMLPGCAHAFHKDCVVKWLRNRTTCPLCRSDVAVAVAAADNMV >ORGLA11G0011200.1 pep chromosome:AGI1.1:11:710094:710531:-1 gene:ORGLA11G0011200 transcript:ORGLA11G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLKELPIWQAFGFSLLTGALAGSTLYAVCVVLLCCVDRQRRHAGAPPPDPKIWLPDHTHHRRRRDESSECSICLGELEEGERCCTLVACRHEFHKECIYRWLANHNTCPLCRHMPLPPASSPPSAPPPHHASPPSNVPPAAHV >ORGLA11G0011100.1 pep chromosome:AGI1.1:11:695746:697050:-1 gene:ORGLA11G0011100 transcript:ORGLA11G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QX69] MESRGKILMERYELGRLLGKGTFGKVHYARNLESNQSVAIKMMDKQQILKVGLSEQIRREITTMRLVAHKNIVQLHEVMATRNKIYFVMEYVKGGELFEKVAKRGKLTEVVAHKYFQQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKIGYDGAKSDIWSCGVILFVLVAGYLPFQGPNLMEMYRKIQHGEFRCPGWFSRKLQKLLYKIMDPNPSTRISIQKIKESTWFRKGPEENRILKERTLNENTTKNVAPVLGVRRKKNAHEDVKPMSVTNLNAFEIISFSKGFDLSGMFIVKEWRNEARFTSDKSASTIISKLEDVAKALNLRVRKKDNGVVKMQGRKEGRNGVLQFDIEIFEVTTSYHIIEMKQTSGDSLEYRQLLEEGIRPALKDIVLA >ORGLA11G0011000.1 pep chromosome:AGI1.1:11:691083:692276:-1 gene:ORGLA11G0011000 transcript:ORGLA11G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRLVNLVVQGAGGLYSLRRIPANRLFYPSTRAAEEATAKSQESFMEEHGGRKHPGLHTMEMLEKLPRSTFAFEPAPVDRYRLRSLDFACLLGHESRMLTADNRGNTVVFDADSSSVLAFPNLISPKRYNAISLSIINNDGSNNTGLEPPPEYGLYMMTRSPDVHSIKDGCFEVLNYSSSSADFREMTPHWVSLPLPPFAGCMNAEITSYTVVHGTTIYISCNKPIHSTYAFDTVSREWRRLGSWTMPFHGRAEYVPELNLWFGLSADHPYSLCAFDLPSDDSSVAAKPPTVQHTWVDLDIPQSWLPWNINLINLGCGRFCIAKMFHSISGDGTFCSYSESDDGTIEDSDPIHGSFAIFTGLHMVRPRGKHDDVQMIKHKFMYYQFFDDYIEWVI >ORGLA11G0010900.1 pep chromosome:AGI1.1:11:685045:685974:-1 gene:ORGLA11G0010900 transcript:ORGLA11G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSYIDHDGKRPHPGALHFMDRFRNFPCPLLNLQPTPMHHRNERSLDLVTLLGDDETKILTADNHGHTVLFDAASYSVVHFPNLNCSKGYDAMAVSINQEPDCLYVLNLRHHPTTSNHCFEVLSYGVFCERIPIWRSLPPPPFTTTTQTTITSYTTLSTFLPSSVALTPLTRWCLPVVPKMINKPPGWSSTSPYATHLATIT >ORGLA11G0010800.1 pep chromosome:AGI1.1:11:682585:684268:1 gene:ORGLA11G0010800 transcript:ORGLA11G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLIRGLNADPDLHDDADASESPRERPPVPLHSKVGSALKTGFWTMVDMASGKYLWRTLVSPPTKCESEKAQ >ORGLA11G0010700.1 pep chromosome:AGI1.1:11:674071:679294:1 gene:ORGLA11G0010700 transcript:ORGLA11G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCAVQRWSRRVATMLPWLVLPLILLWALSQLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRAHALQLHKLRKTATRRCRNCNNPYRDQNPGGGKFMCSYCGHVSKRPVLDLGPAGTLPTGWPCTQDWPNAAGDPAYWLDLRCSSDNLYSGFSWRLFSSFCVSMRWFWREVLRFGSSGDGDGLGRDGKRLAKEGDNGAKAEESRVEKAKRKAEEKRLARLEKEMLEEEERKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDPRKEVERRRQERRRKDEKDKGSSKSNSDCEDIERRVTREGERKRDSDRRNEPEKRDATRVGAEGHKPYNFDANNQGSKTVQSKAKYFGRMTGGLLSSSRGFGGGSFFGRSAQTSAPQVNKVTKPLVTVTDQSNVVKRDAQPPATAKSATAGGTTNSWTNVHRPVSPNVQSQPTGLKKSWHQLFSRSASVSPCPDVPAAAREMKGQPEPYGAQISNAQIFLSQYPPLDSNPSSSRCMQFPGFPPVNGAPANMSLSHFPAGHVPYYCEPEPTVFEEPEQFEDPCYDPDAIALLGPVSESLDNFPLDLDSGFISSDITKETHTKPSPIESPLSRSRTFEDNPIRHSTGKGPNGSILPEASNEQGGTWQMWGTPLVQESLGLRGPQTEWLLPNANQFNHGVSHLNGGTRSSVGSGLDDNDLWLQKAPFQQMPLDTRSLFLSHDVSENAIHNDLDFGSPNKSARLHPIGPPGHSWSKEAVVLNGPQEASKICSSTGAHVGGGFFSTNPDVQSVWSFNQKETT >ORGLA11G0010600.1 pep chromosome:AGI1.1:11:667326:670822:-1 gene:ORGLA11G0010600 transcript:ORGLA11G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATAPCFPATPGLPARGAVAARSRMAAGGSKSQRRRSSSGVFLCRSSTTGSSRMEDYNTAMKRMMRNPYEYHHDLGMNYAIISDSLIVGSQPQKPEDIDHLKDEEKVAFILCLQQDKDIEYWGIDFQTVVNRCKELGIKHIRRPAVDFDPDSLRTQLPKAVSSLEWAISEGKGRVYVHCTAGLGRAPAVAIAYMFWFENMDLKTAYEKLTSKRPCGPNKRAIHAATYDLAKNDPHKESFDSLPEHAFEGIAGSERSLIQERVRALREA >ORGLA11G0010500.1 pep chromosome:AGI1.1:11:665393:666148:1 gene:ORGLA11G0010500 transcript:ORGLA11G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASPASKRAAVVLLVVVASVMMATPSEAMRPRRKAAALVSSEARVLRFPGFPGSRQRFPGFPGARPSPRAAPPKPSPPSSSSSSVPGLPLSPPAGALPPPCGRSSQTTPPGTLIPGMPGSGGSSSSAPDCVTSLAGLTTCASFLTGAEAETPTPASECCGGLGMFLNSTAAAAEGDRTLRCLCPVILGDVNRMLPKPVDPVRMMYLPIACGLVLPPQVLFICFSQFLPSLALIHSSFSNFYQMNSFLTKN >ORGLA11G0010400.1 pep chromosome:AGI1.1:11:659574:664558:1 gene:ORGLA11G0010400 transcript:ORGLA11G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:I1QX62] MTTTTTRSHRLRDAAVASVVAVLPHEISPLISAASTFFFILSAYFVVLPLRDEGAISLGLSTLPGLFAGSLLLTLVAAPVASLAFSLPSIPKPRALVFIHRFFSLSLLVFFVLWFASTPGHSPSISQVSLLNLIAISSTWARVIDVMDNESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPFLLLFSSLLMELAALSSKGICTDDTHSSMELSSTIAEQSQNTEADDEMSSLVTSQGSPSQVSESHKTKPEIFVMFEGFWLIARSSYLMYISLFLWLSAVVSSFFYFQKVTIVATTISSPTARRRTFALINSFIAVFILAGQLTLTGRILTVAGVTVAICASPFIATLNMVALALWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVVVQRLGDATAAGIYSLLFSSLEKKASMVTLYALPLCFVWLLTAFHLGRLQTNLVKVQVASFAS >ORGLA11G0010300.1 pep chromosome:AGI1.1:11:656659:658591:1 gene:ORGLA11G0010300 transcript:ORGLA11G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKVASFLLLLLIVTCGAAQGQGNDFVVLDLKTTEIGEDASPMYKEQIASTKIPVTLLRSKHSSLCSACENITSEAVNFLSEKQIQDKIRTILHDTCSQTFSFEQKCLETMDSYATLVFAKIAEIKPAAFCKQYGLCRDMALLSAVKSESTCLFCHHIIDEITSKLKDPDAEFEIIQLLLKECNKIEGHQQQCKRMVLQYVPLVLVNGEKFLEKNDVCAMIQACDAGKRKALNLFSARKLVRDA >ORGLA11G0010200.1 pep chromosome:AGI1.1:11:654711:654998:1 gene:ORGLA11G0010200 transcript:ORGLA11G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSALRAAHPDLVFRLFSPDFLGDAATVAFLVRACSSEGCLLDGLRLQRVRSWVALGRTREQSSDRSTSMAAVAAQMSHSMALLGWSTPRRSWML >ORGLA11G0010100.1 pep chromosome:AGI1.1:11:651169:653363:1 gene:ORGLA11G0010100 transcript:ORGLA11G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QX59] MAQPTSSARCSLVVMVVVVLAVAGGSSAQLSPSFYSYSCPGVFDAVKCGMQSAIANEKRIGASIVRLFFHDCFVQGCDASLLLDDTASFTGEKMANPNNGSVRGFEVIDAIKSAVETICPGVVSCADILAIAARDSVAILGGPSWDVKVGRRDSRTASLSGANNNIPPPTSGLANLTSLFAAQGLSQKDMVALSGSHTIGQARCTNFRAHIYNETNIDSGFAMSRQSGCPRSSGSGDNNLAPLDLQTPTVFENNYYKNLVVKKGLLHSDQELFNGGATDALVQSYISSQSTFFADFVTGMIKMGDITPLTGSNGEIRKNCRRIN >ORGLA11G0010000.1 pep chromosome:AGI1.1:11:641708:642497:-1 gene:ORGLA11G0010000 transcript:ORGLA11G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGQLEAALLHIMQRHHHQSLHQRNKTERAKVDAVKSAARVADLLVATVDGGVQELYINERRIEFEARALLATIARYKKQTDQWLAATNAMNSVLKEIGDFENWMKIMDFDCKSINAAIRNIHQS >ORGLA11G0009900.1 pep chromosome:AGI1.1:11:639254:640781:1 gene:ORGLA11G0009900 transcript:ORGLA11G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QX57] MASPKPFACSVIALLFAAHLVSAQLSANFYDKSCPNALSTIRTAVRSAVAKENRMGASLLRLHFHDCFVNGCDGSVLLDDTPTFTGEKTAAPNNNSLRGFDVIDNIKAQVEGICPQVVSCADILAVAARDSVFALGGPTWVVQLGRRDSTTASLDTANNDIPAPTLDLGDLTKSFSNKGLSATDMIALSGAHTIGQARCVNFRNRIYSETNIDTSLATSLKSNCPNTTGDNNISPLDASTPYTFDNFYYKNLLNKKGVLHSDQQLFNGGSADSQTTTYSSNMATFFTDFSAAIVKMGNIDPLTGSSGQIRKNCRKVN >ORGLA11G0009800.1 pep chromosome:AGI1.1:11:634268:636315:1 gene:ORGLA11G0009800 transcript:ORGLA11G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAATTTPPPSERHRSPKVKRQRSAAAQPLGDVTNLLLPSTPTNPTTGRPRPLPSDTTAAASTCSASPSHTPVSKPSSAAGETTAPNPISARFVVAIGILLTLAIAAAEERSLVKSAISTVYTRRNTAQKRRTNDNTPFPAGTSSCPPPATLASKNSKTSMAQGTRPISSLVPCHRSKKTKSTRMENTSSGKHMLPEDFVKKQRAYFEEVDAFELPEEEASETDLE >ORGLA11G0009700.1 pep chromosome:AGI1.1:11:631912:632307:1 gene:ORGLA11G0009700 transcript:ORGLA11G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYRIEFIATAPTHKNKTVSKHIVPLKPVAGPPASCGPPFLCYKAPKVVGFACSQQLALSVLDSLVFLVCWLLTWFLLFSSLAKASASFMFASHVSQLLCCFLLVVTSKRPTQQSLYFTFAEACLFHTGT >ORGLA11G0009600.1 pep chromosome:AGI1.1:11:631424:631841:-1 gene:ORGLA11G0009600 transcript:ORGLA11G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSSSVQSWVEEHKLASIGGLWATAVGASVAYGRRKTPQMRLIHARLHAQALTLAVLGGAALAHHYYNPSSNTNNSSSLDYDFYSQLPPAATDDGQENERWSW >ORGLA11G0009500.1 pep chromosome:AGI1.1:11:626875:628471:-1 gene:ORGLA11G0009500 transcript:ORGLA11G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCVCSPMASMYRLPRNAICAACYEGAKAIIAFFNDDDDEHADADQGSVKPSRLTKLNSTIKGLRDAWEEVKQMRCREEETKQRASFLQEGFAAAWKDGIHTDIAIRPGTGPPIQAHKAILATRSEVFRHILAGDDDCKAPAGDSLLLPELTHDELSHLLAFLYTGSLATCAEERHLHALLVAGDKYDVPFLRRACEARLAAGVEAGNVLRTLEVAELSSSAALKERAMGTVVEHAEEVVFSPEYEEFAVRNAALCVQITRALLANKTLPAKTP >ORGLA11G0009400.1 pep chromosome:AGI1.1:11:620485:626574:1 gene:ORGLA11G0009400 transcript:ORGLA11G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKDQKKATTALEEKLELLRDVTKSSAANETSILVDASKYIKELKDKVSQEPEQLGSTSSSMPMPRVSVSSVELEKKRGFRINVSMEKSQPELLTSVLEAFEELGLDVLDADVSCADDTAFRLEALGSSQSEAAETSVDEQMVRHAVLQAIKKCIDGSSI >ORGLA11G0009300.1 pep chromosome:AGI1.1:11:603997:604623:-1 gene:ORGLA11G0009300 transcript:ORGLA11G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSGGSGSGGRRRRRGEVDEALHRAGAGLRLRARVLRLRPSSPHAADHREAASSSSSRVAAATVDSNASAFTRVATATVDSNATASFPDAHHYQSEPAAAADTDGHGEASASTSTRHRDPDPDHASLDCDYYTDTDMGLGRRRGGPQCGSGRPSDQARLRLPRRRPQRLIDPMQAQPASSTWPLRSPSPPAPNRSPPPPLTAKDSA >ORGLA11G0009200.1 pep chromosome:AGI1.1:11:601519:601827:1 gene:ORGLA11G0009200 transcript:ORGLA11G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRCSDGGFGLGDAPRWXRVPSESLAQFFGPIVTSSLGVVTLLRALLRYPSSLGKELWVKTLSSLWMDDCGVFWRRDPREGVILESSCRSGVVSSVAIGLA >ORGLA11G0009100.1 pep chromosome:AGI1.1:11:600538:600846:-1 gene:ORGLA11G0009100 transcript:ORGLA11G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGPASHAGEAGSGGEGSGSGRRPPLCVVGTSCPSSRRAARRRPRCTHRTPPPPPVGLDPGGAVPDPSPGAGEVVTPCTADRRLPNDALLRLLRQGGPSPA >ORGLA11G0009000.1 pep chromosome:AGI1.1:11:571165:572188:-1 gene:ORGLA11G0009000 transcript:ORGLA11G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPSSPKDKSPSLPSHRRPLFLPSTSIASSRWATAARRRSQAVARQFQASTRRAARRWQAVARGSQAAARWSQAAPAAAVPGVDVTGGEEVEGSGASVPGGGSGLPGGGASAAGVRAVVPGVDATGGEEVACGGAVVPGGGASAAGTVVPGDGALAAGVRTTVPGIDALGEVGRRSPKNAITRPGSTMLLAPPLAVAGAVYDDVVGAYRGFMPSRTRAFLVIHRGPLEPHVRCPYCGARVWSMTAAGLARLSSSSSSDGERTPTPTPTTATTSHSPPPT >ORGLA11G0008900.1 pep chromosome:AGI1.1:11:567092:569543:1 gene:ORGLA11G0008900 transcript:ORGLA11G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSLSLVVSASGFPYPFPSPLSKTLANPSSSTSLLLAASLSRGATPLPLLRRDVSAAYGDDDMDDDFGDFDLDDGDGVGDDEDLDNEQDYDVDYDRLLAPVKAPQRPLSGEGDEEEGDIAMVAAQSFVSTQDSASDTVVDYSVNEDEFHKIRLLHCDFFIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCTKKNFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKARSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWARSRQDVEKHFRKLRDFDYSNWF >ORGLA11G0008800.1 pep chromosome:AGI1.1:11:563699:566919:-1 gene:ORGLA11G0008800 transcript:ORGLA11G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATEAEAEERDKPIVVRVKRKPSQTRPDAFWLEINERPVKKAMLDFSSLSVSEPSSAPNKASEEPRIKKLLVQHIETVHHSEAVQDVLHSLLHSDLDAKEIKSKTKEWNNRTKQDKKQDQLRSAARQRHEDLGRNARFAQIWRSRKGDRNEVDESLREICHLYDAVQVDSDEEKHPAEPRITSFEEGAILCNFLPLIREHLPSAAEEIESDIISLAQSEDSDVYDIYTVKEVDDDTTMEGTSSAPYPLLQVDDGDDVCYDDDDPYDTDDSNAEDNPLYDYPAELSEDEDDDSNSENPFSDLDGSDPEYEKEEVEEERDEDGR >ORGLA11G0008700.1 pep chromosome:AGI1.1:11:559941:562408:-1 gene:ORGLA11G0008700 transcript:ORGLA11G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G44970) TAIR;Acc:AT2G44970] MEDLPSNLGQPSTSASSVDASYSANRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISDEGQELATLPPASTAGGSSFQASSEQSADSSPCEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSADDIGWLQQDQSLPPTEDGTARFLEILDAVRKNEHKLPDSMVYLLVPGLFSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLKEEPRDQADTSQVCEALLTLLVEVAQKQRHEMAMKDE >ORGLA11G0008600.1 pep chromosome:AGI1.1:11:545391:554045:-1 gene:ORGLA11G0008600 transcript:ORGLA11G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRLVHSSSSSSAAPSAALPNHHTNHLVDDHLPVENGPDPRRDVPDEEPPPPPPPQVALLPQVVVLCEQRHEGFDEAAAAAAGPSTSGPVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARKECWIDPFSMAPPKALETIGKTLHSQYERWQPKARYKLQLDPTLEEVKKLCNTCRKFARTERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGMIVKAFLERLDWSSSSSASSSKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCNRSLLRDSMEHNLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRIGQEACANAGLIDVCLRHLQPENPNDAQTEPLLLQWLCLCLGKLWEDFPEAQLLGLQSNAPEIVICLLSEPQPEVRASAVFALGNLVDIGSPSLNGADDDSDDDEKVRAEINVVRSLLQISSDGSPLVRSEVAVALTRFAMGHNKHIKSVAAEYWKPQTNSLLKSLPSLANINSSNVYSPSSLIQGSSGLASHIGPVLRVGSDNSATARDGRISTSSPIATNSIMHGSPQSDDSSQHSDSGILLRENASNGGLNYSRSRPIDNGIYSQFIATMCNVAKDPYPRIASIGKRALSLIGVEQVSMRNSRLSNGGAHPGETSVPPSSNFGMARSSSWFDMNSGNFSMAFRTPPVSPPQHDYLTGLRRVCSMEFRPHVLNSPDGLADPLLSSSAAPSNMGLDILPQSLIYRWSCGHFSRPLLTGSDDNEEANARREERERIAMDCIAKCQRSSCKMTSQIASWDTRFELGTKASLLLPFSPIVVAADENEQIRVWNYDDALPVNTFENHKLSDRGLSKLLLINELDDSLLLVGSSDGNVRIWRNYTQKGGQKLVTAFSSVQGYRSAGRSIVFDWQQQSGYLYASGDMSSILVWDLDKEQLVNTIQSTADSGISALSASQVRCGQFAAGFLDASVRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIVSASQAGDIQFLDVRRASEPYLTIEAHRGSLMALAVHRHAPVIASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHRYKSLLAAGAGDNALVSIYAEDNYQVR >ORGLA11G0008500.1 pep chromosome:AGI1.1:11:539450:543526:-1 gene:ORGLA11G0008500 transcript:ORGLA11G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPPTPLPPETANTSPAPNGATAGIRVENCYVFKSRLQEYAQKAGLQTPEYHTFKEGPSHEPVFKSTVVINNTSYDSLPGFFNRKAAEQSAAEVALMEIVKSIPANANIPAVQETGLCKNLLQEYAQKMNYAIPSYICTKSASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKMPIETPKPLKIKKGGFKKKWNKRKFMKKDGQAVVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEAEPPRDIEMVQPDKENQHSDAALVQPDDEARVEQEPSRDISVVPPNEEAISLKQEPSIDAAILQPKEEASSVKQEPFIDTAMLQACKEAGSVELGPARDTVISQLNEQDRGVKQEPAGDTAVPQPDVDARVVKEESPRTEPNGEATNMKETPKNSAVCNSPETKEFGDITAMGSDPPATNMSEE >ORGLA11G0008400.1 pep chromosome:AGI1.1:11:536551:538794:1 gene:ORGLA11G0008400 transcript:ORGLA11G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSHLHAHALKLGTLAHTFNMNHLLIYYARRGLLDSALKVFDEMPQRNLVSWTAMVSASTGNGAPHLGFRFFVSMIRSGFCPNEFSLATMLTACHSMVAHSSNKLLIALSLHGVAVRAGLDSNPFVGSSLLLMYAKHGRIAAAQRAFAHIRNKDLTCWNAMLEGYVLNGFGHHAIRTVLLMHHSGLAPDRYTYISAVKACSISAQWDLGRQLHCLVIHSMLESNTSVMNSLVDMYFRARQKETAASVFRKIRQKDTVSWNTMISGFAHDEDDKAVFGCLIDMSRTGCKPNEVTFSVLLRLSGAKENESLGLQIVALAYRHGYTDNVLVANAVINMLSRCGLLNHAYGFFCSLTSTNIVTWNEMIAGYGLFSHSEETMKLFRSLVCFGERPDEFTYSAVLSAFQEVQGARDHEQIHATILKQGFASCQFVSTSLIKANVAAFGSVQISLKIIEDAGKMELVSWGVVISAFLKHGLNDEVIFLFNLFRGDSTNKPDEFILATVLNACANAALIRHCRCIHSLVLKTGHSKHFCVASAVVDAYAKCGEITSAESAFTVVSSGTDDAILYNTMLTAYANHGLIHEALNLYEEMTKAKLSPTPATFVAILSACSHLELVEQGKLVFSTMLSAYGMHPARANYACLVDLLARKGLLDEAKGVIDAMPFQPWPAVWRSLVNGCRIHGNKQLGVLAAEQILRMAPSSDGAYISLSNVYADDGEWQSAEETRRRMVQNHVQKLQGYSRIEM >ORGLA11G0008300.1 pep chromosome:AGI1.1:11:533800:535461:-1 gene:ORGLA11G0008300 transcript:ORGLA11G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACDCDDDAQTAENGNAPMLSNYRAQPAPPLAEPLLLDKPQRAHWLRQLKPWRRNAADDHLSAGIAINWSSVRSATKDWITNPMNIAMLLWLLCVAVSGAMLVLLLLGLLDGAFPTPAARNHWIEINNQVLNALFTLMSLYQHPVLCHHLFLLCRWRPADAADLRAAYCKDGAGPRHGERAHMAVVVALLHLTVACQYVLCGLYWGYTKKTRPELVENGFFVLGVVAPVVAVVYTVCSPLGKDNYGELACPNAFDSVSQHKCTGHAVVEPEWAGGMFDCGGDATAWWLSLSCTFCAFGWNMERLGFGSMFVHTATFVLLCFAPLWVMGVSALHIHDVVIGDMVGGAGALLCVCGLLYGGYWRIQMRERFGLPASTACCGSPSVTDYARWLFCWPCALAQEVRTASLYHIDGETFYKKLPVVDDVEDEKRLPLLASHHVQFQEPPDTMIMAASEGSNDHVVIVHEEMVPPAVQVVVEQVVVEGDKSEEECSAVHDEKIMSSPLPESVVIVDDDEIPASLSDGSWTVEKVKRLINVVTLVSLLILLYTRGFIR >ORGLA11G0008200.1 pep chromosome:AGI1.1:11:527892:531779:-1 gene:ORGLA11G0008200 transcript:ORGLA11G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQEQHQEPVNDGLQKQEQHKKHKEKKKERLLDFLRAAPSKAPWFSFSGAAFLTRLASLRTTNNPAASRRLPAFVRSVDWRALRAKCLAWAKHPMNAALLIWLAFVAGGVVFVFLLMTGALNSAVPDASRRRRWTEVANQMLNALFTIMCVYQHPKLCHHLALLLRWRAADVAELRALYCKNGAAGLRRERLHVAAVVLLLHATCFAQYGYCALFWFFGRDNRPDLAVNLCMALGLGFPIVAALYMVYGPLGRKIVLIPASTDDEENVNSQVDEANAIAVTAQCDSNRNRAVVAKPEWAGGLFDVGDDPTVAALSLSCTFCVFGWNMERLGLGNMYVHVFTFALLCAAPVLVFAVAALNVHDDTLRFVVGAAGALLSVLGLTYGGFWRAQMRRRFGLPAHRWSMCGGRATAADYGKWLCCAPCALAQEVRTANLYDVEEDALYAKGGEEEEEEAAMAPLEREGCIVAVDAPPLPMRVEEKDYVVRLLLAGVAPSNRLPPLTVKLLHARLLRLDLLAGLSSLLLRALTSSALHLHALRVHCLLPNPSHLTIPIALKSASRLPHPLRAGEQLHARSLKLPSHTNPHVLTSLLSLYAKCGLLHRAQRVFDEMPHPSTVPWTALITAYMDAGDLREAVHVARNAFANGMRPDSFTAVRVLTACARIADLATGETVWRAAEQEGVAQSVFVATAAVDLYVKCGEMAKAREVFDKMRHKDAVAWGAMVGGYASNGHPREALDLFLAMQAEGMKPDCYAVAGALSACTRLGALDLGRQAIRMVDWDEFLDNPVLGTALIDMYAKCGSTVEAWVVFQQMRKKDIIVWNAMILGLGMTGHEKIAFALVGQMEKSGVKLNDNTFIGLLCSCTHTGLIQDGRRYFHNMTKLYHISPRIEHYGCMVDLLSRAGLLQEAHQLVDDMPMPANAVILGALLGGCKIHRNTELAEHVLKQLILLEPWNSGNYVMLSNIYSNRGRWEDAAKLRLDMKAKGVEKVPACSWVEFEGKVHEFRVGDKSHPLSDQIYKKLDELGLEMKTMGYEPTTEVVMFDVEDEEKEHTLVHHSEKLAIAFNLLVTGPGETIRVTKNLRVCSDCHTAIKLVSRITHREIIVRDNNRFHCFRDGSCSCNDYW >ORGLA11G0008100.1 pep chromosome:AGI1.1:11:520935:526618:1 gene:ORGLA11G0008100 transcript:ORGLA11G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDDGGDHYPYYKPTSRPHYQPPHYHGQPAAPPAPLQQQPLGPHGVTPSTVGVAALAHDLLNFESTSMVPDGLSQHVVSSRKAQVKWYQKLLEAYKNTTPPPKTPANAAQLIARALNMIQRADLEGILEFYNFPIPSLPTASSNYQPSLLPEGVQFVLNTLPVYDKCIGDGDGFTAYVPTTDPRESANVPLEVHELVIARTQARKCRDYKSADALLSSLDEAGYKIISCSDDEVLARKYRIRMRGIDAPELKMPYGKESRNALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNLFIQEQMLKNGHAWHFKTYDKRPEFARWEREARAANRGLWASGNPEKPWDWRRDQRNARQDAIQVY >ORGLA11G0008000.1 pep chromosome:AGI1.1:11:517202:519607:1 gene:ORGLA11G0008000 transcript:ORGLA11G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANASTVKPVVAACYDNNLVNSQGMFLGDEPLRFALPLLLVQVSIILTLSAAAHHVLRRLGQCRFVTHMLVGIFLGPSVLGRNPHLRTALFSERGTYILESVSLVALILFLFSMAVKTDLTLLRRPTARALAVGLAGSLVPLAVTLPVFHALSPSLPADLRGSSLITELAVRLSLSSFPVVADALAELDLLNSELGRVALNASLITDVTSWFLRACFAAAFLVTQAKSPLFTAKVLASFAAFVLFVFFVARPAGRHIARKRTPPGDLLSEGSFVLVVISALLSALVTDVIGFKFMIGPMMLGLALPGGMPIGATLTERLDSFFIALFLPVYMALAGYRTDLAELSLIGVSAEHEEKFCALELFVALCVAGKMVGCVAAGLFFSMPFREATVLALMLNIRGIVEVAAINNWGDTMKATAEHYSTLTLSMVVITAVATPLIKLLYDPSGRFARAKRRTMEGSRPNAELRVMACLFSEDHAAPLLDLIEASGSSRDAPVSLIVLHLTELVGHAASVLKPHRKSRSSCGNPTPSDRIVNAFRYFEQQAPLGAVTVSPYVVASPYSSMQHDVCLLAHSRKANLILLPFHKSSDGARSTANNAIRGINRSVMQYAPCSVGILIDHGVAAGSACATASNSTLQRVALYFLGGADDREALAYVARMAECGVVAVTVVRLKLRDWVGMGGRDEMRDEEALQEFWQRYSSAGAERVAYVEKTVEDGEGTASVVRAMSDKFDLLVVGRREGGGDGAEGSSAAALTSGLSEWSECPELGVLGDMLASADFAAKVSILVVQQQAATRNDADY >ORGLA11G0007900.1 pep chromosome:AGI1.1:11:512234:515117:1 gene:ORGLA11G0007900 transcript:ORGLA11G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIASSSGILMDANGKANGSAPSALVAYFLGMGFSREMVFRAIKEIGDTDSEQILELLLTYQILEEEDEEEDVNWDEDDTVDNFDRATYSDGSGDEDFLQEMSEKDEKIKSLVSMGFPEDEVMRAITRCGLDASVDLLVESIYAPATAGNVYFTNLSDYEDTEFSSFGGRKKTKLIDGSKKKRERYRSRPQWNQVPFDGSHEEPMPLPNPMVGFSLPNDGLRSVHRNLPDQALGPPFFYYENVALAPKGVWTTISRFLYDIYPEFVDSKYLCAAARKRGYIHNLPIENRSHVLPIPPKIISEAFPSTKMWWPSWDPRRQFNCLQTCVASAKHTERIRCALGRFGDALPPAVQKSVLEECRKWNLVWVGKNKVATLEPDEMEFLLDTLGIIPGELAGQRDIELLGIHSKLIQLPTTSLC >ORGLA11G0007800.1 pep chromosome:AGI1.1:11:506199:508991:1 gene:ORGLA11G0007800 transcript:ORGLA11G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCSKGKEELEEEGFPWKHDAFFHDQLWSAGVSMHTKQGWKGANQDAMTTCQGDHLIIANLGDSRAVLCTRDSKDRPISVQLTTDLKPNLPSEAERILNCKGRVFAMDDEPDVPRMWLPDQDAPGLAMARAFGDFCLKSHGLICTPEVYYRKLSAKDDFLVLATDGIWDVLSNKEVIKIVSSATDHSKAAKQLVERAVRTWRRKFPTSMVDDCAVVCLFLKPSPSSSESTPGDAKPPQAVSFTGSFRKVLGGGGGEAEEGTNVWRALEGVARVNSVVRLPRMGAVLSWRRRSTSLEEDDEARID >ORGLA11G0007700.1 pep chromosome:AGI1.1:11:501369:504585:1 gene:ORGLA11G0007700 transcript:ORGLA11G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMPTPTHRVKRRRLDLSPPPHLNDLADELLFLILDRAAAHDPRALKSFSLVSRACHAAESRHRRVLRPFRPDLLPAALARYPALSRLDLSLCPRLPDAALAALPAAPSVSAVDLSRSRGFGAAGLAALVAACPNLTDLDLSNGLDLGDAAAAEVAKARRLQRLSLSRCKRITDMGLGCIAVGCPDLRELSLKWCVGVTHLGLDLLALKCNKLNILDLSYTMIVKKCFPAIMKLQNLQVLLLVGCNGIDDDALTSLDQECSKSLQVLDMSNSYNVTHVGVLSIVKAMPNLLELNLSYCSPVTPSMSSSFDMIHKLQTLKLDGCQFMDDGLKSIGKSCVSLRELSLSKCSGVTDTDLSFVVPRLKNLLKLDVTCCRKITDVSLAAITTSCPSLISLRMESCSLVSRKGLQLIGRRCTHLEELDLTDTDLDDEGLKALSGCSKLSSLKIGICLRITDEGLRHVSKSCPDLRDIDLYRSGAISDEGVTHIAQGCPMLESISLSYCTKLTDCSLRSLSKCIKLNTLEIRGCPMVSSAGLSEIATGCRLLSKLDIKKCFEINDMGMIFLSQFSHNLRQINLSYCSVTDIGLISLSSICGLQNMTIVHLAGVTPNGLIAALMVCGLRKVKLHEAFKSMVPSHMLKVVEARGCLFQWINKPYQVAVEPCDVWKQQSQDLLVQ >ORGLA11G0007600.1 pep chromosome:AGI1.1:11:495138:495377:-1 gene:ORGLA11G0007600 transcript:ORGLA11G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAYIFLFSFLLLSSLFSLLFFRPAAGELGGGEEAGTTMSRVTDMRTVMASTSSLAGAAFPWQTGHEAWDESHMSMHS >ORGLA11G0007500.1 pep chromosome:AGI1.1:11:492217:492519:1 gene:ORGLA11G0007500 transcript:ORGLA11G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCSSLSPLSLSLSLSLSPSSLARGNGGGERRKRLEAVTVAPGTAVGSGGGGGGDGGRKRRRRRWRWQRRSGAEKEAAAVAVGMAVESGEGGGGGWDGG >ORGLA11G0007400.1 pep chromosome:AGI1.1:11:487217:488026:-1 gene:ORGLA11G0007400 transcript:ORGLA11G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAPYFTITGAQRLIRSGNAAAAMRYYHDKIESIYSGDTGSAFVNGGVLKEIRDWVNAKRDTPLGEDEATTHMENTCHAIHDYLKLYFPAYRPQIAGKVRGHQVSRVWELGERLGKDGGRCLACHERVKGFNVTKLQNHLQGSVKRQGIQCPAINNYILSRLERILKEMNEDQ >ORGLA11G0007300.1 pep chromosome:AGI1.1:11:484898:486113:-1 gene:ORGLA11G0007300 transcript:ORGLA11G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGRSCSGGPRAGGGLKRRRAISGKPSSPPSYPFFRRRFRCDWFLVIESIGTEPKSWNCFCSASLILGRKKKGKRNKVSPLPATPHGSGFRSLFSIHYRIHFLKASFWFLTKGT >ORGLA11G0007200.1 pep chromosome:AGI1.1:11:481745:484887:-1 gene:ORGLA11G0007200 transcript:ORGLA11G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTLTDSLHERTIVFGLKLWVVIGISVGASLLGVLLILIVCLTLQTWIKRSRRTFKEIPITQIPSASKDIKEVRAVDEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFAQEDKTIQGEENSPVPLHYVDNYDGIQSVSTCEQSSSHAPVDSVPLPGLPEFSYLGWGHWFTLRDLELATNCFSKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLESWLHGELSQYSSLTWLARMKILLGTAXXLAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPTDEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRQRQNHISNNSETEPLRGKSSSGKSDAPENEMRPPRYKNRSFPPK >ORGLA11G0007100.1 pep chromosome:AGI1.1:11:476789:480686:1 gene:ORGLA11G0007100 transcript:ORGLA11G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLCSLAAAKEQYHEFVIRETTVKRLCKSQSIMTVNGQFPGPTLEIKEGDSLIINLINRGRYNVTLHWHGVRQMRTGWSDGPEYVTQCPVRPGQSYRYRFTVAAQEGTLWWHAHSSWLRATVYGALLIRPRDGTSYPFHVQPTRELAPILLGEWWDMNPVDVVRAATRTGAAPNISDALTVNAQPGDLYSCSSHDTALFPVTSGETNLLRFINAALNTELFVSLAGHNMTVVAADASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAPGGVFTADFPTNPPVQFDYTAQNVSRALWQPVAGTKVYKLKYGSAVQVVLQGTNIFAGENHPIHLHGYDFYILAEGLGNFDAGADTGKFNVEDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVDDGVGELQSLEAPPPDLPLC >ORGLA11G0007000.1 pep chromosome:AGI1.1:11:466575:471190:-1 gene:ORGLA11G0007000 transcript:ORGLA11G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEDGSCHPAVAPSGSQAEDYGSWILKQKLEDLINCDPIHGIMPKNPKYKAYFEERFEEKLSTYVRVVLPKLRPAIQKDSVKQFYKVYNCWSGFMGLGEFLYPDILTNMASQNALRCARVALQGTSPLLRRRRRADPNTRHRYGFAPLHMAAENFSVDMVKLLFRYGASANIRTKGEYVIEGLLPLHVAVENASMHKYIEDHWAHGDHIINLIFLLCLPEMKMFLDTTRLIAKQTDNIVDEVWNYIQHEEKHVQAAILLLAAEKQLRGRLNKSSGKASLNGFDIVKSRIGDALSTIHLEGLNMVQEGKNGRALKRLKDKKEALLTALVLVCIVHKAGEALDGYIQTHSQVRHDDIVEHVSSILKSNGIAHSGKSIDTGKFECYQHDGGMPIGKSDSQRPISLLITVGYGETIEADKSSSDTGEVSKMILGKQPPKGLAIKEVRNMFFPYWKSVLSRRLQLKIVPSCQPSRKDLLSAEASRKGTKSIDHPCNTIKSMGDRGLMSSESRRMLYTVASMSRKVFKRT >ORGLA11G0006900.1 pep chromosome:AGI1.1:11:465900:466415:1 gene:ORGLA11G0006900 transcript:ORGLA11G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDLGSSLVTLSMQYVLLGFSRVARTTVAAAASPTSGSLCAMLPDSCLPHRPQLGVAGCCQIGVRRRRTGGMNGGGAREAXTAAARGRRQWRASPVAMRTRQRRGDV >ORGLA11G0006800.1 pep chromosome:AGI1.1:11:462582:463801:-1 gene:ORGLA11G0006800 transcript:ORGLA11G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEQPGHGRMSEEHAGKVMREAVNNLAPRASGGEHGIHDAACPIEVPPVDEVSARELCGGWPAGGEEACEARWNRRRNPRSYHSPPPPHPRPRTRQDARPHGLPGPDQREDVVQLLPCWTLPTAYLAPAPRRRRRREGSPPAPAAWEGKHRRTRRRWMAPTGRGRMPPLSSIAARGETMEAGSVGGQARVDEAEADGANREREVAAVVIHARTWRDDGGRQRGRASAGGRGGGGWRRRGEGSRRHRHPWSSSMVARGKATEAGGVGGRAREDEADVDGADGEREDVAADLAPAPSAVAVVAAWGETLLLPHRRGRRRSPASRHLPLLPGTLAPPAGLLGLRRKSERKEEGREEGKNKVMTWTI >ORGLA11G0006700.1 pep chromosome:AGI1.1:11:460890:461306:1 gene:ORGLA11G0006700 transcript:ORGLA11G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTAVAAAPETSSRGSRTAAAAPEPYRTDGIEDGDGDSSRDLPPQMASRMAVAVAPETSHHGSRTEATTAALEPSRRRWRRGRRRRLPSPPMWMTPEPSRVDGVEDGSSDSSIDLSLQMALRTAAVAPEPSGGSRG >ORGLA11G0006600.1 pep chromosome:AGI1.1:11:457211:460308:-1 gene:ORGLA11G0006600 transcript:ORGLA11G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSGGDSQQFGKMVVESKSRRKXRRGGTTILAGTTDTEGRMDQEEEEEDDDQPADVLEDRKHQPADVLEDRKHRDGSIYRGTDYWSIYYRIADTNETPLKPMMLSDPTTDCRPNWFGCIVHSSSSMLQIFSLKLVSITAPAIGDDPIQVYGFMAVRDHMDCLRNYVFNRGRDKPFVVSLSDPFILLSGPKRGIGMETPALLEYDIRIKRGDGEDDDLQLIDRAATISETELPPPYAQAYTRWIAGDYGAVNISLALLHNAIEATMHIQITEVRGSGGFDMSMACRVGQIPNEIKLFESVAIAKPCQLNKRFVLAIVKRGILVLDLKVKRSGALEEEEPVRMLRGLKAKAHGQVILRMIFDCATILVLQTAVRHRLAKVQGYLKAKERSSRGNLMGKRVDFSARTVITPDSNIIMNWWKGTLMMVILFFSIGNPVFTKCISWGHRIKIMPYSTFHL >ORGLA11G0006500.1 pep chromosome:AGI1.1:11:453435:456473:1 gene:ORGLA11G0006500 transcript:ORGLA11G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPRRRTPPAPAAKQPAMLMLGPFPAHHRCTLFLLLTVTLLPSLAAAAAAHHHHVHAAGDGVVISQADYQGLQAIKHDLSDPYAFLRSWNDTGLGACSGAWVGIKCVQGKVVAITLPWRGLAGTLSERIGQLTQLRRLSLHDNAISGPIPTSLGFLPDLRGVYLFNNRFSGAVPASIGNCVALQAFDASNNLLTGAIPSSLANSTKLMRLNLSHNTISGDIPPELAASPSLVFLSLSHNKLSGHIPDTFAGSKAPSSSSLKESITGTYNLAVLELSHNSLDGPIPESLSGLQKLQVVDLAGNRLNGTIPNKLGSLADLKTLDLSGNALTGEIPASLSNLTTSLQAFNVSNNNLSGAVPASLAQKFGPSAFAGNIQLCGYSASVPCPTSPSPSPSAPASPAQSREATGRHRKFTTKELALIIAGIVVGILLFLALCCMLLCFLTKKRSGSGGKQTTSSKAAGGGAGAAVGGGRGEKPGSGAAEVESGGEVGGKLVHFDGPMAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKDFESEAAVLGKIRHPNLLPLRAYYLGPKGEKLLVLDFMPNGSLSQFLHARAPNTPISWETRMTIAKGTARGLAFLHDDMTIVHGNLTASNVLLDDHSNPKIADFGLSRLMTTAANSNVLAAAGALGYRAPDLSKLKKASAKTDVYSLGVIILELLTGKSPAETTNGMDLPQWVASIVKEEWTSEVFDLELMRDGDNGPAGDELVDTLKLALHCVDQSPSVRPDAREVLRQLEQIRPGPEGGAGPSEEGGAGHVAADSAGNE >ORGLA11G0006400.1 pep chromosome:AGI1.1:11:450896:452182:1 gene:ORGLA11G0006400 transcript:ORGLA11G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:I1QX22] MAAASPPLLPTTVLPANTTATVSPAPTSVSSADANPAATRAFLARLLDSVKRALSGARPWPELIDRSALSRPESLSDAGARLRKNLAYFRVNYAAIVALSLAATLLAHPFSLAALLALLAAWCFLYLLRPSDAPPLAAFGRTFSDRETLGGLIGASAFVVFLTSVGSLIFSALALGAAIVCAHGAFRIPEDLFLDEPDQANGAASVNLLSFITSATGGRELVLTMGERERSRELMRPWFGYMFSPSLLANALHKGIA >ORGLA11G0006300.1 pep chromosome:AGI1.1:11:446610:448608:1 gene:ORGLA11G0006300 transcript:ORGLA11G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNVSTNVNLDGVDTSAVLADASKTVATIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSIPKGRFYLKFYDSKAHRAQEHAQCLHALHQE >ORGLA11G0006200.1 pep chromosome:AGI1.1:11:442001:445869:1 gene:ORGLA11G0006200 transcript:ORGLA11G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1QX20] MAATSPPMTSVAAAALVLTPSPALNRISFPFSRRHCRSAAPPPRWRPTRCRGKPGVTEVVAEKETSPDGEEEEVRGRGWFMVDEIGMDILTIALPAVLALAANPITALVDTAFVGHVGSTELAAVGVSISIFNLVCKLLNVPLLNVTTSFVAEQQAVDLVFSPRIGNEISIPQEKASEQRRFLPAVSTSLALAAGIGLMETVALILGSGTLMDIVGIPVDSVMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFMDTKTPLFAVGVGSLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNNKIVLLSWNIIGGDVVHYLKSGALLIARTIAVVLTFTLSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARVVLYRVLQIGGITGVALATILFLGFGYLSLLFTDDPAVLDVAQTGVWFVTVSQPINAVAFVADGLYYGVSDFAFAAYSTLFAGAVSSAVLLVAAPKFGLGGIWAGLALFMSLRAIAGLWRLGSKGGPWKIIWSETE >ORGLA11G0006100.1 pep chromosome:AGI1.1:11:440535:440847:-1 gene:ORGLA11G0006100 transcript:ORGLA11G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGWYLKIAVGGAAIGASMELFMIHTGFYEKVTVLESEKRAWENSPEAQAMREALNPWRKHDEQEK >ORGLA11G0006000.1 pep chromosome:AGI1.1:11:435664:439752:1 gene:ORGLA11G0006000 transcript:ORGLA11G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPTTTTEQQGRRRGAGWRRWAVLVATVWIQAVTGTNFDFSAYSSALKASLGVSQEALNYLATASDLGKALGWSSGLALIHLPLPAVLLLSAASGLAAYALQYALILDYLHLPYVFLICLVAGCSICWFNTVCFVLCIRSFSSSNRPLALSLSISFNGLSAAFYTLFANALSPFSPSVYLLLNAIVPLVVSLVALPAILLCHPHDGHLHVVPKHDKRIFLGLYLLAFITGIYLVIFGSFNTTNSTAWVVLTGAMVLLTLPLIIPASSSCSHVDTHDPEPTVQLNHEDSRKPLLLNSDHSTESNAMMQKTVEHPMQDCCLGTVLEKGRMLVLCEEHSAKKLIQCVDFWLYYIAYFCGATVGLVYSNNLGQIAQSFHRESQLTMLLAVYSSCSFFGRLLSALPDFLHRKVSFARTGWLAAALVPMPMAFFLMWKLHDVNTLVAGTALIGLSSGFIFAAAVSVTSELFGPNSIGMNHNILITNIPLGSLLYGQIAALVYDANGLKMSVIDNRNGMVDTMVVCMGPKCYSTTFFVWGCITFLGLVSSIILFLRTRTAYSAAGGQQVVNTLAKFRLDRTP >ORGLA11G0005900.1 pep chromosome:AGI1.1:11:423053:426683:1 gene:ORGLA11G0005900 transcript:ORGLA11G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSALFSHLREVHKRSGVKEEKLIMKSPAAAGEAAGCHKPQATATNKMTVLQSPLGLRTILTSLVAFFIVVSSVSLLFDRSQDAQAQLAVAQHQHQEVQLKQKPASAAVGEQKSVFVDQSSLRSQEAQVQWTSELQDVATDSGDGGVDGEEECNWSLGRWVYDNSSRPLYSGLKCSFIFDEVACDKYGRNDTKYQHWRWQPHGCNLPRFNATKFLEKLRNKRLVFVGDSVNRNQWVSMVCMVEHFIPDGRKMRVYNGSLISFKAFEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIEKHANVWKDADFIVFNSYLWWRKQRDGMMMKVMYGSFEDGDAKLDEVEMVDGYEIALKKLTEYLGANINKNKTRIFFAGSSPAHSWASNWGGDDNNKCLNETEPIQIEDYRSATTDYGMMDKAKEIFGTLEPKGIHVQILNITQLSEYRKDAHPTIFRRQYVPLTKEQIANPSIYADCTHWCLPGVPDVWNEFLYAYLMHK >ORGLA11G0005800.1 pep chromosome:AGI1.1:11:411528:412004:1 gene:ORGLA11G0005800 transcript:ORGLA11G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSGSGTPCASCKLLRRRCTSECVFAPYFPAEEAQRFAMVHRVFGASNVSKMLLDVPPPQRPDAVSSLVYEANARMRDPVYGCVAAISFLQNQVSQLQMQLALAHAETAALQLQLQQQHQDQDDHHHQQCILENAAAHHQLMLQEAFLKKESMWT >ORGLA11G0005700.1 pep chromosome:AGI1.1:11:405662:407864:1 gene:ORGLA11G0005700 transcript:ORGLA11G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTSSPSSPPPSPTAGLGTRSSAYSTPRFPPAPTSPRCSTLAHPPAVCCARPPPRHSPPSPIWSCLAMRYEALLLRQAKYSDDLHLQVSNEEWLTFAKDSLDNGFYTIASKAFANALLHIDPSHPGYLDSTNSILKKDKINDISGLQNLAKSLSARHSVQAQSAEYMKRKASGVDEKCNLHLGKTKLPGSLMFRLGIKTRNIQKLRCSRESNLEDV >ORGLA11G0005600.1 pep chromosome:AGI1.1:11:402038:404461:-1 gene:ORGLA11G0005600 transcript:ORGLA11G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin [Source:UniProtKB/TrEMBL;Acc:I1QX14] MLPPRVAPAAAAAAPTYLAAASSTPASVWLPVPRGAGPGAVCRAAGKGKEVLSGVVFQPFEELKGELSLVPQAKDQSLARQKFVDECEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEERDHAEKLIKYQNMRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHSVASRCNDPQLTDFVESEFLEEQVEAIKKISEYVAQLRRVGKGHGVWHFDQKLLEEEA >ORGLA11G0005500.1 pep chromosome:AGI1.1:11:392650:397892:-1 gene:ORGLA11G0005500 transcript:ORGLA11G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRKREELAGEVHDLHKKTRADDEPADDNHTMTTGRAPEIDEDLHSRQLAVYGRETMKRLFASNVLVSGLNGLGAEIAKNLVLAGVKSVTLHDDDNVELWDLSSNFFLTEKDVGQNRAQTCVQKLQELNNAVIISTITGDLTKEQLSNFQAVVFTDISLEKAVDFDSYCHNHQPPIAFIKSEIRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMSELNDGKPRKIKNARPYSFTLEEDTTSYGTYVRGGIVTQVKPPKVLKFKTLKDAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRNDLRRFPISGSSDDVQRLIDFAISINESLGDSKLEELDKKLLHHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPAELKPENTRYDAQISVFGSNLQKKLEQAKIFMVGSGALGCEFLKNLALMGISCNQNGKLIVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLLNPGGYATAARTAGDAQARDQLERVIECLEREKCETFQDCITWARLKFEDYFSNRVKQLTYTFPEDAMTSSGAPFWSAPKRFPRPLEFSTSDPSQLNFILAAAILRAETFGIPIPDWVKNPAKMAEAVDKVIVPDFQPKQGVKIVTDEKATSLSSASVDDAAVIEELIAKLEAISKTLQPGFQMKPIQFEKDDDTNYHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLGGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMAWTVWDRWTITGNITLRELLDWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPPYRRHLDVVVACEDDDDNDVDIPLVSIYFR >ORGLA11G0005400.1 pep chromosome:AGI1.1:11:386444:389508:-1 gene:ORGLA11G0005400 transcript:ORGLA11G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWTIRVVYFIVFSFFLVSARFGSCAPCSEEGRALLRYSESERDKSTDSLSNRGEGKVIGRVLNLLLKEKMFSSETPSELKELGTISESVADGTGGFEHCRKCLAKTVHNATPRQLLQARQLISNQTQKHHKKQSPPSASHLVPRWAIYALTVAGVLFIAAVATAMYVFFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFVNVIGTLPECTLYKGTLSSGVEIAVLSTSLNSAQQWSARSEEQFRNKISVLSRVNHKNFMNLIGYCACDEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVRRPEAGKRAISMGEVARLVRGIAELSPEQAAPREKPLWWAELEIASSETA >ORGLA11G0005300.1 pep chromosome:AGI1.1:11:382391:384920:-1 gene:ORGLA11G0005300 transcript:ORGLA11G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSPASVQDYPDLQEDDDDDFQDDDDLDDEDKEDDDDQEPSPSPSDEARLQSVLRRLTAEEVRIRVHDVEIRGCCRTRRAAVEAAVGSDLPRAATVRDLVRAAAAAADRIRRLGAFDTVSITLDAAPPGIPGNAAVIVLVDVAEARGRAAGELGIFANKGTRSCSVQGSVKLKNLFGYCETWDASGDLGLDQTVELSTGVAIPRIGAIPTPLVARISFLSEDWLKSSLREHMMGVSVGLLSTMNHNLAYNLSWRTITDRALMSSNSIRGQLGHSLLSSIKYAYKVDQRDSRIRPTRGYAYLFSSQVGGLAPESKDARYIRQELDLRVALPLGVLNGALNAGVAAGIIHPLARGSTGSILPLSEQFYLGGNRSLMCRLGGPSSLLGFKKRGLGTDLQSSTPENSENVASTSPELSARGGDIAVTAFADLSFDIPLKPLRELGIHGHAFVSAGNLAKLTEPDLRKFPLAEFLQTFRSSAGFGVVVPTRLFRIEVCL >ORGLA11G0005200.1 pep chromosome:AGI1.1:11:376482:378141:-1 gene:ORGLA11G0005200 transcript:ORGLA11G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGKEEEEEDGGGGAPAAAANNYGSSTTSSTTEEEGSGESRRRTSSSSSVRPYVRSKNPRLRWTPELHLSFVRAVDRLGGQDRATPKLVLQLMNVRGLSIGHVKSHLQMYRSKKIDESGQVIGGDSWRSDDHLPMQGGGHGGQAYNLGHLSLPALHHRSITAGSGTIFQSRFGNSWSPWRCHGSYWLPAGHHLLVGSKPYYPPAAEAEAPFRRSSARYVARANTSNHPDFVQGSSSSPDDNIMNHQRPVLKEMICSEGSNHQEGPLNLDLSLDICPRGEKRKRECSWRKQEEDHDHATVAIGADEEAESCATGLSLSLF >ORGLA11G0005100.1 pep chromosome:AGI1.1:11:366722:370020:1 gene:ORGLA11G0005100 transcript:ORGLA11G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPDPAAVAAAPRQKATGLDRDSRIRRLRHRGPCRRKEQRYFSSSDLTSPDQIWPLKEVRRVIRGSTGRNERRGEEKKLETGWFWRRLCDWGAAAIFSFGRVLWMEFVSVPPAKFGPVTFDSTVCISGDHGNLSGGLQQFENPLSSGGVRPVPLWKRSTFLGISLLAIKLWDVHETIGSGRFDHLRNFVSVLSFGKTYVYESDLDSGSSSYKNTHVVSTTIRPLQTLEILLLGFDLGSGQCSLNIHLMAKSLSGGDKGTINAMVTRPKLKDDAVLDLGNKEVEDSRALVKAGQDLVAAPPADDQAVDVAVQSSSGVNLGPEAHMEGAVLEEEEDAIEVELDEEEVNLFEDMGRAWRLRAEMSYKSLKDNLFIVTFNAEGDHKFVLQGGPWIHRGDALIVADFNGLLSPSMVPLESVPIWVRIYDLPLVMMNKARGELYGSKLGKVREVDVQQDGSNKHDFFRIRVDFPVNRPLKRQIAIKIKIKGVEEIRRFNLRYERVPHFCFFCGFIGHSDKECEKRLANEAQPLMFSADLRCCPLKAFERKISKVKANQSAVVQRKLVFRGAGSASSSSAGNK >ORGLA11G0005000.1 pep chromosome:AGI1.1:11:363001:365890:1 gene:ORGLA11G0005000 transcript:ORGLA11G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKKLSELTSRGHTWNIKVKVTRLWDSATDELLSLDMILMDEQGDVIHASIWKKLIDNYKTQINESSVYVFSNFKVQESQKYRPVCNDLKITFMYNTKVKQVKETAESFPEYYFDFASIDTLQDRANKDQHLSDVIGLLTRMKPIETRMTRKNTPNPRLAEIREIELLLLGGDKIRLTLWGQLARFFSEDVIGNQTVVIVTSTTVQEYIGLSLRSSSATRIYTNLHIRETWTLIDRQFSEETIPKMMEVDKSTQGTREEQMFYNRKTLKDITEMRHGNPGSQEFVFTSKVTIDRVQENIQWWYRLRLQISDHTTSTSCTIFDDVAQSMLETPVSSLLNLLDGKNDEIPNIIQQLCGKQLIFKFKLSEQNLTEGTPNYVVKRTFVPDYMLEKQYLINKAEEELMDDDVDNILKQDRETDQQQELEDSDQEIMSEDELTSSDKPPSCKQIRRRTYIIEDDSEEESNETSMIKCVDAKVRGKNAKGAHAKPTKAEIRNDNYSHEINKSVVQESIKAGKRQAKTINGIGKESSVEKRKKTYVQPDNIACNTRPQRTRKMNSKCTDSA >ORGLA11G0004900.1 pep chromosome:AGI1.1:11:356898:358475:-1 gene:ORGLA11G0004900 transcript:ORGLA11G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILESPLLRDAGAAVLTGATALAVLRFWEEVGNRALLDQKLCRKLVHITVGLVYFLMWPLFSADDVYAPFLASLVIAFNIIKVTLIGLGIVKDDGVINSMTRNGDRRELLKGPLYYACAITLATVIFWRTSPISIAVICNLCAGDGVADIAGRRFGHVKLPYNPHKSYAGSIAMFLAGFLASILYMCYFHLFGFVEESWSMVIAFGVTSLAAAIVESLPISTRLDDNLTVPLASVLLGVLVFYYTGARNLCCMSADSSDISALVQNQMVLDRF >ORGLA11G0004800.1 pep chromosome:AGI1.1:11:351160:355890:-1 gene:ORGLA11G0004800 transcript:ORGLA11G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT3G25690) TAIR;Acc:AT3G25690] MLVRLGVVVVASVAALTLKRANSGSRDGQARKGKDKTRYSEHGEKEEEKEEVKTISGIINSALSDDDDMLSEIESLLSGEIDIPLSSDRFDVKERSWYNSVNSELERLRGLVRELEEREVKLEGELLEYYGLKEQETDVVELHRQLKIKMVEIDMLKMTINSLQEERKKLQDDVARGTGAKRELEAARNKIKELQRQIQMEANQTKGQLMLLKNQVIALKSKEEEAAIKDAEVQRKLKKLKELEVEVVELRRKNKELLYEKRDLIVKLDAAQGKITESDVVSHAREEINKLRHVNEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQAPSEKISARDLNKTLSPKSRERAKLLMLEYAGSERGQGDTDLETASSAPSSPRSEDFDNVSVDSSSSRYSFFGKRPNLMQKLKKWGRGKDDGSSLASPTQSFTSDSPKSASQKPKGPLEALMLRNAGDGVGITTFGKREQDPSDIMDEANVASSFHLMSKTVQGFADDKYPAYKDRHKLATEREKAIKEKAEKARVQRYGGVNSSGIVPSPRSALPPKLAQIKEKASTANVESSDQPSDNQNNPLVVTQLKLANIEKRAPRVPRPPPAPSATANTASALPPPPPHPPGAPPPPPPPGKPGGLPPPPPPPGSLPRNLAGGDKVHRAPEVVEFYQSLMKREAKKDTTSLGSTTSSAFDVRSNMIGEIENRSTFLLAVKADVETQGDFVESLANEVRAASFVNIDEVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDALREAAFEYQDLLKLEHKVSSFTEDRKLACEEALKKMYSLLEKVEQSVYALLRTRDMAISRYREYGIPVDWLSDSGVVGKIKLASVQLAKKYMKRVATELDALQGTEKEPNREFLLLQGVRFAFRVHQFAGGFDEESMKAFEELRSKMSTQTSAPQISDV >ORGLA11G0004700.1 pep chromosome:AGI1.1:11:343117:345365:-1 gene:ORGLA11G0004700 transcript:ORGLA11G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome assembly factor mrt4 [Source:UniProtKB/TrEMBL;Acc:I1QX05] MPKSKRNRPVTLSKTKKKPGLERKGKVVTDIKDAVEHYANAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGLHKLSKFLQGDTGLFFTNLPRDDVERLFREFEEHDFARTGSIATETVELKEGPLEQFTHEMEPFLRKQGMPVRLNKGAVELVADHIVCEEGKPISPEAAQTLIGIFDDVNIVTKPMRLLGMQMATFRLYLVCRWSSDDFEVYKEGLAQLRAGEADDSS >ORGLA11G0004600.1 pep chromosome:AGI1.1:11:334155:334607:-1 gene:ORGLA11G0004600 transcript:ORGLA11G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASSSVFAAFDKDGDGKISTSELRGCMAAALGEEVSEEEAAAILATADTDGDGLLDHHEFMRLSAAHQMQEPAEESLRCLREAFDMYAEEEETAVITPASLRRMLRRLGSEHQRLEMEDCRAMICRFDLNGDGVLSFDEFRVMMLMA >ORGLA11G0004500.1 pep chromosome:AGI1.1:11:323070:332757:1 gene:ORGLA11G0004500 transcript:ORGLA11G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin heavy chain [Source:UniProtKB/TrEMBL;Acc:I1QX03] MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIVDMAMPAQPLRRPITADSALMNPNTRILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKLLGLVTQTSVYHWSIEGDSEPAKMFDRTANLANNQIINYRCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVVGNENPSTLICFASKTTNAGQITSKLHVIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQISQKYGLIYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSASGGFYAINRRGQVLHATVNDATIVPFVSSQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRVAQLCEKAGLYLRALQHYTELPDIKRVMVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIVVQAAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGAYLSSSEDPDIHFKYIEAAARTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWDKVLQPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLFEEAFAIFKKFNLNVQAVNVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDATHFLDVIRAAEEANVYDDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVAVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRADVALELAWMNNMVDFAFPYLLQFIREYTSKVDELVKDRIESQNEVRAKEKEEKDLVAQQNMYAQLLPLALPAPPGMGGPPPPMGMPGMPPMGGMGMPPMGPGPMPAYGMPPMGSY >ORGLA11G0004400.1 pep chromosome:AGI1.1:11:316826:320752:1 gene:ORGLA11G0004400 transcript:ORGLA11G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPQQQSPPSTTTSPPPPPPSTPPPSAEHSHYVIVHQKMSTSPAQWGNPNGIKCVKETLPVLNYTKPLDLNHDMRMYDLVAKVAKNMKNVPVSLIDITRMSDYRKDAHTSLYSIRQGKLLTPEQKADPQKYADCIHWCLPGVPDVWNQILYTRILSKSSPPSPHPPLPPQ >ORGLA11G0004300.1 pep chromosome:AGI1.1:11:296954:300029:-1 gene:ORGLA11G0004300 transcript:ORGLA11G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATQEADCKASEDARLFFDAAKPPPFRIGDVRAAIPAHCWRKTPLRSLSYVARDLLIVAALLVAAASSIDLAWAWAWPLYWAAQGTMFWALFVLGHDWYFYSFIHRFHFFFSYMHQSIEISMKKQIENACKHSGHGSFSDSAMLNNVVGHLLHSFILVPYHGWRISHRTHHQNHGHIERDESWHPITEKLYRKLETRTKKLRFTLPFPLLAFPWYRSPGKTGSHFLPSSDLFSPKEKSDVIVSTTCWCIMISLLVALACVFGPVPVLMLYGVPYLVFVMWLDLVTYLHHHGHNDLPWYRGEEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPEKSGPLPLHLFGVLLRSLRVDHFVSDVGDVVYYQTDHSLNGTDWAEDAKHK >ORGLA11G0004200.1 pep chromosome:AGI1.1:11:291155:295826:1 gene:ORGLA11G0004200 transcript:ORGLA11G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVAAARQCLSPAAVPALDAAVASARRRAHAQTTSLHLISSLLAPPAPPLLRDALARARSAAYSPRVQLKALDLCFAVSLDRLPSVSASSSSGAADEPPVSNSLMAAIKRSQANQRRNPDTFHFYHQAATAQTPAAVKVELSHLVLAILDDPIVSRVFAEAGFRSGDIKLAILRPAPPMPLLGRLPTRTRPPPLFLCSFAAADDADVPSPAGNLAGAGEENCRRIAEILSRGRNPMLVGVGAASAADDFAAASPYRIIHVDPNTIDRSDLGVAAAMASATSGLIISIGDLKQLVPDEDAEAQEKGRRVVAEVTRVLETLSKVGRVWVMGWSATYETYLAFLSKFPLVDKDWDLQLLPITAVHAAATAGPAAAAAGLMPPATTVAAFSKPAASLMDSFVPFGGFLCDNYEENSLTANSCPQALRCQQCNDKYEQEVATIISAGGITAEDHHQGGLPSLLQNGSMMGPNNGFDPVKARDDQMVLNSKILNLRKKWNEYCLRLHQDHQRINRDPYKPFPRYIGVPTDKERSANSSKGSESVGVQKDVIKPCAVSAVHSSSTARPISSPSVTNKRNEDLVLNLQARHSKSDENLQERGMQSQHGTLSNVDNPDDHVSPSSAAPVETDLVLGTPRECSSKGSSSTCSKRVEDSERSVHLVPKKVDDLNLKHPQLSVQPNSCSWSSINVGKTSHSTLHSASGGFSAFGQWQKRSPLAAQNSDLSNYKLLVERLFKVVGRQEEALSAICESIVRCRSTESRRGPNRNDIWLCFHGSDSMAKKRIAMALAELMHGSKENLIYLDLNLQDWDDSSFRGKTGIDCIVEQLSKKRQSVLFLDNIDRADCLVQDSLSDAIKSGRFQDMRGKVVDINDSIVVLSRSMIQGSKNGLEEGLSFSEEKILATRGHRLKILVEPGRAITSGCPSGKVVVSPRHFLTKIQASLCSGSISKRKLSISDDQEKLQESPSSSKRLHRTSSVPFDLNLPVDEDEPLDADDDSSSHENSYGNTEKSIDALLHSVDGSINFKPFDFDKLADDMLQEFSNILRKNLGSECMLEIDVGAMEQILAAAWKSEDRKPVPTWLEQVFARSLDELKLKCKHVSSSTLRLVACEDTVPTVKGDGLGVLLPPRIILDC >ORGLA11G0004100.1 pep chromosome:AGI1.1:11:270399:275920:1 gene:ORGLA11G0004100 transcript:ORGLA11G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKTKQRRGIEESARMRMRDKGRLSDETPSEEGHEIIRFRRGWESLYSHPHRSFDATTFAPMRYTHXPILKHADCNYGLQIFSVKVNQLLLNEEEEEGLHWPLIVTRDSLDPRRNLLFNRTRDNCQILTQQDPFLLLTGPTRAVVLIDPVKFEIQLKAKGTNPPFIVRRRRRCKRSELEFALALLVRSVECPGCXWVVMAGXFGSTGCCPHGQYKXXGHQATXFSICTWWXSAHLPXXWCDXAFKACCFCGTSRRSGSXCTGFTXXTACXWXQGWLIGCCCCRXGQFHTXKGFNQLWDMXFRLLXVGNNRCLVPYRFPGKXAGFYMLELDLTNLIDLFTTTCLAYLEFWNVRQSNVYLCMDXXIWYMLLAAIYLNGRVHGMWSALLVKLNCSSYIQIYEATTPASIDGLKEHDRSSFIVILLPVNCCXLWALWLVLAAGCYGCVXIQTSVLFYHINLSYTHNFSVTSSPISTKIQTLNPTKHSLCYVLIEIFXKIKRWTAGATPRIHTXNTHMTVLNIXCRXLFTSCLMIRLIKKNKXICFCPSLIYHXINFKRXFAXSVXQFHMLSSWSDASNLXLSSRLRRNXLIFHTXKNFKECMYAYDRXVLKXFLGFRSNIXFIFSFKSYIHEDYKYDQLIXENQNIKXVXHFXMVEVYVKKXTLIVSMVMKKTRRGNPRDGERTKNKGPFELEDCHKIFGGLDFFSRRLPHCRPLRASARIKLSFLLGVXIXRXRHSRGSHNNGETGARQRGQQPPSCRCLTMSSCXGWTLSSSPSLLSLISHRLADWGGVVDKIGRGRARGEGGDRQVRDXMPLTCGVHYLFFGYGFSSLEGISACCLHVIXIVIKKKLTTXIVLPPFHIVIFFWTYLDSYIDECIYFLYVSRFINIFMNLGNARNSYIVERRTXQKEQIKLKIVIDLSYIHIYICYFCYNLXKLNFNLHVCEVIYFILIYLAKFFEICXRLFRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLFNLRFSSKQSPITTPCMIILTKIKLLTLEWXILAIFRAQRVIASASEVRSSSQSQCLIGRVRNRHGRIISKEGLFPVRPAQFIKKSCYLILKNNKMEKCLSMMTASFSFQGVLFRXNWLANSXFKCWLSIPSSSSKXLTMTTTTRRMRLLQNTRLFLILRKLHXVWRRVSYNXEEEEEEVLGFCV >ORGLA11G0004000.1 pep chromosome:AGI1.1:11:255558:256016:1 gene:ORGLA11G0004000 transcript:ORGLA11G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRCLSSKHGELELKSAALVRTVEATMESIQVTEGSWPDHLRGVVFCRTASVEGGDIVLLDSRDGRMPINCDGAVELSRRVVSVELRGELSVVVVAQANESSDIISRDKVVFTPDKAGRSSGVLNLGFCKVKATVCWSLLATLRQMLSGNP >ORGLA11G0003900.1 pep chromosome:AGI1.1:11:244598:246343:1 gene:ORGLA11G0003900 transcript:ORGLA11G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVCPMRYTEGPIPRYACCGDTLQIFSLQVKEAKGGLDWPLLVYGLVATRDSVDQRRNLLFKRTRDNCQILTPQDSYLLLTGPSRAVVVIDPVTFEVELKVKGKTEAEDKVLSLTVFMHHTVYPYTKNTHMIRRCLSSKHGELELTCAGLDRAVEATMVSIQVTEGSWPDHLRGLVVCRTGSVDGGDFVLLDSGDGRMPINSYDGGIELSRRVVCVELRGELAVDVVAMASSLNLNVVVARGSAVFKPRRAGSTSLLWDLGFCKVEATVCWSLLATLRQMLSGMP >ORGLA11G0003800.1 pep chromosome:AGI1.1:11:231938:235859:-1 gene:ORGLA11G0003800 transcript:ORGLA11G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRVGVRAPHGGRRPRRPRPPPTSLAPRPRRIQYGSSSSSFPPQVSPLSMLPPPPHPTQAXTAAAAAPPPSLHCFRFPGPXPAGGPGQAQIQATSGHIIPPXKQAPCLPLQPPFRKDGWGTTAFLATSRHPLHTVCLRPPPRPTSNSNSNSNRGQXRDXQSLSTTTDSPAQHPRGPPTLHTFHTLTHRVDXPSSTPGCQVFAIPXYSSRQEETLLCARLLPICRIXRNIWFEAATLVAVPPPVEISTGSVLKSALAGGLASALSTSVMHPIDSMKTRVQASSLSFPDLISTLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLKSVAPTLPDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQKDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKK >ORGLA11G0003700.1 pep chromosome:AGI1.1:11:228357:228755:1 gene:ORGLA11G0003700 transcript:ORGLA11G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKNSPAQWGNPNGIKCVKETLPVLNYTKPLDLNHDMRMYDLVAKVAKNMKNVPVSLIDITRMSDYRKDAHTSLYSIRQGKLLTPEQKADPQKYADCIHWCLPGVPDVWNQILYTRILSKSSPPSTHPSLPPQ >ORGLA11G0003600.1 pep chromosome:AGI1.1:11:225716:226075:1 gene:ORGLA11G0003600 transcript:ORGLA11G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGEPQERKGASPAAVAMSKPQQQSPPSTTTTSPPPPPPSTPPPASSSRSLLSALRRSPVTTLVAAFFLLALFMYGEDVRTLAELSIDDYLYPDADFYNVSGLPPLILPPPTCDLSRGRWV >ORGLA11G0003500.1 pep chromosome:AGI1.1:11:224165:224293:-1 gene:ORGLA11G0003500 transcript:ORGLA11G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVKSSAVGFLLYSVKEIDLLKKAYPEETEFKENAAWQVIY >ORGLA11G0003400.1 pep chromosome:AGI1.1:11:209109:212211:-1 gene:ORGLA11G0003400 transcript:ORGLA11G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATQEADCKASEDARLFFDAAKPPPFRIGDVRAAIPAHCWRKTPLRSLSYVARDLLLVAALFAAAATRIDVSVAWAAWPLYWAAQGTMFWALFVLGHDCGHGSFSDSAMLNNVVGHLLHSFILVPYHGWRISHRTHHQNHGHIEKDESWHPITEKLYRKLETRTKKLRFTLPFPLLAFPVYLWYRSPGKTGSHFLPSSDLFSPKEKSDVIVSTTCWCIMILLLVALACVFGPVPVLMLYGVPYLVFVMWLDLVTYLHHHGHNDLPWYRGEEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPEKSGPLPLHLFGVLLRSLRVDHFVSDVGDVVYYQTDHSLNGTDWAEDAKHK >ORGLA11G0003300.1 pep chromosome:AGI1.1:11:203112:207974:1 gene:ORGLA11G0003300 transcript:ORGLA11G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVTAARQCLSPAAVPALDAAVASARRRAHAQTTSLHLISSLLAPPAPPLLRDALARARSAAYSPRVQLKALDLCFAVSLDRLPSVSASSSSGAADEPPVSNSLMAAIKRSQANQRRNPDTFHFYHQAATAQTPAAVKVELSHLVLAILDDPVVSRVFAEAGFRSGDIKLAILRPAPPMPLLGRLPTRTRPPPLFLCSFAAADDADVPSPAGNLAGAGEENCRRIAEILSRGRNPMLVGVGAASAADDFAAASPYRIIHVDPNTIDRSDLGVAAAMASATSGLIISIGDLKHLVPDEDAEAQENGRRVVAEVTRVLETHSKVGRVWVMGWSATYETYLAFLSKFPLVDKDWDLQLLPITAVHAAPAAAGPAAAGGLMPPATTVAAFSKPAASLMDSFVPFGGFLCDNYEENSLTANSCPQALRCQQCNDKYEQEVATIISASGITAEDHHQGGLPSLLQNGSMMGPNNGFDPVKVRDDRMVLNSKILNLRKKWNEYCLRLHQDCQRINRDPYKPFPRYIGVPADKERSANPSKGSESVGVQKDVIKPCAVSAVHSSSTARPISSPSVTNKRNEDLVLNLQARHSKSDENLQERGMQSQHGTLSNADNPDDHASPSSAAPVETDLVLGTPRECSSKGSSSTCSKRVEDSERSVHLVPKKVDDLNLKHPQLSVQPNSCSWSSINVGKTSHSTLHSVASGGFSAFGQWQKRSPLAAQNSDLSNYKLLVERLFKVVGRQEEALSAICESIVRCRSTESRRGPSRNDIWLCFHGSDSMAKKRIAVALAELMHGSKENLIYLDLNLQDWDDFSFRGKTGIDCIVEQLSKKRRSVLFLDNIDRADCLVQDSLSDAIKSGRFQDMRGKVVDINDSIVVLSGSMIHGSKNGLEEGLSFSEEKILATRGHRLKILVEPGRAITSGCPSGKVVVSPRHFLTKIQASLCSGSISKRKLSMSDDQEKLQESPSSLKRLHRTSSIPFDLNLPVDEDEPLDADDDSSSHENSYGNTEKSIDALLHSVDGSINFKPFDFDKLADDMLQEFSNILRKNLGAECMLEIDVGAMEQILAAAWKSEDKGPVQTWLEQVFARSLDELKLKYKHVSSSTLRLVPCEDTLPTVKGDGLGVLLPPRIILDC >ORGLA11G0003200.1 pep chromosome:AGI1.1:11:180489:181308:1 gene:ORGLA11G0003200 transcript:ORGLA11G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSKPTQELGVVSPDMGVRIHTSRGLVLDDGDLVDDDHLLEHDEQLHVHYLLGHVSHKLLDTLLLYSTHATTTPPPAGTMTVAPSTPNAPQAMVAPDAPPTREGLRKNXKKQSRPSSSTACNVNFKFYSGKTXNKV >ORGLA11G0003100.1 pep chromosome:AGI1.1:11:178152:178864:-1 gene:ORGLA11G0003100 transcript:ORGLA11G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWYIRKSIAPLLLAKHSSRTSSSAVNGGSISFGAADSTTLARSTAARRIHLTTPSCFDNNDDSGHLAVLSSFDNNDRYLVAPRHGQAKRPVRRXHEKHLDARLGDASESKPPQPISLVKPFPSNQSQPKLETNPFYPLQPNPLAGLTTELACEIHSTSTT >ORGLA11G0003000.1 pep chromosome:AGI1.1:11:170139:172178:1 gene:ORGLA11G0003000 transcript:ORGLA11G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:I1QWY8] MADQQEALAVARRMAEQRVRLHLQRSMGKRQGSMLDICSTTVDGMPVTPPDLKLAIPAGEFGRPEDRQTFHIVELENPQDPTSMYVQLLFRETDLYFVAFRPLAPGVNPDSANGWFHFKQAEPIIPSFLNSHQINYGYGYINATNYQVGTGCLSDIYFCLREFTSANARLQSQQRRRVLMVCGLMLSETQRFMQMQREVIENIHANNGERQDITHLDGLIHDWGVESNRRVAAADHQHQHGGGEAPAAAPGQVVDYGLWVLKYNPHYVLPLIRRQLQQHPQPPFPPLTPRQKKQQLRRRLLQQRLRQLRLLQPHQHLHQQALYL >ORGLA11G0002900.1 pep chromosome:AGI1.1:11:168816:169277:1 gene:ORGLA11G0002900 transcript:ORGLA11G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRCLSSKHGELELTYAALVGTVEATMVSFQVTEGSWPDHLRGVVVCKTASVEGGDIVLLDSRDGKMPINCNGAIELSRRVVSAELGGELSVDLVALQANNSSEIVSRGRVVFTPDEAGRSSGVFDLVFCKVEATVCWSLLATLRQMLSGNP >ORGLA11G0002800.1 pep chromosome:AGI1.1:11:166389:168689:1 gene:ORGLA11G0002800 transcript:ORGLA11G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLEEAVTISGKLPLEEGMGKGKRRPVVAELEDEEEFEDEELTEQIIARDFRVSWEHRFSPRYSFHDTTTVSPMRYTEGPIPRYACCCDALQIFSLQVKEAKDGLDWPLHVYGLVATRDSVDQRRNLLFKRTRDNCQILTPQDSHLLLTGPSRAVV >ORGLA11G0002700.1 pep chromosome:AGI1.1:11:143098:143412:-1 gene:ORGLA11G0002700 transcript:ORGLA11G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSSSDAVTDPPPPPPRSSNPLACLLFLIDETSMSGSDHLLDALLAAARAARAALSHLHLPFPGSNPKPHYSDDLHLLGVGVAHFIHRSPPFTIRACFRRTKHPP >ORGLA11G0002600.1 pep chromosome:AGI1.1:11:141860:143073:-1 gene:ORGLA11G0002600 transcript:ORGLA11G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding HQLLLCIGIAFHNLLDNHLLHHFHTLLIHANKPHFDAFLSNLPFAKLKVAPPPQPSASVSPAPPTPAQTGDKEDTDTAANHSPRSTLPVRLLNIPVDRLRSTLSTLSLTDLIDLVARSLPSPDTHPDKKKLFSVHDFFRYAEFEGKRFFEELDRDGDG >ORGLA11G0002500.1 pep chromosome:AGI1.1:11:141370:141726:-1 gene:ORGLA11G0002500 transcript:ORGLA11G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAQHVLNRDLEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQLIVFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIQTESDSADSVHEKRTTVGSRG >ORGLA11G0002400.1 pep chromosome:AGI1.1:11:137285:139438:1 gene:ORGLA11G0002400 transcript:ORGLA11G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAKLPLVDIGEEEEALVFEDEEKTELIRDSYLQLTGPSRAVLLIDPVTFEVDLKVKGKTETGDKELSLRVFTHHMAPSYVKYSPMIRRCLSSKHSELELAYVVLADTIEATMVSVQVIEGSWPDHMRGREGISCCLILEMEECLS >ORGLA11G0002300.1 pep chromosome:AGI1.1:11:135962:136387:-1 gene:ORGLA11G0002300 transcript:ORGLA11G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSCPHGKKRGSHPSAPLLSFFSTSGCRSHPAQCRTVAASEDPTGGRAEATQVWRKCVALAPSQAAWCTVTPRARLRGAAGPNNTVAYTASTAPPYGSAGTSGAILATSLGSSVASSSTPALSCSATVKVTASSGWSGGDEG >ORGLA11G0002200.1 pep chromosome:AGI1.1:11:132873:135028:1 gene:ORGLA11G0002200 transcript:ORGLA11G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPEEPSDASCALAIHAADLARAEQDHRDAQACRAYHARAAASVRVAAHDALFTRDLAAIPEDKWAHDGDYFERPLPLEGGGALFRVLFKGMAMSGIWRPRQKRLVDLINEAFSAKQKFEQCEILCVARTQVNYVTKLATDSLHTQIAKAAVVSAGKEKKENCTICLEDTDVSKIHAVEGCAHRFCFSCMKEHVKVKLLHGMLPACPQDGCTTKLNCGGFKDVPISTVITDHGAAYQGSTNSSNSQDLLPISQVLSLDVHERTDTSNARIILKMTCYDYKRRYRHARLEDAYLQNLAQQRLWRQCIRCKHMIELAEGCYHMTCVCGYEFCYTCGKEWKEKKATCSCPLWDERNIIRDDPQGNAGIHDDPEDEYDDYYDEDENNYYVGEGLQYNVDYHRQYDGGDRHHGQFYQYNR >ORGLA11G0002100.1 pep chromosome:AGI1.1:11:130996:131286:1 gene:ORGLA11G0002100 transcript:ORGLA11G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLTHGVVDEGSGERRWGWIWLVAEEAGKEAARRGRGEGGKRKTRRWSRRVAEESRTTPSASGGGGGDGGDAAQLDGELKLNFLEKKPRVASGK >ORGLA11G0002000.1 pep chromosome:AGI1.1:11:126473:130659:-1 gene:ORGLA11G0002000 transcript:ORGLA11G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLAVPHPCSHRFRYPISFTSLIISSWTGNKENNISQNRHHVLDCQTPCEGKGAATSKKRKNKPAGGFNLRKSIAWNPAFFTEQGVLDNTELSMLTGSQVKATRSPASGFSSTFSPLSRFGKSSNTSVLKEVGENSRGKFPSKCLSAENKGRKLFASSKASEQDERKAPAGTQDKRSAKAVQKSIPRPPAGYKYPYGDSGIIGYVLFFFLNRIMPLPVPNSSGTGQIQRIPKKSEPSPSVVSRSRSTSSVTNVPKPTTRPATVTSERTHKVEGLPLESKTERSSVIKSSGPTIGKNMVPTVTAICQETNGSGKCETFSPYSQDNPSSSVVAPARISAKPSALRMPSPSVGFFTQGKASVSHSDNAQRNPERCFSGNISSLVKPPSLPVQPATRDPNVLASSLPGVEDTNVRSLKQSLSESTVPYSEKSGNINYQEMPDDDFSLAGNGATTELSFRDNDGGRNIMPNECSVALSVGQDLNAICCSSIEPAEDSCFLKVICSSSEPTVGRNLTTSCISSPGCTPNDLNSQSKSDNGETAVDIENSLSGETSGTVCSSEGNNCTSATDSLRKSDSCHQQNMLVQSVHCTDQMPQFDSSTGIKPSLAYSQLDSNTSLCSEVQLTSSEGPDIDSEMELDTDDAFTVEEPPLLHVGGECDHDYRSAESSHMNLAAPSPRVDQKALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDTEDTIEFDTKLSSSEGASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKNVRTSD >ORGLA11G0001900.1 pep chromosome:AGI1.1:11:123137:125245:1 gene:ORGLA11G0001900 transcript:ORGLA11G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09650) TAIR;Acc:AT3G09650] MSSNCSSSSNALVQHYYSCKPPPPPRLVLVPFFFSGSGSGTNNTASSPAAASSTHTHTHTADANAQLDAHLLSLLRDGHTDAAYHLFASNPSLPLSPVSSSRLLAQLSYSSFSRASALLHRLRARQALHLLDANSLSLASSAAARSNNPHLAYSLLLSMLRRGLLPDRRAYTAALARLPPSRALRLFDALLHHLRHHHNKTNSLPDTAAFNAALSACADAGDCIRFRHLFDQMPAWNAPPDALTYNVLIKMCARAGRKDLVARVLHRILSSGLTPCATTFHSLVAAYVGFGDIPTAERIVQAMRERRTDICLLFRAVADDHIISHDQQSCVLEDIVKPWEQDEVPLLPKAYPPNSRVYTTLMKGYMNAGRVEDVVAMLRAMRREGETSPASRPDHVTYTTVISTLVAAGDMERARAVLEEMGQAGVAASRVTYNVLIKGYCQQLQAGKAKELLAVDMAEAGIQPDVVTYNTLIDGCVLTDDSAGAVALFNEMRERGIAPSAVSYTTLMKAFAASGQPKLAHKVFDEMEKDPRVAVDRAAWNMLVEAYCRLGLLESAKKVVERMKARGVQPDVATYGSLAKGIAVARRPGEALLLWEEIKEKEKEVDGEVVEALADVCVRAALFRKALEMVARMEEMGVEPNKAKYKRMYVDLHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNSYYATDWRLQDGLN >ORGLA11G0001800.1 pep chromosome:AGI1.1:11:108429:108764:-1 gene:ORGLA11G0001800 transcript:ORGLA11G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WQARSHMESGDIHGIIDQSLDAGYDLQSVWKIAEVATMCVKPKGVLRPSISEVLKEIQDAIAIELQRELPSSIHHLMSKTSPSEAVNTTGSLQDLEQNASFDELLMRPGLR >ORGLA11G0001700.1 pep chromosome:AGI1.1:11:106048:107891:1 gene:ORGLA11G0001700 transcript:ORGLA11G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSDDGDRTCPLCAEDMDITDQQLKPCKCGYEICVWCWHHIIDMAEKEDTEGRCPACRTRYDKDRIVKMAATCDRTVVEKNVDKKQKTQKVKSKAAVTVEAKKHLASVRVIQRNLVYIIGLPANLCNESILERREYFGQYGKVLKVSVSRPTGAPSQQAPTNNSISVYITYAKEEEAIRCIQAVHNFVLEGKVLRACFGTTKYCHAWLRNMVYSLLHPG >ORGLA11G0001600.1 pep chromosome:AGI1.1:11:102868:103587:-1 gene:ORGLA11G0001600 transcript:ORGLA11G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGEEEEERVLSHGDVVLLRCDLTILRGPHFLNDRIIAFYLAHLAADHHDDDLLLLPPSVPYLLSNLPDPASVAAVADPLRLASRRLVLLPVNDNPDVSHAEGGSHWTLLVLDNSNAVSGPRFVHHDSLPPTNLPSARRLAAVLRPLLPASAIPLIEGPTPRQTNGYDCGVFVLAVARAICNWWLTRARHSDSDSDWLEAVKREVNADSVKAMRTQLLQLIHTLIQNNTTTNQHSC >ORGLA11G0001500.1 pep chromosome:AGI1.1:11:98858:99580:-1 gene:ORGLA11G0001500 transcript:ORGLA11G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGEEEEERVLSHGDVVLLRCDLTILRGPHFLNDRIIAFYLAHLAADHDADDDLLLLPPSIPYLLPNLPDPASVAAVADPLRLASRRLVLLPVNDNPDVSHAEGGSHWTLLVLDNSNAVSGPRFVHHDSLPPTNLPAARRLAAVLRPLLPASAIPLIEGPTPRQTNGYDCGVFVLAVARAICNWWLTRARHSDSDSDWLEAVKREVNDDSVKAMRTQLLQLIHTLIQNTTTTNQHSC >ORGLA11G0001400.1 pep chromosome:AGI1.1:11:96610:96855:-1 gene:ORGLA11G0001400 transcript:ORGLA11G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDGRIAEIVYNGEHNHPKPHPPRKPTLSTSVETLVATNDAGLENKLEGCDQAIGSDAVVEALRGGCHCLDGFRNGNEISD >ORGLA11G0001300.1 pep chromosome:AGI1.1:11:92852:94828:1 gene:ORGLA11G0001300 transcript:ORGLA11G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXIYDSLDSFFGDLFSYHSVNLQLAKMPPLCQSRLLTALVSEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYENLSQTRMAGTGRRIINSESDPALNAEHRNTRRPPSSSSVTGLPPMGRPMPSSQMSDRRGGSSASNARKDEYNWRYDADDISEEVLRASSALENVQLDRKARNLTTSWRHPGDGAE >ORGLA11G0001200.1 pep chromosome:AGI1.1:11:89464:91197:1 gene:ORGLA11G0001200 transcript:ORGLA11G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARLLPVAAKRLTTTARARRLSTSTSTSPPATAVLYDQHGPPDKVLRVAELPAAEIGERDVCVRMLAAPINPSDLNRVEGVYPVRPPLPAAVAGYEGVGQVHALGAAVDSRLLSPGDWVIPSPPSLGTWQTYIVNPATAWHRVRSDVPPQYVATVTVNPLTALRMLCDFVNLAPGDTLVQNGATSIVGQCVIQLAKLHGLHTINIIRDRPGSQEAKDKLKQLGADHVFTESQLDIKNIKSLLGALPEPALGLNCVGGNAASVILKFLRQGGTMVTYGGMSKKPVTVSTSSFIFKVSTSDLWSLTVVDRVSNFICNLLQLCTLNVYTNLLLEASGIVILD >ORGLA11G0001100.1 pep chromosome:AGI1.1:11:88165:88521:1 gene:ORGLA11G0001100 transcript:ORGLA11G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAACSCCCHAMVGMPCYLWSTLRSTRRVRRVHCHDDDNVEEGGGGWDGSLSDFGWSSSKDEEGSTSTEGGEYGGHGGGRRERRNQDQMRRSLRLRXXXXXXXXXXXXXXXXXXXXXX >ORGLA11G0001000.1 pep chromosome:AGI1.1:11:85062:86976:1 gene:ORGLA11G0001000 transcript:ORGLA11G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARLLPVAAKRLTARARRLSTSTSTSPPATAVLYDQHGPPDKVLRVAELPAAEIGERDVCVRMLAAPINPSDLNRVEGVYPVRPPLPAAVAGYEGVGQVHALGAAVDSRLLSPGDWVIPSPPSLGTWQTYIVNPATAWHRVRSDVPPQYAATVTVNPLTALRMLRDFINLAPGDTLVQNGATSIVGQCVIQLAKLHGLHTINIIRDRPGSQEAKDKLKQLGADHVFTESQLDIKNIKSLLGALPEPALGLNCVGGNAASVVLKFLRQGGTMVTYGGMSKKPVTVSTSSFIFKFRKKKPCMEV >ORGLA11G0000900.1 pep chromosome:AGI1.1:11:83358:83678:1 gene:ORGLA11G0000900 transcript:ORGLA11G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAACSCCCHAMVGMPWYLWRTLRSTRRVRRVHCHDDDNVKEGGEGWDGSLSDFGWSSSKDEEEEGSTSTEGGEYGGHGGGRRERRNQDQMRRSLRLRLMSFRWV >ORGLA11G0000800.1 pep chromosome:AGI1.1:11:75301:82154:1 gene:ORGLA11G0000800 transcript:ORGLA11G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSNYCGRILNYKKDGTPFWNLLTIAPIKDEDGRLLKFIGMQVEVSKYTEGKKDTVVRPNGLSESLIKYDARQKDHARSSVSELLLALKNPRSLSESSNNTLKRKSQESLSMSMSEVPSKRSSESGSRRNSRSGTRSSLQKINEVPDQVNRTRKSGLRAFMGFLGMGHGSVEKNMLKPRDEDPLIDSDDERPESFEDEFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYNREEILGRNCRFLQGPETDRATVRKIRDAIDNQAEVTVQLINYTKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVKKTADNIDEAAKELPDANLRPEDLWANHSKVVLPNPHMKDTASWRAIQKVFLPEDADEKKGRKNRSYPIFFAEPMRASNSFVGTEEYIAPVRVYGLL >ORGLA11G0000700.1 pep chromosome:AGI1.1:11:46139:46833:1 gene:ORGLA11G0000700 transcript:ORGLA11G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSMLLSAEVVTTVTGCRAGDWAYKLTWQRSAPPASVLTSPTSMPSLLALSVTFLGALPSSFFLPVLLAQDTWVWESNDDTLVIELAFSCFGVAGSSSQGLYGWSYVPSEKNMGTI >ORGLA11G0000600.1 pep chromosome:AGI1.1:11:39726:40331:1 gene:ORGLA11G0000600 transcript:ORGLA11G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRLCARHQQQPSPPSSTVPPAPTAAAAGAVVQVHPAVMQLHHHHHHHHPYAAAAAAQSHHLQQQQQAEWPAAVDYCSTASASASATAADMAIPPCCRPLKTLELFPTKSTSGGLKEDCCSSSKSSSCSTSTN >ORGLA11G0000500.1 pep chromosome:AGI1.1:11:28472:29348:-1 gene:ORGLA11G0000500 transcript:ORGLA11G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWSGKGDGRGQHSGKRASTNSGACNGGTWGTSRVEDRRRVLLWKRIRWRSVHRCLFFKHVHSTLTTARCTDTTSSMPLYALRPSLRLSLLVPFDTGAILKLVDNLARTVLAAPLCASSRVVPRLGKPGVIHCSPVSPYLSSAHHFTPWSLERSCARTTTLRCPLCVMWLGYLVISTTDFSYIDHSYSMHGFIDHGYFLPFTLATSITTQKAIICVEHSPRIFL >ORGLA11G0000400.1 pep chromosome:AGI1.1:11:27454:28071:1 gene:ORGLA11G0000400 transcript:ORGLA11G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRNLNPNSLGXCXSLKFVAXPGPAYAQRGGGLARNVKHIRSTLAGRGLRTRGRGXRAASSPAPAATIIYNAPAHELPPSLVNLSNGGGAAAAIIPTRSVPEWXPEMQASLARDEMCGGAGMNLHLCDANKMEQVAAYVGVSSMDLCAYLHYSWPPV >ORGLA11G0000300.1 pep chromosome:AGI1.1:11:13362:23898:1 gene:ORGLA11G0000300 transcript:ORGLA11G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVLSTLPASCEQHSVATNLDNPGNNETVMAHDVQTAAVSSFDLKGIEKHVESLHDAMELDGTESSKMNLIADFTAPLSSDDNLVCYAIPCSMELSDTSVVNKCPHQSTGFPDYAKACTECDSGLTSCERVDRATQDHDILSGSSIYSKNKDLYSYSDISVFSVTHKDFAEPLELPPCGKDDEAPPDLAAQLHCNSCKDVMMPPQVISDEVEPVDRGATILVEHTPCGPETALTAFLYDKGSIDTTSKTDELAKQNSNSLEGDVAKIHEQLLNYSYASGEVEISLTRSEKRTKKLRSQHPIYVPFLGFLKSVSFKKKASKMYHHQGWSSSSSSSSLLRRVEEEAVGRYSAEERRERIDKYRSKRNQRNFDKKITYACRKTLADSRPRVKGRFARNSSDDGAAAEVEVSPATNNNVPEWWPAVQEALARQEQEAAGLHLCDTADDDLLAAYLGVSSIDLYSPRGH >ORGLA11G0000200.1 pep chromosome:AGI1.1:11:6564:8883:-1 gene:ORGLA11G0000200 transcript:ORGLA11G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TASGRRRMRRRPRVRGGGGDSVADWGNGAKAGMRRGAAELVVAAARAAVACGRSSAEGGGDGGVDQWGLEGQPEEQGRGEAVFREMVVEVSYWELSDGEMAVALLAVVGKRRWAGSTQRETGVNQQWRMQWGTWGTSRVEDRRRVLLWKRIRWRSVHRCLFFKHVRLTLTTARCTDTTSSMPLYALRPSLRLSLLVPFDTSAILKLVDNLARTVLATPLCASSRVVPRLGKPGVIPCSPVSPSLSSAHHFTPWSLEPSCARMTTLRCPLCVLXLGYLVISTTDFSYIDRSYSMHGFIDHGYFLPFTLATSITTQKAIICVEHSPGIFL >ORGLA11G0000100.1 pep chromosome:AGI1.1:11:5584:6192:1 gene:ORGLA11G0000100 transcript:ORGLA11G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LETXTLTRWVSVSRXSSLLDQAPPMPKRRRPGTKCEAYQKYACRKRLADERARVKGRFVSSSGGNDNNAPAHELLPSLVNLSDGAAAAAIIPTRSVPEWWPEMQASLARDEMCGGAGMNLHLCDANEMEQVAAYVGVSSMDLCAYLHCSWPPV >ORGLA10G0152500.1 pep chromosome:AGI1.1:10:16909020:16909803:1 gene:ORGLA10G0152500 transcript:ORGLA10G0152500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPMEQVFEHYDKDTLKMAMLKHEETFRQQVHELHRLYRIQKLLMRDLKRELKNQSNMSTSSPNGFAEYSRAALDAMRSYEQCYGAATRRGAAVHHAAAAARAALSLVPAVEYAQSPEEEDAEETDDEEEAAAAELELTLAVGAAASAKKRYSRNEHHSPGQSFSSSSTESDVLVTGVRDADAASPPYHHKRRPGPAAAFDVVQVDDGGVQAAPPPPPLLFHWLSLRMA >ORGLA10G0152400.1 pep chromosome:AGI1.1:10:16899066:16900341:-1 gene:ORGLA10G0152400 transcript:ORGLA10G0152400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QWE1] MHAKRFSKMARPSSSWWMALLVVAAVAQLGASDLRTDYYNSTCPNVESIVLGVVKDKMQATIRTIGSTVRLFFHDCFVDGCDGSVLITSTAGNTAERDAPDNLSLAFEGFETVRSAKAAVEAACPDQVSCTDVLAIATRDAIALSGGPFFPVELGRLDGMRSSASNVAGKLPQPNNTLSELVAIFKSNGLNMSDMVALSAAHSVGLAHCSKFSDRLYRYNPPSQPTDPTLNEKYAAFLKGKCPDGGPDMMVLMDQATPALFDNQYYRNLQDGGGLLASDELLYTDNRTRPTVDSLAASTPDFYKAFADAIVKLGRVGVKSGGKGNIRKQCDVFN >ORGLA10G0152300.1 pep chromosome:AGI1.1:10:16890035:16890441:-1 gene:ORGLA10G0152300 transcript:ORGLA10G0152300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVLQQKEAGEEDVVVVVVGAGIAGLAVALGLHRKGVKCRVLESSPELRASGFAIATWRNALQALDALGVGDKIRKFHLHLQE >ORGLA10G0152200.1 pep chromosome:AGI1.1:10:16873432:16877158:1 gene:ORGLA10G0152200 transcript:ORGLA10G0152200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALMGMHFVVRVCREQDGYEVLRKLGRGKYSEVFEGFRPGGDERCVIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDDESKTPSLIFEYVNNTDFKVLYPTLLDYDIRYYIYELLKALDYCHSRGIMHRDVKPHNIMIDHEKRQLCLIDWGLAEFYHPKMEYNARVASRSYKGPELLVDLLDYDYSLDLWSLGCMFAAMIFRVDPFFNGQDNYDQLVKITEVLGTEDFYNYLEKYGLELDPQLERLVGRHNRKSWSMFVNSGNRHLASPEAIDFVDRLLRYDHQERPTAKEAMAHPYFNPVRST >ORGLA10G0152100.1 pep chromosome:AGI1.1:10:16869651:16871949:-1 gene:ORGLA10G0152100 transcript:ORGLA10G0152100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PFR7] MGAGIRILVVMLAVAAAGSGVVAQLRRDYYASVCPDVETIVRDAVTKKVQETSVAVGATVRLFFHDCFVEGCDASVIVVSSGNNTAEKDHPNNLSLAGDGFDTVIKARAAVDAVPQCTNQVSCADILVMATRDVIALAGGPSYAVELGRLDGLSSTASSVDGKLPPPSFNLDQLTSLFAANNLSQTDMIALSAAHTVGFAHCGTFASRIQPSAVDPTMDAGYASQLQAACPAGVDPNIALELDPVTPRAFDNQYFVNLQKGMGLFTSDQVLYSDDRSRPTVDAWAANSSDFELAFVAAMTNLGRVGVKTDPSQGNIRRDCAMLI >ORGLA10G0152000.1 pep chromosome:AGI1.1:10:16866967:16867401:1 gene:ORGLA10G0152000 transcript:ORGLA10G0152000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQHQRSIEHCSIGCFLASPPPRFFPARTRSAPGELRMKLVVFLIRGCPGEVLLRPIVPAKEGLRTRTKWHILQRFCKLEIISIETETMITISSRSIIKSRCKKSNKKILVFFLSMSVKFLLITTRRSLSVQKRSSTFSQLLH >ORGLA10G0151900.1 pep chromosome:AGI1.1:10:16866595:16866954:1 gene:ORGLA10G0151900 transcript:ORGLA10G0151900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDRAAVPVKRVWLGLAARLGLRRTSGLGKLKKEVRTCEYHDVHIMWEMLRKTDAPVPMAEKEAAAAAAVAAAAGARRRKAAWRRFLYYCCAF >ORGLA10G0151800.1 pep chromosome:AGI1.1:10:16858211:16862501:1 gene:ORGLA10G0151800 transcript:ORGLA10G0151800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGIGNGRGGNPNYYGRGPPPSQHQQHQHQHQQPPHPHHHQYVQRQPQPQQTPHNSQHQQWLRRNQIAAEAAGASEQKAPPVADGIDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIVRLYQPVHLLVGTPGRILDLTKKGVCVLKNCSMLVMDEADKLLSPEFQPSIQELIRYLPSNRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKSAETYLHRVCIEELRFFYNFCYSGLPNIFS >ORGLA10G0151700.1 pep chromosome:AGI1.1:10:16852086:16854144:1 gene:ORGLA10G0151700 transcript:ORGLA10G0151700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACQKPKVHYRKGLWSPEEDQKLRDFILRYGHGCWSAVPVKAGLQRNGKSCRLRWINYLRPGLKHGMFSREEEETVMNLHATMGNKWSQIARHLPGRTDNEVKNYWNSYLKKRVEGAEAAARKSAEPADVVTGSPNRSETGQERVAADRPASSESSGPVESSSADDSSSLTEPAAGLAAVRPHAPVIPKVMFADWFDMDYGTSLAGTAPGLSYQGSSSVQVDGPCGGAVDSLHGLGDGGFCWDFDDAADHMQGGGGLCDLLSMSEFLGIN >ORGLA10G0151600.1 pep chromosome:AGI1.1:10:16835328:16836545:-1 gene:ORGLA10G0151600 transcript:ORGLA10G0151600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCTACDKTVHFIDLLTADGVPYHKTCFKCSHCKGILSMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFAPGCRSTDKELARAPSKICSAFSGTQDKCAACQKTVYPLEKLTLEGESYHKSCFKCSHGGCILTTSSYAALNGVLYCKIHFGQLFMEKGSYNHMKKKSESQEVLPEVVPEEQPAPPPPDENREDN >ORGLA10G0151500.1 pep chromosome:AGI1.1:10:16831597:16832995:1 gene:ORGLA10G0151500 transcript:ORGLA10G0151500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATSSLRSQRVAVVTGGNKGIGLEVCRQLAASGITVVLTARDETRGVEAAERLRGMGLSSVVFHQLEVTDSSSVARLADFLKTRFGKLDILVNNAAVGGMEYAQGVDNNEEQFVGMDVLQRLQWMRKQGRETYDTAKNGVQTNYYGAKHVIQGLLPLLLSSSEGKIVNVSSALGLLRFLGNEDLRKELDDIDNLTEERLDEVLASFLKDFEAGELEAHGWPMGSAAYKVAKVAMNAYTRISARKHPALRINCAHPGYVKTDLTINSGFLTPEEGARNVVTVALLPDGGPTGAFFDEGKEASFV >ORGLA10G0151400.1 pep chromosome:AGI1.1:10:16830013:16830423:-1 gene:ORGLA10G0151400 transcript:ORGLA10G0151400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTGSSWLPSSTARGGDKGEGGKLVVAVIDSGPEPPLRRLANLTAGASFSGPTLSRRFEEAAATTGSSLFPFLFATTVGRRCTGRSPQQPTRSGWQWKGRAQAELAGVKEVMGATQAELARAAEMGKLRASTVAT >ORGLA10G0151300.1 pep chromosome:AGI1.1:10:16826056:16827836:-1 gene:ORGLA10G0151300 transcript:ORGLA10G0151300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIFSSTHTRIAVVTGGNKGIGLEVCRQLAGNGATVVLTARDEAKGAAAVEKLHGLGLSSVIFHQLDVTDASSIARLAEFLESRFGRLDVLVNNAAVGGIVPVDDPSFGLLPTEEKFSGMDGHQRIEWMWKNCRQTYDAAKAGLKTNYYGTKNVTEALLPLLQSSSDGRIVNVASSFGLLRFFTNEELKRELNDADSLSEERLDELLGMFVRDFEAGAVAERGWPTEFSAYKVAKAAMSAYARILARKRPALRVNCVDPGYVKTDLTRNSGLLTPEEGASRVVAVALLPAGGPTGALFDGGKEASFV >ORGLA10G0151200.1 pep chromosome:AGI1.1:10:16821561:16824596:-1 gene:ORGLA10G0151200 transcript:ORGLA10G0151200.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPCLLSHIRIYNKSVLEWEITAGLRYNKPDTFVKVRPRCEAPKRDILYPANHTPCRYVRISCMRGNPIAIFFIQLIGIPIPGLEPDLQPLVNYLLPHITAHKQSSQNIHLQLLKDIASRLPPFLPQIEADLASVTDTPDSSVHFLALLAGPFYPILQLTNERDFTKSLISSADSDALKSSLASTPTVSSNFEAQPRRSRSPSSVQPACFLAFRSETAVLLLRKAHRDRALGIVCLKASKVLQELLEPDPLLDDASDQVLCTDYSSLFGDEFSLSENRFDASILNILDIAAVEEGILHILYAASSQ >ORGLA10G0151100.1 pep chromosome:AGI1.1:10:16811443:16821408:-1 gene:ORGLA10G0151100 transcript:ORGLA10G0151100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVLPLVQALLPVTDSMLFLSRVPVALRPPLSPGSTEQIDGCLSQWNHPNVHKALSQAKAACVLLDLCRGPLSPWVPMITAKVDLAIELLEDLLGIIQGVGQSLTRSRAALKYIALAISGHMDDVLTEYKVHSFFLLKDVKHKLLFILEMLDPFIDPSVSVMTDAMAFGDVSVVHLEKQASACNISLNIIRTAVKRPAVLPSLELEWRRGAVATSVILSTLDPHMPLPPDIDLCKSSMPEIDQISLIVPNCPPHSCSAEDADGRDTSETTPREDILEQCNSLFAPEELEQSELTKTLEEKKHEKISTDLDQNFPEDTKSNGKLPAGLFQLDNIFAADYYDAHADYLQLVNYQDCELRALEFQRLALNLCTQQEPTVEGHNAGIDAFLLAAECYVNPLFLLDFHSNSESLDEIERIHAELIQGNCFSEAKHLRAKDIDLMKIYNLENKRDKAVLDLLMQAARYDFEYQGKIPDGKPFPDDVEDGKQYIEISPEARHLADAVTLVRKNQAMLCHFIMKQFERKGHSPNEILLQSLLFLLHSATDLFCPPENVIDIILKSAEDLNGKLVCLYNSVNARNNKLDRVKLHYLRRRWALLQKLVLASSGSDNTRELVSIKRDGFRFKSLVPPSAWIHKISDFSRSSSPLPRFFGWMAVSRYAKEYLNEQLFLASDFSQLTSLLSIFTDELSLMGGVTTQKAKSAKIEQSGCNNYVLLKKEPLLSDQPSMRLFQILLPELHFFFPSMSKKFDAFGQSILEAVGLQLKCLPKSAVHDVLCWFSEMCLWPYLGNIREHLAFANGVNSLKGNIAAKAKAVVFYLLESIVAEHLEVIVPEMPRMVHILVSLCRASYTDVAFLDSVLCLMKPMISHFLRKSTDNGNVSGDITECSDFELLCFEELFETIQFGKQSEDTPGNKNQVPFLIFILGSLFPDLSFKRRIEILGSLLVWIDFGSSDPSSLLCSYLQGFQAFIDGCETILVQNIELFGVHVLSERNQSTEFANSASPDDTMDNKKAQASVAQVQRRSTEYHENGENSKGVDSPHTVCIKEFCGALERLVSNLAPSIEGSWKWHLQLASRLSLSIAKCLLYAKCLKSIAEGGMIYSSIKQEVGTEISTDLSQKHWESALQGLAETILVNQKKQCWQVASVMLDYMIKLPNILAWDNVLNVISSAMKHLCSHAPRISWRLQTEIWLSILVSYGIEGLKNSENSLIDLFCTLLSHAEPEQRSVALQQLGRIIMSTTKVDSEYTTYKQNSLSSGSTVTSLLVTHTWDRVAALAFYDSSMLLRKHALALLTEYIPFVDRNHLQSFLGSSNSILNGAEQFSYAIEQGYLTRMSLLLLSRACLYSAPEDIALIPECVWRKLENMQTSIPGCFGDMEKDLCRALCQLRSESDAKTVVKELLTESTAKPVETDFKGIRESILQVLSSLSSVESYFEFFSTRSDQEYQELEEAEIELEIVKNEKALHSFIVHPQDTMIPDMSSYYKDGNEVNKQLQQIQEDIRSLERSKLREEIIARRQKKLLIRHTREKYLEETSSREMELLQELDRERAHEMEREIERQRQLDLERVKSRELQFNLDMEREKQTQRELQRELDQVELGRSSRREFSANTNSRSRERYRERDNGRGQQEGRSRGGGVEAGGSATRSFSGNLPTILQQPRERTTSDERTSTGGNYYEENAEGSGDASSVGDPESAAALEAGTRHGPRGGSKSSSSSSRQVVVERRERREGKWERKHS >ORGLA10G0151000.1 pep chromosome:AGI1.1:10:16809110:16810227:-1 gene:ORGLA10G0151000 transcript:ORGLA10G0151000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSRFAVVARNFLAVGTKHDGRQLVFKEVHHEECAKQINGKYHKNFTSRQVYHKLKAQWKAKDKRAKFINVPIRWYDEMEFIFQDKHATGEFNVLQTPYDHPMTEDDDFIGDKNDSPGDVDPSSNYDSDCLPDQENNTGSSSSSRRAKGRKSDKGKRVRTDDNVVYEITGATDNMSETMCFTHMTHPNESLFKIIDEMTEYPVMVRLELQTYLATHENIAAILKGRPLDSIKEYVAQWIIQNYPAAM >ORGLA10G0150900.1 pep chromosome:AGI1.1:10:16805898:16807386:-1 gene:ORGLA10G0150900 transcript:ORGLA10G0150900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFFRRASSDPLCLEDNSVQHGIERCPFLRNINEPTSFSFSSVNFPVPARGDKGPIFEDGPNFDMAFRVFHGQDGVVPLSQGSFERFEKPMPKPNPEFNPLAAKAATISLSAFGGFFSFGDFSNKRNKKNSNQKKPNNLPQNGGQPNNHEALSNEWLEMGQCPLAKSYRALSGVVPLVAKMMTPPAGMKLRCPPAVVAARAALSRTAFAKGLRPQPLPTKMLVMALLGMAANVPLGIWREHTEKFSVQWFAAVHAAVPFIGMLRKSVLMPKTAMALTIAASILGQTIGSRAERIRLKRAAKVAGSQGDASTRMSLKTGRYTDDVQFWDPLALRVESTIGAGTPVLVPTFH >ORGLA10G0150800.1 pep chromosome:AGI1.1:10:16803538:16805251:1 gene:ORGLA10G0150800 transcript:ORGLA10G0150800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:I1QWC5] MSAASASATASLSAAAAVAVAAAALRPTQQRRLRVVAPPRPLRLTSLNPPRAASAPSTPLWEAGVRAESSDSPGAGAGGDVMGLLLRERIVFLGNEIEDFLADAVVSQLLLLDAVDPNSDIRLFVNSPGGSLSATMAIYDVMQLVRADVSTIGLGIAGSTASIILGGGTKGKRFAMPNTRIMIHQPVGGASGQALDVEVQAKEILTNKRNVIRLISGFTGRTPEQVEKDIDRDRYMGPLEAVDYGLIDGVIDGDSIIPLEPVPERVKPKYNYEELYKDPQKFLTPDVPDDEIY >ORGLA10G0150700.1 pep chromosome:AGI1.1:10:16799877:16802662:1 gene:ORGLA10G0150700 transcript:ORGLA10G0150700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G19150) TAIR;Acc:AT4G19150] MGRRHGGGGGGGGGRGRGGRGRGGGEEDDLHLHKAARSGDLAAAESLCEANPLALNSRDRLSRTPLHLAAWAGHVELVKCLCKHKADVGAAAMDDTAAIHFASQKGHVEVVRELLASGASVKAKNRKGFTALHFASQNSHLELVKYLVKKGADIAAKTKGGQTALHVAEKDDVRDFLKECEQSLKKGGELPSEKKDDSVSTIAEKPDDDKSSGEATKDEDEAGFGEKRKSDGIAAALRSPELKKAKVSLGHLISANDMEEEEEAD >ORGLA10G0150600.1 pep chromosome:AGI1.1:10:16798552:16799153:-1 gene:ORGLA10G0150600 transcript:ORGLA10G0150600.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFNCLCAGLHERPNRVASGEKEEWAVAYVRLSSSSDAISYSSSISTDMPLYEPPEVSFDEYLLDCARVFHAMFPDKSRSQRPNDTKWELRGLERGYASASFDLGIRGSLYTDRRVRD >ORGLA10G0150500.1 pep chromosome:AGI1.1:10:16795209:16796324:-1 gene:ORGLA10G0150500 transcript:ORGLA10G0150500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEDLSGLAASLGELHVESSASKDSEISQPGNSVDDDAIADDNVWDDVSDSPGHGSTLDREWVHRQNQFHKMGYRDGIAEGQKDIAQEGFNVGFGQSVHVGYKWGLVRGITSALASLPDSLKEKLLPNVQCRGQLQELNNSVQEISAEDALQMFHESILQSSHSSEEPDATLKRATDSSRLQSLSKDLVILLHECPDVKVSEELRGGS >ORGLA10G0150400.1 pep chromosome:AGI1.1:10:16791003:16793348:1 gene:ORGLA10G0150400 transcript:ORGLA10G0150400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:solute:sodium symporters;urea transmembrane transporters [Source:Projected from Arabidopsis thaliana (AT5G45380) TAIR;Acc:AT5G45380] MASGVCPPAELGFGAEYYSVVNGVCSRAGSYFGGRPVLTQAVGYAVVLGFGAFFALFTSFLVWLEKRYVGSQHTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGTAAHLVFLTFCLLTNVIVTAMLLLGGSAVVNALTGVNVYAASFLIPLGVVVYTLAGGLKATFLASYIHSVVVHAVLVVFVFLVYTSSSKLGSPRVVYDRLMAVASAARDCSADLSRNGQACGPVAGNFKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPLTAAEAAKGLVPPATATALMGKSGSVLLLTMLFMAVTSAGSAELVAVSSLCTYDIYRTYLNPGASGKQILRVSRAVVLGFGCFMGVLAVVLNVAGVSLGWMYLAMGVIVGSAVIPIALLLLWSKANAVGAMGGAVSGCALGVAVWLTVAKVQYGRVNLDTTGRNAPMLAGNLVSILVGGAVHAACSLLRPQHYDWGTSREMITTVESVHTALDDELKEERLVHAKRWIVRWGLVFTAVIVVAWPALSLPARRYSLGYFTLWAAVAIAWGTVGSVVIILLPVAESWTTITKVCAGMFTNDAVYDRLDDVNLRLRAIMGAMPEAEKRYRQLHETEMHPAGTHPANDDDDDNNNNQMMHS >ORGLA10G0150300.1 pep chromosome:AGI1.1:10:16783035:16787184:-1 gene:ORGLA10G0150300 transcript:ORGLA10G0150300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin-dependent kinase E;1 [Source:Projected from Arabidopsis thaliana (AT5G63610) TAIR;Acc:AT5G63610] MGDGRVGGGTNRPAWLQQYELVGKIGEGTYGLVFLARLKQSHPHAAAGVGRRGSPIAIKKFKQSKEGDGVSPTAIREIMLLREINHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHREKLNLPINPYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGIIKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVEAKATPNPFQLDQLDKIFKVLGHPTVEKWPTLANLPCWQNDQQHIQGHKYENTGLHNIVHLPQKSPAFDLLSKMLEYDPRKRITAAQALEHEYFRMDPLPGRNALLPSQAGEKIVQYPVRPVDTTTDFEGTTSLQPTQAPSGNAAPGNQSVVPRPIPRQMQQPMVGMSRMGGTNMAAFGAAPQGGIAGMNPGNIPMQRGAGAQSHPHQLRRKADQGMGMQNPGYPTQQKRRF >ORGLA10G0150200.1 pep chromosome:AGI1.1:10:16780639:16782307:1 gene:ORGLA10G0150200 transcript:ORGLA10G0150200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDALIYVVDSLDRERIGRARAEFQAIINDPFMLNSVLLVFANKQDMRGAMTPMEVCEGLGLYDLTNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRITSTSSS >ORGLA10G0150100.1 pep chromosome:AGI1.1:10:16775359:16777161:1 gene:ORGLA10G0150100 transcript:ORGLA10G0150100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNNMMGSPPSGSRGRDEKKKKRWKWKLGPATILGFELLESIAFSGVALNLVVYLATVLHGTLAFNAAHVDTWNGTTFIVPVIGAFLADSYWGKYRTILASLLFYLAGLVLLTVSAAVPSLRPAPCTGVPCSPATGTQFSVFFLALYLTSIGTGGVKSALLPFGAEQYERDDHDTDQEGAPAPEKTKQSFFSWFFGAINLGIFVAGTLVSWVEQNVSWALGFGIATLCLLIASAAFLAATPCYRVRLPTGDTPIKAILRVLVAAFRNRTRTLPPDADGDGLYEVDDDKSKNGGDDEKLAHTEGLRWLDKAAVRVDGGGVWEVCTVSEVERVKVLARIVPIWVTCVLYAASLGQMTTTFIQQGMAMDTRVFGGRFRVPVASLVSVEVVFMLLWVLLHDVVVMPVARRWWRSGGLTQLQRMGVGRVLVVVAMATAALVERRRLRGEKRSMSILWQVPQFVVLAGSDVFSGIAQLEFFYGEAPGSMRSICSAFSFLALSLGFYVNSLVVTIVAAVTKRSDGSGGWLAPDLDTAHLDYYFWLWALISLANLALYLLLAARYKSKKPSPPHSSSHP >ORGLA10G0150000.1 pep chromosome:AGI1.1:10:16760819:16763614:1 gene:ORGLA10G0150000 transcript:ORGLA10G0150000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMESGDAQLPLLHHQASASNQHYTKPPFNWKAPALILAFEFLESIAYAGISLNLVVYLGTVLHGTTASNAANVDTWNGTTFLTPVLGAFLADTYWGKYKTIAISTVFYLIGLLVITASAVIPSLQPAPCNGSSCPPATGFQYFVFFTALYLISVGTGGVKSALLPFGADQYNDSNLEESKKKQSFFSLFFIAINLGVFISGTVVVWIQQNVAWSLGFGISSICLLVATVAILAGTPVYKVQLPSGSPLKSVVMVFVASFKKRKLEVPADNALLYEGDDADLSNGQSVKLAHTDGFRWLDKAAVVFEEVEEINKDEGGGGGWLQCSVTQVEEVKILLRMLPIWVTSVLYAASLGQTATTFVQQGNAMNTKIGSFSVPAASLNSAEVIFMMIWVVFQDTVVVPIARRYTGNPAGLTQLQRMGVGRLLAVPALAVAAVLETWRLRSVRDGGNLSIAWQLPQFVILACSDVFCGIAQLEFFYSEAPVSMRSLCSAFSFLALSLGYYVNSLVVSIVAVVTTTSNKGWLPADLNDGHLDYYFWLWTGISAINFVVYAAFAKNYTVKRLAVPHSH >ORGLA10G0149900.1 pep chromosome:AGI1.1:10:16758243:16759822:-1 gene:ORGLA10G0149900 transcript:ORGLA10G0149900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L35 [Source:Projected from Arabidopsis thaliana (AT5G45590) TAIR;Acc:AT5G45590] MRRWCAAAGLGLGRRLLSSSVSAPAHRPLPAHLIPSPRPLPFSSRHHLLTPPLGLHPSSPPPMQWQTQQVRHFAAKDRSRAPRTPTTSKVKKYKIKPPSSMKFRFRVMNDGQVRRWRAGKRHNAHLKSKEAKRRLRKPALVHLAYAKVIKKLNFCS >ORGLA10G0149800.1 pep chromosome:AGI1.1:10:16753486:16754489:1 gene:ORGLA10G0149800 transcript:ORGLA10G0149800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSLFWQSSADQGDLSDVVRASLQLQTAPRHQAASPPYVHLLGGGGGGGEDQLAAVSQHDEQQQQSMVDASAACDLLHALLPPPPVVQVQQQGASRTRTTIEEDTTGDGEELFAGAHYVVPPIKRRKSQTKKVVCIPAGASGGGGGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRADPTMLVVTYTSDHNHPWPTHRNALAGSTRPSSSNSSNIRLQDSTPVHHQSQTGHDRLTTTHLKQEDVIISPSLLQPDHHQLCTIIDTKHHLLFHQDHPHSFGFFD >ORGLA10G0149700.1 pep chromosome:AGI1.1:10:16746600:16749190:-1 gene:ORGLA10G0149700 transcript:ORGLA10G0149700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 17.2kDa subunit [Source:Projected from Arabidopsis thaliana (AT3G03100) TAIR;Acc:AT3G03100] MAAVVRGVLNGIREKGLSNFLRHARDEGYFKCLLDGNLLQTKIHNIGATLVGVDKFGNKYYEKLHDTQYGRHRWVEYAEKGRYNASQVPPEWHGWLHHITDSTGDKLLEQNAKAYIVDHKENFSGEGEELIYHSKGHALNPGQRDWTRYQPWEPKKEEAT >ORGLA10G0149600.1 pep chromosome:AGI1.1:10:16741651:16745591:-1 gene:ORGLA10G0149600 transcript:ORGLA10G0149600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPLSNSTTTNKCQRAEGIQLQHGDCESESTAPLLLAPHESYRLSAAILPFLFPALGGLLYGYDIGATSGATISLKSSTFSGTTWYNLSSLQTGLAVSGSLYGALIGSILAFNIADFLGRRRELILSSVSYLIGALLTAAAPNFPIMVVGRFFYGIGIGLAMHAAPMYIAETAPSQIRGMLISLKEFFIVLGMLLGYIAGSLFVEVVSGWRYMYATSTPLCLIMGIGMCWLPASPRWLLLCAIQGKRNIMESKENATRCLCRLRGQASPDLVSEQVDLILDELSYVDQERQAGFSEIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATILQSAGFSGASDATRVSVLLGLLKLIMTGVAVLVVDRLGRRPLLIGGVSGIAVSLFLLSSYYTLLKDAPYVAVIALLLYVGCYQQLSFGPIGWLMISEVFPLRLRGRGLSIAVLVNFASNALVTFAFSPLEDLIGTGILFSAFGVIAVASLVFIFFIVPETKGLTLEEIEASL >ORGLA10G0149500.1 pep chromosome:AGI1.1:10:16734423:16739401:-1 gene:ORGLA10G0149500 transcript:ORGLA10G0149500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:early-responsive to dehydration stress protein (ERD4) [Source:Projected from Arabidopsis thaliana (AT1G32090) TAIR;Acc:AT1G32090] MATLPDLGVSAFINILGAFVFLLIFAALRLQPINDRVYFPKLYLTGQRRHHPHPHGFVNLDLCSYLRFLAWVPGALRMSQPDLIHHAGLDSAVYLRIYTLGLKIFLPIMTVALLVLIPVNVSGGTLLNLRKEIVFSDIDKLSISNVNPGSNRFFIHLLMAYVFTFWTCFMLYKEYSNVAFMRLHFLASQKRCADQFTVIVRNIPHVSSHSTSETVDEFFRRNHPDHYLGQQAVYNANRYAKLVKKKERLQNWLDYYQLKFERHPGKRPIGRTGCLGFCSREVDQIDYYRARISELDKKLASERQRVLNDPKAVMPVAFVTFDSRWGAAVCAQTQQSKNPTQWLTDWAPEPRDVYWQNLAIPFFSLSIRKFLISIAVFALVFFYMIPIAFVQSLANLEGIEKVAPFLRPVIDAPVVKSFLQGFLPGLALKIFLYILPTVLMIMSKVEGYVSLSSLERRAASKYYYFMLVNVFLGSIIAGTAFEQLNAFFHQPPSQIPRTIGVAIPMKATFFMTYIMVDGWAGIANEILRVKPLVIYHLKNMFIVKTERDRERAMDPGSIGLAENLPSLQLYFLLGLVYAVVTPILLPFIIIFFAFAFLVYRHQIINVYNQEYESAAAFWPQVHSRIIASLLISHVTLFGLMSTMKAAYSTPLLIFLPLLTIWFHKYCKSRFEPAFRKYPLEEAMEKDNLERTSEPNLNLKSYLQNAYLHPIFHMFEQQQQQQQREEKVEVRIDKAQQHHHRQVEKEEEEEEESKSSQATTHYYHHHHEQTTTTTHHHYHQHEHMSHYHMGPSDTADSPSPPHFVYHYGVDP >ORGLA10G0149400.1 pep chromosome:AGI1.1:10:16733398:16733618:1 gene:ORGLA10G0149400 transcript:ORGLA10G0149400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGAVRTPSAAEEFEAKPSRRITEALANKLISHCPSHHWRTPRKEKGEVE >ORGLA10G0149300.1 pep chromosome:AGI1.1:10:16729369:16732696:-1 gene:ORGLA10G0149300 transcript:ORGLA10G0149300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDAAATAHPSLSFYSAATGLYSSLHPPLPLPSDPSLSLVPHLFSHLPLHHHSLLVDAPTAATLSCADFRRLVSSLAAGLRRRLHIARGSLVLLLLPNSLTFPVAFLAVLATGAVATTMNPSSAPAEIAARLRDTAPSLVLASTHNAAKLPPLAAPLVLVPDTFQQQHDDDQFDFFFHALLETDPETPVEMGVGVGQDDAAAVLYSSGTSGRSKGVVVTHRNLIAMVELFVRFEASQYTRPARDNVYLAALPMFHVYGLSLFAVGLLSLGCTVVVMRRFNVDDAVKAIRKYKVTHLPLVPPIMSALLRANPPLELDSLLQVSSGAAPLNHTLIHHFLHAFPHVDFIQGYGMTESTAVGTRGFNTCKHKKYASVGLLAPNMHAKIVHLESGSCLPPGSYGELWLHGPAIMKEFCFVTGYLNDDDDAFTRKDGWLRTGDIAYFDSDGHLFIVGRLKDTIKYKGFQIAPADLEAVLIRHPEIVDVAVTSDEDEEAGEIPVAFVVRKSGSTLSCTHVMEYVAKQVASYKRVRKVIFVEAIPKSAAGKVLRRLLKDSLVDASSGRSRL >ORGLA10G0149200.1 pep chromosome:AGI1.1:10:16726047:16728102:-1 gene:ORGLA10G0149200 transcript:ORGLA10G0149200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSHSRKRTRAPSNSNSKPTSQTQPPPPELTDRPVRVYADGIFDLFHFGHARALEQAKLLFPNTYLLVGCCNDELTNRYKGKTVMTQDERYESLRHCKWVDEVIPDAPWVLTQEFIDKHQIDYVAHDALPYADTSGAANDVYEFVKKIGKFKETKRTDGVSTSDLIMRILKDYNQYVMRNLARGYTRKDLGVSYVKEKQLQVNMKINKLRETVKAHQEKLQTVAKTAGINHEEWLANADRWVAGFLEKFEEHCHNMETAIKGRIQEKLRRQTSRGIIGGLMQQPVAA >ORGLA10G0149100.1 pep chromosome:AGI1.1:10:16721789:16725195:-1 gene:ORGLA10G0149100 transcript:ORGLA10G0149100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDLNHHLIAVGVGVGEDAATAHCGLRTLVRNMAQLVVSLGILVAADKLVEQAFAAASIKFPSALFAMFCVFALLLLLPPSLANGFMAFFDPATVFIHRWLPLFFVPSLVVLPLAVRDVSPASALKILFITFGGWFASLVVAGYTALSVRRIVKTQLIPAEPMKRPSPFGPLEFWAWAAVFVASFAVAYVSPTALGTTATTCLPFLLASTVFGYILGSRLPSGVKKVLHPIICCALSADLAAVAYGYLSRSGVDAVLGDYLTESPSNPGAGDILMGFLGSVIISFAFSMFKQRKLVRRHAAEIFTSIAVASTFSLYSTAILGRVVELEPILTISILPRCITVALALRVVSLFEGVNTSVTAAVVVLTGLIGANFAQAVMDKLRLKDPIARGIGTASSAHGLGTAAVSAKEPEALPFCAIAYALTGVVASLFCTLPAIRHSLVFIAGDASASQTQHISY >ORGLA10G0149000.1 pep chromosome:AGI1.1:10:16720221:16721411:-1 gene:ORGLA10G0149000 transcript:ORGLA10G0149000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G29790) TAIR;Acc:AT1G29790] MADSHLDGVPAMRKTTASSSSSLNIAFLLSMVATNLLSLYHLSTRASTAPPLLLPAAHQAQAQDEQLLRQLTAIRATVSQLNHLRSSTPPPPPPPPELLLYSRLAPLASACSAHPDLLHRFMSYTPFSPCPDDALSLAEPLILRGCHPLPRRRCFSSSSISSSHNLNNLPTDPFSPLPDSAVRWPPGAKCTSFSCLPPSLGFDLARTEAARFLRAEGPLDLTVPQLLRLASLSRAGPIRLGLDVGGGTGTLAARLKRAANATVLTTTMDLGAPYSEAAAARGVVPLHAPLQQRFPVGDATMDLVRAGHAVNRWIPEAALEFLWYDADRVLRPRGLLWVDHFWCRRPDLAAVYQPMLRRLGYKTLKWAVADKTTPTPTAPPGAKHDHVYLTALLQKP >ORGLA10G0148900.1 pep chromosome:AGI1.1:10:16715949:16717844:-1 gene:ORGLA10G0148900 transcript:ORGLA10G0148900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHATRTRTPRLSAAAAAAAFFTTRPRSHPPPPPPRLSPRLVDATVSRCPSDALAITFFLWCARCPAYFHPPSSFDRLLPAAARLASCLRTAPAILHQLRALGCPIRPHTFLLLLRLYWRGGIYPLVLQLFDQMPLWGFHPNAFARNVVLDVLLRTRHHHSALCFLRDNPSPNYLTYAILLTHLCRSRNWPGVRACFLAMLHQGFLPSAASLNAVFACCTKLAATSELLQLLGFTLVSGYQLTSAMWTCLIARLCREGKLDEAIRMLAKMLASGSPPTVVTYTPIVRALYRAGRHDIATELFASMSSTNCSPDLVLHNVLMDCMTKDKRYDAALGVYLNLHESQMKPDAYTLSTLVRALHLSRNVSLLPRLFLDSADIPYDLVACNSVLNALCKSGFPSQAVQFFINMIKCDIRPDSYSYVGLLDSLCQLGRIDHAINVYHSIVSSDPDSNAYVHAAILCGLVKKGHNRMALMILNEAVRQNYALDAVCYTVVLHGLLQAHLIEEACMLFDKMKRSGMASNTCTYNIMLRGLCRTRDTHALKWFLREMECSDVEMDSISYNILVVFLIKLQHISSATALVREMVNLGMELSAKTSSLISQSMGHEYVLEDANIAENDHSDSTNDLLACSAS >ORGLA10G0148800.1 pep chromosome:AGI1.1:10:16713620:16714293:-1 gene:ORGLA10G0148800 transcript:ORGLA10G0148800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLAPDPPFSDEIFKERCLGSLSAHLQTLLKQTVHIFQEDLAIGKCAALRVRVFARDAAKACYMAVTSGNEKKDILSKAASFYLFFCSEFYIGIFMLKF >ORGLA10G0148700.1 pep chromosome:AGI1.1:10:16708648:16712118:1 gene:ORGLA10G0148700 transcript:ORGLA10G0148700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGILKNGGKPPTAPSSAAPTVVFGRRTDSGRFISYSRDDLDSEISSVDFQDYHVHIPMTPDNQPMDPAAGDEQQYVSSSLFTGGFNSVTRAHVMEKQASSARATVSACMVQGCGSKIMRNGRGADILPCECDFKICVDCFTDAVKGGGGVCPGCKEPYKHAEWEEVVSASNHDAINRALSLPHGHGHGPKMERRLSLVKQNGGAPGEFDHNRWLFETKGTYGYGNAIWPEDDGVAGHPKELMSKPWRPLTRKLRIQAAVISPYRLLVLIRLVALGLFLMWRIKHQNEDAIWLWGMSIVCELWFALSWVLDQLPKLCPINRATDLSVLKDKFETPTPSNPTGKSDLPGIDIFVSTADPEKEPVLVTANTILSILAADYPVDKLACYVSDDGGALLTFEAMAEAASFANLWVPFCRKHEIEPRNPDSYFNLKRDPFKNKVKGDFVKDRRRVKREYDEFKVRVNGLPDAIRRRSDAYHAREEIQAMNLQREKMKAGGDEQQLEPIKIPKATWMADGTHWPGTWLQASPEHARGDHAGIIQVMLKPPSPSPSSSGGDMEKRVDLSGVDTRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSKAFREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKDHTTPWSCCLPRRRRTRSQPQPQEEEEETMALRMDMDGAMNMASFPKKFGNSSFLIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPRETLDASIVAEAISVVSCWYEEKTEWGTRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTHRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASSKMKVLQRIAYLNVGIYPFTSVFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLIITITLCLLAMLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKQLGDDVDDEFAELYAVKWTSLMIPPLTIIMINLVAIAVGFSRTIYSTIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVAITISLLWIAIKPPSAQANSQLGGSFSFP >ORGLA10G0148600.1 pep chromosome:AGI1.1:10:16701382:16703294:-1 gene:ORGLA10G0148600 transcript:ORGLA10G0148600.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVQDIPSDSDIKTSEKRIDSTTHATSHKDRPETESLTRKLIMLIEEQGKEMKEMKQNQQSMHNQIQSLTNIIAAFKEEKTIPTSKNSKPNKPNPPTQTPVIAKSLSGSTRRLRKSTIKSNSDFVYNLGATKKKPKNAQAKNLISNDLTSMAEFKCTAEDEETIKSIMSAPESQLVVHIDDVSISQKTMQILTNPVTESSTSYLDDHSMHTLLVVREKDMKEGTGTRAEGTVFLEKPLITRLLQRDGEHYVSKDIIDRAMAKATANRYLKHDMEDIKYFRRKLAAILGGAQKKDKKIN >ORGLA10G0148500.1 pep chromosome:AGI1.1:10:16698431:16700141:1 gene:ORGLA10G0148500 transcript:ORGLA10G0148500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target of Myb protein 1 [Source:Projected from Arabidopsis thaliana (AT5G16880) TAIR;Acc:AT5G16880] MGDNLMDKVNALGERLKVSGAEVSRKMSAGVSNMSFKMKEFFQGQNMADKIVDEATLETMDAPDWATNLEICDMVNTGNVNSIELIRAIKRRIMLKNPRVQYLALVLLETVVKNCEKAFSEIAAERVLDEMVKLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLRSRGIRFPGRDDESLAPIFTPPRSAPSAEPYSAAAQEGYQEIPDESFAPVHVVPAVQVNEAFEVARNSVELLSTVLSSSPQKEALKDDLTTTLVQQCQQCQRTIQRIIETAGDNEAQLFEALSVHDELEKVLSKYKELKEPVVAEPEAEPAMIPVTVEPENSPRTKDGPVGKRAGSGADELLQDLDDMIFGKKGGTSSQQDRKEQKDDFISF >ORGLA10G0148400.1 pep chromosome:AGI1.1:10:16690317:16694749:1 gene:ORGLA10G0148400 transcript:ORGLA10G0148400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G32200) TAIR;Acc:AT1G32200] MQAPPLASSPSPAWTAILPAPARLCCSRRGALRFEAKAAWRPAARGPRVPAKGAVLASEVVGPSPLLDARNEQELILHIRKEVEKGKLPADVAANLEELYYNYKDAVMQSRDPNAHDIVLSNMVALFDCVLLDVENPFTFPPYHKAVREPFDYYMFGQNYIRPLVDYRNSYVGNISIFQDMEQKLQQGHNVVLMSNHQTEADPAIIALLLERSNPWISENIVYVAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDFPELVDMKRRANTRSLKEMALLLRGGSQIIWIAPSGGRDRPDPLTGEWHPAPFDASAVDNMRRLLEHSGVPGHIYPLSLLCYEVMPPPQKVEKEIGEQRVISFHGVGLSVTEEIKYSDITVHTQNVDECREKFSESLYNSVVDQYNALKSAIFRGRGADSSDSAISLSQPWR >ORGLA10G0148300.1 pep chromosome:AGI1.1:10:16681088:16683983:-1 gene:ORGLA10G0148300 transcript:ORGLA10G0148300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLDKCGGNISSLKRKRDNPAARCADASNTSKLHKHPADNSVVRFYVDEGHKAKIKCHFNMQIIQSYQNFMTSALPKRILLRQGGEWKDFPKQIVKLAHSDFRTKKTITEGEHQTHLFLLDFVHMTFIDSKTGLQRPIAWIDENGKQYFPEFFIEDKTLYRKKELGNGNNVYIIVEPNGTQEMNDHFGTSESSAESSNFESSTDDVSSPKRAKAERSVAGNKTGGVKETIGENEPHALLPIPCRSLPQDKLGDHSRVQLAISAVQKLLLQGLGTVLGSKDIVGIYRTPAVDNHKEFRYNLFKKQAEHTKCKRGNANVRYAWLACSKDAVDEMMLNGVMHFEKTVKCPDYGIGTILAPANCSNTCVNYSDVDENGIVHMMLCRVVMGNVEIVHHGSKQHRPSNEYFDSGVDDIKNPQHYIVWDMNVNSHIYSEFVVTIKLPSRVKDSPATEEDCHNLSEVSSLILSSGSPDSVSQDMNLQASPALGGHYEAPMLGDKVERAPSTPWMPFSMLFAAISTKVSAENMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDRMLISTIMRLQDKLPPMSRHEAPKHVGQDDGYTMKSSVRMVREATGRLD >ORGLA10G0148200.1 pep chromosome:AGI1.1:10:16677779:16680205:1 gene:ORGLA10G0148200 transcript:ORGLA10G0148200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:shikimate kinase like 2 [Source:Projected from Arabidopsis thaliana (AT2G35500) TAIR;Acc:AT2G35500] MLASTCFSAPPPSSSSPSIPTHLATLCCCFRPPARPPWPRSLLLLGAFPPPTRPLPRASVSSSTAPAKDYEFTDGGGEVELRLDIGKLGIENSRDVFVDVDDTSLLVRAKSDGTLRTLINVKQLFDRIKSSETIWFIDEDQLVVNLKKVEQELKWPDIDESWESLTSGITQLLTGISVHIVGDSTDINEAVAKEIAEGIGYLPVCTSELLESATEKSIDKWLASEGVDSVAEAECVVLESLSSHVRTVVATLGGKQGAASRFDKWQYLHAGFTVWLSVSDASDEASAKEEARRSVSSGNVAYAKADVVVKLGGWDPEYTRAVAQGCLVALKQLTLADKKLAGKKSLYMRLGCRGDWPNIEPPGWDPDSDAPPTNI >ORGLA10G0148100.1 pep chromosome:AGI1.1:10:16672680:16676579:1 gene:ORGLA10G0148100 transcript:ORGLA10G0148100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji (jmj) family protein / zinc finger (C5HC2 type) family protein [Source:Projected from Arabidopsis thaliana (AT5G46910) TAIR;Acc:AT5G46910] MQQVEGRNCLPAEVRIGLETLKRRRLERMRLTAQNNAGDGPPVPARSGGDALRTPANCGVRLHANNGTALPSGTTQNKDPFAKCRVDKFDMSSLEWIDKIEECPVYYPTKEEFEDPIGYIQKIAPVASKYGICKIVSPVSASVPAGVVLMKEQPGFKFMTRVQPLRLAKWAEDDTVTFFMSERKYTFRDYEKMANKVFAKKYSSASCLPAKYVEEEFWREIAFGKMDFVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLSNSVLRLLQTPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPGFEKVASQFVYNKDILVGEGEDAAFDVLLGKTTMFPPNVLLDHNIPVYKAVQKPGEFVITFPRSYHAGFSHGFNCGEAVNFAISDWFPLGSVASRRYALLNRTPLLAHEELLCRSAVLLSHKLLNSDPKSLNKSEHPHSQCCLKSCFVQLMRFQRNTRGLLAKMGSQIHYKPKTYPNLSCSMCRRDCYITHVLCGCNFDPVCLHHEQELRSCPCKSNRVVYVREDIQELEALSRKFEKDICLDKEISGFDSYKQAEKNEPFFEITRNLRNTEANLIEDAFSGATAADAAKSSPATSTLTSFAQHDVPVLAEAIVCANQADQLYSTTKQTISSPLVKGTDAVGANSSSMADANNGTGSCNASAVEYSGNSDSESEIFRVKRRSGVSVKPASDAKTSNLSDQQVLRRLKKVCPEIQQHNKRPEDYGHCSVPSGRMSMKNLNSSSSCGEEHWRMKRRQLETQQDESSYSAKQKSYSYPSTSYSFRGEFVEMSRDAAAEVRPKRLKIRLPSSSTNRVVEQGSSGQRFTRDDKSLGCWPAI >ORGLA10G0148000.1 pep chromosome:AGI1.1:10:16653882:16657181:-1 gene:ORGLA10G0148000 transcript:ORGLA10G0148000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1QW97] MTTSSWSGLLVISCMLLMSWAAAAVDMSPVRFDAAYMPLFGGDNLVPSPDARTVLLKLDRFTGSGFVSKSAYHHGFFSASIKLPHDYTAGVVVAFYLSNGDVFPGQHDELDFELLGNRRGHAWHVQTNMYGNGSTGRGREERYLLPFDPTAAPHSYAIAWTPAAVIFYIDAIPIRELVRCSSGDYPAKPMSVYATIWDGSAWATDGGRHKVDYAYAPFTAVFSDLIVTGGTDDDHCAAMGLMTSEVAVMTPAKRGSMRRFRSRHLTYSACYDTVRYNGTGVVFPECDESEQDNFHAWGESKRVINSRSSSSATYATGSGVRID >ORGLA10G0147900.1 pep chromosome:AGI1.1:10:16650848:16652667:-1 gene:ORGLA10G0147900 transcript:ORGLA10G0147900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLSSRRITRSMAKASAAAPDATSTAHPPRPALHDITNDSPIVGLAASGLRTPASTAAMARPTRASRRTPGSGEALLRGQVKTLLQKVHHDASSSSSCTAAAPPSPNILRIHAPIHALLGLARSPAHLLAPTPANTPHLTAAAPHAFTMTVPCVLEEEELLSKLQVIADALPPPPAQAEENHLGECNRALVFDDSPGKSDLSNAASVVSSSSLSFQDSSSTDRSPDDDSSSAWSIQVNASSEKGDEDTFTDQDPEEEEEEWLTEDDDDDECFDDLCEGMSKMSVFNDEEEEDKKAGLPAFQGKHTRFIYDSDGEMEREDVAHVPVENCTMVLRGLPVPEGKHLRFHEVEEDEE >ORGLA10G0147800.1 pep chromosome:AGI1.1:10:16646688:16647572:-1 gene:ORGLA10G0147800 transcript:ORGLA10G0147800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSSSSSNSNPHLGVNKLGRNIRKATPPPPQPQPPSRPLQPQPQVYNISKNQFRDIVQQLTAGTPSPPPPPQPQHQHHPHRPLPPQHQQPKPPSMRLQKIRPPPISTPVARPPPVHNHQIPNPNHNPAFHRPPPPQPMPMPMPGPPVWADSPVTAYMRILENSLFSATPPGAAAAAAAAAAAATGQQAPPHHPHPPPPPPVPSPGILPSPSGFLNLLSPTPRSPYPLLSPGFQHPGPLTPNFPALSPLPGTGILGPGPMAPPSPGLWFPQSPSGLLSPSGFLPILSPRWREM >ORGLA10G0147700.1 pep chromosome:AGI1.1:10:16639921:16643029:1 gene:ORGLA10G0147700 transcript:ORGLA10G0147700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQASKPKASSSVPRVARLQQVRPSSAASTSNNAHANANALLDKSSMDIPKPERRSFKASRATTPDRLQKARGANARPPAELLQAQLNAVQEDLKNAREHLAAIDRDKAQLLHDLSLTRRLADAAHAAQSAAEEALDLERFKSIEREQLAIDLAQTKERDWNARCHAIDLRRAELAAELGTVKDELALAVEATNTARQIADANADKAATLAAEVSRLQSELETKAEEATAIVAKLESEASGLRAELQNAEASRKEEVGRAEQLLHGLKVDIAYAKRAEADANLAAQEWKTKAESLQARLHELSSLNKSNEDSLQSLTSSFDECKSMLQHEQSQVVQLKEKVSSLEKEAREYKECFLETNRRLDVATKESHQLQATIDRLTSQHKLLNEAHQQVVTNEKTVNSQISLLSQDKIRIEQELDGARDERDKAKKAVEDLAAALREVSSEAREAKERVLAKQTELDSAQLQISELKAEMKNAQDRYQLMLDESKSEVECISKTVEKLGSEAKISNDEWASKEAGFVEMIRRSEEEMSSIKSEMSSLMVSLGAAEKQVKELRAERTRLLDKLKQSELTNSEGSSISSTGVQQTADESKSTVGLKDLVSRKEKEVLALNDEVTDLRLRETAALAKANELSKLLAEATAKKAEEEEAAKGTEKSKVLLMKLEMDKLLGSLKAAEQEANAAKDDKAQLQAKLRLLESKMTEANLTAEEEKISSLRLKETLAEKEEELLSIAREYDGLRTREAAAQAKIDELSSLVAEASTARKLAGEYSANGVAAIRSPEKQHNMFRKMICSPMDNVRDDVNSSNRRTQEDEIKHVEVETVIMKQQQQVIVKHGKEEASAMEVKTLENSKIIEDDISKHRDDDDNESSDDEEIESQGDDAAVEQMNGPLIHGPTSSFNKEQHNQHKKKKALLKKFGSLLKKKAHFTKLNSHAKLVS >ORGLA10G0147600.1 pep chromosome:AGI1.1:10:16635948:16638636:-1 gene:ORGLA10G0147600 transcript:ORGLA10G0147600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endoplasmic reticulum, plasma membrane; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: NERD (InterPro: /.../528); Has 69 Blast hits to 69 proteins in 27 species: Archae - 0; Bacteria - 18; Metazoa - 8; Fungi - 0; Plants - 36; Viruses - 0; Other Eukaryotes - 7 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G65020) TAIR;Acc:AT1G65020] MWVEILCGLLAYKIIRRVFFADSDDPAHLADLDSAHSDLCFALASRLEKLYSARCFVGLRIPDPDAGERQHVDLVLLTNREVMVVAIHNISGFVEVDKDGNWTCPSDKKNKHGVIPNPVLQVNRLAANLQSYLEKRGAKLPDGHITGKVVLPNPNCRPSYAITLQPEVILYDQWKDLKADSKGGLSTWIKGAFSGSKGDMQDSLLQNLHSILSTSPMWDRLELKGDRNILGEFIEFKGRHDDIQALKCLKRSKVCRFIVQKSTLFGGFGRSRVQILYSPRDYRAEGTSSSEWKEISVKQYTEILFQPLHSKKVKKFKLSSVASVTLSA >ORGLA10G0147500.1 pep chromosome:AGI1.1:10:16631133:16634866:1 gene:ORGLA10G0147500 transcript:ORGLA10G0147500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRESNEEEKKSVCVMDASGPLGHALVARLLRRGYTVHAATYPHHHHHPEEEYQQHPRLKLFRADPLDYHAIADAVHGCSGLFAIFNTPSSSQSQSHSCFLDEEEGMVEAEVRAAHNILEACAQTDTMERVVFNSSVTAVVWRPQPEEDDDAALQLDENTWSDLTFCRRFKLWHALAKTLSERTAWALAMDRGVDMVAINAGLLTGPGLTAGHPYLKGAPDMYDHGVLVTVDVDFLADAHIAAYECPTAYGRYLCFNNAICRPEDAAKLAQMLISAAAAPRPPAPPSDELKVIPQRIHTKKLNKLMLDFTSGVYGDIN >ORGLA10G0147400.1 pep chromosome:AGI1.1:10:16623229:16625487:-1 gene:ORGLA10G0147400 transcript:ORGLA10G0147400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTGSVLGTSLRREYPGLVKEYAGPGQRKRKRLAMSWGHYFGEKDHNGMTAGDRVKDEFWSFFTAQEEDMEELDKNIDNYCQARLPKIICQARVDAVKKYYGKGIKGKNASAIELNFEQYMTCKLDWINVDAWKCFCHWWTSDKYKEKRKKENASGLDTYRVQMAGFKASLKGSGQIRSEKVKQRINTYCQVYHEEHGEEQQPVSSELDGNVIYKAFGGLKHGRFAMGNGVFKKTEVLAAVKHKKSGISGSTNSYNAVVRENAQLRHEVTEQRGMIREQRGMIQEQRGMLKAVYEKLGMDIPEEVLSRWESQRENSKLDVDCSEGSEEYEIEEEECETEDEYRT >ORGLA10G0147300.1 pep chromosome:AGI1.1:10:16607725:16608036:-1 gene:ORGLA10G0147300 transcript:ORGLA10G0147300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGCSGCLHVKSGSQFPVLESNLVHGNEISLSCESEVYTHGTSDTSCSSR >ORGLA10G0147200.1 pep chromosome:AGI1.1:10:16591209:16591990:-1 gene:ORGLA10G0147200 transcript:ORGLA10G0147200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17940) TAIR;Acc:AT4G17940] MEATGTRLTRSASLSPRLRRSPTALCVSPRSMHHHHQQQQQSLRRATSDADIARSAPATASSPLPLLLRDILEEDVVVVVDGAGRGKGNDDDDKTSGRGGGGGGHMDMGEYYRRVLRVEPENPLVLRNYGRYLQEVEGDLGGAEECYARALLASPDDGDLLSLYGQLLWETSQDKDRAAAYLERAVQAAPDDCYVLGSYASFLWDAEEDEDDEAEDEMKPPLVPASC >ORGLA10G0147100.1 pep chromosome:AGI1.1:10:16581942:16582337:-1 gene:ORGLA10G0147100 transcript:ORGLA10G0147100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLAVGSPPPPPPLQPRVVLSPRSRRARLGPKPTARHYGVSRVGHGVPAPAPPSPPPHPMVLRPPLPLAHRDHRARLCRMGCLFESPETEAAAGTTDAGSSSAAASASHGRFLSSTSGQEERRAELEEAT >ORGLA10G0147000.1 pep chromosome:AGI1.1:10:16578352:16579380:-1 gene:ORGLA10G0147000 transcript:ORGLA10G0147000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:I1QW87] PNSTESMAGDGQRRRYLIGYALAPKKQQSFIQPSLVSRAAGRGMDLVPVDPSRPLPEQGPFHLLIHKLYGEEWRGQLDAFSAAHPAVPVVDPPHAIDRLHNRISMLQVVSELDVPLHAHHHHTFGIPSQVVVYDVAALSDSGLLAALRFPLIAKPLVADGTAKSHKMSLVYHREGLRKLRPPLVLQEFVNHGGVIFKVYVVGAHVTCVKRRSLPDVSSDVLQDASAEGSLSFSQVSNLPNERTAQEYYDDMRLEDAIMPPTAFINDIAAALRRALGLHLFNFDMIRDARAGDRYLVIDINYFPGYAKMPGYETVLTDFFWEMVHKDDDTPNLNPNPNDEDVK >ORGLA10G0146900.1 pep chromosome:AGI1.1:10:16573307:16573722:1 gene:ORGLA10G0146900 transcript:ORGLA10G0146900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKAKVEFDESPPDDFDPKNPYGDPVAMLEYREHLVREKWIQIETAKIIRDRLRWCYRIEGVNHHQKCRHLVDQYLEATRGVGWGKDARPPELHDPKKVVEADE >ORGLA10G0146800.1 pep chromosome:AGI1.1:10:16572499:16573059:-1 gene:ORGLA10G0146800 transcript:ORGLA10G0146800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPARSTVSAASAGAISASDDVADSIDALYRKDEAMAELKSEVMEALQKEVRSLDDDSWMFAAPRSRINLVSMSGGYLRKQQEKLADLGQASKKTRNF >ORGLA10G0146700.1 pep chromosome:AGI1.1:10:16568992:16570128:1 gene:ORGLA10G0146700 transcript:ORGLA10G0146700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSVGSAGGKPRLLEGGAAAGEEEEEEKAGKAGRAAPDRSIHIIPVLTLLCFLVLFLLSHDPASSSLAIATGRGNDGVHRPQRRRPPPVKAGAEAGPRPKDGDGAAAAALTAKAKAGCDVSAAQLAVGFIVGIEDPSHFFFFGDEADFDRSG >ORGLA10G0146600.1 pep chromosome:AGI1.1:10:16558279:16566810:-1 gene:ORGLA10G0146600 transcript:ORGLA10G0146600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79190) TAIR;Acc:AT1G79190] MEMEAAAAAAAAASDETLAAIFAQLKPHTVTLLDLIRTRTPASKSAAASSLRAMASFLRSAPAPALQLCFEKEANASGELDISDAIAEGGLACLEVLLTKCRLTSVNQMVALLKKLTFGAMLSPSEASEEFRQGIIRCFRAMILQLHPCLDRSCSCKQATALSTALSFTSLEVGTIVTPKYSAQPEECLLAFLQSQNASAAVGHWLSLLLQSSELEASRGHRGSADVRKESLITLRVLIGKVGSADALAFFLPGLVSRLGKVLYTSKNMISGAAGSALSIEQAVLGLTEALIVVLNDKENLSELDISSVENVALCSGGNSSSEHVLQMLRQLPAKTLSKQIGSGEATEHVTADGSKTSADRRELHVKRTKKWLEETANNVDKLLSATFPHLSIHSSEKVRRSVVNGIRVLLSSCSYTLRKSKMLLVECLCILACDDAASVSEAAQDSLDYLFIEGERVLTEDDVSDIFTRFVEKLPQMVLGSEETTAISHARRLLALTYYAGPQFLANYLHRSPVVAARLFDCLGLCISQSSQFSGSMDKLIVSKPLSVGYLFSVAELKSGAYPKDENYGFQHAMPASTATKISVIHDNGLPNTTHSSVDYELPHVPPWFVHVNSQKLYFALAGIVRLVGLSAVSGEETSASLSLFVDILLDQFRRLSTELRSGGQRWYMKSDAGQTLRQASSAVCMLNELIYGLSDRSLSICLQIFNKNSAQMIGAPGQNDQLTAFGQHNGGTNRNIWKISEQMGTKNHIIHCIGSILHEYMAPEVWDLPTEPDSELSLTELNIPLYFFRDTAALHQVMIEGIGVFGVVLGQDFASSGFMHSSLYLLLRKLISSSVQIRIASDAVLRALAAAGGYCSVGQFVVANADYIVDSLCRQLRHLDLNPHVPDILASMLCYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEVAKASRHESVSLPDEVESFFMKVRSEGEAIQSLIEKRRDTCAMPERMDVDAQPDFMGLEYWEDLLCKLNEMRRYRRIVGSLVGSCVVASTPLLSSTKEAACLVALDIVENAIISIAKVEEAYKCESRCKAVIEETIQLLSVDELHDDMDAAEDVDENRLLPAVNKLWPYLVICLGNKISVSVVRKCTEVLSKVIQISGGDFFVRRFHKDGSVIWRLLTLSPFQRKRMALMDEKAIILPYRNTSLTSEEPMAEISSQKIQIAVLDMLAEISSNKRSAIALGSVLKKVCGLVVGIAYSGLIGLREAAIRALTGIASIDSDLVWLLMADVYYSLNQRDIPLPPKQDLVELSDLLPPPMSSREYLFVLYGGDGVRCDIDPSSVREVFKSMQDTVLT >ORGLA10G0146500.1 pep chromosome:AGI1.1:10:16554678:16556938:1 gene:ORGLA10G0146500 transcript:ORGLA10G0146500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT2G35490) TAIR;Acc:AT2G35490] MAMPPPLFAAASHASLLLPSPTIHSSTGSRRPFRLPLRSSRRPPVAAAAASGVPDEWGDRSPSAPEPPSQPDPPIDDDEWGRDDPSASGNSRPVPVTDEWGEPGVPEPQSTSAADPPTNDDEWGGDPAPPPPLPPVPEEDNEEERREELKRCLVDTVYGSDLGFRASSEVRGEVLELVTQLEATNPTPEPVQATHLLAGNWILIYTAYSELLPILAVGAAPLFKVDEISQEIDTNSMTIVNASTISSPFTSFSFSATASFDVQSPSRIEVQFKEGSFQPPKISSSVDLPAEVDIFGQKISLGPVQQVLNPLQQAFASIAGSISGQPPLKLPIPGNNRARSWLLTTYLDKDLRISRGDGGLFILVKEGSPLLDQL >ORGLA10G0146400.1 pep chromosome:AGI1.1:10:16545383:16549813:-1 gene:ORGLA10G0146400 transcript:ORGLA10G0146400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMFGDCQVLSSMAAMAGASSSADALFASPLIPNPALAGFMSSSAAMPFHHFSNAAATLIPKEEGLMGGLHVAKDEEMDLEMDMELSGGSGSAHLDGLLSFADVDDDHKPQHSGHDQPPDAAQPSGAAGGNAKKKRYHRHTAHQIQQMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVILRAENENLKSDNFRLQAAIRNVVCPNCGHAAVLADMSYEEQQLRIENARLKDELDRLACIATRYGGGGGRQPVLSTSALSCISAPPPVLMPPLDLDMNVYSRHFAEQAPVMGCGDLIPPPVVPQHDGAAAYMGAMMAPVQEQDKQLVVDLAATAADQLARMCRAGEPLWVRQRGAEVMAVEEHARMFSWPVDGAKQGDGGAVARAEGTRDNAVVIMNSINLVDAFLDANKWMELFPSIVCKARTIQIINHGAASGHLGSGTLLLMQAEVQFLSPLVAAREVVFFRYCVHNADEGSWAIVDFPAEGFEEGLLQASVVRCRRRPSGCIIQDMPNGYSRVVWVEHMQMVGEEKPLQPVFRDYVASGAAFGATRWLSILQRQCERLASELARNIADLGVIRTPEARTNMMKLSQRMITTFCANISASGTQSWTALSDSTQDTIRVTTRKNTEPGQPSGVILTAVSTSWLPFTHQQVFELLADEQQRCQLEILSNGGSLHEVAHIANGSHPRNCISLLRINAASNSSQNVELLLQESSTHPDGGSLVVFATVDVDAIQVTMSGEDPSYIPLLPLGFAIFPATSPSPAAAPTISSSTTTTTGNGNGETSSTPPRNSSSNNNNADELLPPNGCLLTVGMQVLASAVPSAKLNLSSVTAINSLVCNAIHQITAALKGSAGGAGGEPASDQ >ORGLA10G0146300.1 pep chromosome:AGI1.1:10:16527308:16527976:-1 gene:ORGLA10G0146300 transcript:ORGLA10G0146300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSPRLPLPATNTAPPFKVHRGSRLIHKQPPAAASSSSSSNSSTSSASGLTTTTKNKNNAATAAAAHRPPSRQQHKQPVIIYTHSPKVIRTSPRDFMSIVQRLTGLDSARTAASHSHHDSSSSSSSADSCTNTSHHHAHAPPPPSSHSHSYVVVDPPPPPLPTTHHFIPPEIPLLARAPASDLPPALCAYAAPFVPVMSSPAATATATVFSAPDVPFPDH >ORGLA10G0146200.1 pep chromosome:AGI1.1:10:16510029:16524191:-1 gene:ORGLA10G0146200 transcript:ORGLA10G0146200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G26890) TAIR;Acc:AT2G26890] MDFASRHAASAPPPAPDASSPSSPRASSASSASSAAAEEPEYLARYFVVKHSWRGRYRRILCIASSGLVTLDPATLAVTNSYDASYGFDRAAPEGNATEFTLTLRTDARGKFKALRFSSPLRAGILTELHRLRPVHPVLDFPVLHLRRRTHEWAPFKLKVTSVGIELLEGHSGDLRWCLDFRDMDSPAIILLGDSYGKRTAEGGGFVLCPLYGRKSKAFMAASGSTNTLIISYLTKTANSMVGVSLHVDNSQSMTATDFIAKRANEAVGAAETRHGEWSVTRLRPAAHGTASIESLSLGIGPRGGLGDHGDSVSRQLVLTNTSLVERRPENYEVDVGFFSPVLIQTIRKILITYEHASTFYTPCQINLLVIVVSRDEAHGPWAIIVRPLSTVSALVRFAEEPQMFAFEFNDGCPIHVYASTSRDSLLATVLDVLQNQSQCAIPVLPRLTMPGHRIDPPCGVARVHISHHTVDMEAASMHIKHLATVAKEAVVSSDTVPGAKIRLWRRIREFNACIPYTGVPVNIEVPEVVLMALISLLPATPQNLPADAPPLPPPSPKAAATIMGFVACLRRLLTSRSVSAHVMAFPVAVGRIMGLLRNGSEGVAAEAAGLVAMLIGGGPGDTSMLTDTRGESHATYMHAKSVLFSQPVYVPILVNRLRPLSVSPLLSLSIVEVLEAMLCEPHGETTQHATFVELLRQVAGLRRRLFALFAHPAESVRETVSVIMRTIAEEDAIAAESMRDAALKDGALLRHLLNAFFYPAGERRDVSRQLVALWADSYQPALDLLSRILPPGLVAYLHTRSDEDSQNQYDEVPLSRRQKRILQQRRSRGGKSMAVPEQGMPSNNNEGDFFGHTNVGPFGADVHQRHANQYPTAYTPSPGISIDPSQAVPHGFVPEAFYENNHQTGAPQLDSHAYLVDSNGNGDLANSAHSDFSVPAQVVVENTPVGSGRLLCNWYGFWRAFSLDHNRADLIWNERTRQELREALQAEVHSLDVEKERTDDIVPGSSVTEDASDSETLPRISWNYVEFSVSYPSLSKEVCVGQYYLRLLLESGSNYRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDELGSSDDWCDLGRLDGFGGGGGSSVRELCSRAMAIVYEQHNKVIGPFDGTAHITVLLDRTDDRALRHRLLLLLKALMNDLSNVEACVLVGGCVLAVDMLTVAHEASERTAIPLQSNLIAATAFMEPLKEWMYIDKDGKQVGPLEKDAIRRLWSKKSIDWTTKCWASGMSDWKRLRDIRELRWALAVKVPVLTPSQIGDAALSILHSMASAHSDLDDAGEIVTPTPRVKHILSSPRCLPHVAQAMLTGEPSIVEAAASLLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFSATHTHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAAAMVSDSDTPEIIWTHKMRAEHLIRQVLQHLGDFPQKLAQHCHSLYDYAPMPPVTYPNLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLAMWREELTRRPMDLSEEDACKILEISLDDLVLGENGSSKQSSELSSGNLTNNIENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQASMQGLQGPQVWRLLLLLRAQCILYKRYGHVLEPFKYAGYPMLLNAVTVDKDDSNFLSSERAPLLIAASELIWLTCASSSLNGEELIRDGGIPLLATLLSRCMCIVQPTTPANEPAARIVTNIMHTFAVLSQFESGRAEILKFGGLVEDIVHSTELEFVPSAVDAALQTAANISVSSELQSSLLAAGFLWYVLPLLLQYDSTAEENATSEAHGVGARVQIAKNLHAVHATQALSRLCGLGGDGISPSNQSAFDALRALLTPKLADMLRNHPPKELLSNLNANLESPEIIWNSSTRGELLKFVDQQRASQGPDGSYDLTESHCFTYQALSKELNVGNVYLRVYNNQPDYEISDQEGFCIALLKFIAELVQKWNSLSLDENMMHQCGTAIETSVTENGDISGSTNEGKEEDSLEKHNRGVTDGDSEVIMNLRSGLTSLQNLLTSNPGLAAVFASKERLTPLFECLALPVPPESNIPQICLSVLSLLTKHAPCLEAMVAERTSLILLFQILHCNRSCRDGALTVLYSLASTPELAWAAAKHGGVVYILELMLPLEEEIPMQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSAIRDGPGEAVVSCLEQTTETPELVWTPAMAASLSAQLSTMATDLYQEQMKGRVVDWDVPEQASGQHVMKDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYEANAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVSAMAYEGRRDTMASGQTTSRLQAEPSDQENSADSTVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSAGTPQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVEVLLGILDWRAGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCAKVREILNSSDVWSAYKDQKHDLFLPSNAQSSAAGVAGLIESSSSRLTYALTAPPPQPALVRLPSTAPALPSAPANPSGRHSYQHS >ORGLA10G0146100.1 pep chromosome:AGI1.1:10:16505556:16507619:1 gene:ORGLA10G0146100 transcript:ORGLA10G0146100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRSWPPPTSRPSRGGRQQQVLPPPAAAPAAAAFNQDTLQQRLQSIIEGSRETWTYAIFWQSSIDVSTGASLLGWGDGYYKGCDDDKRKQRSSTPAAAAEQEHRKRVLRELNSLIAGAGAAPDEAVEEEVTDTEWFFLVSMTQSFPNGLGLPGQALFAAQPTWIATGLSSAPCDRARQAYTFGLRTMVCLPLATGVLELGSTDVIFQTGDSIPRIRALFNLSAAAASSWPPHPDAASADPSVLWLADAPPMDMKDSISAADISVSKPPPPPPHQIQHFENGSTSTLTENPSPSVHAPTPSQPAAPPQRQQQQQQSSQAQQGPFRRELNFSDFASNGGAAAPPFFKPETGEILNFRNDSSSGRRNPSPAPPAATASITTAPGSLFSQHTPTLTAAANDAKSNNQKRSMEATSRASNTNNHPAATANEGMLSFSSAPTTRPSTGTGAPAKSESDHSDLEASVREVESSRVVAPPPEAEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELRGKLTALETDKETLQSQMESLKKERDARPPAPSGGGGDGGARCHAVEIEAKILGLEAMIRVQCHKRNHPAARLMTALRELDLDVYHASVSVVKDLMIQQVAVKMASRVYSQDQLNAALYTRIAEPGTAAR >ORGLA10G0146000.1 pep chromosome:AGI1.1:10:16486341:16489889:-1 gene:ORGLA10G0146000 transcript:ORGLA10G0146000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSSSAAADKNTVFRKLRAKSDNKMCFDCNAKNPTWASVTYGVFLCIDCSAVHRSLGVHVSFVRSTNLDSWTPEQLKMMVYGGNNRAQAFFKQHGWTDGGKIEAKYTSRAADLYRQLLAKDVAKISTEDGNNSWPSSPVAASQPTNQADAIPDLKLAEASKEVANEKTEPEVIRSPRAPTHSFKKPIVAKKPGNKTGGLGARKLTSKPNESLYEQKPEELAPALPPVTENSTAKSKSHTSRFEYVENTPSAGSNSEENQVIGHVAPPKSSNFFGEFGMDSGYHKKSAPGPSKVQIEESSEARQKFSNAKSISSSQFFGDQASFEKEAQVSLQKFSGSSAISSADLFGHPTNSSNVDLSASDLINRLSFQASQDLSSIKNMAGETGKKLTSLASNIMSDLQDRIL >ORGLA10G0145900.1 pep chromosome:AGI1.1:10:16479205:16480529:-1 gene:ORGLA10G0145900 transcript:ORGLA10G0145900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHESPFKELRLKNRRIMGGGGPEPEEEEAVAHGEQWPRWLSPLLSASFFSQCKVHADSHRSGECNMFCLDCAADADAAAAALCSLCLAHNHRDHHTIQVLTISLFPMHCSLPLPNQTYLTMAVAALWLQIRRSSYHDVIRVSDIQRFMDIGGVQTYVINSARVVFLNERPQHKAGKGAVANICEVCSRSLLDNFRFCSLGCKVVGCSPHAATAAATATATAARRKRLRHAHAMASTSDSDNSTSPAKRSFTPSTPPPPPTLPPKRRKGIPHRAPFGSLIVEY >ORGLA10G0145800.1 pep chromosome:AGI1.1:10:16474222:16474446:-1 gene:ORGLA10G0145800 transcript:ORGLA10G0145800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMKGKQRQDKFYHLAKKFYRVRCRAPLHDGSPNVGGAWAQEATARSSLVHNRLPPRRLVLPPPCRCSPPPQR >ORGLA10G0145700.1 pep chromosome:AGI1.1:10:16468631:16473133:-1 gene:ORGLA10G0145700 transcript:ORGLA10G0145700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEAPQGEVGHQAGTGWDTAIAIGKRKRVIELPDRMAFVVTGGGGRGSRRSERLEVTAKEGRPKSSLNMQPEAAQITKWANRMEMEILGRDQMAMSHAAATATTLRAAHMAPPATTLSDALDHDRRRDARRYRTFLPPSQLVLGLHRLGFACIPRSGAPLTRPRTAPSSVPHQPPPRREAPQPQVANAAVAERRPLREREEAAAAAAAKRIHDHHTPAESKAEAASEPQVSAGDHSTQSSDHSASAVAVGRGGSIWVRVLPKSVAMVDSPQTSEESTPTAEDKYIWADKYRPNFLNDFICNKDAALELYNQGFTPQVTAQECNHIIFEGPTSVGKRSMVSALIRDAFATDNLKIEEQTKRFELKGEIAKHIDIRVKISSHHVEAVRHLCKVVTLKPPSSDEIIKVLEYIAVQESIDLPRDIARRITMSSGNNLRQAIRSFEATWKANYAFLEGHAILTGWEEEISNVAKKILEEPSPKQLYVIRGKIRKLIEHNVSPYFIFSNLVAELKRDRDEEFQNSIDQLASELNHIKDCARQKEQCESGDTGLEIRNINIEGFAKEGHDQRETIQCFIKIEEFTVRFMGFYRSLKAKNMNRGGVL >ORGLA10G0145600.1 pep chromosome:AGI1.1:10:16466805:16467398:1 gene:ORGLA10G0145600 transcript:ORGLA10G0145600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTRRLLLLNHEPTTTPASSSSSSRQSFPTLLPVFILFVLLLCFLSIFLVRDLLHFLSLCLRRRRLLLRHGEDHDSSSVSMQSSASPINAQAPRKPPGLDPAILASFPTLRFKASAAAPECAVCLSDFAAGDALRLLTVCRHAFHTPCIDSWLRAHTTCPVCRSDLDAAPAPAPRHEDPAAVAVDVECDRRGGAG >ORGLA10G0145500.1 pep chromosome:AGI1.1:10:16451322:16453740:-1 gene:ORGLA10G0145500 transcript:ORGLA10G0145500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLLELIVIIHVLILLHFSFLNNNSKEILLTKYTPVRKYNLTVILGTVHVHITLKSKHYQRNLNLREIAPLIWELRLVKCIQDYGLKGWGSARRWMGGGVGFLYLLAFKAEAVAACRCRSPRLISVADRRGKGWSPGASWTMQTTWIFAFLIELITPKAAMSGMGSSNALPCPQRYRRRRKIVGGGGVEGEGDSRRGRREALAGEGRGRWRLVVTRSAGRGAGGRACEGGASESTAAAAAVGVRGMARTTRAAL >ORGLA10G0145400.1 pep chromosome:AGI1.1:10:16445488:16447059:-1 gene:ORGLA10G0145400 transcript:ORGLA10G0145400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nonsense-mediated mRNA decay NMD3 family protein [Source:Projected from Arabidopsis thaliana (AT2G03820) TAIR;Acc:AT2G03820] MLPGSAAPAAGSGMFVPTQTVGTVLCCICGVAMQPNPANMCARCIRARVDITEGVPRHAAVVYCPDCTSYLQPPRSWLRAGPESPELMQILLRRLNRPLARLRVSLSAAEFVFSEPHSKRLRLKLRLRREVFNAVVLEQTHPVEFTVHDRLCDACARAQSNPDQWVAVVQLRQHVPHRRTFLYLEQLLLKHGQASLALRVAAAPGGLDFFFGSRSHAARLVDFLATVAPVQTQTAKQLVSHDTKSNVYNYKHTFSVEICPICREDLIALSPQVSRDLGGLGPIVLCIKVTNAIALLDPLTLRVHHLEEKKYRVYNFKAALTSKQLVEYIVLDIEHESPEITIDGSRYQLAYAQVARVSDFGKNDTMFTVRTHLGHLLNPGDLALGYDLYGANLNDDDMDTAMMRHSLPEVILVKKSFAKRPRTRRWKLKRLPMEEDAVNKAKGELEKRADEYEEFLRDLEHNSDIRFQIDLYKDEDYRSEMASTIGDDVPTVPIEELIEDLSLGDDEEEDEGGEGNAHADMVG >ORGLA10G0145300.1 pep chromosome:AGI1.1:10:16440612:16442714:-1 gene:ORGLA10G0145300 transcript:ORGLA10G0145300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVVKDLVAGTVGGAANLIVGHPFDTIKVKLQSQPTPAPGQFPKYAGAVDAVKQTIATEGPRGLYKGMGAPLATVAAFNALLFTVRGQMEALLRSEPGQPLTVNQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALAEAAAASGVALPKGPIDVAKHVVREAGMKGLFKGLVPTMGREVPGNAVMFGVYEGTKQYLAGGQDTSNLGRGSLILSGGLAGAVFWLSVYPTDVVKSVIQVDDYKKPRYSGSVDAFKKILAADGVKGLYKGFGPAMARSVPANAATFLAYEITRSALG >ORGLA10G0145200.1 pep chromosome:AGI1.1:10:16437859:16439572:-1 gene:ORGLA10G0145200 transcript:ORGLA10G0145200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLTAGTVGGAAQLVVGHPFDTIKVKLQSQPTPPPGQPPKFAGAMDAVKQTLAAEGPRGLYKGMGAPLATVAAFNALLFTVRGQMEAVLRSEPGAPLTVSQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALASAAPAAAAAAASPVGGGAATATATATASVAAVKYGGPVDVAKQVLRSEGGVGGLFKGLGPTLAREVPGNALMFGVYEAIKQYLAGGQDTSSLGRGSLVVAGGLAGASFWGSVYPTDVVKSVIQVDDYKNPKYSGSMDAFRKILAADGVKGLYKGFGPAMARSVPANAACFLAYEVTRSALG >ORGLA10G0145100.1 pep chromosome:AGI1.1:10:16432400:16433035:1 gene:ORGLA10G0145100 transcript:ORGLA10G0145100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQEEVPPPPAGLGLTAEEYAQVRATVEAHHRYAVGPGQCSSLLAQRIHAPPAAVWAVVRRFDCPQVYKHFIRSCVLRPDPHHDDNGNDLRPGRLREVSVISGLPASTSTERLDLLDDAHRVFGFTITGGEHRLRNYRSVTTVSQLDEICTLVLESYIVDVPDGNTEDDTRLFADTVIRLNLQKLKSVSEANANAAAAAAAPPPPPAAAE >ORGLA10G0145000.1 pep chromosome:AGI1.1:10:16423797:16424647:-1 gene:ORGLA10G0145000 transcript:ORGLA10G0145000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLYGDRLQAKVAKKPPQEKGEMVKIEEEIDAESDDDCCEIDPDEFARKVQLKVSDEVILVAAKGQIKVDANQPEGFGNLLDLSDFDNNLQPHHEYAAGDRMDHPYEIDEDKTTLEKLVDGEDKYRADDLQVDEGDRCREEVIPVKISVKSEPEEHGVIGEEDAYDLLPEINGFSEQLFPDERRVFDEEDDDDVVVIGRDSL >ORGLA10G0144900.1 pep chromosome:AGI1.1:10:16420750:16422825:1 gene:ORGLA10G0144900 transcript:ORGLA10G0144900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMMECR1 family [Source:Projected from Arabidopsis thaliana (AT2G38710) TAIR;Acc:AT2G38710] MVVATEEMAVYCFDALVSHYSGDQPPPPAFEEGIHPLFVTWKKATNGSEPRLRGCIGTLEARQIVTGFKEYALTSALRDRRFPPIQSKELPYLECTVSILTEYETALNHLDWEVCNYTLVLVVLSLSSNNLVVLQVGKHGLIIEFTDPDYNMRRSATYLPEVAAHEGWTHLETIDSLMRKAGYNGTITDSLRKKLRVTRYQSTLYTMHYGEYAAYVKKNRGATPEINGAPIINGFKPGH >ORGLA10G0144800.1 pep chromosome:AGI1.1:10:16416791:16419213:1 gene:ORGLA10G0144800 transcript:ORGLA10G0144800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G27600) TAIR;Acc:AT4G27600] MALASSSRLLHRFSSSSLPRPCWRRVPRPRGHSRFAVRWEHRAAAVVVLLPRALLGGGFQDADAAESDDEEEEDAHGVLRPLDADDVNLDLHAPPSGPERWDVLGLGQAMVDFSGMVDDEFLHRLGIQKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALSRLGSSRSTNYPELRIAMAGSVGSDPLGSFYRAKLRRANLHFLSKPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTLSYDSDLASLVSKSNVVIVEGYLFELPHTIEAIKQACEDAHKNGSLIAVTASDVSCIKRCYNDFWDIVTNYADILFANANEARAFCELSSTDSPMSAARYLSHSVPLVSVTDGVHGSYIGVKGEAIYIPPPPCVPTDTCGAGDAYASGILYGILRGSSDLKSIGLLASRVAAIVVAQQGTRLRVQDADRLAESFTLHLDNLEFCSDIETDHVSNF >ORGLA10G0144700.1 pep chromosome:AGI1.1:10:16408272:16411699:-1 gene:ORGLA10G0144700 transcript:ORGLA10G0144700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKDVSPLVTVPPAPAAAAPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAPMRLSFDQMAGKAPGGEQQHHHHPGPMLYAAAPAGGAAPPPQGGNVMGMGELMRKKRGRPRKYAPDGSMALALAPISSASGGAAPPPPPPGHQPHGFSISSPPSDPNAKRRGRPPGSGKKKQFEALGSWGIAFTPHILTVKAGEDVASKIMAFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLMAATPVQVVVASFIAEGKKSKPVETRKVEPMSAPPQMATYVPAPVASPPSEGTSSGSSDDSGSPINHSGMPYNHSGQQQQHQQHQHMPPAYASGGWSLSAHHQNRHDSDMKMMSN >ORGLA10G0144600.1 pep chromosome:AGI1.1:10:16396165:16399992:-1 gene:ORGLA10G0144600 transcript:ORGLA10G0144600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGSAGLGAAGRAQQRWRLALLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKRTVEEIIASLEQEAPNVADEWVASAIQSLRKASPTSLKISLRSWVQIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDKDRNPKWMPPWLEEVHDEVVEKYFSKVDDPEWEDLDLPPRRSHGRRLVPKL >ORGLA10G0144500.1 pep chromosome:AGI1.1:10:16374606:16382068:-1 gene:ORGLA10G0144500 transcript:ORGLA10G0144500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPQERTVRDLYDRTRHKDLALPEESVEGRGSGRRVEGPGHREVVAKMEDKLTARKRPVPLDSPNVKTESGTCNVCCAPCSSCLHRNIALMDSNMDCGSSQTCFARSETKNSSFVRVDKGLCTKAKGGENDDEFTATSSPASYSENGENKVIARSSVAADSEVDKPAKRRRLVNHGSRSPIVECHDDSNSCVTGVSAASKLLLDKKKDKLSTSASSRDLTVNYKDNGINRLRNYCAEESTGKKRSDVHAMHRSSSDRSLPAESPFATKRLLRTQSSLSASHGLSPKRPTHAFGNAQNNLAHQPCEKASSNKTVERSLGGKSDPSVLGGERHSMMTSCGTSNRDKIKAGSLTKNLENGTSCSRNGSLEHADIQSNDAVNRNDNDKQERNQGCSMDTGSGRKLNTQNDVMTDSGNSEGLIDVNVCDICGDVGREYLLATCTRCLEGAEHTYCMRVKLEKVPDGEWLCEECCLKEDENQTRSNGGTSRNKVLDGKNQNSESTNNSKTLKVVVTDLDSQQITCGTPVNDPLAGSNQKLHLASSDLEARQVKCATPTAERLDVKNKNSGIMGNRKKLQVVTSSLEARQSSCRTPTSGSLDKKNQSLDKRSQSSEVLLKRKKLRVATDMESPLSNDGVRSPPKSCKRYAENTLSSTPRLLKADSPRNHDVFSRENSFKSSNKGSIKSPDNAPMRSQAVNSSVTLPRSYSLGNLANVKTPGPSPRGLLSKQPSFNNSSNEPKVKQLAEPVLSKLKPSKHSPRDPRESIRKVMKSGPLKHEASICIGSSSSKQKQSVHSSQNEQPRILKPVKPTILLERGASFNLQKPNISSSPRPDSSIKSGDPRNDQDSPRPGPSILKSSKKPGIVENKHSSILSKSDKQGITSTGVVCSKDTCVVKASDPLIPMDKIKNDSTDGACESPLILVNNDNEMSTKPEVLSIPRASKTCGSDFQDIAPTSSSEDLPPEEVQYEQKVVESDGNISCKSAAAIQASEDLLPESPQGCLVAQNPYSPDTKSNDLNLKQQALVDQSSTVGSSLGALVIPEQSYIWQGTFEVSRPGSSPEMYDGFQAHLSTCASLKVLEIVKQLPQRIQLVEVPRHSSWPLQFKEVKPNEDNIALYFFAKDVESYERAYGKLLENMLAGDLSLTANICGIELLIFTSDKLPERTQRWNGLLFFWGVLYARKASSSTELLVKGMNHSPLEQINGPVNQLVCSPKMPQSLGIDLNECPVDELYDPAVSVQTEMENRGASVNHETLLRSNHEAERLNLCEIHFPETAGTGKILLGTPTAVPYGVHVHTSSKRECLNIKPEYPSDIIGSEGTAGRDNMEEEESFTKNGVPCFTKQHTGATTRSVSDEILANPQARVSFQEVSPQHSVRPKLSDDPSDSVLKDFVLPDSSSIYKRQKTSEGKYSTCSFGDGQLTSKCLSKIPLPADQHTSLDDVQYIGRVPADPCSPTKPILDHVIHVLSSDDEDSPEPRNNLNKTSLKEEEGPSPLLSLSLSMASKKHNLTGSDTGDDGPLSLSLGLPGVVTSNQALEMKQFLPEKPGMNTSLLL >ORGLA10G0144400.1 pep chromosome:AGI1.1:10:16366048:16368748:1 gene:ORGLA10G0144400 transcript:ORGLA10G0144400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEAVEELTRLYRELPPRPAVEEVEAAEAVLASADAEEAARLDEVAREEASASASSSAAAPGRADGELLAVLREARRNAVRLRALQQRKEAAYVVELERRFKVFDDLIQRASRVVSSSSDAAEAGGGTTGDGYVGVGADSVDLEMELRKKEAAVAAAAAVAEMERGSKGLAALGLESKPISSLRRDVSAGTDMEKLSLIQVASLIESSAKKGITELSLRGKLVDQIEWLPVSLGKLQDVTELDLSENRIMALPSTIGSLRYLTKLDLHSNQLINLPDAFGELSNLIDLDLHANQLKSLPSSFGNLTSLANLDLSSNMLKALPDCLGKLANLRRLIVETNELEELPYTIGSCTSLVELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTVGSLSRLRELDVSFNEVEVIPENICFATSLVKLNLSRNFADLRALPKSIGNLEMLEELDISSNQIRVLPDSFRCLSRLRVFHADETPLEFPPREVVKLGAQAVVKYMNDLNAARGTNQKKTDRGSFWTWLFSLFGCCKKNQEVGLPV >ORGLA10G0144300.1 pep chromosome:AGI1.1:10:16357453:16359616:-1 gene:ORGLA10G0144300 transcript:ORGLA10G0144300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAPDPSNNKNSSSILHQQVKKISRIPFSWGAHAWGIGREDPRRAIHALKVGTALTLVSLLYILEPLFKGVGKNAMWAVMTVVVVLEFTAGATICKGLNRGLGTILAGSLAFIIELVAVRSGKVFRALFVGSSVFLIGFAATYLRFFPSIKKNYDYGVVIFLLTFNLITVSSFRQEDVVPLARDRLSTIAIGCAICLFMSLFVLPNWSGEDLHSSTVRKFEGLARSIEACVTEYFQDQDKDDKILDKQASRASIHIGYRAVLDSKSSDETLAHYASWEPRHSMQCYSYPWQKYVKIGSVLRHFAYTVAALHGCLESEIQTPPSVRSLFRNPCTRVAREVVKVLQELAVSIRDHHRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGSKHACANSHVLMELNSSKHTATRTTLPSFKTDGTSLLERRNTKADQPSERNEQGTLRPTLSKIAMTSLEFSEALPFAAFASLLVEMVVRLEMVIEEVKELERAANFREFTGHDYLTIDLTSNDKMRNPNGIPLDSHTISTAAE >ORGLA10G0144200.1 pep chromosome:AGI1.1:10:16355032:16357150:1 gene:ORGLA10G0144200 transcript:ORGLA10G0144200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10650) TAIR;Acc:AT4G10650] MAAATEAFSRRLSDAVRGLSGAWYGRHMAAADRAIRSRLPLVDLVLEVRDARVPAASAFAPLRRRSPEPDRRRLVVLNKADLADPSQTEKWMAYMKQTSCSCVALNSHSREGIKELLNAVRAKIREIKLGESDCTGTVLLVGIPNVGKSAIVNAMHRIGRIGAAEKGKLKHAIVSSHPGETKDISGYKVASHPNIYVLDTPGVLSPVFFNDESGPLLALTGAIKDSMMQEFEIAQFLLAILNSRETYKKWENMNQAGDMSSFSHAMSSSSHHNKRQYASDHTQDFVVKAVCQVLFDSISSFKGYLENENELKSLIECQFIALQEAFRVSADLSEDVRKLVAMKLLNLYRTGRLGRYTLDCAPDVRKEIVS >ORGLA10G0144100.1 pep chromosome:AGI1.1:10:16346557:16348180:1 gene:ORGLA10G0144100 transcript:ORGLA10G0144100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTLPPGFRFHPTDDELVGYYLKRKVDSLKIELEVIPVIDLYKFEPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATSTGYWKATGKDRKIACAGEVFGLRKTLVFYKGRAPGGERTDWVMHEYRLCQDLAHGVSNFIGAYALCRVIKRHEAGLHGEPPAAKAKGMISKVSSSSSLVTVEHQLSSRGNASPSFTPTNNGSPLVDKMFVGGGGGDPFQLLPSCVPYHGGDACGFDLPPLCMPQTQDPFFSDAGFTQAAPPLYGDVMGSVSEHELKWDTLGGYSGGGGGELWNAAAAPLLCRQASDGDDLTAWFTAADDNMSVF >ORGLA10G0144000.1 pep chromosome:AGI1.1:10:16330685:16334209:1 gene:ORGLA10G0144000 transcript:ORGLA10G0144000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR-signaling kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G46570) TAIR;Acc:AT5G46570] MGCFLSKPAGAGPLPPNDAAALPADNPADPEAAAANGGADSAAADGGGDDKDAAKRAVPVFREFGLAELRAATKGFSADLIVSESGEKAPNVVYRGRLDGGRLIAVKRFSRLSWPDPQQFLAEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRLRVAYYIAQALDHCNAENRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIAESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYANEDASKLVDLASKCLQFEARDRPNIKYLLSSVGPLQKQKEVASHVLMGITKATAVLPTILSPLGKACSGMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFKTAIDYYSKLVGMMSVPSATVFARRSFSYLMNGQSELALRDAMQAQVCMPEWPTAFYLQALALSKLGMETDAQDMLNDGATFEAKKQNSWRG >ORGLA10G0143900.1 pep chromosome:AGI1.1:10:16321597:16325837:1 gene:ORGLA10G0143900 transcript:ORGLA10G0143900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:I1QW56] MAAAAAEIVGSAAARMAAPAVXPAPPAAAAAAPPQPRRAVAARSLRTSTSDRVAADLALGSNGSLSAQSIAENTADATSQVVSANSRRKTKIVCTIGPSTNTREMIWKLAETGMNVARMNMSHGDHQSHQKVIDLVKEYNAKNTDGNVIAIMLDTKGPEVRSGDVPEPIMLEEGQEFNFTIKRGVSTKDTVSVNYDDFINDVEVGDILLVDGGMMSLAVKSKTADTVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENGVDFYAVSFVKDAKVIHELKDYLKSANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEEVPLLQEEIVRTCRSMQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGSDAIMLSGETAHGKFPLKAVKVMHTVAQRTESSLYNPTTSPSLVAHPQALLNEEFSQSQLSKMFGSHATMMANTLCTPIIVFTRTGSMAVLLSHYRPSSTIFAFTNEERVKQRLALYQGVVPIYMKFSDDAEETFSRAISSLLSAQFVKEGDYVTLVQSGVKSIWREESTHHIQVRKVQG >ORGLA10G0143800.1 pep chromosome:AGI1.1:10:16316848:16320393:1 gene:ORGLA10G0143800 transcript:ORGLA10G0143800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGHKAVAALPHLVKSLRSEPVSNAPRLRHLPSLRRTFSLYDQINLIDSVPEDQLRFQSYDDTGFNINKVKYEGSLLIVENKIMTWAPKSFAEITAESLSIFKVVHPIPEILILGCGRHIQPISPELRKFIRSTGMKLEVVDSRNAASTYNILNEEGRPVAAALLPCGVTS >ORGLA10G0143700.1 pep chromosome:AGI1.1:10:16315671:16316489:-1 gene:ORGLA10G0143700 transcript:ORGLA10G0143700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDMPPAVDDDLQDLIDELMNAGPEDEADDRECEEITAKALSEATEYLEDPDPPSPEQVGWAEGAVISAQSAADNMASYVLDLRRALAVFAGTGRPEEAVLRKNVAWADARRAEAVEIASAARRLLEKELRCMAARDHPVIPELAALITAMRESTKSRVLQDSSGGDAVRRAGLLDSAIKFEDAVVEKMTALKEKLTRGAAAFAGEEELVQALQKHAATAEAEIAEAQAFSATLSAASLVFVQKRPAPETEEEPPRQKRRTGDAGDSAAQD >ORGLA10G0143600.1 pep chromosome:AGI1.1:10:16312687:16313526:-1 gene:ORGLA10G0143600 transcript:ORGLA10G0143600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNMPPAVDDDLQELIAELMEANLQDPELEQSLTTVLSLATNYLDDPDPPSPELADWAATLETNSTIIADGLASRLLDFRRGLAVFAGTGRPEKAVLRKHVAWVDARRADAADIASAARRLLEKELRCFAARDHPVDPEVAELITAMRESTKSRVLQDSSGGDAVRRAGLLDIAIKFEDAVVEEMTALKEKLTRGAAVFFAGEEELVQALQKHAATAEAEIAEAQAFSAVLLADANRTASPVVVVQKRPAPETETETEEEPPRQRRRTGDAGDSAAQD >ORGLA10G0143500.1 pep chromosome:AGI1.1:10:16310419:16311917:-1 gene:ORGLA10G0143500 transcript:ORGLA10G0143500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAQGLRRRRSMGGRFRAEIQIDPGVAIPRGPDAGFAAAVREPLVKLQRPKFEFEQWDWDYISWPHDRLDANLQMRDSDPEATFEADRKASDEFLRRSTLQLNKCERDRRKPEQQDMELEDQDMFVASLLHVDDEPTGCRSSSEPWRTAGQRSAITPHMGAAY >ORGLA10G0143400.1 pep chromosome:AGI1.1:10:16308548:16309450:1 gene:ORGLA10G0143400 transcript:ORGLA10G0143400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:I1QW51] MTVHLITKMLLLLVSLAPAAAALLAGEHGVAAAEPRLVEIPFNFSAHSWHELISKRLKGELADNPCRQEIEGIPTMAGLHGDDEPPAKWITVRLFGGGGGGDDQAKLLVAEDDAYVAGFANRTGHWHTFRGGRCYPALPATACTELPFGGSYRDLIGGVANLRAVPVGRSSAVGAMEVLSRYDPAATTAAADAKMALAKFMVMVTEAARLKPVRRAVVERWEQVSYLSSDEVRDVPYYGKMSLMILEWKRTGRWGELGPWANVDRARCPRPAGCEDEDEADADAGAGELXGLRAIHADTS >ORGLA10G0143300.1 pep chromosome:AGI1.1:10:16291876:16292477:1 gene:ORGLA10G0143300 transcript:ORGLA10G0143300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHGSSSFGGALSLCKVILMVLALICTLHTASVQGGSSRVSRAIARAPSLPSPLSTTRESGGGNWWGWEGFGIGSNLHAADDPRRVLHRRPGMPRTLQMPSWSRLAMTMTHTPSLCYIKCYCMIEDHIDLDLII >ORGLA10G0143200.1 pep chromosome:AGI1.1:10:16282635:16286532:1 gene:ORGLA10G0143200 transcript:ORGLA10G0143200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQRGSSSAVSIWKALLILLALICALRPAQGGRGVSVGYPGPRSNKASSYYRGGGGCSSIDECDGPPAPGRAGAADAIGRNGALNPPRYPVPRIPGEPYTRPGRGCTVAYGCYGGPPAAKP >ORGLA10G0143100.1 pep chromosome:AGI1.1:10:16278574:16279639:1 gene:ORGLA10G0143100 transcript:ORGLA10G0143100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKQSRSPCSSSSAAAVMSLCKVLLMVLALICTLETVSVEGGRVAAAALVGGGGPLNPLIPGEPYIGRGGDPYTGRGGDPYRGRGRGCTVPYGCYGGPPAAKP >ORGLA10G0143000.1 pep chromosome:AGI1.1:10:16273295:16276020:1 gene:ORGLA10G0143000 transcript:ORGLA10G0143000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18030) TAIR;Acc:AT4G18030] MRGSRMNPGDRRTRSSMSIVIVMSLCCFFYILGAWQKSGTGRGDSIALRVTKETDCTILPNLHFETHHSLGGVNPLVMTDKVFEPCHIRYSDYTPCQDQNRAMTFPRENMNYRERHCPAETEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKNLTVEKAVQNWVQFEGDVFRFPGGGTMFPNGANAYIDELASVIPFTDGTIRTALDTGCGVASWGAYLMDRNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSGSFDMAHCSRCLISWKSNDAMYMFEVDRVLRPGGYWILSGPPINWKTNHQAWKRSKEDLEVEQNVIEKIAEMLCWDKIHEKGDTVIWRKKADSNECHNKDGRPSKMCKIQDADDVWYKKMEGCITPFLEEAQLRKFPERLFAAPPRILQGRTPGVTEEIFEEDNKLWKKYVSTYKRINKLIGSSRYRNIMDMNAGLGSFAAIIDSPISWVMNVVPTISEKNTLGIIYERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYQNKCNMEDILLEMDRILRPEGAVILRDNVEVLNKVRRTVMGMRWKSKLLDHEDGPHIPEKILVSVKKYWVGNEEENSS >ORGLA10G0142900.1 pep chromosome:AGI1.1:10:16263942:16268075:-1 gene:ORGLA10G0142900 transcript:ORGLA10G0142900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit B [Source:UniProtKB/TrEMBL;Acc:I1QW46] MALEISMEGIEARARELGVDLSTVDLDSVTLPAGEDFGILSDDEDLLRIDDPMELEMGFANVVVVDNLPVVPPEKFEKLENVIRKIYSQIGAIKEGGLWMPVNPETKKTYGYCFIEYNTPQEAELAREKTNGYKLDKSHIFAVNMFDDFDKYMKVPDEWMPAEIKPYTPGENLQKWLADEKARDQFVIRAGTFTEVYWNDARQKMPELVFQKQYWTDSFIQWSPLGTYLATVHRQGSQVWGGDDKFERLMRFAHAQVKLIDFSPGEKYLVTYSSHEPSNPRDTHRVVLNIFDVRTGKVMRDFKGSADEFSASGNISVSGVSWPIFRWGGGKDDKYFARLGKNVISVYETETFSLLDKKSLKVENVVDFCWSPTDPIIALFVPELGGGNQPARVSLVQIPGKEELRQKNLFSVSDCKMYWQNSGEYLAVQVDRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDGPKPDISFYSMKTSNNISRVSKLTTLKGKQANALFWSPGGRFIVFAGLKGFNGQLEFYNVDELETMATGEHFMATDIMWDPTGRYLASAVTSVHEMENGFQIWSFSGKQLYKVSKDHFFQFLWRPRPPSLLTPEKEDEIAKNLRKYSKKYEQEDQDAFNQLSEQERKRRKQLQEEWEGWVAKWKQLHEEERPYRMELRDGEASDDEEEYDTKEVEIEEEVDVQEEEVPFELDQE >ORGLA10G0142800.1 pep chromosome:AGI1.1:10:16262990:16263413:-1 gene:ORGLA10G0142800 transcript:ORGLA10G0142800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDAAARSPRQTVRVGGRRPTADPSRGSSEAYGFVGSIAAVAAAAAYLAWAYLPEPWLRFLGVTYYTARHWALAVPSLLLVAAAQGMVLYMASNFLLAPAPTCLATISG >ORGLA10G0142700.1 pep chromosome:AGI1.1:10:16258469:16262306:1 gene:ORGLA10G0142700 transcript:ORGLA10G0142700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFARWHPPPPPPPAAAAGRVSLRPAYGRRSRAATVSPRAFGRGADFDGFVRRAWRGANAGAERLAFEVRQTAQRLDGRFSISRRLAEAARAARARAVEIDAELGIGRRWRSFSVDFSRNWPRYRRELTDFMATPIGRAFTTIFFIWFALSGWLFRVFIFGTFVLPFAAPLLLGTFGNRVAIEGTCPACKRRFVGYRNQVIRCMNCQNIVWQPNNRSSGRASSSRSSGPDVIDVEFEEK >ORGLA10G0142600.1 pep chromosome:AGI1.1:10:16249746:16252897:1 gene:ORGLA10G0142600 transcript:ORGLA10G0142600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CIRLVNCRYLIMVDDIWTIRAWETIQSALWDDNRGRRIIVTTRIEDVAKACSPAIGGHYIHHMQPLEVEDSKKLFLSRTFVNKECPEELEDVMENILKRCGGLPLAIVSIASVLAGYTSPGSKDKWESIYKSIGSHMESNPTLEGRQILALSYNHLRHELKGCMMYFSIFPEDYEVNKDRLLWRWIAEGLVTEKRGSSLMEVAGSYLDDLVNRNMIQLRDEFKYYWKAKMYRVHDMFLELIVSKSLESNFASLLGGQYATMPYDRIRRLSIQGDDARLEEAEQPRKNMAGVDDGILDLEHVRSLSMFQHTGKKLLDQLGKFRLLRVLDLEGFKGALTKDHMGYICRLYLLRFLSLNGTEVEEIPSEIGKLEHLQTLDVRETSVRGLPDTVTKLYKLERQQISYNGDANLMWKLPLELKKMKMLREVGFAVLGNHLQVAQDVGELDHLQEMVVYVDDITFDNEVLTTFTGSLSKLYSLRRLIIGDVGYGNTLNFLNRLSSPPPLLQYLMIAGGIDRLPSWIMSLTCLGQFNMSWGKVAGDQLFDVLCELPSLTTVCIHNYCYEGEVLVARTRHRFPELITLRVASGSNLPNVIRFENGTMPKLEYLLVNFTDNNEKKIIGIRHLTSLKEVQLWGNESNLALRRALKHLKNCSEIRIFHKSFGIRRTVKLV >ORGLA10G0142500.1 pep chromosome:AGI1.1:10:16240155:16241674:-1 gene:ORGLA10G0142500 transcript:ORGLA10G0142500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSSTLSKLAPLEAILFDIDGTLCDSDPIHFCAFRELLQQVGFNNGVPISEEFYSANISGGHNDDLARVLFPDLDHDKAMKFMDDKEALYRKLAPEQLVAVEGLHDLCRWIEDHKLKRAAVTNAPRSNAELMLSLLGLTEFFPVLVIGSECDRAKPFPDPYLKALELIGASPDHTFIFEDSASGIRAGVAANVPVVGLTTRNPEKVLQDAGASLLIKDFQDPKLLSILEEIKPTVAAVEQV >ORGLA10G0142400.1 pep chromosome:AGI1.1:10:16235358:16236485:-1 gene:ORGLA10G0142400 transcript:ORGLA10G0142400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLKKGVEFGVASLQRCHSLSCQMAESTQIDERKAGNRCSKEKSRRPKCCHPAEMPVIPKQAMEFLSRTWSPSSSDLFQILSPSSLGTSPVNRQEDEVIGDEDVEAHGDTVRFDGGRSQVFNQTWGILASGKSSSGQHKHKNQPTWVRAYVAQGDVTTLRARSIV >ORGLA10G0142300.1 pep chromosome:AGI1.1:10:16233498:16234874:-1 gene:ORGLA10G0142300 transcript:ORGLA10G0142300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMRAILRGYLMDSIPIAGSRRRKRRDELRLHTAQAHAAVSVAQLAAAIAGVVSACELRSSSGGAGADRKLSTVLASAAALVATVCAESAESAGADRSRVTSAVKAGLDSRSPAELLTLTATAATCLRGAAVLKLRADVSRGISSSTSNSMMMMSTNTASIQKGTILRVCLPCGRLRLRTVAVFPECGTVALRLGKKRLHGAFTTYQHYEVLAVSGGGEAVVDCRKFFPVALSTAAGTVQLLLDNQMHCKVWKASIESMLSGRKLKHTKC >ORGLA10G0142200.1 pep chromosome:AGI1.1:10:16232354:16232954:1 gene:ORGLA10G0142200 transcript:ORGLA10G0142200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GALDGIPLALAENSGLPPFDTLTAVNPQQVKLFFCQPPELPLQDSNPHHGIDCNCVRTNGIREQNKQQQIVLTTQVVKMILKIDDIITPSDC >ORGLA10G0142100.1 pep chromosome:AGI1.1:10:16224404:16225744:-1 gene:ORGLA10G0142100 transcript:ORGLA10G0142100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLASAAALVATVCTEAAELAGTNRSGVTSAVKTGVESCSSAELLTLTATAATCLRGAAALKLRADVRGIGSNNNSVGTSTTSIHKDTTLRVRLPCGSVRLRKVAVFPQCDRVVLRLGKKHLHGAFSTYKNYEVLDVSSNGGDVVVDGMVLFPLVLRTAAGVVQLLLDSQMHCKVWKNAIEGILSDQNVKHAKL >ORGLA10G0142000.1 pep chromosome:AGI1.1:10:16222605:16223048:-1 gene:ORGLA10G0142000 transcript:ORGLA10G0142000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSNLGGEGPAGLIAERVLANDVADYIRFRAVCRLWRLRSVDPLSRALDCRFLPRRWIMLDKAPPPPPPAAASSTSPPASASVRISRSSRATPWSRSPRRASCCCSTSATSSSACSTPSPATSPTSRRSPRCSRRSNCDLGIRMGG >ORGLA10G0141900.1 pep chromosome:AGI1.1:10:16218455:16219339:1 gene:ORGLA10G0141900 transcript:ORGLA10G0141900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDFPVGHKFRLISHYTHCDGLVLAPTITKLYLFNPATREAITLPDGHGHSHTAGLGLDPGTGRYKVVRSFYRSPSMDPPVSMGMEVLTVGEPGARWRETAVDPPHPITRWRTALAVNGGYLFWYMDRRRYPDDAPRGLLRFSLRDEAFAVTRLPESMDPTLDENVLPDVLHGELCVVQALPDKAGVLIWTMSSSSMDNDDVHLDDGPWELRYCICVNALCHPLGVLPDGGGILLWANRSVHRYDFSVRKLAGVVCNLDRIRYQGGRPARWKSVVDFTLMPYTESLVRITAA >ORGLA10G0141800.1 pep chromosome:AGI1.1:10:16209750:16214998:-1 gene:ORGLA10G0141800 transcript:ORGLA10G0141800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMENVIVLVNRIQRACTVLGDHGGGDGTASLPTLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEDGVQEYAEFLHMPKRRFNDFALVRKEIQDETDRLTGKTKQISPVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLARDVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNIDMIIARRKEQEFFASSPEYSHLSSRMGSEYLAKLLSQHLEAVIRARIPSITSLINKTIDELESEMDHIGRPIASDAGAQLYLVLELCRAFEKIFREHLDGGRPGGDRIYGVFDNQLPSALRKLPFDRYLSLQNVKRVISEADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVHYVLKELVRKSIGETQELKRFPTLQAELAAACFHALERFREDGRKTTVRLVDMESAYLTVEFFRKLPQEVDKTGTGNPSTPSVDRYADAHFRRIASNVSSYIGMVSDTLKNTIPKAVVHCQVREAKRSLLNYFYTQVGRKDAKQLAQLLDEDPALMERRQQCFKRLELYKSARDEIDAVSWSR >ORGLA10G0141700.1 pep chromosome:AGI1.1:10:16203204:16204082:-1 gene:ORGLA10G0141700 transcript:ORGLA10G0141700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKLHPYANAVGVCAPCLRDRLLDLAAQRDATADAAEADDHHHSSSSRASSSLFARSVSPYAAARRSDACAYASSSSSSAAAAHHHHHHPNLLFFRTPQVGPARAAGGGDEPEPRGKKVARRRSFLAAIFGGGGGRRHARGDDDVVRGGGVSAKDPPRRSTSWLSSIVRRKRRPEAAATAAESLPPPLDEAPDSPGGSTTSSWWFPSPSPARQHRRRHTGVGASGDGISGFAVCLSPLVRPTSGGGGGRRRCQPPDPSPLGDTHRRNLSAGGAASFGRNTSRKLADMGRFR >ORGLA10G0141600.1 pep chromosome:AGI1.1:10:16194258:16196459:1 gene:ORGLA10G0141600 transcript:ORGLA10G0141600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase, type II family protein [Source:Projected from Arabidopsis thaliana (AT5G46920) TAIR;Acc:AT5G46920] MPPPPPRRALLAPLLRLRAFSSSSSSLAHHPPLPPPPRRHQFVAADAITGRGGGGNQLDPAQLLRDDPVAITASLWVSSFRAQPTAAAPPPPSLSPFLSRLELWVLAYQKAYADETGSYLPRSSIPASTLSSLLALRNAVLDARFRFGNRLTPFLRSPRAAAAAQDPATLSKRKLRALLTTPGPAPFQDRVVQELLLLLLEPVYEARFSPKSFAFRPGRSPHAAIRTIRRSFAPYLWYIKGDLSPLLHSPDPALVVGALIRDVRDKKVVDLVRSALLTPPVTARPGDEDAAKKKKKRKYQKKKVLPEGEPKPDPYWLQTFFGFAPEEALTQPDWGHCGVLSPLLANVCLDELDKWMEEKIKELYRPSKSDVVGGEDGVEQGNTSWPEFVPTSGPDKTRKVDYIRFGGHFLIGVRGPRADAAVLRKQLVEFCDQRFRIKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLEFLKGDREPDPQPCFRMFHATQAHTNAQMNKLLLTMAEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIASRNLSRPLKDKKGQSPEYHNLLRMGLVDSIDGLQYTRMSMVPDPDYTPLPSGWRPDHEKILLEYIKLTDQQTLDEQRSCIREEGLITPQDYISMLVWSYKKNAVLLPSFKENDHKGSTEDLGSDTDELGDEEIGNLDHVDSPKVAEMP >ORGLA10G0141500.1 pep chromosome:AGI1.1:10:16188287:16191325:-1 gene:ORGLA10G0141500 transcript:ORGLA10G0141500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVASLSLLPHFLIKPSFRCCSRKGVGRYGGIKVYAVLGDDGADYAKNNAWEALFHVDDPGPRVPIAKGKFLDVNQALEMVRFDIQYCDWRARQDLLTIMVLHNKVVEVLNPLAREFKSIGTLRKELAELQEELAKAHNQVHLSETRVSSALDKLAQMETLVNDRLLQDGGSSASTAECTSLAPSTSSASRVVNKKPPRRSLNVSGPVQPYNPSLKNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVMNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTKMLNVRIRSLPCFEQEGMVWIWPGNDPPKSTIPSLLPPSGFTIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPSSGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTKQCSTHLHQLHICLPSSRNKTRLLYRMSLDFAPWIKHVPFMHILWSHFAEKVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRDAIERGVDKLPFSNQSESGS >ORGLA10G0141400.1 pep chromosome:AGI1.1:10:16183149:16187296:1 gene:ORGLA10G0141400 transcript:ORGLA10G0141400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPGRGGADGYCDLPDVRLELDPGKVRGGGGGFTVCFWLYLSSSARPSSVILHQVAEGGGDKVPFLALGEGNKLILFPLLGFHREAPTPDSSYPWTDITNLTEVNECPLDNWFHVGCEVTENIMRLHIDCDLVAETHLHSLYNEPDYQDDANQINLLGSKDKLEGYVYNMELSCMLGNIQQQFAKNPPFKLSIDYSCSDGIEEGDDGIWNIVGGKASCRRNFILEVILVDAFGEAAKDREIVASLVYADNGALVEKSRDDSEPPLLISCDGIEYPAVSRPLPIIRGRALFKLKISQLSSKCDNKLFRIFFSTLGMKRYPFLEAYSKPIRCISRNRTSRPLGSAKRIGSASMDDIQSINNCEGFGHSGKANGRLQTHDPSSVVCFHPSKFSKIEDDVQKTSSQNKHAKKMVLDKGAQDVMVSDSTASDYDSMDAGSSWSLSDGDDVESFSDAEIFRYCLDGTHERSKFLRAAAPSVNEDDLIKLANQVSLYSGCTHHRNQILISKQLLQEGADIWSIISKNNERALWSSAVPEMKAKFLEIVHPSNRGLSEQDFEVLRGIAGCGDDIGRDEFDKLWSWLYPVAIALSKDKINRLWDFTAHRWIEGLITLQETENALRSSRDRLMKPGTFVLRFPTTRSWPHPDAGSLVVTYVGSDNSIHHRLLSLDVSDAKSGNLEDLLLKEPELSQLGRVDRLPSSMQS >ORGLA10G0141300.1 pep chromosome:AGI1.1:10:16178800:16182139:-1 gene:ORGLA10G0141300 transcript:ORGLA10G0141300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTVSLLPHLLVKPSSSFSCCFTMGIGRRYGRIKVYAVLGDDEAQHAKNNMRGALFHVDNPGPMAPIAKGNFLDVNQALEVVRFGIQYCDWRARQDLLTIMVLHNKVVEVLKSLAIEFKSNGTLRKELAELQEELAKAHNQVHLSETRASSALDKLAQADNLVNDRLLQDGGSSASIDNYVSLASRTSSASRFVNKKTLRPSLDVSGPVQPYNPNLKNFWYPVVFSGDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVSEGRIQCPFHGWEYSTDGKCEKMPATKLLNVRIWSLPCFEQEGMVWIWPGDGTPESTIPSLQPPSGFTIHAEMVMELPVEHGLLLDNLLDIAHAPFAHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRLPCMVLSTTGISKPGKLEGKSIKQCSTHLHQLHICLPSSRNKTRMLYQMSLNFAPWLKHIPFMHILWSHFGEKVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRDAIERGANRLPFNNQSESGS >ORGLA10G0141200.1 pep chromosome:AGI1.1:10:16174577:16176607:-1 gene:ORGLA10G0141200 transcript:ORGLA10G0141200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:camphor resistance CrcB family protein [Source:Projected from Arabidopsis thaliana (AT2G41705) TAIR;Acc:AT2G41705] MESSSARSNTSERNRVDYARSVSMDSAGHSLGARSASILSRRSSRQGSRGSISLSREMGDSILSSMRHSLQSADQLLGDVDGSVLAQVIDSGDRGLAFENDVDEEEENNVEDHQAVPLPDDTSMRIHGRSSQGTSVVAPVSAMKSKDTNVNGPASSSIKVEPYKLSWMQDYASYLIHLAVFGFLGVFTRYGLQKLFGPSCLALTSDQSPLYLDLPSNMLGSFLMGWFGIIFKADIRHISDHLIVGITTGYMGSLTTFSGWNQKMVGLSSKDHWVYAVAGIVLGMFVVNESITVGAETGERLRGWILKCIIEKSSIGSKCGWEDWRVDTRTKHYALLGVMVILMSLIWILSIVLAIVKVHSLGHGAVLWLGCSVAPPGVWLRWYLARLNGGGIGIGKRRHLKWLPVGTLAANVLAAAIMAALAVTAKAENTRRLTVLNGIQLGFLGCLSTVSTFAAEVYTMRRSGQIARAFVYAAATFVLSFVLGTLIYSVPVWVEHYR >ORGLA10G0141100.1 pep chromosome:AGI1.1:10:16172327:16173916:1 gene:ORGLA10G0141100 transcript:ORGLA10G0141100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21090) TAIR;Acc:AT2G21090] MSLPAGSPPPPPTSPPYTGILAALHRSIAGGHAAAAVALLPELSRAGLRPPFPLLSSLARLLLLRRATAPCFPSLAGRLLLYVRLAGLKRIVPCSTQLANHLLSLNFLLRRPRDARRLFARMPRPDVCSYNAMLAGYARLALAAPAAEVFAAMPHRDLLSYNATLLALAGGGEMQKAVALYSELRGTSTSLGYSDQTFLALLVGCEKLVDRELARQLHAHLILHGFLSDIRIASSLVDVYTKCVCIADAEDLFNEMPVKSERMWTTLVCGYAEDGQLSTARRLFNQMPKKNILSWNSLMEGYVRHGQEAEALSIFQHLIKEGVHPDQITFTSCFRACAAVCALKCGQQIHGRLLRTGFYPNVMILSSLIDMYSRCGYLADARQVFSLTVQEKKDTLLWNALLGALCHHGHGQEVIGSFVQMIRERWKPDANTFLTVLKACCHCNLVEEGIGFFELMTERYRIVPGEDHYVCLVDLFSRSSSHDKMVELIKSSPLLFRKQIWEILAGNCIIHGNSELLKQIEEHMAELAS >ORGLA10G0141000.1 pep chromosome:AGI1.1:10:16169806:16171525:1 gene:ORGLA10G0141000 transcript:ORGLA10G0141000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G43822) TAIR;Acc:AT5G43822] MEASVRRVQQRVRKAREEMDRWDDLNSRLLSQFANAAAIVARLPVLEEVKNYGVLRCVPNIRENLLGKQMESLEIIFVSMRETVEEFNSIARSLHKALRDTNQMVRGGSALSAKQMQLQVGILPTIADCLDGLRTLCEMHQAEYALKSSVISSLTWTSSSSDIAAMRQLLVDQPNIPKDEVQAIFDIIFADEIC >ORGLA10G0140900.1 pep chromosome:AGI1.1:10:16163393:16165698:-1 gene:ORGLA10G0140900 transcript:ORGLA10G0140900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QW26] MQQRRGGGGVARLAMAVAVLVLAASSAGRCRAQLASGYYAGKCVNGGGGNSSVAVDVESIIHDAVQARLAWDKRMVAGLLHLIFHDCFVAGCDASILLDGPNTEKTAPQNNGIFGYDLIDDIKDTLEKACPGVVSCADIIVAATRDAVGMCGGPRYEVQLGRLDGTVSQAWMAADLPGPDVDIPTAIDMFAKKGLNSFDMAILMGAHTVGVTHCSVIKDRLYNFNGTGEADPSMDPIYVWILTTFACPKSQAFDNIVYLDDPSSILTVDKSYYSQILHRRGVLAVDQKLGDHAATAWMVNFLGTTDFFSSMFPYALNKLAAVDVKTGAAGEIRANCRRTN >ORGLA10G0140800.1 pep chromosome:AGI1.1:10:16158513:16161609:1 gene:ORGLA10G0140800 transcript:ORGLA10G0140800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLAAAASPFLLHGAAAASGSRRPLVAAAATGRRAASSLRVAALKYDPSKVAPQSDRVLVRLEQIPEKSVGGVLLPKSAVKFERYLMGEILSVGADVNEVEAGKKVLFSDINAYEVDLGTDEKHCFCRESDLLAVVE >ORGLA10G0140700.1 pep chromosome:AGI1.1:10:16147285:16148400:1 gene:ORGLA10G0140700 transcript:ORGLA10G0140700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAVIPPPSPERRVPMVAVPVVADDGGGGHGAKGEESVSGSVAGISPSILIIAVIVVVMLLASVSIHYFIRHLCRRSSASAAASSSAPVLPVVVRPASSAAVGEQVVGKASAERAAEMERLISRLPLFTLASSLAALPKSSRDCAVCQSAFRDDDELRLLPACRHAFHSRCVDPWLRANPSCPLCRASIALPHPPLPDLLRVELGSVSSRRSNPNSAAAVAAAPPEGAAVRAYPLPTLPNSEYLVEEELEVVIKQPAAAAAPRTGEPSQHPPPAAQAERGQPSSVTPTASFSSARSQERWSNRWSSRWSSRWSSGRWSSRYDAGTVTAAATAEWWWDMDGGAAPAARRREVEEQGNAFHGFMRWLTGAY >ORGLA10G0140600.1 pep chromosome:AGI1.1:10:16139297:16140656:1 gene:ORGLA10G0140600 transcript:ORGLA10G0140600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLGGRRRRTAASESGGGWMKGCTSVRARDTRSRNRKRKRTVVPPAAATVPDLLPEEIVVEILARLPVKSLLRFKSVCRGWRAIISEPSFIRTQLQCSASKQEPSILISPHTLLGRCDIQPPVGGLGDWPNNFSTQISFYQWQRGASIARFMDATAFPANEFHLICHLAHCDGLVLAPTDTNLYLFNPATRDTITLPDGHGDNHHHGTEMEACYAAGLGLDPVTRKYKVVRAFYRSMDPIRMGMEVFTVGETGAGCGWRETVTDPPYPVSRWLTAFTVNGGYLFWYMDRFRYPNDSPWWPPLV >ORGLA10G0140500.1 pep chromosome:AGI1.1:10:16137796:16138324:1 gene:ORGLA10G0140500 transcript:ORGLA10G0140500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRIMWMSHAERFFMWLGGFCCSELLKVLESHLEPLTNXQLMGICNLQQSSQQAEDALSQGMEALQQTPGDTLASAAATMVVGGVGADNVTNYMGQMAIAMAMLTTLENFLKLRSNINGELNCLLPWRDAMIFFVXADLL >ORGLA10G0140400.1 pep chromosome:AGI1.1:10:16110175:16111430:1 gene:ORGLA10G0140400 transcript:ORGLA10G0140400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAPTSIPCELPLALDPSVSARPPDRPVASQSSARPPFPAASAAAAAPAAAPAVRSLVANSSGMDTRFDEDERTTAATRRRQSPSPSFAPSTSTATAVGLTRYGAVKSWGPRRELETGETSRCMEVLLLENPIDATKPSPLHVDEDKQGEVIGGTQQNAPESCVTERARLNLKSRSSAVGQSAGSPLKERCI >ORGLA10G0140300.1 pep chromosome:AGI1.1:10:16098498:16104873:1 gene:ORGLA10G0140300 transcript:ORGLA10G0140300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone mono-ubiquitination 2 [Source:Projected from Arabidopsis thaliana (AT1G55250) TAIR;Acc:AT1G55250] MDAAALQYENQKLVQQLEAQKSKMRALEGKFKELRDEQCSYDNTLICLNKMWNQLIDDLVLLGVRAGGDLNGLQALDHEEMSEESLESCPSEEIFLFRLLNSRNFRNNDDSSLSKLVEEALALRYSTTVTLMKSLQEAFAVQQARSESLSLALNGQNSSEDVIVALENHNDYLKEVVDNLRQAVSIINRKHEKYLDEIEAFKNNQSRELHEVKCLSGELEESMAELEESRRKLAVLQLQTGGGSLMNTSAPNGVNGSVSTDKSSDKGMGWRDLKDAVEEAKTLAANRLFELHETQEDNLILSKQLEDIQDQLKDENYIVTSKPYTILSDQLHHLNAEIERYRGLVEVLQNEKDQLMQKEEEMLAKAESVDAVQQSITTYKAKIEDLEHEIQKLMAEKNDLEIKAEEALQDSGKKDFKDEIHVMAASLSKEMELLDNQMNRSKDAASEALALREEADYLRTLLAKKIDEQKEISDRYNTQVTEIKSLKALIETLDQEKQELQFIVDMLGKECSESRAISEIEESENRARKQAEYLRKCLEEHNLELRVKAANEAETACQQRLSIAEVELEDLRAKVDASERDVMKLKESIRIKEAEVDGHISEIETIGQAYEDMQTQNQHLLQQVADRDDFNIKLVSDSVKMKQAYGSLLAEKNMLQKQLQHVNSSLESSKLKITSGEEQMKTYVAQAMKSSSENRHLAISLERTMLEVSDAEKELKWLRSATGSAEKEYEINQKKIAELKMELERERNERRKLEEEYEEVKNEVSELTSETEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGTPFGQSDVREVKI >ORGLA10G0140200.1 pep chromosome:AGI1.1:10:16082830:16084162:-1 gene:ORGLA10G0140200 transcript:ORGLA10G0140200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAHYHHHHHHQQQHQPPPLFLARGVVVSPETTRPAGMEYHYQQHQQPPLFLDFSHGDGDGGNSRKRPREADAAAAMVAAPPPQMLSLQPQAQAQGHKVVSLAQLHNKRPPAATGLRLDFDDGGSEHVSTTTTSSASSLLSDELATQFDRCKNEMARMFQDHTERLRRALGEVRRRHYRSLLGAAEAAAARRMREKEAEASNAARRGAELEERVARLRAEAAAWQAKALADQSTAAALHAQLQQAAAAAAQARGSKSPEDDDNINPNAAAADDAESGFVDPDRVEEVTPPPPPSRPCRTCRARPSSVVLLPCRHLCVCEACEPAVSTAIAAACPTCRGAVTGTVQVFIS >ORGLA10G0140100.1 pep chromosome:AGI1.1:10:16043443:16049511:-1 gene:ORGLA10G0140100 transcript:ORGLA10G0140100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDTDTGAGLLELIVELGVVGVSLIDHKPRELLYLHLQKLFISYMTGYNSGTTSRFKLIIGHMQLDNQLPLSIMPVALATESMPDSNHPVFKANIAVSNVTSNGIQVYPHVYIRVTDQTWRLNIHEPIIWALVDFYSNLRFVSTNNISTVTEVDPEIRIELVDISEIRLKISLETAPTQRPRGVLGIWSPVLSAVGNALKIQVHLRKVMHRSRYMRKSSIIPAITNRIKRDLIHNPLHLIFSVDFLGVTKSTLSSLSKGFAELSTDGQFLQLRSKQVWSRRITGVGDGLVQGTEAFAQGLAFGVSGVLRKPVESARQYGAIGIAHGLGRAFVGFIVQPLSGAFDFFSLTVDGISASFMRCVDILNNKHAPQRIRDPRAIHRDGIIREYDKVQAAGQMALYLAEASRYFACTDLFREPSKYAWSDYYEDHFIVQNQKVALVTNKRVILLQCVDLDKMDKKPSKILWDVPWEDVLALELAKAGYQRPSHVIIHLKNFRRSENFVRLIKCNVDEEHEPQALLICSSIRKMWRSHQADMKVVPLKVLSLSHTHTHVRALLQKNKKQKQLNSYFXSKPKXVPGGQHDVYFAFDEDMREFHSFARPLLSPRGAASNVEERLINDTVNFQNMWSSEQEIRSRCKLLSKQVADDGRVFSIWRPLCPSGYVSIGDIAHVGIHPPHVAAVYKNVGGNFALPLGYDLVWRNCGEDYRNPVSIWFPRPPEGYVALGCVAVSAFEEPPLDSAFCVSERFAEDAVYEEQIVWASSDAYPWGCYVYQVQSKSLQFMAMRRPKEECELKPKKVSESYAQQALERS >ORGLA10G0140000.1 pep chromosome:AGI1.1:10:16034974:16037869:-1 gene:ORGLA10G0140000 transcript:ORGLA10G0140000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast beta-amylase [Source:Projected from Arabidopsis thaliana (AT4G17090) TAIR;Acc:AT4G17090] RGRAAVLRRPHAGAGERRQAAARQGGAGGARDDGGDGVTGGGDAAAPRGRGGQGQRLGKGTAVYVMLPLETVGAGGKVARARALAASLAALRSGGVEGVMVDVWWGVVEREGPRRYDWEGYGELVRMVERAGLRLQMVMSFHQCGGNVGDSCNIPLPGWVLEEMKSNPDIVYTDRSGRRNPEYISLGCDTLPVLKGRTPIQVYSDYMRSFRDTFRGYLGNTIVEIQVGLGPCGELRYPSYPEANGTWRFPGIGEFQCYDKMVWLIGVQYMRASLQQAAAAAGHEEWGRGGPHDAGEYKQFPEETGFFRRDGTWCTEYGDFFLGWYSGMLLEHGDRVLAAAEAVFRGTGAALSAKVAGIHWHYRTRSHAAELTAGYYNTRRRDGYAPVAAMLARRGAVLNFTCMEMRDEQQPEHAGCSPEQLVRQVRSAARAARVGLAGENALERYDEAAFAQVVATAASAGLGAFTYLRMNKKLFDGDNWRQFVSFVRAMTDGGERAALPSCDTEQSDLYVGFLEKRAAPEAEAAAAAAAVV >ORGLA10G0139900.1 pep chromosome:AGI1.1:10:16031196:16034101:1 gene:ORGLA10G0139900 transcript:ORGLA10G0139900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:metacaspase 1 [Source:Projected from Arabidopsis thaliana (AT1G02170) TAIR;Acc:AT1G02170] MMMLIDCSGCRTPLQLPHGAPCIRCAICGAVTHVAAAAPPPAXXXXXXXXXXXXXXXXXXPPPAHGRKRAVICGISYKFSRHELKGCINDAKCMRHLLTTRFHFPDDSIIMLTEEQTDPYKIPTKHNIRMAMYWLVQGCQPGDSLVFHYSGHGAQQRNYSGDEVDGMDETLCPLDFETQGMIVDDEINTALVRPLTPGVKLHALIDACHSGTALDLPFLCRMNRSGQYVWEDHRPRSGVWKGTSGGECISFSGCDDDQTSADTSALSKITSTGAMTFCFIQAIERGQGTTYGSILTSMRSTIRSTGDSMGSGGGAVTSLITMLLTGGSVNSGGLKQDPQLTANEPFDVYAKPFSL >ORGLA10G0139800.1 pep chromosome:AGI1.1:10:16026333:16029988:1 gene:ORGLA10G0139800 transcript:ORGLA10G0139800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHHHLPVVAPAAASPDAAAADPPPSSDPNHLGPPPALRIHIPSSPHHALPSTPHKRPVMTSSSASSTPTRPSPSPSPFAPPRHRRKVYSNGDVYEGQFNRGRCTGSGVYYYYMSGRYEGDWIDGKYDGYGVETWARGSRYRGQYRQGLRHGHGVYRFYTGDVYAGEWSNGQSHGYGVHTCEDGSRYIGEFKRGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYSFANGHRYEGAWHEGRRQGLGMYTFRNGETQAGHWQNGVLDTLSTQNIIPGSPIAVNHSKVLNAVQEARRAAERAYDVPRVDDKVNRAVASANKAANAARVAAVKAAQKRMPNNGNDLPLSVV >ORGLA10G0139700.1 pep chromosome:AGI1.1:10:16019347:16023793:1 gene:ORGLA10G0139700 transcript:ORGLA10G0139700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit beta [Source:UniProtKB/TrEMBL;Acc:I1QW14] MSGAYRDRGFGGAAAEMDRKRIKDVLEKHLDRSSPSTSRGAAVAKERDRLAAAGGKLPAPLGKAGKVSDGGASNPFFLLGAAEEFETDSEDSDVSGSEGEDTSWISWFCSLRGNEFFCEIDDDYIQDDFNLCGLSNQVPYYDYALDLILDIESSNGDVFTEEQNELIESSAEMLYGLIHARYILTSKGLAAMLEKFKNYDFGRCPRVYCCGQPCLPAGQSDIPRSSTVKIYCPKCEDLHYPRSKYQGNIDGAYFGTTFPHLFLMTYPHLKPQKPSQQYVPKVFGFKLHKQS >ORGLA10G0139600.1 pep chromosome:AGI1.1:10:16015024:16018465:1 gene:ORGLA10G0139600 transcript:ORGLA10G0139600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSTARRPRPGYEDPVGLASETAFSVSEVEALFELFKSISGSVIDDGLINKEEFQLALFKNKRKENLFANRIFDLFDVKKRGVIDFGDFVRALNVFHPNIPMEEKIDFSFKLYDMDNTGFIERKEVKQMLIALLGESEMRLSDEIIETILDKTFSDADTNQDGRIDRTEWENFVSRNPSLLKIMTLPYLKDITTTFPSFVFNSEVDDLVT >ORGLA10G0139500.1 pep chromosome:AGI1.1:10:16010930:16013375:-1 gene:ORGLA10G0139500 transcript:ORGLA10G0139500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEALKELGAGNFGVARLVRDKRSKELVAVKYIERGKKIDENVQREIINHRSLRHPNIIRFKEVCLTPTHLAIVMEYAAGGELFEQICTAGRFSEDEARYFFQQLISGVSYCHSLEICHRDLKLENTLLDGSPTPRVKICDFGYSKSALLHSKPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGSYPFEDPGDPRNFRKTISRILGVQYSIPDYVRVSSDCRRLLSQIFVADPSKRITIPEIKKHTWFLKNLPKEISEREKADYKDTDAAPPTQAVEEIMRIIQEAKVPGDMAAADPALLAELAELKSDDEEEAADEYDTY >ORGLA10G0139400.1 pep chromosome:AGI1.1:10:16005871:16009388:-1 gene:ORGLA10G0139400 transcript:ORGLA10G0139400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:I1QW11] VTCHSAMAALTVGHAAIVHATTRLEDARSTGRRRRRRGMITVRAAAAATSGWEPGSWRARPARQIPEYPDAAALEGAERDLASFPPLVFAGEARKLEERLGDAAMGRAFLLQGGDCAESFKEFAANNIRDTFRLMLQMAVVLTFGGQMPTIKVGRMAGQFAKPRSNPTETIDGVTLPSYRGDIINSDGFDEKSRAPDPERLIRAYSQSASTLNLLRGFAHGGYADLQRVTQWNLDFLRDSTQGDRYMELSERVHDAIGFMVAAGLTPQHPIMTTAEFWTSHECLHLPYEQALTRVDSISGLYYDCSAHMLWVGERTRQLDGAHVEFLRGISNPLGVKVSDKLEPSELVKLCEILNPHNKPGRLTIITRMGAENTRVKLPHMIRAVRQAGLIVTWVSDPMHGNTISAPCGLKTRSFDAIRVRCELRAFFDVHEQEGSYPGGIHLEMTGQNVTECIGGSKTVTLDDLSSRYRTHCDPRLNASQSLELAFAIADRLRKKRDRAWNRLVYRAVA >ORGLA10G0139300.1 pep chromosome:AGI1.1:10:16004764:16005174:-1 gene:ORGLA10G0139300 transcript:ORGLA10G0139300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L27 [Source:UniProtKB/TrEMBL;Acc:I1QW10] MVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFLKLVNFTHLMPTRYTLDVDLKEVAAGPDALATRDKKVAACKSAKARLEDRFKTGKNRWFFTKLRF >ORGLA10G0139200.1 pep chromosome:AGI1.1:10:16000329:16001340:1 gene:ORGLA10G0139200 transcript:ORGLA10G0139200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPPPNPDALSSPDLPPLAAPAAAAAAAAAAETLALIEAYRDRWEGLRKGNLRASDWDDVAGAVTARCGRFPTATHKSGVQCRHKIEKLRKRYRAERARAAGRSKGPKWPFFPLLHDLAGGGAPDPSPNPIIKIKSKGPAAAAASPSPASPSPVSSPSSEEDEEEEAAADAGRSRSLHGLISNGGSGSGLRFTIPKASRSKPVAQREQPTAIKVEKSEEDAEAEAMAEVASALRAVGDKFLRMEERRLEISLQIEKERMESEMKRTQTLLDAQQLFVEAFLGKQQHHHHHHKKAKVISAAAAAATAAMDED >ORGLA10G0139100.1 pep chromosome:AGI1.1:10:15994851:15996861:-1 gene:ORGLA10G0139100 transcript:ORGLA10G0139100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEAMPAPDPNDARQRFLLELEFIQCLANPTYIHYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKYIMYPHCLFFLELLQNANFRNAMAHPASKEVAHRQQYFFWKNYRNNRLKHILPRPPPEPTPAPAPAPAPATVPPAAPVPSTVVPPVAAPSSSLPPMSAAGASAMSPMQFAGTPGTNIPKNDMRNVMGGQGGRKRKMG >ORGLA10G0139000.1 pep chromosome:AGI1.1:10:15990435:15993507:1 gene:ORGLA10G0139000 transcript:ORGLA10G0139000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAAAAAAEFGDPDSPPAPAAEEAEAAAAVGEEAVPAAEAAAAKRWPGWPGDSVFRLVVPVLKVGSIIGRKGELIKRLVEETKARVRVLEGPVGATERIVLVSGKEDPALELPPAMDALMRVFKRVSGITDGAAEGTQAATAPGVCAARLLVPGAQAINLIGKQGASIKAIQEGTGATIRVISIDERERPFYVIEDERIVEIQGETEKVLKALQAVSNHLRKFLVDHSVLPLFEKTNATVTQDRSTDAWTDISHPSIVSAQINQPPPVVDEYILPMKRDPLFLEREPLIDHNIHRSGVSLYGRDPALSTLRTSGIHGGGPGGPLLSQITQTMQIPLTYAEDIIGVKGANIAYIRANSGAVVTIQESLGSPDDITVEMKGTSSQVQAAYQLIQDSLAAHRDSVRSSYAGLDPVYRPSYSQYGSSTYPSSSLPSYSSMDGGGYSSSGLGGYGSSYRY >ORGLA10G0138900.1 pep chromosome:AGI1.1:10:15984516:15986206:1 gene:ORGLA10G0138900 transcript:ORGLA10G0138900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGEVAEAVPRVVAILSSLLQRVAERNDAAAAAAAVGEEAAAVSAFQGLTKPAISIGGYLERIFRFANCSPSCYVVAYIYLDRFLRRRPALAVDSFNVHRLLITSVLTAVKFVDDICYNNAYFARVGGISLMEMNYLEVDFLFGIAFDLNVTPAAFASYCAVLQSEMAYLEQPPAVDLPRLHCCPSDQDDAGCHHKQQQQQQQQQHQLAV >ORGLA10G0138800.1 pep chromosome:AGI1.1:10:15977486:15978112:-1 gene:ORGLA10G0138800 transcript:ORGLA10G0138800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:I1QW05] XXXXXXXXXXXXXXXXXXXXXXXXXXRALARFAPRPSAFGAAADAEAAAVRAVRNLRTFRFHYAALQWALLLASLAPRHRASMLFLMAASKGLLLYGGLLRVFPNSALLRRLLDRRLVALVFVALVLADLAAAGAIANLLAALAVGVPVIVLHASFRVRDDLEGPSLPSPAAENGEEETAAVVEKKEDGDVEAGPTRRSMAAAPRSPK >ORGLA10G0138700.1 pep chromosome:AGI1.1:10:15973863:15975996:-1 gene:ORGLA10G0138700 transcript:ORGLA10G0138700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:I1QW04] MALEQTFIMIKPDGVQRGLIGEVIGRFEKKGFYLKAMKLINVEKSFAEKHYADLSSKPFFGGLVEYIVSGPVVAMVWEGKQVVSTGRKLVGATNPLAAEPGTIRGDFAVDIGRNVIHGSDSVENARKEIALWFPEGIAEWRSNQHPWIYEV >ORGLA10G0138600.1 pep chromosome:AGI1.1:10:15970500:15972466:1 gene:ORGLA10G0138600 transcript:ORGLA10G0138600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLASTSSTSPLLLASRLRGGGGCGCGGAPLLYRTRRGFLAPSTTTTQTTRTSFAAMSWLGKLGLGGLGGSPRASAASAALAQGPDEDRPAAGNEFAQFGAGCFWGVELAFQRVPGVTRTEVGYSQGNLHDPTYEDVCTGATYHNEVVRVHYDVSACKFDDLLDVFWARHDPTTPNRQGNDVGTQYRSGIYYYTPEQEKAARESLEKQQKLLNRTIVTEILPAKRFYRAEEYHQQYLAKGGRFGFRQSAEKGCNDPIRCYG >ORGLA10G0138500.1 pep chromosome:AGI1.1:10:15963612:15968835:1 gene:ORGLA10G0138500 transcript:ORGLA10G0138500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16970) TAIR;Acc:AT4G16970] MDSEAAGSSEIERAWHLLTVVIRLGRPAAASDVAHFATADDVERLCRIPGSPLRLSGGVVAASETAFVAFLRYVGLDVPPPRVSPRAPDDVMRWLRRRVPVTYERKRKASDAGRFVARKRLLAATDADLPEHELRQSQQLMVQSCAPVATGEVHQEATQELQDRLPSLNIFTAQRSFEVSIGSNVFSDIEISMPSLPSKIDQFIGGNDGSVLVSMASALVPKEVTDMSGCINIFHATVDRESTRIGEPEGSASLCCSRVEDCEELEKESTLLTMAVGLAVGKKNGIEQDLNLRPSSPRNCSTKATDDMETFDVILKEAEALQYCSPNAQYPQKILTCGQDSDALVVNAHVAIHENKIEDITFQPPEGTKTEAIVHEMVHETMGSLCQPSSNTKVEHAVLPLQAPTYGCISNENLNIAAENRASTHQNHVEPSTQNEVAVRLSKKEQDRKIMKQRDKGKKKEALPKEDKDQVAAKVQKGHTEPKPLPNFKNFEIEEEEGSGGYGTVYRARRKSDGRLFAIKCPHANAHSHHVYNEQKMLERFGGKNFVIKYECSLRSGDLECFVLEHVEHDRPENLRKEIGLFDLRWYGFCLFKALASLHKQGIVHRDVKPGNFLFSRKLAKGYLIDFNLANDLHQKFFRNSKSETISRGKDTISQPALKSTPVVQAKEPVADSKQLLGSKRKRSNRSPVGSAPKNDNKSRHGIQAADVSGVTSAKDPTSTKTSLDRLKQPMPYKGRKELMNFLHDAMQSPDKNTSTAPVSQRKMVAAPLGNVDQKLFILTPMPLCSGGSAIAGSGMLNSKGNGKHRREGPCVGTKGFRAPEVLFRSFHQGCKVDVWSAGVTLLYFIIGKSPFGGDPEQNIKEIAKLRGSEELWEVAKLHNCESSYPSDLFDAKSLRSVDLREWCAANTRRPEFFKSIPDSLFDLVDKCLSVNPRCRITSEDALMHDFFAPCHDLIRQHRLARRPAPSNNLPCLPQDKSVKANESKRSSSTVPTTVNSVS >ORGLA10G0138400.1 pep chromosome:AGI1.1:10:15959984:15962698:1 gene:ORGLA10G0138400 transcript:ORGLA10G0138400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRERRLPPPAYRMENPFSVKVLQVFTGFGVGCGVGIGVGRPIYLGVIPGLNQVMTATRGATDAFSGVTRHVNSALRKSGLKNIEAGIGCGVGIGHGFGIGIALKPQVIHGIQSTVGELMSKFTSRLKDTPTLSSAPNMAGSVPSNGQAPNGVSIDLKAKTTKSNFHHTSNETSQVKPAPGLQSQHGMQPDMTGSRTEKVVANFLQSPLFQDETKMDIRDVARNSHGMDNVLELLLKHQRIIDELRDENDKLRQMLIEELKVSPSKLQLDHKNGVKAYNPCSDCFDCRRRSRKTRR >ORGLA10G0138300.1 pep chromosome:AGI1.1:10:15953051:15957693:-1 gene:ORGLA10G0138300 transcript:ORGLA10G0138300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G07590) TAIR;Acc:AT5G07590] MEFTEAYKQTGPCCFSPDGRYLAVAVDYRLVVRDVVSLKVVQLFSCVDKISFLDWAPDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACIHVQWPKHASRGVSFTKDGKFAAICTRRDCKDYVNLLSCHSWEIMSVFAVDTVDLAGVEWSPDDSAIVVWDSLLEYKVLIYSPDGRCLFKYLAYESGLGVKTVAWSPCGQFLAVGSYDQAVRTLNHLTWKTFAEFSHAASIRNPTNAAIFKEVDDPWQLDMSELCLSEGFSRNMQGNGAENGTEGGSRVKYAVMDAPITLPSQKPVTDKPNPKQGIGMLSWSSDSHYFFTRNDNMPTALWIWDICRLDLAAVLVQKDPIRAAAWDPNCPRLVFCTESPHLYMWTPSGACCVNVPLPNFRVVDLKWNSDGTCLLLKDRDSFCCAAIVSPLPEEEEADQSDVTSEDE >ORGLA10G0138200.1 pep chromosome:AGI1.1:10:15943915:15952063:-1 gene:ORGLA10G0138200 transcript:ORGLA10G0138200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARABIDILLO-2 [Source:Projected from Arabidopsis thaliana (AT3G60350) TAIR;Acc:AT3G60350] MTRRVRRRLCKDGGKGKDVAADEERELVSCSSSSRRRGGLGVAVAARGGGGGGSGSCVVDWRTLPDDTVLQLFGRLNYRDRASMAAACRTWRDLGASPCLWSALDLRAHRCDAEVASSLSSRCGSLRRLRLRGHEAAAAASGLRARGLREVVADGCRGLTDATLAVLAARHEALESLQIGPDPLERISSDALRQVAFCCSRLRRLRLSGLRDADADAIGALARYCPLLEDVAFLDCGSVDEAAIAGILSLRFLSVAGCHNLKWATASTSWAQLPSLVAVDVSRTDVSPSAISRLISHSKTLKLICTLNCKSVEEEQAHNPGAFSNSKGKLVLTITSHIFKSVVSLFPDKVVKENEVFNECNWKGKDNALGDMMSWLEWILSQTLLRIAESNPQGMDDFWLQQGADMLLSLVKSSQEDVQERAATTLATFVVIDDESANVDAARSEAVMRVGGIPMLLDLARCSRESAQSEAAKAIANLSVNAKVAKAVADEGGITILTNLARSMNRLVAEEAAGGLWNLSVGEEHKAAIAAAGGIKALVDLILRWPAGTDGVLERAAGALANLAADDKCSMEVAKAGGVHALVMLARSCKLEGVLEQAARALANLAAHGDNNNNNAAVGQEAGALEALVQLTSSQNEGVRQEAAGALWNLSFDDRNREGIAAAGGVEALVSLAQECLNASEGLQERAAGALWGLSVSEANSMAIGQEGGVAPLLTLAQSDVEDVHETAAGALWNLAFYSGNALRIVEEGGVPILVRLCSSSGSKMARFMSALALAYMFDGRMDEVALVGTSSEGSSKSVNVEGARRMALKHIQTFVLTFSDPQVFTTASTSSASAALSQIADAVFIQEAGHLRCSGAEIARFVAMLRNPASILRACAAFALLQFTIPGGRHAVHHAGLLQKAGAARVLRAAAAATTASIEAKVFARIVLRNLEHHQTGTST >ORGLA10G0138100.1 pep chromosome:AGI1.1:10:15936662:15942111:1 gene:ORGLA10G0138100 transcript:ORGLA10G0138100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G60340) TAIR;Acc:AT3G60340] MMACALRGAALVGVLLLVVASPALVPVASAVPFIVLHGIGDQCENGGMASFTEMLGEWSGSKGYCIEIGRGAWDSWLMPLQEQADTVCKKVKKMKELRKGYSIVGLSQGNLIGRAVIEYCDGGPPVKNFISIGGPHAGTASVPLCGSGIVCVLIDALIKLEIYSNYVQAHLAPSGYLKIPTDMTDYLKGCKFLPKLNNEIPSERNATYKQRFSSLENLVLIMFEDDAVLIPRETAWFGYYPDGAFSPVQPPQKTKLYTEDWIGLKALDEAGRVKFVSVPGGHLSISRSDTKKYIVPYLKPDGSSRLGIRRILSD >ORGLA10G0138000.1 pep chromosome:AGI1.1:10:15933776:15935016:1 gene:ORGLA10G0138000 transcript:ORGLA10G0138000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGLDQHLDLIRAHLLDDAHHHVLAPSPSPSPPGTGRVRPAPVSLPPRPPLLWAAASAAPRQQEECFELGGGYAGEGEGEEEDDFRRYRGVRQRPWGKYAAEIRDPARKGARVWLGTYDTAVEAARAYDRAAFQLRGSKAILNFPNEVAADATVKWAPPVAPIPAAAMSAGRGKRVRSEEQYYLREVKKERLIMAPPENSSSSSSSAAAAAGDIWDELKGICSLPPLSPLSPHPHMAFPQLFVIDLAFGQILNSFVLLLLRTRDFAFDYAI >ORGLA10G0137900.1 pep chromosome:AGI1.1:10:15920008:15923587:-1 gene:ORGLA10G0137900 transcript:ORGLA10G0137900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASKVEQEDTVRRCKERRRHMKEAVASRQQLASAHADYLRSLRLTAAALSRFAQGHPSLAVSHHTAPVLLTTAAPALAPTPTPPPPSSTASSSLPPPTPLLPKHQQAPPPPPPTQSHQPPPPVAVRAPRGGPRRLKVPHILSDSSVASPARSSFRKPVVGTPSSSSAWDWENFYPPSPPDSEFFDRRKADLEEANRLRELEEEEKARGYLHPHHLKEEDEVDDDDDEREEEMHCGGWEDDDDHYASTTTSETRSEEGEMGNRSECGFAPRSEYGGTAPSEYAAAPLPLPLRRRDERSEAGDSSSTVTAAAEMRMVIRHRTLAEIVAAIEEYFVKAAEAGNGVSELLEASRAQLDRNFRQLKKTVYHSNSLLSSLSSTWTSKPPLAVRYKLDTNALEMESMEGKSHGSTLERLLAWEKKLYQEVKARESVKIEHEKKLSTLQSLEYRGRDSTKLDKTKASINKLQSLIIVTSQAATTTSSAIVRVRDNELAPQLVELCFALLSMWRSMNHFHEIQNEIVQQVRGLVDNSMAESTSDLHRLATRDLEAAVSAWHSNFNRLIKYQRDYIRALYGWLKLTLFQVDSNIPQEAYTSLISRELTTFCDEWKQALDRLPDASASEAIKSFVNVVHVIYTKQAEEMKIKKRAETYSKELEKKTNSLRAIEKKYYQSYSMVGLGLPGSGRDGIESHSFDARDPLAEKKTEIAQCRRKVEDEMTRHAKAVEVTRSMTLNNIQTGLPGMFQAIAGFSGTVVEALDVVCRRAGSVR >ORGLA10G0137800.1 pep chromosome:AGI1.1:10:15912029:15912805:-1 gene:ORGLA10G0137800 transcript:ORGLA10G0137800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMEVEVEDDMVEWYRCGGGGAAAAVGVEVSELRVELEVERQMRRKAEAVSEVLAAELEEERRRRGAAEAECRRMRGEVGEMRAEVERALEEVDDERRMLRVAELWREERVQMKLADAKAAMEEALREIAAASAATAIADDDNSSSSGGGGGGSPTTTGKSSPTSQQISQATTSGGQPQLLHRREVAGGGENPHIARGIKGFVEFPRAVRVRPPREERVDLVSNLECQRAQLRALSRHRNPPAGVGLADAASHNLVL >ORGLA10G0137700.1 pep chromosome:AGI1.1:10:15908871:15911472:1 gene:ORGLA10G0137700 transcript:ORGLA10G0137700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPRSLHAKPPRSHGHHARSRSQLPTAISAPNQDFQFQFQLLPKVFQFHMDVGGGGGGGGEGKSSEKKVLAQLEQVRLSIASSEDEEDGDAPPRSSFSGASHPPEPVDEMDTVFVAVDGRDKAAKPVIVWDASPPLSGAASPHSSIDSSGAAATVTSIAPSCTVTSLSAKTSVSSSAASDGSGWSNSTAGAGSAAGGGSGGKPHKGGDPRWKAILAARARDGPLAMGNFRLLRRLGCGDIGTVYLSELSNVAVGGGGGAARAWFAMKVMDKASLESRRKLSRAQTEREILQLLDHPFLPTLYAHFETDRFACLVMEFCPGGDLHALRQRQPRKHFPEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVMSSSLGSDPKRGNNAQSCAAQPAACIQPTCFMPKLFGKKPKSSQPRQRYQQQQQQLAAAALPEVVVEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGVFLHELMYGRTPFKGQTNRATLFNVVGQQLRFPDHPPTSNAGRDLIRGLLAKEPQGRLGVKRGAAEIKQHPFFDGVNWALIRCSTPPGVPRAVEPVVVAASAVPATAKPPPVDTVEMTVHSNCNSSKRMAGPPEVESGGKYLDFEFF >ORGLA10G0137600.1 pep chromosome:AGI1.1:10:15906178:15907415:1 gene:ORGLA10G0137600 transcript:ORGLA10G0137600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRVVSTLTTLRCATHFSSDESAVIGWDWDLVVRLLVFVYVQGVPFLQSFDTWKFIRRLEPVIICLGFYVNKMGQCALDNALQTQTLQWSLVRMKICFFPSKPTPKWCCVPTAVRLIQCSSFLLHTLFHGQQKPQQQPASLQTLFPEKIILALLLHRSSQKLFVISLAYSQKAFFQLLLQCCCYSTTNKTREKRSKDASSDCVP >ORGLA10G0137500.1 pep chromosome:AGI1.1:10:15898126:15899730:1 gene:ORGLA10G0137500 transcript:ORGLA10G0137500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVTATPRHARPSPLNPNARAAAAAAAAAPPNAVSTTRTHLANLDRLLVRPPPLPLPLQNKGAPPADDLGDGGGAATPDDRSGRCGLLNALNLSTFLPFVRKPAVDEMSPRSLAHLQRLLTLSPRPSPKGSIAGEWRRYHGEDGWDGLLDPLDQNLRREVLRYGDFVQAAYTAFHSMPSSSSAAASQHSQHRTLVLPDRSYRPTRSLFATSSLSIPAWARRRSAPGWLTQRSSFVGYVAVCDNEGEVQRMGRRDIAIVLRGTATCPEWAENLRAGLVPVDDDDDDDVGSPQNAPKVAKGFLSLYKTAGDHVPSLSDAIVDEVRRLVEVYEGEELSITVVGHSLGASLAVLAADELSACLSADAAEHRRRPPPIAVVSFGGPKTGNRAFADRLQNGRGVNVLRVVNAGDVVTRVPAPAMAREGEGHVHAGAELRLDSRDSPCLRPDAGPACCHDLEAYLHLLDGFAGSGRPFRADASRSVARLLTYQRPNVRRAYVERARVLGFEPATPRTATANGAGGGAEGHYGYLASPT >ORGLA10G0137400.1 pep chromosome:AGI1.1:10:15892614:15894106:-1 gene:ORGLA10G0137400 transcript:ORGLA10G0137400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHEEAAERKPSPPVMFRLFGVEVRGGGGGVDEEEYEEEEVEGGLFIKKSSSMPNLTSIDPLPVPADGGKRRASDDSELASGQQKRRRRKVQERKKGVPWTEEEHKKFLEGLRQLGKGDWRGISKNFVTSRTATQVASHAQKYFLRQTNPGKKKRRASLFDVVAECSDDQLPSPQSVGTKPPTQDIIHTDRGDVPILSYPVARGFRGDSVQVDELTEYVKRLKAAEDMSLSMISGLEMASSSISSLELSIAPPHCAIEAAIKVL >ORGLA10G0137300.1 pep chromosome:AGI1.1:10:15884714:15886189:-1 gene:ORGLA10G0137300 transcript:ORGLA10G0137300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQASLLQSFPFRAAVFAACVLLLPLVPSPQAPAAGGDGGGGGGRGEAFLAKVWELLHLLVVGIAVSYGLFSRRNDAGRRGDEKDAAAQAKADAAGYVSQMIHDSLVFDDGGGDVALDSPGGNRVRSWSAMHHPDEPVVVVATGGAGGGRSHAVEAAQQAPPLSLPVRTLKPQGESSSSAGYGDGGEPWAARPRRISQDTPGGGGGGHETVLPSPIPWRSRSGRFDASAPSPPSPSPKRLSPASSLSKETLAKASEDYSSRRRSPYKSSPPAPPPPPPPFLVHGYHPPAAERRTAAKSFKEELQEQTSHSFTTSEFSRSSSNSSSAKPRISIDSSSSSSSYYPVAKSVRTIRGGRESLQSQSQEQPDVAVAGDAPALLHGSDSDDPYGGYRAYQSIPRFQYERGSSDPILGNVTVSSESSDDDDSDVDGDGELSTRGNSPRRESSPEVDENEVDKKAEEFIARFREQIRLQRIESIKKSAGPRGVKHGK >ORGLA10G0137200.1 pep chromosome:AGI1.1:10:15878983:15882327:-1 gene:ORGLA10G0137200 transcript:ORGLA10G0137200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEELPGDSGGGGGDGGGGGGGGALLQLRRGAAAAAVEEEGGVVMRVAFDAKRAAVGVGARMLFYPTLVYNVVRNRFEPHFHWWDQVDEHVLLGAVPFPSDVLRLKELGVCGVVTLNESYERLVPRCLYEAHGIENLVLPTRDYLYAPSFENLCRAADFIHRNALCGKLTYVHCKAGRGRSTTVVLCYLVQYKQMTPAEAYEHVRLRRPRVLLASAQRQAVEQFYQLRVKKSGKSICLDSPIMKPPLFLATRNLIAFDEKTFVMVSKSDLEGYDADTLAVNVGSGLWEISLVYRVQFASQAAFAGFSYLWVRCRAPRKNKEALPVPESNNSVGSESCSLEAEQLAKPHPCLLQGVMVNP >ORGLA10G0137100.1 pep chromosome:AGI1.1:10:15870334:15871441:1 gene:ORGLA10G0137100 transcript:ORGLA10G0137100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMVHGRRDEQYGGLGLGLGLGLSLGVAGGAADDEQPPPRRGAAPPPQQQLCGWNGGGLFSSSSSDHRGRSAMMACHDVIEMPFLRGIDVNRAPAAETTTTTARGPSCSEEDEEPGASSPNSTLSSLSGKRGAPSAATAAAAASDDEDSGGGSRKKLRLSKDQAAVLEDTFKEHNTLNPKQKAALARQLNLKPRQVEVWFQNRRARTKLKQTEVDCELLKRCCETLTDENRRLHRELQELRALKLATAAAAPHHLYGARVPPPTTLTMCPSCERVASAATTTRNNSGAAPARPVPTRPWPPAAAQRSSA >ORGLA10G0137000.1 pep chromosome:AGI1.1:10:15868047:15868346:1 gene:ORGLA10G0137000 transcript:ORGLA10G0137000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTPPYLLPHFFYLLFSSLLPVMATSGDHLHLRRPDQASCKSCSSLSSSARARQELSTGGSGGHELATARSTCTEMSKYELGLSSSSSPAAPLSSPA >ORGLA10G0136900.1 pep chromosome:AGI1.1:10:15855096:15858892:1 gene:ORGLA10G0136900 transcript:ORGLA10G0136900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQDKVENNDPTVTVGLAVSSSKSSKYAVQWAVKNFCTNGMVRFVLIHVLQRITTVPTPMGNYIPIDKVRADIASAYEKEVECKARTMLLFYKNMCDEKAKAEVLVVKGEDVAETISNVVSMYEIHKLVVGDSSQGNFIRKSKGTRTSSQICRSVPSFCAVYVVSKGGLSAVYSPGFEGHKSSELFLSSDSSKTEIHSDDKPSLSDATPSRSFRSNLTWENLESLSSADHDRPRSLHEYLTESTSASVGDNNSNSPCASGQTPRPSNVLISDKAPMTSSPLQELMLSEDMDDVNSELEKLRLELRHIKGVCKLVQDESINASQHVTDLAAKRAEEEARLSEVYSRINRVNEQAHQEKEQRNALEAQCRHVRDLARKEALQKQILQLRTSKEADKMQRLEKLLELDGMSYSTFTWEDIESATSSFSEALKIGSGSNGTVYKGNLRQTSVAIKVLTSDDSHRIKHFKQELEVLGKIRHPHLLLLIGACLDRPCLVYEYMENGSLEDRLQLKGGTAPLPWYQRLRIAWEIALALVYLHSSKPKPIIHRDLKPANILLDSNFTSKIGDVGLSTLLPLGDALSTTRTIFKDTDLVGTLFYMDPEYQRTGQVSTKSDTYALGMVLLQLLTGKPPVGLADLVEQAVENGHLVDILDKSAGKWPAQEAHELAQLGLSCLEMRSKHRPDLKCKVLVELERLKKIASAVSDPVRPVISGPPSHFICPILKRIMQDPCIASDGYSYDRVAIEMWLCENDMSPITKSRLPNKDLVPNHALLCAITSWKAEARD >ORGLA10G0136800.1 pep chromosome:AGI1.1:10:15846561:15849210:-1 gene:ORGLA10G0136800 transcript:ORGLA10G0136800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSHNGHNSRTCPNRGVKIFGVRLTDGSIRKSASMGNLSLLSSAAGSTSGGASPADGPDAAPTAADGYASDDFVQGSSSATRDRKKGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQSNMTRRKRRSSLFDMVPDESMDLPPLPGGQEPETQVLNQPALPPPRGEEEVDSMESDTSAIAESSSASAIMPDNLQSTYPVIVPAYFSPFLQFSVPFWQNRKDEDGPVQETHEIVKPVPVHSKSPINVDELVGMSKLSIGESNQETVSTSLSLNLVGGQNRQSAFHANPPTRAQA >ORGLA10G0136700.1 pep chromosome:AGI1.1:10:15842279:15845397:1 gene:ORGLA10G0136700 transcript:ORGLA10G0136700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVESGGGGGGGGGGGGGYGGRVTAYVVLTCVVAGSGGILFGYDLGISGGVTSMDSFLKRFFPDVYQKKQDTRVSHYCAFDSELLTVFTSSLYIAGLVATLFASSVTRRYGRRTSMLIGGTVFIAGSVFGGAAVNVFMLLINRILLGIGLGFTNQSIPLYLSEMAPPRYRGAINNGFELCISLGILFANVLNYCVVKITAGWGWRISLSMAAVPAAFLTIGAVFLPETPSFIIERDGDTDKARILLQRLRGTTSVQKELDDLVAASNLSRTVQYPFRNIFKRKYRPQLVIALLVPFFNQLTGINVMNFYAPVMFRTIGLKESASLLSSVVNRLCATFANIMAMIVVDRFGRRKLFLVGGIQMILSQLAVGAILAAEFKDYGSMDREYAYLVLITMCVFVAGFAWSWGPLTFLVPTEICPLEIRSAGQSIVVAVVFLMTFVIGQTFLAVLCRIKSGTFFFFAGWICLMTVFVYFFLPETKKLPMEQMEQVWRKHWFWKKIVGEEEEKQAEKTALPSM >ORGLA10G0136600.1 pep chromosome:AGI1.1:10:15839917:15841780:-1 gene:ORGLA10G0136600 transcript:ORGLA10G0136600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G17430) TAIR;Acc:AT4G17430] MAHAVDSGQTGGPWGGAALLTDPIRFAGVGGGGGEMDLPASRGRWRKRSARSHAPLLVAVLVLLIPASLLLSSAYSSLLRSILPFSGFGGGGGGGGRRCGRSPELEGERFLWYAPHSGFSNQVGELRNAVVAAALLNRTLVVPPVLDHHAVVLGSCPKFRVADASDLRAAVWDHSMQLLRERRYVSMGDIIDLSPIKATVRTIDFRMFVSLWCGVDMRKTCFSGLCCAVSGGGSLPGDYDRCRSMLSGLGGSENGCVYPVQDDCRTTVWTYQENNDGALDSFQPDEDLKKRKKISYVRRRKDMYKALGPGSEAEDASLLAFGTLFSGPYKGSESYFDIHESPKDRRLQTILEKVEFLPFAPEIIAAGKEFARKKIKEPFLCAQLRLLDGQFKNHWKATFSALKEKLKAVALEMKKTQGSGPIHMFMMTDLPPANWSKTYLADIAKDGRYKLHTLKESDELVAQTAERLMAAEHGVRSGFIPKNIANTRKDCDPVQLPEILLYVEESVCSCASLGFVGTAGSTIAGSIETMRKNNVCQL >ORGLA10G0136500.1 pep chromosome:AGI1.1:10:15833607:15837810:1 gene:ORGLA10G0136500 transcript:ORGLA10G0136500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKAIRVHKIGGPEVLTWEEVEIGEPSEGEIRIKNKAIGVNYVDIYYRTGLHQEPLPFVPGKEAVGVVSAVGPGVTGIEVGDVVGYADTPMGTYTEEQIIPATLAIPIPPSVDHITAASVLLKGMTTYVLVKQAFKIQAGHTVLVHAAAGGVGSLLCQWANALGATVFGTVSTQEKAIQAAEDGCHHVIIYTEEDFVAQVAEITSRKGVHVVYDAVGKDTFKGSMECLMPRGCMISYGQCSGRPDPVPVSDLASKSLILGRPGMRHYTATRDELLHAAGEVFAGVAAGVLRVRVNHVYPLHEAARAHADLEARRTSGSVVLLPAMPAADS >ORGLA10G0136400.1 pep chromosome:AGI1.1:10:15828564:15829667:-1 gene:ORGLA10G0136400 transcript:ORGLA10G0136400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAVALMMFHTMERELFRRLVGEHGQQPGPMRWVIALWLWLESVGHHDFVRRVAVLPAPVVLRFVDEALACLARLPRRRGGAGGAERRLAALAAAGDADPALRFLPCTNALLAEPVEGLAYFDAHRDEVMEGVSDVYRNVCRVIFDDGVAAADDDDDAEAAAFLPRDVLDALDGTPPPPPPPPMYHQYHHHAVHMAPMLPPPPPVAALNPMASPWFPVQQQEQPPPPPPPQPHQQHGYIPLPEDYRSLFITFSRGYPIRQDDIINFFNSLYGPCVESVMVEKAAAGQLPVYGRVVLRCPSMIPVVLDGQQTAKYMIKGRHLWARIYVPSSKPN >ORGLA10G0136300.1 pep chromosome:AGI1.1:10:15821645:15824951:1 gene:ORGLA10G0136300 transcript:ORGLA10G0136300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRSLLRATRPIALAPPPAPPETFPGPGPWDRAAVEIRLDRATLHQWLAEGGEGSGQEEKVDEKLILFSGNDYMGLSSHPAIRHAAVKAAEEYGMGPRGSALICGYTTYHKMVEESLAELKKKEDCLLCPTGFSANMAVMTALGNISSLLAAGRKPAEDERIAIFSDALNHASIIDGIRLVERQQEVVSFVYKHCDMSHLELLLSSCSMEKKVVVTDSLFSMDGDFAPLPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAPELFECENEIDISVGTLSKAVGCQGGFIACSTRWKRLIQSRGRSFIFSTALPVPIVASVYAALYVSREERWRRSVIWRHVQYFASLTKLNITSPIISIVVGSEKAALRAGRDMLRSGFHVTPIRPPTVPPNSCRLRITLSASHSSDDIKRLVDALTPWLPHKHDIQIYVVASKL >ORGLA10G0136200.1 pep chromosome:AGI1.1:10:15815646:15816515:-1 gene:ORGLA10G0136200 transcript:ORGLA10G0136200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKELQETSSSSSSSAASTSSCSSAVTDAWSSPARPNAVAGGKRKKEVVGEADEAAGGGAGEEEEEEAEAAAAGKSSAATKKRKRSSDGKHPVYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTADMAARAHDVAALAIKGRAAHLNFPDLAGVLPRAASASPKDVQAAAALAAAFTTSPSSSPSSSSSADDVAPCVVHADADEQPAAAAKNDDDDGSTTAPVAAAAAAAVADEQQLFDLPDLLFDIQDGPFGFPAMWAPLADVDEVNAELRLEEPLLWDLGVTDA >ORGLA10G0136100.1 pep chromosome:AGI1.1:10:15800101:15803173:1 gene:ORGLA10G0136100 transcript:ORGLA10G0136100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAILAASTAVAAARAVSSVADYLRRHAGDHPRAFFADALPSLLFRVFVASPDSPSFIDLAAGDPALAELLASLLAPSGPLLAAVSAADRHALLRFVFPPERLPDWLRLALSSATAASSSSSSSSDEVISPLLAGRVDSELHLSVFEYYLFWFAYYPISAATAKATGMAAARAPKIPPSISEQSLKSLGRIESWMSTLGSSAGRNLGQKLESSLYLKLLYSYLKEFVPSGCVPPRNMGGTLLHRTVSDGIDAAESFRRAEFFVHTLIQFWLVGDDFSPLPVQTCRAYGLPLLSLQSHANATLVERPPAPGLGDAVKLFVMYMNRINASVDIDAPNVFEGISSWREACNSPVGYWNPLIQRPLYRFLLRTFLFCPMGVEIKNVAQVFSAWIVYMEPWKAQKDDLDAYDLPPPGCRNVHRVTEGKRQVSEAVYSPEWENFVLSNYLFYSSLVVHFLGFAHKFIHSDVSSVLQMVSKVLEVLASSTELLGLIYSVDATYHHRFFGSASCYLDHVLKYVPSIREQLQDWEYGLSESDADGSFLHERRNFNLRLFSFDEEGAYNLLQVYIIMQLSLLANFLFLSLFRV >ORGLA10G0136000.1 pep chromosome:AGI1.1:10:15796394:15799422:-1 gene:ORGLA10G0136000 transcript:ORGLA10G0136000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:I1QVX7] MQVKQKVYELYKGTVERVTEPRTVSAFLEKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKPEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKNTEEEEEDIPDMDTYEDTGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDKYLFIFLKFMASVIPTIEYDYTMDFDLGSTSR >ORGLA10G0135900.1 pep chromosome:AGI1.1:10:15785190:15787486:-1 gene:ORGLA10G0135900 transcript:ORGLA10G0135900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASGAACGVCGGGVGECGCLLHQRRGGGGGGGGGGVRCGIAADLNRGFPAIFQGVGVEETAVEGDGGAQPAAGLQEFQFFGHDDHDSVAWLFNDPAPPGGTDHQLHRQTAPMAVGNGAAAAQQRQAFDAYAQYQPGHGLTFDVPLTRGEAAAAVLEASLGLGGAGAGGGNPATSSSTIMSFCGSTFTDAASSIPKDHAAAAAVVANGGLSGGGGDPAMDREAKVMRYKEKRKRRRYEKQIRYASRKAYAEMRPRVKGRFAKVPDGELDGATPPPPSSAAAGGYEPGRLDLGWFRS >ORGLA10G0135800.1 pep chromosome:AGI1.1:10:15779016:15782108:-1 gene:ORGLA10G0135800 transcript:ORGLA10G0135800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEAGLGHPSRYVQLTRDQDAPADEDIRPGELNLPAHFPQLEQRRCCECGQQLPESYEAPADEPWTTGICGCAEDTESCWTGSFFPCVLFGHNVEALREDIPWTTPCTCHAVCVEGGIALAILTVIFPGIDPSTSILIGEGLVFSWWLFATYTGIFRQQLQRKYHLKDSPCDPCLVHCCLHWCANCQEHRERKGRLADNNANRNTIVNPPPMQEMSVVGNHPSITPENGAA >ORGLA10G0135700.1 pep chromosome:AGI1.1:10:15776093:15777580:1 gene:ORGLA10G0135700 transcript:ORGLA10G0135700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRNRFATRMLNLHRLVKSNLVAGLLRRRGHRPLDAPPPRIRVLIDGNEATVVDVDRLLLKPSSPSSATALFPPFFLLAVEAGGFVRGLVLLALYPALRLLTAEGARLKAMAMVCFLGLRRGEAARAGRAVLPRYFFREAADVEALMEAAASARKEVITVVAAASRLFPTVMVETFLKEYVGFDAVVGREVSFAGVMDDDDGDNMERFRDVVNKIPRNGAGPRPLIFHDGRLAFTPTPAAALAMYVYLPLAVALSVLRIAIFTLLPRGVSGAAAALAGVRLRVAGAPRPPAAGDAAGGRLYACNHRTLLDAVAVSGALGRPVSSVTYSLGRLSELLSPIPLLPLTREREEDRRRMASLLSRGDVVVCPEGTTCREPYLLRFSPLFAELADEVNPVAVRAAAGMFYGTSTSPSAKCFDSVFFLMNPSPEYGVHFLEPVATAGAGAGSSIEVANRVQRVIAGALGYEATTLTRKAKYLLLAGNEGGVATNRSNNK >ORGLA10G0135600.1 pep chromosome:AGI1.1:10:15771966:15773655:1 gene:ORGLA10G0135600 transcript:ORGLA10G0135600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERAWKPREIADTFGIEMDEEEAAAAAIPPPQTPLEPMEYLSRSWSVSASEISKILFNGSKKSFAAKRLPEMTIPENSVVAASIVPSHLQHIDTRRNSISSHHLPIGRWFQHKEASRVKQSSKEKLRAEKAHVHAMVSVARVAAAVAAVTAATTSSDIQTSKMAAAMVSATELLASHCVEIAQHAGARHEQVACAIQSAVGVRSSGDLMTLTAAAATALRGAATMKQRVQREMRSNASVLPYEKGHSWSPDIWCKEGELLKRTRKGDLHKTRVSIYINKRSQVILKLKSKHIGGALSKKNKSVVFGVYNELPTWVEAGKHFTEERCCFGLSTAQGLVEFECENSTSKQRWVDDVKNLLRQVAAEEQVENKLGSVKLS >ORGLA10G0135500.1 pep chromosome:AGI1.1:10:15763469:15764944:1 gene:ORGLA10G0135500 transcript:ORGLA10G0135500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT1G08845) TAIR;Acc:AT1G08845] MLRNILCQSWRRGAYALQEGNHPGALHACWSRFHSGQMLSSSRSFFGVEDFMDEDNSKPYTYKKEKRSKNPHKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRTTCRQVAVAGTNSKDIKAALKSRSDIPACLAVGRFLAERAKEADVYTCTYTPRERDKFEGKIRAVVQSLIDNGINVKVYLD >ORGLA10G0135400.1 pep chromosome:AGI1.1:10:15756046:15759754:-1 gene:ORGLA10G0135400 transcript:ORGLA10G0135400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF547 [Source:Projected from Arabidopsis thaliana (AT5G47380) TAIR;Acc:AT5G47380] MAQPAMEGTVQVGGGGAQEPRGQYGGGGKNGGDASPRKHAAAAAAAALPRHRRSKSASSDRSLEPCKHAALHDQRCTQAAQATPSSHHQPDATTRKSHAAAAAAAEGSSIHHVLTRDRSRASASPNHRVSLENDQIRQLQLNLHQERSIRIMLDRAIGRASSTLSPGHMHFPAQTKELIAEIELLEEEIANREQHVLTLYRSIFDQSASGASSGQSSGLSSPAHTKSISSRTRRHPSIISSAFCSSKKLPLQPFQIMTSVSESGRSKSTLKTKIKHESFSSETLDIHPATFPPDPRKLPYSGSSSLTRTLKDHLYQCPSKISEEMVRCMASIYCLLRTECPENPEKVRSPFLSRSSTNVILPRRGNGEDTNLSNTKCTVEVSSISADKNHMPDVSYAITHYRLLVEQLERVDLSMSETNIKLAFWINVYNSLVMHAYLAYGIPNSSLKRMALFHKAAYNIGGHAVTANSIEHALLCCRSPRIGRWFESILSTAMRKKCADEKQLVQLKFGLPDCQPLALFALCTGASSDPMLKVYTAKNISEELERAKREFLQASVVVRKSKKVFLPRLVERYAREAGLAGADELLAWARDNADARATQDAIQRLCVDAAGGRRKAAQAVEWLPYNARFRYAFPRTMVDKPLF >ORGLA10G0135300.1 pep chromosome:AGI1.1:10:15740988:15751408:-1 gene:ORGLA10G0135300 transcript:ORGLA10G0135300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDSDFQSQNFQLAGEDNNKFPAGLRQFPLPKLDIDDQLQSHLRFDNLIDSEGLFSGQGHGNSWIEVLSTGSSVVDFSSSAAESCSINRTNNVWSEATSTESVEMLLKSVGENEMTGNMDENAHHQISGMDSKTDPSNMPSKTSDSPTGNIIVPAENDKSQSTRSEMAEDPSRIQPQLEHIRPFSVDDKAEQAVGSTLSDRKSNYTLESVSERCIVSGRSSSPKKTSESCPDVGSYFEVVHDDDSLDNLNIQSDGVGSRKLNNEPFSDLAPLQNIYTTSSYHFEQDNQESGVGVTTQGSEICHTNENKDGLHGLQNLSCTSQHLGSSHLTSEVSNEALLSGSSDGLLEAITNPVKMLHRSDDTSKRASATLQSSFLQVEHASEGTKGSIDRSSEPAMKKFGASEEPNSAKSQGEPDLKNSSPHLVIPLPTISGEFIQSPKGKQLAHVAGVSEETKYDRVDDTNHSTSDDSKLAMLEQLQDSVDNLSSGVMEEKTIRGQISAVSGNVVHVVKSGHCEKVAVSTSTTDDKFESPGDIVPDNSSACLPDEKDPSITVNHEVSFKEGVVPALEDDPEKMSSMNHEEPLKEDDKSTLEVGEHNTTSPVSEPLLMGSTGSVNPNIDTICSSGTDAVAETPQCEEQATSSGSLTTNATQDKLGDHPDACPPKVLTTGPLMQPEDHEDLVAPSSVLGASSEKGEQNNGKVPLNGMDDSGVQLQDKVLSHGGDRTLVTVSSENKNGLEHGTGEGSCTDATCGSPTVISCNESCPEEDGQGSNALLHHKQTEPPKDPKDHTALTNNSHVSKEGSSRNVKPTLTSEETHTAEDKSFSFEVGAPLNITEKAHAPAWSPLPRSEVAQSPEVTTGIPKPGNPSNHGSDESKNLAIVETSKEQLSGRKVVGSAEGSSVSSHIGHITKAKSTPLEQEQQHPTPDVNALGHQPFTDLQHVQLRAQIFVYGALIQGMPPAESYMVSAFGEPACGRKPPWGTLLQAALERYNSQRSSLTGLETPTSSHIGSRVTEKASRSTVVKTAPASKKGGKTVLPAHTAVPLHLPTLNMSPLGSSALSLPRGTHLDFGQAVSPVFPYSSQTRQPTSGVASWFPQSPGGRAAPWLVQPQNLIFDSSMKPPVPASANETAKGASSKNISISQAVSPVAFPPNQAPSTISPLAVIPEEKQKASVSTSKRGATPQKSRKRKKAPASPEQPIIAPLLKTDIASVTPATQHTPGFTLSTHSPSNILASGLVSNTGLVTPVPNYQITGIKDAEQRIFSEQISGAIEQSMGQAKGAGVHAMDAVRHAEGIWGHLSTNSKGKLPAEVEEKLTSAAAAASAAVSVAKAAAEAAKMASEAALLAKMMAEEVLSSTYANSSQKHDAGEFKVSNNLASFSSLTPTSSWKTKDDISKGSIISVAREVARKRVEEAAAAAKRAENLDAILKAAELAAEAVFKAGTIIGMGEPLPFTLSELLEAGPDGYWKSDQVRNKKATKLELPTDFSKSGRKRGGKAKHDHAIQNLEPSSSGKGLQLDVVHSGNVAEDVPTIAPVNGNRNDAAPNIIWNGIEKGSAVEVLVHKGESGVAWFSAKVLDINNDSACISYDSHTEETGLRKEWVPLRQEGEKAPQIRLAHPATVSRLKGTRKRRRDTSGNYSWAIGDHVDVLIEDSWREGIISRNRDGDETKLTVQFSAGTSDSLVVDAWNLRPSLVWNDGQWIEWSRGKTVDCNKGDSPHEKRQRTKGNDHVPIGGAAAGPSMDTSTNAAAKPEEPKPLALSDRDMVFNIGKRVVESKTDGVAFKRPGLRKEGSRVVGVPKPGKKKKFMEVSKHYDADQADKISEGNASTRPVKHLVPNVPRPREGTSKVDQKGKRIGEMRSRVPKSTKSQDGATNIIPGKGPLSMSAPSTGVFESSHTFAGSTIGSSNNMNLSVEKNSSVHGVGLRSEDSSVSEPHIQAASAAPTSRKNLTTTDRAKRKHVPSMDNSNRTTNKTSEIPGKSADSTEPRRSNRRIQPTSRLLEGLQSSLIVSKVPGEKGPRTNYRSASSRGRNLG >ORGLA10G0135200.1 pep chromosome:AGI1.1:10:15737542:15739538:-1 gene:ORGLA10G0135200 transcript:ORGLA10G0135200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGFDKLKVEFIDQDESVQVVADTIRSSGEIPERYARPEMEADPVIIDTDGYNLPVIDMSRLINPEFSEEEIAKLGSACEDWGFFQLVNHGVDGELLQRIKDDITEFFRLPLQEKMSVAIPPNGLQGFGHHFVFSKEQKLDWVDLLFLTTRPVEDRTTEFWPTKPPTFRDSLDKYSLEIANVSAKLFKFMAINLGVDEEALLAAFKPEQPQSVRINHYPPCSQANKVLGLSPHTDGVGMTLLLQVNDVQGLQIRKDGRWFAVKNLPGALVVNVGDVLEILTNGKYKSIEHRAVINPDKERITLAAFQSVPLSGTVGPLQELLMKGEARYKTVDGAEFTKGYFAAKLEGRRYLESLKLGV >ORGLA10G0135100.1 pep chromosome:AGI1.1:10:15732304:15733197:-1 gene:ORGLA10G0135100 transcript:ORGLA10G0135100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGDGSRTSFWHDCWIASECLATKFQALYTHTMDNQISVKYALQQGLTASLVPRLSETARQECQCLQDLLQDFSLNNERDIRTGGIMGKFTTKNIYDTRLPPGISSPNWNLIWKCRAPLKVKLFAWLLVRDRLSTKQNLLKKKIVQNGVCDVCQQGDETADHMCFTCPFVQSFWERIRVNPTIQDVRLLHQLKPSPNVPELHHHVFFLLCMWAIWNHRHDVVFRGQTPSIRCCLQRCINEAALWAENLKIEDRHVGKLSPPVI >ORGLA10G0135000.1 pep chromosome:AGI1.1:10:15731729:15732088:1 gene:ORGLA10G0135000 transcript:ORGLA10G0135000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRLASDDSSKLVNEAFAHLNVEGFVGQKSIAPFLNWPCDEEQQICPVQLLLLWKEKVVAKPLQAIGWDRHGLLLQRESEEFRDVSLDLLQQPCIHPMVHKLQKLHISEDHLLLLQSN >ORGLA10G0134900.1 pep chromosome:AGI1.1:10:15728159:15729736:-1 gene:ORGLA10G0134900 transcript:ORGLA10G0134900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRTIGSLPVPNVQALAGTCNGSDEQIPERYIRTEATCEEVISNYHGDMAIPIIDLNKLLSPQSSEEECVKLRSACQYWGFFQLINHGVPEEVIENFRSNIIEFFSLPLDAKKEYSQLPNSLEGYGQTFVFSEDQKLDWGDMLYLQVHPTDSRDLRFWPTHPASFRQSLDQYSSETKSLSLCLFEFLAKAVGAEPESLLGIFEEQPRGMRMNYYPPCRQSDKVIGLSPHTDVVGLTLLLQVNDVQGLQIKRDGKWFSVDALSGAFIVNIGDTLEILSNGKFKSVEHRAMIHPNKERISTALFHYPRDDLLLSPLPEFVKDGKVNYRSISYNDFMMQFFNQKRDGRNRLERLKLEQ >ORGLA10G0134800.1 pep chromosome:AGI1.1:10:15714834:15715621:-1 gene:ORGLA10G0134800 transcript:ORGLA10G0134800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYPPCLKADKVLGLSPHTDLDGLTLLLQVNDVQGLQINKDGKWFSVNALNDALIVNIGDTLEILSNGKFRSVEHRAVVHPSRERISAALFYYPCQDLAISPLPDFVKDGKVKYKTISYQDLLTEYFTAELDGRNRLEKLKLEP >ORGLA10G0134700.1 pep chromosome:AGI1.1:10:15701487:15701954:1 gene:ORGLA10G0134700 transcript:ORGLA10G0134700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVLTIVLMLQFFQQASDIDSVVLYGPGVLAAAGVTPNTLLLGLNVVFGVAKASSILIAMALTARVRRRPLLLASTGGMTASLLVLGSVFTAFGGARDDAAVAAVAVTVVVAFACAFSVGIGPLAWVYSSEILPLRQRGQGASVGTARTASRGHH >ORGLA10G0134600.1 pep chromosome:AGI1.1:10:15698466:15700047:-1 gene:ORGLA10G0134600 transcript:ORGLA10G0134600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARAIGSLPVPNVQELAKTCNGPDEHIPERYIRPEASSEEVISNYHGEAIPIIDLNKLLSPQSSEECVKLRSACQYWGFFQHYLWNKLQLINHGVPDEVIANLKRDIVDFFSQPLDAKKEYTQLPNSLEGYGQALVFSEDQKLDWADMLYLQVHPSDSRDLRFWPTSPASFRRSLDAYSSETKSLALCLFEFMAKAVGAKPESLLGIFEEQPRGLRMTYYPPCLQSDKVMGISPHSDVVGLTLLLQVNDVQGLQIKKDGKWLSVDAPNGAFIVNIGDTLEILSNGKFRSVEHRAVINPNKERISASLFHYPCENMVIRPLTEFVKDGKVNYRSISYLDFMTQFFTQQLDGKNRLEMLKLE >ORGLA10G0134500.1 pep chromosome:AGI1.1:10:15695666:15697291:-1 gene:ORGLA10G0134500 transcript:ORGLA10G0134500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSVGSLPVPNVQALAEICNDPDEHIPERYIRPEASSEEVINNYQGDMAIPIIDLKKLLCPQSSEEECLKLRSACQYWGFFLLINHGVPDEVIANLKRDIVDFFSQPLDTKKEYTQLPNSLEGYGQSFVFSEDQKLDWADMLYLHVHPSDSRDLRFWPTSPASFRQSIDAYSSETKSLALCLFEFMAKAVGAKPESLLDLFEEQPRGLRMAYYPPCRQADKVMGLSPHSDAGGLTLLLEINNVQGLQIKKDGKWFSIDAPNGALIANIGDTLEILSNGKFRSVEHRAVINPNKERISAALFHYPSENMVISPLPEFVKDGKVKYRSISYLDFMKQIFTQQLDGKNRVEVLKLDQ >ORGLA10G0134400.1 pep chromosome:AGI1.1:10:15690770:15693484:1 gene:ORGLA10G0134400 transcript:ORGLA10G0134400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02330) TAIR;Acc:AT3G02330] MGKCAARQRQWRWPLLHRSPRPTPPPPHGLHPPRRALAEHARMPAEQQQPPPVAPAKVTFSRVFQSCAQAGREALAAGRAAHARMVVSGFVPTAFVSNCLLQMYARCAGAACARRVFDAMPRRDTVSWNTMLTAYSHAGDISTAVALFDGMPDPDVVSWNALVSGYCQRGMFQESVDLFVEMARRGVSPDRTTFAVLLKSCSALEELSLGVQVHALAVKTGLEIDVRTGSALVDMYGKCRSLDDALCFFYGMPERNWVSWGAAIAGCVQNEQYVRGLELFIEMQRLGLGVSQPSYASAFRSCAAMSCLNTGRQLHAHAIKNKFSSDRVVGTAIVDVYAKANSLTDARRAFFGLPNHTVETCNAMMVGLVRAGLGVEAMGLFQFMIRSSIRFDVVSLSGVFSACAETKGYFQGQQVHCLAIKSGFDVDICVNNAVLDLYGKCKALMEAYLIFQGMKQKDSVSWNAIIAALEQNGHYDDTILHFNEMLRFGMKPDDFTYGSVLKACAALRSLEYGLMVHDKVIKSGLGSDAFVASTVVDMYCKCGIIDEAQKLHDRIGGQQVVSWNAILSGFSLNKESEEAQKFFSEMLDMGLKPDHFTFATVLDTCANLATIELGKQIHGQIIKQEMLDDEYISSTLVDMYAKCGDMPDSLLVFEKAEKRDFVSWNAMICGYALHGLGVEALRMFERMQKENVVPNHATFVAVLRACSHVGLFDDGCRYFHLMTTHYKLEPQLEHFACMVDILGRSKGPREAVKFINSMPFQADAVIWKTLLSICKIRQDVEIAELAASNVLLLDPDDSSVYILLSNVYAESGKWADVSRTRRLLKQGRLKKEPGCSWIEVQSEMHGFLVGDKAHPRSGELYEMLNDLIGEMKLSGCEPDSASFVEVDEEGSAPEHDDLLGVVGG >ORGLA10G0134300.1 pep chromosome:AGI1.1:10:15685906:15688717:-1 gene:ORGLA10G0134300 transcript:ORGLA10G0134300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGHSSPFPPPSSTHRRRPQERDEERGEGERGSRRGGGRHLHGYTTTPTEEEQEREKSPMAHARSTGSLPVANVQALAETCNDPDQQIPERYIRADANADEVISGDDCTAAIPTVDLSKLLDPLSSDEETAKLGSACQQWGFFQLINHGVSEDVIRDARKDIAEFFRLPLETKKAYSQLPSGIEGYGQAFVVSHEQKLDWADMFYLVLRPGESRNMALWPAHPPSFRNSIDRYSSETARVARCLLEFMAKDMGVRPGSLLERFQDQPQGIRMNYYPPCREAGKVVGLSPHTDAAGLTLLLQVNDVPGLQIRSPGGRWLAVGAPPDDGAFVVNVGDILEIMSNGKYRSVEHRAVVRPDRERVSAAVFHRPCQDAVVGPLPELVGEGGGDNARYTSMGYLDFMKRYYSAKLDGRNHLDGLRIKLSSSKG >ORGLA10G0134200.1 pep chromosome:AGI1.1:10:15681450:15683025:-1 gene:ORGLA10G0134200 transcript:ORGLA10G0134200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQVPNVQELALTCNRPDQQIPDRYIRPEAGTEEVICGQGINTAIPVIDLAKLLNPQSSQEECAKLRSACQHWGFFQLVNHGVPDDVISDVRRDLTEFFKLPLEAKEAYAKPPDKYEGYGQHFVVSEKQKLDWGDLLHLRLRPTESRDLRFWPAHPSSFRNSMERYSLETAKVARCLLEFLAMDMGVDPESLLEVFRGQPQNMRVNYYPPCRQTGKVLGLSPHCDATSLTLLLHVNDVQGLQIRKDGKWLTVEALDGAFVVNVGDMLEILSNGRYRSVEHRAVVHPEKERISAAVFHQACRDATVGPLPELVTKDGGRPVYKSMAYEDFMKRFFSAKLDGRANVEGMKI >ORGLA10G0134100.1 pep chromosome:AGI1.1:10:15665643:15666722:-1 gene:ORGLA10G0134100 transcript:ORGLA10G0134100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCRRAAAASCLVAAVAMVVVLGLPVVHGHGHGHGGVAWHSFKQLLDAGRGSHVTGLAELKRYLARFGYMAKPGRDTTDAFDEHLEVAVRRYQTRLSLPVTGRLDNATLDQIMSPRCGVGDDDVERPVSVALSPGAQGGVVSRFTFFKGEPRWTRSDPPIVLSYAVSPTATVGYLPPAAVRAVFQRAFARWARTIPVGFVETDDYEAADIKVGFYAGNHGDGVPFDGPLGILGHAFSPKNGRLHLDASEHWAVDFDVDATASAIDLESVATHEIGHVLGLGHSASPRAVMYPSIKPREKKVRLTVDDVEGVQALYGSNPQFSLSSLSEQGTSSSSPRRLLAGSARLLCTVLVILVTQL >ORGLA10G0134000.1 pep chromosome:AGI1.1:10:15665021:15665314:1 gene:ORGLA10G0134000 transcript:ORGLA10G0134000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPGDAQPEGSHQSASSAKPALSSCRRNKSENTSFVSDLRDHIQEFIHASPNEHRTCFTKTIKRMFGMSKVVAERSTEAKEPGAESVLPLQTTVSR >ORGLA10G0133900.1 pep chromosome:AGI1.1:10:15663949:15664242:1 gene:ORGLA10G0133900 transcript:ORGLA10G0133900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVCIIHLEWRVRGTDMNKCGDSLASRNIKALPLVVGGHLYLVFAVCILCNGRMEQSAKSVLQAVVTNTACCCFANSQYQNNSFNTKTTLFFCPFL >ORGLA10G0133800.1 pep chromosome:AGI1.1:10:15659713:15662518:-1 gene:ORGLA10G0133800 transcript:ORGLA10G0133800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNSADFFSATASLVFSRGFFSRAATPLRTPLTRQGIPAMKQSSGDGRLLLRLWLQLLKIRQCDVYGPDGAKAHLSHSQCFLVLMSKPTVWVLAYGETPNGPTQEVGESEAHTAHAPHGRLVGDTRLGKEIATCVSIHGQKFECI >ORGLA10G0133700.1 pep chromosome:AGI1.1:10:15653234:15654585:1 gene:ORGLA10G0133700 transcript:ORGLA10G0133700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:I1QVV4] MASEWEMAMGVDLGMGMSTYHNASGGIAAAPMMGHHGGGGGGGGYSAAHHHHHHYYGMPHQAAMGDAMRVDDLLDLSNTPGAHDFFPASAAAAAAGDHGHHHHHHHHIGGMGEPSGATPSATSSDHQTSMLSFADDFYIPTEDAAELEWLSKFVDDSYSDMPNYQSSAHAAMAAAAASAANNGGGSSAGQDSCLTAAPGRGARSKRSRATAAAAAAWHSLVPRPPSQSSPSSSCSSSDFPSSNKPSGAARPNGSGGGSRGKKSPGPAGVEVGMEAGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLMPEYRPAASPTFVLTQHSNSHRKVMELRRQKELLIIRGSHRDAAAAAAAAAAAAAAGSAAATGRPELMFRDYGVC >ORGLA10G0133600.1 pep chromosome:AGI1.1:10:15646710:15650737:1 gene:ORGLA10G0133600 transcript:ORGLA10G0133600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWVEKRLIKGIAGGRYLSSIWFLVLTQGLPFAIVQRYLQGIVLSVSVEDGKGNKSSVTVRLYGPNTALVIDRKREMQKERECHDGAEHIPDWPYGEQMDRWGPKPARLLWVPSSQSNVGLLRGLQYRDRCACSRPLAKKYTLELLFASSPPSVTAAAMKECVLDEYSTKHRISIDRFLQLKIFVKVHDR >ORGLA10G0133500.1 pep chromosome:AGI1.1:10:15615281:15634107:-1 gene:ORGLA10G0133500 transcript:ORGLA10G0133500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFNSAVAEDVRSLVQGVDDSTFDSTHRELCQLADCSPDGCSLLLQVCLDEVLLNADVAKSSRLKPELLSTVFKYCLDKPYFSTSFCEALKTVHVSDMFLVKLSNELNLSAGERVGVGLALSDSGNLGLITKGQKFSIAEIEEICANPAHVLTNDQIHDIVVFLHQTDGLSKHMDSFTNIISLLNVKEMPFYVPAPIKEGNARPTISSRHMELYTGSLDDDFDSLLSEIGKEISMADIITELGYGCTSDIAHCKEILSLFEPLDDMGISKLLGAVVCTRVGLGEAHNTYSTFMSAVGNSQPSDSSQFTAWNIDVLVDSINEIAPRTNWITVVENLDHEGFCIPDEAAFCLLMSIYSRACKDPFPLHAVCGSLWKNTEGQLSFLKHAVAAPTDTFTFKHCSRKMVFPEFANCMQGNQAWYCLDLLEVLCQLAELGYATMVRSMLDYPLIHCPDVLLLGVSHINTPYNLLQYEVLSCVFPMILKDTTYSSLMNSLWHVNPYLTLRGFVDSHSDANCLLRTVEICQDLTILSAVLDSTPFAFSIKLATVAFRQSHSNLEKWLVEKLTAQGETFLEEIMSNTTYETAEGAVQQPQVMILDICRESCPLIIKVLQSHSGQLLSNQLVEELRRVEAVHESRNHGVVGRDAPTSEGGPDDIEAQANIYFHQMFSGQISVDAMVQMLARFKESTNKRELSIFNCMVSNLFEEYKFFPKYPDTQLKLAAVLMGSLIKHQLVAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRLIEWPQYCNHILQISHLRATHAGLVAAIERVLAKISSSQNEPNVGSMLSADQHGSSSIGNMEASEASWQLINPTPTQLERSHQQRHQGFLGERSKGSTNIIQAKNILSSGQMPLASSPGDLAVNLKAATTPSSQASPHHSTTVSAPLQPTGFLRSRSSAPSGIRQPSFTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEAKAREFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQAPVVAEVSSGVMPTINHVEPQPEINSTSRATSLPNMLSQYAAPLRLPTNNMVEDDKAALIMPEQVSSHGLSQVSPSQTPSLSSSSFSLSQLMAAIPRADIYFRINEKLSSFGSLQYSKIMDMALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMEADDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRSLIQGITNNTESTEQIMLILVNDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLKRVPDALRPKPTGHLSAAQRRVYEDFITVWHSQSSQNAGGSATATAMAVAPSNSSVPRVYSPNSALTDSSSFSSHFASASQTTELVHEESDRNAHLSSLSSKIGASDTSTQVIGTTNVASVFPPMVPNDLPVGEPTTTNKDLVTSAPLSPTTAVDRMGSVFAEPLNTSDALERYQQVSQKLDTLIAKDGKDAEIQSVIAEVPDILLRCVSRDEAALAIAQKVFRSLYDNASNSNYVTWLLAALVAIRDVCKLVVKELTSWVIYSDEDKKFNIDIIIGLIRSELINLGDYNVHLAKIIDGGRNKAATEFAISLVQTLITQESISISEVYNIVDALSKLAIRPSSPESLQQLIEIARSFASVKDENIRQSRDKKVLSGRPLVNKEENNANDVAFTDAVGFQEKVAVSFSEWCNICDHPTMGDSAYTHYIVQLQQDGLLKGDDLTDRFYHILTELAVAHSVVSEQVVAPGGISQQPTQQLQISYFSIDSYSKLVALVVKYSSVDIGPSKGSLFNKILSVIVRIIQRDAEEKKVSFNPRPYFRLFINLLSELTTSDLHHDSANFQVLTAFANAFHVLQPLRVPAWSFAWLELVSHRSFMPKLLLCNAQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIPPRIMSDVDGALKSKQMRTQVDEYLKRPDGSFLTDLKQKLLLPQNEANIAGTRYNVPLVNSLVLYVGMQAVQQLQLNKMNASASAQQMNQSQLDVQIETATELFRNLVMNSDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFSEANQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWARSFTRCAPEIEKLFESVARSCGGKGGDDGVGLPDGGH >ORGLA10G0133400.1 pep chromosome:AGI1.1:10:15613591:15614208:1 gene:ORGLA10G0133400 transcript:ORGLA10G0133400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDKLVQLAESMCQAASLLLGDNNPSDESSPRRPSTFLNAVALGNFWWSRPPSASPRAPTALDAIDEAVGKLKSVLDNGEGNLDEAALRAEELMAPLESHCGGWRRRLQ >ORGLA10G0133300.1 pep chromosome:AGI1.1:10:15595007:15595300:1 gene:ORGLA10G0133300 transcript:ORGLA10G0133300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETVLVSQEDRISQRQRSLYYRVGVQSCWATDRSRAHNCITKGKRKPRLGPNITGATWELGRNPKTHCIRLAPGKTPRQRDPLHLLQQLGGIIYIEQG >ORGLA10G0133200.1 pep chromosome:AGI1.1:10:15585829:15589372:-1 gene:ORGLA10G0133200 transcript:ORGLA10G0133200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCVPSWDLDDPVGGGGIGGGGGGGHRVVSGGGGAFMPVAVPTSDQYYEVAELTWEKGNISSHGLLLNRPAPPKFPPHQQLQAAMGGGGGGGVVGDRETLEAVVGEAAARSSSSSHLAARARPVPAPWLGSVGVVAAADALVPCDADAAEGRSKRPRVVVGEDGRRACASQGSAAPGRRGESTLLTLDACCGTAADDVCGFTTTTNNSTSLEDRTEDKGSPETENTSIAGGASDSRCFSRRSQSQRGGMCDEDEHVVIRGEGAMRSSISTKRSRAAAIHNESERKRRDRINQKMKTLQKLVPNSSKTDKASMLDEVIDYLKQLQAQVQVMSRMGSMMMPMGMAMPQLQMSVMAQMAQMAQIGLSMMNMGQAGGYAPMHMHTPPFLPVSWDAAASSSSAAAADRPPQPTGAATSDAFSAFLASQAAQQNAQQPNGMEAYNRMMAMYQKLNHQQQQQDQPSNSRQ >ORGLA10G0133100.1 pep chromosome:AGI1.1:10:15581571:15583346:1 gene:ORGLA10G0133100 transcript:ORGLA10G0133100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSSLAAAAVFLSLLAVGHCAAADFNATDADADFAGNGVDFNSSDAAVYWGPWTKARATWYGQPNGAGPDDNGGACGFKHTNQYPFMSMTSCGNQPLFKDGKGCGSCYKIRCTKDKSCSGRSETVIITDMNYYPVAPFHFDLSGTAFGRLAKPGLNDKLRHSGIIDIEFTRVPCEFPGLKIGFHVEEYSNPVYFAVLVEYEDGDGDVVQVDLMESKTAHGPPTGRWTPMRESWGSIWRLDTNHRLQAPFSIRIRNESGKTLVANNVIPANWRPNTFYRSFVQYS >ORGLA10G0133000.1 pep chromosome:AGI1.1:10:15570416:15571809:-1 gene:ORGLA10G0133000 transcript:ORGLA10G0133000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSISSKKAAVAALFSFLVVTCVAGARPGNFSASDFTADPNWEAARATWYGAPTGAGPDDDGGACGFKNTNQYPFSSMTSCGNEPIFKDGKGCGSCYQIRCVNHPACSGNPETVIITDMNYYPVSKYHFDLSGTAFGAMAKPGQNDQLRHAGIIDIQFKRVPCNFPGLKVTFHVEEGSNPVYFAVLVEYEDGDGDVVQVDLMEANSQSWTPMRESWGSIWRLDSNHRLTAPFSLRITNESGKQLVASQVIPANWAPMAVYRSFVQYSS >ORGLA10G0132900.1 pep chromosome:AGI1.1:10:15552878:15559968:-1 gene:ORGLA10G0132900 transcript:ORGLA10G0132900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASAKVVAMLLSVLATYGFAAGVVYTNDWLPAKATWYGQPNGAGPDDNGGACGFKNTNQYPFMSMTSCGNEPLFQDGKGCGACYQIRCTNNPSCSGQPRTVIITDMNYYPVARYHFDLSGTAFGAMARPGLNDQLRHAGIIDIQFRRVPCYHRGLYVNFHVEAGSNPVYLAVLVEFANKDGTVVQLDVMESLPSGKPTRVWTPMRRSWGSIWRLDANHRLQGPFSLRMVSESGQTVIAHQVIPANWRANTNYGSKVQFRXSIDRSTYVHAMPSCVCQRDVWEMEQGQDSPARAQSTVSRYGAYVNDCITVWMXSVYPRIIFLLRSTRNSKVRCYLXNMYISAIDXINIKPLQWYVYMGIYSSLIHCLVFLYRLSPGGACGFKNVNQYPFSSMTSCGNEPIFKDGKGCGSCYQIRCNKDPSCSGNIETVIITDMNYYPVARYHFDLSGTAFGAMAKPGLNDKLRHSGIIDIQFRRVPCNYPGLKINFHVEEGSNPVYFAVLVEYEDLDGDVVQVDLMESKSAYGGATGVWTPMRESWGSIWRLDSNHRLQAPFSLRIRSDSGKTLVANNVIPANWSPNSNYRSIVQFS >ORGLA10G0132800.1 pep chromosome:AGI1.1:10:15531947:15533026:-1 gene:ORGLA10G0132800 transcript:ORGLA10G0132800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHTCKLCFRRFHNGRALGGHMRSHVMAAAAAAAYCPSSPAMSLASTSSTEIEMDEKKEMTKKTEQEKTLTSYVLRENPKRSYKVSAGEFSGGGGGGGGGGESSVVQDGESDTESSPPRGGAGSFFAVSRRRSKRARRRRRAPDPEPASSVSDATTEEDVAMSLVMLSRDSWTRSRSEHETHHRGASSEAEQNNDNVVNVFDEEDEDARDVAGEDHDEELSYGGGEAAAARHRTSRFQCGACSKVFRSYQALGGHRASLKRGKGGGCVPPPRPAPASSAAAPAIHECPFCFRVFDSGQALGGHKRAHMPSGGARPSPSPSPAKCGESSGSIDLNMPATMEDDFELSAVYDAEFASTRQ >ORGLA10G0132700.1 pep chromosome:AGI1.1:10:15525277:15526654:-1 gene:ORGLA10G0132700 transcript:ORGLA10G0132700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12244) TAIR;Acc:AT1G12244] MAAAKPVEPLPLLRTGADTAAAAALRRFQLPPQPPRSVRANAIRASPPSNGAGSPDELPAALLPNAARRRDGCGFSLGVDLGEARTGVAVGRGITLPHPLTVLKLRGQKLELMLLDIAQQQQEADELIVGLPVSADGSETPQSNKVRSVVGRLAVQAADRGLRVYLQDEHRTTIDALEFMISRGVKRSARDVKSDAYSAMMILERYFSSSGQGAKIVLPKQPQLQSKLLEKSRQDAQV >ORGLA10G0132600.1 pep chromosome:AGI1.1:10:15521429:15524600:1 gene:ORGLA10G0132600 transcript:ORGLA10G0132600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWPPAAWRRWGVVVVVVAALMAVSATAAVEEELGGRERGVVAAAAQGRWPRHRHAYAAMMYMGTPRDYEFYVAVRVMMRSLARIGADADRVLIASADVPADWVRAMREEDGMRVVLVENMKNPYESNLGGINKRFKLTLNKLYAWTLVDYERVVMIDSDNIFLQKTDELFQCGQFCAVFINPCYFHTGLFVLQPSMDVFKGMLHDLEIGRANSDGADQGFLVGCYPDLLDRPMFHPPENGSKLNGTYRLPLGYQMDASYYYLKLHWHVPCGPNSVITFPSAPWFKPWYWWSWPILPLGLSWHKQRWDDLGYAAEMPVILMEILMYAVIITITRLAKPGMTKLCYNRRPEKQNAMVQGLIKMSAIVAMLIAYAIPFFIIPRTVHPFMGWSMYLFGALALGVLVSNAFLLPLLAVLTPWLAIIGMFFVMAFPWYHGGIVRVLAIFGYAFCSAPFLWASLVRVMDSLQTMLEREPFFPRLGEPAQETEFSKLF >ORGLA10G0132500.1 pep chromosome:AGI1.1:10:15511318:15513224:-1 gene:ORGLA10G0132500 transcript:ORGLA10G0132500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGVGGGAARPLTASRRLLARSASTTASRAGGAGAFVYDGMRPAPLFSSTNFARSLRKAASFGGGGKKQYSADDDGAVAVKAAAPPRRALSSKENTVHELGTAAARGPWEPARRPRRSSSGGSSSPENAGSTRGSAVLRDMMTRRKEEPEKEEAAHRARMLAARLLQWRFANARMEKAMARATAAAENKLFYTWLRVAELRNIQAAKRIVAQRRRQKLKLARLLRPQLSLLASWDSLAKPHADAVDDLGAVLAAACTALPLADGAQGDMESLHEAMFACVGTVNDIEANADMFFATAGVTSSTLEELSTTIKQEVEGLQEAMKLARIVTSLQVQEVSLRANLIQIQAKQKVDMGASVPAIATSGWCF >ORGLA10G0132400.1 pep chromosome:AGI1.1:10:15509390:15509869:1 gene:ORGLA10G0132400 transcript:ORGLA10G0132400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRASASCALFLALNLLLFAITTACPSCGSGGGGHGHYGGGGGSGGGGGYGGGSGGYGGYGGGGGSSTSGWYGKCPTDALKLGVCANVLDLIKAKAGVPATEPCCPLLNGLVDLEAAVCLCTAIKANVLGINLNLPIHLSLILNFCGKGVPTGFMCS >ORGLA10G0132300.1 pep chromosome:AGI1.1:10:15501307:15503623:-1 gene:ORGLA10G0132300 transcript:ORGLA10G0132300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1QVU0] MGFSGFALAGRVFAGDPRTFPGHREVLAFLDAFAVESGVAGRVRLRAEVVRVGPLAGHGERWTVAWRGEGGVEEEEEVFDAVVVCNGHCTVPLVPKLRGIGNWQGKQMHSHNYRTPEPFQDQVQDAVSVTVSIVVVVGLGASGVDIAREISNVAKEVHIASRYTEDRLGKVDTFQNTWLHSEVDCIQDDGQVRFSEGSASIAADTFLYCTGYRYHFPFLDVEGVTVDGNRVGPLYKHVFPPKHAPNLSFVGLPVKTIMFQSFELESRWVARALSGRAELPGEEAMAAAVEEDYRRMDAAGKPKRHTHALMPDWLTVFRPLVAATIDERQVEYMDWVAAQVGEPPMEARRREIYEKALRCIWSLDDSYRDSWEEEDEEENR >ORGLA10G0132200.1 pep chromosome:AGI1.1:10:15484823:15489319:-1 gene:ORGLA10G0132200 transcript:ORGLA10G0132200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLPETAAEGKALTDAWDYKGRPAGRAATGGWGCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNAAAANTVTNFIGTSFMLCLLGGFVADTYLGRYLTIAIFEAVQATGVMILTISTAAPGLRPPACGDPKGASAECVAADGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDESDVDGERKKMMRFFNWFYFFVSLGALLAVTVLVYVQDNVGRRWGYGICAAGILAGLAVFLSGTRRYRFKKLVGSPLTQVAAVTAAAWSKRSLPLPSDPDMLYDVDDAAAAGHDVKGKQRLPHSKECRFLDHAAIIDRSAAESPATASKWRLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFAVAQAELMDRRLAGGFLIPAGSLTVFLIASILLTVPFYDRLVVPVARRATANPHGLTPLQRVFVGLSLSIAGMAVAAAVERHRATASASAAAAAPTVFLLMPQFLLVGAGEAFTYMGQLDFFLRECPKGMKTMSTGLFLSTCAIGFFFSTLLVTIVHKVTGHGARGGGWLADNLDDGRLDYFYWLLAVISAINLVLFTVAARGYVYKEKRLADAGIELADEETIAVGH >ORGLA10G0132100.1 pep chromosome:AGI1.1:10:15472156:15476992:1 gene:ORGLA10G0132100 transcript:ORGLA10G0132100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAREMHPLCCLVADCALGGGGGGGGGEGGGGDCSPTKAAVGGEEEVVAVAGVLYKWTNIGKGWRPRWFAIRGGVLAYSKIRRRVAAEPPPEAAAAAAAKGVRLIGVPRGGVGDQPIGFVPLKISSFSESKSDDKRFYIITPTKTLQLRTGSAKDRVAWIEALVSARSEYSLNGGVPCDQNEGSFSTEKLRNRLHAEGVGEATIKDCEQIIHSEFSQYHTQMKQRCEDYLNFIGSLPRELEVVNSGDASAIEKPQSELFKHDCSSSGKCSEYSNTESSDDAGKQEVGQLSDEDEFHFYDTRQSFSDTAASPDLKMRCSNSGSGAHKFGELLAIDKTDEYLLSSSKRRSELPVPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSNLLDHAYEYGSRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMVMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPSGVLTLQFDDGETFQWSKVTTTINNLIIGRVYCHHHGTMNISGNRQYSCKLTFKEQSFLDRNPRQVQGLVTDANGTKVAFLMGKWDESMSCIIGDDASKVNSRNANQSTGATLLWEKNVPPANPTRYNLSSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYEKANSEKLRLETRQRMARKMQESGWKPRWFQRDTEDGTFRYVGGYWEAREQRKWVGCNDIFGNNVSTLCTSASI >ORGLA10G0132000.1 pep chromosome:AGI1.1:10:15464572:15465595:-1 gene:ORGLA10G0132000 transcript:ORGLA10G0132000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPEGHSSRRVVELIFSSGWGAAAAAAAAPGPTVEAMFRVHSAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXADAAVRTFAGSGAAHASAGAGSGRRAMLVCRVIAGRVRSAQHQHGHSRHASDYDSVDMGNGELVVLDSRAVLPCFLIIYKV >ORGLA10G0131900.1 pep chromosome:AGI1.1:10:15453896:15458851:1 gene:ORGLA10G0131900 transcript:ORGLA10G0131900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHVRSGLGSPDASSNSSKRKRGSCFAERNMDGRNSIRIKPDVGVGAREKCGGGGGHRYVIDLEKPATSDDDVEFVSYTGFGNRSQDRRYASAENCSTAGSSQLCVERNASRVSPGSVGSSDTPDCQSPIKPDNSESRHLLIDLNVPQEESLHVFYAPSQITCPTLVNSSSSHPGEFWNGSSNVYKKECGSGVGSSKGSSITVVAPSSAPDSSREVVAAYQFHDPKNLHGNIHARENSQHEHAVDKLCGSSSQYFLPQQRFSVSSCGRNDSSSALQKSGDNHVACQSGQPPLAVHTELQHDTSIVISSGEEKVLFDLNVPAESIDMESTITSNSFRDKLVKNDGSEETVTDHSFSKRNGVHAETSIEERTVGEHHISVSKDGNTTFFQESINNEIDKAQSSDLISVSSKHLIAETPHVDNIVCPELRASPDGASSPQETLIGNCDKMVCIAAETLVSIFSSSACTTDCPGTDSQTAAEDVNDEPQHSLDSYEEIVLNVEEIRDDGESIPVIPPDKDGPSCGIKLRRGRGLRNFLREIMPGLVSLSRHEICDDLHAIGYEPRKTRSRKTFGAQGSSSTRGRPPKHRPTARK >ORGLA10G0131800.1 pep chromosome:AGI1.1:10:15447017:15449453:-1 gene:ORGLA10G0131800 transcript:ORGLA10G0131800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1QVT5] MKPPQNDKLQYHTPPLPSKSQQKSEAKSRTHRLAMPSPSLRLAVVGAGAAGLVAARELRREGHSPVVFERAASVGGTWLYDAAPATSDPLAAGAAHSSLYASLRTNLPREVMGFLDFPFASSAAEAGGGGDTRRFPGHDEVLRYLEEFARRFDLYGLVRFGTEVVRVRRDGGGGGGRWAVTSRKIGEKGRREEEEEVYDAIVVCNGHYTEPRVAHIPGVEAWPGKQMHSHNYRVPEPFHDQVVIIIGASASAVDISRDLAGVAKEVHIADRSAPACTCKRQPGYDNMWLHSMIDHAQEDGCVVFQDGSSIKADVIMHCTGYLYDFPFLEDDSAITVDDNCVDPLYKHVFPPEVAPHLSFIGLPWKVIPFPLFELQSKWVAGVLSGRVKLPSREEMMEDVKAFHSKMEARGWPKRYAHNFSDCQFEYDDWLAEQCGHPPIEQWRKLMYAANSENKAARPESYRDEWDDDHLVAEAAEDFKKYL >ORGLA10G0131700.1 pep chromosome:AGI1.1:10:15444354:15445742:1 gene:ORGLA10G0131700 transcript:ORGLA10G0131700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT4G22580) TAIR;Acc:AT4G22580] MPNPLFFLLLLAVAAAATAAAGEGGATRKDPCAGRRIHIRRLPPRFNAHLLRHCDAGFPLADPSTPATSSPPCESLVNHGLGPRTHASSRSWYRTDTRLLEVFFHRRVAERGCLVADPALADAVYLPYYAGLDSLPYVLDPALLDSSAQHGAELAEFLARDRPQILARRHGHDHFLVLAGSAWDYSQPVRAAAAAAEARLWGTTSLLRLPALGNLTFLTLESRAWPWQEHAIPHPTSFHPASLPRLRAWLARARRARRPALMLFSGGVSRPSRPNIRGSILAECANRTDACVVVDCSGGRCSHDPIRYMRPMLHSRFCLQPPGDTPTRRSTFDAILAGCVPVFFEDAAARRQYGWHLPPERYDEFSVYIPKESVVFGGVKIAETLAAVGEGEVRRMRERALEMAPRVLYRRHGSTAELSETAKDAVDLAVDGALRRIRRRVRALDDGEPERIYSLEDDAVES >ORGLA10G0131600.1 pep chromosome:AGI1.1:10:15430322:15432300:-1 gene:ORGLA10G0131600 transcript:ORGLA10G0131600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:I1QVT3] MDLKTSNSPVIADPLPKLALPSAVMTYTTPTSFPSTGLYLNTPKKKPLPGKIEEVRAAGWLDLMLASSPPRKRQTKDFANDVQADELDLLYRNWVVNHPSALTSFEDIVNLARGKRLALFLDYDGTLSPIVDNPENAVMSDEMRSAVKHVASLFPTAIISGRSRDKVFDFVKLTELYYAGSHGMDIMGPVRKSDSSGQHVECIRSTDSEGKEVNLFQPASEFLPMISEVYKKLSESIKDIDGARMEDNKFCVSVHYRNVAPHDYGEVHQRVTAVLKNYPCLRLTHGRKVLEVRPVIDWNKGKAVEFLLESLGLCGKEDVLPIYVGDDKTDEDAFKVLKANSIGFGILVSSVPKDTDAFYSVRDPAEVMEFLKKLASWKEEST >ORGLA10G0131500.1 pep chromosome:AGI1.1:10:15428652:15429084:-1 gene:ORGLA10G0131500 transcript:ORGLA10G0131500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALQTDCFTSDLSXLIADMMSGSVPLDATRKHKYPREGGQXKENPSTFXVVRVQVLGVFKRDKINCSSLPTHPILXWMVNDLLTINFISAALVQGDTMGDELVAMMGLARELTATQRARAMT >ORGLA10G0131400.1 pep chromosome:AGI1.1:10:15423883:15427646:-1 gene:ORGLA10G0131400 transcript:ORGLA10G0131400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT4G31810) TAIR;Acc:AT4G31810] MPTLAAAAAAARRAGGALRYAVLGGVRSLSSLQPSSSSSAAAAASEEVLVEGKASARAAVLNRPGHLNALTTTMGARLNKFYMSWEDNPDIGFVMMKGSGRAFCAGGDVVRLHQLISEGKLDECKDFFKTLYSFIYVLGTYLKPHVAILDGVTMGGGGGVSIPGTFRIATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYLALTGEKLNGVDMIALGLATHYSMSDRLNLVDERLATLLTDDPSVIDTSLTHYGDLVYPDKSSIVHRLEVIDKCFSLETVEEIVDAMEIEAARLNEDWSTLALKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSINGISEKFSHEFREGVRARLVEKDLAPKWDPPALEYVSADMVDSYFAPLGEFEPELTLPTESREAFV >ORGLA10G0131300.1 pep chromosome:AGI1.1:10:15419583:15419981:-1 gene:ORGLA10G0131300 transcript:ORGLA10G0131300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAALVLAVSLLVVAMAVACPDCPSPKPPAPRPKPPTPHYGGGSSCPRDALKLHVCANVLGLVKAKVGAVSPYEPCCSLLDGLVDLDAAVCLCTAIKANVLGIKLNLPIDLSLILNNCGKICPSDYQCVH >ORGLA10G0131200.1 pep chromosome:AGI1.1:10:15416293:15416667:-1 gene:ORGLA10G0131200 transcript:ORGLA10G0131200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAALVLAVSLLAVAVAASACGTDCPPAPRPRPSTGSCPRDALKLRVCANVLGLVKAKVGAVAPYEPCCSLLDGLVDLDAAVCLCTAVKANVLGIKLDLPVDLSLILNNCGKICPSDFKCVH >ORGLA10G0131100.1 pep chromosome:AGI1.1:10:15412849:15413250:-1 gene:ORGLA10G0131100 transcript:ORGLA10G0131100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAALVLAVSLLVVAVASACTYCPEPPTPKPKPPAPRPPTPGGGAGSCPRDALKLHVCANVLGLVKAKIGAVAPYEPCCSLLDGLVDLDAAVCLCTAIKANVLGLNLNIPIDLSLILNNCGKICPSDYQCA >ORGLA10G0131000.1 pep chromosome:AGI1.1:10:15405891:15408041:1 gene:ORGLA10G0131000 transcript:ORGLA10G0131000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEKVFVALPAEVKSGRSTLSWALSHFRDAGATVIVVTHVHVPPQMIPVMGVKFHASKLNPEQVSLFRMAERDKVDKQLDHYVNQCLRMKMKCEKLVIENENVVDGLVELINLHGLTKLVISAAPDRNYSRKMDKPASRTATEIMQRADPSCKIWFVCKERLICTSGMEVEIAPGHTPFIPDTGHDALQLTLHQEQDDNNESELGFYDEVKEACKAAENLMMRALRESYRRQKADEEVVSSLQKAKEYEELYLEEVKKRKELEEALLRASEEIAQLKQERDLPKNDQNTTMEEQQEVISDNLILDASGQIIKPLQEYLDHDENCVREPETLLIQRKLASSFSPSSVMQSPFDEDCCIPSYFICPILQEVMREPCIASDGFTYETDAIRSWLDGGQRVSPITGQPIVHQQLIPNLSLRSVIQDHARRNQYSFS >ORGLA10G0130900.1 pep chromosome:AGI1.1:10:15402023:15402433:-1 gene:ORGLA10G0130900 transcript:ORGLA10G0130900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKVAPLLALSLLLLAVAAVHGCEPYCGHGGPVIPTPPVVVPTPPSYHRHGRCPIDALKLRVCANVLNGLVGVKIGAGPDDCCPLLSGLADLDAAVCLCTAVKANVLGIKLNLAVDLSLILNKCGKICPSDFTC >ORGLA10G0130800.1 pep chromosome:AGI1.1:10:15397606:15398001:-1 gene:ORGLA10G0130800 transcript:ORGLA10G0130800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVVAPLLALTLLLFAVAAHGCEPNCSGGGPVIPTPTTPSYDRHGHCPIDALKLRVCANVLNELVGVKIGAGPNECCSLLQGIADLDAAVCLCTAVKANVLGINLNLPVDLSLILNKCSKIYPSGFTC >ORGLA10G0130700.1 pep chromosome:AGI1.1:10:15394239:15394634:-1 gene:ORGLA10G0130700 transcript:ORGLA10G0130700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTKVVAPLLAFTLLILAVAARGCEPNCSGDPVIPTPTTPSYDRHGHCPIDALKLRVCANVLNGLVGVKIGAGPNECCSLLQGIADLDAAVCLCTAVKANVLGINLNLPVDLSLILNKCNKIYPSGFTC >ORGLA10G0130600.1 pep chromosome:AGI1.1:10:15386650:15387132:1 gene:ORGLA10G0130600 transcript:ORGLA10G0130600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEKKAITGLAATAAAPPPAAAQVIAGAAKYVVADEQKKPCAAAKKKKKVRMPDNYVASILTLKRNPRRSPEYMESLSPEEREGEVEDAELGDEFEAFQEEVRRAVENDGCYMVGESYFAETAAIQAAMEEEWAKIDMSRVIFGDWDYDDPESVQYL >ORGLA10G0130500.1 pep chromosome:AGI1.1:10:15383877:15384305:-1 gene:ORGLA10G0130500 transcript:ORGLA10G0130500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSKIAPLLALTILLFAAAAHGCAPYCPGGAPPVIPTPPVVVPTPPSHHHHGGHGHGRCPIDALKLRVCANVLNGLVGVKIGAGPDDCCPLLSGLADLDAAVCLCTAIKANVLGIINLNIPVDLSLILNNCGKICPSDFTC >ORGLA10G0130400.1 pep chromosome:AGI1.1:10:15379468:15379917:-1 gene:ORGLA10G0130400 transcript:ORGLA10G0130400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKVAPVLALSLLLLAVAAHGCEPHCSGGGGGGAPAVVIPTPTVVVPLPSFGGAHGGYGGYGHGRCPIDALKLRVCANVLNGALGVNVGHGPYDCCPLLAGLADADAAVCLCTAVKANVLGVNLNVPVELKLILNKCGKTCPSDFTC >ORGLA10G0130300.1 pep chromosome:AGI1.1:10:15376870:15377358:-1 gene:ORGLA10G0130300 transcript:ORGLA10G0130300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHKNSSRNKLAVAAIVALMSLLVFAAAPSEACGGRCNGGACRSRCAKPTPAPARRPAGAKCPFDALKLAACADVLGGGGGGGGLLNLDHLLGNSSPSSSGEQCCGLLAGLADVDAAVCLCTALRANVLGLVGVEPPVQLSVLVNRCSRKLPNGFQCSSN >ORGLA10G0130200.1 pep chromosome:AGI1.1:10:15373266:15375567:1 gene:ORGLA10G0130200 transcript:ORGLA10G0130200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLTAAGSRVLGGQGAAARAAASALRHRAGMGLPVGRHIVPDKPLPTNDELVWDNGTPFPEPCIDRLAPHIGKYEALAWLCGGLGFFATLGLAATLNDKASKIPYMKSPQELICSLKVSADSRVTIHVKQLASSVFQVCNLIEE >ORGLA10G0130100.1 pep chromosome:AGI1.1:10:15336979:15346343:-1 gene:ORGLA10G0130100 transcript:ORGLA10G0130100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPKLPPFPAGGFVPALKPKAEAANDEAAAAVEQLAEAAKLAEAGDAFGAREILARLNYRLPAAPTAGTPLLRSAFYFKEALRLALSPTGDAPAPSASTPYDVVVKLGAYKAFSEVSPVLQFAHLTCVQAVLDELGGAGCIHVLDFDIGMGEQWASLMQELAQRRPAAALKVTALVSPASHHPLELQLIHENLSGFAAELGVFFHFTVFNIDTLDPAELLAIATAGDAVAVHLPVGPAHAAATPAVLRLVKRLGAKVVVSVDRGCDRSDLPFAAHLFHSFHSAVYLLESIDAVGTDPDTASKIERYLIHPAIEQCVVARHRAASAMDKAPPPPWRAAFAAAGFAPVQATTFAESQAESLLSKLLPLPVLEMQRKGGNHGVKRPCFTEMAAKHLRVRTGTSRTAAAALDGYTSLTWDSAPEWPWHRMHKPDRLRWAHWRVTGEPQCAAGAVAIGQASGHTGVRDTAGANWQETCIVVTEMDVKLADKFRKKWYHSSKLQKRRCTVGLIKQDLKVQNQGGESEASRHKGLQISFRQVQISLRKPNRKCKHSGQTVKLINENCYYLSTKRNNLKTKEVNYICYIYAEELHPLKVMFRNTQAKICQIDVQKS >ORGLA10G0130000.1 pep chromosome:AGI1.1:10:15333657:15333968:1 gene:ORGLA10G0130000 transcript:ORGLA10G0130000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILGRGRTIPIRGRYLCNVKMVAWRGRTMLRDFSKVRVQETEEKQGLGIGYWVDALQQKLHFSIPLVREGDGSIEVVADNNGWSFMGEDVVARSGISRKSRM >ORGLA10G0129900.1 pep chromosome:AGI1.1:10:15327372:15329887:1 gene:ORGLA10G0129900 transcript:ORGLA10G0129900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1QVR6] MAIASRIQKRVLASFAAAAAAKLPEAAVAAAGGAAEAVEEVASSVQEQVQVQGAQVLEFGDTERLFAGERSTSLVRTLAVLQALSVGPLVDVATAALRSPAVAGSAAGRAAARATAYQHFCAGETAEEAAAAVRRLWRGGMGGILDYGIEDAEDGPACDRNAAGFLAAIDVAAALPPGSASVCIKITALCPVALLEKASDLLRWQQKHPATKLPWKVHGFPVLCVSSPLYLTAAEPPALEAEEERELEMAHGRLLAIGERCAEYDIPLLVDAEYATVQPAIDYFTFAGALAFNGGGRPIVHGTVQAYLRDARDRLEAMARAAQGERVCLALKLVRGAYLAREARLAASLGVPSPVHRSIQDTHDCYNGCAAFLLDXXXXXXXXXGLQFAQLMGMADGLSLGLRNAGFQVSKYLPYGPVEQIIPYLIRRAEENRGLLSSSSFDRQLLRKELVRRFKAAMLGRE >ORGLA10G0129800.1 pep chromosome:AGI1.1:10:15323903:15324961:1 gene:ORGLA10G0129800 transcript:ORGLA10G0129800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIHILLVVSQIPSLIWHFVRAEINLEGKEDTDDSAVGKAEDPEFPILCNIQN >ORGLA10G0129700.1 pep chromosome:AGI1.1:10:15310635:15321966:1 gene:ORGLA10G0129700 transcript:ORGLA10G0129700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKVEAKSPRGGGGWQGEASIERAIGASSFNDAPWCTPNPSELRRSGDGGAKKAAAVEDVGVALELAEDGLKELAVSPAVDTLGLMKASSQRFLSGKERASFLLVSKGTKRLFGYCRELQATGLRFLQVIESSKAEREREAKVERRFWRWMMIGGRRRATSGDWRVEPTWVERRYDFFRLEIDEQHSEFQIVQVASNHQDGDYFFSCLELKIFSTPHWSITPSRQQQAQLRKRRGETKKKSVLATAPNASCILTPLAQEGERFFSSWEMLFLAGCVLEVATNMEFIVVAFYGSKIRDDATAPTFSFTDEGIISTFLLLSISFCIPASSLLPQFLLVLSMGNRSRQRVAKEAMQSINEEADSPSREVQPGRHHMLKCPDGKPELHLEQIPNFHCKSLPSSRREAYQDDSIMHKRGSMYQSSSDVSRLRKLQEGRRKIDSALSRDSFMSFEIVDSSSQPSTSGPYLSRQQSRSCKPSSSIDASSKVQQATREFLSLSLRELPDEHSRLGRPRKDCNLLKDCAGDGFLEISLDEDTSKSVHTRQIEGTCSKDARSNCQHSVDVYSDGSKHGEGDLVNKLPKSLSTKVGVFDATCPPESTHGANSTTKARSSPFKKILDPIMKSKSLRNPSLMEKEDAKHSSLLVEGKGRVLRKSLLSGISRTEQSLTPNCQQSKEAQVLTVTSSPTHLHAVLKLDPTNDSFGFEFCTKGPEESIYANIWKAGNELNWIYTFHSTGKRTSTVGKTPKDRRGCLPPIVGQMHVSSYLYSEVGQNGVLNNSAISEFVLYDIAHARRSSAVERIQCTDSSKPKFCSAVNNSISRGSLERNNLMERQNNTRNNSDASTSSLWSREDLHPHLEVAAIVIQVPFHKTQSKELKDGSSSGTIKVAAAGGAHGLPRDDESSPSPLLDRLKTGGGCDCGGWDMSCPIVVLDNAYDSHWVDSVMTESKHPMELPFQGNKEALPAISMKAVGNGHFSVDFHARLSALQAFSVCICLLHCSEVSSAIGIEKFKHKLYSSSLKMLLKDEVKQLIESVTTKENKKKKTKRRKEKTPPSIVLDPPSFEPEAGVGIWQETAPFGAVSASITALVVVGLVHLLLTLVALSLILILKNIIGPDTVLSIDGQMGCLARPGWAKISIPFPLSSLSSNTTTDSAAAPATATSLAAASPPSSPLASQRSSPPWEAVAGGSRSGRPSPPQPPRHRGSPGGTPGLHGLPMEMTTGEMEAAIVALPAKKEALREAPSSSPRRLPLQPRAAVEPTRLIILPFQYSMEASLICKSEVKLSRAEQSIQLAQMALRRSAAAAMSWPSARVTMLGDSMATTSSVVNLSSSQRSSSLPAATIPGRITVLCNPGRRRSLSVSPFSPTSTATLDRSMPHCIDRYVKD >ORGLA10G0129600.1 pep chromosome:AGI1.1:10:15309271:15309930:1 gene:ORGLA10G0129600 transcript:ORGLA10G0129600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAATRDERPAPTQKWKPKKKAWASVEARASEAAALPVASSLSVFSSSRWTGRPAGWGGSQRGEAGEEEVQWPVAGDRRCGSRTGGGRTGLPEDRASGGRWAKRVTARAGAAGRRWASALRERKGLRRQGRGKSGRTGRRRSTSTIWRAERGEERGEGRAEADGADVEQDGESEAAITVVVHGVGEAATDLPAHRSPNLLLSRLTLSASSPTRRPPCPPR >ORGLA10G0129500.1 pep chromosome:AGI1.1:10:15303541:15308259:-1 gene:ORGLA10G0129500 transcript:ORGLA10G0129500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein [Source:Projected from Arabidopsis thaliana (AT5G23870) TAIR;Acc:AT5G23870] MAQRHWPWRLAVFVAAVVTPVAAEERLVVGMTLLQAATSTGAVCLDGSPPAYHLHRGSGGGAGGWVLQFEGGGWCNDAPSCAERAGTRRGSTRSMDSLEVFSGLLSNDPDMNPDFYNWNRVKLRYCDGGSFAGDSELRNGSSVLYFRGQRIWDAIISDLLPKGLAKAQKASELALLPLFLTSSEFFRDDITGNNTVEPFFRSLVALQGAEKNLNKDCLSSTDYPYQCFFPQYILPYIRTPYFILNSAYDVYQFHHNFVPPSCDPKGQWSHCKSDPGACSTSQIATLQGLRNAMLTALKPFQNEQGVGMFINSCFAHCQSELQETWLAPNSPRLHNKADIDDIWFVSDNCRAGWNWGFRSLAGEYPCPTVIKXQRFHLVWXINXRMNTVTIMETQASTMEVPA >ORGLA10G0129400.1 pep chromosome:AGI1.1:10:15301951:15303078:1 gene:ORGLA10G0129400 transcript:ORGLA10G0129400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNPRNPRRRGAILAAVTPFLAFADYLRLRLVDRAWRLYCRRVGHAPPPFPWLMLPERESPPGAGAGARRVFYDVPGGRSYGYRVPSRDMHRCVATGHGWVVMVAVDAPRRVMLLNPITGDQRIMAWPFARWNARFHAVLTSSPAAGEAGCFLVVVADRLLAFCRPGADFQGWETLRAPGFRHHAALSDVVAVGATVYLVDERRRLWRADLADENPKVQRRDTGFALPSLELRRHYLVESLGHVLLVLSDERHNRVALYKLNWDARAWLPIAACPGERVLLLGRGCSAAVPSSSAAGRAPGTVLFAHQPSTLPDVDVAARGQAWFWSESRVGAAPGDLLVLKKTVPHRHGEFPADGDSFWFFPAVDPDENAR >ORGLA10G0129300.1 pep chromosome:AGI1.1:10:15294141:15296765:-1 gene:ORGLA10G0129300 transcript:ORGLA10G0129300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSVSVSDESSEANGGGCCSSSTTPSMDAVNLSRTFSDVSSFSEEHGGSGSSVDHSGPFEPPSAAAVSRLIGRRSPAAAASALSLSRLSMKPRADVLDRRSTDDELELVKERFSKLLLGEDMSGGGKGVCTAVAISNAITNLYANAATVFGSCHKLEPLPAGKKTMWRREMDCLLSVCDYIVEFYPSSQTLPDGTKVEVMATRPRSDIYINLPALEKLDAMLIEILESFQKAEFWYADAGTRSFGSATSSSTMSSSSFRRSTHRNEDRWWLPVPCVPDGGISGKARKELQQKRDCATQIHKAAVAINTGVLGDMEVPDSFMAILPKSGKASVGDGVYRAMLGAEKFSPEYLLDCLDMSSEHEALAMADRVEAAMYVWRRKAGASHGRSRWGAVKELVADDEEQDKNVMLAGRAESLLLCLKHRFPGLSQTTLDTSKIQFNKDIGQAILESYSRVLESLAFNIVSWIDDVLFADKTVRKQ >ORGLA10G0129200.1 pep chromosome:AGI1.1:10:15292106:15293320:1 gene:ORGLA10G0129200 transcript:ORGLA10G0129200.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTTAYGGRGGVANSSFCKSIFKSELEIKYELVRTITSCGNLDEGFHGHVNFIAKGDCKNSEELFFAEVRWENNGYIPTCMVSLEGKEKIGGYYGARVHYPRGVPGLPIDKQHCYFCGDELKHPEDGTLYESGHAASSDYYE >ORGLA10G0129100.1 pep chromosome:AGI1.1:10:15278535:15289339:1 gene:ORGLA10G0129100 transcript:ORGLA10G0129100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVSGGLGAPDFYPQTLSCAEETLTKEYVQSGYKDTVEGIEEAREIVLSQIPYLSKPDIATKCKEALKKRFRAINESRAQKRKAGQVYGVPLSGSLLTKPGMYPEQMHSNEDTRRKWIEALVQPNRRLWSLAEQVPRGFRRKSLFNYLIRYNVPLLRASWLVKVTYLNQVQTSSNNVSSAAPDSLRSQHWTKDVIEYLQLLLDELCSKNGFFGLPSSQEQSLPCLVAGDSPIKLKTGASPASADVEEPSLHFKWSYMIRIVQCHLMEQLLVPSLLIEWVFNQLQERDSTEVLELLLPIVLSLVDTITLSQTYIHMLVEILIQRLSDASPGSLSVKNNPKRSSITSALVELLQYLILAVPDTFVSLDCFPLPSVVAPDVYGKGALLKIAGGGKIASSRRQNASRHLSCGYAICSVQRRASDLSLVANPNLQVRGAANVVQALDKALVTGNLTAAYTSVFNYLSDTLMEETWIKEVSPCLRSSLMWMGAVELSLVCSVFFICEWATCTFRDCRTSQCQNVKFSGSKDFSQVYMAVSLLKDKMNEINNLSSSKSSSQLAMKDHLKSGTLNNSSIKVTAMETASGFRDSTGSIDENNKKDIFSSPGPLHDIIVCWLDQHEISDASGFKSVDVFMTELIRSGIFYPQTYVRQLIVSGITIWNDSLFDLEKKTRHYKILKHLPGFCLFNILEEAKIAEDQVLYEIVSTYSSERRLVLSELSSGLATDANVEGRVPLSSCLQKQPDLLMDSTDDNHGRVAVQVEEAESGREETKKTKKGQTDLVDSEEDVGHAKTGCKDSSRTKRQKLDKNVFPFQGSPLVQSDEEDFWWARKEQKQELFTVETIHQSIEQTSGGKATVVQMTQNIAQLAAARIDGSQGASTSHVCDNNLSCPHHKPGTNSDILKDAYHMSMLTLAEVGKSLKRLRLLERRSISIWLLKSIKQLIEGDEVKHSKANNSISASTVQHSGKIASGWRFGEDELLSVLYIMDTCCDLLSSVRLLIWLLSKIYIGMTTSGQVGRGVMHPKHKENQVFQVAEAFLFSSLLRYENILIAMDLLPEVLSVSMNRTVHKSGERQSTSVAFAYARYFLRKYRDVTSVARWERNFRSTSDKRLLAELDSGKSITGDSIISGISSDCIRQNGGANPDGDHSLVASAVSAIVDNAGHAIAKHLDISGGNNPGVTSINSLNLIQHILNIHINSLALLRETLGDRFSRIFEISLAVEASSAVAASFAPPKAHRSQQSSETHDESGNHANEVPSNPSKCFNVKAVKVSAAVSALVVGAIIYGVVSLERMMVVLRLKEGLDILQFLRISKASTNGVTHSIGNFKIDSSTEVLVHWFKILIGNCKTVYNGVIAEILGDSYVLAFSRLQRTLPLGIVLPPAYSIFAMVLWRPYLYDTSTSNHEDIQLYQSLLGAISDITRHQPFRDVCFRNMHLFYDLLAADVGDSEFAAIVELRSPDECLKALSPLRARVFLNALLDCEIPVTMRDDGTYALEPGCAEASTKNDVKFPERLIEILNVLQPAKFHWQWVELRLLLDEQSLIEKPKNVPYVKALRSLSPNAENFTLSEREKGLTEVILSRLLVRPDAAPLYSELIHLLGKLQESFVMGIKWFLQGQDVLLGNNSVRQQLVNLTQRKGFPMKTQFWKPWGWSKLVGYANANKSSKRKLEVTSIEEREVDGLIDSRKSSNSKSLNVGTSPEGHGSTQKYFTQEALAELVLPCIDRSSSEFRVVFAGDLIKHMGVISEHIKAAVWNGINKLNSSNPSGNEGLSKPNGRKGIFSGSPNIRKHSPVPNDSTTPSASALRSSIWLRLQFIIRLLPVIIADSNMRQTLASSLLSLVGTRVVYEDADSLEPYMDDVLLVCPSENLFDRLLCVLHALLGNSQPSWLKTKPSSKPAVKFLRDLSTIDKEVTKSLQCALDRMELPATIRRRIQVAMPILPTSRLSSITCGPPLLSSAALSPFQCSTSTAGPYQQFPLNWIPTNLSSRCKAALPSQDPNMEIDPWTLLEDGTSCPNTNSGSNSANGVTGDHANLKACSFLKDSVRVRRTDLTYIGSLDEDS >ORGLA10G0129000.1 pep chromosome:AGI1.1:10:15268570:15272236:1 gene:ORGLA10G0129000 transcript:ORGLA10G0129000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSHKRSTEQEENNVGCVWGLMRMLYFRRDAKFLLDTKQVSRRHTFRELADGRHSVKNSSEFVETDDDDDNKEECASQKRTVKKLMEDELGKVNLLKKIPSNEIQRGLPDLGYDVSLDGGSEHTNKPVAALNQHTDIFASYLSGSVYSQGSKSLNHSEEYDLESVLANFLGEIYRCHGECPHGDCKNKSELCPSLKSLIHNKLNDLNNPHATHGNEQSPESKGEGLLGENSRSNSRAAQFKEFKDAVEILSSNNELFLKLLQKPNSHILDNIRKYQNSRLTTKLEPDKSLGRSSILEEKRGSNHELATKAQGKETKHVFFWRKDRSDRKQKPERTNRPQPVSKIVILKPNQGRRIDETETTSSRYLHQQPCTSQAPEFSGRESSKFSIKEVRRRFKIVTGDSKREKNAIPAENLPGDSHQLKDSVVEDKDPRHLTEGSLPDKAASNFKNGIKSSASSKQKQQNDSQSEISDHTTGASIFYEKAKKHLADMLKNTSQSASYPTAQVSKSLEGMLSQPHYNVSPPRSDHKGKCHNAFSPEEPEVCLVKAVDVEEPAQERSQLHDNSESNAYSTSVVVDDQVAVLEECGIKEDTQEGIIYATDEVDTVPVEGVGKLDCSKTICNIQCIPAEQYTDSPLPEILEGTEGKEPVQMFMSSPESMVENLEQQDPKTPEPKSSPKLPDGCPEQSNEKKEQPSPVSVLDSFDEDDSSPECKTMKKYELHEVSCGTLYFPDNESGVKVFWEDKNARLDYIMLVLELSELCAEQNLEVWYLEDELISPCMFEELQNQGDRIDDMKILFDCICEALTEIQERYFRLSSWLSFVKHDIRTPPVGEKLISEVDKYVDGYLKCSFPSTLEQIIKRDLEVQAWMDIRSKTEGIVVEIWEFVLDELIDEAVFDLWI >ORGLA10G0128900.1 pep chromosome:AGI1.1:10:15258514:15260385:1 gene:ORGLA10G0128900 transcript:ORGLA10G0128900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGKIRQEAEINTSSEENIRHSSARFDPLLALPFSVQSEQAILMDLNSVPGSDSDTSIPKIDDDSGGEYVGLQPLDNRDSTRSSPYKNDQELADAFIAACERYAKPFAEKWRLPEDLEKRKRQDECKMLKIPLRVYTKQKNMPPAELEIMELKEYTLFDEHGKVYAHYNFVVKDSDGTLTLFFAEVIVLGAKVGSWNLGIPLVLNIWVATVMSAFHT >ORGLA10G0128800.1 pep chromosome:AGI1.1:10:15237043:15241631:1 gene:ORGLA10G0128800 transcript:ORGLA10G0128800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:I1QVQ5] MEYGAATRGALLAAAPLAGARRSRLPLSPPPSPPSIQIQNRLYSMSSLPLKARGVRRCEASLASDYTKASEVADLDWENLGFGIVQTDYMYITKCGQDGNFSEGEMIPFGPIALNPSSGVLNYGQGLFEGLKAYRTTDDSILLFRPEENALRMRTGAERMCMPAPSVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLAPINLIVEDKFHRATPGGTGSVKTIGNYASVLMAQKIAKEKGYSDVLYLDAVHKKYLEEVSSCNIFVVKGNVISTPAVKGTILPGITRKSIIDVALSKGFQVEERLVSVDELLEADEVFCTGTAVVVSPVGSITYQGKRVEYAGNKGVGVVSQQLYTSLTSLQMGQAEDWLGWTVQLS >ORGLA10G0128700.1 pep chromosome:AGI1.1:10:15219045:15219335:-1 gene:ORGLA10G0128700 transcript:ORGLA10G0128700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGTLISIYPEDLTFLFELDKRCYCNLKVVNNSEHHAAFKVHGLPFHDQYFLKLGEKV >ORGLA10G0128600.1 pep chromosome:AGI1.1:10:15212154:15214473:-1 gene:ORGLA10G0128600 transcript:ORGLA10G0128600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGTLISIYPEDLTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASIVQPWDSCTITITLQAQKEYPPDMQCKDKFLIQSTKVAASTDMDEIPPNTFNKEVDKVIEEMKLKVVYTVPSGSSDDSGITSLGSRSFKLGSDDLTMLKNASIEEIQTIQRLKDERDTTLQQNQQMQRELDVIRRRRSRKSDAGFSLTFAAFAGLIGVLIGLLMSLIFPPPQAAA >ORGLA10G0128500.1 pep chromosome:AGI1.1:10:15207843:15211188:-1 gene:ORGLA10G0128500 transcript:ORGLA10G0128500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acid-amino acid ligases;ligases;ATP binding;ATP binding;ligases [Source:Projected from Arabidopsis thaliana (AT1G63680) TAIR;Acc:AT1G63680] MATAPLAFHLPFPFPSASRPPPRLLPPSRRPPAARLAATRRFRPPTADDEPPEAAEDSSHGLNRYDQLTRHVERARRRQQAEQPEITPDHPLFSSPPSSREAGSYDPDDEFFDEIDRAIAEKREEFTRRGLIKPSAPAPSPSQPEEEDGLADELSPEEVIDLDEIRRLQGLSVVSLADEEDEEANGGGGGVDYGDDGVPLDDDGEVFDVADEVGIEGARVRYPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQRDASLVAAGDLYVCVGEEGLAGLTEADKRGAVAVVADQTVDIEGTLACRALVIVDDITAALRVLPACLYRRPSKDMAVIGVAGTDGVTTMAHLVRAMYEAMGVRTGMVGVLGAYAFGNNKLDAQPDASGDPIAVQRLMATMLYNGAEAAVLEAATDGMPSSGVDSEIDYDIAVLTNVRHAGDEAGMTYEEYMNSMASLFSRMVDPERHRKVVNIDDPSAPFFAAQGGQDVPVVTYSFENKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILASVAVGVAVGAPLEDIVKGIEEVDAIPGRCELIDEEQAFGVIVDHARTPESLSRLLDGVKELGPRRIVTVIGCCGERERGKRPVMTKVAAEKSDVVMLTSDNPANEDPLDILDDMLAGVGWTMEEYLKHGTNDYYPPLPNGHRIFLHDIRRVAVRAAVAMGEQGDVVVITGKGNDTYQIEGDKKEFFDDREECREALQYVDQLHRAGIDTSEFPWRLPESH >ORGLA10G0128400.1 pep chromosome:AGI1.1:10:15204316:15206905:-1 gene:ORGLA10G0128400 transcript:ORGLA10G0128400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATRTAAAAAVEKVFVALPAEKGKTTLSWALGHFRGNGAKLVVTHVHVPPQTIPVMGVQFHVSNVSPEQVKCEKLVIEKEDVVAGLLELIASHGITKLVIAAAADKHYSRISDSVLHDRDKKVETTQSVTPLSQLFKIDTPPSPDIGQTILQPSAEQEQNDNEMELGFSDELNDARVAAENLMEKALSESLRRQRADEQVVSSLQKVKQFEELYLEEVKRRKELEGALVKANLELTRLKQEMDIPRNHQSTILGDRQEVITDKFILRQQTVDMKSDFGATGQLIKPQQEYLQLHPDHDNGVRQPETLLHRRSLTAFSPASTIPSQFDKDSIPSHFICPISQEIMREPCIAADGFTYEAEAIINWFDEGHEVSPMTKQPLVHRDLIPNFALRSVIQDYTRRKQYSFS >ORGLA10G0128300.1 pep chromosome:AGI1.1:10:15198796:15201822:-1 gene:ORGLA10G0128300 transcript:ORGLA10G0128300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGVDGERPLLREAGDLGGEDGGAGGVPEEGDAKGDVMAAAAAQGGDGGEGVEAVDGDGSNEEEEVVQTPDVKQTPGAAAAAAWREAAWEEADGEEFVDVLEGDVDEKREDGSALENGEDLVASRSLAVEGDEEGYGSCADIGIEEDAEGDASRERLEEEAMAESIREYVTEAVLADHIQEQPDESKSESEHVKVAQHKSQIAKGREEGPKQSGGEPSVVVEELNGSSSSDDESKATSAPPARSISGAAGRSNGPSLPSRPAGLGASTSLSQPSARPVQQTRANGPVAVDRETRQDVESPEDGDENDEIREKLQMIRVKFLRLANRFGQTPNNMVVSQVLYRLGLAEQLRRNTGQGVFSFDRAQDMAERLEAAGNEPLDFSCTILVLGKTGVGKSATINSIFDDARLETNAFDTSTRKVQEVVGAVEGIKVKVIDTPGLSCSSSDQHHNQKILNSVKRLISRNPPDIVLYFDRLDMQTRDYGDVPLLQTITRVFGASIWFNAIVVLTHAASAPPDGLNGIPLSYEMFVTQRSHVVQQAIRQAAGDVRLMNPVSLVENHSACRTNRAGQRVLPNGHVWKPQLLLLCFASKVLAEANALLKLQDNPAGKPRMRIPPLPFLLSSLLQSRAPLKLPEEQFGDDDDIEDDLADDSDSDDGSDYDDLPPFKRLTKAQLAKLNHAQRKAYLEELDYREKLFYKKQLKEERMRRKIMKKMAAEASARTDDFSNSNLEDDGSAPTNVAVPMPDMVLPSSFDSDHPSHRYRFLDTPSEWLVRPVLETQGWDHDVGYEGLNVERLFAVKGKVPLSVSGQLSKDKKDCSLQMEVASSLKHGEGKTTSLGLDLQSVGKDMAYTLRGESRFKNFRRNNTAAGISATLLGDSVSAGVKVEDKLVVNKQLRVLVSGGAMSGRGDVAYGGRLEATLKDKDYPIGRMLSTIALSVVDWHGDLAVGCNIQSQIPAGRASNLIGHANLSNKGTGQVGIRLNSSEHLEIALIALVPIYQNIKKLLQS >ORGLA10G0128200.1 pep chromosome:AGI1.1:10:15190757:15197593:-1 gene:ORGLA10G0128200 transcript:ORGLA10G0128200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGDADAWETASARSGVSSSSSGRATAAAAAPAPENKVFVALPAQHKSGRSTLAWALRHVADLAPAAIGGGGEVVVVVAHVHSPAQMIPMSMGGKFHASKLRPEQVSTYRKYEREQVEKNLDEYLEQCTKMKVKFEKIVIENEDIANGITELILLHGVSKLVMGAAADKQYSRKMKLPKSKTALSVMVKANPSCKIWFVCKEHLIYTRDFVAPISANSQSPDTIRGSISNLAARGGTTNQYANNAVNGYVQRSMSEMVVPASSRVSLQLHSRSSLQESLSRLNMEGTSVDSWDRGSFPSSYRASSTVTEEVLSDSSSSGIPRDGISTLAGCDFPNSALHHEQGDAGSNANLFDKLEEAFAEAEKYRKQAYDESLRRQKTEEELISYHQKARKSEDLFLNEAKQRKEVEETLAKANVEIQLLMEEMDALKHNRDDLSSKLSEVSEQKVTLEQQAVEYGSIIIDLKDTVAASQALIDSMQMEFEQLKHERDNALKHAEELHREKQNMVSSSDLEWSTEFSLLELQQATQNFIDAMKIGEGGFGCVYRGQLRNTTVAIKMLRSQNLQGQSQFQQEVAVLSRVRHPNLVTLVGYCSEASGLVYEFLPNGSLEDHLACENNTSPLTWQIRTRIIGEICSALIFLHSDKPHAVIHGDLKPANILLDANLVSKLGDFGISRLLNRSSTVSTSFYQTTNPRGTFAYMDPEFLTTGELTARSDIYSFGIIILRLVTGKPALGIAREVEVALDKGELELLVDRSAGDWPFVQAEKLMLLGLQCAELSRRKRPDRMNHVWSVVEPLVKSASLPVEPESIGHWVNKNRTPFYFICPISQEVMRDPHIAADGFSYEEEAIKGWLGSGHNTSPMTKSTLEHLQLIPNLALRSAIEEFMQQKQQQIPS >ORGLA10G0128100.1 pep chromosome:AGI1.1:10:15187901:15189380:1 gene:ORGLA10G0128100 transcript:ORGLA10G0128100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTTNIVLAVAVVAALVGGGSCGPPKVPPGPNITTNYNAPWLPARATWYGQPYGSGPADNGGACGIKNVNLPPYNGMISCGNVPIFKDGRGCGSCYEVKCEQPAACSKQPVTVFITDMNYEPISAYHFDFSGKAFGAMACPGKETELRKAGIIDMQFRRVRCKYPSGQKVTFHVEKGSNPNYLAVLVKFVADDGDVIQMDLQEAGLPAWRPMKLSWGAIWRMDTATPLKAPFSIRVTTESGKSLIAKDVIPVNWMPDAVYVSNVQFY >ORGLA10G0128000.1 pep chromosome:AGI1.1:10:15184291:15185614:1 gene:ORGLA10G0128000 transcript:ORGLA10G0128000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MED32; Has 41 Blast hits to 40 proteins in 14 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 41; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G11760) TAIR;Acc:AT1G11760] MEATVDELSEAYQEFVAAAAAVVEARGQSGGEKNAATDAALEAFKQRWELFRVACDHAEELVESIRQRIGSECLVDEATGASSSSAALAAPGIKPISAVRLEQMSKAVRWLVIELQHGAGSASAAGPGGGGGAAAAASGAAGQHGHGGVDTRFPEDGAHSNSLLNTKIALSTHTLSQALAA >ORGLA10G0127900.1 pep chromosome:AGI1.1:10:15178569:15183931:-1 gene:ORGLA10G0127900 transcript:ORGLA10G0127900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPCAIPPPPPPPPPPRRCIYSVFAISSQSSKQSSIVLFDSFFAEESRMQNLDNYLDQCSRKKVKAEKEVFFFTKIDEGLLHLIEIYGVTKLVMGAASDRHYKRKMKAPQSQTAISVMQRAHSYCNIWFICNGKLTCVREASCCPVKRSKSARLPSSVDSCKVDLQSLLEPNIEAKRLGCMYINEMELRKETEAKLSQEKEESESLKHATMVLQNDLDWLKYQLNEKANRLQELNQQKHLLEHHISESDSVATYLEESMKVTESRVQSLKLEYSKMKRERDDAVKEARSMRIEKELTNSCAYGAISSEFSLMELEQATQNFSNALNIGQGGFGSVYKGSLRNTTVAIKMLSTDSLHGQSQFHQEVAILSRVRHPNLVTLIGACMEASALVYELLPNGSLEDRLNCVDNTPPLTWQVRIQIITEICSALIFLHKHRPHPVVHGDLKPGNILLDANLQSKLSDFGISRLLLESSVTGSDAHYTSRPMGTPAYMDPEFFATGELTPQSDTYSFGVTIMRLLTGRAPLRLIRTVREALNDDDLQSVLDHSAGDWPLVHVEQLAHIALQCTELSKQRRPDLEHDVWEVIEPMKKEAHSPLSQSFRSICSAIETATPSYFLCPISQVIMRDPQMAADGFTYEADVIRDWLDKGHDRSPVTNQTLANRDTIPNIALRSAIQEYLKQNKSFALYEQQH >ORGLA10G0127800.1 pep chromosome:AGI1.1:10:15170137:15177642:-1 gene:ORGLA10G0127800 transcript:ORGLA10G0127800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G76630) TAIR;Acc:AT1G76630] MPETQAAEANLRKQLEQTLAGEPSSPLHHYNLGLFLWDRAEAAAREEGEGEEEVRRLRAAAAERFLAAAKLDPNDGVPFRFLGHHYARAGDAQRAAKCYQRAATLNPDDAEAGEAVCDLLDLEGKESLEIALCKEAAGKSPRAFWAFRRLGYLQVHQKKWSEAIQSLQHAIRGYPTCADLWEALGLAYHRLGMFTAAVKSYGRAVELDGSKVFALIESGNIQLMLGYFRKGVEQFRSALEMAPQNHSAYFGLASALLAWARQCVMTGAFGWAASLLKEACEAAKVCTSLTGNLSCVWKLHGDAQLALARCFPWDDGNIKGGMDDGTFRTTVLEWRNTCLLAANGAKFSYQRALHLTPWEANIHNDTAICLDLIYTIEENNSLDPITWELPEKMSLGGLILEPVNKDFWVTLGSVSSNQALKQHSFIRALHLDMSLSEAWAYLGKIYRHSGDKQLARQAFDRARSIDPSLALPWAGMSAENYHQPGDGPVNECFESCLRAVQILPLPEFQIGLGTIAARSGELLSPQVLMAVRQAVQRAPHYPESHNINGLVSEVRSDFQSAIASYRRAKFALDMMRNSKADCRCHIADISVNLARSLCKAGLATEAVRECEELKRQGFLNDDGLQIYALSLWKLGRHDEALSVSRNLAENLSSMKQESATAALGFICTLTYNISGKDSAAAVIHKLPGQLNYSTQLKFIISALDALQPNKRFQLPQLSMPPRLTSYEVMSEVHSNIALGNAIAGESDKFLRVDGGLSYLKKVLHMYPDCSLVRNQLGSLLLSSEDWMASHKAVRVTSLSRGYTSDRGLRSPHQIQACAAVSCYATCTSYPKFSFPTCEDQYLSGYNAICRLQRWVHLEPWNQDARRLLVLTLYQKAREEKYPKHICTILKRLILQVLSSGSNSQDNKVVQYGNYLLLLVASEVSLQSGDHGNCIAQATEALGVTSSCVDSFFAHLQLCRAYVIQGNLLNSRSEYMKCLQNRTDTEIGWVVLKQLASICSLEGTPDEIEIHLRGCVERKGSNASKWTSLFYLACAQCSVWNEDFASAEKAIAQACAEGDPDSCVLFLNGAICMDIAWRFAAPQFIARAASSLRKAQQKSLASLPIVSLLLAQAEGSLGSKAKWEKNLRLEWFSWPPELRPAELYFQMHLLATQSNAATSQQNQLVETMQTPEKWLLRAIHLNPSCSRYWTALMQLVYV >ORGLA10G0127700.1 pep chromosome:AGI1.1:10:15168416:15169249:-1 gene:ORGLA10G0127700 transcript:ORGLA10G0127700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGPTCGGGITLPSTGAPLPPLHPTPTSPTARPHHHYYLFSIKQLNSFGAAAVLAFSTTVPLSDIAFALLVIPYLVVLSVLAFPQRPGKPNPGAPVFLGRGRFLLRAHDALGFLVGAALPALYILDGLRSGDTAGVAAASPHAFLLAAQIFTEGLAAAWPGRFSLPVRAAVVVMYSARRMFAASEWLRQEMEKRDQFGGGVGGAPAVARRRVVAGRVLAVANLAYWGINLFAFLLPFYLPKALNRYYCGSDSKEDDATAAAGAIDADDAKKKDS >ORGLA10G0127600.1 pep chromosome:AGI1.1:10:15164403:15166400:-1 gene:ORGLA10G0127600 transcript:ORGLA10G0127600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFFNFNRNGPSGFSGASTAEEVTAGVDARGLVAVVTGASSGIGLETARVLALRGVRVVMAVRNVAAGHKAREAIRAEIPGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNVLINNAGILSKDCIRSIDGLELHFATNHIGHFLLINLLLENMKSTSRTTSVEGRIINVSSSGHILTYPEGICFDNVKDLSRFSTYMAYGQSKLANILHSTELARILKGDGVNISANAIHPGFVGTNLFKNWTMANAVVNTIGRIVCKTVEQGAATTCYVALHPQVIGISGKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSAAKL >ORGLA10G0127500.1 pep chromosome:AGI1.1:10:15158867:15161516:-1 gene:ORGLA10G0127500 transcript:ORGLA10G0127500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWFNRKGPSGFSGASTAEEVTAGVDARGLVAVITGASSGIGLETARVMALRGVRVVMAVRNVAAGHRASEAIRAEIPGAGIHVLEMDLSSMDSVRRFATEFEALNLPLNILINNAGIMTRNCTRSMDGLELQFATNHIGHFLLTNLLLENMKRTSSETGVEGRIVNVSSSAHFVTYPKGICFDKVKEPSRFISLIAYGQSKLANILHSTELSRVLKEDGVNISANAVHPGVVTTNLFRHRTIINALVKSIGRFIHKTVEQGAATTCYVALHSQVTGISGKYFSNCNLDTPSSQASNAELANKLWEFSSKIVSS >ORGLA10G0127400.1 pep chromosome:AGI1.1:10:15156208:15156569:-1 gene:ORGLA10G0127400 transcript:ORGLA10G0127400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFNRKGPSGFSGASTAEEVTAGIDARGLVAVITVFVSCMIFSLAIQHFLGTQLQKPNITHPQLLKPLNLHR >ORGLA10G0127300.1 pep chromosome:AGI1.1:10:15152074:15153204:-1 gene:ORGLA10G0127300 transcript:ORGLA10G0127300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNVAAGRNASEAIRAEIPGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGIKAWGCTRSVDGLELHFATNYIGHFLLTNLLMENMKSTSSESGVEGRIVNVSSWWHFAIYPEGICFDKVKNPSR >ORGLA10G0127200.1 pep chromosome:AGI1.1:10:15149233:15150498:-1 gene:ORGLA10G0127200 transcript:ORGLA10G0127200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTVTPFARPRWDEFVREIFTDRFSGIFAYPQSKLASILHSTELARILKGAATTCYVALHPQVKGISGKYFSNCNLDSPSSHASNAELAKKLWEFSSKVVS >ORGLA10G0127100.1 pep chromosome:AGI1.1:10:15142111:15144047:1 gene:ORGLA10G0127100 transcript:ORGLA10G0127100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASLPKVVMGSVAFGVFWMLAVFPSVPFLPIGRTAGALLGAVLMIVFHVISADDAYASIDLPILGLLFATMVVGGYLKNAGMFRHLGRLLAWRSQGGRDLMCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALATSANIGSSATPIGNPQNLVIAFNSKISFISFLLGILPAMLAGMGINMLMLLCMYWKELDGGACSPDEVAAGKQMEAIEEGRRTALNNNKKDDGDAATPASPEDDDGGDAESMMSENISTKHRWFMQCSEHRRKLFLKSFAYVVTVGMLVAYMLGLNMSWTAITTAIALVVVDFRDAEPCLDKVSYSLLVFFSGMFVTVSGFNKTGLPGAIWNVMAPYSKINHVTGVTVLSVIILLLSNLASNVPTVLLMGDEVAAAAATISPAAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRATRNAYDLTFWNHVIFGLPSTLVVTAIGIPLIGKINI >ORGLA10G0127000.1 pep chromosome:AGI1.1:10:15133055:15133783:-1 gene:ORGLA10G0127000 transcript:ORGLA10G0127000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRRGIAEGGHATRAAVVRCIVAAILAAIVVAVLVALVFWLVVRPKPIEYTVTSAAVRHLNVTPRDRGPDCSGPTVNATFYLNVTPRKRRPGCSGPTVNATFYLALAIDNPNRRVSMRYEDSVALRVLYGGSELELAAGYVVPGFHQPHRNETTLPVRAVARSAPCPVPVPDLVAWELEHDLAAGELSVDVEVTTGVRFIVGGVASRYYQVNVTCSPVNIGLSPSAARSFNSVPCDVEIS >ORGLA10G0126900.1 pep chromosome:AGI1.1:10:15116398:15124901:-1 gene:ORGLA10G0126900 transcript:ORGLA10G0126900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTELDRRGSSDRLRERVKFRFSGFRAVQVPVVSDRLMLSIVAVDTGKTIAKSTKAAALSGACQWPDSILESIWFSQDQVSEEFQECQCRFVVSMGSTNSGILGEVFLNLTNYLSSLESTAVSLPLKRCDSGTILQLNIQCLGAKSKTSRTNDDTECTSDGFDSMLNRTTHSLSGNDLGGSYQDEAGNRDASLSASRSYSGDSTTDRTNMPPSDNLNDELNTQRHNFASPDAIHVSADHVDEASRSNNSSFSSQTPSRNMLQGNNAQPSASDLSQLSSGVSHASKDVLENAEETIDELRGEAKMWQRKTRKLKQGLETLKKVSTDKSKQRSEQDLEKMWQRKTRKLKQGLETLKKECADKSKQQSELELELSISISEQDSLRQEIEELKRSLEEVTARQTISRSPRSGDAIELQKEVEDDVQFLKESNASLATQLNKAQEANIELVSILQELEETIEVQRAEISNLSHTSDLIDHEVSPNNLLIQEDVEWARKVSLKEDEILMLREKIDRMLHVENPNGEGSGAIYLELEKENDFLKVKIQELEKDCSELTDENLELIYKLKEVSEVAKGEDPSVPNSEEVSSEGDLSDRLTSKVKYLETKCADLELKLISFRSESSELEEKLQKSQEELKDRILELSDLRDKLSGFHATEMEEGDTDSAKSYKLKSEKLDENDNKTELDALRSTVLLKEQEIESLQHSTKEMESFISEIMNEKNKLEELLEESLKECSITAACLDEMREELLLLTSSIDSHVSTNNVLETKITELESCKVNLELHISKLEHENVELSEFISGLESQLTYLANEKELSMXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVLTLQSSLDEAKFEKGKLEGLIQSLSKECEELKAQKGMLTDKVSCMQDTLNAANEGKQIEISAQTKLVMLGDEPPVKETSDVLEAELKSELSIIRGANSEYQQKIHSLQKENEDLTRRNQLMEKELDLKTSQNKDENTNKQGNDANENGDSPVNEVPELQSKIQLLETRLAEALEENKLYRGQLKSPMPEGKSASKDGKENDDDKISQLESELKDMQERLLNVSLQYAEVEAQREELVMELKTANAKKGRWF >ORGLA10G0126800.1 pep chromosome:AGI1.1:10:15113638:15114368:1 gene:ORGLA10G0126800 transcript:ORGLA10G0126800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANPISGLPSLRLVAAGSRIGGHPPGFCKINARGSTISLQNVAVGKVSRLLSSDYWLISCSQNSNFET >ORGLA10G0126700.1 pep chromosome:AGI1.1:10:15112220:15112906:1 gene:ORGLA10G0126700 transcript:ORGLA10G0126700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXAVGPASGGRPQFNLSSGAATAVVFVSIVLCFILLCTYCRCARQRAIAGARSRVMREIRERVPGVLLLRPAAAAAALPVLPYSAAAAAAGAKKGPLVEDCPVCLEAFAGDDGVKVVPACGHVFHAACIDQWLAVRNSCPVCRCAVVCYYADRARDTAVVVDDDDDDQEVVLERVVAMIEAIREEQREEEAAARRAPASGGGGGEGLMTS >ORGLA10G0126600.1 pep chromosome:AGI1.1:10:15098091:15098216:1 gene:ORGLA10G0126600 transcript:ORGLA10G0126600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVNIGVQVDEARKHGYNSFIVYGYTLYAYISPRIWSAMPVL >ORGLA10G0126500.1 pep chromosome:AGI1.1:10:15093413:15097962:1 gene:ORGLA10G0126500 transcript:ORGLA10G0126500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53130) TAIR;Acc:AT3G53130] MAAAAAAAVPCVPFLCPPPPPLVSPRLRRGHVRLRLRPPRSSGGGGGGGAGGDEPPITTSWVSPDWLTALSRSVATRLGGGDDSGIPVASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGPRDLVVVSDPAVARHVLRGYGSRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRSVVPSLHKRFLSVMVDRVFCKCAERLVEKLETSALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAELRSTDLLPYWKIDLLCKIVPRQIKAEKAVNIIRNTVEDLITKCKKIVDAENEQIEGEEYVNEADPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRRAQAEVDRVLQGRLPRYEDLKELKYLMRCINESMRLYPHPPVLIRRAIVDDVLPGNYKIKAGQDIMISVYNIHRSPEVWDRADDFIPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAVVLQKMDIELVPDQKINMTTGATIHTTNGLYMNVSLRKVDREPDFALSGSR >ORGLA10G0126400.1 pep chromosome:AGI1.1:10:15085409:15085795:-1 gene:ORGLA10G0126400 transcript:ORGLA10G0126400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSASPEFYKPAPVFSPCSSPLRLLQQQHGEDHQEEYGYGSSGGCCRTPTGGESNLKAPGTCPPAPRKPRAPAAPCRKRLFEVEVLSLRLEELERLFWRPPPPPTTTQPQPQPQQPPQKRRRVAKLGS >ORGLA10G0126300.1 pep chromosome:AGI1.1:10:15075105:15083784:1 gene:ORGLA10G0126300 transcript:ORGLA10G0126300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF2451, C-terminal (InterPro:IPR019514), Vacuolar protein sorting-associated protein 54 (InterPro:IPR019515); Has 316 Blast hits to 252 proteins in 92 species: Archae - 0; Bacteria - 2; Metazo /.../0; Fungi - 2; Plants - 68; Viruses - 0; Other Eukaryotes - 44 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G27900) TAIR;Acc:AT2G27900] MRGDAPAASSSSSASSPPSLFGGGDGGGGGGELFGSAPGPLVFLPLLLIQGGGMDLSRVGEKLLSSVRSARSLGLLPPTPPPPASRPEVPARAAAAAAAARAIAGLPPHEKISLPSNSEDLVSIYGSNPQGHAVDELEEVFFQEEFDPIKYILQSIPAEGDSSYFDKQSTLRLAQLDKIAERLSHHVMGHHEEMVKGMQLVMELEQDLKVANVICMNGRRHVSSSKNEVSRDLVVNVKSKKKQALLDVLPILTELRNAQDMQMELESFVEKENYFQAFQLLPEYLQILENYSGLSSVQEMGRGIEAWLARTIQKLDTHLLGVCQTFHEESYLTVIDAYALMGDIGGMAEKMQSFFLQEVLSQTHYVLKEMLEEEVGNNTQRNRFTYSDLCAQVPEPKLRPCLLRTFQSLFSLMCSYYTIMSFCPGVKSIESEGENSLTGRNNTSQSADESLGDSGRGHAAEMSDRTSSSDVSNPDTSTSGTDSPFYQLRTDAAKLVAHAFERGRRNLWQLATSRLSVLLSSSVVFSTSTYQFLKNYEDLTIFILAGEAFCGFEASEFRQKLKGICLNYSVAFHRQNIYALKMVLERESWTIMSAEASRIISLAGLTGDGAALISPTSRISTLPIHGSTMADTGKEKNGFAAWTKIENPFFYKVENGTTESPKSNMMFNSVDNSSAHGSTNNGNGNKAPLDEENEDLLADFIDEDSQLPSRLAKTKIVKGNSSHWKDGDISSQTGSSLSLLRMMDKYARLMQKLEIVNVELFKGICQLFGIFYHYIYETFGNQDRGQSGKSLPDHQSFRLRVALSKITQDSDQWIKPQSISYSPSSPVSMDVMPTAPPSSMFTSYGLKERCAAAETISLVARVLNRSRAHLHSVLSQSNTSILEEFFGTMVDSVPDLAEHIHRTSARMLLHINGYPDKIANAKWEVKELGMEHNGYVDLLLGEFKHYKTRLDHGGISKELQDLLLEYGIDSIAEVLVEGLSRVKRCTDEGRALMSLDLQVLINGLLHIVSANVRPKLQIVDTFIKAYYLPETEYVHWARSHPEYSKSQVVGLVNLVATMKGWKRKTRLETIERIEAGP >ORGLA10G0126200.1 pep chromosome:AGI1.1:10:15065803:15072557:1 gene:ORGLA10G0126200 transcript:ORGLA10G0126200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04480) TAIR;Acc:AT5G04480] MWRRGSHSDAAYHLPTAAAAGGGGWAASSSAGGGGAASRRRRRPGLSCRPSHLFFALLVALFTASLLVVWQLLPIGDGGKAEEGVEGLPRPGGGGGGGVMRFSASSVALREFHGESRLEAARSERRWWPGLAPVRLALFVGTMNINAQSLMVATLAKSLKNLGYEVEVLAFADGKANDILENICHVNVVSPLSLKYIDWSKYNAVLLSSLEGKMVVSILMQEPFQFLPVVWLIHEDALGQFLRNPELHQSIPNHIEDWRTHFNACTYVVFPDSYLPLLHSALDTGNFLVISGSPVDILATKRYSSSHTQESARNQYGSKEDDVVVLVVGSYLFFDELPWDFATVLRASAPHIMDMAKTKNLGVQFIFFCGNDTDAYNSAFQELASHMGFPVGSVKHFSLTHDIRNLLVFADIVLYGSSRQEPVFPPLLLRSMASEIPIIVPNLTVITKYIIDGTHGFLFNADDPSTMVSAFAQILGEKRLSARAFSVALEGKLLSKNMLAYDCITAHVMLLESVLHYPSYAKLPSPVSKLQERTWLWDLFETKAALENSSSDDDSQLLTRIIDNLVDESHESNQTTYSDSNDTSLYNYPSLSDWNDLNEVEIFEDIERREIEEASFFPLPFPIDERVERPLLSWDEVYKNARKSERLKPEGNERDEGELERTGQPVCIYEIYSGEGAWPFLHHGSLYRGITLSKGGRRPRSDDVDAVTHLSVLDNPYYSDRLCEFGAMFAVANRIDAVHKLPWIGFQSWQAAGRKVSLSEKAEETLEETMAGENNEDVIYYWAPMDMDQTSNFWSMCDWLNAGRCRTLFEDAFRTMYGLSDGITALPPMPNDGDYWSTLHSWVMPTPSFLKFIMFSRMFVDYLHSLNVNGTDPASCLLGASQLEKRHCYCRILEVLVNVWAYHSGKKMAYLNPVTGDIREQHPLDDRNEMWVKFFNFTLLKSMDEDLAEEADDGMHAGDDQWLWPLTGQVFWPGIADREREEKYIKKLDKKLKNKVKLLERQKSGYKQKPLGQ >ORGLA10G0126100.1 pep chromosome:AGI1.1:10:15063187:15064308:1 gene:ORGLA10G0126100 transcript:ORGLA10G0126100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein [Source:Projected from Arabidopsis thaliana (AT3G09925) TAIR;Acc:AT3G09925] MAWRRTLLALVAVAAAAAAAATRADAWHNYGAAKFTVTGSVLCQDCTKSWNAYAYNAKPIPGSMVGITCLDKETGRTVYHGTDKTDDKGMFNIEVPYTVGSAHLHPSACLVRLTSSGDHGCAVFTNFNGGKTGERPCRPSHVYPGRVTYSAGPFYFTLSQCDVKDGATY >ORGLA10G0126000.1 pep chromosome:AGI1.1:10:15061028:15061651:-1 gene:ORGLA10G0126000 transcript:ORGLA10G0126000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHNIEITRGSGGQLARAAGAVAKLIAKEGKSATLRLPFGEVRLVSQNCLATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGKAPIGRKKPTTPWGYPALGRRTWKRKQKXXXFYSSSPY >ORGLA10G0125900.1 pep chromosome:AGI1.1:10:15060700:15060915:-1 gene:ORGLA10G0125900 transcript:ORGLA10G0125900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILLAMVGHTIAIHNGKEYIPIYITNPMVGRKLGEFIPTWHFTSYESARKDTKSRC >ORGLA10G0125800.1 pep chromosome:AGI1.1:10:15059538:15060109:1 gene:ORGLA10G0125800 transcript:ORGLA10G0125800.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMGEERERESTSLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISAPVVAATTVFLIYPIGQGSFFDGRA >ORGLA10G0125700.1 pep chromosome:AGI1.1:10:15056122:15056919:1 gene:ORGLA10G0125700 transcript:ORGLA10G0125700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transmembrane protein G1P-related 1 [Source:Projected from Arabidopsis thaliana (AT3G51790) TAIR;Acc:AT3G51790] MASSRLLSSRRLLPALLHTPSPVPIPRAAAAGEVGGTPVASFLRRPARFFSSAARRGPARPRATDIGARARQLQSRRLWTYALTFGCAAGFVVTVLATFQDQLVFYLTPTDALARYATDRSKSRVRLGGLVLEGSVAHPSASSSEIEFVVTDLITDVLVRYEGALPDLFREGHSVVVEGFLKPFTDDLRRDTAGRKVSDKARDCECFFSATEVLAKHDEKYMPKEVGEALERNKKKLEEEAAAAAAASQESATAAVALDGAKSSS >ORGLA10G0125600.1 pep chromosome:AGI1.1:10:15052882:15054795:1 gene:ORGLA10G0125600 transcript:ORGLA10G0125600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVSYVSAAKLLAMARGNPRVAIIDVRDEERSYQAHIGGSHHFSSRSFAARLPELARATGDKDTVVFHCALSKVRGPSCAKMFSDYLSETKEESGTKNIMVLERGFNGWELSGQPVCRCTDAPCKGTCSPEEPEL >ORGLA10G0125500.1 pep chromosome:AGI1.1:10:15047649:15051846:-1 gene:ORGLA10G0125500 transcript:ORGLA10G0125500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGDEEGEQGLRLGGVGDGADGEEEVARGVVAPQVLELSSSSSGEEGGSWEEEESQGSVEVTRGGGGDREARVSESRDSAEVNGGEAESQSLPGCPICMNAWTADGAHRVSCIPCGHVYGRSCLERWLLQCRKKPATCPQCGRRFKLNNIINLYAPEISVPNNDLEKQVLSLREKNVSLEKQNQELVQEINEHKRQIILQQNFINESSLKRKKMADQSSHGTTDADSVASLTADDGHSSPCSFVLQNEFFLDGARVMGIDASSQIILTSGRAPGIGAEHVLTKLSMSRQGLQKIHLPSDTKAIRDICILLGGHVVFASLGKKLSLLSMTTDSVVLHYDLPAPGWSCSGDQNSPNHIYAGLQNGMLLTFDIRQTVAPLHSMMGLSTHPVHTIHSVVDGGGSRKVISASSIGPCIWDVDGSRNRPDLLNGMENHGVCISLACNPPSSDLLVASFRPKVELSDDGTSQAGKSQSPTPSASGKLGCHALIRRTSNTSFARDQICRGNVSGLRMSKSAIIPCTGRSNQQHLFAYGDESLRGVRTWRLPSLQTFADLRPHRQPILDLRFAESSSTGERYLGCLSEDRLQVFRVR >ORGLA10G0125400.1 pep chromosome:AGI1.1:10:15044717:15046495:1 gene:ORGLA10G0125400 transcript:ORGLA10G0125400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1QVM1] MARPGSGNIPGSACIPLLILLLLLLLLHPSEAQPSPGYYPSKMFRSMAFYEGYSTLWGPQHQTLSQDQKSLTLWMDRSSGSGFKSTRSYRNGYFGASIRVQPGYTAGVNTAFYLSNTEQYPGHHDEIDMELLGTVPGEPYTLQTNVYVRGSGDGNIVGREMRFHLWFDPTAGFHHYAILWNPDQILFLVDDVPIRRYEKKVEGTFPEREMWAYGSIWDASDWATDGGRYRADYRYQPFVSRFADLKVGGCATAAPPACSPVPASSGGGSAALSPQQEAAMAWAQRNAMVYYYCQDYSRDHTFYPEC >ORGLA10G0125300.1 pep chromosome:AGI1.1:10:15040844:15044534:1 gene:ORGLA10G0125300 transcript:ORGLA10G0125300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGCSNGEAAAPAATWTPPYCTVVAADMSDFCYLSCPRCERALPDHADACAACTGRGGGGGPVPARVYRLRASVATHDRVVPVVLFDRAARVLVGCPADELARFFAAHAGAARAAEEALEGEACRVAMRAFAKGAAERFRAVSVVPLRDGFRPLIDTLRELYCTADPTPATSPPPRLETTVCFYLYVMVKRKKVVTDLSRRKIRTESIDLAQLVEATMDFSKWTITLDFDVTEERFRNEFSSNSKVPAIALIADFTCFHLHKFKASRISVGCRINLPILNSQLNTSCVRGCLELRAAQRCKRHEADQSHSDAPRTLCTVQHPLINRSIQHRRLCGALNLMIDPPVLLVTMKAMCQRETMQNCISTLDQFSSASRMGATSCSAFMPAVFSFIQISQFLSLP >ORGLA10G0125200.1 pep chromosome:AGI1.1:10:15036489:15038971:-1 gene:ORGLA10G0125200 transcript:ORGLA10G0125200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT1G75560) TAIR;Acc:AT1G75560] MSSRSPPPKDRRIRTERTSYRDAPYRRDSRRGPSRFPNDLCNNCKRPGHFARDCPNVALCHACGLPGHIAAECSSKDLCWNCKEPGHMANSCPNEGICRNCGKSGHIARECSAPPMLPGEMRLCSNCYKPGHLAAECTNEKACNNCRKSGHLARNCPNEPVCNLCNVSGHLARECPKSDAINERGGPPPFRGGVPPPFRGGYSDVVCRACNQVGHMSRDCMAGAFMICHNCGGRGHMAYECPSGRLMDRFPPRRF >ORGLA10G0125100.1 pep chromosome:AGI1.1:10:15033076:15035622:1 gene:ORGLA10G0125100 transcript:ORGLA10G0125100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAALGRAMTAAESLWFRYSAGIPDYVLFWHNILFLFVVFTLAPLPVALLELRAPAAVGPFKLQPKVRLSREEFFRCYRDVMRLFFLVIGPLQLVSYPTVKMVGIHTGLPLPSLGEMAAQLLVYFLVEDYLNYWIHRLLHGEWGYEKIHRVHHEFTAPIGFAAPYAHWAEVLILGIPSFVGPALAPGHMITFWLWIVLRQMEAIETHSGFDFPFNLTKYIPFYGGAEYHDYHHYVGRQSQSNFASVFTYCDYLYGTDKGYRYHKAYQAKMKALGQTEGEKADSNGLSYAKLD >ORGLA10G0125000.1 pep chromosome:AGI1.1:10:15026510:15030162:1 gene:ORGLA10G0125000 transcript:ORGLA10G0125000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAATPVLLLLRRSATILLPRSRSPRASACVLAPQRRRGRRWRLLRSSPPPEGVPGELMEEEEDSKFVPLNAEDPMYGPPALLLIGFEEGETNKVQEFLRELDGEFLKVIHCTEEMTKQTLWDAMHTEQPSIEAVKIAKSMPRICIFSGLTGEEMMMFINSYPETGLEPAAFAALVPNSADKILGEVIEEIMGDHEMLWMFDDSRAQPLEIYKFVMQNIEHEGWSLVRRIAREPSERDSHRFVVNPRRIEYERMYMFGDAPNKGLVWLICGPSGRLEICVYKRPVRLAGIAIVRSRCCCSCKLNLLFVLAAQQ >ORGLA10G0124900.1 pep chromosome:AGI1.1:10:15023422:15026161:-1 gene:ORGLA10G0124900 transcript:ORGLA10G0124900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSGGRKLPFFASNASTSSSTKRTRSARRLPSLTRPRASSSPSPASPSPPPPSASHPAPPSPPLAVSPAGAGKVGKKKAGARLWMRLDRWGVSETLHLDKGSIIRRAGLPPRDLRILGPVFSDSSSILAREKAMVINLEFIRAIVTADEILLLDPLTIDVIPFVEQLTHHLPLKNLVCGNGQPGGDDHGEKHDDSPGDQLPRLNEATGAEHELPFEFQVLELALETVCSSFDVNVSGLERRATPVLEELTKNVSTRNLDRVRTLKSDLTRLLAHVQKVRDEIEHLLDDNEDMAHLYLTRKQLQNQQVEALISSAASNSIVPGGTSLSRLNNSFRRSVSIATSMHLDNDVEDLEMLLEAYFMQLDGIRNRILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFGIAVNTFIAGAFAMNIQSKLYSIDDGSFFWPFVGGTSSGCFMICIVLLWYARWKKLLGP >ORGLA10G0124800.1 pep chromosome:AGI1.1:10:15018270:15021629:1 gene:ORGLA10G0124800 transcript:ORGLA10G0124800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAMEYLRSCWGPASSPAGRPRKGSDAAGRQDGLLWYKDAGQLVAGEFSMAVVQANNLLEDHSQVESGPLSTTDPNLQGTLVGVYDGHGGPETARYINDHLFNHLRGFASEHKCMSADVIRKAFRATEEGFFSVVSSQWSMRPQLAAVGSCCLVGVICAGNLYIANLGDSRAVLGRLVKGTGEVLAMQLSAEHNASFEEVRRELQAAHPDDPHIVVLKHNVWRVKGIIQITRSIGDVYLKKPEFNREPLHSKFRLQETFRRPLLSSEPAIVVHQLQTTDQFIIFASDGLWEHISNQEAVDLVQHNPRNGIARRLVKAAMQQAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFFDSNAITTANWSRPSVSLRGGGVTLPANSLAPFSVPT >ORGLA10G0124700.1 pep chromosome:AGI1.1:10:15003142:15005922:1 gene:ORGLA10G0124700 transcript:ORGLA10G0124700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPSSSSSSPVAPEVVQWAGGTDGFGSAAIGFACRVLCAVATCVFAAVGSVVGAVTGSAIGLATESGMLRGAGIGAISGAVFSIEVAESSRDLWHSSDSAVWCLVYMVDIISSLLSGRLVREKVGPAVQSAVQSQLSECYVCLQISAISSPFAETSDLFETGGTKGLPADTLRRLPAIKITGENAVDSAGEPICCSVCLQDFRVGEMARRLPSCRHVFHVPCIDCWLVRHGSCPLCRRDI >ORGLA10G0124600.1 pep chromosome:AGI1.1:10:14985076:14987807:1 gene:ORGLA10G0124600 transcript:ORGLA10G0124600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPWKHPTTARHGTADLCLREVGLLLPRRFARRAAASEDLVMRLQVHRKLNRHTGCVNTVGFNADGDTLISGSDDQMVMLWDWDTGAIKLQFHSGHSNNVFQARFMPYTNDQTIVTCAADGEVRLAKIGDGGDVPTTLLGDHDGRAHKLAIEPGSPYIFYSCGEDGLVQHFDLRTNTATKLFQCRNSLSKSGHSSNIHLNAITIDPRNPNLLAVGGSNCYARVYDIRKYKWDGSSDYPSDCYCPPHLIGNRFVGITGLAFSHQSELLISYNNENIYLFPKNGGLGPDPKSSVKIEANKGSKSTIVSSGEDVDRPAPLMYAGHRNCETVKGVTFIGPNHEYVASGSDCGRLFIWRKKDGKFLQAMEGDECVVNCIEPHPHTMTIASSGIDNDVKIWTPTATERAPVVNIEELKPRKRRTKLWHFSLPEELIFHVLASRRRRQAAGDDDSSEDLEDSTGLLNLVLRAAERDVLSDEDEESSEDSGDCSLNXSMAQPWKHC >ORGLA10G0124500.1 pep chromosome:AGI1.1:10:14976434:14978470:-1 gene:ORGLA10G0124500 transcript:ORGLA10G0124500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMDDDGSSSLLEELMAPLRRGTPTTTPEDLWLQAYPMMMSPMCGDGVMLGDLLVGGGNARNTLASPPPPPPPPSFPLPVPLTTTTPCPPLHEVSFEFDSIDCLGEVCNPYKRSGGAVRATAAAQVMVAAMDPRREAASSAVAVAAVEEEERCKARRGAGGGGDSGELAPMFVFGGGGGAAASVRPRSCRPPQPGAPSKNLMAERRRRKRLNDRLSMLRSVVPRISKMDRTSILGDTIGYVKELMDRIKNLQVEAATGDSSSSSTENLSMLKLNTLKPPPSSSSGEETPLIRNSTRFEVERRENGNTRIEMACAAIPELLPSTLAALEALGVEIEQCVISCFDDFAMQASCLQDDKKREMTRDTEEIKQTLFRSAGYGDGCLI >ORGLA10G0124400.1 pep chromosome:AGI1.1:10:14972891:14973557:1 gene:ORGLA10G0124400 transcript:ORGLA10G0124400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTADGGGGSGGHGVRAAPSCLLVDVLQVEADSFPITGGGQRRSGRTFCWWRQTPSPCWWRAMAQRRFVEAVAGIDGSGDGGRDCGSGGDIGGGEGVGHGGLGRLAEGVGDDCFWSARHRLVEGSETGLAQRSAADGSGGRLGARGTGGGDGGRLGARGAADGGRPDWRERRVRWRRPTWRREAQPAVEEAT >ORGLA10G0124300.1 pep chromosome:AGI1.1:10:14963119:14964235:-1 gene:ORGLA10G0124300 transcript:ORGLA10G0124300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVKVFGSPSSAEVARVLACLFEKDVEFQLIRVDSFRGSKRMPQYLKLQPHGEALTFEDGNVTLVESRKIIRHIADKYKNQGNPDLIGMGALERSSIEQWLQTEAQSFDVPSADVVYSLAYLPAATTQPNKGAAAADGGRCEEEKNDDGGRDRQYSSQRQGGAGAGGGRDGQMAAAHRQKVEEMKQLFEKSSKELSKVLDIYEQRLEEAEYLAGDKFTLADLSHLPNADRLAADPRTLRMLQSRRNVSRWWADVSGRESWKQVKSLNRPPSAEAPF >ORGLA10G0124200.1 pep chromosome:AGI1.1:10:14960014:14961000:1 gene:ORGLA10G0124200 transcript:ORGLA10G0124200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHVAAPNRPMDRPDTESASASHRAIWLDSVSISVRIPIPPRVATAAAATGFLAKPPPPLAPPVMSSSSSSSSQGGGRRRPMSRALIEQHRPWDVVDNMALIIIDQTYAAALGIPGRREMGDGSVEVSSAVDADDPDSPVLTINASATRCCVAFDTLRRDAVPYKKFTSPKRKTRPDHRLARTIVSLSPATLYLSHRSDCAGGDSDSKDYWSCSEVAPDVAAGGALAILDTIMLRLEAAIHLEENILVNAMEFNCGTSSVLEVVAETRNALEEMRREMDLPAMMQRRLHKRRHVVVGDAAAAAAAAADHDDESAEKVFKKFKTMRCR >ORGLA10G0124100.1 pep chromosome:AGI1.1:10:14948156:14949985:1 gene:ORGLA10G0124100 transcript:ORGLA10G0124100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSLLLAGVKAALVVLAGVALYSPEGFSPAPMPPEYSYGAPVSAPRHEPRALAASERVGEGRLPAPEDLAYDAAGGWLYTGCGDGWVRRVSVSSGDVEDWARTGGRPLGVALTADAGLVVADADIGLLKVSPDKAVELLTDEAEGVKFALTDGVDVAGDGVIYFTDASHKHSLAEFMVDVLEARPHGRLMSFDPSTRRTTVLARGLYFANGVAVSPDQDSLVFCETVMRRCSRYHINGDKAGTVDKFIGDLPGFPDNIRYDGEGRYWIAISAGRTLQWDVLTRSPFVRKLVYMVDRFVVAVPHNLKNAGAMSVTLAGEPVSMYSDPGLALTTGWLKVGDYLYYGSLTKPYLSRIDLAKSPAEKAQE >ORGLA10G0124000.1 pep chromosome:AGI1.1:10:14943697:14946193:1 gene:ORGLA10G0124000 transcript:ORGLA10G0124000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTTTRRFVQLAACAVAVLLAVAASGAAAQGVGSVITEAVFNSMLPNRDNSLCPARGFYTYDAFIAAANSFLAFGTSGGSAELIRRELAAFFGQTSHETTGGTRGSSDQFQWGYCFKEEINKATSPPYYGRGPIQLTGFFIIHTYMFLNFATSLAVYYPYSINYESHAIELPSSRERAGAGPGRRPDLVSTNAVVSFKTAIWFWMTGQYNKPSSHDVILGRWTPSAADTAAGRVPGYGVITNIINGRFECDVGQNDANVDRIGYYKRYCDMLGADPGSNLDCYNQRDFDSQPS >ORGLA10G0123900.1 pep chromosome:AGI1.1:10:14935647:14936646:-1 gene:ORGLA10G0123900 transcript:ORGLA10G0123900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNDETAIRARILSYASGGGGLQPAEAVLQPPSYTCRFRRPTPAASATASAHGSPRPQLAIAAASACLSRRPPLATAHRLRSPRPASFASPHLTVVRRRSLQLVASHARGVPSAVAASKERVWGGGCSPGGGREMSNVTWVAHGWWAGLRTLTSGIRAXGLEFRTISMGHMVGRPGPGPKVLAPVGPVVKRAQCDLGGPRLVGWAAHSNTERVDREAVGASNDFDLLNQMDSLL >ORGLA10G0123800.1 pep chromosome:AGI1.1:10:14932880:14934505:1 gene:ORGLA10G0123800 transcript:ORGLA10G0123800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTRFVQLAACAAAALLAVAASGAAAQGVGSVITQAVFNSMLPNRDNSLCPARGFYTYDAFIAAANSFPAFGTSGGSAELIRRELAAFFGQTSHETTGGTRGSSDQFQWGYCFKEEINKATSPPYYGRGPIQLTGQSNYQAAGNALGLDLVGNPDLVSTDAVVSFKTAIWFWMTAQGNKPSCHDVILGRWTPSAADTAAGRVPGYGVITNIINGGIECGVGQNDANVDRIGYYKRYCDMLGAGYGSNLDCYNQRNFAS >ORGLA10G0123700.1 pep chromosome:AGI1.1:10:14927019:14931475:1 gene:ORGLA10G0123700 transcript:ORGLA10G0123700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSSLRVGSHAPEKPPRRARPPPPPPQPHHPRRPSFTLNAHQAAASSSAASAAPAPAFAEFSLAELREATGGFAAANIVSESGEKAPNLVYRGRLQGAGGGGRAIAVKKFGKLAWPDSKQFAEEARGVGKLRHRRMANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENKAIEWAMRLRVAYNIAEALEYCSNEERPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVVFSFGTILIDLLSGKRIPPTHALDMIRSRSIQAIMETNLEGKYSIEEATTLVDLASKCLQYEPRDRPDIKKLVSILQPLQTKSEVPSYVMLGVPKPEEVPKAPPAPQHPLSPMGEACSRMDLTAIHQILVSTHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFRDKNFKQAIDCYTQFVDVGTMVSPTVYARRSLCHLMCDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDSLDMLNEASQLEEKRQKSIKGP >ORGLA10G0123600.1 pep chromosome:AGI1.1:10:14917170:14918105:1 gene:ORGLA10G0123600 transcript:ORGLA10G0123600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFRRTLSTLTSPKAVAPSFLLDCARPKKLSYARVRSTSLPVRLHPLVAGLHDAARALLKWADAPAQTGPAWVADGADRAGKVLAGLADLLHHPQAQDALRRPWTEQLLDDLLLLADLHGCFRESLVALRQLLAETHAALRRRDGSRLAAALRAQRRSAREVSRLASSARDLSHRAAPGDDADEATLADAFAAAASSVAAAAAAVFAGVSSASAESAASAAPSPRTPTPYSPARTPASPMWLVTDLLRRRRTVSFEDYCNEEEEERKAAMARVRGLEECVAAAESGCEEVYRALVNARVSLLNLLTPTF >ORGLA10G0123500.1 pep chromosome:AGI1.1:10:14905842:14907208:1 gene:ORGLA10G0123500 transcript:ORGLA10G0123500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRCCSSMASASVVLFFVVVGMSASMVSGCDRCVRRSKAGFRDSSIALNAGSCGYGSLAASFNGGHLAAASPALFRGGVGCGACFQVRCKDSKLCSTAGAKVVVTDEARSTNRTDLVLTAAAYAAMALPGMAAQLRTRRAVDVEYKRVPCEYAAGRNLLIRVEEKSRPPRELSIRFLYQGGQTDIVAVDVATVGSSNWKFMTRDYGPAWSTAQAPAGPLQFRVVVTGGYDGKWVWAEGEVLPRRWTAGRVYDVGVQIADVAQEGCYPCDTQEWK >ORGLA10G0123400.1 pep chromosome:AGI1.1:10:14896060:14900505:-1 gene:ORGLA10G0123400 transcript:ORGLA10G0123400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGEAGGGDGGESSPAAAAAAAAAVAGAAALHIRCANGSKFTVRADLDATVGAFKEVVAGSCDVPAAQQRLIYKGRILKDEQTLESYGVETDHTIHMVRGAGPPAGSAAPAAASPQASAAPSSGPTDGLGSLFPGLGGTGTAGSRPSGLFGSGFPELDQMQQQLSQNPNLMREIMNMPMMQNLMNNPDLIRNMIMNNPQMRDIIDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNTAPNPFSALLGNQGSNQPRDPATNAPNTGSESTTGTPAPNTNPLPNPWSSNAGGAQGATRAGSTGNARTGATGGLGGLGSADLSSLFGGLAGNTGTGATGGLGGLGSADLGSLLGGSPDSSSLSQILQNPVMMQMMQNIMSDPQSMNQLLNFNPNTRNLMESNTQLREMFQNPEFIRQLTSPETMQQLLSFQQTLLSQLGQNQPRQDGSQGGNATGMRGNVSLDTLMGMLSGLGAGGGIGVPNTSNVPPEELYATQLTQLREMGFIDTAENIQALVATAGNVNAAVERLLGNLGQ >ORGLA10G0123300.1 pep chromosome:AGI1.1:10:14894631:14894982:1 gene:ORGLA10G0123300 transcript:ORGLA10G0123300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDDKCGCAVPCPGGTGCRCASSARSGGGDHTTCSCGDHCGCNPCRCGRESQPTGRENRRAGCSCGDSCTCASCGSTTTTAPAATT >ORGLA10G0123200.1 pep chromosome:AGI1.1:10:14891362:14892725:1 gene:ORGLA10G0123200 transcript:ORGLA10G0123200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAWTNLPGEIMELIADKASDALTGRALSRSVCRSWRAAVPETPRLLLPAAAARGAGDEYALVFPLSRGWSVVVDVRDTSCRLSHLATGATAPLPRLNAVRATAGSRVVPPGARRRLAPADAAALGGSDEPGVEAELPAARHGARRHRRRTVQDQDQVSLVFHVPRIRPPTLAPLRRPCPGGGEHGRHADHDVPPGSGDTGMVFCRPGDAAWTKLDNHIDDDKHVYNLVEFAYLDGKVFAMDRGGTTAVIDAATLEVLDLVDAPPGTRNVSNKLLGTANGDDTVMSLDYLHLVALPSKLLVVRVRVNKSSSEPEGFDVFELGRQDHRDGEGKLAWREVAGDDVGGNYDLFLDDHHATFGGGGGGAGGDSGSRIYYVHDGKEAYCYSKRHGELECVYSSPEGSEEQCSTMPSWFVP >ORGLA10G0123100.1 pep chromosome:AGI1.1:10:14888681:14888926:1 gene:ORGLA10G0123100 transcript:ORGLA10G0123100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-related protein 3 [Source:Projected from Arabidopsis thaliana (AT1G11980) TAIR;Acc:AT1G11980] MSMITVKVKTLTGKQVEVSIEATETVARIKEQVEAAEGIPPPQQTLIYGGRQLADDMTAEMCDLRHGSELHLVLALRGGLL >ORGLA10G0123000.1 pep chromosome:AGI1.1:10:14880057:14882031:1 gene:ORGLA10G0123000 transcript:ORGLA10G0123000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVQLWSDWEIQLLMLLSFTLQMLLFFTGGLRRCSTKALVRFCLWIAYLGADMVALYALGYLSRHQDVIIGGSTLREVHPLSFLWAPFLLMHLGVQDTITAFAIEDNNLWLRHLLNLGVQVALTLYVFWKSVDRHNVHILIPGIFLFVAGIINNIGWPKERPLWSNSMGQYNFLSYLGCDESRLSKLVKKVIRKMGSLVGAGEEAGTSLWMSKLLDTKYVTVDKEIMQCVIHLIYNYSPFGPASTDDQRWPNLEVHLSNYSLHEVLASVCRKLSNYMLYLLVRHPEMLPVSGTAEPTLKFFLGSITYRNDHYKNRTLKRARDRLQIQEPADMGIKTLEEIRDMWAMLLIYSAGKSKANMHAAQLSKGGELLTFAWLLMAHVQLGDVGEQFEFLFGSVPGPESKEDNRDLQWRSQDLTTPRAQIEGTIHDNSYAEVQSTDKKNCKTEITEQNQA >ORGLA10G0122900.1 pep chromosome:AGI1.1:10:14869495:14872706:-1 gene:ORGLA10G0122900 transcript:ORGLA10G0122900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 34 [Source:Projected from Arabidopsis thaliana (AT5G23210) TAIR;Acc:AT5G23210] MKVQTSSPCLLLLLGSLALVTLTVCGPAASARPETGSLDASATAAMELQELDRVMSLPGQPAYSPEFRQYSGYVTTDEYLGKALFYWFLEATDKPDEKPLVLWLNGGPGCSSIGFGQAQELGPFLVKKDVAELELNPYAWNQAANLLFLDSPAGVGFSYTNTSFGKDPPGDNSTAHGSYTFLIRWFQRFPQHKMKEFYIAGESYAGHYVPQLANVIVDQNKIAPKENYINLKGIMIGNAYMDGDTDLLGIVDSAWHHALISDKLYSDFQKFCNFSLVDLSKECNAAIDQFNALYSIIDIYSLYTPRCELGYPNFNSSFAAQIGRTSSRFDFLKIPMGYDPCSQTNSINRAWNDSDMTVLPIVKKLTQSGLRIWIYSGDTDARIPTTSTRYTLKKLGLPIKEDWSPWFHHKQVGGWSVVFDGLTFVTVRGAGHMVPSIMPEQALELFKYFLANQNLPSKPF >ORGLA10G0122800.1 pep chromosome:AGI1.1:10:14859574:14864070:-1 gene:ORGLA10G0122800 transcript:ORGLA10G0122800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRERIEGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQHKATPKRVLQLMGVGGLTISHVKSHLQMYRNMRNDDLGMQGIQQMDDQEQTFAGGMQIWTDMQLQDHHHECNGPYCRCHSSSKHAKGSLLLLHHHQQQQQLQRPNEMETRRAEASTQTGFLRSQGICERDVSSGLPVPAAYYSYYTPMAHGAPPAAADGAGHDDPPRLLGLVVMATTTRRGSREEHKATPPPENGAIRHGRKARRTTAAAEEEERDGDGDELSLSLTLDSGLSCRSSGGAGAYCCSEGSSSNWLISSPSSTTSLVAGGCSRRSTPAMLSSVVSLDLSL >ORGLA10G0122700.1 pep chromosome:AGI1.1:10:14855031:14855624:1 gene:ORGLA10G0122700 transcript:ORGLA10G0122700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSHVPHLNGRTLLVANAGDCRAVLGKRGRAVELSRDHKPSCRSEKIRIENLGGTVFDGYLNGQLSVARAIGDWHVKGSKGSISPLTAEPEFQEVRLTEEDEFLIIGCDGLWDVMTSQCAVTMVRKELMTHNDPERCSQELVQEALRRNSCDNLTVVVVCFSSDPPPQIEVPRFRVRRSISMEGLHMLKGALDSNA >ORGLA10G0122600.1 pep chromosome:AGI1.1:10:14840765:14845222:-1 gene:ORGLA10G0122600 transcript:ORGLA10G0122600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:I1QVJ3] MSGSGGGGGGGAGGDGARALDQTPTWAVAAVCAVIVAASILLEGLLHHLGQWFSKRRKKALFDALEKVKSELMTLGFISLLLSVTGRYISRICIPVGAADTMLPCSLRRSSSEQEVPGGGHGRRHLSGDPTNFKCAKQGMVSLVSADGLHQLHIFVFFLAVFHVAFSAITMSLGRAKTRIWKEWEKETCSLTYEFSYDPSKFRLTHQTSFVRQHASCWSKSTILLYFVSFFRQFFRSVRRTDYLTLRHGFIAAHLSPGTRFNFRKYIKRSLEDDFKTVVGISAPLWASALAIMLFNVHGWHNLFWFSTIPLVVTLAVGTKLQAIIAMMAVEIKERHTVIQGMPVVKLSDEHFWFGKPRLVLHLIHFASFQNAFEITYFFWIWYEFGLRSCFHDNFELIIARVCLGVVVQFMCSYITLPLYALVSQMGSQMKRTIFDEQTAKALKKWHKAAVVKKKQQKGSSHEPGSETPGTETTTTTTTATEESQRERDAAALPVRHLHRYKTIAHVGATGTLSDSDCSDTDTPFASPTRLLIPPTKQRSLDSGRAEVRVNVDVDATPTQTTERHDSFSFPRLPAHNLQQK >ORGLA10G0122500.1 pep chromosome:AGI1.1:10:14838285:14839711:1 gene:ORGLA10G0122500 transcript:ORGLA10G0122500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNCVRLRRNYVSYYADTDEETREQLKQFWDDEFNAISSGETTIERDLKWMRKEVIEAFETYSEANVVDYELEDLSRQCLIFDDCGQPYHHYNFTMKSKRPDSDLQSSTNCPDSDLESSTNLESCISRHYFAEVKLMDGKKHYFCCPLESFDNGHCHGCRNSGIDLKHPSNGGYEEGNADSGFSFDDDEFDAISEETTKEKGIEWMREEVIEAFETYSDANVVDYELEDLSRQCLIFDDCGQPYHHYNFTMKSKRPNSDLESSTNCPDSDLESSTNLESCISRHYFAEVKLMDGEKHYFCCPLESFDNGHCHGCRNSGIDLKHPSNGGYEEGNADSGFSFDPI >ORGLA10G0122400.1 pep chromosome:AGI1.1:10:14819068:14822634:1 gene:ORGLA10G0122400 transcript:ORGLA10G0122400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLICSESSDDKNKCGDELINYILSWSWTTCIESNFENPQESAASSYAKSLLEPLVEETVAAVQSASRSCVLHLNSRXLISFLLLERIYHSWIXIFRRLLLTTRRGVISFYCAQNFLGTLVSYAMMKQYLLWQLAQIFMTHFREVSMXRFIRKLKRQKFNHALFLCNILMNIQIWESLNAVILYDCPIINDLLTPPSMVVANASLTCCNEKLADVLMSQKITLDEVQLEAAKAIYSAARCKHSRSIHVISGAHGTGKTKIVFSAVASLLSVSEKVIVCVPNAQSFSAMCSDFVEMAESHMDEELGVCLGDILVLSNETGLEDNVQQLTIITRVKEVLPLMIWHDMMKALREPLAAFKEKYAASAKKHDCQPSAKKLLSSLVFEKARFLLICLATLRKHFPKNMFSEQVRKRIKSLIKCVSKLENLLKDKDLKEYDVHVAFGILSGKRETISVLAKDLRQTKNHCVTALDDLLTRLDLPFNKDCIWLRNYFISNAKCVLCTPLSTFDLQLSAGSIETLIVDNADQIRDYDLILPLTLRDVKNIVLTGDPSKVKEGSFFQRLLSVGFSVFELRRQYNLLDDKERHEADGQKTLPEALNDKIKAEELSLTIGSPKQLYSEFTWLHCPYNQKYILPQLRDQKGHPHCTVHASLAALEFKYKYKAASENPPHDFSSKFCTKHMLNVYAAKYGELGSERESTRGSKRLSNVLDILQNDGAKREAMMGKQVYKISSYACTYKIGKDTECLQETIDHLKIGDISIGSFKVSENLRFFKPGEVYIYNPEKPVLTSTANQPSAHCVLVIGGGGCPNDSTAEPVLACNMQFMIQNSYGKGFGELGIGRVRGDSFSTMHRISL >ORGLA10G0122300.1 pep chromosome:AGI1.1:10:14818348:14818683:1 gene:ORGLA10G0122300 transcript:ORGLA10G0122300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLILSFPSLFPPSPSRGPHSPRRSPPSSAPRRIVDDCGGSVDSAAVEEGEAAAEGAAVEEEAPDPVVSGVGGSRSGSGGPRKLRQDPGSSSTAGAAATEQQRSGGDDVLGL >ORGLA10G0122200.1 pep chromosome:AGI1.1:10:14796453:14808063:1 gene:ORGLA10G0122200 transcript:ORGLA10G0122200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVGAGRSRSRRDPSDRPTRSGQFLIRALLRGDLEERTADARFIPLTPIMEDLFFSGIEEIPEDTSVHHQQTDEPPPSYNTIRRGEGTLMAELREATEQLRLSLYANTDEETRAQMKQFWDEEFNAISEEATIERGLEWMRAEVVEAFNTHSGANVVEYELEDISHQCLIFDDCAKSYHHYNFIMKSKGPDSYLEGSCRFFAEVKVVDGERHYFCCPIQPDDDGHCHGCQNSGIDLKHPSDGGYEEGNADSGFPFDPI >ORGLA10G0122100.1 pep chromosome:AGI1.1:10:14788331:14790376:-1 gene:ORGLA10G0122100 transcript:ORGLA10G0122100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25060) TAIR;Acc:AT3G25060] MHLPVFLDDPRRLLSSSAAASASARTLARLHALLIVSSSATHTLISSLAAAYARAGDLAAAESTLTATAASSSIAAWNAIIAAHSRRGSPASALRVFRALPPAARPDSTTFTLALSACARLGDLRGGESVRDRAFDAGYKDDVFVCSSLLYLYARWGAMGDAVKVFDRMPRRDRVTWSTMVAGFVSAGQPLDAIQMYRRMREDGVKGDEVVMIGVIQACTAARNVRMGASVHGHLLRHGMRMDVVTATSLVDMYAKNGLLDVACRVFGLMVHRNDVSWSAMISGFAQNGQSDEALRLFRNMQASGIQPDSGALVSALLACSNIGFLKLGRSVHGFIVRRFDFNCILGTAAIDMYSKCGSLASAQMLFNMISDRDLILWNAMIACCGAHGRGQDALTLFQEMNETGMRPDHATFASLLSALSHSGLVEEGKLWFGRMVNHFKITPAEKHYVCLVDLLARSGLVEEASDLLTSMKAEPTVAIWVALLSGCLNNKKLELGESIADNILELQPDDVGVLALVSNLYAATKKWDKVRQVRKLMKDSGSKKMPGCSSIEIRGTRHAFVMEDQSHPQREEIVSKVAKLDLEMRKMGYIPRTEFVYHDLEEEVKEQQLSYHSERLAIAFGLLNTGPGTRLVVIKNLRVCGDCHDAIKYISKIADREIVVRDAKRFHHFKDGVCSCRDYW >ORGLA10G0122000.1 pep chromosome:AGI1.1:10:14784510:14785286:-1 gene:ORGLA10G0122000 transcript:ORGLA10G0122000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPTPTRRLLQSVGGGSNPNRIPGIPPADPPSGGVSSDVVVILAALLCALICVVGLAAVARCARNRRNSGGAGAGAGSASPSHSPAHAGHFGGGGSGGGGVTTTTTTTTAATTAAKGLKKKALKALPKLAYADAVAAAAAARGTVVGEEEEGKVEVLAECAICLSEFGEREEVRVMPQCGHGFHVACVDTWLRSNSSCPSCRRPIVLDDPSPPKRCRKCEAIVLEAVVAASSSSSSAATATGSGGGGGGGGGRFLP >ORGLA10G0121900.1 pep chromosome:AGI1.1:10:14777751:14781235:1 gene:ORGLA10G0121900 transcript:ORGLA10G0121900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLQSEPLIEGLIVAMSLIGATIITTFSGAVADSFGRRPMLIASAVLYFVSGLVMLWAPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFGMSLMPQPDWRIMLGVLSIPSLIYFALTIFYLPESPRWLVSKGRMAEAKRVLQGLRGREDVSGEMALLVEGLGVGKDTKIEEYIIGPDDELADEGLAPDPEKIKLYGPEEGLSWVARPVHGQSALGSALGLISRHGSMVSQGKPLVDPVVTLFGSVHEKMPEIMGSMRSTLFPNFGSMFSVAEQQQAKGDWDAESQREGEDYGSDHGGDDIEDSLQSPLISRQATSVEGKEIAAPHGSIMGAVGRSSSLMQGGEAVSSMGIGGGWQLAWKWTEREGADGEKEGGFQRIYLHEEGVTGDRRGSILSLPGGDVPPGGEFVQAAALVSQPALYSKELMEQRLAGPAMVHPSQAVAKGPKWADLFEPGVKHALFVGIGIQILQQFAGINGVLYYTPQILEQAGVGVLLANIGLSSSSASILISGLTTLLMLPSIGIAMRLMDMSGRRFLLLATIPILIVALAILILVNILDVGTMVHASLSTVSVILYFCFFVMGFGPIPNILCAEIFPTTVRGICIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAVVCILAFLFVFMKVPETKGMPLEVITEFFSVGAKQAKED >ORGLA10G0121800.1 pep chromosome:AGI1.1:10:14768675:14770397:-1 gene:ORGLA10G0121800 transcript:ORGLA10G0121800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWLSAAGDEEMRCRVAPAAAGCSRQKDELQARKMDSNVEREHGHYQQQESKFVSMVIGPWIALKCPRHNYATATFAMAFPGAKTKETALWISVNTVDDGSLYTSV >ORGLA10G0121700.1 pep chromosome:AGI1.1:10:14765348:14767849:1 gene:ORGLA10G0121700 transcript:ORGLA10G0121700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGEAMASSGLDLGEYLDRPDAIHRRAASVAIVRSGGGDGPRIVDGGRDDRRARSSRRLSLSSWRRPAGGETSVESRRYGFTAAAAAAEVGGKTRAAAIWEWKPVRVLSRIGKRRCGCLLSVEVAGVRGVPASMDGLRLAVTVRKAETKDGAVQTMPATVRGGCADFDETLFVRCNIYFAGGAGTGKPLKLEPRRFVVSVVPAEAPGVRLGAHAVDVSSLVLDSLQKSSEGRRVRWFDTAVTLSGKATGGELLLKLGFQLMDDAGLCLYTQAATEKVDVVDDVSPARARAHNKNSFSVARTSGPKLSASDAAISPSMRAYKQLIDRLSVDEHGDPVTSLIPRKLADDELSGDVGLPEYEVVDKGVETVKEVVHYHAHRDVLKELDSIAEQIEAIEALMTNGGKKSPPSPKKVDQKQCLDADEEMVTVEFLRKLEVVDDKGRKLKQPMTPRSESEKKAAAAAPPVLPDLGPGLGTAVQTRDGGFLVSMNPFDLPLEKGDAPPKLAMQVSRPFVLPSSMAATGFDVLQKMAAAGCADEVRDKVARLGGMDNLTGKTPEQVGFEGIAEAVIGGRRTEGASSSAARSVRLVRKLAAAVSDGRSERVATGIWTAADDPETLEEVIAFSLQKLEAMAVDALMIQAEMADEDAPFEVAPAAGDATTVFDALVSPDEWSESRGSDGRVTVVAAIQLRDPSRRYEAVGAPMVAVVQSARLLGAAGNSGGRFKVRSLHVGGVQLRCPVGGGAGGGRASWGAERQKLTAMQWALAHGPARAAGRRARTPTTTTTPSSQARQRPDVVWSLSSRVLAGMWLKTVRNPDVRVGATAGGGGGGGN >ORGLA10G0121600.1 pep chromosome:AGI1.1:10:14757284:14760982:1 gene:ORGLA10G0121600 transcript:ORGLA10G0121600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCQNGTYGNNYQNSNRFQNDRFASRYVDGNDTEDCYSGSSRASLAGALRQGLNLKSPVLGYKTPNVRELYTLGRELGQGQFGKTYLCTEISTGCQYACKTILKSNLRCVSDIEDVRREIQIMHHLSGQKNIVTIKDAYEDEQAVHIVMELCAGGELFSKIQKRGHYSERKAAELIKIIVGIIETCHSHGVMHRDLKPENFLLLDADDEFSVKAIDFGLSVFFRPGQVFREVVGSPYYIAPEVLEKRYGPEADIWTAGVILYVLLTGVPPFWADTQSGIYEKVLDGRIDFKSNRWPRISDSAKDLIKKMLCPYPSERLKAHEVLKHPWICDNGVATDRALDPSVLPRLKQFSAMNRLKKLSLQIIAERLSEEEIVGLREMFKAMDTKNRSVVTFGELKGLKRYSSVFKDTEINDLMEAADDTTSTINWEEFIAAAVSLNKIEREKHLMAAFTYFDKDGSGFITVDKLQKACMERNMEDTFLEEMILEVDQNNDGQIDYAEFVTMMQSNNFGLGWQTVESSLNVALREAPQVY >ORGLA10G0121500.1 pep chromosome:AGI1.1:10:14748670:14748981:-1 gene:ORGLA10G0121500 transcript:ORGLA10G0121500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:I1QBF3] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ORGLA10G0121400.1 pep chromosome:AGI1.1:10:14746017:14747072:-1 gene:ORGLA10G0121400 transcript:ORGLA10G0121400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAQAGVVAACVVLFVPMGLAGWHLSRNKVLFFSGALFVSLAVGVHLSPYLPSLPHLLLAASFHPHPISSSSSSSATSSSCVPLLHRVSWADAGGESGVGRAWAWPPSLASTCGLARLSRDDASLLLNGSWVMVAGDSQARLLVLALLRLLLDPAAAAAAEPELFRRHSDYRATVPARGISVDFVWAPFESNLTRLLHEDLRLAPRTPDVLVLGSGLWHMLHVTDAARYGDALASVVDAAKSLRSPLPVPPPHMFWLGLPLLVNHMLNTDAKKVHMNDTILQAYDLEVEQRGLLQRDGGPFLLLDVGKLSRGCGQQCTADGMHYDGDVYDAILHIMLNALVIESQQRI >ORGLA10G0121300.1 pep chromosome:AGI1.1:10:14741683:14742900:1 gene:ORGLA10G0121300 transcript:ORGLA10G0121300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVATLFVLCFISVTACSLSEQATRGRLLAGVDATPPAAGGAVAVPIYLSSQGLYVANFTIGTPPQPVSAVVDLTSELVWTQCTPCQPCFEQDLPLFDPTKSSTFRGLPCGSHLCESIPESSRNCTSDVCIYEAPTKAGDTGGMAGTDTFAIGAAKETLGFGCVVMTDKRLKTIGGPSGIVGLGRTPWSLVTQMNLTAFSYCLAGKSSGALFLGATAKQLAGGKNSSTPFVIKTSAGSSDNGSNPYYMVKLAGIKAGGAPLQAASSSGSTVLLDTVSRASYLADGAYKALKKALTAAVGVQPVASPPKPYDLCFPKAVAGDAPELVFTFDGGAALTVPPANYLLASGNGTVCLTIGSSASLNLTGELEGASILGSLQQENVHVLFDLKEETLSFKPADCSSLN >ORGLA10G0121200.1 pep chromosome:AGI1.1:10:14738402:14739523:1 gene:ORGLA10G0121200 transcript:ORGLA10G0121200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLLADAAAAGGGGGVVPIYWSQPLYMANLTIGTPPQPASAIIHLAGEFVWTQCSPCRRCFKQDLPLFNRSASSTYRPEPCGTALCESVPASTCSGDGVCSYEVETMFGDTSGIGGTDTFAIGTATASLAFGCAMDSNIKQLLGASGVVGLGRTPWSLVGQMNATAFSYCLAPHGAAGKKSALLLGASAKLAGGKSAATTPLVNTSDDSSDYMIHLEGIKFGDVIIAPPPNGSVVLVDTIFGVSFLVDAAFQAIKKAVTVAVGAAPMATPTKPFDLCFPKAAAAAGANSSLPLPDVVLTFQGAAALTVPPSKYMYDAGNGTVCLAMMSSAMLNLTTELSILGRLHQENIHFLFDLDKETLSFEPADCSSLS >ORGLA10G0121100.1 pep chromosome:AGI1.1:10:14735757:14736341:1 gene:ORGLA10G0121100 transcript:ORGLA10G0121100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGNLNSNIRICLTKTPCILKHPCTGAWMLRQRTSTKAASHCPTRGKRASKDSDLISAVLDNQNPLNHGQTKAPLSTIIVARGSTFVARRNFPFKKTRKKNMYAL >ORGLA10G0121000.1 pep chromosome:AGI1.1:10:14733660:14734919:1 gene:ORGLA10G0121000 transcript:ORGLA10G0121000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAPMQLLVLCLISVTTCAAAHGLRRGLDQQGMRGRILADATAAPPGGAVVPLHWSGARYVANFTIGTPPQAVSGIVDLSGELVWTQCAACRSSGCFKQELPVFDPSASNTYRAEQCGSPLCKSIPTRNCSGDGECGYEAPSMFGDTFGIASTDAIAIGNAEGRLAFGCVVASDGSIDGAMDGPSGFVGLGRTPWSLVGQSNVTAFSYCLAPHGPGKKSALFLGASAKLAGAGKSNPPTPLLGQHASNTSDDGSDPYYTVQLEGIKAGDVAVAAASSGGGAITVLQLETFRPLSYLPDAAYQALEKVVTAALGSPSMANPPEPFDLCFQNAAVSGVPDLVFTFQGGATLTAPPSKYLLGDGNGNGTVCLSILSSTRLDSADDGVSILGSLLQENVHFLFDLEKETLSFEPADCSSLT >ORGLA10G0120900.1 pep chromosome:AGI1.1:10:14726165:14727355:1 gene:ORGLA10G0120900 transcript:ORGLA10G0120900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSALLLMCLIPLTRAHELRRGLELADDATTARPGGVTVPVHFSQAFYVVNLTIGTPPQPVSAIIDIGGELVWTQCAQHCRRCFKQDLPLFDTNASSTFRPEPCGAAVCESIPTRSCAGDGGGACGYEASTSFGRTVGRIGTDAVAVGTAATARLAFGCAVASEMDTMWGSSGSVGLGRTNLSLAAQMNATAFSYCLAPPDTGKSSALFLGASAKLAGAGKGAGTTPFVKTSTPPNSGLSRSYLLRLEAIRAGNATIAMPQSGNTIMVSTATPVTALVDSVYRDLRKAVADAVGAAPVPPPVQNYDLCFPKASASGGAPDLVLAFQGGAEMTVPVSSYLFDAGNDTACVAILGSPALGGVSILGSLQQVNIHLLFDLDKETLSFEPADCSALS >ORGLA10G0120800.1 pep chromosome:AGI1.1:10:14722607:14723803:1 gene:ORGLA10G0120800 transcript:ORGLA10G0120800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFRGHDLRRGLEQAMLGRLLADATPAGGSVVPMHWSRHLYNVANFTIGTPPQPASAIIDVGGELVWTQCSRCSRCFKQDLPLFVPNASSTFRPEPCGTAACKSTPTSNCSRDVCTYESTTNIRLGRHTTLGIVGTDTFAIGTATASLGFGCVVASDIDDTMDGTSGFIGLGRTPRSLVAQMNLTKFSYCLSPRRTGKSSRLFLGSSAKLAGGESTSTAPFIKTSPDDDSHHYYLLSLEAIRAGNTTIATAQSGGILVMHTVSPFSLLVDSAYRAFKKAVTEAVGGAAAPPMATPPQPFDLCFKKAAGFSRATAPDLVFTFQGGGAALTVPPAKYLIDVGEEKDTACAAILSMARLNRTGLEGVSVLGRLQQEDVHFLYDLKKETLSFEPADCSSLP >ORGLA10G0120700.1 pep chromosome:AGI1.1:10:14719559:14720815:1 gene:ORGLA10G0120700 transcript:ORGLA10G0120700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVTTLLVLCLIYVTTCSLSTRTAAFRAHDLRRGLEQAMRGRLLADATPAGGSAVPIHWSRHLYNVANFTIGTPPQPASAIIDVAGELVWTQCSLCSRCFKQDLPLFVPNASSTFRPEPCGTDACKSIPTSNCSSNMCTYEGTINSKLGGHTLGIVATDTFAIGTATASLGFGCVVASGINTMGGPSGLIGLGRAPSSLVSQMNITKFSYCLTPHDSGKNSRLLLGSSAKLAGGGNSTTTPFVKTSPGDDMSQYYPIQLDGIKAGDAAIALPASGNTVLVQTLAPMSFLVDSAYQALKKAVTKAVGAAPTATPVQPFDLCFPKAGLSNASAPDLVFTFQQGAAALTVPPARYLIDVGEEKGTVCMAILSTSWLNTTALDEHLNILGSLQQENTHFLLDLEKKTLSFEPADCSSLLS >ORGLA10G0120600.1 pep chromosome:AGI1.1:10:14712287:14713528:1 gene:ORGLA10G0120600 transcript:ORGLA10G0120600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVTTLLVLCLIPPTMCSLAAAHDDLRRGLEQATRGRLLADATPAGGAAVVPIRWSPPYYVANFTIGTPPQPASAIVDVAGELVWTQCSACRRCFKQDLPVFVPNASSTFKPEPCGTAVCESIPTRSCSGDVCSYKGPPTQLGGNTSGFAATDTFAIGTATARLAFGCVVASDIDTMDGPSGFIGLGRTPWSLVAQMKLSRFSYCLSPRNTGKSSRLFLGSSAKLAGSESTSTAPFIKTSPDDDGSNYYLLSLDAIRAGNTTIATAQSGGILVMHTVSPFSLLVDSAYKAFKKAVTEAVGGAAAPPMATPPQPFDLCFKKAAGFSRATAPDLVFTFQGAAALTVPPAKYLIDVGEEKDTACAAILSMAWLNRTGLEGVSVLGSLQQEDVHFLYDLKKETLSFEPADCSSLP >ORGLA10G0120500.1 pep chromosome:AGI1.1:10:14708011:14709153:1 gene:ORGLA10G0120500 transcript:ORGLA10G0120500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLLADGGAAVVPLHWSRELYNVVSFTIGTPPQPASAFIDVGGLLVWTQCSQCSSSSCFKQELPPFDPTKSSTYRPEPCGTALCEFFPTSIRNCSGDDVCAYEASTQLFEHTFGKIGTDAVAIGTATAASVAFGCVVASDIKRMDGGPSGFVGLARTPLSLVAQMNITAFSHCLAPHDGDGKNSRLFLGAAAKLDGAGSKSAMTTPFVKSSPDDIKSLYYLINLEGIKAGDEAAITVPQSGSTVVLQTFSPVSFLVDSVYQDLKKAVTAAVGGPTATPPEQFQSIFDLCFKRGGVSGAPDVVLTFQGAAALTVPPTNYLLDVGDDTVCVAIASSARLNSTEVAGMSFLGGLQQQNVHFLYDLEKETLSFEAADCSSLS >ORGLA10G0120400.1 pep chromosome:AGI1.1:10:14703300:14704493:1 gene:ORGLA10G0120400 transcript:ORGLA10G0120400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLIVTLLVLCAAATTCAAALRGHDLRRAMRGRLLADGGGAVVPFHWSPELYNVANFTIGTPPQAASAFIDLTGELVWTQCSQCIHCFKQDLPVFVPNASSTFKPEPCGTDVCKSIPTPKCASDVCAYDGVTGLGGHTVGIVATDTFAIGTAAPASLGFGCVVASDIDTMGGPSGFIGLGRTPWSLVAQMKLTRFSYCLAPHDTGKNSRLFLGASAKLAGGGAWTPFVKTSPNDGMSQYYPIELEEIKAGDATITMPQGRNTVLVQTAVVRVSLLVDSVYQEFKKAVMASVGAAPTATPVGEPFEVCFPKAGVSGAPDLVFTFQAGAALTVPPANYLFDVGNDTVCLSVMSIALLNITALDGLNILGSFQQENVHLLFDLDKDMLSFEPADCSSLS >ORGLA10G0120300.1 pep chromosome:AGI1.1:10:14698630:14699814:1 gene:ORGLA10G0120300 transcript:ORGLA10G0120300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVATLLVLCFISVTARAAAFRVHGRLLADDAMEGGAVVPIHWTQAMNYVANFTIGTPPQPASAVIDLAGELVWTQCKQCGRCFEQGTPLFDPTASNTYRAEPCGTPLCESIPSDVRNCSGNVCAYEASTNAGDTGGKVGTDTFAVGTAKASLAFGCVVASDIDTMGGPSGIVGLGRTPWSLVTQTGVAAFSYCLAPHDAGKNSALFLGSSAKLAGGGKAASTPFVNISGNGNDLSNYYKVQLEGLKAGDAMIPLPPSGSTVLLDTFSPISFLVDGAYQAVKKAVTVAVGAPPMATPVEPFDLCFPKSGASGAAPDLVFTFRGGAAMTVAASNYLLDYKNGTVCLAMLSSARLNSTTELSLLGSLQQENIHFLFDLDKETLSFEPADCTKLS >ORGLA10G0120200.1 pep chromosome:AGI1.1:10:14694365:14694922:1 gene:ORGLA10G0120200 transcript:ORGLA10G0120200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPATLQYLAPYTGAALAEYFMYREWHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLNSLLGEGSMTALPIVETQSRDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKSKLELAQFAELQAFAQFASALDKTSQNQLGEITY >ORGLA10G0120100.1 pep chromosome:AGI1.1:10:14687044:14694031:-1 gene:ORGLA10G0120100 transcript:ORGLA10G0120100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGGGDWGREADPAEPSSSHAFDGGSDGDDDDGGGGGGGWHQAAMKAGAGKGSSSSSSSGDSLWQWRSQGLSEVVLSWSVDQILNKDLLRDKVAKIPETFSSMEQYMTSFFGPLLEEVRGDMCSSMEDISKAPYASVLSVNAMRKGKGSYEIKLDKWRGVSHGCAIEGYKPKAADLLLISETRPANQSDILKQSKSCVIVWVGKVQGNKMTVKASRRMETGVHGDERQQMGMNRYDKLYAEGFDKSWEMLDQEAVAPESSNSFMHENGRKEHSKVRKCFEKCSDLQEQNEMGTCGNSLKRWSFCATYLTNMITYDRVWVVLRRGLTMDSKIVLSMFGKKKYEQRILACAPTNMAVLQVASRLIELIQDFSSSHCYSFGDIVLFGNKDRLHIGKELSKVYLDDRVHKLLRYFKREDGWKARVDSVMKFLMNCISRYQMSLDIQQASSDGCNLTFKKYFTSKFSTLVKELATCIDTFFDHLPTDSLGRNLDRMMFAKSLLDKLQQLLCADDVSDELLFTIFKPSDEPIDSFDSHDQTDDATVDLHDHDISLDDPLEIKSLCIKTLMDLSKMRLPCEDNESSIRDLCLKRAKLVFCTASSSFELFRLQNVMPISILVIDEAAQLKECEALVPLLLPGIEHILLIGDENQLSSLVKSKIAKDADFGRSLYERLCTMGYRKHLLEVQYRMHPGINKFPNANFYDNRISDGPSVQQEDYMKSYLPGPIYGAYSFIHIENDMEMLDELGQSSKNMVEVAVATNIVERLAKECSEKRQRTSLGVISPYTAQVIALQERLGKQFKNHEFLSVTVKSIDGFQGGEEDIILISTVRSNKNGKVGFLSDAGRINVALTRAKYCLWILGNGATLLASNSIWAELVHDSKRRGCFFNALDDKNLAEIIMHATKEGEQRNQREQRSAHNANRWSSGSSRHDIIAAGNSRPMRWSHFPGSGNTRRSNGHDSRLNVCHTKEDMHRTHFQQRKSYSGDYSQVAPPNQYWHNGNRPSCGSYGYLEVFREHPNHHSGQDSRTRSYHETMCSTPQTGNGRFPYSGSIQREKSQRQTSILGERQPLGGDGNKGFQDGTSGYPCRRNSSQIRPNTYEAGAPELQSMNKHREFSSYPQQAPYRTFGGRGRGRPTYHGRGRGGWYERTNNHWMEETHQVQNATCNMPVTMQQGMKRNWCEAEASDSPQQVNAKIRSESADRPHLHDEHGGYGTASHQLPAIKPEDVSEQQCEMKTDSYKAEASESPNDSTRVRPESVEQPYCQAQGDSSGAASQEPVIPEQRGMSGDLCEAVCHQSNTLGSPNRGSTEVTLEGAEQPHCQAQPDGSGVASNEAPVPEQRWTEGDLGEAAEPGQGNAENKAESAEADS >ORGLA10G0120000.1 pep chromosome:AGI1.1:10:14682786:14686249:1 gene:ORGLA10G0120000 transcript:ORGLA10G0120000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLEVAADAGSSAAAAAAANGAVDWWRDVNESPVWQDRIFHVLAALYGFVSAIALVQLIRIECRVPEYGWTTQKVFHFLNFLVNGVRSIVFVLRRNVQLIHPEILQHVLLDMPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPTFYWINAVIYAIQIILWMVLWWKPVRVMIILSKMFFAGVSLFAAFGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFTCFLIRCVMMCLNAFDKAADLDVLNHPILNFFYYLLVEIVPSALVLFILRKLPPKRGITQYHPIH >ORGLA10G0119900.1 pep chromosome:AGI1.1:10:14681144:14681815:1 gene:ORGLA10G0119900 transcript:ORGLA10G0119900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVSDVFLSFFCCCYYPPGGHRGVGAHNDTALRRRRGGAGRSSSRPPVSLQTVELKVRMCCEGCERVVRSALANLRGVDSVEVDVAMEKVRVTGYVDRGRVLREVRRSGKKAEFWPSGGTPRRFTSEKEYFRDGEAYRGSYNYHRRGYGDGDRHGRMREPARGADAVSNMFNDDDVSAACAIM >ORGLA10G0119800.1 pep chromosome:AGI1.1:10:14675891:14680574:-1 gene:ORGLA10G0119800 transcript:ORGLA10G0119800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYHGDRHRGSPPPYPAAAAHSSLSPSAAPFTVDCPRPAADPRVRNPNPPGLDLPTAPSLYATAAAGDWGSSSWMEPPASYMAPSTAAATPPPPAYKGEAPETAPYGIFPGTCQIGNFMVTRPLRSESSQLTSAKGPGTWLGSSEVLPSGVGPSVFSPQQNTFVHKSEDTEPYPTHRGLLQYPPQYPAYDKYMTQLSSCSTNVPPPVMWTPPANSSEVVEQMFPVMNKNTGESSSSFSSYMNPCRINLDYFDCMWNEQKDLGHQTTNKHHGKWSSSASNAGDHLLNSLGADHRAARCFGNGRPIQESSEMKYDRGSFNSKVSPSEVGYVQSREFSSELPEVNNPTVDSPCWKGAPIAYPPSFGIMKNTDNPHSVNGVGGYQIEQSPEWSLKYSELFSKHQEVSASESVKSDALKTFKLPETRKNTEDNKEVLPVCIGVHNGIGNNASYFPEEQNSRRQKCYDSTGDCKNMIAANQQENLSVSKAKLLGEDSSNHIGSITEESINKGLSPLGSAPRALVENLSESLHVNVCSQAAGAEECTQAQICAKGGQQPRYYSDSGGSMLKTSSESRSKSRAELLKQMHDLSAMLLSTCNSGPLQGYEEELLQLVIQNLRDASSCISKVQNMSCSRNNLWMAMPEHSLVENDSELKTSISQAVAKLPEDKTLDDIDVSQLSIYKNLWVEAEASACKLKYELQLTRAKLAAMENHNNTQVPVDLSKGNKIFISTIPNSKPQNSTAYPANLQCQGADSCDGQPPAVNRSIIDGVDAEVIERLKFLQSNLKDCRAFCQNNCEEQEEASKKPCAIEDAVMARLRVLNSCPDNIASLKQENNNHHQLDTSTNRADNIDDAVMSRLRILKSLPDNVNPLGQESSKHEPDAATGTNNFIDNAVMSRLRILKSRPDNANSLGQESSKHEPDASTGTNDLIDNAVMSRLRILKFRDDNINSLDDAIKQHVEACTDQPNWDEDGVVAKIQAPNGDTASAADGFQNILHSNNFVRHSEGKDSVSGLDSPGDATCSDEDNGCKAPSDEVNDKTAVQSEGSFPMNIGWPLSTMDSHICTAGSQETPLISSSVHRYDIFPPKWEHMLKENFFHPGK >ORGLA10G0119700.1 pep chromosome:AGI1.1:10:14664091:14665041:-1 gene:ORGLA10G0119700 transcript:ORGLA10G0119700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTPISPPTRVAGGEEDSERGAAAWAVVEKEHMFEKVVTPSDVGKLNRLVIPKQHAERYFPLDAAAGAGGGGGGGGGGGGGKGLVLSFEDRTGKAWRFRYSYWNSSQSYVMTKGWSRFVKEKRLGAGDTVSFGRGLGDAARGRLFIDFRRRRQDAGSFMFPPTAAPPSHSHHHHQRHHPPLPSVPLCPWRDYTTAYGGGYGYGYGYGYGGGSTPASSRHVLFLRPQVPAAVVLKSVPVHVAAASAVQEAATTTRPKRVRLFGVNLDCPAAMDDDDDIAGAASRTAASSLLQLPSPSSSTSSSTAGKKMCSLDLGL >ORGLA10G0119600.1 pep chromosome:AGI1.1:10:14647496:14649255:1 gene:ORGLA10G0119600 transcript:ORGLA10G0119600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QVG3] MAMRGGGATMLSWYLQVAAVSLLAMATGLEAQLRVGFYDNSCPAAEIIVQQEVSKAVSANPGLAAGLVRLHFHDCFVRGCDASVLIDSTKGNQAEKDAGPNTSLRGFEVVDRIKARVEQACFGVVSCADILAFAARDSVALTGGNAYQVPAGRRDGSVSRSSDTGGNLPPPTASVSQLTQMFAAKGLSQREMVALSGAHTIGASHCSSFSSRLYRAGTTAGGAGGGQDPTMDPAYVAQLAQQCPQSGGAAGGGALVPMDAVTPNAFDEGFFKGVMNNRGLLSSDQALLGDKNTAVQVVAYANDASTFQSDFAAAMVKMGAVGVLTGSSGKVRANCRVA >ORGLA10G0119500.1 pep chromosome:AGI1.1:10:14642895:14644021:1 gene:ORGLA10G0119500 transcript:ORGLA10G0119500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QVG2] MAMKRGATAALWLVAAVAGMLLHADAQTLVYKYYAQKCPAAESIVFDEVQKAWNADRSMPASLLRLHFHDCFVNGCDGSVLLEASDGQAEKNAQPNLSLRGYDVVDRVKARLEATCKQTVSCADILAYAARDSVRVMTGGYKYEVPGGRPDGTVSRASMTGDLPPPKQRNVDQLARYFTSKGLTVDDMVVLSGAHTLGVARCGTFGYRLTSDGDKGMDAAFRNALRKQCNYNSNNVAALDAGSEYGFDTSYYANVLANRTVLESDAALNSPRTLARVTQLRGNQALFTSSFAAAMVKMGGLRGGYAGKVRDNCRRVRT >ORGLA10G0119400.1 pep chromosome:AGI1.1:10:14640958:14642231:1 gene:ORGLA10G0119400 transcript:ORGLA10G0119400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVATTTPAAAAATTAAAAEVKPAKRSVGLGLPALPPLLPGLASHGQPRVASFCKRLARNVVAMAAGEAPAAPLAANAEITEFINALKQEWDRIEDKYAVTTLAVAASLGMWSAGGVVSAIDRLPIVPGLMEAVGIGYSGWFAYRNLLFKPDREAFFAKVREVYEDIISG >ORGLA10G0119300.1 pep chromosome:AGI1.1:10:14633520:14638933:1 gene:ORGLA10G0119300 transcript:ORGLA10G0119300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEQQHQLLSTAVHDTMPGKYVRPESQRPRLDLVVSDARIPVVDLASPDRAAVVSAVGDACRTHGFFQVVNHGIDAALIASVMEVGREFFRLPAEEKAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCYPLHQFVPDWPSNPPSFKEIIGTYCTEVRELGFRLYEAISESLGLEGGYMRETLGEQEQHMAVNYYPQCPEPELTYGLPAHTDPNALTILLMDDQVAGLQVLNDGKWIAVNPQPGALVINIGDQLQALSNGKYRSVWHRAVVNSDRERMSVASFLCPCNSVELGPAKKLITDDSPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRTXLIHELEKFDNLTLFSLSNYFN >ORGLA10G0119200.1 pep chromosome:AGI1.1:10:14610519:14620294:1 gene:ORGLA10G0119200 transcript:ORGLA10G0119200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRTELKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGRLYEFASAPSLQKTIDRYKAYTKDHVNNKTIQQDIQQVKDDTLGLAKKLEALDESRRKILGENLEGCSIEELRGLEMKLEKSLHNIRLKKTELLEQQIAKLKEKERTLLKDNENLRGKHRNLEAAALVANHMTTTTATAAWPRDVPMTSSTAGAADAMDVETDLYIGLPGTERSSNRSETG >ORGLA10G0119100.1 pep chromosome:AGI1.1:10:14595122:14597992:-1 gene:ORGLA10G0119100 transcript:ORGLA10G0119100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETMADGSANLMIWHCTIPGKQGTDWEGGYYPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHIFIQDKPEYKRRVRVQAKQYPALL >ORGLA10G0119000.1 pep chromosome:AGI1.1:10:14593105:14594328:1 gene:ORGLA10G0119000 transcript:ORGLA10G0119000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTTATTAILAAVIISLAGAATTVDAKFRAMQWTPAHATFYGDETASETMGGACGYGNLYASGYGTDTAALSTTLFKDGYGCGTCYQMRCVGTASCYRGSPAITVTATNLCPPNWAEDPDRGGGGWCNPPRAHFDLSKPAFMRMADWRAGIVPVMYRRVPCARAGGLRFALQGNPYWLLAYVMNVAGAGDVGDMWVKAGGGGGWVRMSHNWGASYQAFAQLGGQALSFKVTSYTTGQTILAAGVTPASWCFGLTYQARVNFS >ORGLA10G0118900.1 pep chromosome:AGI1.1:10:14590009:14591741:1 gene:ORGLA10G0118900 transcript:ORGLA10G0118900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQAYLDKAQLRQSYRNVWHTDLTNAITADFTCCCLSLWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGRCGESNCPEVCLATEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQFACICSLVACIVGSEELSEASQLISCISNMVYWTVCSCMQTQHKVEMDKRDGKFGPMTVPPMQQMSRIDQPVPPYVGYAPQAQPAYYR >ORGLA10G0118800.1 pep chromosome:AGI1.1:10:14584498:14585680:-1 gene:ORGLA10G0118800 transcript:ORGLA10G0118800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRLLLLPLALALAMAQKHGGGGERVWARPWRWAKTAFFVVAMIASLLLVCAPPVLVVILDLALPPALLSARLRGGGGGDDASFVAAVVAQARAFDFRSSLVDLLAVSAARALLILGAYMACGGGGAAYLWVVATSAAGSVSYVLAKAAAAVLPRRGVAPAPEGKGPEPMLLLSVALAAAHLAVAYRTSCRERRRLLVYRIDVEAVRLKGGHQTPKGLKQCSV >ORGLA10G0118700.1 pep chromosome:AGI1.1:10:14580342:14580629:1 gene:ORGLA10G0118700 transcript:ORGLA10G0118700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRRGVLPSLDLAIPGWIWPVTAPCGAEASRREEAAVLAVEAAAARQGARRRRSEVAEVRELPMAVGSPWCPTAMDRRRAAWQRGASADGSALASD >ORGLA10G0118600.1 pep chromosome:AGI1.1:10:14578208:14579588:-1 gene:ORGLA10G0118600 transcript:ORGLA10G0118600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XILPPFARSVDNXENMQISALHIDHDFGDAAGALATGGQASSPLPYQGDPRLHRGGRRPPQSEVLQAGDTHSAAMALGRSGRXAPPPRLFEDFPHHPPPPRTYTFRHGHAEGVEDNDVTLQREARDQGSRTVRDAIDRDGERRHGEERRDRCRNGRDDRGGQDRRRGRNRRYNNDHPDDDLRCGGRCDDDYDDDRDNRERNVARGRGGIRGLDINFRRERTRSPRARDRGETGRRDGRRHLAGCGDKEDGDNDRGNGDSLTSTVAASSLARNAHLTSPPFDKGPLLPSRRPHNGAGYEARSAASIPAARVFARINXAVAAASVEGALRQMLVAAGVAVALDKLAAPVALDKPAANAATPSPPVTALASLAMISSTTRELLPIASAEKTLPSLNAECAAAPTLQDTLAANDLSPVKDTETAPAPDIADFDSV >ORGLA10G0118500.1 pep chromosome:AGI1.1:10:14570187:14571043:1 gene:ORGLA10G0118500 transcript:ORGLA10G0118500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAARGEGHDDGYFPILEVRILDEAWLRGGLWWRRGIRGEQGLAMAMAQQPGNVNVAGFLQALRRLRNRVIMMLWMRMLLRQLVVRWWLRVHFRRFMWVWHLRVLRARVRLFLWRIRHDHLVYILDTIMVLAYVVFKINASFIGYIEFVKLNCSSASLVGDE >ORGLA10G0118400.1 pep chromosome:AGI1.1:10:14554754:14556382:1 gene:ORGLA10G0118400 transcript:ORGLA10G0118400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPAAAIGRRSSILSFAAARDRCFSRRFLRAGLRPLAIPLPTGVDDDAGTTVHVWVPANPPRNPLLLLHGFGASATWQWAPYLRPLIAAGYDPIVPDLLFFGASYTRLADRSEAFQARSIKAAMDAIGVARFGLVGVSYGGFVGYRMAAMYPDAVERVVLVCAGVCLEEKDLAGGLFPVAGVGEAADLLVPRRPEEVRRLVRLTFVRPPCIMPSCFLWDYIKLILN >ORGLA10G0118300.1 pep chromosome:AGI1.1:10:14540647:14541048:1 gene:ORGLA10G0118300 transcript:ORGLA10G0118300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLASNARGAVTGYPLKLQVVKWSTKEAEPNPEFLRGMLPKIDWPALVAATQALGLPELLPEAPPTDAELSAEGAAADEGSALRRLHRALLEIHIEEGALVCPDTDRCFPISRGVPNMLLHEDEVRN >ORGLA10G0118200.1 pep chromosome:AGI1.1:10:14535182:14539517:1 gene:ORGLA10G0118200 transcript:ORGLA10G0118200.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEYLFVQLLLPCPYLMFQGKNNFSRVASKVSAVLKKLKRVQDYLMLIVLHAQTLSLVRASVSKEKFGATDNCRASSRYHPFVEMQQPVDGVMMNNMLGVSAPSAGYHGEMQTTTANSDNHFATHYDIAGVFNEAGAGLRGVSKTLHDSVRFAEPYPECSAEFMEPALYSSNATMESNNLDDNSQLETFMSEALYTNNLTQKEADALSAAGIMSSQAENNSYTDGIRYPLLKQSSLDLFKIEPDGLKKFDSFSRWMSSELPEVADLDIKSSSDAFWSSTETVNVADGTSIPINEQLDAFAVSPSLSQDQLFSIIDVSPSYACTGSRNKVLITGTFLANKEHVENCKWSCMFGDVEVPAEVLAHGSLRCYTPVHLSGRVPFYVTCSNRVACSEVREFEFRDSDARQMDTSDPQTTGINEMHLHIRLEKLLSLGPDDYEKYVMSDGKEKSEIINTISSLMLDDKCLNQAVPLDEKEVSTARDQNIEKLVKEKLYCWLVHKVHDEDKGPNVLGKEGQGVIHLVAALGYDWAVRPIITAGVKVNFRDARGWTALHWAASCGRERTVGALIANGAESGLLTDPTPQFPAGRTAADLASENGHKGIAGFLAESALTSHLSALTLKESKDGNVKEICGLGGAEDFAESSSAQLAYRDSQAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSIKNAKPGQNDGSHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSYRRIVWSVGIVEKIILRWRRKRRGLRGFQPVKQLEGPSPIQQLEGPSQIQPAKEEEEDEYDYLKDGRKQAEGRLQRALARVKSMTQYPEAREQYSRIANRVTELQEPQAMMIQDDMQSDGAIADGGDFMAELEELCGDGDAPMPTIL >ORGLA10G0118100.1 pep chromosome:AGI1.1:10:14525729:14529784:-1 gene:ORGLA10G0118100 transcript:ORGLA10G0118100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGYADYFAAEVDGAGATELYGLQQHQQGVGVAEMFGVRGLMPAAHAHEQSKGVGALVVGGGGVDDGGATTLPTVHFGGLGELHHHQHRQSQAPLSLSLHRPEAAATSLLMQQQQQHLHHQPSPPAGAASTWQLQQGAWHLRGSRFLLPTQQLLQEFCSLPVKSTTSPSSASKATKQPQEEAAGGGGSSSWTAPTQIQSMDAAELQRLKGKLYTMLEEVDRRYRRYCEQMRALAASFEAVAGERAAAAYTRLASRTISRHFRSLRDGVVAQLQAVRKQLGEKDTAVPGMTKGETPRLRVLDQCLRQHKAYQAGMLESHPWRPQRGLPERAVSILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVANWFINARVRLWKPMVEEMYAEEMKDEEGSGQSTQASNPQNPHPSSYTSEVRGGGGGEDRGEQKPSRAQLLHDAGSLASVVSIGHGGAGRTMVDHHHHQSLNFGMMDQLDFDSYEAAGGGQGFGAGGGVSLTLGLQQQHADPHDGVNVAFAAAAAPPNSSGVAAEYLFMGGGGGGEHQQQLPQAAQFGAVMEGDAASHYRGLSATAAGFHLLHDLAG >ORGLA10G0118000.1 pep chromosome:AGI1.1:10:14520380:14522182:-1 gene:ORGLA10G0118000 transcript:ORGLA10G0118000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGAGEKPPASNGVHGSGKARFTLLYGLLLYVVMPVLFLYMLVAAASPFYNPRCSPESNAAMARFVVAMPNASSVNGSSPSSSSPPPTPVRPMRSADEAPTGLRHIAFGIGASSALWKSRKEYIKLWWRPGRMRGFVWMDRPVEEFYSKSSRTGLPPIMVSSDTSKFPYTHGAGSRSALRISRIVSETFRLGLPGVRWFVMGDDDTVFLPENLVHVLSQYDHRQPYYIGSPSESHIQNLIFSYGMAFGGGGFAISRALAEELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPTTPSRAGALRKLFDGPVRLDSAAVAQQSVCYDREHHWTVSVSWGFAVMVVRGVLSPREMETPMRSFLNWYKRADYTAYSFNTRPVARQPCQKPRVYYMRDSRMDRRRNVTVTEYDRHRGKQPDCRWRIPDPAALVDHIVVLKKPDPDLWKRSPRRNCCQVVSSPTKAGKNRTMTIEVGVCREGEFAKL >ORGLA10G0117900.1 pep chromosome:AGI1.1:10:14516404:14518938:-1 gene:ORGLA10G0117900 transcript:ORGLA10G0117900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVLLLFLVVGLMPVSNGQTTPFSPLFSVYLACGAGGNVVVTSDSPQRTFVPDDGELSGKSARFSNPDASPPSPLYAAARAGTSGFSYRLSYAAAAAPDGNTTLVLRLHFFPFASQSGDLLSARFSVSAMGRYVLLPSSFSPPRAGVVREFLLPSDGSGEFNVTFTPEAGGLAFVNAIELFPAPQELLWKFPLTAVNTDVSPSHQALETLYRLNVGGPTVTPTDDTMWRTWLPDDSYLSPATVSAVASIQGLINFDPTQGYTRMVAPDAVYKSQRTTNSSTSNVTWTFAADGNSSYVVRLHFCAFEELSSVIGEGVDFNVYLMQAMGTRELKAKDYATLSMPIQAFYVDYVTVVPTGGENLTVSIGRAASSDSKKAILNGLEIMKLRAVDMTPASSSGKTSKVVVVAVIAAVLGAAVLAGVALCVLLVRRRQRRATLPVPEEEEKESVGTPWSPFTPDGEGSFGSAVVTPRRMNMKLHIPLAEIMVATGDFDDANILGVGGFGNVYRGVLRDGTRVAVKRAKRASRQGFPEFQTEILVLSSIRHRHLVSLIGYCNERSEMILVYELMAHGTLRSHLYGSDAAAPPPPPLSWKQRLEICIGAAKGLHYLHTGHSDNIIHRDVKSTNILLGDGFVAKVADFGLSRVGPSTGQTHVSTAVKGSFGYLDPEYFKTRQLTDRSDVYSFGVVLFEVLCARPAIDQSLPPDEINLAEWAMQWSRRGRFDKIVDPAVAGDASTNSLRKFAETAGRCLADYGEQRPSMGDVVWNLEYCLQLQESQPSTETALDLDDSGAHLPRDIVVARRVAPLAPDASADAAGDDMSWSETASFTATGNVFSQIMSRDGR >ORGLA10G0117800.1 pep chromosome:AGI1.1:10:14514878:14515651:-1 gene:ORGLA10G0117800 transcript:ORGLA10G0117800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKGFDGDRSESRLYVGNLDFRVSESDIIKMFSPFGKIIAEDFLWHTRGPKRGEPRGYAFVQYTTKEEAQLAKEKMNGRLVCGRPVVVHLASEKCFVDSGNSHRAMKDKKLAGGSGSKSVQTDRAAKIAAIKHKLKSLEDEGCSTKRPRFKSDDLPGSGKQSDKKC >ORGLA10G0117700.1 pep chromosome:AGI1.1:10:14510399:14511300:-1 gene:ORGLA10G0117700 transcript:ORGLA10G0117700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPSRSDSFSHGWLRRKARPAASFERLVGGEGDAVDVDGDLGHSFNGSVVSFIDMDPAELFSMRWTSLTAAAEEEEDHDDDDFDFGMPYVAGAQCSSPLLVGAGRALSDGHHHHLLLPCEPGVVVARDRTASYADAPSPSPSSPLFHSALSTPASVITTASSRRAGAGSGKARAPLLATRRILLRYLRFLVPLCRKVRSLPLRVLSPRSAKGSLAAASSSASPARRSTSSSYASAAEYWCHGNADTAVRDAILYCKKSIGQDM >ORGLA10G0117600.1 pep chromosome:AGI1.1:10:14503460:14506097:-1 gene:ORGLA10G0117600 transcript:ORGLA10G0117600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLIGAKRMESSSSGSCPQGTVYHWFVLFAVWLCGSQHVLSQKTPLEPKDKFLLSDPPIGLFDPIEISPSVLPHNANPVEPLSPMYPNYTSYDPVLTGKCHVNFSALSYMMDKTASDCSIPLAPLVADVICCPQVNSLMNIFQATYGAGNNTLVLNQASANACFSDVMSILASKGANTNIPELCTLRPSNLTDASCPVKDISTFEKIVNVSKLLDACSDIDPLKECCRPVCQPAIVEAAVHISSGGANMFGSSSISGSDAGINIVSDCKGVVHSWLSMKLSSEESNTAFRVLSGCKVNKVCPLEFDDPSSVVKACGKASSSTPSCCGALHSYIATRQKQIFVTNLQAINCATMFGSMLQKAGVSNDIYELCDIDLKDFSLQAFGQQGCLLRSLPTDIVFDNATGISFTCDLSDNIAAPWPSSSSVQSLSLCAPEMSLPALPVAPTSGSSVGISRTGIGILAPLLFFATAITF >ORGLA10G0117500.1 pep chromosome:AGI1.1:10:14489964:14493438:1 gene:ORGLA10G0117500 transcript:ORGLA10G0117500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGNRLDLPVVDLASSDPRAAADSIRKACVESGFFYVVNHGVEELLLKRLFAESSKFFELPMEEKMALRRNSNHRGYTPPYAEKLDPSSKFEGDLKESLYIGPIGDEGLQNDANQWPSEERLPSWRETIKMYHASALATGKRILSLIALSLNLDDEFFENIGAFSCPSAFLRLLHYPGEVDDSDDGNYGASAHSDYGMITLLATDGTPGLQICREKDRNPQIWEDVHHIDGALIVNIGDLLERWTNCIYRSTVHRVVAVGKERYSVAFFLDPNPDLVVQCLESCCSESCPPRFSPIKSGDYLKERLSVTYK >ORGLA10G0117400.1 pep chromosome:AGI1.1:10:14476016:14479111:1 gene:ORGLA10G0117400 transcript:ORGLA10G0117400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGESLDLPVVDLASSDLAAAAKSVRKACVEYGFFYVVNHGAEGLAEKVFGESSKFFEQPLGEKMALLRNRNYLGYTPLGADKLDASSKFKGDLNENYCIGPIRKEGYQNDANQWPSEENFPCWKETMKLYHETALATGKRILSLIALSLNLDVEFFDCPVAFLRLLHYPGEANESDDGNYGASAHSDYGVLTLVATDGTPGLQICREKDRCPQLWEDVHHIEGALIVNIGDLLQRWTNCVFRSTLHRVVAVGKERYSVAFFLHTNPDLVVQCLESCCSEACPPRFPPIRSGDYLEDRLRARYK >ORGLA10G0117300.1 pep chromosome:AGI1.1:10:14472672:14472979:-1 gene:ORGLA10G0117300 transcript:ORGLA10G0117300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVELGVWLIPLTLFFVPCRRIVLLLKQLQGFHRSMTRPRRRASADMLSRFSGLNDNMSETQMAFGHGAGLLLMLIQLVL >ORGLA10G0117200.1 pep chromosome:AGI1.1:10:14465881:14466972:-1 gene:ORGLA10G0117200 transcript:ORGLA10G0117200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMELGILLIPLTLVFVPCRRIVLFLKRLQEFHRSITHPSFTSADMLSRFSSFNSMALMLKNHSIMQVATLPYMILSVAKLQCKKQVTIAGRRDYQ >ORGLA10G0117100.1 pep chromosome:AGI1.1:10:14460189:14461928:1 gene:ORGLA10G0117100 transcript:ORGLA10G0117100.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRWKAIAARLERVPPCLSDLSSHPCFSKNSLCRELLQSVAATLAEAAELGARCREPPRAGKLQMQSDLDALAGKLDLNLRDCALLIKTGVLSDATVPPVAPAAEAAAAGAAQTDVRELLARLQIGHAEAKHRAVDGLLDALREDEKSVLSALGRGNVAALVQLLTATAPKIREKAATVLCLLAESGSCECLLVSEGALPPLIRLVESGSLVGREKAVITLQRLSMSPDIARAIVGHSGVRPLIDICQTGDSISQSAAAGALKNLSAVPEVRQALAEEGIVRVMVNLLDCGVVLGCKEYAAECLQSLTSSNDGLRRAVVSEGGLRSLLAYLDGPLPQESAVGALRNLVSSAISPDSLVSLGVLPRLVHVLREGSVGAQQAAAAAICRVSSSSEMKRLVGEHGCMPLLVRLLEAKSNGAREVAAQAVASLMSCPANARDIKKDEKSVPNLVQLLEPSPQNTAKKYAISCLLTLSASKRCKKLMISHGAIGYLKKLSEMDVAGAKKLLEKLERGKLRNLFSRK >ORGLA10G0117000.1 pep chromosome:AGI1.1:10:14451390:14454373:1 gene:ORGLA10G0117000 transcript:ORGLA10G0117000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF2930) [Source:Projected from Arabidopsis thaliana (AT5G52110) TAIR;Acc:AT5G52110] MPLLARAPPPPPPLRHRGRLLPRFTSSSSPRRRRRPRAARVRVRASGSDPPPQQQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLRSEALGLCLAAFSATLPYLGRFLEGAGAAERVPLPEGSRQVFAMSDSLSAAQKEDMAWASYVLLRNTNTTSVLISIGNQLCIRGYWDPPEDISKYAMIEWFKSQMQEAGIVDLREDLYFPTFSDTQLGKLLPQGILSVLAQPVLNNPDPTNSEIKAEGFILLASNSSYAYSEKHRVWIRTVANKFQCT >ORGLA10G0116900.1 pep chromosome:AGI1.1:10:14446755:14448670:1 gene:ORGLA10G0116900 transcript:ORGLA10G0116900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSGLFGLGGDEGETSAAVGGAAAAGLGDLPELCAAEVLLRLDAPEICRLARLNHAFRGAAGADFVWEAKLPENYRYLMSFVEGGGGGDDGRQLRRRRWRPAGKKEIYARLARPVPFDGGSKEFWLEKSKGRVCMALSSKSLVITGIDDRRYWQHIPTAESRFHSVAYLQQIWWFEVVGEIDFSFPVGTYSLYFRIHLGKFYKRFGRRVCSTEHVHGWDKKPVRFQLSTSDGQHSLSQCSLGEPGSWVLYHAGDFVVSKPDQTIKLKFSMAQIDCTHTKGGLCVDSAFIYPKGFQQERMVRSQKCRC >ORGLA10G0116800.1 pep chromosome:AGI1.1:10:14439715:14440147:1 gene:ORGLA10G0116800 transcript:ORGLA10G0116800.1 gene_biotype:protein_coding transcript_biotype:protein_coding QHPLRSQSNAATEESNEAEEETIDEKPEIKIETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGEGAPECEKFAKYYRSLCPSEW >ORGLA10G0116700.1 pep chromosome:AGI1.1:10:14390252:14393457:1 gene:ORGLA10G0116700 transcript:ORGLA10G0116700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAYRIASASEYLAITGYGIADVKLAKKAWVAPGQRCTRFDISPVNYTFEVQAMSAEKLPFILPAVFTIGPRADDDDCLLRYAKLISPHDKLSHHVNELVKGVIEGETRVLAASMTMEEIFQGTKSFKQAVFENVQLELNQFGLIIYNANVKQLVDVAGHEYFSYLGQKTQQEAVNQAKVDVAEARMKGEVGAKERDGMTRQNAAKVDAETKVYTVKRQGEGAKEEARVKAEVKVFENEREAEVAEANADLAMKKAGWQRQAMVAEVEAAKAVAIREAELQVEVERTNASRQTEKLKAEHLSKAVVDYEMKVQEANWELYNRQKAAEALLYEQEKQAEARRASADAAFFARRREAEAELYAKQKEAEGLVAMGDAQSAYLSAMLGALGGSYAALRDYLMVSSGVYQEMARINADAIKGLEPKISVWSNGGGAGGEVGEGGGAMKEVAGVYKMLPPLLTTVHEQTGMLPPAWMGTLTGGAPSSTS >ORGLA10G0116600.1 pep chromosome:AGI1.1:10:14373943:14379633:-1 gene:ORGLA10G0116600 transcript:ORGLA10G0116600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XDLARCFWLFLAELHESRRRWGVCQQKTEEPLVDLGCRCRGDLSKAHRTCISVWFRTRGSNKCEICQQVAVNIPPPETQASTSYWVWRVDSAYGRGRGGHERGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRSMPRLPMDGSMAPSGYHPARLWPAVLCLGWVSSETIKFGKISQELERLLGFALGSVSMGGFVLHQRRAIFRSLADADAAAAPSPFSSYQPSETRSRTSSTELAHVWNKGVDETLGRLVAYLSSRGCEYSCWQFVLTGYDNNTAAVQGILVSGRGIAIQSCGKSPSVGXYV >ORGLA10G0116500.1 pep chromosome:AGI1.1:10:14371720:14373099:-1 gene:ORGLA10G0116500 transcript:ORGLA10G0116500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMVALRLKGVEYELVQETMGKKSELLLASNPVHKKIPVLLHRGRPISESLIIVQYVDEVWPPPASILPSDDPYAAAIHRFWGQYIDDMFPPRIRILRGTVPGDKNKASDEMTTALLYLEEAFVECSKGKQYFGGDSIGYLDIALGSHLGWIKAVERIAGVELLGGAKVPNLAAWADRFCAHPAVVDVMPDADVLVEFTAKHA >ORGLA10G0116400.1 pep chromosome:AGI1.1:10:14366019:14366638:1 gene:ORGLA10G0116400 transcript:ORGLA10G0116400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRSEGSSSSSARQLYGSPIPYRVGPFENEPAVLCRCELKVARWISWSVDNPGRRYFKCRNAREGGCDFYAWHDGPTSSFLREVLNDLRGAVHSLRREKADAVKEVEELRVKSEEQCRELASVGRELASVRELVSELDVKNDVLIDSKCRLEKERTVLIWCILSCMCVVILLVLGKN >ORGLA10G0116300.1 pep chromosome:AGI1.1:10:14364946:14365359:1 gene:ORGLA10G0116300 transcript:ORGLA10G0116300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAAAAAMVFLVFAPCLVQAEERPTAAHPHGLPFESPLALTPDAYEFFHPSERARRGHATGAAPALXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA10G0116200.1 pep chromosome:AGI1.1:10:14360837:14362509:1 gene:ORGLA10G0116200 transcript:ORGLA10G0116200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDLAQKHTRFRIAVCKLAVSSSLVRTEKIQMAISQEEQHAGGGRDYAEPAPQPFLGSSELRRWSLYRAAIAEFVATLLFLYVTVATCTAGVSGGHVNPAVTLGLLVARKVTLLRAALYVAAQCLGAVCGAGLVRALNSAHFARHGGGANVVGDGYSKGAGLAAEVAGTFVLVYTVFSATDAKRSARDSHIPVLAPLPIGFAVFVVHLATIPITGTGINPARSFGAAVVYNQPNAWHDQWIFWVGPLVGSAIATLYHEHVLRASTLKALGSFKGARQ >ORGLA10G0116100.1 pep chromosome:AGI1.1:10:14358527:14358676:-1 gene:ORGLA10G0116100 transcript:ORGLA10G0116100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEGVKDIPPPGQPATPRVWRTILLAVMWSIWKRRNNKVFNSIDNPASLC >ORGLA10G0116000.1 pep chromosome:AGI1.1:10:14349322:14349876:-1 gene:ORGLA10G0116000 transcript:ORGLA10G0116000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVAAHRLFAPPPQQHGAEAVELDEAEVIWGTSSASSSPVEAYLRGGGAPPTHVAVASSKGGKGKRGGGGGAGAREGGGGGGGGAVAAASLPVNIPDWSKILGTEYGGRGSAGAAAARWPSDERGGDEECRGGLGWVPPHELLLCRERAAASFSVREGAGRTLKGRDLRRVRNAIWEKTGFQD >ORGLA10G0115900.1 pep chromosome:AGI1.1:10:14339964:14340485:1 gene:ORGLA10G0115900 transcript:ORGLA10G0115900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGGGGSPKEAVVPSAASGDTTLGRHLAHRLVQVGVSNVFAMPGDLNLTLLDHLIAEPGLHIVGCCNELNAGYAADGYAWARGVGACTVTFTVRGQLLHGRRRRSHRFWNQVTGDEAGAAFRNQECAGAAPSLPSAVARRSGSVVVDGGSASPSSPPSSSSETTASWDRHG >ORGLA10G0115800.1 pep chromosome:AGI1.1:10:14322547:14327928:-1 gene:ORGLA10G0115800 transcript:ORGLA10G0115800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dsRNA-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT2G28380) TAIR;Acc:AT2G28380] MYKNQLQELAQRSCFNLPAYTCLREGPDHAPRFKAAVNFNGEQFESPGFFTTLRQAEHAAAEVALAALARRGPSYSLAARILDETGVYKNLLQEVAQRVGAPLPSYTTERSGLGHLPVFTCTVELAGITFTGDPAKNKKQAEKNAASAAWSSLRQLVRQEASSSNEPESNDEQEQIRIARALLNYRLKEKMAMANNPHASPFPKKFPMQPERRTAFPQSSHSSYSKILPLFRPKSNSRSRPESPAASDAASQTPFRPTESPNPRSRFPAAEAAPYVPVGHFRMPCHSMAPPVTVRTSIPVFSAPPLPPPGARTQQLPPLMSHPPPIRMASPVRIRPAPPLFTPSAVQGPKPMMPVQIKDVQHQQIKETRSPVMPVQVKDAQNQLLKGSLSPVIPVQIKDVQSQPPKEALSPAIPVQIKDVQLQPRNEPVSIGKGVVPLPAIRPPVKVEAPAEVKEASQPVAGSSVVQCKADTSPDSLPKTQLKTANADNADAKDDHLPVDAEEVEDIIRHLELK >ORGLA10G0115700.1 pep chromosome:AGI1.1:10:14309028:14314787:1 gene:ORGLA10G0115700 transcript:ORGLA10G0115700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRSGSGSDGGGGGYDKAGMDSGKYVRYTPEQVEALERVYAECPKPSSSRRQQLLRDCPILANIEPKQIKVWFQNRRCRDKQRKEASRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLGNDTSCESNVTTPQNPLRDSSNPSGLLTIAEETLTEFLSKATGTAVDWVPMPGMKPGPDSFGIVAVSHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSTASAQQFVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGIEDVIIACNAKKVRNTSTSANAFVTPGGVICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASSLKTSSCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALTHDDGLMSRDIHLLQLCTGIDEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLDMKTDGTPAGRTLDLASSLEVGSTAQPTGDASMDDCNLRSVLTIAFQFPYEMHLQDSVATMARQYVRSIVSSVQRVSMAISPSRSGLNAGQKIISGFPEAPTLARWICQSYQFHLGVELLRQADDAGEALLKMLWDYEDAILCCSFKEKPVFTFANEMGLNMLETSLVALQDLSLDKIFDEAGRKALYNEIPKLMEQGYVYLPGGVCLSGMGRHVSFEQAVAWKVLGEDNNVHCLAFCFVNWSFV >ORGLA10G0115600.1 pep chromosome:AGI1.1:10:14303002:14303310:-1 gene:ORGLA10G0115600 transcript:ORGLA10G0115600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSSGGGNILPQWSRRMVKIPYLSCLGGGGRGGFGGGRRWCLACERAHAGGGGGVCTVLGVAAVVVALHRAPAVCCAPACAAPGAASASVGWGLVGSSPG >ORGLA10G0115500.1 pep chromosome:AGI1.1:10:14274185:14278583:1 gene:ORGLA10G0115500 transcript:ORGLA10G0115500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1QVC2] MKEVGEVEEVRCLDPQLWHACAGGMVQMPAPRSRVYYFAQGHAEHADGGGGAAAAVAELGPRALPPLVLCRVEGVQFLADRDSDEVYAKIRLAPVAPGEAEFREPDELCPLGAAGDAAEPSPEKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYRADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTRHGELCVGIRRAKRMACGGMECMSGWNAPGYGGGGFSAFLKEEESKLMKGHGGGGYMKGKGKVRMADVVEAASLASSGQPFEVAYYPRASTPEFVVKAASVQAAMRIQWCSGMRFKMAFETEDSSRISWFMGTISSVQVADPNRWPNSPWRLLQVAWDEPDLLQNVKCVSPWLVELVSSIPPIHLGPFSSPRKKLRVPPHPDFPFEGHLLNPIFHGNPLGPSNSPLCCYPDTAPAGIQGARHAQFGLPLTDHQLNKLHLGLLHSGSFNRLDAITPPSRISKGFVVSSAPAHDNISCLLSIGTPQVAEKSDDRKTTPHIMLFGKAIFTEQQITSSGSTETLSPGVTGNSSPNGNAHKTGNASDGSGSSICIGFSSQGHEASDLGLEAGHCKVFMESEDVGRTIDLSVFGSYEELYGRLADMFGIEKEEIINHLHFRDAAGVVKHPGEVPFSDFMKAARRLTIIAGDRERIERPLIECLVEQA >ORGLA10G0115400.1 pep chromosome:AGI1.1:10:14254252:14261726:1 gene:ORGLA10G0115400 transcript:ORGLA10G0115400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAAEVVVEDAAAAAAAVPAAAVAGTGAGGGEEGRMRMEGWLYLIRSNRLGLQYSRKRYFVLEDAAFRCFKAPPPPSSSSSSSNARRRKKGGILLEVQXLILVYVSQTMGEKVFIEVFFTYSHSTMPLIIMINLSWVLEVQRKPLGGSGVXWSLPXSPQEKMSILLLVHIEDGKLLDXIIELNNSTVFKWDAAHARAFKCSRFASINGDIXEINDAYMLSRRSNRMHSIDWTVFSSVHNDPMASDVIAPSPWTIFGCKNGLRLFTEAKDGGSEGKYWDDHPAIMAVGVVDANSEAVFQTVMSLGQSRSEGMRKRDLLLRRYWRREDDGTYVILYHSVFHYRCRSERGYVRACLKSGGYVISPVNQGKQSVVKHMLAIDWKFWKSYLFTASAKHITIRMLGRVAALREFFRAKNGNCACIEFSSGELTRDMRLQQGENERIKIEMLSASENNRLEDPTEGSLGGSNRHLSSAGSFIQLNDAADEFFDVPDDSEYDQREAMWPSDESTHSVDQRHAKLSTAAVFVKKLHDLAVQKRGYVDLQGAADFDNGPFCYGYTLPKDSNCTMPSTWAMTDPTTFLIRGESYLQDRLKIKANNTLMQMVGADWIKSDKREDDLAGRPGGLVQKCAAQGGNKFFFIVNIQVPGSSTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTQEELPEFLLGTCRLNYLDASKAVSIDEC >ORGLA10G0115300.1 pep chromosome:AGI1.1:10:14226106:14229377:1 gene:ORGLA10G0115300 transcript:ORGLA10G0115300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATDGAASRLGVAGALGMSVTSSVAIVICNKYLISTLGFFFATTLTSWHLMVTFCTLYVAQRLRFFEAKPIDAQTVISFGLLNGISIGLLNLCLGFNSVGFYQMTKLAIIPFTMLLETIFLSKKFSRSIKISLMVLLLGVGIASVTDLQLNLLGSIIAVLTIAATCVSQILTNQIQRRLKVSSTQLLYQSSPYQSAVLLVTGPFVDKLLTNRDVFAFTYTFQVVAFIVLSCSIAVCVNFSTFLVIGTTSPVTYQVLGHLKTCLILSFGYVLLRDPFTFRNVAGILVAIFGMGLYSFFSVSESRDKKLSDGPSPPLPISSSQMGEMKDSEPLLGGGGGGGAAAKSSPWNEVKGLQSFDEVPRTAKSAFSRP >ORGLA10G0115200.1 pep chromosome:AGI1.1:10:14218056:14219581:1 gene:ORGLA10G0115200 transcript:ORGLA10G0115200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGIIGRAMLQAYRKALDNANKTGVAHETINNIRRASKTMTEQEARQILGVSEQSTWEEIAQRYDNLFERNAKSGSFYLQSKVHRAKECLENVYQKNKQDGTPP >ORGLA10G0115100.1 pep chromosome:AGI1.1:10:14214077:14216796:1 gene:ORGLA10G0115100 transcript:ORGLA10G0115100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELRLGRFRGELLSYQKKKKKKKKKREQETSMNWTMTGPILKSEYCSASFAVQRLTVLKEDQMDLSFFHAQSKSPACVMIGLSAHCIKALQNLSGQADSAANGTINGLFKKDIRCPDWFFPDLVFNGPVPFPVWNSDHLNFF >ORGLA10G0115000.1 pep chromosome:AGI1.1:10:14208031:14211843:-1 gene:ORGLA10G0115000 transcript:ORGLA10G0115000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKIERGRLRGFVRRMAMECLCSGEQLRAADEIIRSPESAITKDCSASGYSSQNGEIEQYLDNGNIEEAELSLREGVCLNYEEARALLGRLEYQRGHVEAALRVFDGIDIPALVPKMKISIARKVDRRKTRSQWDSPPMPLHAVSLLMEAIYLKSRALHDLGKFKEAAQECRMILDIVEAAVPEGLPAGFGKDCKLNEIICKAVELLPELWKLGGFSLEAISSYRRSLLNNWNLDGETIARIQKEFAIFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRVERDPTIMHHLTFALSISGQLKPLAVQFEELLPGMLDKREWSYNVALCYLAEEDDSTALNLLKRILKSGDDSDNFKELLLASKACTERSAHTEGASYAQRAIANIQGGCEQLAGVADLLLGVNLSNQARCATSDTERASWQCEALEVLENAEKKMHGKDPRAMYSLSLEHADQRKLDAAAFYAKKLVKLEAGLELRSWLLLARILSAQKQFADAETIIDAALDQTGKWSQGDLLRTKARIQAAQGQLRNAVETYTKLLAVIQLRTKSLSAGIFLAKGTKDDISLEIETWYDLALLYLRMSQWRDAEFCVSKIRTISPYSALAWHVEGKLYEAKGQPKEALGSYFRALDLDRKHVPSLISTASVLREIGNRPLPSVRCFLTDALQLDRTNHAAWFNLGLLYKEEGGRSAAEAAECFQAAALLEETAPVEPFR >ORGLA10G0114900.1 pep chromosome:AGI1.1:10:14197251:14205822:-1 gene:ORGLA10G0114900 transcript:ORGLA10G0114900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G35920) TAIR;Acc:AT2G35920] MLVHSSARRLLVSRGGGSGSFFPFAAAANACSRRPLAPVSASAMSYRGGGGGRRGGVGGPNSQRGRGRGGGGGGRGGGGGGRGGGGRGEQRWWDPQWRAERLRQMAGEVEKVDENEWWNKIRQLREGSQQELVVKRNFGRDGQNILADMAQRQGLYLSFDAFFRISNLHLEADKFHFHNPVFNLGEDSNAYNKGKTIVFSKVPLPDYRADLDERHGSTQQEIRMSNETERRVESLLAKAKSNSNDSASTSTLTRQSRPSTSSSVTESTKDIDKERLSSELRDIQNSRKMMPSARSMQSFRDKLPAFKMREEFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVASERGEELGDTVGYQIRLESKRSAQTRLLFCTTGVLLRRLVQEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGEAPIMHIPGFTFPVTELFLEDILEKTRYKINSERDNFQGNSRRKRLASVKSDPISDAFEDVDIYKEYGNYSVATRQSLEAWSATELNLSLVEGTIEYICRHEGEGAILVFLTGWDEISKLLDKIKGNNLLGNSNRFLVIPLHGSMPTVNQREIFDRPPANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGACYRLYPKVIYDAMPQFQLPEILRTPLQELCLTIKSLQLGAVASFLAKALQPPDPLSVNNAIELLKTVGALDDVEELTSLGRHLCTLPLDPNIGKMLLIGSVFQCLDPALTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALVKAFEAWKEARRSGRERSFCWENFLSPMTLQMMDDMRNQFFDLLSDIGFVSKTRGLKAYNYYGKDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAGIHQFPLPYLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSESKTGEGIEMLGGYLHFSAPRRIIELIQRLRGELDKLLQRKIEEPALDIFSEGKGVVAAAVELLHSQNVHH >ORGLA10G0114800.1 pep chromosome:AGI1.1:10:14193268:14196131:1 gene:ORGLA10G0114800 transcript:ORGLA10G0114800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyanate hydratase [Source:UniProtKB/TrEMBL;Acc:I1QVB5] MEGGGGERAVGVVRRLMAAKAESRKSFSEIGEEAGLTNVYVAQLLRRQAQLKPETAPALRAAVPGLTDDLVALMMEPPFRSYHPDIVHEPAIYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVQGADGKDRVVVTFDGKYLPYSEQRSDHMMSRLTRKTS >ORGLA10G0114700.1 pep chromosome:AGI1.1:10:14179421:14179861:1 gene:ORGLA10G0114700 transcript:ORGLA10G0114700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASAAPRAVTIFGILLPSWIPSSSPPQGLSSETSGTAVEENQSLPPESQASAAPRAATVNGIRLLTANLEPALRLPPSRLDAAPRAAAAAGEAFCLDSQAILPYAVAKDTTSTQSRYLLAKDTPATLRPPPSEGTPPSTPEHLSSRT >ORGLA10G0114600.1 pep chromosome:AGI1.1:10:14170255:14175638:-1 gene:ORGLA10G0114600 transcript:ORGLA10G0114600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGLFTEWPWKKLGSFKYVLLAPWVAHGWYEVATKGWREVDLGYIAILPSLLLRMLHNQAWITISRLQNARGRRQIVRRGIEFDQVDRERNWDDQIILSGILLYLGALYVPGGQHLPLWRTDGAGLIALLHAGPVEFLYYWFHRALHHHFLYTRYHSHHHSSIVTEPITSVIHPFAELVAYELLFSIPLIACALTGTASIIAFEMYLIYIDFMNNMGHCNFELVPSWLFTWFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDTLYENSLKNNEEEEAVDVVHLTHLTTLHSIYHMRPGFAEFASRPYVSRWYMRMMWPLSWLSMVLTWTYGSSFTVERNVMKKIRMQSWAIPRYSFHYGLDWEKEAINDLIEKAVCEADKNGAKVVSLGLLNQAHTLNKSGEQYLLKYPKLGARIVDGTSLAAAVVVNSIPQGTDQAILAGNVSKVARAVAQALCKKNIKVTMTNKQDYHLLKPEIPETVADNLSFSKTGTAKVWLIGDGLDSAEQFRAQKGTLFIPYSQFPPKMVRKDSCSYSTTPAMAVPKTLQNVHSCENWLPRRVMSAWRIAGILHALEGWNEHECGDKVLDMDKVWSAAIMHGFCPVAQG >ORGLA10G0114500.1 pep chromosome:AGI1.1:10:14158124:14161340:1 gene:ORGLA10G0114500 transcript:ORGLA10G0114500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHQRSGSLSVTSSATPSSDATELDFAAAADVGCPFGRVDALGPVELRETAYEIFFMSCRSSSGGNTAGAAEVSSPVAGPRGGGGFGASRVKKALGLKARRLSSSSSSLAASAAMVAQPMMVRTLSQTSGPASPGRGRRPMTSAEIMRQQMRVTEQSDARLRRTLMRAVVGQVGRRPDTIVLPLELLRQLKPAEFADGEEYHQWQFRQVKLLEAGLILHPSLPLDRLNSAVLRFREVMRATEIRAIDTAKSSDAMRTLTSAVHALAWRSGVGSGGGDACHWADGYPLNVLLYASLLAAIFDHRDCTVVLDEVDELLDLIRKTWPTLGVTRPVHNVCLAWAFFQQYVVTGQVEPELAAAALAVLADVAADARGTRDAVYGKALLGALGAMQEWSEKRLLDYHDSYEKGIGGAPTEGMEILLSISLAAGKIIADRDAAADADAADNFAGDRVDYYIRCSMKNAFTKILESGMGDGDGEPGVVLTQLARDTEELAVVERRSFSPVLRRWHPAPVAVAAVTLHGCYGVVLRQYLGKVTILTEELVRVLQSASRMEKAMAQMTAEDAADCRDDRAKAIVGDMEPYEVDSVVMGLLKVWMDDRFKITMDCLARAKETESWIPKSKDEPFAGSAMEMMKLAKYTVDEFSEIPASAKDEVVQDLVDGLEAIFQEYISFVASCGAKQNYLPPLPPLTRCNQDSGFFKLWRKAVLPSCQAPEGGPRGVGVGGSHHVPRPSISRGTQRLYVRLNTLEYVLTHLHAIDKSLVAAPAPRFDGARAAAKSAIARVAEVAAFRLVFLDSRHSFYHGLYVRGVADTRIRLALRALKQNLTFLVSVLADRAQPVAVREVMRASFEAFLMVLLAGGGDRSFARGDHAMVEEDFRSLRRAFCTCGEGLVPEEVVAREAEAAERVVELMARPTDALIDAFGVATSESIVAAVGRGGDDGDGGYGGVTPVPPTSRRWDAADANTILRVLCHRDDEAASQFLKRTFQLAKRR >ORGLA10G0114400.1 pep chromosome:AGI1.1:10:14152167:14153635:1 gene:ORGLA10G0114400 transcript:ORGLA10G0114400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQGKLFIGGISWETTEEKLRDHFAAYGDVSQAAVMRDKLTGRPRGFGFVVFSDPSSVDAALVDPHTLDGRTVDVKRALSREEQQAAKAANPSAGGRHASGGGGGGGGAGGGGGGGGDAGGARTKKIFVGGLPSNLTEDEFRQYFQTYGVVTDVVVMYDQNTQRPRGFGFITFDAEDAVDRVLHKTFHDLSGKMVEVKRALPREANPGSGGGGRSMGGGGGGYQSNNGPNSNAGGYDSRGDASRYGQAQQGSGGYPGYGAGGYGAGTVGYGYGHANPGTAYGNYGAGGFGGVPAGYGGHYGNPNAPGSGYQGGPPGANRGPWGGQAPSGYGTGSYGGNAGYAAWNNSSAGGNAPTSQAAGAGTGYGNQGYGYGGYGGDASYGNHGAYGGYGGRGDGAGNPAAGGGSGYGAGYGSGNGGSGYPNAWADPSQGGGFGASVNGASEGQSNYGSGYGGVQPRVAQ >ORGLA10G0114300.1 pep chromosome:AGI1.1:10:14082304:14087246:1 gene:ORGLA10G0114300 transcript:ORGLA10G0114300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAAERVEAAGGGDDDYTQDGTVDLHGNPVLRSKRGGWKACGFVVVYEVFERMAYYGISSNLVLYLTTKLHQGTVSSANNVTNWVGTIWMTPILGAYIADAHLGRYRTFMIASLIYLIGMSLLTLAVSVPSLKPPKCGAGTADPGCSEKASSLQLGVFFLALYILAVGTGGTKPNISTIGADQFDDHHPRERRHKLSFFNWWMFSIFFGTLFANTVLVYLQDNVGWTVGYALPTLGLAVSIAIFTAGTPFYRHKPTSGSSFARMARVIVAAVRKLAVALPDDARELHELDDEYYAKKKTTPLPYTPYLKILSKAAVKTSTTSRWSLSTVTQVEETKQILKMLPVLAVTFVPAAMMAQVNTLFVKQGTTLDRRVGGGGFEIPPASLQAFVTISMLVSVVLYDRVFMPLMARATGNPRGITLLQRMGVGLVIHIAIMGIASVTERHRLAVAREHGIADSKGTTIPLTIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYAMTSLGVGNFLSSLLLSTVAHVTRRHGGGGGWIQNNLNASRLDHYYAFFAVLNCVNLVFFFLVCRLYVYNAEVSRVVDVGGSGSGGEVLRPKEVAMVDTNL >ORGLA10G0114200.1 pep chromosome:AGI1.1:10:14077952:14078455:1 gene:ORGLA10G0114200 transcript:ORGLA10G0114200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYGRRATSRISVACHRGQSIYACDAHRRMIDRLIKMDTETRKRKIPPESPEFYRKTVRFLFKNREKGILVKKENCIWRTQHEGIRFCRREYAFQSSSPIITLNKLPAAGRRLVRRWPPSVWSGQVSMILQRENASQLWRRLATDRQADRPENYSLAPEASNAFGR >ORGLA10G0114100.1 pep chromosome:AGI1.1:10:14017359:14021202:1 gene:ORGLA10G0114100 transcript:ORGLA10G0114100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAGAGDDEYTRDGSVDLRGNPVLRSKRGGWKACSFIVVYELFERMAYYGIASNLVIYLTEKLHQGTVEAANNVTNWSGTVFITPLIGAVVADAWLGRYWTFVAGSAVYLMGMLLLTLAVSVPALKPPPCDGGGGGAACPRASALQLGVYFGGLYTIALGHGGTKPNISTIGADQFDDFHPPEKLHKLSFFNWWMFTIFLGILFSTTVLVYLQDNVSWTVGYGIPTLGLMVSVAVFLAGTPLYRHKVPQGSPLATMGRVVAAAVWKWRVPLPADSKELHELELEHYTSRRGFRMDATVSMAFLNKAAVKPAARLPGWTLCTVTQVEETKQIVKLVPLLATMVVPCTLVAQAGTLFVKQGVTLDRRIGKFHVPPASLGAFVTATMLVCIVLYDRFLVPAVRRRTKNPRGITLLQRISLGMLLQIVTMVVTSVVESRRLGYARRHGLVAAGGQLPVTIFILLPQFVLLGVADAFLVVGQIEFFYDQAPESMKSLGTAMSLTAYGAGNLLSSAILAAVERVTGGGKGRTPWVTNNLNASRLDYYYAFLATLAAANLLAFVVLSCKYSYRVESTETIDVDVAMDDVQGGGVARVKSEAAPMA >ORGLA10G0114000.1 pep chromosome:AGI1.1:10:14010418:14011944:-1 gene:ORGLA10G0114000 transcript:ORGLA10G0114000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAPLVLRLCISLSLLLLSSPQRVTSLGLDADEDDHYYHYDGDEDDDNYYHDDDDDVFSGRPARRIYDVDDDDENYYRNDKDDFSGRPARRLYDGGAVMPEKYNVLNGNSSNSSSGSAFCRLLSLQILDLSNNKLTGKLPDCWWNLQSLQFMDLSHNRFSGEIPAVKTSYNCSLESVHLAGNGFTGVFPSALKGCQTLVTLDIGNNNFFGGIPPWIGKGLSSLKILSLRSNNFTGEIPSELSHLSQLQLLDMTNNSLTGSIPTSFGNLTSMKNPKIVSSAGSLDGSTYQDRIDIIWKGQEIIFQKTLQLMTGIDLSGNSLSECIPDELTNLQGLRFLNLSRNNLSCGIPENIGSLKNLESLDLSSNEISGAIPPSLAGISTLSTLNLSYNHLSGKIPTGNQLQTFTDPSIYSHNSGLCGPPLNISCTNALVASDERDCRTCEDQYFYYCVMAGVVFGFWLWFGMLLSIGTWRYAIFSFVDGMQCKVMQKVSSVDKFLSRGNTDQYL >ORGLA10G0113900.1 pep chromosome:AGI1.1:10:13995517:14004614:-1 gene:ORGLA10G0113900 transcript:ORGLA10G0113900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVVLPLLILVAAAAAIPAPANAATPTEAEALLAWKASLQDDAAALSGWSRAAPVCRWHGVACDSGRVAKLRLRGAGLSGGLDKLDFAALPALIELDLNGNNLTGAIPASVSRLSSLASLDLGNNGFTDSIPPQLGDLSDLVDLRLYNNNLVGAIPHQLSRLPNIVHFDLGANYLTDQEFAKFSPMPTVTFMSLYLNSFNGSFPDFILKSPNVTYLDLSQNTLFGQMPDTLPEKLPNLRYLNLSINSFSGLIPASLGRLTKLQDLRMAGNNLTGGIPEFLGSMPQLRILELGDNQLGGAIPPVLGRLHMLQRLDIKNAGLVSSLPSQLGNLKNLTFLELSLNQLTGGLPPAFAGMKAITDFGIATNNLTGEIPPVLFTSWPELISFQVQNNSLTGKIPPELGKAKKLQFLYLFTNNLTGSIPAELGELENLTELDLSVNSLTGPIPSSLGKLKQLTKLELFFNNLTGVIPPEIGNMTALQSLDVNTNSLHGELPATITDLRSLQYLAVFDNHMSGTIPADLGKGLALQHVSFTNNSFSGELPRHICDGFALDHLTANYNNFSGTLPPCLKNCTALVRVRLEENHFTGDISEAFGVHPKLAYLDVSGSKLAGELSSDWGQCTNLTYLSINGNSISGNLDSTFCTLSSLQFLDLSNNRFNGELPSCWWELQALLFMDISGNGFSGELPASKGLQLPLQSMHLANNSFSGVFPNIIRKCGALVTLDMGNNKFFGHIPSWIGTSLPLLRILILRSNNFSGEIPTELSQLSQLQLLDMASNVLTGFIPTSFGNLSSMTQTKTLPVTEYFNAESTPFQPEVPQVPKPHRRREPKNQSPLDQSRDRVSIQWKGHEETFQRTAMLMTGIDLSGNSLYGEIPKELTYLRGLRFLNLSRNDLSGSIPERIGNLNILESLDLSCNELSGIIPATISNLSSLSVLNLSNNHLQGRIPTGSQLQTLVDPSIYSNNLALCGFPLSIACHASMAIFLLAALSTSHLASSLRPVAGGACRPSGYLPGKSGNCEKSNDPDCCEDGKAYPQYRCSPPVTSATGAVLTLNSFEKGKDGGGPSECDNAYHSDGELVVALSTGWFAGMARCGHRVRITASGSGRSVVAKVVDECDSVHGCDDEHNYEPPCDNNIVDASPASLDLSWNKLSDVIPTTISNLSCLSVLNLSNNRLWGSIPTGRQLQTFVDPSIYSNNLGLCGFPLRIACQASRLDQRIEDHKELDKFLFYSVVVGIVFGFWLWFGALLLLKPLRVFVFHFVDHIERSYANCRRCTH >ORGLA10G0113800.1 pep chromosome:AGI1.1:10:13976067:13976672:1 gene:ORGLA10G0113800 transcript:ORGLA10G0113800.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRRWRRLLHRLLRGGRKRCCGNGDGVDRFTVYFVEAQKLQLLRRDESFELTLDPFTYELLSERRIGLAPIGLANILNVGGAVQGFQTARKDGGGGDMAAEVAVKDAKEMVAYSSARLWAGARGEAAKERHGWSWAEDGELDVPAMESGLGKDVADDLDGGGSLGGGGLVVEVEGGLGALDGGHEEGVEGAFPSIRNFSPLF >ORGLA10G0113700.1 pep chromosome:AGI1.1:10:13959712:13964203:1 gene:ORGLA10G0113700 transcript:ORGLA10G0113700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRHAALRLVVVVVVVVAAADAATEADALLAWXXXXXXXXXXXXXXXXXXXXXXXXXVACDASAGGARVTSLRLGGAGLGGGLDALDFAALPALAELDLNGNNFTGAIPASISRLRSLTSLDLGNNGFSDSIPPQLGDLSGLVDLRLYNNNLVGAIPHQLSRLPKVAHFDLGANYLTDQDFAKFSPMPTVTFMSLYLNSFNGSFPDFILKSGNVTYLDLSQNTLFGKIPDTLPEKLPNLRYLNLSINAFSGPIPASLGKLTKLQDLRMASNNLTRGVPEFLGSMPQLRILELGDNQLGGQIPPVLGQLQMLQRLDIKNSGLSSTLPSQLGNLKNLTYLELSLNQLSGGLPLEFAGMRAMREFGISTNNLTGEIPPVLFTSWPELISFQVQNNSLTGKIPPELGKANKLKILYLYSNKLTGSIPAELGELEDLQELDLSVNSLTGPIPSSLGKLKQLIKLALFFNNLTGVIPPEIGNMTALQSLDVNTNSLHGELPATITDLRSLQYLAVFDNHMSGAIPADLGKGLALQHVSFTNNSFSGELPRHICDGFALDHLTANYNNFTGALPPCLKNCTALVRVRLEENHFTGDISEAFGVHPSLEYLDVSGSKLTGELSSDWGQCANLTLLRMDGNRISGRIPEAFGSMTRLQILSLAGNNLTGGIPPVLGELSIFNLNLSHNSFSGPIPGSLSNNSKLQKVDLSGNMLDGTIPVAISKLDALILLDLSKNKLSGEIPSELGNLAQLQILLDLSSNSLSGAIPPNLEKLMTLQRLNLSHNELSGLIPAGFSSMSSLESVDFSFNRLTGSIPSGKVFQNASASAYVGNLGLCGDVQGLTPCDISSTGSSSGHHKRVVIATVVSVVGVVLLLAVVTCIILLCRRRPREKKEVESNTNYSYESTIWEKEGKFTFFDIVNATDNFNETFCIGKGGFGSVYRAELSSGQVVAVKRFHVADTGDISDVNKKSFENEIKALTEVRHRNIVKLHGFCTSGDYMYLVYEYLERGSLGKTLYGEEGKKKMDWGMRVKVVQGLAHALAYLHHDCNPAIVHRDITVNNILLESDFEPRLCDFGTAKLLGGASTNWTSVAGSYGYMAPEFAYTMRVTEKCDVYSFGVVALEVMMGKHPGDLLTSLPAISSSEEDDLLLKDILDQRLDAPTGQLAEEVVFVVRIALGCTRVNPESRPSMRSVAQEISAHTQAYLSEPFKLITISKLTDYQK >ORGLA10G0113600.1 pep chromosome:AGI1.1:10:13935556:13941495:-1 gene:ORGLA10G0113600 transcript:ORGLA10G0113600.1 gene_biotype:protein_coding transcript_biotype:protein_coding AWRGVACDASGVVVGVDVAGAGVAGTLDALDLSSLPGLAALNLSLNSLTGSFPSNVSSPLLSLRSIDLSSNNLSGPIPAALPALMPNLEHLNLSSNQFSGEIPASLAKLTKLQSVVLGSNLLHGGVPPVIGNISGLRTLELSGNPLGGAIPTTLGKLRSLEHINVSLAGLESTIPDELSLCANLTVIGLAGNKLTGKLPVALARLTRVREFNVSKNMLSGEVLPDYFTAWTNLEVFQADGNRFTGEIPTAIAMASRLEFLSLATNNLSGAIPPVIGTLANLKLLDLAENKLAGEIPRTIGNLTSLETLRLYTNKLTGRLPDELGDMAALQRLSVSSNMLEGELPAGLARLPRLVGLVAFDNLLSGAIPPEFGRNGQLSIVSMANNRFSGELPRGVCASAPRLRWLGLDDNQFSGTVPACYRNLTNLVRLRMARNKLAGDVSEILASHPDLYYLDLSGNSFDGELPEHWAQFKSLSFLHLSGNKIAGAIPASYGAMSLQDLDLSSNRLAGEIPPELGSLPLTKLNLRRNALSGRVPATLGNAARMEMLDLSGNALDGGVPVELTKLAEMWYLNLSSNNLSGEVPPLLGKMRSLTTLDLSGNPGLCGHDIAGLNSCSSNTTTGDGHSGKTRLVLAVTLSVAAALLVSMVAVVCAVSRKARRAAVVVEKAETSASGGGGSSTAAAVQASIWSKDTTFSFGDILAATEHFNDAYCIGKGSFGTVYRADLGGGRAVAVKRLDASETGDACWGVSERSFENEVRALTRVRHRNIVKLHGFCAMGGYMYLVYELAERGSLGAVLYGGGGGGGGCRFDWPARMRAIRGVAHALAYLHHDCSPPMIHRDVSVNNVLLDPDYEPRVSDFGTARFLVPGRSTCDSIAGSYGYMAPELAYMRVTTKCDVYSFGVVALEMLMGKYPGGLISSLQHSPQSLSAEGHDGGGGGGGEEASASASRRLLLKDVVDQRLDAPAGKLAGQVVFAFVVALSCVRTSPDARPTMRAVAQELAARRRPILDRPFEMIKIGDLTNSHR >ORGLA10G0113500.1 pep chromosome:AGI1.1:10:13930352:13934642:1 gene:ORGLA10G0113500 transcript:ORGLA10G0113500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase A4 [Source:Projected from Arabidopsis thaliana (AT5G44030) TAIR;Acc:AT5G44030] MDTASVTGGEHKGKEKTCRVCGEEVAAREDGKPFVACAECGFPVCRPCYEYERSEGTQCCPQCNTRYKRHKGCPRVEGDEDDGGDMDDFEEEFQIKSPTKQKPPHEPVNFDVYSENGEQPAQKWRPGGPALSSFTGSVAGKDLEQEREMEGGMEWKDRIDKWKTKQEKRGKLNRDDSDDDDDKNDDEYMLLAEARQPLWRKVPIPSSKINPYRIVIVLRLVVLCFFLKFRITTPAMDAVPLWLASVICELWFALSWILDQLPKWSPVTRETYLDRLALRYERDGEPCRLAPIDFFVSTVDPLKEPPIITANTVLSILAVDYPVDRVSCYVSDDGASMLLFDTLSETAEFARRWVPFCKKFTIEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSQGALDVEGSELPRLVYVSREKRPGYNHHKKAGAMNSLVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDKQLGKKLCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGTVFNRQALYGYDPPRPEKRPKMTCDCWPSWCCCCCCFGGGKRGKSHKNKKGSGGGEGGGLDEPRRGLLGFYKKRSKKDKLGGGAASLAGGKKGYRKHQRGFELEEIEEGLEGYDELERSSLMSQKSFEKRFGQSPVFIASTLVEDGGLPQGAAADPAALIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCTPARAAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYAYGGRLKWLERFAYTNTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLNNLASIWFIALFLSIIATGVLELRWSGVSIEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTSKAAADETDAFGELYLFKWTTLLVPPTTLIIINMVGIVAGVSDAVNNGYGSWGPLFGKLFFSFWVILHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFIPKPKGPVLKPCGVSC >ORGLA10G0113400.1 pep chromosome:AGI1.1:10:13926585:13929275:1 gene:ORGLA10G0113400 transcript:ORGLA10G0113400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic initiation factor 4E protein [Source:Projected from Arabidopsis thaliana (AT5G35620) TAIR;Acc:AT5G35620] MAEVEAAPIAAAETPEVAAAEGAAAAKAPHKLHRQWAFWYDIQSKPKPGAAWGTSLRKAYTFDTVEEFWGLYDQIFRPSKVTVNADFHLFKAGVEPKWEDPECANGGKWTVPCSRKTTLENMWLETLMALIGEQFDESEEICGVVASVRQRGDKLALWTRTASNEAVQVNIGKKWKEIVDYNDKMVYSFHDDAKREKPSRGGRYNV >ORGLA10G0113300.1 pep chromosome:AGI1.1:10:13919240:13923619:1 gene:ORGLA10G0113300 transcript:ORGLA10G0113300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin-12 [Source:Projected from Arabidopsis thaliana (AT3G04460) TAIR;Acc:AT3G04460] MLFQVGGQGARPTFFEMSAAQQLPASLRAALSYSLGVFALRRPLLHKVLDYEDEFFALLMAVLESHSLRTTDGSFSESLYGLRRRPVKVSVKRSSSGAESNDKAYDSVLRKRQKVLSVVFLVVLPYFKSKLQSIYNKEREARLQASLWGQGDVRFDEADLVSDQGETSQAQVEATTGEVSNMARIKKNFAALIGVCYPWIHATNEGLSFAYQLLYLLDGTAFYSPALHALGLHVCRATGQELMESSSRVSRIRNRELERLRGPPWLKTMQRVLLNCMYTSLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPLPKVAKDGLPLPPDRTLCPLCCQKRNNPSVLSASGFVFCYSCIFKSVSQHKRCPITLMPATVEQIRRLFHDL >ORGLA10G0113200.1 pep chromosome:AGI1.1:10:13907823:13908110:1 gene:ORGLA10G0113200 transcript:ORGLA10G0113200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDGLYGGGGGRRRMATTAKGAGAGRRRSSEAVAAPRPVRQLYXKLRSRLRSSSSKRHGGGGAAARFGYDLQSYSRNFDDGGLDRSSPASYRF >ORGLA10G0113100.1 pep chromosome:AGI1.1:10:13900548:13900817:1 gene:ORGLA10G0113100 transcript:ORGLA10G0113100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDGLYGSRRRMATAKGAGAAGRRRSSSAVAAPRPVRQLYWKLRSRLRSSSKRHAGGAAAARFGYDLQSYSRNFDDGVGLVVSGHRF >ORGLA10G0113000.1 pep chromosome:AGI1.1:10:13888409:13890194:-1 gene:ORGLA10G0113000 transcript:ORGLA10G0113000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >ORGLA10G0112900.1 pep chromosome:AGI1.1:10:13887407:13887880:1 gene:ORGLA10G0112900 transcript:ORGLA10G0112900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRCLALLACFAIVPLETLRHHLALLPRTAMARRRRFALSACFAIVPLESGGEGRRCFSCRRAPSPHQGRAPPSPRTQVTAALVARRRSSACRQSWETSLSLSCHAXRLSSLSLPPSSFATAXRPSSEGNCRIEAKHRSWEIIGEWMENATEXHSS >ORGLA10G0112800.1 pep chromosome:AGI1.1:10:13882526:13885001:1 gene:ORGLA10G0112800 transcript:ORGLA10G0112800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRELFSSSNSHSVDVPLPSQYQFRAFLGARSIMLDDVDDEPFADGISSPIAQHIYDFCDDGNGGDLFAAVNAAAAAGSDMFTASSEDASASSSSTATAPIAGGGDTLSPPLPSLDSTLSALLEQDEPPGADGELLLPIDDYAFAAVDETQPPPPPEHQQQQFTQMPLPVMGSAAAEHPALQAQLSSTATELMQYAGFTDEVFAAALAAGAGAGAGGYMGLDDPLCPQQQHSGAMLPAAAAAAGEAFFSKDAHAAQAAFFAAAGGGGGSMVMSMMGMDEIGEYQRMMECGGALFGATHAADGADMAFGNAAAAELQMGGGGSSPARLPATGTTETTSLEDTSFKTVRLSNEERKEKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYCEASRSIGSQNHEEYEQIGGVKGEDMLDSDALAHISGMSSYMYNHTVESWI >ORGLA10G0112700.1 pep chromosome:AGI1.1:10:13872972:13874502:-1 gene:ORGLA10G0112700 transcript:ORGLA10G0112700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAKVDMGRRLSRITGQGKDGELQMFWTFSKLLLSLIAGEQHDDTPHTAQASGEYGGTATACTSIYKLELPSPARCHDDADAAVAAIEPREATNVDSKASYLIQIKNPEQPPSSDGRGGDKIKPRGPRSSRDGTDRASSSISRTRIDRARLQKALDLVKPRYQDGDAVQVSAVREGPCTCQHGCTGSVATLRF >ORGLA10G0112600.1 pep chromosome:AGI1.1:10:13864651:13871247:-1 gene:ORGLA10G0112600 transcript:ORGLA10G0112600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAISGEVPDEPVVSKKSGLLFERRLVERYIEDHGKCPVTKEELTMDDIVAVKTNKVVKPRQLQAASIPGLLGMFQNEWDAIMLSSFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARALLAQAERQIPASMAGAAPTAVVSNGKRAFEDEVGPDGKKIRPGINPVMIDELTECNTMLSAHRKKRQVPPTLASIDAIERYTQISSHPLHKTNKPGILSMDIHPSKDIIATGGIDTNAVLFDRPSGQILCTLTGHSKKITSLKFVPRDELFVTGSADKTVKIWQGSEEGNYNCIHTLKDHTAEVEAVTVHATQKYFVTASKDNTWCFYDIPSGSCLTQVGESSGQEGYTSASFHPDGLILGTGTTEAVVKIWDVKTQSNVAKFEGHVGPVTAMSFSENGYFLATAALDGVKLWDLRKLRNFRTISPYDSDTPTNSVEFDFSGSYLAVGGSDTRVYQVANVKLEWNLVKTLPDLSGTGKVTNVKFGTDAKYIAVGSMDRNLRIFGLPGEDDQMDDAKPSEE >ORGLA10G0112500.1 pep chromosome:AGI1.1:10:13862561:13863028:-1 gene:ORGLA10G0112500 transcript:ORGLA10G0112500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial ribosomal protein L11 [Source:Projected from Arabidopsis thaliana (AT4G35490) TAIR;Acc:AT4G35490] MATTLKDAVARKPVLATIRLIVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASGRPGHTVVSSLSLRHVYEIAKLKQSDPYCKHMSLEALCKSIIGTANSMGIEIVKDL >ORGLA10G0112400.1 pep chromosome:AGI1.1:10:13859209:13861341:1 gene:ORGLA10G0112400 transcript:ORGLA10G0112400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRFFSCTSATRPPAPENADITLVSGPSCCGKTSLLFQFAINRATESGRGVVFICRKGRLENSPPFLSQGIDLSHSVLQRIHIKYIEDDEGIRKYFAAFHLLGSFPAAVIIDDFADFFSERSCQQRYGNARARDLAVVRILALCQNAVAHANTKLGVPGSCNLLLSDVHQGDTPRSMFIYKRWISSIYTIQGDGHGSYILKSISSSDCGSREGRAAKYSIALQYLVLEHISNG >ORGLA10G0112300.1 pep chromosome:AGI1.1:10:13853531:13855793:-1 gene:ORGLA10G0112300 transcript:ORGLA10G0112300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVDISSDEEGFAAAAVAVATTTKKASVDSLGWIADLLREEDERALSDEFDDLEVMSELSAPPVMAQQKGGKPDCGGEEDDDDCVVLDGDPDDVVAVAGEKGSEGDGSSDELQIVAEKGPVACRDFPHSRHLCSNLPFSTTSHVKYCSMCHCFVCDTPAPCNYWGKGTEIYDHCHATDKEKKWKAMRHTFKSKGLPTSHPEKRQNVVYPTTTSFVQQDTQCEISLIQSHMTTYFPNQSHLANVVNQGLTQTRHTSVRVSPSVGRTVSATRTTPATRAGRGMSNAPSIQIPQSRTRFKRVGATSPGIVTLNDNQFGSAAPNNTQLHQPSSPHASQPAQVAPRTLFGTVQKNPPQRSLSAPIALQGQQDQSASSYQAASNGTHGTGPQFSRCISLTAQRTQLLPEPALDVYSKSWQDIIDSLASDLEVPDYNMGAAESQQPDRTISQPLDSITFQGVGLHSEPVVALANLMPCNGQNVANGMIGSNCLAQTTQILPHLNHQPSLVPNESHLNNSVSSTADGLLMEAAHQRDTQGSDSLDLLFDFEFEDWDSAEP >ORGLA10G0112200.1 pep chromosome:AGI1.1:10:13845076:13848038:1 gene:ORGLA10G0112200 transcript:ORGLA10G0112200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRCSPAASPPLLPRRRSGSLLSAAPPGRRPLLPAAAGRVVLGCGLVTLDYLATVDAYPRPDDKIRSGELQVSGGGNAGNALTGAARLGLNTRLISKVANDEIGGTVLSELKEAGIDISHVIRCNASNHVYIEKVVRFLMVETQHLYMSLLISKRYPPMIPSDLRMSSLSAALQDVSLLYLDGYSDEMALAVAKQADQMKIPILVDAEPERTREELGGLLSLASYIVCNGKFPEKWTSIPSIPSALLEILLQYPCACFAVVTLGENGCMMLERGKDGENYETEPVDIENVAESLRLKVDKDDILPTCVSSKFMRLTARGSGNIFARLLIGTAESIPASELVDTTGCGDAFIGAVLHGEPLTSSRITIYFLHYVSLRRREFDINSY >ORGLA10G0112100.1 pep chromosome:AGI1.1:10:13842990:13844219:-1 gene:ORGLA10G0112100 transcript:ORGLA10G0112100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRARTRDLFARPFRKKGYIPLTTYLRTYKIGEHVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCTEEFRLRKIRNDQLKADAKARGEVISTKRQPQGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >ORGLA10G0112000.1 pep chromosome:AGI1.1:10:13839570:13841441:1 gene:ORGLA10G0112000 transcript:ORGLA10G0112000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:I1QV87] MALNLAQSAAAAACFATAGDARRAASVVAMPSSSSSATTSLRMKRQAACEPVACRAVARHVAAAAASSRRNGVPVFVMMPLDTVSKCGSALNRRKAVAASLAALKSAGVEGIMVDVWWGIVESEGPGRYNFDGYMELMEMARKTGLKVQAVMSFHQCGGNVGDSVNIPLPRWVVEEMEKDNDLAYTDQWGRRNFEYISLGCDAMPVFKGRTPVECYTDFMRAFRDHFASFLGDTIVEIQVGMGPAGELRYPSYPESNGTWRFPGIGAFQCNDRYMRSSLKVAAEARGKPEWGHGGPTDAGGYNNWPEDTVFFRGDCGGWSTEYGEFFLSWYSQMLLEHGERVLSGATSVFGDGAGAKISVKVAGIHWHYGTRSHAPELTAGYYNTRHRDGYLPIARMLARHGAVLNFTCVEMRDHEQPQEAQCMPEALVRQVAAAARAAGVGLAGENALPRYDGTAHDQVVAAAADRAAEDRMVAFTYLRMGPDLFHPDNWRRFVAFVRRMSESGSPREAAESAAHGVAQATGSLVHEAAVALRS >ORGLA10G0111900.1 pep chromosome:AGI1.1:10:13827563:13829618:-1 gene:ORGLA10G0111900 transcript:ORGLA10G0111900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKKRKRLLGDDDDDLALNEGLYMALECGALTAGHPDADRLVRAWLAVSRRRHALVRRLIGLLEDSYGDGGDGDGSSWPWNRAAHRHCPLSVEKRRGVKSLIILVSWEIWKESNSRVFQSIETTPCGIIDRATEEIWLWRAGGAKSIQSLMPDDRGRIRCLYYDYMGIRIVHLSKENFCGREMELEKMTCGEDSRNDHDRSFRFDEPINATLIAISIAIAV >ORGLA10G0111800.1 pep chromosome:AGI1.1:10:13816556:13817013:1 gene:ORGLA10G0111800 transcript:ORGLA10G0111800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPSPLKFIGELEPEAKALLEAALMEANREREKKILNGTKYSLPSPLPGDDSADDDACSEVSDMSSAASASTRLAPLRSPPSPASYLPPSAPALPPLSTRVRDWGGMGKSGGGDGHDD >ORGLA10G0111700.1 pep chromosome:AGI1.1:10:13807853:13809164:-1 gene:ORGLA10G0111700 transcript:ORGLA10G0111700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTCGNGESVKLWNVATGECSLKFSSSVGHIINSCAWFPNSEKIVCASCEPESSPKRIFTCDLEGQELESWVGDRIPKVSDIAVTPDSKHLICVCSNEIWIRELPKGREWRIREQQTISSLSLSGDGQSLIVNLNSQEIHLWRISESSTAPDNKFKGHKQGKFVIRSCFGGSNSLFIASGSEDSQVYIWKRHLETPIKVLYGHSLTVNCVSWNPAKPHMLASASDDRTVRIWLAHKGSHRTRLTA >ORGLA10G0111600.1 pep chromosome:AGI1.1:10:13804935:13806872:-1 gene:ORGLA10G0111600 transcript:ORGLA10G0111600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPCGICHGDMRRGGRGGGDAVFTAECSHQFHFHCISGTVARGRIACPLCHARWRELPSFRRGNDAAPPGASASASASAARPFFRPVEPRVFDDDEPLVRAPRRLGERRHGGGATSVASDGGGGSAVALATHCENSAVARDACREDFAVLVHARAPTGGGGAAAAEAQRAPLDLVTVLDVSGSMVGNKLALLKQAMGFVIDNLGPGDRLCVISFSSGASRLMRLSRMTDAGKAHAKRAVGSLSARGGTNIGAALRKAAKVLDDRLYRNAVESVILLSDGQDTYTVPPRGGYDRDANYDALVPPSLVRADAGGGGGRAPPVHTFGFGKDHDAAAMHTIAEVTGGTFSFIENEAAIQDGFAQCIGGLLSVAVQELRLDVACVDTGVRVTAVKSGRYKSHIEDDGRAAKVDVGELYADEERSFLLFVVVPRAPAWDDVTHLIEVSCSYRDMETGRTTSVAGDEEAVVLRPSRAESGVAERSVEVDRELVRVEAIDDIALARAAAERGEYAEAAEILRSRQRAVARSAAARAGDAMCAALSGELREMRARVADRRQYELSGRAYVLAGLSSHAQQRATSRQMSGEVAPRRHAHGGGGGSSELPTGITVSYVTPAMLDMLDRSRRSRELTRPPPPQQQQQRDRERRRS >ORGLA10G0111500.1 pep chromosome:AGI1.1:10:13802379:13804196:-1 gene:ORGLA10G0111500 transcript:ORGLA10G0111500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERETDPCAICLGEIAGGKAIFTAECSHTFHNRCIARNVAHGRRVCPLCNARWSDVPALSSSSAAAAEPDDDDEPPLYADDDPVEPAGEQAAATDGDAAAGLVVKAHCEYPAVARGASRDKFAVLVHAKAAGAAAAAASRAPLDLVTVLDVSGSMAGRKLALVKKAMGFVIDNLGPADRLCVVSFSTEASRRTRLLRMSEVGKATAKRAVESLVDDSATNIGDGLRVAGRVLGDCRRKNAVSSVILLSDGKDSYVVPRRGNGMSYMDLVPPSFASSGGRGRLAPIHTFGFGADHDAAAMNTIAESTGGTFSFVENEAAIQDSFAQCIGGLLSVAVQDARIAVACSSPGVLVREIKSGRYESRVGADGRAASVEVGELYADEERRFLLFINVPIAEATEDATQLIKLSCTYRDTVTGRTIDVAAGEDAVVRRPLEVSAADQEVSMEVERERVRVEATEDIAIARAAAERGDHAEAARTLQLRREAVEASAPGLAGDVMCDELADDLCELEEEVEDAPRYERAGRARMLAGMSSHGLQRASGTTHNSSRRKQCARKERAKERLYATPAMGMMVSKSRDEPPPAQRQQKGPGRGGDEQSEKKKKKSGE >ORGLA10G0111400.1 pep chromosome:AGI1.1:10:13790892:13795749:-1 gene:ORGLA10G0111400 transcript:ORGLA10G0111400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKWERAKRALATRLCVRAPRVRAAAAEAEGEGEEGEGRGRSVAASPPAHVASSRRLSRSGSRSSTKICAICLGGMCSGNGQALFTAECSHKFHFHCISSSVRHGNTVCPICRAVWKELPFQGPLPAAAAAAADASLLGTARVNPHPLDDRHQHQRMAVVRRLSRGDSVTRQWQLPIFRTLDGGIFDDDEQLDLHPAEDVVGTQDVDSIVADEMAPASVGITTYAAFPAMEESVMVEEFAVLIHLKAPSSPATVTSRAPIDLVTVLDVSWSMAGTKLALLKRAMSFVIQALGPGDRLSVVTFSSSARRLFPLRKMTESGRQRALQRVSSLVADGGTNIADALRKAARVMEDRRERNPVCSIVLLSDGRDTYTVPVPRGGGRGGDQPDYAVLVPSSLLPGGGSARHVQVHAFGFGADHDSPAMHSIAEMSGGTFSFIDAAGSIQDAFAQCIGGLLSVVAQELRLSVECGDDGVLLTSVRSGGYASHVDGDGRGGFVDVGDLYADEEKDFLVTVRVPAARGVSALITPSCTYRSTATMETVRVGGDTVTVPRTLDAPVGYDGMSPEVERELHRVQATEDMAAARAAAERGDFELAAAILDERRGVLESRADDDPQSVALAAELREMQDRVETRQRYEESGRAYMLAGLSSHSWQRATARGDSTELTSVIHTYQTPFMVDMLQRSQTLQPEVVVAMSRSAPLPAPSLSPPPPPPSQLRRRS >ORGLA10G0111300.1 pep chromosome:AGI1.1:10:13783681:13786667:-1 gene:ORGLA10G0111300 transcript:ORGLA10G0111300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIARLISHVILDLDGTLLNTDCVVSQVLKPFLVKNGKKWDSKKAHKLVGKTPYEAAAVVLEDYGLPYSTEEFLSMLTPMFNEQWCNIKALPGANRLIKHLKSNGVPAALASNSPRSNIDAKISCHQGWKESFSAIVGGDEVEKGKPSPDIFLEAAKRMNTNPPNCLVIEDSLPGVAAGKAAGMHVIAVPSVPKRTAEFSSADEVINSLLDVKPEKWGLPPFSDWIDDTLPIEPWFIGGPVIKGFGRGSKVLGIPTANLPAENFSDVLSEHTSGVYFGWAGLSTRGIFKMVMSIGWNPYFDNTEKTIEPWLLHDFGEDFYGEELRLVIVGYIRPEANFPSLESLIERIHEDARISDKALNLPLYAKYKDSPYLRNSLKEDNSANGNQSVIDSK >ORGLA10G0111200.1 pep chromosome:AGI1.1:10:13782192:13782650:-1 gene:ORGLA10G0111200 transcript:ORGLA10G0111200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAAMALEHLGRRNVAGPLLLLNLLMYVFLLGFAGWALNSSIKNAGADVGVGWGEQPWSPYYRQSAWLASRFHLATFAALAGALGVAAKASAAYHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA10G0111100.1 pep chromosome:AGI1.1:10:13771473:13774778:-1 gene:ORGLA10G0111100 transcript:ORGLA10G0111100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20330) TAIR;Acc:AT2G20330] MADGGEMDEEAMRAFFPMSFGKAPTRAGAGASAHASTLRKPPQNPSAKPSTSSAAAAAAAGDDDDDDDGPMVGPPRPPPQPAGGGEGEDDEEGGGVMIGPPRPPPRSSSRGEGEDADGGMIGPPRPPRPPPVKDDDEEDEDDDDDDDDDGDDSDDEMEDDGERYNRIPLSNEVVLRGHTKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFIKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSIRLWDVSDFKSQKQVIKPKLARPMRIPVTSCAWDHEGKRIVGGIGDGSIQLWTVKTGWGSRPDIHVEKTHTEDITGVKFSTDGQILLSRSMDSTLKIWDLRKMKTPLKVFEDLPNHYAETNVAFSPDEQLIFTGTSIEKDGENGGLLCFFDRRKLELVSRVGISPHYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSISQRGALVCVGRAPRKKSVDDFEVQPVIHNPHALPLFRDQPSRKRQREKILKDPLKSHKPEAPVNGPGFGGRVGTTKGSLLTQYLLKEGGLIKETWMDEDPREAILKYADAAEKDPKFIAPAYSQTQPKPVFAESDSEGEEKK >ORGLA10G0111000.1 pep chromosome:AGI1.1:10:13767739:13769202:-1 gene:ORGLA10G0111000 transcript:ORGLA10G0111000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT3G01290) TAIR;Acc:AT3G01290] MGKLVAAIGKLLCCVQVDQSTVGIKERFGKYEEVLDPGCHCVPWIIGSRVAGELTLRLRQLDVRCETKTKDNVFVTVVASIQYRAMEDKASDAYYKLSNPKSQIQSYVFDVIRASIPKLELDDAFLQKNEIARAVEEELEKAMLAYGYEIVQTLIVDIEPDEKVKRAMNEINAAARLRVAANEKAEAEKIIQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSGNVPGTSAKDVMDLVLLTQYFDTMKEIGSTSKSSAIFLPHGPGAVADIASQIRDGCLQAHQTK >ORGLA10G0110900.1 pep chromosome:AGI1.1:10:13766498:13766875:1 gene:ORGLA10G0110900 transcript:ORGLA10G0110900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPPPPRPPASSSAAAEARVLPPTMLHAPSPLSSRRASRAAAGGRGGRGRRKGGAPIPAAGAGGGGGGSGVDRLADAVRLIGRDVDPGVAGADILELAMAKGPMFSWLSYWPEEGYPKEEHPY >ORGLA10G0110800.1 pep chromosome:AGI1.1:10:13762167:13765260:-1 gene:ORGLA10G0110800 transcript:ORGLA10G0110800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALAGARSPGAGAILSLRRLDPAAAAPVRLGGSGTPGSRRRRGIAMAAAASAPPAPADALPKGADSFFRTVISNMEKVYLSRNPTAKTILELVRSYDGDHICYDHFAFRTFGVDGYGIKSLAEFFTDFGYVPREELRFPAKKLRALWFSPPTNDGYTGTGVYGPLPRIFISELLVDELSPQSQDIIQKYIRTSGKGNKHATLASTSGELTWEKPIYSDFQVLSRESEYAAWTLVNGYALNHTTISTHRLISDIRSINKFNKFVEDNGFKLNSEGGILKVSPDGLLQQSSTVADSALFTFADGITESIPRSYIEFAERLVLPQFKDLPNDEVNEHHRRDGFEVGNADKIFESTSNDQLTRRSA >ORGLA10G0110700.1 pep chromosome:AGI1.1:10:13758067:13758489:-1 gene:ORGLA10G0110700 transcript:ORGLA10G0110700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREREPGKLVNLSASGATVTGAHSPAPLVTLTRAATAQPRSPPPVTPSPQASQASPLLSEPLDWGTDAKEEDDDDAIAPELHNGQRRHSIRRPPKHAKQLLHLRRPRLSIRKSMLEARKRSSTLLQREERDCHTVKFSS >ORGLA10G0110600.1 pep chromosome:AGI1.1:10:13736838:13737143:1 gene:ORGLA10G0110600 transcript:ORGLA10G0110600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSASPSSCRHLPYCHRPSLDLASYGPDLESSVEGEDGGGEGMTKGEVGGKEEMAEGEVRGHRRCEPSSWARAASGLERRQRGGGAAERRRESYAGGQGK >ORGLA10G0110500.1 pep chromosome:AGI1.1:10:13724815:13726710:-1 gene:ORGLA10G0110500 transcript:ORGLA10G0110500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDTNTVMKYVANGASDFLLKPVRIEELSNIWQHIFRKQMQDHKNNNMVGNLEKPGHPPSILAMARATPATTRSTATEALLAPLENEVRDDMVNYNGEITDIRDLRKSRLTWTTQLHRQFIAAVNHLGEDKAVPKKILGIMKVKHLTREQVASHLQVHIKYRMQLKKSIPTTSKHGATLSSTALDKTQDHPSRSQYFNQDGCMEIMDYSLPRDDLSSGSECMLEEQNDYSSEGFQDFRWDSDKQEYGPCFWNF >ORGLA10G0110400.1 pep chromosome:AGI1.1:10:13722937:13723766:1 gene:ORGLA10G0110400 transcript:ORGLA10G0110400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13450) TAIR;Acc:AT4G13450] MAPFIDLGRGGPTAAPGKPRLVMIIADPGRESTAAMEWALSHAVAEGDAILLLHINMPPNSAGGAGPSRTGSGGSAGSPLTALLGAGAAGDADFMETMSAACKARHPRARVRALRVEPATEGREAKAQTILAESQRRGVELLVIGHRRVSSFLGLRSPSGSSRAHDSTAEFLIEHSKCVCVSVQKKGQNAGYLLNTKTHKNFWLLA >ORGLA10G0110300.1 pep chromosome:AGI1.1:10:13719405:13720957:1 gene:ORGLA10G0110300 transcript:ORGLA10G0110300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSMAAMAVVMAVMAAAAASPAEGARAFFVFGDSLVDNGNNNYLLTSARADMPPYGIDHPSHRPTGRFSNGLNIPDIISEHLGAEPTLPYLSPDLRGAKLLVGANFASAGVGILNDTGIQFVNIVRMSRQLQYFAEYQERLRALVGAARARRIVNGALVLITLGGNDFVNNYYLVPFSLRSQQFALPDYVRFLISEYKKILQRLYDMGARRVLVTGTGPLGCAPAERALRGRGGGGCAPQVMRAAELFNPQLSRALGEMNARVGRPGAFMAANSFRVHFDFISNPAAFGFATARDACCGQGPNNGLGLCTAMSNLCADRDAYVFWDAYHPTEKANRIIVSQFVRGSLDYVSPLNLSAALEIDAAEEAAAAAARRRRQRAVARLVGDKPHA >ORGLA10G0110200.1 pep chromosome:AGI1.1:10:13711954:13715358:1 gene:ORGLA10G0110200 transcript:ORGLA10G0110200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGRSVGEEEPRGKRPAAELRLFDTRTKAAVPFRPRVEGKVAMYVCGVTPYDYSHVGHARAYVAFDVLFRYLKYLGYEVNYVRNFTDIDDKIIKRANEAGEDALSLSSRFIDEFHRDMYELQCLPPTHEPRVTKHIEXIIDLITKIMDNGKAYTIEGDVYFSVDNFPDYLSLSGRKVDQNRPGTRVAVDARKRNPADFALWKSAKEGEPSWESPWGRGRPGWHIECSAMSAHYLGNIFDIHGGGKDLIFPHHENELAQSQAAYPESEIISLYHPMALRLFLMRTHYRSDVNHSDTALQFASGRLYYIYQTLHDGEETVSLYGEHKLENSIPADDQKLIEENHSNFLEKMSDDLHTTAALDHLMKLQQKLEYQKKKQSQKQQQQQQKKPEDYIQALVALQNEVTDKLSILGLMPMSSLAEQLKDKALKRAGMTAEQLQQMIEQRTLARKNKDFAESDRIRTELSALGIALMDEPTGTLWRPSEPELAEGS >ORGLA10G0110100.1 pep chromosome:AGI1.1:10:13704749:13709836:1 gene:ORGLA10G0110100 transcript:ORGLA10G0110100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASRASSRPLLSHQIPSPSSLSSSPRKKKASXLNLLAAAAPLPSPPLPSLLLPSPRSLLGSAMYRAAASLASKARQAGSSARQVGSRLALSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVIEQSYGSPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFVTNPKTQKCELDDPLILIHDKKVSNLHAVVKVLELALKKQRSLLIVAEDVESEALGTLIINKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLEKFEPQMLGTCKKVTVSKDDTVILDGAGDKKSIEERAEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEKEAPAMGGMGGMDY >ORGLA10G0110000.1 pep chromosome:AGI1.1:10:13696349:13703990:1 gene:ORGLA10G0110000 transcript:ORGLA10G0110000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 3 [Source:Projected from Arabidopsis thaliana (AT3G04260) TAIR;Acc:AT3G04260] MATPTPSTSTSAPAAPPSSAFPLTAAARFPRTRAASTSSTRASALVERRRTXXXXXXXXXXXXXXXXXXXXXVEKGLRLAFLEQLAERARAADAAGVADAIYDMVAAGLSPGPRSFHGLVAAHVLAGDAEGAMQSLRRELSSGVRPLHETFVALVRVFAKKGLATRGMEILAAMERYKYDIRKAWLILVEELVNNNYLEDANTVFLKGTEGGLQGTDEIYDLLIEEDCKAGDHSNALTVAYKMEASGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGGEGYMKPDTESYNWVIQAFTRATSYDRAGDVAELLGMMVEDHKRIQPNARTYALLVECFTKYSMVNEAIRHFCALRRIPGGTKVLYNEGNCGDPLSLYLRSLCLDGRADELLEALEAMSNDGQTIAPRAMILNRKYRTLVSTWIEPLQEEADVGFEIDYVARYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIETSFKQRCFEELKLYHRKLLITLRNEGPGILGDVSEDDVRRVIERLKKLVVGPKKNVVKPKAASKMVVSELKTELEAQGLPTDGTRQVLYQRVQKARRINRSRGIPLWVPPVEDEEEVDEELDELISRIKLEDGNTEFWKRRFLGETRNYLCEEVNDEEDADLDDDELDDDDDEDDDDDDTTKGEEDDIDEEDVVEQTENQAGDETKDKPSKGPKQHLQMIGVQLLKDLEKTSVSSKKSKRVPEIDDDEDWFPEDPIEAFKVMRETRLFDVSDMYTTADAWGWTWEREIKNKMPRKWSQEWEVELAIKIMHKVIDLGGTPTIGDCAIILRAAMRAPLPSAFMTILQTTHSLGYKFGSPLYDEAILLCLDLEEIDAAIAVVAEMETNGIKVPDETLDKVLAAKQSGGNSALQPPPAEE >ORGLA10G0109900.1 pep chromosome:AGI1.1:10:13692571:13694076:1 gene:ORGLA10G0109900 transcript:ORGLA10G0109900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFGTKRCSKLLLQLGALPCCTMAWLSFAQTAQASEGTNLNMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQVLVRRELDLSAKDLQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIQKWDRDEQDLAQVYNALGVSYKRDNKLDKAIQQFEKAVELQPGYVTAWNNLGDAYEQKKDLKSALKAFEEVLLFDPNNTVARPRRDDLKQRVGMYKGVPVKSEKR >ORGLA10G0109800.1 pep chromosome:AGI1.1:10:13680571:13683478:1 gene:ORGLA10G0109800 transcript:ORGLA10G0109800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G55330) TAIR;Acc:AT3G55330] STSATTSTPAPASSAFPLAAAARFPRASSSTRALALAERRRLSEAGGGGRSVAAGRRSFHGLVPAHVLSAGDDAEGASINREVEESSRRRMLLAVGAAVFLSWPNPAAYAAEAKKGFLPVTDKKDGYSFLYPFGWQEVVVQGQDKVYKDVIEPLESVSVNTIPTNKQDIRELGPPDQVAEALIRKVLAAPTQKTKLIEAKENDVDGRTYYTFEFTAQAPNFTRHALGAIAIANGKFYTLTTGANERRWEKIKDRLHTVVDSFKIEARI >ORGLA10G0109700.1 pep chromosome:AGI1.1:10:13640755:13642602:-1 gene:ORGLA10G0109700 transcript:ORGLA10G0109700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHNTAEVPVPVSYGGKVEKTMGGAKQGRGGGGGCCSRLWFMVVLSATVTLLVRHCYDSGLIGHGAAAGVVRIEPVHRGLYHTRKASPVDRGGGTSFSGHSPSRPDAGSAKPEPPHDSGVKAPSEPATVEHTKPPFEPASTGTESDDGGKPSSASSSSLPAAAHPFARALAAAGDKGDRCGGRYVYVQELPPRFNTDMVKNCATLFPWTDMCTFTANGGFGPQMSGGDGGVFQETGWYNSDQYTVDIIFHDRMRRYECLTDDPSLAAAVYVPFFAGLEVARHLWGFNVTTRDAMALEVVDIITSRPEWRAMGGRDHFFTAGRTTWDFRRLNDGDAGWGSKLFSLPAIKNMTALVVEASPWHLNDAAIPFPTAFHPASDEAVFVWQDKVRRLERPWLFSFAGAARPGSAKSIRSELIAQCRASSVCSLMECADGPSNKCGSPASYMRLFQSSTFCLQPQGDSYTRKSAFDAMLAGCIPVFFHPGTAYVQYTWHLPRNHADYSVYISEDDVRRNASIEERLRRISPAAVERMRETVISLIPAVVYAQPSSRLDTMKDAFDVAVDAIVDKVTRLRRDIVDGRGEEEKLEMYSWKYPLLREGQKVEDPHEWDPLFAFG >ORGLA10G0109600.1 pep chromosome:AGI1.1:10:13636891:13638666:1 gene:ORGLA10G0109600 transcript:ORGLA10G0109600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHNAAEVPVPVSYGGERDEKTGSKVDKMGGGAARRWRSGGCCSRLWLVLVVFATVTMLLRHRYDSGLGHGAAAVVRIEPVHRKVKPADRGGARPSFSDSGSAKPVTVDHKSATTDSSTGTESDGGGEPSSASSSLPAAAHPFSRALAAAGDKGDRCGGRYVYVQELPPRFNTDMVKNCVALFPWKDMCKFTANGGFGPPMSAGGGVFQETGWYNSDKYTVDIIFHERMRRYECLTGDPSLAAAVYVPFFAGLEVWRHLWGFNATARDAMALEVVDIITSRPEWRAMGGRDHFFTAGLITWDFRRLADGDAGWGSKLFSLPAIKNMTALVVEASPWHLNDAAIPFPTAFHPASDEAVFVWQDKVRRLERPWLFSFAGAARPGSAKSIRSELITQCRASSACSLMECRDGPSNKCGSAASYMRLFQSSTFCLQPQGDSYTRKSAFDAMLAGCIPVFFHPGTAYVQYTWHLPRNHADYSVYISEDDVRRNASIEERLRRISPAAVERMRETVISLIPAVVYAQPSSRLDTMKDAFDVAVDAIVDKVTRLRRDIVDGRGEEEKLEMYSWKYPLLREGQKVEDPHEWDSLFAFA >ORGLA10G0109500.1 pep chromosome:AGI1.1:10:13633668:13634079:1 gene:ORGLA10G0109500 transcript:ORGLA10G0109500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRDRPCRDLNFLIATLSTRLLKNPTSRSLVKATLAGRGDGGGGEKSSLARPVLWRFRKEPSSHHSLGRRRRDLNDLPPMAALALRFGLAMRKSLEPSAPPPPECGDDAQGTLFSGD >ORGLA10G0109400.1 pep chromosome:AGI1.1:10:13620600:13622006:-1 gene:ORGLA10G0109400 transcript:ORGLA10G0109400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSAVFWFLVFSLLSGMPGGGDLSSVLFRPSSLSLPLLNSFTFDQNPSPEQQPPPAPAPAEDRCAGRYIYMYDMPARFNEELLRDCRALRPWTAEGMCRYVANGGMGEPMGGDGGGVFSERGWFDTDQFVLDIIFHGRMKRYGCLTGDPAAAAAVFVPFYGSCDLGRHIFHRNASVKDALSEDLVGWLTRRPEWRAMGGRDHFFVAGRTTWDFRRERDEGWEWGSKLLNYPAVQNMTAILVEASPWSRNNLAVPYPTYFHPETAADVAAWQRRVRAAARPWLFSFAGGPRKGNGTIRADIIRQCGASSRCNLFHCHGAAASGCNAPGAVMRVFESSRFCLEPRGDTMTRRSTFDAILAGCIPVFFHPGSAYTQYTLHLPPERGGWSVLIPHADVTGRNVSIEETLAAISLEKVRSMREEVIRLIPTVVYADTRSSRVDFRDAFDVAVDAVVGRVARRRRGEPDARR >ORGLA10G0109300.1 pep chromosome:AGI1.1:10:13615868:13617388:-1 gene:ORGLA10G0109300 transcript:ORGLA10G0109300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVVSDKSGGGASLLRPSRVLFLAVLSTAFWSVIFYAHHSAVQGNATMASVLLRPSSFSRPLLTSFRLIGGGLDRCAGRRVYMYELPPRFNAELVRDCRLYSRSMDVCKLVVNDGFGPALPGGGALPERDVYDTDQYMLALIYHARMRRYECLTGDAAAADAVFVPFYAGFDAAMNLMKSDLAARDALPRQLAEWLVRRPEWRAMGGRDHFMVAARPVWDFYRGGDDGWGNALLTYPAIRNTTVLTVEANPWRGIDFGVPFPSHFHPTSDADVLRWQDRMRRRGRRWLWAFAGAPRPGSTKTVRAQIIEQCTASPSCTHFGSSPGHYNSPGRIMELLESAAFCVQPRGDSYTRKSTFDSMLAGCIPVFLHPASAYTQYTWHLPRDYRSYSVFVPHTDVVAGGRNASIEAALRRIPAATVARMREEVIRLIPRITYRDPAATLVTFRDAFDVAVDAVLDRVARRRRAAAEGREYVDVFDGHDSWKHNLLDDGQTQIGPHEFDPYL >ORGLA10G0109200.1 pep chromosome:AGI1.1:10:13611230:13612982:-1 gene:ORGLA10G0109200 transcript:ORGLA10G0109200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDGLHDGGGGDLQALLDDAGVDDVAARGGGGGEVEEEVERPSNEDAFPAVEKMATAAAAKGLQCRHCGTTETPQWRHGPEGHRTLCNACGMRYRSGKLVPEYRPLRSPTFSPELHSNRHHRVLQLRRRPGPQSAAPSPAAVARCGGEAKEEEEELAWVSNKDAFATVETTMAPSPRVVETPPEHDHRPPNIPTTSPEPHSDRPRRVVQLPRRLQEPSASANLAHAVAATARAGRECAHCGTTKTPAWRLGPDSRRKLCNACGNKYRSGQLNSTTFSQNSQEQKKKSKSSACSRERKRSAVAATVVVGGGLRDDAAAIADEHLDGGDLQALLDDVALDDVAARGGGDAGEAKEEEEELEWLSNKDAFPTVETMSPAPPENRTKVPVPPAGWQCRHCGSTETPLWRERDGPAEAEHVRKEETPPNITPATKHRRIVDLLRCSTALNTAATAVERRCTHCGTTKTPAWLSGPDSRGKLCNACGKQYRKGRLVPEYRPLNCPTFSPELHSNAHAHRRRRESPVAIAIAGEK >ORGLA10G0109100.1 pep chromosome:AGI1.1:10:13604944:13609120:1 gene:ORGLA10G0109100 transcript:ORGLA10G0109100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSDYYLVRKGEMVAVYKSLNDCQAQICSSVSGPAASAYKGNSWSREKEEYLSSRGLSNATYVINAAELREDLFGTLIPCTFQEITVSSSNQSALNHTGVLNNTRYQPGAQSVDLNYDAVGSGQASAEHYSQRINQGYSVRGQAFNRLESRPSSSSHFSPNNLDQSGTVDAQPLSKQYMVCLLHFDGASKGNPGKAGAGAVLMTEDGRVISRLREGLGIVTNNVAEYRGLILGLRYAIRHGFKKIIVYGDSQLVCYQVKGTWQTKNQNMMELCKEVRKLKENFVSFEINHIRREWNAEADRQANIAITLSSGVVSEERGDG >ORGLA10G0109000.1 pep chromosome:AGI1.1:10:13600582:13600815:-1 gene:ORGLA10G0109000 transcript:ORGLA10G0109000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMETRRYTSLSHRKARSMECFPSTQCPVKRGKAIATLLNISEPPLELTRTLLEADADSAFQPDRRGSFPIHIAASAA >ORGLA10G0108900.1 pep chromosome:AGI1.1:10:13599797:13600482:-1 gene:ORGLA10G0108900 transcript:ORGLA10G0108900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCAGNGTTHLHPLAAGRLSAVIALLTMFPGCAGLRDSDGRTFVHVAARKKRYSVVAHACQTPALSGILNKQDNEGNTALHLAVEAGDWWIFACLFVNKQVDLNLPNSSGHTPLELSINTIPTGLYCLLNSRILIQETLIAANATRGISWMDAAGTEEHGPQSEAENEEKGSEIVLPLYYILMYDAVDFLFNV >ORGLA10G0108800.1 pep chromosome:AGI1.1:10:13598350:13599562:-1 gene:ORGLA10G0108800 transcript:ORGLA10G0108800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGATFALPGGYIADDHANGGTPTLARAKQFQGFVMANTLAFFCSSLAVLSLVFAGTPTVELPMRYTHYNISIWLSLNAVGSLAIAFAIAVYIMITPVAAKTSPAVIVVILSSINQAIKLALTLFLISIKHKLLQVRDNKKKCLHIYGMQATQKKALSFKRIWTIKSCSM >ORGLA10G0108700.1 pep chromosome:AGI1.1:10:13590466:13594728:-1 gene:ORGLA10G0108700 transcript:ORGLA10G0108700.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQPSFSRQRKNQPSFGLCCKQGKVALPPLKEPPHFLSSLLARDGGTSENYQQNIRSYNSMFAFTSMGGAVDRKINKGRGPYVFRLNGQNYHHIGTLLPKGSNKPRFQQLYIYDTENEIKNRIEASRSGTRNASLDEKTIAGLLTMLDENNTLAQTFRMARERFKEDDYHNYTLRLLDNRDQDGRQNNMPSTSEVAMLIVKDPTEKSYGRDIVLEYKDMRPKRISETHPKFMAMQYPLLFPYGEDGYRLGIKYSGKDGVRYDKKCVTMREYYAYRLQQRQDQSMLPLACGNLSMQFMVDAYTCIEQCRLSWIRQNQGILRTELYGGLQDALRTGDTRTEKLGRRIVLPASFTGGPRNKEQNYQDAMAICRWAGNPDLFVTFTCNPKWPEIQCMLEKVGHQKPSERPDIVVRVFMIKLRELMSDIKRNQHFGKTKAKTNPKSPCMVDHKCDRYFPKGFSDETIIDEVNFPIYKRRDDGRQIKKGRINLNNGFVVPYNKDLLVKFQAHINVEWFNRSKSIRYLFKSIYNGDDQATAVVEETDTAKDNDEIKRYLGCSYMTATEACWRIFTFPLHYQEPSVQRLFFHVENEQQVIFPDSTDLQEIIRHPRSGVTMFTEWMETNKKHEDARELTYSEFPTKWTWVNKVKKWVRRKGRKKIGRIYNAHPASGERYYLRVILNTAKGCTTFEDIRTVNGFVHSSYKSACHALGFLNDDNEWIECIKEASCWASGIELRQLFATILCHCEVTDPKSLWESIWEELSKDIQHTQSWLLNFPASCLTPSHKRKCALIEIEKNMRQAGKSLKEYTGIEPPNVAKLSEIENSLINEEMNYDKERLKHQHLQVLNTLNIEQKKAFDAIIESAHQSLGKLIFVDGYGGTGKTYLWKAITTRLRSEGKIVIAVASSGIAALLLQGGRTAHSAFNIPINLTDESTCFIKQGSRIADLLMKTSLILWDEAPMANRNCFEALDKSLRDVQRFRNENSYQKPFGGMTVVLGGDFRQILPIVPKGRREHTVNASIKFSYLWQHFEVFNLTKNMRLNSVSKDQAEHQKTAEFAEWILRIGNGDTILLDEKGWVSMPSDLLLQKGDDPKAQIVDSTYPGLQYNCCKPKYLEERAILCPTNDDVNELNEYIMDQIQGDKVTYLSHDSVSKSMSYSHEMEMLYPTEFLNSLKHPGIPNHQLKLKVGLPVMLLRNINQNAGLCNGTRMRITRFGKRVIEAEIITGTHIGDMVCIPQIIMSPNERKWPFVLNRKQFPLSVCFAMTINKSQGQTLNKVGLYLPRQVFTHGQLYVAVSRVTSRDGLKIMITDEECPGEGMVKNIVYKEIFQ >ORGLA10G0108600.1 pep chromosome:AGI1.1:10:13588150:13589589:1 gene:ORGLA10G0108600 transcript:ORGLA10G0108600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASSAEVHEGTRSCVLLNVRGYRAARRDATTATSYTSNGHPIEVSFRAAPPPVLSDFYVYCPALQLQEPADYPSMVPKAIAVDGDLFLFRIPIDRVGTMSLTHNDYFVYMARSPPHRPRLDLLPNPSHDTLGDKEIAILSCADGGEQYVVTALRTIPGSKTIHRLHLYRSKPNCEQGRWTSQMVSVEGPLMRDLVCPIPETVHRQVHHVTSKVIRLGTGANGMVGWVDIWRGILLCDVLQESPKLYDMPLPLPAKSNSHRAFLNTTDQYCGDVAVSRDKSFIKYVEMEIVTPKIVSATPPGDCDPDPFLEWLRRRECKDLKRTLVHGRWKATTWRMPIPVTSWDDWCRDCAVESAELSTDNPKAYELLCAVSKESLKEDDDDKAMEAATTTTTRLPLGRLGMAYPAMSIDDDVIYVLTKPVMGNGKAAFLTAVDVRRKKVLAVAKLDSAVFMRYYLAVGISKHFCTTKQTFEWAGS >ORGLA10G0108500.1 pep chromosome:AGI1.1:10:13584683:13586720:1 gene:ORGLA10G0108500 transcript:ORGLA10G0108500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKAIAVDGDLFLFSIPIDRVGTMSLTHNDYFVYAARPPPRRPTLDLLPNPSHDTLGDREIALVSCSGGDGGGDGEPCYVVAALRTIPGSTTIHRLHLYRSRPGGEQGRWTSRMVSVDEPPPLMRDVVFPIPEMSHRQVHHVTSKAIRLGSGGDGMVGWVDIWRGILLCDVLHESPKLRDVPLPPPAKGNSHRAFQKHHRPVLRRHRCQPRQEVHQGERRGKMDLSHGPMARVAKMVPISCKRKASLYR >ORGLA10G0108400.1 pep chromosome:AGI1.1:10:13570905:13575648:-1 gene:ORGLA10G0108400 transcript:ORGLA10G0108400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSECATTARMKRKEYMQLKRGMKRARTDGNEEKGRADPTYISNMELKNTLSWNMTWPKCMCRLCQGPNGIYKNIKNVVEPKYCKIQLMQLKEPSVINSAIIYSQLKKARRAKELAKIARMKRKEQNTLKRSRKGTRTNKNVITKEIISSDSKIWNFGGPTCMCQHCHALMWHAERSLHSTVKQPSFGLCCKQGKVALPPLKEQPPYLTSLLTRDGGRSTNYQQNIRSYNSMFAFTSMGGTVDRKINNGHGPYIFRLNGQNHHHIGTLLPEGSNKPRFQQLYVYDTENEIENRIEASKSGASNAPLDQKTIASLLKMLDENNTLAQTFRMARDRFKEDDYHNYTLRLLDNRDQDGRQDNMPSASEVALLIVKDPTKKSYGRDIVLEYKDMRPKRISETHPKLMAMQYPLLFPYGEDGYRPGIKYSGKEGVRNDKKCVTMLEYYAYRLQQRQDQSMLPLMCGNLSMQYMVDAHACIEQIRLNWIRQNQGVLRTELYGGLQDALRRGERTEQVGRRIVLPASFTGGPRNKEQNYQDAMAICRWAGHPDLFVTFTCNPKWPEIQCMLDKVGYQKPSERPDILVRVFMIKLKELMSDIKRNQHFGKTKAIVFTIEFQKRGLPHAHILIFLDKRGKSLEPSQIDELICAEIPDRGKDPETFEAVKNFMMHGPCGEANPKSPCMVDHKCNRFFPRGFSDETIIDEVNFPIHRRRDDERQIKKGRVNLNNGFVVPYNKDLLAKFQAHMNVEWFNRSRSIKYLFKSICNEDDQATAVVEETDAKNNDEIKRYLGCKYTTATEACWRIFKFPLHYQEPPVERLSFHEENEQHVIFPDSTDLQEIIRRPRSGVTMFTEWMETNKRHEDARELTYSEFPTKWTWDKNVKKWVRRKGGMKIGRIYNAHPASGERYYLRVILNTAKGCTTFEDIRTVNGTVHSSYKSACHALGFLNDDSEWIECIKEASCWASGMKLRQLFATVLCHSEVTDPKRLWESSWEKLSKDIQHTQSWALNFPTSCLTPSHRRKCALIEIEKNMRQAGKSLKEYARIEPPNMAKLSEIENSLIIEEMNYDKEKLKHEHLQILNTLNIEQKKAFDAIIESAHQSLGKLIFVDGYGGTGKTYLWKAITTRLRSEGKIVLAVASSGVAALLLQGGRTAHSAFNIPINLTDESTCFIKQGSHIADLLMKISLILWDEAPMANRNCFEALDKSLRDVQRCRNENSCQKPFGGMTVVLGGDFRQILPIVPKGRREHTVNATIKCSYLWQHFEVFNLTKNMRLNYVSKDQTEHQKSAEFAEWILQIGNGDTISLDEKGWVRMPSDLLLQKGDDPKAQIIESTYPDLQDNCCKQNYLEERAILCPVNENVNELNEYIMDQIQGDKVTYLSRDSVSKSVSYSHEMEMLYPTEFLNSLNHSGIPNHQLKLKVGLPVMLLRNINQSAGLCNGTRMTITRLGNKVIEAQIITGTHSGDMVCIPQIIMSPTEPKWPFVLNRKQFPLSVCFAMTINKSQGQTLNKVGLYLPRQVFTHGQLYVAVSRVTSRDGLKILIADEECPGEGMVKNFLQ >ORGLA10G0108300.1 pep chromosome:AGI1.1:10:13541309:13553645:1 gene:ORGLA10G0108300 transcript:ORGLA10G0108300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G08600) TAIR;Acc:AT1G08600] MDENRKLSDDMSKKMEPIHSNGEAGEDKTIIIEPESDANKLEVETDARHGICEAHKVHEYGTMENDLHEEASTTDDDSENDSYEYLLRESDNEQTSESDAGEGDNEAPLTDEEVETLITEFLDAESKAAQAQESLEKESLEKIESEVRLELSESLQGNELESAVSTEMKQYKKEWESELDDLETHIAVLLEQLDAAGVELPSLYKSIESQVPNVCETEAWKNRAHWAGYQVPEEANKSIRKADEYLQSCRPVRRKHGRLLEEGASGFLAGKIPVGDDGSAQCHEKSWNAFNELTKSKEYAESSFGSSNWASVYLASTPQEAAALGLQFPGVDEVEEIAEVEGAVSDIKGVDEIELSEVQRRKYRKVPEEDDAKMTKRLQRHLKERRTRHLHKENIGLASSSNGRCELPPKKLKTYENGVSVELAKRTREDDVEFDNKRSKTVIIESDDDMQTDSKPDSAPSENADEIIDLDIFPSQSPKLGDKVRPKPFKCTICTEMLNVPEVHRHPVLDVIICGSCRFLVIEKNRLEDPVSGGYCTWCVKSEQLQSCSSCKLLFCRNCLSKNFGEEGLSEARVAGWQCCCCLPSQLEHLISDCDKALGGVESSDPENDFAELSVIESNGPFSKHKMKKRIRRIMDDEELGEETKLKIAMEKARQEHLKSMQEQSASKLKSNNIGISLEAPSEVSEYVGDGHIVNLAREEDEAPVRIPSSISAKLKPHQVSGIRFMWENVIQSVKKVKSGDKGFGCILAHNMGLGKTFQVITFLYTVMRCIQLGLRTALIVTPVNVLHNWKKEFIKWCPAELKPLRVYMLEDVPRANIQYLLKKWRIKGGVLLIGYSSFRNLSLGRSARDKTVANEITNALQCGPDILVCDEAHIIKNRRADTTQALKQVRTQRRIALTGSPLQNNLMEYYCMVDFVREGYLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILYEQLKGFVQRMDMNVVKNDLPEKKVFVVTVKLSQLQRKLYRRFLDVNGFSSSAASEKSFQRSGFFAKYQTLALIWNHPGLLQMAKQKGNLRQEDVESFLMDESSSDDNIENYLPNGEKLRSRNDQLSKKSSDVVNEESNWWENLLDENAYKEADYSGKMVLLLDILSSCSELGDKALVFSQSLSTLDLVEFYLSKLQVNGKEGKYWKQGKDWYRIDGSTPSSERQNLVERFNDPENIRVKCTLISTRAGSLGINLHAANRVILLDGSWNPTHDLQAIYRVWRYGQTKPVYAYRLMAHATMEEKIYKRQVTKEGLAARVVDRQQVSRTISKEEMLHLFEFGDEELLEQSGSTMNGHSKVGTEKPPTPNSSETTEHLPLDRLMVNLLHDHSRWIASYHEHEALLQENEEERLTKEEQDMAWLSYNKLLEVAPRKATHDAERKPSTVPTESSLIQPPKATSRSRQPQQPKITSNNQKKCNNLSHLLTLRSQGTKPGCSTSCKECGQDISWETLNRDGRSR >ORGLA10G0108200.1 pep chromosome:AGI1.1:10:13532277:13536269:1 gene:ORGLA10G0108200 transcript:ORGLA10G0108200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAINRQRVLLAHLEPAASPAAAAPAITASACAAGDSAAYHRGACFADDVVIVAAYRTAICKSKRGGFKDTPAEDLLVPVFKALIDKTKLNPSEVGDIVVGTVLAPGSQRAIECRMAAFYAGFPDTVPLMTVNRQCSSGLQAVANVASNIKAGLYDIGIAAGLESMTVNQVRLDGQVNPKVELFSQARDCLLPMGLTSENVAKRFGITRMEQDQAAVESHRKAAAAAASGKFKEEIVPVHTKIVDPKTGEEKEIVVSADDGIRPGTSLAVLSKLKPAFSKDGTTTAGNASQVSDGAGAVLLMRRGIAMQKGLPIVGVFRSFAAVGVDPAVMGVGPAVAIPAAVKAAGLQIDDVDLFEINEAFASQYVYCCKKLGLDPAKVNVNGGAMALGHPLGATGARSVSTLLNEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDAVDELTNARCIPTHNRLSKDAIYQMIVYLYQKML >ORGLA10G0108100.1 pep chromosome:AGI1.1:10:13525737:13528152:-1 gene:ORGLA10G0108100 transcript:ORGLA10G0108100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine triphosphate pyrophosphatase family protein [Source:Projected from Arabidopsis thaliana (AT4G13720) TAIR;Acc:AT4G13720] MSGAAAAAARALPKAVTFVTGNAKKLEEVRAILGSSIPFQSLKLDLPELQGEPEDISKEKARMAASQVNGPVLVEDTCLCFNALKGLPGPYIKWFLEKTGHEGLNNLLLAYEDKSAFAMCIFSLALGPGEEPMTFVGKTAGKIVPARGPADFGWDPVFQPDGFDKTYAEMPKSVKNQISHRGKALALVKEHFAAANYKVQNDGSA >ORGLA10G0108000.1 pep chromosome:AGI1.1:10:13523445:13525244:1 gene:ORGLA10G0108000 transcript:ORGLA10G0108000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPVPQQVASLALPVVAAEAEAAAAAAAAPGRRGAAGGVSSIPKGAGAAARRKTLCDITNLRPRPAAAVEQDGATCAADAGGVAQAQLVKENSELVRLLEERDKIIELSGTELQKLRLANWQLAQANSQMLAELNLGRDRLKKLQHQLACSRAVITTKTSELEEAKKAVKRNRNLPLPEKAPPASETTQQQQGSDRAAQIKDGDVVNPEPAAASDASHAASTKKLSNASRKRMQRSRSLGPAATTKLAAAPKEKENVQRRKSMRTPVPQPSEHREDLFEIEDLQLAIGGGGGGGDSKAGTSDPPEQAAVAVAAAQFPRRSSLGRPTRRATERVASYKEMPVNIKLRRS >ORGLA10G0107900.1 pep chromosome:AGI1.1:10:13511714:13516732:-1 gene:ORGLA10G0107900 transcript:ORGLA10G0107900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:I1QV46] MAGPDESTRITLLLVAATLLLLPPPLAASLNSSLPDPAAVVADFHSKVATSRRRMQEAASGGGGGGGGCLTGNPIDDCWRCAGTDWRQDRQRLADCGIGFGRNAMGGKGGPVYVVTDPSDGDPVNPAPGTLRYGAIQEGPLWIVFAGDMTIRLNEELLVNSYKTIDGRGANVHVGAGGACITLQYVSNVIIHNIHVHDCVPAGNANVRASPTHYGWRTRSDGDGISLYSARDVWVDHCALSRCADGLIDAIMGSTAITVSNSYFSHHNEVMLLGHSDGYLPDSAMQVTIAFNHFGIQLVQRMPRCRRGYFHIVNNDYTAWEMYAIGGSASPTINSQGNRYIAPADPNAKEVTKRVDTEEGQWAGWNWRTEGDMMVNGAFFVPSGEGLEAIYDKASSTDPKSSALVDQLTAGAGVLGGPRYDNGEAAAYAGVNYAGVGTGGGGGGGAGAGGMGYGYLGMVYGSGGNWSCRADLTLQLTSLFLALFALICLHPL >ORGLA10G0107800.1 pep chromosome:AGI1.1:10:13506693:13510810:1 gene:ORGLA10G0107800 transcript:ORGLA10G0107800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G18510) TAIR;Acc:AT2G18510] MTTRIAPGVGANLLGQHSAERNQDATTYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFVSYDSFESSDQAIEAMNNQHLCNRPITVSYAYKKDTKGERHGTPAERLLAANNPGSQKNRPHTMFASGPPTQGLANGAPVPRPFSNGAVPPQIQHVRPPPPPMQQFPPMQMNGQPVWPPQNTQLPPHMPPQMHYRPAVRPPPPNMMPPPPLGMVRPPPPPSSMPAPPMWRPPPPPQQAGGMPPPPMSMPPPPPPPSG >ORGLA10G0107700.1 pep chromosome:AGI1.1:10:13471553:13476641:-1 gene:ORGLA10G0107700 transcript:ORGLA10G0107700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLSIMSEQRHFAYNMFEQLNEFRHAILLLGSGGDSDYLSSCQSVLLNSMWQQKQFFDNILATTMDTNLLLRSFIDCHHDACDNIQVEVSAMSTLFEKFIARFSESKDLLDKYLLGSNYMIAGSHKNMPLATREMEQLVAANCQLISMFREDIQVLCHQDVSMRSVKKVLLSRFEELLEKGNSPMAILSRKVDEDKHMLSSDVLYNLEASYAEAFKEIFSLAVGVVGKLTDQGISTDGTHDSLEGNITLWKDILQTYVMNLQMGHLFDAKLDIFATLLDSIYHQQRRLVDIKPELRSSIGMQLMHLHALLGLVLSAAEGILSELLEAHRTTSEMTHALGDLLIHLFAEGFGSTDDTTEDASDGPQQDATGTGMGEGEGKESASSKIDDISQLEGTANEMDALRKPDQAPKNDDDAVEMQDDFNAELSDVSEDPEGNDSGDEDDDTNLENQMGDTGDASEMVGKKSWDKDEDDDPNRSTEKYESGSSAKETQKNDRELRAKDEGALEEDPMETDCDEKGKNNNLEDDPSTCEDVDQDTNDLMDKADAYDDRTAPELPEPKDDSEDVDMDGTEHIDEMDADGEELSPEEEKLAADGSLDASDDVEDVDAAQHGDNEIDGEQEHAEDGQMETNNMEKELQNIESLEHPSQGIQPNNAEMDYNRESESNLANSTDMNSAAAPSVNFSSNEVPSLEISMPNSGDGSRLLSNSKPELQTDTPPSQIKQTNPFRSIGDAMADWKERAKVSADTQDHQPEAEHHIDDESATEFRYVPDSEQSTSQALGNATADQINDDLQVKQSSMEDENRVQKEEHNTDRAPGDDHNLEVPHLQASQSRTNKSENANNLEHREIQTDTYVQDSVQGETDDTFGDFVSFKQPPADEKTVMRDDLANDRELSTPMEINITDVDMKGPIVDWKSVELTTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGTNMISSLSFEQDNKLEDEPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLVLIISDGKFHEKENLKRCVRNVLNRKRMIAYVLLDGHEESIMDSLEVSYQGTKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQWFELMQSANE >ORGLA10G0107600.1 pep chromosome:AGI1.1:10:13466366:13469301:-1 gene:ORGLA10G0107600 transcript:ORGLA10G0107600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSGNLISPVCEHYTRGCRIRAPCCGEVFGCRHCHNEAKNSLEIHLNDRHEIPRHEIKKVICSLCDKEQDVQQYCSGCGACMGKYFCEKCNFFDDDVSKNQYHCDGCGICRTGGVDKFFHCDKCGCCYSNVLRDSHHCVEGAMHHNCPVCFEYLFDSTKDISVLHCGHTIHLECLNEMRAHHHFACPVCSRSACDMSDAWKKLDEEVAATPMPEFYQKKMIWILCNDCGATSNVNFHVLAQKCPGCSSYNTRETRGCGRPAAARSTV >ORGLA10G0107500.1 pep chromosome:AGI1.1:10:13463586:13463927:-1 gene:ORGLA10G0107500 transcript:ORGLA10G0107500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAGSALQAATRAAMVMWRRERKAEMWRKGEESPTAARQSGGGYGEVVALPLAAMETGAGAVAGEGTDWSSEVRPQRWVPLEQCRWPRPRTLARGWGRSSGEPTTRGRRSVVAS >ORGLA10G0107400.1 pep chromosome:AGI1.1:10:13454616:13458116:-1 gene:ORGLA10G0107400 transcript:ORGLA10G0107400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGAGSSGGGGGGHECSFKILLIGDSGVGKSSLLVSFVVAAAAAHLDDDIAPTIGVDFKIKFLTIGGKKLKLTIWDTAGQERFRTITSSYYRGAQGIILVYDVTKRESFTNLAEVWSKEIESHSSNKDCIKMLVGNKIDKEDERTVTREEGLAFAEESGCLFLESSAKTRDNVEKCFEELALKIMDVPSLLEEGSSSVVKRNILKQKQESQAKHGGGCCQ >ORGLA10G0107300.1 pep chromosome:AGI1.1:10:13447781:13454075:1 gene:ORGLA10G0107300 transcript:ORGLA10G0107300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate-ammonia ligases;catalytics;glutamate-ammonia ligases [Source:Projected from Arabidopsis thaliana (AT3G53180) TAIR;Acc:AT3G53180] MEMESKYAALRRAAEEAAAVDAHAHNLVADGSAFPFLRCFSEADAADALALAPHTLSFKRSLRDIAALYNCEASLEKVEEFRRAEGLSSISSKCFKAANLSAILIDDGIDFDKMLELEAHKAFAPTVGRILRIEKLAETIINDESFSASSWTLDSFTEIFVTKLKSVANKIVGLKSIAAYRSGLEIDPNISKTDAEDGLRKELSGQRPLRITNKNLIDYLFTCSLEIAVSYHLPMQIHTGFGDKDLDLRKCNPLHLRAVLEDTRFSKCQIVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVQGMTSSIKELLELAPIKKVMFSTDGYAFPETYYLGARRARDVVYRVLSAACEDGDLSIQEAIEAVEDIFRRNALYLYKLNVANGSVGQITAIADNGIPLSEQDVLFVRVVWIDTSGQHRCRVVPAGRFYEIARKKGIGLTFASMGMTSFTDGPADGTNLTGVGEIRLMPDMSTLLRLPWSRREEMVMAEMHIRPGEAWEYCPRNTLRKVTKVLLDEFNVTMMAGFENEFFLRKKVVSGEKELWVPFDNTPYCSTTAFDGASSVLQEVYTSLKAAEIVVEQLHAEAGKGQFEIALKYVLCTLAADKLIYAREIIKSVARKHGLLATFLPKPDLNDIGSGSHVHLSLWEFDQNVFMGSSEYNYYGMSRIGESFLAGVYLHLPSILAFTAPHPNSYNRIQPNTWSGAYQCWGKENREAPLRTACPPGIPLDLVSNFEIKSFDACANPHLGLAAIVAAGIDGLRRSLTLPEPTESNPAGYASNSKLKRMPKDLMESVEALAADKIMHELIGDKLVTAVIAVRKAEIDHYEKNPAAFADLIHRY >ORGLA10G0107200.1 pep chromosome:AGI1.1:10:13431402:13433330:1 gene:ORGLA10G0107200 transcript:ORGLA10G0107200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAGALSAARWRGGAGTGIGIDLRAALRSGGNLLFALFVAAVLAFTLLAAVHSPDDPLLHPSSHQLTAFLTSATSNSTFLADDSVLRTGEDFAAAIGANSSDAAAAAEATVVAEAVPFIKLEDVATTKEESSGAGAEQAVTVDTDASSDAGAAAATEENPIVEAVSCDTEAPVDCTGDKDLFNLLMRTAIEKFSDLHFYRFGRPVSVPGSPMECDLAWRFRPAEDTNGRTTYYKDYRRFTLTRDVNTCNLVVGNVGEYHSGMGAKRSGRRKGKKGKKGKREAPVTDFVPAKTQMRLDENAANADTTAASEPELVVGEAVNDNLPVVESESEFSRRKYLIYMGGGERCKSMNHYVWGFLCALGEAQYLNRTLVMDLNVCLNSRYTSSGKDEERDFRLYFDFEHLKQSASVIDQSQFWTDWGKWHKKDRLKNHYTEDIKVTPMQLRDVKDTLIMRKFGNVEPDNYWSRVCEGETEAVIKRPWYLLWKSRRLMEIVSAISSRMDWDFDSVHVVRGEKAQNKQLWPNLDRDTSPDSLLTTLNDKVGAGRHLYIATNEPDKSFFDPMKGKYRTHFLDDFKDLWDENSEWYTETKELSNGNAVEFDGYMRVAVDTEVFLRGKRKLETFSDLTRDCKNGVNTCTASS >ORGLA10G0107100.1 pep chromosome:AGI1.1:10:13424411:13429363:-1 gene:ORGLA10G0107100 transcript:ORGLA10G0107100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Leucine-rich repeat, typical subtype (InterPro:IPR003591), Leucine-rich repeat (InterPro:IPR001611), Ubiquitin (InterPro:IPR000626), Ubiquitin supergroup (InterPro:IPR019955); BEST Arabidopsis thaliana protein match is: L /.../-rich repeat (LRR) family protein (TAIR:AT5G07910.1). [Source:Projected from Arabidopsis thaliana (AT2G30105) TAIR;Acc:AT2G30105] MESSPPPPTITVQVKFGGRTIPVEVPAAATAADLKRLLQPLTNVLPRGQRLICKGKVLADAASLSSMQVVNGSKVMLMASQGLHQGDGPITKNSSVSAASTRKASNAKEAQTQKLDTNISKIRPERWKATGIIALSDSSLKAVPEEVWGCGSSIRVLDVSNNCIEAIPQEVAALKSLQKLILTANDIADGNISWEGLTCVQTLTVLSLSQNRLVTLPSSLGSMTHLRELRIANNRLENLPVEIGLLKHLEILIANNNRITSLPSSIGGCESLNEVDLSSNLLAELPEAFGNLRHLKALSVRNNGLTSLPSAFFMKCSQLITLDLHGTEITNDVLRQVDGWEEFDERRRKKHQKQLDFRVGSSGVFDEGADDDYRRL >ORGLA10G0107000.1 pep chromosome:AGI1.1:10:13421033:13421818:-1 gene:ORGLA10G0107000 transcript:ORGLA10G0107000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAASALPLDGRVALVTGGSRGIGREVCAHLASLGARVVVNYASNSANADAFAADLNSRSAAALPRAVAVRADVSDPAAVRALFDRAEEAFGTPPHIVVACAGLLESKYPSLADTAVEDFDAMLAVNVRGTFLVCREAANRIPAFSGGRVVTFSSSILGTLLPGYAAYTATNGAVEAMTRIMAKEVAAKGVTANVVAPGPVRTELFMAGKDEAFVKKVEERSMGRIAETTDVAPVVAFLVSDAAAWVNGQVIRVNGGFA >ORGLA10G0106900.1 pep chromosome:AGI1.1:10:13409602:13416992:1 gene:ORGLA10G0106900 transcript:ORGLA10G0106900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSVVYEGWMVRYGRRKIGRSFIHMRYFVLETRLLSYYKRKPQHKLPKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHQRITMAAFNIQEALIWKEKIEMVIDQQQGVVASDGNLAHSSSQQKVSLENGRKSSFSDHESLYSHEEEEEEEDNQRSLMRRTTIGNGPPESLYDWTRENDLGISNQGSPDHVFSRRHWRLVRCQNGLRIFEELQDVEYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFSMFVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRAQIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYLPSFQQHCLLHMLNSVAGKFLVLLREWFSQSDENLILPRIPVMANMAPPVSSKKGRTTQDNTMQTGLQMDQSRQSTMLDEESDEDEDQIPESEQETSTHGHDAPVKLPVLDEEDSDQIDVSGFSGNLRRDDRDNTRDCWRMSDGNNFRVRSKNFIYDKSKIPAGKPLMKLVAVDWFKDVKRMDHVARRKGSAVQVAAEKGLFALAVNLQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGPNYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRVSHIELKSAIVPVLGD >ORGLA10G0106800.1 pep chromosome:AGI1.1:10:13388208:13388783:-1 gene:ORGLA10G0106800 transcript:ORGLA10G0106800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLAALGLIVLLSIGLASAVKVERYSNAQGSGTGSGEGTGSVNGAGAGLGSGTGSGSSGYNGAHASGGGGGGGGGYSQYGGSGSGSGSGSGSGSSQTSQNGYYGYGGSSSAGGSGAGAGAGQAGGYWPSYGHGSGSGTGSGSSEATNTWYGQYANANAGGNGGGNGQGQYGGSGAGVGSGSGFGDANP >ORGLA10G0106700.1 pep chromosome:AGI1.1:10:13382781:13383356:-1 gene:ORGLA10G0106700 transcript:ORGLA10G0106700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLAALGFVVLLSIGLANAARVERYSSSQGSGTGGGEGGGSVNGGGAGKGSGAGSGSSNYYGAHASGGGGGGGGGYSQYGGSGSGSGYGTGSGSSQTSQNGYYGYGGSSSAGGAGAGGGAGQAGGYWPSYGHGSGSGTGSGSSEANNYWSGPYANANAGGNGGGNGQGQYGGRGVGAGSGSGYGDANP >ORGLA10G0106600.1 pep chromosome:AGI1.1:10:13377607:13378182:-1 gene:ORGLA10G0106600 transcript:ORGLA10G0106600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLAALGFVVLLSIGLASAARVERYSSSQGSGTGGGEGGGYVNGGGAGKGTGAGSGSSNYYGAHASGGGGGGGGGYSQYGGSGSGSGYGTGSGSSQTSQNGYYGYGGSSSAGGAGAGGGAGQAGGYWPSNGHGSGSGTGYGSSSANNYYGGPYANANAGGNGGGNGQGQYGGRGVGAGVGSGYGDANP >ORGLA10G0106500.1 pep chromosome:AGI1.1:10:13373371:13373676:-1 gene:ORGLA10G0106500 transcript:ORGLA10G0106500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PASKLKMYASDGATGENGSREHVTAIDADITMQGCRGGRIHGFAPPRIMSHLHGGKDALPQEAPESAAPQAPPQGDDEWRQPRRYLSRCLPTSMVGNNRSS >ORGLA10G0106400.1 pep chromosome:AGI1.1:10:13370553:13371131:-1 gene:ORGLA10G0106400 transcript:ORGLA10G0106400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTKLAALGFVVLLSIGLASAARVERYSSSQGSGTGSGQGGGYVNGGGAGEGIGAGSGSSNRYGAHASGGGGGGGGGYSQYGGSGSGSGYGTGSGSSQTSQNRYYSYGGSSSAGGAGAGGGAGQAGGYWPSNGQGSGSGTGSGSSYADNNYYGGPYANANAGGNGGGNGQGQYGGRGVGAGVGSGYGDANP >ORGLA10G0106300.1 pep chromosome:AGI1.1:10:13364356:13364931:-1 gene:ORGLA10G0106300 transcript:ORGLA10G0106300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLAALGFVVLLSIGLASAARVERYSSSQGSGTGGGEGGGYVNGGGAGKGVGAGSGSSNSYGAHASGGGGGGGGGYSQYGGSGSGSGYGTGSGSSQTSQNGYYGYGGSSSASGAGVGGGAGQAGGYWPSNGHGSGSGTGYGSSTANNYYYGPYANANAGGNGGGNGQGQYGGSGGGGGVGVGSGDASP >ORGLA10G0106200.1 pep chromosome:AGI1.1:10:13356154:13356735:-1 gene:ORGLA10G0106200 transcript:ORGLA10G0106200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLVALGFIVLLSIGLANASRVARYSSAQGSGSGSGEGSGSVNGGGSGGGSGTGSGQSGYNGAHASGGGGGGGGGGSQYGGSGSGSGSGSGSGSSQYSSNYYGSGGEYSSAGGSGGGGGGGQGNGNYGSTGQGSGSGTGSGYSDANSNYWRGPSYANANAGGYGNGNGYGQNGGSGGGGGSGSGYGDANP >ORGLA10G0106100.1 pep chromosome:AGI1.1:10:13349259:13349828:1 gene:ORGLA10G0106100 transcript:ORGLA10G0106100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLVALGFVVLLSMGLANATRVARYSSADGNGSGGGGGTAYDNGGAAGAGSGYGVSQGGSNGAHATAGGSGGGGGSSQYGGSAYGSGDGSGSSSSQSSNGEGGYGGESDAGGGGGGGGQGQAGGYGSTGSGSGNGSGSGYSNANNNWYGSSAGAGASGNGGGNGNGENGGNGSGAGGGSGYGNASP >ORGLA10G0106000.1 pep chromosome:AGI1.1:10:13344879:13345312:-1 gene:ORGLA10G0106000 transcript:ORGLA10G0106000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGRGGKRQGAPPPAPFGAAAKRAQPHGGHLADDSIRASGDLSSPIGSAPNGRCLLFRRCFSGTSNLCMLLLCSMKWLNQLYIICQLAATLCSSKGAS >ORGLA10G0105900.1 pep chromosome:AGI1.1:10:13333878:13334456:-1 gene:ORGLA10G0105900 transcript:ORGLA10G0105900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLVALGFIVLLSIGLANAARVARYSNAEGNGSGQGGGVGYVNGGGSGSGSGAGAAQSGSNGAHATAGGGGGGGGNSQYGGSGAGGGSGVGSSSSQYASGYYSGYGGYSSAGGNGGGGGGGQAGGNWGSSGSGDGSGSGSGSSSANTYYGGPSYANADANGNGNGKGTGSYGGSGGGQGTGSGYGDASP >ORGLA10G0105800.1 pep chromosome:AGI1.1:10:13327396:13329770:-1 gene:ORGLA10G0105800 transcript:ORGLA10G0105800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VATAALIPPRQSASPCLVPHSHHQPPCHYRATSHVIGKASLPSVAHANLPTPSVTAFRCRWCHPHRATRINDLSQRCQARLLALPLRPTAATGHCQPPPGFLPSPPPPPSSRRRHHLGAPTVNGAPLCSSSSSALPPPHSRTPPASPLVAARGPTSHAGETGSRREGARSSSGRCPPSCQRFLSPIDSDSQAFARQLQPSVAAAVVAPELIRVVGYDPMTSGKRKALPSPSLRPRRFLRLAQVAVRWRMEGSGGGGVAAEVTAPRERSGGPRLANAVRVSRLSNSDGTGAGGGGGGGYLNGGGSGFGSGAGSAQSGNPFGSYATAVAGGGSSSTSQDGGSGNGAGGGSASGAGENIDTVSTGYGGSTSAAGNGGGGGGGQAGGSYGSYGQGGGGGTGSGSGMADTHLFGPISEAEGNANGNGGGNGTGQNGGNGSGGGGGSGYAKAHP >ORGLA10G0105700.1 pep chromosome:AGI1.1:10:13322000:13322563:-1 gene:ORGLA10G0105700 transcript:ORGLA10G0105700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKLASLGFVMLLCIGLANAARVARFSISSATGTGGGEGAGYVNGHGLGSGSGAGSGENSAVQGSHAVAQGKGGGSGGAPYGGAGFGGGSGSGSVFSNLDSAGSSEASGTGGGDGEGQAGGNPGSKGYGAGSGTGSGSSMLHEYFPGFTNARASANGGGTTDTQNGGSGAGNGGGSGYAGLGPRP >ORGLA10G0105600.1 pep chromosome:AGI1.1:10:13313266:13313832:-1 gene:ORGLA10G0105600 transcript:ORGLA10G0105600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKFVALSFIVMLGIGLANASSTSNGNGTGWGEGGGSMNGAGGGSGSGTGSSVSIVNEGGSVHGSGGGGGGGSGGRQYGGSGHGSGSGRGSSSSQIGPDPYRGSSKAGGTGGGAGGGQADGYHGSSGYGSGSGTGVGSSTSMNGDFPGGVFSNANAFGRGGGNGYSQNGGSGSGKGSGSAYGNGEP >ORGLA10G0105500.1 pep chromosome:AGI1.1:10:13308414:13308833:-1 gene:ORGLA10G0105500 transcript:ORGLA10G0105500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSKRSSRRKPHLSVQPLLATSLSGMFPASYLEPRLTTVILICDKVSYTTHPGLAHTPVPRRPMTTCTNAIYAIVTSAVVDSLTIIRFVWGNAEFGLGKAAPAIPYAFRLCHGYLHCELAIXRTLPSLARCNDAVISI >ORGLA10G0105400.1 pep chromosome:AGI1.1:10:13304974:13305555:-1 gene:ORGLA10G0105400 transcript:ORGLA10G0105400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKLVALSFVVLMSIGLANAARVVRYASAEGQGQGGGNGSGYVNGGGVGNGNGYGSSESGSGVTATAGGGGWGGGGSQYNGTGFGSGSGAGSSSGQMAEGYYPGYGGHASAGGGGGGNGGGQAGGVDGSGGYGTGGGNGSGSSVANNGTPNTPPPYANANASGNGNGNGGGQSGGSGSGGGGGSGYGDANP >ORGLA10G0105300.1 pep chromosome:AGI1.1:10:13302055:13302624:-1 gene:ORGLA10G0105300 transcript:ORGLA10G0105300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKLAALSFVVLMSIGLANAARVSRLANAQGQGSGTGSGGGYVNGGGVGNGNGYGVSQSGSGTHANAGGGGWGGGGSQYNGTGFGSGSGQGSSSGSVSQGGGSASAGGGGGGVAGGQAGGVDGSGGYGTGTGSGTGSAEADGGASPTSSPPYANANATGDGNGNGGGQSGGSGSGGGGGSGYGDANP >ORGLA10G0105200.1 pep chromosome:AGI1.1:10:13295579:13298706:-1 gene:ORGLA10G0105200 transcript:ORGLA10G0105200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KRR1 small subunit processome component [Source:UniProtKB/TrEMBL;Acc:I1QV19] MASEEGEEANAASSAEEVGRKKPPRHKGKHDKPKPWDDDPNIDHWKIEKFDPSWNEGGMLEVSSFSTLFPQYREKYLQEAWPIVKGALKEFGVACELNLVEGSMTVSTTRKTRDPYIIVKAKELIKLLSRSVPAPQAIKILNDEMSCDIIKIGSIIRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTVAAMGSWKGLKQVRRVVEDCIKNIKHPVYHIKELLIKRELAKNPALANESWDRFLPKFKKKNVKQKKPITKEKKPYTPFPPPQQPSKIDLELESGEYFMSDKKKSAKKWQEKLEKQSEKAEENKRKREAAFVPPKEDTATPYESAKSTSNNDEIADMAKSLKKKAKEFRKSEAQENVRLESYVASNEGSRPKKKHKSSKSK >ORGLA10G0105100.1 pep chromosome:AGI1.1:10:13293646:13294224:-1 gene:ORGLA10G0105100 transcript:ORGLA10G0105100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKLAVLSFVLLMSIGLTHAARVARYANAQGTGSGGGNGGGYLNGGGVGSGNGYGVATSGHGAHAHSSGGGGGGGATSYGTGYGGGFGAGSSSSQTSSGYYQGYTGDASAGGGGGGNGGGQGGGTVGSSGYGSGFGTGSGASEGAGGFSSPNPSYANADASANGGGTGGGQNGGNGNGVGGGSGYGDANP >ORGLA10G0105000.1 pep chromosome:AGI1.1:10:13286843:13287655:-1 gene:ORGLA10G0105000 transcript:ORGLA10G0105000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTKLVALGLAVLLSIGFAGAARVARYTNSYSGGGGGGGGGGGGGGTNGGWGSGSGAGAGAGYGESGGDSGNTWNYGRGGGAGGGGGGGGGTNGGYGSGSGSGYGMGSGSSGGSGSSASAPVALSSGGNYATGDGEGGGGGGGGGSNGGSGYGAGAGVGQGAGESGSSIAMAPSPSSGGDYNGGYADAAGGGGGGGGGHGGGPAASPSYGVGAGAGSGAGDAGSDGSSGGGYASGMGGGKGGGGGGGENGGYGSGSGKGSGSGSGGYH >ORGLA10G0104900.1 pep chromosome:AGI1.1:10:13284339:13284896:-1 gene:ORGLA10G0104900 transcript:ORGLA10G0104900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKVVLLTFLVLLVIGVAYARPKKYASAGGGGGGGGGGGSSGGGSGWGSGSGSGYGQAGGSGGAYASGGGGGGGSGGGANGGSGYGSGSGSGYGQAGSYGPYGGGYAQGGGGGGGSGGGQNGGSGSGSGSGSGSGQTGGYGPYGGGYAQAGGQGGGGGGGQSGPGGSGSGSGSGSGSGSAGYP >ORGLA10G0104800.1 pep chromosome:AGI1.1:10:13280788:13281345:-1 gene:ORGLA10G0104800 transcript:ORGLA10G0104800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLVALSFIVLLSVGLANATRVARYASAGGGDGGGGGGGGSNGGSGWGSGSGSGYGQASGDGSYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYAQGGGGGQGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYAQAGGQGGGGGGGQSGPGGSGYGSGSGSGSGSAYGGHP >ORGLA10G0104700.1 pep chromosome:AGI1.1:10:13277802:13278359:-1 gene:ORGLA10G0104700 transcript:ORGLA10G0104700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVALSFVVLLSVGLANASRVARYASAGGGGSGEGGGGGSDGGSGWGSGSGSGYGQAGGDGSYASGGGGGGGGGGGENGGSGYGSGSGSGYGQAGGSGSYGGGYAQGGGGGQGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYAQAGGQGGGGGGGQSGPGSSGYGSGSGSGSGSAYGGHP >ORGLA10G0104600.1 pep chromosome:AGI1.1:10:13272270:13272821:-1 gene:ORGLA10G0104600 transcript:ORGLA10G0104600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVALTFVVLVSIGLANAGRVARYASAGGGGGGGGGGGGSNGGSGWGSGSGSGYGQASGGGAYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYAQGGGGGQGGGGGQNGGSGSGYGSGYGQAGGYGPYGGGYAQAGGQGGGGGGGQSGPGGNGYGSGSGSGSGSAYGGHP >ORGLA10G0104500.1 pep chromosome:AGI1.1:10:13263343:13264035:-1 gene:ORGLA10G0104500 transcript:ORGLA10G0104500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKFVALSFVVLLGIGLTNATRVARYVSAGGGGGGGGGGGGSGNGSGWGSGSGSGYGQAGGSGGAYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGSHGGAYAQGGGQGGGGGGGANGGSGYGSGSGSGYGQASGYGPHGGAYAQGGGQGGGGGGGYNGGSGYGSGSGSGYGQAGSYGPYGGGYAHAGGQGGGGGGGQSGPGGHGYGSGSGSGSGSAYSGGHP >ORGLA10G0104400.1 pep chromosome:AGI1.1:10:13236912:13237595:-1 gene:ORGLA10G0104400 transcript:ORGLA10G0104400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKHLVLLIILVLLSIGMTTNARTLLGYGIGGEGDGGGGGGGSGGGGGYGGSGYGSGSGYGEGGGAGAGGYGHGGGGGGGGGEGGGSGSGYGSGQGSGSGYGSGAFGAGGYGSGGGGGGGGGQGGGAGSGYGSGEGYGFGYGSGAGGASGGGGGHGGGGGGGQGGGYGSGSGYGSGSGYGQGGGAYGGGYGSGGGGGGGGGQGGGSGYGSGSGYGYRSGGGGGHY >ORGLA10G0104300.1 pep chromosome:AGI1.1:10:13226708:13230674:1 gene:ORGLA10G0104300 transcript:ORGLA10G0104300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFIRQDDLTPGFIQFIVALTTLLMVIRFGLDALWHRSRDNISRVYVIFVCALLDRMTHTMITYSLGIMQHRSATTNYYQLWAVLMVTLRYSVKIGSPAGMAMKQTPLVDLMSSFWAAHILRSHDVSMLLKVPVWLLWSINSARIIHGFISSGDAKNVQRENMKMLTDYMRHEHTTVPVQRPDPSSMKGYRYLVLGEAKKLKKREAEQGIDSVEATETISRILLALTDENNQELVTLERIWSHQGRCSHDGCQCNLPPGCCDILDQKTKDLCLSFALYKLLRRRFFNFPIHEARPQKTRRLVVNGILGEGDAAGRSVSARPRYAIIFTQGFPWVRLVLSTLLIGGISTMAVAVHRFSKSAKEDELGRAHIHHGVYFTWVILSLLGAKEIWEMTTYVFSDWTKVLLLCKFIEQPWWMRCWVGNLARALMRMLLCSPPLFRRWHGKVNLLFSRHSSIHLSKQVKKAVVDSLKNSIRQNLRLNNYLEQAINKNSLSIRLVRPSDNQEQEQAPQNSQADGGHRVSVEWLQGLQKKSVEWQLQDDVHTLLVWHIATCYCELKLAETRNVGANYTWLSWRGFGCRRGPPDADNPWRPHYLVSRTLSQYCAYLLWLVPPLLPGNSLMAKAVSRQVYRERNRLLGRRVYLPFSWCTSTTKVLVKLGKYRSEEIQLFADEAGNANTTILRKGAEVGMGLITAARSADSEALWKFLSDFWAGFVVHLAESTKASRHKMYLTAGGELSTHLWALLSHAGYLGATAHGDQTSDTVLQQPQPYNPNVQL >ORGLA10G0104200.1 pep chromosome:AGI1.1:10:13193757:13195136:1 gene:ORGLA10G0104200 transcript:ORGLA10G0104200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDQQRCHISRAPDHIRSSNPEHYKPLAFPVGPYHARSGVPTPEKAQRLKEQCVDEVVQLSGRSRHDLLGQMRSVLDRAREYYADEIGMDDEALAQMLLLDGCFVLVSLRGTERLKQLTPESTESLLSENSDDRCSDCSDQQGDEENQIAAANNGGGGGGVDSWHHFNVARDLFLAENQIPFFVVQKIYELLVKNHPYAERGVVGAVEAYVREVMAVYADGADAQAQPPAADHVHHLLHLSHMYLRPRTRRWSGGGGGGATVGRLRRATQLRELMVRFKKLEIVGGKAAPAAGSILDVAFRGGGVLEIPRLEIDGGTWRQMANLILLEQGSPHVGLYVTAYCAFMSQLAGTAEDVALLCESGVIEHQLGGDGDVADGLRRLCDGIIFDADDDAYNYLRPVYRAVEEHCRSRTLRLLCWVRGHANCPNPWLLLGIVAIITLLCFIVQQLQHATLSKNAT >ORGLA10G0104100.1 pep chromosome:AGI1.1:10:13092497:13095083:1 gene:ORGLA10G0104100 transcript:ORGLA10G0104100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGRTAGSLLGAMLMVLFRVMTPEEAYAAIDLPILGLLFGTMVVSIFLERADMFKYLGNMLSWKSRGSKDLLFRVCVVSAVASALFTNDTTCVVLTEFILKVARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVESGITFGQFLLGVFPAMVVGILANTFILLCYFWRYLSADRDQLEGGGAHGPEVVADEEVTSHRFTPARMSHASSVNDSDCISEPIRRSESMNRADALRSRSYNSEGDIQVAIRSLRASSLSREMVEVSTVCDRRDVVVDGGGGGGPRKITRSTSHQRSVIIEDAPEQHQHPAGLFDGEKDKDDEAIGKRRRWKVIVWKYAVYLTTLGMLAALLLGLNMSWTAITAALILLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYARIDSPKGVVLLAIVILVLSNVASNVPTVLLLGTRVAASAAAISPASEKKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAIGLLIVMSY >ORGLA10G0104000.1 pep chromosome:AGI1.1:10:13062186:13067623:1 gene:ORGLA10G0104000 transcript:ORGLA10G0104000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDSSRSRDKRDADDDVDARSSWKEDDEHEDVEDRKNRSGKSTRYIYDDEGDEDDYDVRRESRVSKVPRRSPEERSERRLSDGYKDRDGDSSRRRREDNNDWDSSRRSGSRTSGHDVSRSKSRSSDRTSSDRADTRDSRSSADQSKNRSAREAHDYRNKLSERWEDTERRKGSARTDKNDQDRRSIDPRYDSPPARDDRIVDSVDNTRQNTLHYNAKSEESDEKCMDQVEGTNRISDNVETKDMLPYVDKDGHALSRDGRNKEARHHREKDDGDQGHSDSDNERNISMKEKIRVDAHGDYKSYRGRDRNRELEGSKEHWGSHQRHDSKEPNDYDVGAEWRHGQERLDGGNFHGRSGYRKDSRGRYESSKGPSSYGNRYDSSDSIEIRPNRNLDFGRESSVSGRRINMGSLQDLTPGTSDPSEENKRNYGNGEDSQERYYDDVQNMDGKIPSDSQTGRGGAIASNNSGAGPSGSGSIISPTPQQGPKGSRPSRGLRGRPNVRDPQRMGLPVPLMPPPPFGPLGLPPGPMQPIGPNMSHSPGPLGPGVFIPPFPGHLVWPGARGIDVNMLSVPTNLPIPPVAGPSFTSSVAAGPNHSIHLNQTGSGLGSPANAPGTGFNPLSTPNHEILQDKPPAGWTPQRGPAGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELIQRKDDIVSNSASAPMYYKCDLKDHMLSPEFFGTKFDVILIDPPWEEYVHRAPGITDHIEYWTPDEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWIKTNKKNATPGLRHDSNTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKGLSSSNFHKEAYIKNFMDRDGKIWQGGGGRNPPPDAPHLVVTTPEIESLRPKSPPQKSQQQQSMPPMGSSSSTNRRSVMNSSQIVVTVVGSETMMPSPWSSNPMSGFGMPE >ORGLA10G0103900.1 pep chromosome:AGI1.1:10:13056974:13057651:1 gene:ORGLA10G0103900 transcript:ORGLA10G0103900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRRDGERTPRRRVSSSLLQTLLLVPFLCRRWKESAEPAHRRREGSLDGGRPHPPPHRGWPAAWWSPIRWPLASTMMTLPHCRASSSGAEQASQQGGGARSRQVAGGEAGGVERAGGRDAHHLFLFPISSIFVPPSLTTSAAADGWIQGGTVPEDGPHAAPHRQPRRRAARYPRLAHSCARTPCRGSPPSAAAPRGRNGRPHLAFSHGGQPPPRLLPPPSPPR >ORGLA10G0103800.1 pep chromosome:AGI1.1:10:13044032:13047652:1 gene:ORGLA10G0103800 transcript:ORGLA10G0103800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFRGPTESIYQGGVWKVRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQKVKEYCEKYAKPEDAGVTPEDKSSDEELSEDEDDSGDDAILGNPDP >ORGLA10G0103700.1 pep chromosome:AGI1.1:10:13027556:13028167:1 gene:ORGLA10G0103700 transcript:ORGLA10G0103700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQGDGDDDGDDARGRRKQRRLETVTRRQRLRRRRQWRDGKAEARVGEETAEGEGKGEGESGGREGGGETDGGSSAGDGDGGRRRWQRDGEAAAARGNGDGVRGRRRRQCSVAARERESKRLGFEGYALVGVFLXKSPSSSTYHKEAPVRDFFSSNTGREGPIPDYEELEGFFEKIQPRTVDTVAKSDVDTPSVRPFRRS >ORGLA10G0103600.1 pep chromosome:AGI1.1:10:13026251:13027082:-1 gene:ORGLA10G0103600 transcript:ORGLA10G0103600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEQLMAPETAAVTVTTKLLAQEITKDVQVNSRRKFEKWDIAITTSEPNINTMFIAIVPGKERDYNAYSKDYMSSIVKQSCTNTRSCFRDGDILSKICNYPMMLCLRII >ORGLA10G0103500.1 pep chromosome:AGI1.1:10:13018492:13025774:-1 gene:ORGLA10G0103500 transcript:ORGLA10G0103500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISIHVAPFHSSVVPEDHINDSDQSSTITGCSACCDHAMTSENTEDQRFQSIANNMRLVDLKLRMVCDTFGLHTRIFHVLQLSIIHLQRRKGSEENLRKYLRPCLVSQESRSWSHANMHHANVVLSFQIRPCCIDQYIQHDDSIISIHGDIVYPDKNSIICRLEAIEKYFSLETVKDIVEAMVSDASVVLYFQISGHDMNCKLTEKVLHCGLKSPINQEGCDAMLNLILESQALCSSIVLFAKIMTFQFVINYL >ORGLA10G0103400.1 pep chromosome:AGI1.1:10:13015833:13016468:1 gene:ORGLA10G0103400 transcript:ORGLA10G0103400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGLTTEDGQYDGWDDQQSAGGSSNWNSRANRSFGLADIPEWTGKPSLLYEDPDMLRFLGEPILTPKNNEVFDDTIGKGRPDKCNCEVPGSTSCVRFHVAEKKTELKREMGSSYYAMKFDEIGEDAALTWTKDEEKKFETIVQQNLPSSKYNFWDKLRAAFRYKGERALVSYYNNVFLPRRRAFQNRVAQHANGVDSDDDSIEPAFLRLRQDG >ORGLA10G0103300.1 pep chromosome:AGI1.1:10:13006782:13011266:1 gene:ORGLA10G0103300 transcript:ORGLA10G0103300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPTPPPPSAPSSAPPFKSNASSSRPNRAPPEGAPTSPAAATRPPPFAMVPAVSEAVLAVFDVSTTLRSQGYLAGQELPSITLPEDRAPEVFERLLGSFLAQSHGPGVLPPRPMPPLLGDGTPVGLLRLYLAVRACGGFEAVRSWAAAAEMAGLNPAMDVPIMLVYHKYLCPLEASFLRAQKLREEAGSSGGSAKKGKFLAPAARDAEGVEEVLDLKRKRESLVGMLNWVRQVAKKPDRRRSGRNTADNHLSMALMFRRQMFVDDGFSDKPHGCASPEPEATEPEVM >ORGLA10G0103200.1 pep chromosome:AGI1.1:10:12987774:12997054:1 gene:ORGLA10G0103200 transcript:ORGLA10G0103200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transmembrane protein-related [Source:Projected from Arabidopsis thaliana (AT2G46060) TAIR;Acc:AT2G46060] MAAARRAKVAAALGVVVWWWWWWAVAVVGCGAQPVVVGSYGQPRLWLKPYDWSYLRVELPPSFSSVTMDFATDRDIQREHLKDLPRRDLAIICLMNSNPPIPDISDSFLDTLLSNFLVVGSFGSTNNQSDLAQCIPFQKNTTIVLRNDQISPGIWYIGYFNGLGPARTQSKMISRGRARSVSTSITVEGCPTSALWGPYCNQTIEMISCSQSSGYNNSRNLMGLNIDKRKTLNTREHTRRINFLSQWNHLEEKGVGSNSTTYSRIDNSITCAISNGSLCLRQGDMKFYFLDVVDLALQFEITATNFGLAQRSSLICYLRYNAFPRRDLHDYSGDISSAPLVLKLPNIGRWYIAIETVNITQMNSTASTPLLDTTCFSLEWQVTGCLNGKAGTNCSWEAYVLQRVPKRSPSVPFESYYVPSDGKASLEYSHFSLEQFLSNSSFEPFAWTYFFLDIPEGSAGALIHVQIKSDKELNYELYSRYGGLPSNESWDYYASRTSSSNGSVYFSLQNSTNSDMDLSIFYAKEGTWCFGVRHPSDKSNSQTYMSISLQGCHKNCNQKGACHSSIDESGLTFYSFCTCDRDHGGFDCNDELVSPNGHIWQSVFLIASNGAAILPAFWALRQKAFAEWILYTSSGISSALYHSCDVGTWCILSFRVLQFLDFWLSFMAVVGTFIYMATIDETSKRAMHTAVFILTALLAATGATRSANIGIVIAIGSLGLLIGWLLEFSTARRFVCWPWRINLNVPQSWPNLRSLFWNSLDLLNKRFRWFYLLLGFITLAFAGTSWKLESNRSYWVWHSLWHITIYTSSFFFLCSMRINTVNHSPEPSYELTRQNSLPRSEPRETLTGPTLEEELNAIFFLTVCMHRPLQVGIYGFHDFWGVIP >ORGLA10G0103100.1 pep chromosome:AGI1.1:10:12981327:12981712:-1 gene:ORGLA10G0103100 transcript:ORGLA10G0103100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNQWARSPSRLIDHNVKRNRIAVRCAQTTEPPLLAASESQNTQQYNITVAVWHNGRAMTKEAVPLDPKCF >ORGLA10G0103000.1 pep chromosome:AGI1.1:10:12976610:12978333:-1 gene:ORGLA10G0103000 transcript:ORGLA10G0103000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKCASRLLGSSYSASAAAPPPPVTGAGAAAPGFELLPLALATPPPLPYPGSHESGAGATCFAAAAASGEPCELSRSPWDLIGELNISDPQEEDVVEKYFVHVASRASWLFPTSMPAAVKQKGLAAAGEFSTKIPNKKFAKKTAKKPSPATAKKKEAAAVKEEDEPTKKPKLKKEEDDSNSAISAAGGAQVWTCKKNDGKRWHCQRRVSQPNSLCDYHSDQKRGYYNPLYDDPTDETYAPPASPPSSVAPATASSKTSTSSSKARKKKVADSGEGFYYYAGFGPFRTKRHCRSSSSSNNYNMQDQPPPVEQEQHEEEEARSPEIADPHPSAGNKSRSGNGDDATTTTDRQTTLASTTTAVAARDDFSSSNDDDDDIAGIAGGDEESSDDAARIGRLRAGINGDARKNKSQQARKRWRKPVKARSLKSLM >ORGLA10G0102900.1 pep chromosome:AGI1.1:10:12972679:12973515:1 gene:ORGLA10G0102900 transcript:ORGLA10G0102900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRTPVRAASPSPGPSPPPPPPPAQLKVRRLCALCPFDTFCFRPLLGFCLPSRRPGDPPPLTCDSLQGGRPPVVHADEEEEEKKKKKKKQGSMVVGGDREEEEEEEDDDDDLVLVASTTSERDVTGAAAAGRCSRNDGKRWRCKSAAVPGYVFCDRHIAWSTRKRKPRKRSHSSIMDPPPPKEDPAAAAKAEDDGGGGGGVEEEESKNHGANLRCNDDDDDEEFHYYGGLQHGGRKRAKSGGGGGPA >ORGLA10G0102800.1 pep chromosome:AGI1.1:10:12959161:12960570:-1 gene:ORGLA10G0102800 transcript:ORGLA10G0102800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSHPHPHPASTTAAAAARHHHRRNAPFAPHHRRRRRFAHLTTSAVILGPDGRPIGGGPRDNKLPFTPPPTAPPDQLYQPFHPPPSPLPDKYKDLDLGQRLAVLRDRLGLWHEYAPLISALSREGFTPSSIEEATGISGVEQNRVVVATQVRDSLVADEGGFPAELLRYFDSYGGPELLYELRFLNARQRADAARHAIDRRLEPRGVRELARSMKDFPQRRGDDGWEAFTRDNPGDCLAFARFRQSREAIDAEDSVAELERALEVVDTEPARARVEAELDRARRKAAGEEVDDEDGAANAAAAASRPAVPVVRLMYGEVAEATTVLLLPVVREGDGGEALAHAPRRTRTDADLGMVEVDKGWTRWAVVPGWGPVAEVAGEAVVIELADGRTLPWRSAEAERVLVVANRGRREVVEDGIYVVDREGRLVVEKGRKLAAEGVGEAAAEVLVVVRPPRDEDDMISDDEWD >ORGLA10G0102700.1 pep chromosome:AGI1.1:10:12954798:12958492:1 gene:ORGLA10G0102700 transcript:ORGLA10G0102700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSFAGRVLFAAAFLLSAYQEFSEFGVDGGPAAKALQPKFNTIVANISTRTGLVVPHIELKHIVAAMIALKGLGGLLFIPSSSLGAYLLLFHLAFITPVVHDFYNYDIESAEFVQLFTKFAQNCALVGALLFFLAMKNSIPKRQPNRKKAPKPKST >ORGLA10G0102600.1 pep chromosome:AGI1.1:10:12946647:12948404:-1 gene:ORGLA10G0102600 transcript:ORGLA10G0102600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEFFLPSPVAVRRRWWWWGVCVWFISLFCRASEFLRDYDGAVIQMRMAYSAVAHFLVQWTDCKLAGALGLLKIMIYKVYADGTTALPEWEREASIRQFYGVIFPSLLQLPSGITELDDRKQRRLCLQKFRKVEERVSEVDLERELECGICLEVNAKIVLPDCAHSLCMRCFEDWNTKSKSCPFCRACLKKVNPSSLWLYTDDRDVVDMDTLTRENIRRLFMFISKLPLVVLHVVDLDIYEYRIK >ORGLA10G0102500.1 pep chromosome:AGI1.1:10:12926530:12926904:-1 gene:ORGLA10G0102500 transcript:ORGLA10G0102500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDRSKDTATKYRGVRRRPWGKFAAEIRDPERGGARVWLGTFDTAEEAARAYDRAAYAQRGAAAVLNFPAAAAAGRGGGAGGAASGSSSSSSAQRGRGDKIEFEYLDDKVLDDLLDDEKYRGK >ORGLA10G0102400.1 pep chromosome:AGI1.1:10:12902922:12907052:-1 gene:ORGLA10G0102400 transcript:ORGLA10G0102400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWTEPYYNCVFIVSEAESMHHVATRKCQIPDLVSSVPNMMPRWYHDDVSIVPVIYCPIAEETAEEDGKTGGMWESQQDNRDICNCRKFLICANQQHVVDEQXQQVAGSSTDRAPTPFTVVCTKDSCSVALCPTPNIKSHRRQEIQVQSLICFDDLQSRGCSLLIVRSLALLFKLAHDDNSHHADDDHDDDEGGAARKQQLLRAGKLAADGLHHGPALERHHSGGSRHKLRCDGGGDGLAAIAGLGIPRRRSWPSLPSLAGCCCRRHRRXPTAVVSRREMGKGEERGWRKRMELTCGSHIRVTAMDGKCDGSGMGPISQSSSGTXQIS >ORGLA10G0102300.1 pep chromosome:AGI1.1:10:12898210:12899832:-1 gene:ORGLA10G0102300 transcript:ORGLA10G0102300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQEQQQHLQVLSALDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDLAKENPGSLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKSVYGMTLLMMVICSIASGLSFSHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLIISSAFRAGFPAPAYQDDRAGSTVRQADYVWRIILMLGAMPALLTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVEIQEEQDKLEQMVTRNSSSFGLFSRQFARRHGLHLVGTATTWFLLDIAFYSQNLFQKDIFTSINWIPKAKTMSALEEVFRIARAQTLIALCGTVPGYWFTVFLIDIVGRFAIQLLGFFMMTVFMLGLAVPYHHWTTKGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGSFGFLYAAQDPHKPDAGYKPGIGVRNSLFVLAGCNLLGFICTFLVPESKGKSLEEMSGEAEDDDDEVAAGGGAAVRPQTA >ORGLA10G0102200.1 pep chromosome:AGI1.1:10:12889525:12891105:1 gene:ORGLA10G0102200 transcript:ORGLA10G0102200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQLKVLTTLDHARTQWYHFMAIVIAGMGFFTDAYDLFCISLVSKLLGRIYYTDIASDTPGSLPPNVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLVLMVVCSVASGLSFGRTAKGVVATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILFGAIVALIVSAGFRNAYPAPSYADGRAASLVPEADYVWRIILMFGTVPAALTYYWRMKMPETARYTALIARNAKQAAADMSKVLDTEIQEDADRAEAVAAAGAGNEWGLFSRHFVRRHGVHLVATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPARTMNAVEEVFRIARAQALIALCGTIPGYWFTVAFIDVAGRFAIQLMGFAMMTVFMLGLAAPYHHWTTPGNHTGFVVMYGFTFFFANFGPNATTFIVPAEIYPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQDPHKPEAGYKPGIGIRNALFVLAGTNFLGMLMTLLVPESKGMSLEEVSKENVADDEEATA >ORGLA10G0102100.1 pep chromosome:AGI1.1:10:12883355:12886098:-1 gene:ORGLA10G0102100 transcript:ORGLA10G0102100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24000) TAIR;Acc:AT3G24000] MRKPFPSFLLLHRLRRRRDADRHYAAAASLTASVADIPVPAAASTGIIRDTLDRVDARELAATPRLYHSLITACAQRKSLDDARAIHAHLAGSQFAGSVFLHNSLIHLYCKCGGVADARRMFDEMSTRDMCSWTSLIAGYAQNDMPDEALGLLPGMLRGRFKPNGFTFASLLKAAGASASSGIGEQIHALTVKYDWHDDVYVGSALLDMYARCGRMDMAIAVFDQLESKNGVSWNALIAGFARKGDGETTLLMFAEMQRNGFEATHFTYSSVFSAIAGIGALEQGKWVHAHMIKSGERLSAFVGNTILDMYAKSGSMIDARKVFDRVDKKDLVTWNSMLTAFAQYGLGREAVTHFEEMRKCGVHLNQITFLSILTACSHGGLVKEGKQYFDMMKEHNLEQEIDHYVTVVDLLGRAGLLNDALVFIFKMPMKPTAAVWGALLGSCRMHKNAKIGQFAADHVFELDPDDTGPPVLLYNIYASTGQWDAAARVRKMMKATRVKKEPACSWVEIENTVHMFVANDDTHPRSEEIYKKWEEISIQIRKAGYVPNTDYVLLHVDEQERQAKLQYHSEKIALAFALINMPLGATIRIMKNIRICGDCHSAFRYISKVFEREIVVRDTNRFHHFSSGSCSCGDYCTPQKLSPYFTCDHHKHLGSEAQSLFSYRRLSWNERMQLFGLLTTLCHPYTVIRRFDFSQS >ORGLA10G0102000.1 pep chromosome:AGI1.1:10:12878058:12882620:1 gene:ORGLA10G0102000 transcript:ORGLA10G0102000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRSPAAATASSGPVAAAASXXXXXXXXXXXXXXXXXXXXXXXXXXXXRLYAPSSSSAAAAGGVEAGEGGGSEPAASAFLSFSDPLTGDDGGGVSAGGRGGASRFPVDHEINSRIYLWRGHPWNLEVDAVVNSTNESLDESHSSPGLHAAAGSGLAEECSTLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKSKIAGVVFCTVSSSDTEIYKRLLPLYFPRDRQEEEIAVSKLPADVGDENGETVIDERKIRIRPLPAGATDRAATTAPIDLPFDSGLASKRSSFKLDSYLDPSFMSLIKDPDLRRKEQWEKSAQAQKGFNYAKLLGYGDLACPSLSAAEEYSLHSRYLAKANSLNLSEIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLHVVKEFEPLIQKPYSIVYFHSAASLQPQPDLGFMKRLQQILGRKHQRNLHAIYVLHPTLGLRTAILAMQMFVDGEVWKKVVYVDRLVHLFRYVPREQLTIPDFVFQHDLEVNGGRGLIVDPRTKHIYQRPSG >ORGLA10G0101900.1 pep chromosome:AGI1.1:10:12866789:12869618:1 gene:ORGLA10G0101900 transcript:ORGLA10G0101900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAAAACGWRKGLEEGEPEWEAMELEAAAPAIFPADGGGGGGRRSPAASTIFLPDLLDGSFLSDDILPDLSQMEDIFQPAHEDDIHHLLQGPQDEADLDKWLAGQSCSPAAEGSITVQTPTYPILNLIDFIPKDGCKRGPRGARKRQTFPSRGARTRRAFPLQQLCFGTTRDKRCRLRKNNDHWTIKEVTNLVQGVSKHGVGRWTELKRDFFSTSIRTSVHLKDKWRNLLKACGIDFTSTAKGNAQKTMLWPLDKRLIEQITQLAYKHPYPRQKY >ORGLA10G0101800.1 pep chromosome:AGI1.1:10:12836289:12840042:1 gene:ORGLA10G0101800 transcript:ORGLA10G0101800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translin family protein [Source:Projected from Arabidopsis thaliana (AT2G37020) TAIR;Acc:AT2G37020] MRPAATATAALRLRAAFLSPPPPPAASAAAASRLLPRRPTASILLLPLRRLCSVPPHAVGAAGTGSSSQPSPVMDAQFESFRAQLDESSTLRDRIRAVVSEVESASRVASAALLLVHQPVHLADVLGKAKAQVEVIKGLYSRLAEILKECPGQYYRYHGDWRSETQAVVSMLAFMHWLETGGLLMHAEAQEKLGLSSGEFGLDVEDYLTGLCFMSNDFPRYVVNRVTAGDYDCPRKVLTFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLVPGESKQEAA >ORGLA10G0101700.1 pep chromosome:AGI1.1:10:12809138:12816801:1 gene:ORGLA10G0101700 transcript:ORGLA10G0101700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQLLAAAVAAAVFLAALLVPPARCQQQQVAIPPPASTSPPVRLPPSAPPPLVGVPPGVLMARIDAVRDELAAEVQAKYGFCMANVQEDFTQAFSFSNASFVSDCMEETQGQMTGMLCEKVEIEIYVKSLGKKPSTRGITVQQQPKNLTVLRGTIAVKAPQRNVSVFGKTHVILSVVLLLVYNCSDQFIKIRAKILSKSRRKAATIAQESATARGRWKLAKELVLSHELEMSESDQLAASSNEARHATEGNGKRSKNRKKLAHARTERFRRAYSQIGRERVLQPDNDKITLSGVVALAAENRSRRPMFEVVFKGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTTGYKKDGLVLINGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSACCSLKFSFANSHPWSSKGMSKSDKIIVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIAYLGPISEVETYFSSLGIKVPERENPPDYYIDILEGITKTKMRGHATPKHLPLLWMLRNGYEVPEYMQKDLEDINNVHELYTVGSMSREQSFGDQSENADSVHQNVREPYSLLDRKTPGVLAQYKYYLGRVTKQRLREATLQAVDYLILCIAGICIGTIAKVKDDTFGVASYGYTIIAVSLLCQLAALRSFSPERLQYWRERESGMSTLAYFLARDTIDHFNTLVKPVAFLSTFYFFNNPRSEFKDNYLVFLALVYCVTGIGYTFAIWFELGLAQLCSALIPVVLVLVGTQPNIPNFIKGLCYPKWALEALIIAGAKKYSGVWLITRCGALLKGGYDINNFVLCIVIVMLMGVLFRFIALLSLLKLK >ORGLA10G0101600.1 pep chromosome:AGI1.1:10:12800649:12803367:-1 gene:ORGLA10G0101600 transcript:ORGLA10G0101600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRAGEASSSSPGTMSKAMQCFGFAGWEREERRGRSSAVAAVAATTRSLSARSNSSTSTDRDARRSGSECSLNVSSEISAESFGRYRQLSLPQRASNNLRIFTFQELKSATRGFSRSLVLGEGGFGCVYRGTIRSVLEPRRSVEVAIKQLGRKGLQGHKEWVTEVNVLGVVDHPNLVKLIGYCAEDDERGMQLLLVYEFMPNGSLADHLSSRSPRPASWAMRLRVALDTARGLKYLHEESEIKIIFRDLKPSNILIDENWNAKLSDFGLARLVSQDGSHVSTAVVGTIGYAAPEYIHTGRLSSKNDIWSYGVVLYELLTGRRPLDRNRPRGEQNLIEWVKPYSTDSKKLEIIMDPRLEGSYSLKSAAKLASVANKCLVRHARHRPKMSEVLEMVQKIVDSTDLGTPEHPLISKSRELTRDEKKRKGLDLKRRFADIKAGGDQRWFTWQRWRPKLVRTQ >ORGLA10G0101500.1 pep chromosome:AGI1.1:10:12797791:12799272:1 gene:ORGLA10G0101500 transcript:ORGLA10G0101500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPHSPPLPPHLLRHLDGRALSTPLLDPLIRAASASASAPHHAFSLFLLLLRSALRPSHLTFPFLARAAARLASPRLARAVHAQPLRRGLLPQDLHVSNSLVHMYAACALPGLARRLFDEIPRPNHVSWNALLDGYAKCRDLPAARRVFARMPQRDVVSWSAMIDGCVKCGEHREALAVFEMMEATAARHDGVRANDVTMVSVLGACAHLGDLVRGRKMHRYLEEHGFPLNIRLATSLVDMYAKCGAIVEALEVFHAVPVESTDVLIWNAVIGGLAVHGMSRESLQMFQKMEHAGVVPDEITYLCLLSACVHGGLVDEAWRFFRSLEAQRLRPHVEHYACLVDVLGRAGRLEEAYGVVKSMPMKPSVSVLGALLNACHIHGWVELGEAVGRQLVHLQPDHDGRYIGLSNIYAVARRWQEAKKARKVMEERGVKKVPGFSEIDVGRGLCRFIAQDKTHPGSAEIYALLKLIAMDMKMKDDATVPDYTCMYC >ORGLA10G0101400.1 pep chromosome:AGI1.1:10:12791482:12795618:1 gene:ORGLA10G0101400 transcript:ORGLA10G0101400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEPSSSADPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKIRMNKVVRKNLRVRLGDVVSVHQCQDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPTEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLELIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRSKENPEAMEEDEVDDIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFERTEAGAGAAADPFASAAAVADDDDLYS >ORGLA10G0101300.1 pep chromosome:AGI1.1:10:12765779:12779472:-1 gene:ORGLA10G0101300 transcript:ORGLA10G0101300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QUY0] MGQQPQDAVAANGNGGGKRPHAVVIPYPLQGHVIPAVHLALRLAARGFAVTFVNTESVHRQITSSGGRHGGGGGDDIFAGAGGGAIRYELVSDGFPLGFDRSLNHDQYMEGVLHVLPAHVDELLRRVVGDGDDAAATCLVADTFFVWPATLARKLGVPYVSFWTEPAIIFSLYYHMDLLTKNGHFNCKEPRKDTITYVPGVEAIEPGELMSYLQDTDTTTVVHRIIFRAFEEARGADYVVCNTVEELEPSTIAALRRERPFYAVGPILPAGFARSAVATSMWAESDCSRWLAAQPSRSVLYVSFGSYAHVTRRELHEIARGVLASGARFLWVMRPDIVSSDDPDPLPEGFAAAAAADGRGVVVPWCCQVEVLAHPAVAAFLTHCGWNSILESAWAGVPMLCFPLLTDQFTNRRLVVREWRAGVAVGDRGAVDAGEVRAKIEGVMRGEEGEVLREQVGKMRATLHAAVAPGGSSRRGFDELVDELKRRCGGGGRH >ORGLA10G0101200.1 pep chromosome:AGI1.1:10:12756754:12764805:-1 gene:ORGLA10G0101200 transcript:ORGLA10G0101200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:I1QUX9] MQKACSVQLLRPSRSRRRESWPQSGGSVVTGRPCYLRGSRGLSRLNGMVLCFQPPQSASVVHLEEEDKYSCIDHSTSYLHVQSVRDFPIEKLNAEVVLVRLDSELLCNPLGSCNLSLERTVSTIKYLHKAGAKVLLVTSWTPVLQSVYPVLKSTETFADYMSSLLQVKVVPVNGVPGLTSFNPERWMQNDIILFENLFNFKGENANCNDFSQKLASGAAIFVNDSFSLSHKMLASTVGITRFCHASLAGFHFEEELMQLRKITDTTRRPFIAIIGGSNFLGKAPALNLLASLCDGLFFVGKLSLQIMNGFGIPVPSCFVEKNSTKEVLQLIQTAHNRNIPIYYPTDTWCLNNKNNNHEKLEILDSAELFPGWTPADIGPSTLEKISSLIPLYKKVLWIGPTGFDLTEEFSGGAAQLGRILDKASHDSCDVILVGSAACKAVKGISGSSSKYTTFKNASVVLEFLKGKILPGVAALDKSYPYQIPWNAIFSDSSQPLVVDIGSGNGLFLFQMARNWEGSNFLGLEMNKKLVVRCLRDVASVDKRNLYFVSTNATSTFRSIVSSYPGQLALVTIQCPNPDFNIEQNRWRMVRRMLVEAIADLLQPNGKVYLQSDVESVLLGMKEQFMTHGKGQLVVDDDGGGDHQMDNPFGAASDWERHVLARGAPMYRTMLRKV >ORGLA10G0101100.1 pep chromosome:AGI1.1:10:12747239:12749347:1 gene:ORGLA10G0101100 transcript:ORGLA10G0101100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPRDKWRGESERAFFAMVSLPCLVVLLLAAAVGGGGGGGVEFVYDGFGGAALALDGMATVTPGGLLLLTNDTDMNKGHAFHPDPVRFVGGGGGGGGGVVASFSTTFVFAIVSEFLDLSTSGFAFLVAPSRDLSAAMPQQYLGMFNASGNGDARNRIFAVEFDTVRNPEFADINNNHVGVDVNSLNSSAAATAGYYDDATAAFQNLSLISRQPMQVWVDYDAAAAEVTVAMAPARRPRPKKPLLSTAVNLSTVVADAAYVGFSSASSIVLCKHYVLSWSFRLGGGGAAPALDYAKLPKLPRIGPKPRSKALTVALPIVTTAIVLTAVAVGFLLLRQRLRYAELREDWEVEFGPHRFSFKDLYDATGGFKDKRLLGAGGFGRVYKGVLPRSRTEVAVKRVSHESRQGMREFIAEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLHGCDEKPILDWAQRIYIIKGVASGLLYMHEDWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPEMVRSGKATTRSDVFAFGAFLLEVTCGRRPIEEEEEVAGAGADDDDRFVLVDWVLGHWREGAITDAVDAKLGGEYDAAEAELVLRLGLTCLHPSPAARPSMRQVMQYLDGSAPLPELPPTYVTFNMLAAMDTHQNVFGAWSVRRSSAMSVATVSDIGLSGGR >ORGLA10G0101000.1 pep chromosome:AGI1.1:10:12744078:12746153:1 gene:ORGLA10G0101000 transcript:ORGLA10G0101000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDPSMHLKPHSIVSFLVLLLLFHVAAAGGDGDQFRYDGFAGAALDLDGMAVVEPDGKLMLTNVTSQMKGHAFHPAPLRFHHPPPANGTAAAARSFSTAFVFAIAADYVTVSGNGLAFFVAPSKNMSTASPSQFLGLFNSENNGNASNRVFAVELDTILNPEFRDINSNHVGVDVNGLVSVAAEPAGYYDDATGGAFKNLTLFSGAAMKVWVDYDGRAAVVNVTLAPVEVAKPRRPLISVAVDLSPVVNGTAYVGLSSSTGPFHTRHYVLGWSFAMDGPAPPLDYAKLPKMPVVSVKRRSKALDVVIPVAAPLLALAVVAGVSFLVWRRLRYAELREDWEVEFGPHRFAYKDLFVATAGFDGKRLLGVGGFGRVYRGVLPASGTEVAVKIVSHDAKQGMRQFVAEVVSIGRLRHRNVVPLLGYCRRRGELLLVYDYMPNGSLDRWLHDHGAPPLGWAQRLHAVRGVAAGLLYLHEDWEQVVVHRDVKASNVLLDGEMNARLGDFGLARLYDRGADPQTTRVVGTMGYLAPELAHTRRVTPATDVFAFGSFVLEVACGRRPIERGGAMTAAADEDGQLVLADWVLDRWHKGDIAAAADARLCGDYDAKEAALVLKLGLLCSHPVAAARPTMRQVVHFLDGDAPLPEPEPTYRSFTTLAMMQNADGFDSCAVSYPSTATSIDGASSVLSGGR >ORGLA10G0100900.1 pep chromosome:AGI1.1:10:12739646:12742967:1 gene:ORGLA10G0100900 transcript:ORGLA10G0100900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVPEQLSHRSSCNLRAETHLHPVAGLPPPPPPLAHLRLTRPPAPPDPPAMGCSSSLPANNAGGVGTISNENSGTDPKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTTVKFEIWDTAGQERYAALAPLYYRGAGAAIVVYDITSSESFNKAQYWVKELQKHGSPDMIMALVGNKADLHDNRSVSSQDAQEYAERNTMFFIETSAKTADNINQLFEEIAKRLPRPTPS >ORGLA10G0100800.1 pep chromosome:AGI1.1:10:12731665:12731931:-1 gene:ORGLA10G0100800 transcript:ORGLA10G0100800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRIEMSSNSCSIIRSRWQQPWHMSPLGFDWRGSSSRVEIPPGTVGSAVLDLQYANMIITTERQLLETEAEERWSGTTRRRALHAML >ORGLA10G0100700.1 pep chromosome:AGI1.1:10:12730202:12730657:1 gene:ORGLA10G0100700 transcript:ORGLA10G0100700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLALFILLAAGVAAAAATHPGDAVAMRSLANTTGAAKTLQWGASSPDPXGGTWVGVTCNAEGRVTAINASRGGLTGHLVGADLSTLASLSDLDLSFNALRDDLPVLPQPLGGLRALDLRSNSFFAITDGFFAAFPALETSTSTTTRCRP >ORGLA10G0100600.1 pep chromosome:AGI1.1:10:12728539:12729630:-1 gene:ORGLA10G0100600 transcript:ORGLA10G0100600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPSRPPPSPSTATIRSGGTQAERCVRGWESERTIDLRQQEDGMAKLQYDAQDGGGGGDGELATVVAALRVYRTDSEV >ORGLA10G0100500.1 pep chromosome:AGI1.1:10:12698711:12700098:1 gene:ORGLA10G0100500 transcript:ORGLA10G0100500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKDKASGKDAGEKKDAAGGGEKAAAAAPGPIVLKVELHCAGCASKVKKAIKRAPGVETVVTDTAGNKVVVTGAADAAELKERIEARTKKAVQIVSAGAGPPPKKDKEEKKDKDKKGGGDDKKADKEKGGGGGDKKAEKEKGGGDKPKEEKKAKEPKEETVTLKIRLHCEGCIDRIKRRIYKIKGVKDVAVDAAKDLVKVTGTMDAAALPGYLKDKLSRQVEVVAPGKKDGAGGGDKKDGAGGDKKDKKEGGGGGGDKKDAGGEKTDKDKSAAASASVAPVPLADAGMFQMPPQYGFNPYHVHPGAAYYGGAPPPNPAAFYHHPNAAAAAAYQPYPYNVHAPQMFSDENPNACSVM >ORGLA10G0100400.1 pep chromosome:AGI1.1:10:12695839:12696219:-1 gene:ORGLA10G0100400 transcript:ORGLA10G0100400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGPLMMVTFFFDLTWRSNSKHCTSNFPATITRLAGMSTVTLSTPGTATAGEDSDVEAPLPLPPAPPATAAFSAAASSSFSFTEETDGGGHRRPAAAHVVSDQ >ORGLA10G0100300.1 pep chromosome:AGI1.1:10:12693219:12693728:1 gene:ORGLA10G0100300 transcript:ORGLA10G0100300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATVILEMEVHCNGCARKIEKTIKKISGVALATASLGSPGTVVVHGTADAAAIQARLKAKIKRDVAIVSITAGAVEPPQQAPPPAAPPHQYGGDYRQHGSGNSFRYPPSYFSDENPSGCSIQ >ORGLA10G0100200.1 pep chromosome:AGI1.1:10:12685265:12689945:-1 gene:ORGLA10G0100200 transcript:ORGLA10G0100200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAAHSASTSGGGGGSEGSPTGRAAPGMQGGGGAATPAASASASTPASETTVARRLDGLDIQGDDAPSSQPATSKKKKRGPGTRATGPDKGGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPNNNFASPDPDNPNTPQFDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSMSDVEELKTEIIGLKGRIDKKNAYLQELEDQFVGLQNLAQRNEQLYGSGNAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSFVLKALGFSGKEPDDTQARVGNGGECSTTPIYHQSPQVARPNGVRLPTSPPIPGILKGRVKHEH >ORGLA10G0100100.1 pep chromosome:AGI1.1:10:12679106:12683177:-1 gene:ORGLA10G0100100 transcript:ORGLA10G0100100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLLLLLALAVSVLVAVVISKLVSYATKPRLNLPPGPWTLPVIGSIHHLVGSHPIHRSMRALAEKHGRDLMQVWLGELPAVVVSSPEAARDVLRSQDLAFADRYVSTTIAAIYLGGRDLAFAPYGERWRQLRKLCTQRLLTAARVRSFRCVREEEVARLVRDLAASAAAGEAVDLTARVAELVNDVVVRCCIGGRRSRYRDEFLDALRTALDQTTWLTVADVFPSSKLARMLGTAPRKALASRKKMERILEQIIQERKQIKERSTGAGAGADDEAAAAGNECFLDLLLRLQKEGDTPIPITNETMMLLLHNMFSAGSETSSTTLNWTMAELIKSPRVMAKVHDEVRQAFQGKNTITDDDVAKLSYLKMVTKESLRMHCPVPLLGPRRCRETCKVMGYDVPKGTIVFVNAWAICRDSKYWKSAEEFKPERFENISIDYNGNNFEFLPFGSGRRICPGITLGMANVEFPLASLLYHFDWKLPNQMEPEEIDMREAPGLVGPKHTSLYLHPVTRVAPSSV >ORGLA10G0100000.1 pep chromosome:AGI1.1:10:12668556:12672161:-1 gene:ORGLA10G0100000 transcript:ORGLA10G0100000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLPLALTVLSVSVLIAVVISKLVSYATKPRLNLPPGPWKLPVIGSLHHLVGSHAIHRSMRALAEKHGRHHLMQISLGEVFAVVVSSPEAAEEILRNQDVTFADRFLSTTIGVITFGGNDMAFAPYGERWRQLRKLCTLELLSAARVRSFRRIREEEVARLVRDLAASAAAGEAVNLSGRIAKLINDVVVRCCVGGRSEHRDEFLDALRTALDQTTWLTVADVFPSSKLARMLGTAPRKALASRKKIEHILEQIIQERKRIMDRSSHGGDGDGEAMNTSECFLDVLLRLQKDGNTPIPITNEVIVVLLFDMFSGGSETSSSTLIWTMAELIRKPKVMAKAHVEVRQAFQGKNTITEDDGVNELTYLKMVIKESLRMHCPVPLLGPRKCRETCKVMGYDIPKDTTVFVNAWAICRDPKYWDDAEEFQPERFENKSIDYKGTNFEFLPFGSGRRMCAAMNLGIANVELPLASLLYHFDWKLPVGMMPEDVDMQDAPGILVGKRSSLIMCPVTRVAPSNPQVIAS >ORGLA10G0099900.1 pep chromosome:AGI1.1:10:12662590:12665311:-1 gene:ORGLA10G0099900 transcript:ORGLA10G0099900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKLLLLALAVSVVSIVTISKLVYRATNKPRLNLPPGPWTLPVIGSLHHLVMRSPSIHRSMRALAEKHGPLMQVWLGEVPAVVVSSTEAAEEVLKNQDARFADRFITTTLGAITFGGGDLAFAPYGERWRHLKMLCTQQLLTAARVRSFRRIREEEVARLVRDLAASAAGGGEVAVNLSERVARLVNDIMVRCCVGGRSKHRDEFLGALCTALSQTSWLTVADLFPSSRLARMLGTAPRRALASRKKMELILEQIIQEREEMTTDRSGDGEAGPTNECFLDVLLRLQKEGDTPIPITMELIVMLLFDIVSGGTETSTIVLNWTMAELIRTPRVMAKAHAEVRQTFQAKSTITEDDDISGLTYLKMVIKESLRMHCPVPLLGPRRCRQTCKVMGYDILKDTTVFVNAWAMCRSSTYWNDAEEFKPERFENKCIDYKGSNFEFVPFGSGRRMCAGMNLGMADVEFPLASLLYHFDWKLPDGMSPEDIDMQEAPGLFGDRRTSLILCPITRVAPSDLQVIV >ORGLA10G0099800.1 pep chromosome:AGI1.1:10:12652171:12660186:1 gene:ORGLA10G0099800 transcript:ORGLA10G0099800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEYEYLEKTVEPSAPSTNGSGEKDRAGSRRRSSGGGRDDEERGSKRSRSGEDRDRDRDRHHGGGGGREHRDRDDGKEKERSSRSRGKDGEKDRGKDGEKDRSKDAEKDRSRDRDRDSERDRRRERDSGRERRSSSRPERRRTEEEEMVRELQKERERSDRNRDYRDRDVRRRKDDGAEPEADPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALTGQVLLGQQVMVKPSEAEKNLVQSNVASGGIASGGARKLYVGNLHSNITEDQLRQVFEPFGQVELVQLPVDPLTGLCKGFGFIQFARLEDAKAAQSLNGQLDIAGRVIKVSAVTEQAGLQVGGATTGDLDDDEGGGLALNASSRAMLMRKLDRSGTATSITGGIGIPGVNTSVELPSASVTGAPLPTTSLIQPTIPAIGTVPGIQIPGTQSADIGSPTEFLLLKNMFDPAVETDPDFDLDIKDDVQDECSKFGAVNHIFVDKNTAGFVYLHFDSVAAATAAQRALHGRWFAGKMITATFMTAQQYKMKFPN >ORGLA10G0099700.1 pep chromosome:AGI1.1:10:12629065:12630090:-1 gene:ORGLA10G0099700 transcript:ORGLA10G0099700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIRFFAVLAAALCITSASAAAAAAAGGWVSGTATFYGGKDASGTMGGACGYGNLYTQGYGVYNAALSTALFNGGASCGQCYLIMCDASKTPEWCKAGTAVTITATNLCPPNWALANDDGGWCNPPRPHFDMAQPAWETIGIYRAGIVPVLYQQVKCWRQGGVRFTVSGFNYFELVLITNVAGSGSVQAMSVKGSKTGWIPLARNWGANWQCNSALVGQALSFRVTSTGGQTLQINSVVPEWWEFGTTFTSNQQFDY >ORGLA10G0099600.1 pep chromosome:AGI1.1:10:12626165:12627461:1 gene:ORGLA10G0099600 transcript:ORGLA10G0099600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMAENLLVLCTILAARMALAAADDWIPATATFYGGNDGSGTMGGACGYGNLYDQGYGLENAALSTALFNDGAACGQCYLIVCDTDKAGRWCKPRGAVTVTATNLCPPNWALPSDGGGWCNPPRRHFDMSQPAWERIGVYRAGIVPVLYRRVRCWRRGGVRFTVGGFDHFELVLVANVAGSGSVAAVSVRGAGTGWLQMSRNWGANWQSLAGLAGQPLSFGVTTTGGQYILFQDVAPAGWKFGQTFSTSKQFDY >ORGLA10G0099500.1 pep chromosome:AGI1.1:10:12617212:12620284:-1 gene:ORGLA10G0099500 transcript:ORGLA10G0099500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTRSLARWFFALRWWRAAACARDRRGGELVGDGDVLRRERRFYQRAFTLRIRLGLDQYSIGDFDLEYHTDFNYTFAPIYTLILHMAADSVPLTSSTNAADPSYVTSSRRTTAATGQINLLLNLEIMATTTAATPLSTMNAVAALTSITPRSSDRPTTCQSSNHRVVDINYHQDQQNRGGGDSSEHLRLGGGGRRGGRPMPGRGYGSGHRGGYGFHRHRRDGWRGHHGFAFPLPQQNIMPLIPFSVPPHMEQPEAPLTAEEREKKMREQIEYYFSENNLCSDVYLKGWMNQQGWVPLTLVAGFPRVQALTTDYETVQRSVLSSTEVELQQC >ORGLA10G0099400.1 pep chromosome:AGI1.1:10:12615716:12616117:1 gene:ORGLA10G0099400 transcript:ORGLA10G0099400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVSHVMALLSSALSGKKAAAEGDGDDDGGQCRCWRDIDGVGGGQAAAAGCCVCISGFRDGEEVRRLPCGHAFHRDCVDRWLALYCRRRTCPLCRLHVGGAVVAAAVAGLDELQLGDDLVIWFSSLFVAGF >ORGLA10G0099300.1 pep chromosome:AGI1.1:10:12611390:12612987:1 gene:ORGLA10G0099300 transcript:ORGLA10G0099300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTAASLLRHSGIGGGGGGSGGGIPQALADALVCPLSKKPLRYCEDSGSLVSDAVGVSFPIVDGIPYLVPKDGKLLDHDQDKSEDCGAKDSSHRH >ORGLA10G0099200.1 pep chromosome:AGI1.1:10:12608250:12610648:-1 gene:ORGLA10G0099200 transcript:ORGLA10G0099200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSSLVVAMAVVILHRWCCAAAPAAAAANRTRTPALFVFGDSIVDAGNNNAITTLIRCNFAPYGKDFPGHNATGRFSNGKVPGDILATQMGIKQYLPAYLGAELSDFDLLTGVTFASGGCGFDPLTAELVSVLTMDNQLDLFKEYKEKLRRVAGDARAGEIVSESLYMVVTGTDDLANTYFTTPFRRDYDLDSYIDFVVRCASGFVRKLLGMGARRVNVAGAPPIGCVPSQRTNAGGLDRDCVALYNQAAVVYNARLEKEIERLNVTAAPPGTVLKYIDLYTPLLDMIQRPAAYGFEVTNRGCCGTGVFEVTLTCNRFTADVCRDVDKFLFWDTYHLTERGYNILLSQIITKYGF >ORGLA10G0099100.1 pep chromosome:AGI1.1:10:12606102:12607512:1 gene:ORGLA10G0099100 transcript:ORGLA10G0099100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT4G35930) TAIR;Acc:AT4G35930] MGTGSVTVKQKKRVKHTKNKYLKPGALAQIRYSRSTSRDIGKKRILLNVDKDELPQEEAAFENTEPMMSPKRLNFEPFSGTKGQVMPITPKTPQSDELSDGHSRLESLPLELLIKIICCLHHDQLNIVFHVSKRIRKAVELARQYHFNYTTPDRSRQELLQHTTPLPTEHWPFMSRIDGKDVRISTPRTPKAPKHAPRLARLELLDFKPITAVLFPDTFPSKRLRRSMPPGLPRPVSKAASSTRVLLYEEELCEAVAQNKLL >ORGLA10G0099000.1 pep chromosome:AGI1.1:10:12591346:12593101:-1 gene:ORGLA10G0099000 transcript:ORGLA10G0099000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSNPTPLLLPPPPPQEPAPLSPPPPPPPPPPLPTPKPIPTVTDNFRSLLRSGEALLRFAFRGNSGQLTHRHPPPPRPPPQQQHPHHHNRPAEIMKRLQREKFADMIKHMDGHEQIDRLVALYTSSAKGLHLPELPVRVKVALDAAGALLLVDGDELEKARDRLAKARNTTGLGSRFVFESSTRGGKDTVAAELATGLGAAAAGGRPLELTRLQYCAHVSDLLSMTLVPFGAQCNNFLHGSSLIQSIQSRALSGGPPSYSERHDCGAGMSIKGSRFRASIAELIFGSPGEHGGGGGGGNGDHEVPNRLTTFGKVSYETADDIKLSLSGLWQVRSPASRFSELGALAVPLGSLKTRRAIAPPPSPPATTHPPPSPDLMVQVPAPPAPPTPMMGIGSTVAVQGSVAAAAAAATAPSSHTVAVMVDCDMYDTLRAEGWVEMETAAAATPARWRGPVARWGVCVSDCPEHELGWGVRIGGTAERNAHRPHVEGFLSFDLGKGGRVQPGLVIAMDGDKRTPALVLRSSWLM >ORGLA10G0098900.1 pep chromosome:AGI1.1:10:12583711:12584487:1 gene:ORGLA10G0098900 transcript:ORGLA10G0098900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAAEDHKGKRPLPPEEADEAAAAPPPAAAEEEGEKLVLVSDDGVEVLASVAAARVSKTLRGMIEDECATGAIPIAGVHSDVLALLVEYCERHAPHYDPEASDRDRYPFPPFPVELPPTASSIKPVTFVDPDADPHGLKAFDKKFLDVDNSTLFEIIMAANYLNIEELLDDACTAVADKMRGKKPEEIRDIFEIENDYTPEQEAEVRRENAWAFED >ORGLA10G0098800.1 pep chromosome:AGI1.1:10:12578231:12580945:-1 gene:ORGLA10G0098800 transcript:ORGLA10G0098800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPVLLNIGGSRYETTADTLTQRDPGSLLAAALSGAAAHGLPTTEDGAVFVDRDGELFRHVLNWLRDGAVPALADAEYRQLLREAEYYRLPGLIDCISERIEDWDDKIGRSSEAELTRKDVIKCIQADKVRFRGVNLSGLDLSKLDLSEVDFSCGCIEETKFSLANLHKAKFGEVQASRSSFNYANLRECEFVGANLQESTLDRANLQSANLQDACLVKCSFIETDLRSAHLQSADLTGANLTGANLEGANLKGAKLSGSNLQGANLQRAYLREVDLRETQLTGAKLGGANLLGAIR >ORGLA10G0098700.1 pep chromosome:AGI1.1:10:12576604:12577854:1 gene:ORGLA10G0098700 transcript:ORGLA10G0098700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAAAAAASGSRSRVLSEIDPHSEWIHGDEFDTLVLDVTGFSKDHLKVQVEASGSLRISGERAVNGGGRHWLHFLKRFDLPPGAAGDASAIKVQLDKGVLYVQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRAAARRGGHPAWQLAGALSRHRQVVLNVVIAVVLLWLVTFANKPTKNE >ORGLA10G0098600.1 pep chromosome:AGI1.1:10:12571572:12572207:-1 gene:ORGLA10G0098600 transcript:ORGLA10G0098600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFHLVAAWLFPCAVCATTTMTTPAAADDGEGGRAAEPPPIGDRLQLGTSSSRQQRSRSASPPCFGARTRRQTAAATNGEAVVANDEPTTAEHHPRKSGRRPAPARNAIATDAPAVSSASYSSPTSSPPSSLRDRLRLGLTASPPRRAHDGSEGRRGRRLPPQDLQAADDDARRHRRRGRGGAVGHNDTPLLSARGRGEEKRRERHRDGR >ORGLA10G0098500.1 pep chromosome:AGI1.1:10:12569233:12570939:1 gene:ORGLA10G0098500 transcript:ORGLA10G0098500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGGRSYEDFKPPHKMVREPPTHTLTIDLSAKGYKKEHIKVQLVRSRRRLVVSGECPVAGETNRWSRFRLQFPVPDGCDLKAIQARLHDGVIRVTLPGVKPQQQPPPAKTAAAAAAVVGGDQRGGGERCQFLRERGKLATTLLGVVLVLFSFVIYIRYSVKP >ORGLA10G0098400.1 pep chromosome:AGI1.1:10:12560982:12568108:1 gene:ORGLA10G0098400 transcript:ORGLA10G0098400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:I1QUV1] MAAAAVSSLLAPSGSCYSPGCHSCWGPGPGGGRRLPSPRRRPITAAARPTWAVPRRSRLEWGRVEAQNSGARTSCRAALQWLSSTARSHVNVGYGSPLVFPGLTKPGSSRCLCVVGMVGNAGNQVGDDSDDGIKVTNEKLRAVIRKSKEVLEIHRNLLEKISASERKKITSIIEDSSIYNEQDPFGQRDSSFYHLDEVPDDDEFSYDLQMYLDRRPDQSEVVATQDYEAQLSQISEMGQSVAEGTSDDPSASAAVDLINIILVAAECAPWSKTGGLGDVAGALPKALARRGHRVMVVVPMYKNYAEPQQLGEPRRYQVAGQDMEVIYYHAYIDGVDFVFIDNPIFHHVENDIYGGDQTDILKRMVLLCKAAIEVPWYVPCGGYCYGDGNLVFLANDWHTALLPVYLKAYYHDNGFMIYARSVLVIHNIAHQGRGPLDDFSYLDLPVDYMDLFKLYDPFGGDHLNIFAAGIKAADRLLTVSHGYAWELKTAEGGWGLHGIINESDWKFQGIVNGIDTTDWNPRCDIHLKSDGYTNYSLETVQAGKQQCKAALQKELGLPVRGDVPVIAFIGRLDHQKGVDLIAEAMPWIAGQDVQLIMLGTGRQDLEDTLRRLESQHYDRVRGWVGFSIRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVEHYNPYEESGLGWTFEKAEANRLIDALGHCLNTYRNYRTSWEGLQKRGMMQDLSWDNAAKLYEEVLLAAKYQW >ORGLA10G0098300.1 pep chromosome:AGI1.1:10:12550310:12551014:1 gene:ORGLA10G0098300 transcript:ORGLA10G0098300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVAVDDSRGSHRALSWVLDHLFFPAAATGDGGEEEQVPRPAPELVLVHAIEPLHHVMFPVGPGSAVYGAASMMEAVRAAQAENARNLLVRARLICERRGVAAATVAVEGEPREALCRAAEDAGAGLLVVGSRGLGALKRAFLGSVSDYCAHRASCPIMVVKPPPDAGDEDDGGHCTSN >ORGLA10G0098200.1 pep chromosome:AGI1.1:10:12546098:12547949:1 gene:ORGLA10G0098200 transcript:ORGLA10G0098200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGIVLGSKLAVFSMILLWHRHGVDQPRNPWSDGPEFITQCPIRPGGNFTYQVILFEEEGTLWWHAHSDFDRATVHGAIVIHPKHGTTFPFNKPDKEIPIILGEWWNDDAEHVLDEAKRTGGDQGNMYLLRVINAGLTNDMFFAIAGHCLTVVSIDARYTKPLTVDYIMIAPGQTMDVLLEANRTLGSNSRYYMAARAFITLPVDTIPFNNSTATAIVEYTDSPTARPPGPPEFPLLLPAIKDEDAAMAFVDERMLIDIDVNFLPCDTTNATNKSCKGPQGNRFAASLNNVSFESPAIDVLDAYYYGSGRSVYEEDFPNKPVNAFVNPTGDNGGGPLLTKRGTKVKVVEYGTVVEVVFQDLSSENHPMHLHGFAFYVVGRGSGTFDERRDPTTYNLVDPPFQNTVSVPKSSWAAIRFRADNPGVWFMHCHFDRHVVWGMDTVFIVKDGKTPQAQMLPRPPNMPEC >ORGLA10G0098100.1 pep chromosome:AGI1.1:10:12524985:12531433:-1 gene:ORGLA10G0098100 transcript:ORGLA10G0098100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADDAPPPWRPSKPAAAIDGSSGPLAAAAARLSGRSRALPSSRDFHFYNNFPSFRSPVGAAAARAGASLAALGAAPFYPKRHPPFPGDDLDDAQDWVVGVIDDLAEQFGASMDEFKAAREEEEATGRRATEEDGFQVVYGKKKKKVMGGGGGEEGVGRGGEAFGGGSGSVKMATRDKLAAPGAKAKVPFHIPTIPRPQDVHRILVDNSSKPFEHSWLEKSDDGSRVVHPLEKIPMEQLVDRDFPESEPIKPPALDDTPFTHVEDLKSLEVLATKLKSATEFAVDLEHNHYRSFQGLTCLMQISTRTEDFIVDTLKLRKYLGDYLREIFKDPTKKKVMHGADRDIIWLQRDFGIYVCNLFDTGQASRILQMDRNSLEHLLHHFCGVTANKEYQSADWRLRPLPDEMIKYAREDTHYLLYIYDLMRLRLVKESSDENDLLLEVYKRSKEICLQLYEKELLTHSSYLYIHGLKENEFDARQLSVLANIYKWRDSVARGEDESTGYILPNKTLLEIAKQMPATTGRLKRIVKSKNSYLERHLGHVISTIRSAIANCDAFESIAEQLKKGRLEELAVANMKSNDGDTEMVPADDGNNDDDNVGPSDEHGAVASVENVGAASHCTGNVTSGASSVNVQLENPAETKSLGILSGVSGQDMEVLSNGDRKQVAKATVQVSKRPTAFGALLGKPTSGRRQNLFSGFSSGQNKVDKIKSSVALPFHNFCGGAKSPATSIPLEESVRPEPESIQYSDPACQTEDVIQLGTETDGPQPPENHNEDGQGHLVPDDMEMSRSPPEHSSAGAKQRFQSLNESRNQQQNHKPHQEPEFNHQLKPFDYAEARKNITFGERKAERIKDNAVARAINKDSGDKGRTSNQFGAGENEGNRQNPRGRQAFTPSGNRSATYH >ORGLA10G0098000.1 pep chromosome:AGI1.1:10:12519271:12522866:-1 gene:ORGLA10G0098000 transcript:ORGLA10G0098000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLSWQDLQASGSAIATRLSLQDLQCLVRRKPACSAADRAESSAAGAPAGGGKGRHLAKALSVPDLIAIGVGSTIGAGIYVLVGTVAREHAGPALTLSFLIAGIAAALSALCYAELSCRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGISPNLALFFGGHEKLPFFLTQIHVKWLETPLDPCAAILVLIVTALLCLGIKESSFVEGIITIANVIVMLFVICAGGYLAFQNGWSGYNDEQGYFPKGVAGVLSGSATLFFAYIGFDAVASTAEEVKNPQRDLPWGMCLTLSLCCFLYMMVSIVIVGLVPYYALDPNTPISSAFAKYGMQWAVYIISTGAVFALIASLIGAILPQPRIVMAMARDGLLPPLFSAVDPTTQIPTLSTILSGICAAILALFMDVSELAGMVSVGTLLAFTMVAISVLIVRYAPPNEIATKVALPGSSESLTSDSGYSEPDEENSEDLLGNVAR >ORGLA10G0097900.1 pep chromosome:AGI1.1:10:12515668:12518196:1 gene:ORGLA10G0097900 transcript:ORGLA10G0097900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPPASTVAGAPSSSSAPRDAPEAEASASASGEAAGGRRRLRLYIVFYSMYGHVEALARRAAAGVAAVDGVEAVLRRVPETLPPGVLEKMQAPAKDPAVPVIAAAADLEEADGVLFGFPTRYGAMAAQMKAFFDSTGSLWEKQKLAGKPAGFFVSTGTQGGGQETTAWTAITQIVHHGMLFVPIGYTFGSGMFKMDEIRGGSPYGAGVFAGDGSRQPSETELALAEHQGKYMASIVKKLAQA >ORGLA10G0097800.1 pep chromosome:AGI1.1:10:12503883:12505003:-1 gene:ORGLA10G0097800 transcript:ORGLA10G0097800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTATTLAASAGDGSSPPSRSTVTTIAAQAYHVLKINGARGFENFIRRDELERSEYLNDDYFAIAAHVIIPKEKPSVVVPPSNMHLHYGDLLVSKEGTDVKFLVGGEMFAAHRLVLAARSPVFKAELFRPTKKGTIDAIQIDNMEARVFKALLGFIYTDIWPEIGHGKDNVAMAQQLLAAADRYGLQRLKFVYEDKLCNHIDTCSVSTMLVLVEKHHCCKLKEACSTFLSSMSPPIVEDPNSSIFGSELEKTVSSSENHRSQINRTDIRIQPWQNADV >ORGLA10G0097700.1 pep chromosome:AGI1.1:10:12458875:12460788:1 gene:ORGLA10G0097700 transcript:ORGLA10G0097700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEPADGTVIGEAISGEAGVDEVRREGDESRRRXRERGEQRRTEVVVSADAIGGVGPPRCRGVGEQGEEEPDMRAWGSEAGALQEEPGKQAEQLHGTAYIGLISDYLPLRNRGSSRQSRIRSNSASSC >ORGLA10G0097600.1 pep chromosome:AGI1.1:10:12456528:12457697:-1 gene:ORGLA10G0097600 transcript:ORGLA10G0097600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPNVVDGDCGGTPPSRAGGHTWCIHYCPIGSTEESKDFISIYLVLEDTTADVVSAHVTFSLLDQQGNPVPSHTLTTPLLKFSLQGTLPKGLGYNSFIRRDDLERSGHLKDDCFAIGVHVVVTKEAIPSSITVPPSDMHLHYGDLLSSEERYATDVEFLVGGETFAAHRLVLAARSPVFMVELFGPMKESTTVNKIQIFDMEAQVFRVLLKFIYIDMLPEMDQEDEAAMAQHLLVAADKYGLHRLKMICVEILSNHIDANSVATILVLADKHHCYGLREACIDFLNSSAILSAIVNTSDFQYLIQSCPDILEDISFNIVARQLERAIFLSENQEGQINSVEIGIRS >ORGLA10G0097500.1 pep chromosome:AGI1.1:10:12454939:12455208:-1 gene:ORGLA10G0097500 transcript:ORGLA10G0097500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRARKRRRKPASRAARRKDLPTSVARTSEVGEERQRRISRRRSSPSTATPLVAVPRAPTAHAATHRLPSHAGCRRCSTRTRVATPDE >ORGLA10G0097400.1 pep chromosome:AGI1.1:10:12450280:12451487:-1 gene:ORGLA10G0097400 transcript:ORGLA10G0097400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSIASKVTSGGCPTPLRSAAVSSVVTMQAYHVLKIDGFSGTLQVHRYRSLSSFPFNVGGRSWYICCHPHGKNNISKDFISIYLVLHDDIAEAAMVQATFSLLDQHGKPDDLEKSGHVQNNCFAIGVHVVITKEVPPPPPPIVVVPPSSDMHLHYGDLLSSKRCTDVEFLVGGVTLAVHRLVVAVRSPVFVAEHFGPMKEGANVNDVVDINDMDAQAFKALLNFIYMDTLLEMDQEEDTTMAQHLLVAADKYSQERLKVICEERLSNHVDADSVATLLVLTDKHNCRRLNKACIEFFSSPTAMAKIIETDEFQYLTQSCPNILEDIISNIVACQLEKAIFSPENEGGKINKVDIRIRPEPWQNSNARCG >ORGLA10G0097300.1 pep chromosome:AGI1.1:10:12433743:12434153:-1 gene:ORGLA10G0097300 transcript:ORGLA10G0097300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVINDHWPPFQSPRRDQSKEIKNSQMKVLVAVDDRSTAVAATARWPGCSTTSSSPATGDGGEEEQVPRPDHEAAAPELVLVHAMEPLHHVMFPVGPGQSVSRDGEANARLSQRWGCDCLRVFAQGRRCTARRR >ORGLA10G0097200.1 pep chromosome:AGI1.1:10:12429517:12430118:1 gene:ORGLA10G0097200 transcript:ORGLA10G0097200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGPPKGWALEPLRPKRAQNQRPWSYVPSTVALRLGGPGSRSWHEDDQAMFKHALQASKNQAFGDGAREEARREGIQVLSQSLRFWGDGLT >ORGLA10G0097100.1 pep chromosome:AGI1.1:10:12426381:12428085:-1 gene:ORGLA10G0097100 transcript:ORGLA10G0097100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGVGADWGRDAARRELVSSSRARLRVLVVEDVEETQVFGHEPVLVRPGLLLFPPISRCRRRWPCPRPLYQPGSEERVVRSADGGDRDRATRRAGVGLVAARRDERSCEVGKVEVEVATRGRDGAGGDGGGGAGKGKAEVVGEWEDESPVFTRAHGRFCKKSAAAPPPPFEASSSRFLATPRTPSSPPLRSTPPPFREMNRIGIGAGASLPVDGRGRGKGRAPRPSSVRFPLKREFAGETTLRIARARVPPLAIEARRVRVTASDPPIEDPLEGVRELFAEVDDPNTTAPPGGNGSDKLEDAAPVEDVVDKTLGARAGAGRHILSDEDAVNFVFEVPCPPAPTRSAVLGMELLAEGSGLGSGGGGSGGGGGGGGGVGGVGGGSADDEHRHRLPSFFPQQDRRRGWIHSSSSVKLSGGVMGCSLQHAHVPALEASQATPPSAMATEALLLGDAHAGEDDEDLMLDEPQEAENVGAAAPAAL >ORGLA10G0097000.1 pep chromosome:AGI1.1:10:12422791:12423282:1 gene:ORGLA10G0097000 transcript:ORGLA10G0097000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICGALEPTIASTKVSLGSGLSVQFWKGHWTDDGRFFNTYPSLASFATNINCTVASQFTQNTWAINLHPVLSNQAQTELNLLMNTLATLQPYADAPDTRSRLQSLNGITTAAFYRLLTFRSISGKPADFIWLKAIPNTCRIFLWLAFKDRFLQQQQNTQKVVV >ORGLA10G0096900.1 pep chromosome:AGI1.1:10:12416034:12417101:-1 gene:ORGLA10G0096900 transcript:ORGLA10G0096900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTSTVTTIAAQAYHVLKINGYSNTLKAGRHHPLSSCPFSAGGHTWHVSYYPHGCRDSNKDCISIFLVLEDIVTEEDVMAKATFSLLDRYENPVPSYTYHTELRNFSTSSGRARGFENFIRRDELERSEYLNDDYFAVAAHVIIPKEKPSVVVPPSNMHLHFGDLLVSKEGTDVKFLVGGEMFAAHRLVLAARSPVFKAELFGPTKKGTIDAIQIDNMEARVFKALLEFIYTDIWSEIGHGKDNVAMAQQLLAAADRYGLQRLKFVYEDKLCNHIDTCSVSTMLVLAEKHHCCKLKEACSTFLSSMSPPIVEDLNSSIFGSELEKTVSSSENHESQINRTDIRIQPWQNADV >ORGLA10G0096800.1 pep chromosome:AGI1.1:10:12407084:12408163:1 gene:ORGLA10G0096800 transcript:ORGLA10G0096800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTITSSVGAGPPPSRSTTTITAERTYHIIKIPGYSSTLKVGHGQALRTSPFSAGGRTWYISYYPNGGRETNKHCISFFIHLDDDTVNDDVMAQVTFSLLDQHRNPVRSHTITTTLYNFSVPNSSALGFENFIRRDELQRSEYLNDDCFAIAVRLVITEEPSSFTVPPSNMHLDYGDLLSSKEGTDIEFVVRGETFAAHRLVLAARSLVFKAELFRPMEGGTTDVIKIDNMDAQVFKALLVFIYTDTWPEIDQDETTMVQLLVAANKYSLSRLKIMCEDRLCSYIDTSSVVTMLMLADKYQCHGLKKVCFNFLASSRALSLAMKANNFRCLIQGRPTILKDLIYNIVTHQLEIKLSV >ORGLA10G0096700.1 pep chromosome:AGI1.1:10:12402898:12404709:-1 gene:ORGLA10G0096700 transcript:ORGLA10G0096700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQRREEAGSGGAGSAGKRSWMAVAAPVARSLVHSASAAVKGSECGALVVVASAVVVADTTADIVLAQVTFSLLNQQGNPMPSHTLTTVLLKFSLYGTLPKALGYNSWYNSFIRRDDLEXLGHLKDDCFAIGVHVVVTKEAIPSSITVPPLQDN >ORGLA10G0096600.1 pep chromosome:AGI1.1:10:12400776:12401722:-1 gene:ORGLA10G0096600 transcript:ORGLA10G0096600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHLLAVADKYGLHRLKMICLEILSSHIDANSVATILVLAEKHYCYGLKEACFEFLNSAVLSAIAARGKESVGATADAGVRGHAENMQVARDQGTLLIND >ORGLA10G0096500.1 pep chromosome:AGI1.1:10:12398649:12399842:-1 gene:ORGLA10G0096500 transcript:ORGLA10G0096500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASIASNVAGGGCPTPSRSATASTVVTTQAYHMLKIDGYSRTSQVHRYRSLSSFPFSAGGRTWYICYYPHGKNNISKDFISIYLVLYDAIAEAVMVQATFSLLDQHGKPVPSHTRATRLFSTSNKDDMANNLGFETFIAKGDLEKSGHVQDDCFAIGVHVVITKETPPPIVAVPPSSDMHLHYGDLLSSKRCADVEFLVGGETFAAHRLVLAVRSPVFVAEHFGPMREGVNVNDVVEINDMDAQVFKALLNFIYTDTLLEMDQEEDATMAQHLLVAADKYGLERLKVKCEERLSNHIDADSVATLLVLTDKHNCRGLNKACIEFFSSPTALAKIIETDEFQYLTQSHPNILEDIISNIVASQLEKAIFSPENEGGKINKVDIRIQPWQNSNARCG >ORGLA10G0096400.1 pep chromosome:AGI1.1:10:12386301:12388259:1 gene:ORGLA10G0096400 transcript:ORGLA10G0096400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGDRSSISHPRQKFSWPENSITSCVVCTQQGGYPDLRGSGDGAAVAAMRARPSRAVADDGGRDSSNKRRRWHRRHKQVSSTGYDGNKHPPCADAPLPPIGPSTLLPSNSLWWSQHHWESGMASGPSVEAADEMTALGSTGLRLQVFIVGCRAPARPLPISAAWMLCVVGVFL >ORGLA10G0096300.1 pep chromosome:AGI1.1:10:12380693:12381880:1 gene:ORGLA10G0096300 transcript:ORGLA10G0096300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATPTPYVVDGDCGGTPPSRSASTIIAARTLHVLTIHGYSDTLKSNVDPSQHLLLSSPFSAGGHTWCIRYYPIGCTEESKDFISIYLVLQDTVTDVVSAQVTFSLLDQQRNPVPSHTLTTPLLKFSLQGTLPEALGYNSFIRRDDLERSGHLKDDCFAIGVHVVVTKEAVPSSITVPPSDMHLHYGDLLSSEERYATDVEFLVGGETFTAHRLVLAARSPVFMVELFGPMKEGTTVNKIHIFDMEAQVFRALLKFIYTDMLPEMDQEDETAMAQHLLVAADKYGLHRLKMICVEILSNHIDAYSVATILVLAEKHYCYGLKEACFEFLNSSAILSAIVNTSDFQYLIQSCPDVLEDISFNIVARQLERAIFLSENQEGQINSVEIRINSVMTN >ORGLA10G0096200.1 pep chromosome:AGI1.1:10:12370194:12371206:-1 gene:ORGLA10G0096200 transcript:ORGLA10G0096200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRVDESLHPWRGQQRRKGHQAARFMREEPAGGAVIGETISDEAGVDEVRKEGGGSRWRRRERGEQHRTEVVVIADTVGDAITTCLFSPTCHDSNLTDRVVESAN >ORGLA10G0096100.1 pep chromosome:AGI1.1:10:12358245:12359567:1 gene:ORGLA10G0096100 transcript:ORGLA10G0096100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQDIHGDMTSDNSIIVAASRTPQWSSRRSGSSVGWRERPPRCSRRRTAERGPFEHEDGGAEAADELEDDLAVLLPDVGPQLGEEVAGLREGEQRGGALEDGGDYGGSGSSGFHLLKQRQLLKQKREQQLAAATAATWGTHRAGVGPPLGLNSSGWPPLQKSHQQASSAPACAPCSSALQEANRNARAPACSSAARAAPPLSPRKSEVHTSS >ORGLA10G0096000.1 pep chromosome:AGI1.1:10:12354119:12354592:1 gene:ORGLA10G0096000 transcript:ORGLA10G0096000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGHPAACAGPGQGSPRPPSIVPAAPRGGAAWRGVPLSIGVDAASPLVPATASTHRREIVLDDVDKRPHVDDDAALLLHIGVRLPATATPPPEDVAGDDMIDAPPPPPPPPMEIQLGADVLPPQPAVEIDDDDVRDAPLPPATEVFHDDGAAAAEAP >ORGLA10G0095900.1 pep chromosome:AGI1.1:10:12352106:12353219:-1 gene:ORGLA10G0095900 transcript:ORGLA10G0095900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHGFPRVFRFVPDDLELLNILDDKLRGVPIDRADDAVDFHETRILDFHRPTSYRCPFFFSGDGLRAPGDCMRICGHLLLQHEEEEGGRGSLLRRXFGAFAASGVLVSPRPGRNRPATAARVSTADRVLDRMKLVRAARSGRWKSLGSCKKVGVQRRRRPEEHVFDGNKNPVVLERGHGGTGRRVRQDAVGARGSERPVESLRQLQLPLAGISPCRSTNTCGGHGAAIAHRAPARGRGPVTMMFMANQANVAPHRTQSSMSELHQEWHQTTSTPTMPRGGAEF >ORGLA10G0095800.1 pep chromosome:AGI1.1:10:12341076:12343043:-1 gene:ORGLA10G0095800 transcript:ORGLA10G0095800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVDPMALGLGTSGGGGGGEAAVGGDGAEPVDLVEHPSGIVPTLQNIVSTVNLDCRLDLKKIALQARNAEYNPKRFAAVIMRIRDPKTTALIFASGKMVCTGAKSEDHSKLAARKYARIVQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKYRKEIYAAFENMFPVLTEYRKTQQR >ORGLA10G0095700.1 pep chromosome:AGI1.1:10:12334228:12338225:1 gene:ORGLA10G0095700 transcript:ORGLA10G0095700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDEDRCKPNKFGQECRRSCPVVKIGKHCIEVGPRSKSALISEELCIGCGICVKKCPFGAITIINLPKELDKDTTHRFGQNSFKLHRLPVPIPGQVLGLVGINGIGKSIALNILAGQIKPNLGRYDDPPDWHEILAYFHGSELQNYFKRMVEDNLKPIMKPQVEIMHKNRNSFQVSVGQLLDRKDERGMKDQLCNGLQLNEVIDRKVAKLSGGELQRVGIAAAALQSADVYMFDEPSSYLDVKQRLNAARVIKSLLRTNSYVIVVEHDLSVLDYMSDYICCLYGXPGAYGVVTLPFSVREGINIFLAGFIPTENLRFRDESLTFNIADAQEDAEIKTYQRYKYPTMSKTIGNFKLTVMEGEFTDSQIVVMLGENGTGKTTFIKMLAGVEKPDTVDGIDIEVPEFCVSYKPQKLASTYQGTVRQFLHEKIRDSYTHPQFVSDVMKPLKMQQLMDQEVQHLSCGQLQRVALCICLGKPADIYLIDEPSASLDSEQRLVASKIIKRFIMHAKKTAFIVEHDFIMATYLADRVIVYEGQPAVDCTANAPQSLLSGMNKFLSHLEITFRRDPTNFRPRINKLDSAKDREQKSAGSYYYL >ORGLA10G0095600.1 pep chromosome:AGI1.1:10:12330522:12331334:-1 gene:ORGLA10G0095600 transcript:ORGLA10G0095600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSHFPSIAVTPVWDPHVSSILSLLPSPSLSGKQQQHVVDEQQQQVAGSSTDRAPMPFTVVCTKDSCSAALCPTPKIKSHRRQEIQVQSLICFDDLQSRGRSLLIVRSLALLFELAHDDDSHHADDDHDDDEGGAARKQQLLRAGEPAADGLHHGPALERHRSGGSRHKLRRDGGGDGLAAIAGLGIPRRRSWPSPPSLAGCCCRRRRRSPAAVVRRREMGKGEERGWRKRMELMCGSHIRVTAMDGKCDGSGMGPISQSSSGTQQIS >ORGLA10G0095500.1 pep chromosome:AGI1.1:10:12322766:12325573:-1 gene:ORGLA10G0095500 transcript:ORGLA10G0095500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFRGSLIVNRVSSNAKPETPKIQSPSERDRSDESKLPSNAKEVEAMRLDSSARNPLVAFSFEELRAVTSNFRQDSLIGGGGFGRVYKGAVAASAAGDGDGAEPQPVAVKVHDGDNSFQGHREWLAEVIFLGHLSHPNLVRLVGYCCEGDHRLLVYEYMPRGSVESHLFSRVMAPLSWATRMKIALGAARGLAFLHEAEKPVIYRDFKTSNILLDEEFNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYVMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPPREQTLADWALPLLTHKRKVMSIVDPRLSAAAAAAGAGGELPARAVHKAAMLAYHCLNRNPKARPLMRDIVASLEPLQADDEARDAAGAXEEGRGTKGVGVVISG >ORGLA10G0095400.1 pep chromosome:AGI1.1:10:12311408:12312175:1 gene:ORGLA10G0095400 transcript:ORGLA10G0095400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCLPTAGLRRALTLPSPSPAAAVGHEEGSSTSAASTSVGTGSPPSSSSASTSSPAFLDDLDPPLYLDDVEAEADAGGLSTAIASRRLFFESPGRSNSIVDSAEHPAAAAAAAAVFPRGNGGASTSSSSSSGRPAAAPSRAAAAATGKGVRVCGDEQARPVPVSTAAPREEFLKSMTEMVDAMGLDVARRGGDRARLHELLLSYIALNDRDALPDILGAFTDLLLALNAHGPAATPADGVVRERGGGGDARRKA >ORGLA10G0095300.1 pep chromosome:AGI1.1:10:12302673:12303236:1 gene:ORGLA10G0095300 transcript:ORGLA10G0095300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDGDDDDEHRRGSGGGEARPRTTLPLRCRRWRRRRRLAHWHGRRGCRSGCRRDRRRGSADDGVPANPRAGRGGGRRCDVDEGDSDVDRRTDDEQQPAGDGAVAATPLDTGGNAPLVLSALNGGQPGEEEVAGTPRVVTARPAGTRERRQRRLEVAGGTGERGGRRGEAGRGGVATGELWKGLKR >ORGLA10G0095200.1 pep chromosome:AGI1.1:10:12297382:12299248:1 gene:ORGLA10G0095200 transcript:ORGLA10G0095200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQEERPPAVADGTKITIGEALEAAALSAGDQPVEPSDAAAIEAAEARAAGRLQDDDDDDDDXXXXXXAAPAGLAARARAAADANARAERDEDKTTLGDVLAEVEKLGGADKEVEREDAVRVVGVEESSLLKPSRXNTGGHQLANYIKSGLSGLXISYI >ORGLA10G0095100.1 pep chromosome:AGI1.1:10:12288692:12296239:1 gene:ORGLA10G0095100 transcript:ORGLA10G0095100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDYDSIPIEGQFISVANLKERIFESKHLGRGTDFDLMISNAQTDEEYADEATMIPKNTSVLIRRIPGRPRKPIVTEPEETKAMEGRVEETMPSGSAFLADASMKYPEESEWDDEFGNDLYVSDSVPSQLGSQAVDASENKVDEDSKIKALIDTSALDYSQIPDGYGGGRGYGRGMGGRMMGGRGFGRGGLERRTPPAGYVCHRCKVPGHFIQHCPTNGDARFDMKRMKPPTGIPKSMLMATPDGSYALPSGAGAVLKPNEAAFEKEIEGLPTTRSLGDLPPELRCPLCKEVMKDAVLTSKCCFRSFCDKCIRDYIINKSMCVCGATSILADDLLPNKTLRETISRILEAPPTSSTENVGSMVQVQDMESAIPVQPKVRSPAVSAASKEEPKRTPAPVEESPDVESHSEVKTTNVDMSSSDKKVPALPDVVEGTMESKILKEKTPEATPVVKESQEKMPVVGEQVVKKKKKKKVRAPGNAEDWKPYQDFGAENFAGMPMGPAGGFNPYWGGGMPLPMDYMGAPFPGPMPYMGYPPGPFDPFGGGVLPQDPFMPPGYMMPAVPRDLSELAVNSMGMNMGPPVVSRDEFELRKPDNRRRREMERFNERRWIQVKASPGGVSFAGRGSVDIPAREKEKGRGRGKGKGKERGTETEIEIAIETKSGIGIGIGSETGKENLEGKRGNHPEPITIRSRSSQPADRSALPPPASPDRHSRRSPHRSSGSGKKRSSSDRYDDLPLPPPPPPASRHEAADQGQRLLPHQLPRRRRQPFRRQAQPQVLLRQAPGVLFFLLQESSCRCGGGQRRPPPPPPPP >ORGLA10G0095000.1 pep chromosome:AGI1.1:10:12283993:12284502:1 gene:ORGLA10G0095000 transcript:ORGLA10G0095000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTQPQLVESEPVKKLQRLPPVFSRVLELPFPRDTNVRKLFTTNADLFFVPHGVGGEPDVVKVHIVRLERWDMTRVVVHIGPGEPDLRNDLVYDKWRFPLAETSILSMVMAGYVNGQLIVVVPRMDASGDGGNEGIPMWPNIDKRGGGGGGGGCGFGLLAGASHIPTK >ORGLA10G0094900.1 pep chromosome:AGI1.1:10:12269347:12277680:-1 gene:ORGLA10G0094900 transcript:ORGLA10G0094900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFVTKWSHRSHEPAAPSNPAAQQQPPPPSPAGVGVSSAAAAAVVEEAEMETGGDDFITQEEEYQIQLAMALSASASVSAPSGGGGSGDTEGEQIRKAKLMSLGRGDLGAAADRGVGDSAEALSRRYRDYNFLDYNEKVIDGFYDIFGLSAESARQGKMPSLAELQTSIGDLGFEVIVVDHKFDSALQEMMEVAQCCMLGCPDTTVLVRRIAEVVAGHMGGPVIDATEMFTKWLGKSIEQRTSHQTSLLPIGRIDIGLSRHRALLFKILADSVGIPCKLVKGSHYTGVEDDAINIVKMNNEREFLVDVMAAPGTLIPADVFISKGTPFNLTKPLVQNQVVELASNIENDPSAAHSEHVGNRLHMFGNGNSLSENQSGCEKTMIAGSEVSQLWTLAPQMQSDQQSTSAGAHSMQKEDLKLTPDSQENEESKKQISETDSFRGIEPGKSSLAFKGLNNRNNEYQRRRENIAPAPGRSQQPLVMKNWSACNDISNKQYNIAEGLVRRRNTSDNAASSSQLAWSTAKHYNPNGRERNDRLCAAPGRNYDNRKVGASTTATASATGERLDRPNLAPVHYYDDKPNGISSVNAASTSGIVKVAEKGPHDLEKVPIYSRFDSQIYSSMQGYSPEVKENKENYDRHDNMRLHPDPRRSPLDRFMDTSRQNSESVSPPQAGSSTVDMVLGEVSECEILWEDLLIGERIGLGSYGEVYHADWNGTEVAVKKFLDQEFYGDALAEFRCEVRIMRRLRHPNIVLFMGAVTRPPHLSIVSEYLPRGSLYTIIHRPDCQIDEKRRIKMALDVARGMNCLHTSVPTIVHRDLKSPNLLVDNNWTVKVCDFGLSRLKHGTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLQMPWSGMNPMQVVGAVGFQDRRLDIPMEVDPLVASIIQDCWQKDPNLRPSFSQLTSYLKTLQRLVIPCHQETAGSYVPQEISLYR >ORGLA10G0094800.1 pep chromosome:AGI1.1:10:12265369:12267847:1 gene:ORGLA10G0094800 transcript:ORGLA10G0094800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQQVQVLKEVSALLRGKETPVILDLEESLRAKGDMTQGEEVKIYASKVTAVLCKAFATATSALVGYHVLGVGAKLLGNPPLPRIPRIGMAAGSAWIVGKFAYYTALQASPIFILEGGEERMKMELANIILTKHNDEKTLVEAVKQHFFAEHLFSDQYQDMPLFRWRLRHTYVDSTFMERVKEIEVKNSSNGSGSISGHRTTNTRSFGDLMEDPLACILGSPDGDIENKKSAENTGTIVKRREDYPSDFQSQATDRSRFSGMNISVHEQNEFHRDV >ORGLA10G0094700.1 pep chromosome:AGI1.1:10:12256784:12261888:1 gene:ORGLA10G0094700 transcript:ORGLA10G0094700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPRLQELKEIFDVARGKVSPVILDLEESLLAKGNMTLEEEVTIRVSKMTASVFKVFATAAFGASSYYALGLGPKIGEPPIPRFPRIGVSTGIAWFGGKFVYYTALRAGAEFILKRGEERMKMELANIILNKHSDEKTLVEAVKENFFAEHLFSDQYQDRQLFRWHLRNTYVDSAFMERVKEIEVKNSDDGSGSISGHRTTNTRSFGDLMEDPLACILGSSDSNIQSNKSAEHTGTTVKRREVRAHRRSHRHHHHHHHHRHADEFSAL >ORGLA10G0094600.1 pep chromosome:AGI1.1:10:12250503:12256055:1 gene:ORGLA10G0094600 transcript:ORGLA10G0094600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSQQPASAIKAATTSGYHRLRIDYYRSLGSPTGWALSSRDFVVGGRQWRISYYPNGNRPENAEFISVFLCLDSSSPKPAMLQVTITFDDEAKKQSQLRKAPVITIAPGACWGYHRFVKRDDLARSKRIRPDGFFTIRCDVSLIDHFTAQEDEPVFVSVPPSELRRDLGGLLDTGSGGDVVFQVGGEAFTAHRCLLAARSPVLAAALYGPMMEGGGLQGGVAIKIDDMDPLVFKALLRYAYTDSLPPQMQQGELEEEGRAMAQHLLAAADRYGMERLRLLCEAQLCKHIEVASVASILILADQHGCSGLKNACFEFLKSPGKFAAAMATQEYDYLKTNHCALADEVVKGNHAKWMLATVETFMRHRLNSKSILETATREIALHASTSNWDLMGFRFLPLLLLQETPPVFLDLEESLRAKGDMTLREEATLKVLKVVATLGKAISTGAIAAVGYYVPGIVGAKFGEPSLPRLPRFAMAAVTSWFAGKAMYYAILQGSTEFILKHGEERMKMELANIILNKHSDDKTLVEAVKTQFFAEHLFSDQYQDRTLFRWHLRRTYVDSAFMERVKEIEVKSSNDGHGLISGQRIISTRPFGDLMEDPLACILGSPDSNMESNKSAEHTGTIVKRREVRAHRRSHRHHHRHADKFSAL >ORGLA10G0094500.1 pep chromosome:AGI1.1:10:12242599:12248044:-1 gene:ORGLA10G0094500 transcript:ORGLA10G0094500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAASAAAGEEQQAVGLAARDSSGHLSPFAISRRSTGDDDVAIKILFCGICHSDLHCIKNEWKHSIYPLVPGHEIAGVVTEVGKNVTRFKAGDRVGVGCMVNSCRSCESCNNGLENHCPEVVFTYNSVDKDGAVTYGGYSSMVVVHERFVVMFPEAMPLDAGAPLLCAGITVYTPMKYHGLNAPGKHVGVLGLGGLGHVAVKFARAFGMKVTVISSSPGKKREALERLGADAFVVSSSAEEMEAARSTMDGVINTVSANTPMAPYLALLKPNGKMILVGLPENPLEVPPFSLVQGKQAPMIYDDDAGNRTLAGSNIGGMADTQEMIELAAKHGVTADIEVIGADYVNTAMERLAKADVRYRFVIDVGNTLHAAAAE >ORGLA10G0094400.1 pep chromosome:AGI1.1:10:12241018:12241701:1 gene:ORGLA10G0094400 transcript:ORGLA10G0094400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASSSGERRRRCGSAAIVADTVSEHFDLTIDGYSHIKAMLPTGKCATSPPFTAGGHEWCVDFYPNGKLAAAGDADMIQFFLRLRRAAKAKAAAAAVVAQVRFDLLGDGGSPQAGVCSGTGRVLSFAVDGRGQSMVIRRDAFEQAGCAKDDRFTVRFAVTVFRGCRTAEAAPESRPRRPAPSPSPSPASPRLPMCSYTCTVDCQTRNVRSAPTRPSSSTDGGCQV >ORGLA10G0094300.1 pep chromosome:AGI1.1:10:12239142:12239786:1 gene:ORGLA10G0094300 transcript:ORGLA10G0094300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGADSNPIAVSINFLVNYEACLLPVRQQIDDKKGKRPMFEELTEKVHANAVQIAKWEPPPEGVAKVNIDAGFRKETGDACAGIIVRDCRGLVLLAACKKLPRCSSATQAEALACLEGVRLATNWIHMPIILESDNADVVAGLNITQASRAEWGGIIAEIRVAMQCLLQVQVHKVKRDSNRIAHMLAQMVMTSGVEAEWRLCAPTEILELLNQE >ORGLA10G0094200.1 pep chromosome:AGI1.1:10:12230781:12231848:1 gene:ORGLA10G0094200 transcript:ORGLA10G0094200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARLAGTTSSRSANSRIKSMTPLGRGETAEAADYAAVYLDYVIDCYPPKSVTAYFLLRLVDKVSNDLMDPPPDDDVRAAAVHEFSAGQNSWGYYAFWKKDELESSGRIVDDVLTIRCDVGVVGRYATAKTDAAAAAASVASSVHVPPADLGHQLGALRSRAVGADVTFQVGAGSGARRFGAHRCVLAARSPVFEAELYGPMVERDAGRVIRIDDMDPQVFDALLDFMYTDALPGMRKRDAVAMSQQLLVAADRYDLKRLRLLCEHELCKHVNKGTVASMLALVEQQRPSCQGLKKACFEYLRKTPKVLREIMATEAFDHLVNELLSSNKLAIRE >ORGLA10G0094100.1 pep chromosome:AGI1.1:10:12226939:12229588:-1 gene:ORGLA10G0094100 transcript:ORGLA10G0094100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGLGEGGRERSNGRRSRANNIVGTTFSLSVRRRRRAEVGEHGKRHGGGSEPDCRHLTAADHTRLLTRRRRHRRVAPCARAAPLGMECGGVVQAWTTSMSRRVTRVARTAEGAPPPPPAARSVSATHRPGSSASTTRRTGSSASAVGRLPAPPLPPAVWGAPLPLSRPLPGKLRLRRWSPSLPSSLPPAIYRQRSSKLRLLPPLPTRRQYWCRHASELLGLIDPFSGSWDEDLLQQIFWEEDVQVIKTIPVHVELEDTLAWHFDPRGCFSVRSAYKVQKEAERRSRRRGSAGSPNGENETGVFWRKLWKMGIPGKIKHFLWRLSHNSLAMRCNLKRRGWRWIPAAIGATSERGIMKVEFETDSLLLKSALQENSFNLSAMGGVILEIKNVISSRYRVSVGNNFFHTGKIDEFEKKRVERGCESAIILPLTTHSF >ORGLA10G0094000.1 pep chromosome:AGI1.1:10:12218545:12219639:1 gene:ORGLA10G0094000 transcript:ORGLA10G0094000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGGCKHSRSASAIVAGAASGYHLLKIDGYSRIKGLPTGEALKSCAFTVGGYRWRIHCYPNGSKSDYSDFISLFLHLDDGQVTKQVKAQYLFRFLDELDDKPPPSLTSEQVRVFGSGGWGETKFIKREALEKSEHLKKDSFTVRCDIIVTTGFRAEEETAEAQRPRKANFVSVPPSDLQRHLGDLLHNEKGADVVFEAGGETFAAHRCVLAARSPVFSAELFGSMKESDAAGVIRIDDMEAQVFKALLRFVYTDSLPETEEEEQDTMAQHLVVAADRYAMERLKLICEDMLCKYIDVGTVTTILTLAEQHHCEGLKKACFDFLSSAVNLKAVAAGDGIEDLSKSCPSLMKELIAMLGNFVP >ORGLA10G0093900.1 pep chromosome:AGI1.1:10:12215470:12216585:1 gene:ORGLA10G0093900 transcript:ORGLA10G0093900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVSLVRDGRLQSPSSSAITSGATSGYYLLVVEGYSRTKDTVPNGDFIRSRPFRVGGYRWVIDYYPNGESSDDADSISVSLQLDQDSERPFMAHYEFSFIDETERQKSTHICSEALFDFSDDNRWGYTNFIRREELEKSKHLKDDCFTIRCDIILKKDGSNTTAADVAAPLVAVPPSDMHRQFTDLLLTKVGADVTFQVGGETFAAHRCVLAARSTVFMAELFGPMKEGATTASVIHISEMVPEAFKAMLAFIYNDTPPPETEEDEDGKVAMWQHLLVAADRYDLPRLKLICEEKLCGHIGVGTATTILLLADKHHCRGLKEACLEFLSSPANLEEVMEHGGLEDVVGTCPSVLVELIAKLALLRTQV >ORGLA10G0093800.1 pep chromosome:AGI1.1:10:12213437:12213901:-1 gene:ORGLA10G0093800 transcript:ORGLA10G0093800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQVFKSLLTFIYTDSLPELEDEEETESDRDEEEDQESEADGVHGEDDDDDDDDDDNGGDEMWSPLLVAADRYDLQRLKLICAKKLCERIDASTVADTLGLAEKHHCRLLKEACFEFLKAPANLKFVLASDGLDHITATCPSVLKELLAKFAS >ORGLA10G0093700.1 pep chromosome:AGI1.1:10:12205335:12206340:1 gene:ORGLA10G0093700 transcript:ORGLA10G0093700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSANGHGNSSTRSTSAIVVDRVTGHHLFKIDGYSFTKETPTGTAIASGEFTVGGYRWRIEYYPNGRGKKSADYISLYLSLDKNINGKVKVKVKVKYQFDLADRVKKQLSLISKPFMKRRKFEKSKYLRDDCFTIRCDIVVMREIRPEEATFVSVPPSDLKQQLGDLLETGKGADVVFEVGGETFAAHRYVLAARSPVFNAEFFGSMKESDAVAGGVVRIEEMEARVFKLLLRFVYTDSLPKMKEEDIMCQHLLVAADRYNLKRLKLICEKKLRKYISVGTVANILALADQHYCDGLKKACFNFLGSSVNPPVASII >ORGLA10G0093600.1 pep chromosome:AGI1.1:10:12202454:12203581:1 gene:ORGLA10G0093600 transcript:ORGLA10G0093600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAACRGNPSRSASASAVVVDTATGYHLLKIEGYSLTKGIPTSLSLKSSQFTVGGYRWRIDYFSNGDCADSADYISLFLSLDERANKDVKVRASWRFQIGYTGHVDKPPSLATAKVCTTFGVGPDGSWSWGYDRFIRREDFEKSDNLRDDSFTIRCDIAVVRRFRAEETTEILPVETFVSVPPSDMNQQFGDLLETEKGADVVFEVGGETFAAHRCVLAARSPVFRAELYSSMKEGNTAGVVRIEDMEAQVFKLLLRFVYTDSLPEIENDDEDVMCQHLLVAADRYNLERLKLICEEKLCSYISVDAVSNILALADQHHCDGLKKACFHFLASPANLNAVIASDGLKHLSRRFPSLMEELVAMLAPLLSHALVI >ORGLA10G0093500.1 pep chromosome:AGI1.1:10:12197210:12197404:1 gene:ORGLA10G0093500 transcript:ORGLA10G0093500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSNILALADQHRCDGLKKACFNFLGSPANLSAVVAGDGFKHLSKICPSLMEELVVKLALPATQA >ORGLA10G0093400.1 pep chromosome:AGI1.1:10:12184149:12184703:-1 gene:ORGLA10G0093400 transcript:ORGLA10G0093400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMNKEFLTRNEKVLQRWRKPEMEVLKINVDGAYNSHLGSGGWGYVTRDDTGLVIESGAGKLVHLMDAFHAEVLALRAGVEAAARRGMMRVQFETDSLTLVQGLRSNVYRLAATGGLCVDILQRCVNSFNVFSFYYCPRNCNRVAHALAALGCNSSQTTDVSWDGSPPDVEDLVAGDLAEPMV >ORGLA10G0093300.1 pep chromosome:AGI1.1:10:12163287:12164474:-1 gene:ORGLA10G0093300 transcript:ORGLA10G0093300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCAMSHTRSATTAGAGGKPPIAPPPTSSASAIVADTASGYHLFKINDYSRTKDIFPTGSALKSRAFTIGGHQWRIHYYPNGNTEECGEYISLFLHLDEIVTDKNVYAQHGFRLFDEFAGDNDDDDELQPSSIADLGQVSTFGGNNIGLGRLRFIKREELEKSKYLKNDSFTVRCDVVVTKRIRSEETPLVVRTSPKPKAARFVTVPPSDLHRHLQDLLCAEKGADVVFEAGGETFTAHRCVLAARSPVFSAELFGSMKESDTSVVIRIDDMEAQVFKALLFFVYTDSLPETKKEDEYAMCQHLLVAADRYNMERLKLICEDRLCSYIGVGTVTTILELAEQHNCDGLKKACFDFLSSRKNLKAVTAGEGLEHLGRNCPSLVNELIATLGNLIQ >ORGLA10G0093200.1 pep chromosome:AGI1.1:10:12155310:12156419:-1 gene:ORGLA10G0093200 transcript:ORGLA10G0093200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGAMSPTTAATAVSASAIVANTSRGYHYLKIDGYSHTKATPTGEALFSCQFAIGGHRWRICYYPNGNVLEAADYISMFLVLDEIVVRNVKAQFQIRFADQVEKQPSLAWKTVRAFNKQTSSSSSWGYPKFIRREDLEKSEYLRDDSFTIRCDIIVVDNYRAEDASSAAAGFVSVPPSNLHSHLGDLLKNEKGTDVVFEVAGQRFTAHRCVLAARSPVFNAELFGMMMESDTTTNDVIQIGDMAALVFKALLHFVYTDSLPETMEEREDTMCEHLLVAADRYNLERLKLICEERLCKYIGIGTVMDILALADRHHCKGLKKACFDFLRSPANLSAVTGSESFEHLSRSFPSLMKELVDILGTSHNYAW >ORGLA10G0093100.1 pep chromosome:AGI1.1:10:12143945:12144100:-1 gene:ORGLA10G0093100 transcript:ORGLA10G0093100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSGQKRICVIRDVYGHHRLGFPSGSGLLCCWVAGLWESEKMKKALGAA >ORGLA10G0093000.1 pep chromosome:AGI1.1:10:12101874:12102152:1 gene:ORGLA10G0093000 transcript:ORGLA10G0093000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKAKEAAPAARGHPALRGPARRERYTHGLGTAQMGALRAMCGALIPALPAEEEDGARGGGDMDVERFYLATAAESTVPDEVSACVVIDQC >ORGLA10G0092900.1 pep chromosome:AGI1.1:10:12093405:12101084:-1 gene:ORGLA10G0092900 transcript:ORGLA10G0092900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYASRRCRRFKNEGARQPPGSSALLAWWIHTANGVVDRDEIVETRTKKEDDRPVTARFLQDESSKVVGGFDRWEDALTEVGGGFWALGRVGELETALTVVINHISTPHQRYPHNFTGEVSQTPPVIEDQDPLQSLHRTFVTDM >ORGLA10G0092800.1 pep chromosome:AGI1.1:10:12084552:12089025:1 gene:ORGLA10G0092800 transcript:ORGLA10G0092800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFRGTVVVLRAWQHVMVLCLVAPGMEWLVPGFGDWVCQPGMVVQIWLGLCNGTAKTLRNEGHIDCTRGVSREQRAINRRNKLDSSLLSSRGDGKKDKPSRGLKNMRKYQEIRKAVANYYNNLRAIEEERFGKDLRDREVSSIAFEEKSFGHVVNPSQQTYQISANVDVDLSTIVVSLALFDGDKMLFACSGIPLPDGTTREHLTRFVTSAALVRVFDEKRNRDDKLRVAVRLPNNRITDGFLGLYDHDIAIVTCLGLIEVRPISFKACPDGIQALAAGRAFVSGNLMAMDGFVRCNNTWVPDSQDISEAVLGGPLLGKDKRFLGMNFSICHDDDGTLTYAFLPTKLLRKRLEHFGILNPKHLHFRGYSLPKGVSSTIPSGFMKTIYRLKSYGYPMPPPLVLELNGELLNHFEERFGELLAXKGYPYGDPAKSCRNRVWYQLPKEVVTYISRRVVSLASFNGFVRFFACTGLIIKWHGSKATRTVILTSASLVSHCNDDKIDSNLTIEVFLPPNQRCCGTLEFYNLNYNIAIVGLKKNFNAVRPEDIFSKTVQEPSEKVVAIGRDTRLGPLMATIGNVKRGKKGCKLDCKDLKLSTCKIKKAGIGGPLINFDGSFVGMNFYDGSEATPFLPRHKIVEVLSRVNDLPSESGCNNPMPIDVGEGTKKNRWPVPEPYWYHGSLDVDRSYVPKCIGRRLQ >ORGLA10G0092700.1 pep chromosome:AGI1.1:10:12056944:12058169:1 gene:ORGLA10G0092700 transcript:ORGLA10G0092700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSLAAAASDGASSSSGSASAIVAGTVNGHHVLKIVGYSFTKAVPSGKSIRSRPFRAGGHTWHVLYYPNWNRAEKADFVAFYLCLDDAEACSEAVEAKAIFSLLDMEGNPVSSYRFTTRVVNFMEHKKGWGFDFMKRESLEESEYLKDDCFKIRIDVVVITDFHTEEETPLIVVPPSDMRRQFGDLLLSKQGADVKFQVGKKKFDAHRSVLAARSPVFKAQLYGRMRESTTRGAIRIDDMEEEVFRAMLTFVYTDDLPEMKQQDEAAMAQHLLVAADRYNLERMKLICEHNLSKHIDTDSVVNILVLAEQHSCHMLKEACLEFLRLSRSLKAVMETDGFGHLISSCPGLIKDIMSKLSPC >ORGLA10G0092600.1 pep chromosome:AGI1.1:10:12046819:12047886:1 gene:ORGLA10G0092600 transcript:ORGLA10G0092600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSRASASASDGASSSAIVAGTVNGYHVLKIVGYSLTKAVPNGKSIKSRPFRAGGHTWHVAYYPNGQNAEKAXYMAFFLCLDDTASKGVEAKAIFSLLDMEGNSVSSHSFTTRVVNFSEERSWGYSEFMKRGSLEKSEYLKDDCFKIRIDVSVIADFHAEETPLIVVPPSEMHRQFGDLLLSKQGVDVEFQVEVFAAMLTFIYTDALPEMKQQEEAAMAQHLLVAADRYNLERMKLICEDKLSKHIDAGSVANILALAEQHSCHTLKEACLEFLRSSRSLKAVVETDGFRYLIGSCPGLIKDIFSKLSPR >ORGLA10G0092500.1 pep chromosome:AGI1.1:10:12043100:12043579:1 gene:ORGLA10G0092500 transcript:ORGLA10G0092500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPIHRRTTRASAAAAAATGSTSTIFAGAMRYELKIVEYSRTKAVPNGCSMKYPAFTAAGHTWHVGYFPNGVIGAEEAEADYVAFFLYLNDNDAAEEAVKAQAIFSLLDIEGNPVSSYTFTTVLVNFSEKKYWVTRTSSRGNLWRIHCISRTIASASG >ORGLA10G0092400.1 pep chromosome:AGI1.1:10:12041532:12042155:1 gene:ORGLA10G0092400 transcript:ORGLA10G0092400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPSAVADPPAVVDVAMPLPELNRDMEAALLQSEEGADVTFEVGGESFAAHRCVLAARSSVFRAELFGAMKESTAGGGKARVDGVEARAYRALLHFIFTDAVPELDGKDQETSSMAQHLLVAADRYNLERLKLICEDKLCKRIDVSSAVTLALAEQHRCPSLKKACMDFLYSPGNLKAVEATDGFEHLATSCPVILRELIAKLVAL >ORGLA10G0092300.1 pep chromosome:AGI1.1:10:12039543:12040040:1 gene:ORGLA10G0092300 transcript:ORGLA10G0092300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAPDLQSQMSALFLGADVTLQVGGGETETTTFVAHRCVLAARSSVFRSELFGATATSKAGSGGQVHVVDDGIDARAFEALLRFIYTDAPPELDEEDDDASSMARDLLVAADRYNVERLKMTCQNELCKRIDANTIDPTMALAEKHHCSSLKKACVDLVFS >ORGLA10G0092200.1 pep chromosome:AGI1.1:10:12033796:12034971:1 gene:ORGLA10G0092200 transcript:ORGLA10G0092200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSSASNNGIPSRSSSSAIVVSKVSGCHVLKIDGYSHTKEMLSQGDCSRSCTFRVGTHSWYLEYYPNGRSLHNASDHIAICLVRDDDDGGDLGYGGAAREQMTARFHLLDHHAGKPVPGHTRGVTSPLLSGKVWACSNLVTRKELEEHVLDGDCFAVRCDITIVKVPRRAAPAPAVVVDVPPAAAAAAPDLPSQMGALLLSMEGADVTLQVGGGEAETTTFAAHRCVLAARSSVFRSELFGATATSKAGSGGLVHVVDDGIGARAFEALLRFIYTDAPPELDEEDDDASSMARLLLGAADRYNVERLKMICENELCKRIDVNTVATTLALAEQHHCSSLKKACMDLVDANPRAVEAAGGFEYLSNKCPSILRELIARLADFDLKNDG >ORGLA10G0092100.1 pep chromosome:AGI1.1:10:12032291:12032641:1 gene:ORGLA10G0092100 transcript:ORGLA10G0092100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAGCMRRSGGGLAGEWSRRRRRGAGGAGAGGGDGDGAEAEAEADAGGGGAAEGGGCAGAGGGAAGGGGGGAMEPAPRRRRRPVLEAEGGGGGAMEAAPATQRDGLGGGCMSARRW >ORGLA10G0092000.1 pep chromosome:AGI1.1:10:12019099:12020854:1 gene:ORGLA10G0092000 transcript:ORGLA10G0092000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSSTGNNNGGIPSRSSSSSSAIVVSKVSGCHFLNIDGYSHTKEMLTHGHCSRSCTFRVGTHSWYLEYYPNGRSFLHNASDHMAICLVRDDDGDAGDAGAYEQMTARFHLLDHHAGKPVPGHTRGVTSPLLSGKIWECSNLVTRKELEEHVLDGDCLAVRCDITIVTVPRRAAPAPAVVVDVPAAAPDLQSQMGALLVSKEGADVTFQVGGGETTTFAARSSVFRSELFSATATSKAGSGGRVHVVDDGIDARAFEALLRFIYTDAPPELDEEDDDFSSMAWLLVAADRYNVERLKMICENELCKRIDGNNFEATLALAEQHHCSCPWFNLSFSAFVSDGADIPKFRKFRKFRDKGHVNSSHPRSDAAPMTSGKVGSNAGARMELGIHYSGGEAA >ORGLA10G0091900.1 pep chromosome:AGI1.1:10:12015587:12016705:1 gene:ORGLA10G0091900 transcript:ORGLA10G0091900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTMPMTMTADEPTTASAIVAGVATGHHVLRIDGYSRTKNVVPNGQFITSCSFRAAGHSWHVFYYPNGFDDESIEYISLYLLLEDAATATTATTTTVQFTVTLLDKDGRQVPSQKANSGVFTYSSEIQKYGFTQFISRDELEQSEHLDGDRFALRFDITVVGKFRAEEIAGLVGAPYVAVPPSDMRRHFGDLLASGDGADVEFRVRGAGGEEETVAAHRVVLAARSPVFKAELLAGVPAKDGGGAVIQIDDMDAEVFRSLLHYMYTDSLPPEKGTTREEAAMAQNMIVAADRYSMETLKLMCEDRLRKHIGASSVATMLTFADRHHCHGLRAACTEFLSSPTNLKAAMATDGFGQLSCPTVLKELMAKALF >ORGLA10G0091800.1 pep chromosome:AGI1.1:10:12007434:12008473:1 gene:ORGLA10G0091800 transcript:ORGLA10G0091800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTTAPLSASSAIVAGAVNGHHVLRIDGYSHTKNTVRNGQHVRSCMFRAAGRSWIVYYYPNGYTAESADFISLYAELQDGVLTTAQFTRLLRRGVQHVGGGGAERFIRRVQLEQSEYVRDDRLAIRFDVAVMDKLRTTEEIAGGGGGGAVPPSEMSRQFADLLASGDGADVEFRVGGETVAAHRAVLAARSRVFRAELFGPMKEGVAANGTIQVDDMDAEVFRSLLHFVYTDSLPPETGTPREGAAMAQHLIVSADSYDLERLKAEANLRGEAVRAHWCGHGGDNSRARRAAPLPWAQAGVHGVPQLADESEGRHGNRWV >ORGLA10G0091700.1 pep chromosome:AGI1.1:10:12005829:12006359:-1 gene:ORGLA10G0091700 transcript:ORGLA10G0091700.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYMLNWWLITQGQGVARGCQANPGTPGGFATGSADGEVAGTSRKTDFDRKLQCDEGKGPPLYWNGAGELIKYSGICAGKIDPVTAWEARV >ORGLA10G0091600.1 pep chromosome:AGI1.1:10:11997315:11998439:1 gene:ORGLA10G0091600 transcript:ORGLA10G0091600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTTKPTESAIVGSTVTGHHLLHIDGYSHTKDRLPNGCYMDSRPFTVGGHLWRIGYYPNGDVADASAYMAVYLTIDENVIVAVKAFAKFSLFFNGEPTPPAFVHTTEPFVFSRKGIGYGFSKYAERELMEGSIVDDKFTIRCDVGVSTELRAEDRPPSDFAAVVPPSDLHRHLGDLLDSKHGADVTFQVGGEAFRAHRYVLAARSPVFRAELFGAMREATAAAAALSSDSEAIRVDDMEAPVFSALLRFVYTDALPAPGGADDGQAAGGGSDSEEAAMAQHLLVAADRYDLKRLKLLCEDKLRRHIDAASAASMLALAEQHHCRGLKEACLVFLSSPANLHAAMGSDGFEHLSRSCPGVIKELISKLVPRCD >ORGLA10G0091500.1 pep chromosome:AGI1.1:10:11991350:11992525:-1 gene:ORGLA10G0091500 transcript:ORGLA10G0091500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPEPSTSASAIVSGTVTGHHVLTIDGYSRTKAKLPTGRFTASRPFTVGGHSWSIHYYPSGDRSDTAGFISVFLELNPAADAGGGGSEPVDARVTFSLLDQAGRSVPSHTMATDLHDFAATGFGFGRFIERSYLEQSEHLKNDRFAIRCDVVVFSDELRAEARTADAAALSVAVPPSDLSQHLGGLLAAKELGADVTFLVAGETFTAHRCVLAARSPVFRAELFGPMKESAATAVITVDDIEPDVFRNLLTFMYTDTLPETNPQELEEEEDDDDDDYEDDQAQAAAMVEHLLIAADRYNLERLKLICEDRLCKHIDGESVATILALAEQHSCDGLKEACFQFLSSRSALNSLVATDGMEHLARWCPSVLNQLMSKVAALVPVDFVVRETR >ORGLA10G0091400.1 pep chromosome:AGI1.1:10:11981386:11983310:-1 gene:ORGLA10G0091400 transcript:ORGLA10G0091400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAATGGSELVWPPPPYSSASAIVGGTVTGHHILQIDGYSYTKEKLPHGKLKYSRMFNVGDHQWHLRYYPNGQGSANADYISVYLGLDAAAAGHAKEQPMKARATFSLLDRAGKPVPSYTLDAGMHDFAVGGSGFGYHQSGPALALCSSRGNRRGPMMKYTKKYLFMRSNGYDGVLFFIKVMIGSKLIGPIAMSSFRALKIIGSPRHQFIKRELLEKSGHVRDDGFAIRCDVTVVVELRTEDRTPPLVEVPPPDLHRHLGGLLESGDGADVTFHVAGEEVPAHRYILAARSPVFKAELFGQMKESSSSNTIVKVDDMEAEVFRALLVFIYTDALPETKTKANQEDELVIAQHLLVAADRYGMERLKLLCEEKVVEYIDRGSVATLMALAEQHHCHGLKEACFRFLESKETLNAVMATDGFLHLMQSCPSLVKDLVFRVADRHFH >ORGLA10G0091300.1 pep chromosome:AGI1.1:10:11978586:11979704:-1 gene:ORGLA10G0091300 transcript:ORGLA10G0091300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAATGGSELVRPPPPYSSASAIVGGTVTGHHILQIDGYSYTKEKLPNGKFILSRLFKVGDHQWQLSYFPNGQSSDYADRICVYLVLAAAAAGHAKEQPVKARATFSLLNRAGKPVPSYTCDVGMHDFAVGGSGFGYGLFKRDVGHVRDDGFAIRCDVTVVMELRTEDRTTPLVEVPPPDLHRHLGGLLESGDGADVTFHVAGEEVPAHRYILAARSPVFKAELFGQMKESSSSNTIVKVDDMEAEVFRALLAFIYTDALPETKTKANQEDELVIAQHLLVAADRYGMERLKLLCEEKVVEYIDRGSVATLMALAEQHHCQALKEACFRFLESKETLNAVMATDGFLHLMQSCPSLVKDLVFRVADRHFQ >ORGLA10G0091200.1 pep chromosome:AGI1.1:10:11975822:11976352:1 gene:ORGLA10G0091200 transcript:ORGLA10G0091200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVHVTLSLPLFPPFLISLSFLCKLAGARREGGAATAAGGGSVRARRLLRFLRFLVPLGRRGLRICGSNAVAAPALPVDDDDDDIDAAAPREEEEEGTGAAHATGASSSGGSGSGSGSYPLFKRGRDELVDSLSKFADETRPSKRPAAKRRTRAAEVHNLSERVKPQEHQPTIDG >ORGLA10G0091100.1 pep chromosome:AGI1.1:10:11974072:11975190:-1 gene:ORGLA10G0091100 transcript:ORGLA10G0091100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAATGGSDLLRPPPPYSSASAIVGGTVTGHHILQIDGYSYTKEKLPNGKYILSRSFKVGDHQWQLSYFPNGASRYGDADFVSVFLYLVEGQPVKARATFSLLDRAGKPVPSYTRDTGMRDFAVGGSGFGPGDFIKRKLLEKSGHVRDDGFAIRCDVTVVMELRTEDRTPPLVEVTPPDLHRHLGGLLESGDGADVTFRVAGEDVRAHRYILAARSPVFKAELFGQMKESSSSSNTVVNVDDMEAEVFRALLAFIYTDALPETKTKAKQEDELVIAQHLLVVADRYGMERLKLLCEEKVVEFIDRGSVATLMALAEQHHCHGLKGACFRFLESKETLNAVMATDGFLHLMRSCPSLVKDLVFRVADSHFQ >ORGLA10G0091000.1 pep chromosome:AGI1.1:10:11971330:11972463:1 gene:ORGLA10G0091000 transcript:ORGLA10G0091000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAAATTGSSDGGRPPHYSSASAIVGGTVTGHHILQIDGYSYTKEKLPSGKFIQSRSFKVGDHQWRLSYFPNGKGSDYADYISVYLCLVEGQPVKARATFSLLDRAGQPAPASASYYTRDMPMGRFAVSDIGFGYHQFIKRELLEKSGHVRDDGFAIRCDVTVVTELRTEDRTPPLVEVPPPDLRRHLGGLLESGDGADVTFHVAGEEVRAHRYILAARSPVFKAELFGQMKESSSSNTVVNVDDMEAEVFRALLVFIYTDALPETKTKANQEDELVIAQHLLVAADRYGMERLKLLCEEKLVEYIDRGSAVMLMALAEQHHCHGLKEACFRFLESKETLSAVMATDGFLHLMQSCPSLVKELLFRVVDHSLEPK >ORGLA10G0090900.1 pep chromosome:AGI1.1:10:11966038:11966613:-1 gene:ORGLA10G0090900 transcript:ORGLA10G0090900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVVISQQRNHHNQHSGGRGKMTGPHFSSPPSSHGFRGMNCRSFHSGVCAGLLPSPPPPPARTYSSPEPKTPKQQQQLQRRGGKRSRPISISPSTSPPSRPELWAGPAFSNSPPPSSLPIPKFSLRQNRSISLELPLFERSDEVEVKPHAKSAPSSPVGGSGFDFFNDNETAVATENLRRILHLDISDH >ORGLA10G0090800.1 pep chromosome:AGI1.1:10:11961232:11961549:1 gene:ORGLA10G0090800 transcript:ORGLA10G0090800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAAKCECCGLREDCTVEYIAGVKADFGGRWLCGLCSEAVRDEVAKKGGGGGGGGRQLEDAVRDHMSFCGKFCRKNPAFRVADGMRQMLRRRSSDISAPSGAS >ORGLA10G0090700.1 pep chromosome:AGI1.1:10:11956285:11956827:-1 gene:ORGLA10G0090700 transcript:ORGLA10G0090700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRGKRRARGVFRLPCATAPPLRRVPLHRPSSSPTAGAAAAPVVLPCRSCSSRGLEERVILRPPGHCLHPRHPTPAWLLCLHPLPRCSRSTPPARPDSAPSPPSPFLPWKPSLVESRDWTQRFFXGLGVGAPLPAPAELHGTYSALVHGVLSSSTVSASASPCISCTLRRSPSPSPPS >ORGLA10G0090600.1 pep chromosome:AGI1.1:10:11941629:11943021:-1 gene:ORGLA10G0090600 transcript:ORGLA10G0090600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRAKPSSSSGGSNKQQHEIAFDHGGGGGGAAAISAPKLLVPSSSGEASPEAVLMSPTSTLQTASATSPCSGRTTGAAATAVPFSRRRRPWDATRPVGLGLVGALNDDEDDDDGEVDAAPGDAAASSVLTGQIRLRVQTNYYAPCTEFGVKSGGAAVQYAPPPRRRWMLPREMMEEMSEDYTCVIARGANPRTTHIFDNRVVESSGDGEYFPPELWPPSAAGKGDGDGDGDFLRYCHGCSKDLGLGKDIFMYRGEKAFCSHECRYHEMLFDEGIEEL >ORGLA10G0090500.1 pep chromosome:AGI1.1:10:11936248:11939221:-1 gene:ORGLA10G0090500 transcript:ORGLA10G0090500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03100) TAIR;Acc:AT1G03100] GRQMLRVGRICSRSSTRAVSSFLVGPRHLTGHESPHFLGSKCCWTNRTGAIGFLNTKLNIGSYGPCLSTLTETILVQAHDPSLLALEIENAIDQQRFDDAWRAYEKHIHMDGVPRKSVLSKLITGFAETCDAHWLNQSYNVVNHAFEEKSKLLEKEPLIFLSLALARSSLPNLSINVVRKLIKMEAYPPVAAWSAIIAHMCQTATGAFLAADMVMEIGYLFQNNRVDPRKKSNRPLLLMKPNSLTFNIILTASLLFGTTKKAEQLLELMPRIGLKPDVSSLIVMARIYEQNGHRDEIQKLRRHVNEACGLSESELRQFYDCLLSCHLKFGDLDSAVDMILDMLKKGKNTKRSLEAAKAVLEAVENNKIYLPYEKTKPVNSGSSNKSVCTDSQMLNYISFFKDKSFARLELDARELLKLLSGKLQEQVGLVKSEYGILYPTETMYAKLVKAFLEADKISALASFLVKASKEDSPVSVESSFVVQVINACISLGWLEQAHDLLDEMRFSGIRVGSTIYSSLLKAYCKEGHHEDDIAALLKDAQQAGIQLDPSCYEDLIQSRAHHNNTTGALNLFKELKSLNILKAGQNEFEMLVQGCNNNGAALTTKLVEEVRSGHPVNHAIHDWNNVIHFFCKKRLMHDAHKALGKMKALGHTPNAQTFHSLVTGYAAVGGKYVEVTDLWGEMKVLATSSSMNFDQELLDSLLYCFVRGGFFLRAMEVIEMMEKGKIFIDKYKYKSLWLKYHRTLYKGDVLVSAWFATTVWMDTTVWKPLVVLFAAMNTSDSSGYFPYYGVPVVFS >ORGLA10G0090400.1 pep chromosome:AGI1.1:10:11925117:11928731:1 gene:ORGLA10G0090400 transcript:ORGLA10G0090400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGAKKSKLSWSKSLVLKWFNIRGKSHDFHGDDAAAAFGRRGGGGEDEWRSSSFSRRESCTVKKSRTERASRRSHERSRRSKIDLDAAEATVTLDYSRIFVATWNVGGRAPPGSLSLDDWLRTSPPADIYVLGFQEIVPLNAGNVLGAEDNGPARKWVSLVRRTLNSLAGTGGGGGGGSGGGMRTPSPAPDPVVEMDDDFEGSSSRQNNPAAFFHRRSFNAGLSRSLRMDGDILGGGGGGGGAQPRLERRYSVNDRVMYGSRPSDYEANCRWGHPSDDGEIDDGGGESPSTVFSPMSYGYGAPPYMEESNGGAAHSRYCLVASKQMVGLFLMVWARREIKSDIRNLKVSCVGRGLMGYLGNKGSISVSMLLHQTSFCFVCSHLTSGQKDGDEHRRNSDVMEILRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRSEQRGGRVFPGWNEGRIYFPPTYKYSNNSDRYAGDDMNQKEKRRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >ORGLA10G0090300.1 pep chromosome:AGI1.1:10:11914475:11918052:1 gene:ORGLA10G0090300 transcript:ORGLA10G0090300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATLARRSMVALGRRALCSGSDLEAAAREVVCSGAGSLDEVGGALDRLGVAVSPAMVARVIDACSERMGSGRRLLRFLSWCRSKDAGGIGDEALDSAIAALARMGDLTAMRIAVADAEKDGRRMSPETFTVVVEALVKLGKEDEAVRLFRGLERQRLLPRRDAGDGGEGVWSSSLAMVQALCMKGHAREAQGVVWHHKSELSVEPMVSIVQRSLLHGWCIHGNAKEARRVLDDIKSSCTPLGLPSFNDYLHCLCHRNLKFNPSALVTEAMDVLAEMRSYGVTPDASSLNILLSCLGRARRVKESYRILYLMREGKAGCSPDWVSYYLVVRVLYLTGRIIRGKRLVDDMLESGVLPTAKFFHGLIGVLCGTEKVDHGLDMFRLMKRCQLVDTHTYDLLIEKLCRNGRFENGKELWDDAKKNGFMLGCSEDLLDPLKTEEQQQDFGVLLKQGAEGRVFVSTFVGRKCVIKERFSKKYRHPLLDSKLTLKRLNAEARCMTKARKLGVPTPVLYAVDPLLHTLTFEYVDGLSVKDILLGFGSNGINEEQLIDIATQIGNAVGKLHDGGLVHGDLTTSNMIIKNNTNQLVLIDFGLSFISTIPEDKAVDLYVLERALISMHSSCGDVMEKILTAYRKVSKQWCATTNKLAQVRQRGRKRTMIG >ORGLA10G0090200.1 pep chromosome:AGI1.1:10:11910528:11913246:1 gene:ORGLA10G0090200 transcript:ORGLA10G0090200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQGEYHADMMAEFLRGSGGAAVIDGGLATELEANGADLKDALWSARCLFTCPDLIRKVHLDYLEAGASVLITGSYQATIQGFLSKGFSQEESESFLRRSVELACEARAIYLEKCSNGSDEAKDVTKYRKRPILIAASVGSYGAYLADGSEYSGDYGNEGTLEFLKNFHLRRLQVLAEAGPDVIVFETIPNKIETQAYVELLEECKLRIPAWFGFTSKDGVNVVSGDSLIECASIADSCKEVAAVGINCTPPRFIHELVLSIRKVTSKPILIYPNSGESYDPIRKEWVECSGISNEDFVSYVKKWHEAGASLIGGCCRTSPDTIRGISKALRGV >ORGLA10G0090100.1 pep chromosome:AGI1.1:10:11909111:11909395:1 gene:ORGLA10G0090100 transcript:ORGLA10G0090100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAPCVEKVPMARREEHATTATTPHRKEARAAVAPREEEASAVGARYEQEVMARLSGEGSIWRGSDSDISVARRREGDDDNDGSVLGVGGHGST >ORGLA10G0090000.1 pep chromosome:AGI1.1:10:11893449:11900659:-1 gene:ORGLA10G0090000 transcript:ORGLA10G0090000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVGHDARQYSWWWVSHISPKNSKWLQENLNDMDSKVKAMIKLLNEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDQATGALRQAHKSISEAFPNQMPPMSDESPASSGQEVEPHTPDLPTFTRLPFDLDDLQKDGIGVSPQQFTSKRNGTHPEEAIALPNRKGFDVKVRKGLSFGSPEVKGSDAISNEMVNLQQEISRLLAESNSMKQQILSESERANKAENEIQVLKDTVLKLNSDKDTSLLQYNQSTERLSTLESELSKAQDDLKKLTDEMATEVQKLSSAEARNSEIQFELEALDQKVKMQQEELEQKQKELKSFNLTFQEEQDKRLQAESALLSEGKELAQCQEEVQRLTMEIQMANEKLNELKQTKVNLENAVSELKKEVESLTEQNRSSELLIQELRDEINSLTDSRNELQNEIQSLRSTISQLNTEKDAALFQHQQSVERVSDLESQLLKLQPELEEIEQKVQMLMQDLEQKRQEADNAHAQLQDECNRHTQTEADLHRFKNLHSQLEEEVTKLTENLDRSTKGLEELENAKLDLENTSRELKSTILDLKSEKDAVLLQQQQSLAKISDLELQLSKTQLELKNSEQKMQLLELEITQKSESMDSLTLSLKDETEKRVQAETSLISMENMYSQSQEEVNRLHLEIEKLNSKLNELENLSSELNSTILLLNAEKDATDLKNQQSLVRISDLESELSKLQAQLEKIEGKVQMLEQELKHKKEEVDSLQINIQDEAHKRSEGEAALLSMTNLNSESQEEVNRLTLETEKLKVKLSEVENSNTDLENIVAKHTEDIHVLREKNVSTELMIKELHHELEALKELNVKLESEMGLHIGEKEALQRNFACQKEEKQNLEGIHHSMAEEMSTLKSRSAANQKLIEDLQIMNLKLKEVCAKNEVEKALLSEKVQEVEKLSEEFSLMENSLSDANAEMDSLREKIKVLEASEGSLKDVISSHVSEKAILTSDLETLGKNYADISEKNSNLDILISDMKAEIENLRTKLKDSEETCQAHLANNSALSDEKNNVFSQLESVTVVMKALESKHADLEDKSSSLSKEMNLAYDQIRELQDQLRIKDEEYEAFVKSHQTQVNDFEEQISSLQKKSYYMNELLEQEQENNMSASINVVILENCLADLKDKNVDLFNECQKFAEANHAAEMLISQMKDEARYHQDERKFLLIHTEKLREGISQHMKVLNICKDLGPANIAEDEIILQTVSDEASNIMKLKDQSEDANRLMYTELTVLATVMLQVGLELRDLNLQKRALEKELETRAAEFITLQNNNVQMLEWNEQLKQELQQGCEREEVLKAEILVLQEKLSCSRDSYQTSQNEIVSLTEKNETLCKEYQSLIEKYNALEDENGALLSECMRLEHLSLFLRGHNNEVATALGSLTDEMALLGVGKDELDCEVQELSRRGMMLESENNNLKEYFIYLIEILSAQLALSEFDLNINQSICQELASELESCMAQLSQKDDELLEAEDKVHLLQGKNRELCGVVGSLQVAIEGAKIVKEELEKKIATLTEEGNTKDGEISLLHQANERLQVEADILKDKEDSMTSSHELLSKEVEQREGEFVVLMGDVITSSVNAAVYEEKALELMMENTELKANLSTHVALIASLSDHVNELEENTLSLSKPYSTESKKEDAEVPFMQERSHGPESHPLPEGTPELQRLIARMGALQVAILNAKDLHDQESTKSAATLAAAHRDIEELKARGGSQMEAREIYSDNEKLNNIEGSKGKQVQMMKDIELDQISTCPPYGTGAALYPLKNGANAGMDDEMLQLWEAAERSCKNQTSKSSSAEHDIEAVEEVKSEYPSSELARGRDLGINKLEVSTSSVEPHEQWSNNVLEKLSSDAQRLQSIQVSIEELKRKMGSPSNGKSPMNSEYNTVSTQLLDTEGCVLEQINYNNKLTKRVENYPALSDSMNAEQEGYPSRRKISGQVQKGSENVGRLELELQKIQYVLLKLEEEHEYRRLKVSDKRTRVLLRDYLYGRKEKRGGAQKKKKRAPFCGCVQSRTET >ORGLA10G0089900.1 pep chromosome:AGI1.1:10:11886403:11889642:-1 gene:ORGLA10G0089900 transcript:ORGLA10G0089900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proton gradient regulation 3 [Source:Projected from Arabidopsis thaliana (AT4G31850) TAIR;Acc:AT4G31850] MLEVCCCSGVLGGSPPSSRTAGVSSPGVSPSRPSKRRIGRARAQPRAPPRDERRAAEDVIHALRSADGPAEALERFRSAARRPRVAHTTASCNYMLDLMRDHGRVGDMAEVFDVMQRQIVKANVGTFAAIFGGLGVEGGLRSAPVALPVMKEAGIVLSTYTYNGLVYFLVKSGFDREALEVYKVMMVDGVVPSVRTYSVLMVAFGKRRDVETVLWLLREMEAHGVKPNVYSYTICIRVLGQAKRFDEAYRILAKMENEGCKPDVITHTVLIQVLCDAGRISDAKDVFWKMKKSDQKPDRVTYITLLDKFGDSGESQSVMEIWNAMKADGYNDNVVAYTAVIDALCQVGRVFEALEMFDEMKQKGIVPEQYSYNSLISGFLKADRFGDALELFKYMDIHGPKPNGYTHVLFINYYGKSGESIKAIQRYELMKSKGIVPDVVAGNAVLFGLAKSGRLGMAKRVFHELKAMGVSPDTITYTMMIKCCSKASKFDEAVKIFYDMIENNCVPDVLAVNSLIDTLYKAGRGDEAWRIFYQLKEMNLEPTDGTYNTLLAGLGREGKVKEVMHLLEEMYHSNYPPNLITYNTILDCLCKNGAVNDALDMLYSMTTKGCIPDLSSYNTVIYGLVKEERYNEAFSIFCQMKKVLIPDYATLCTILPSFVKIGLMKEALHIIKEYFLQPGSKTDRSSCHSLMEGILKKAGTEKSIEFAEIIASSGITLDDFFLCPLIKHLCKQKKALEAHELVKKFKSFGVSLKTGSYNSLICGLVDENLIDIAEGLFAEMKELGCGPDEFTYNLLLDAMGKSMRIEEMLKVQEEMHRKGYESTYVTYNTIISGLVKSRRLEQAINLYYNLMSQGFSPTPCTYGPLLDGLLKAGRIEDAENLFNEMLEYGCKANCTIYNILLNGHRIAGNTEKVCHLFQDMVDQGINPDIKSYTIIIDTLCKAGQLNDGLTYFRQLLVMGLEPDLITYNLLIDGLGKSKRLEEAVSLFNEMQKKGIVPNLYTYNSLILHLGKAGKAAEAGEMYEELLTKGWKPNVFTYNALIRGYSVSGSTDSAYAAYGRMIVGGCLPNSSTYMQLPNQL >ORGLA10G0089800.1 pep chromosome:AGI1.1:10:11863591:11863803:1 gene:ORGLA10G0089800 transcript:ORGLA10G0089800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein Z [Source:UniProtKB/TrEMBL;Acc:I1QUL5] MVTWRVRNMTIAFQLAVFALIVTSSVLVISVPLVFASPHGWSNNKNIVFSGTSLWIGLVFLVAILNSLIS >ORGLA10G0089700.1 pep chromosome:AGI1.1:10:11860748:11860966:-1 gene:ORGLA10G0089700 transcript:ORGLA10G0089700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILFSMFYSILVGEEPDSVFLKKEGKQNQVKMIWVAPSSCAKDLTISEGTGATFLFNFHSRVSICFHALF >ORGLA10G0089600.1 pep chromosome:AGI1.1:10:11856735:11857016:-1 gene:ORGLA10G0089600 transcript:ORGLA10G0089600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGMRQQGGSAVLVAATSAELVTVKLFAASPLVGSGSAHTISGDVWARRWPDIEGGRRWEEEGDAAASWIRRAPLPLAELVVAMSIAGGK >ORGLA10G0089500.1 pep chromosome:AGI1.1:10:11838496:11840854:1 gene:ORGLA10G0089500 transcript:ORGLA10G0089500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHPFAKAANGFVMQADAPDAKLADVQAVADAIHGNTKLANIQAVADVIHGNAKLADVQAVPDAIHGNTKVADIQAVADAKPDVQAVPGAIHGNTKVADNSVVADAIQGNTKLTDVHPFMEGDCSIKLADAQVRNKAVDGFDATNMSPLVARCLAHHLAKVSVQRVWQGNYPEMFKPVLDAFGQPHRRIYIAFDFEFAADAFTNMHCWPGCTKTNYEYLRRYVNGGDVVQMGLAFVFEDEVDEEPTFTAMALEINFDFTVELHKYNGEAISFLSEQGHDLTEHRDRGVVPHFVYTGLLSHLPFGNSSVTWIAYHGDYDFGFFLRLLQGGCRGSSHLPLELPTFLHQLRLNFPRLYDVRVLGQLVQHGFRGSLTAIADLLGVNRFGRGHHAGVDALLTLSCFFQIVSCLSASGDHQLHRLDSRQGLLAGIAQVNKAIKDARHIGDRTSNIDVIKVQAGNLDEEAQRIQELVPSNFNIIGVEVMHPQLGNRSYAIGAQQNYESMKTYLKDADSFEIVIAFMNSEGMLAYDCVWKFCISSTPRSGYLHPRQFTRLMASCGATSNPNVSWVTFHGAHGIASLISSFSAPQDLPSDWPSYVEQRRAYFPGMYDVALIVHRYPDIGILPTTGCKGGLFDVARALDLNFIKDDNPVTRVLLTLRCYMRLADRGDFPDKQSAVQGQLMEHCCWSCPAKRMEHA >ORGLA10G0089400.1 pep chromosome:AGI1.1:10:11833570:11834638:-1 gene:ORGLA10G0089400 transcript:ORGLA10G0089400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNCIYQGPTKSSRPFGTRSLMEQCNEILRSRRAPQSEGWCLIHSKQTQILDNHGQVDDDEVIIDSPHLPYQISHDFLSQFGSSLPDLIDFNDVKPASFPRLFLSQILWTRSNFEKKRREKIEWIKTLNQFW >ORGLA10G0089300.1 pep chromosome:AGI1.1:10:11829004:11829168:-1 gene:ORGLA10G0089300 transcript:ORGLA10G0089300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMDDLSGQKRICVIRDVYGHHRLGFPSGSGLLCCWAAGLWESEKMKKALGAA >ORGLA10G0089200.1 pep chromosome:AGI1.1:10:11810981:11811958:1 gene:ORGLA10G0089200 transcript:ORGLA10G0089200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPAPAPAPPASRKRAAAPDDEPRSASGSTPGAKRPRRYALASVDDYEQLDVVGEGASGVVIMARHRRTGNKVALKHLPHGARDFDAVRVEAACQHACTGHPNIVQIKDVVTDAKSGDVFLVMEFVGGSLRDELPRARPEKQVRFMMRQLVGAAKKMHASHVIHRDIKPENILNSFGDLKVCDFGSATFENPAGKSYEECLVGTLPYTSPEQLAGNHCYGPGVDMWALGCIMGELLTGAPLFGGDMTEKELLADLSANLDDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRMTAAEALDHRWFAEEPKKANFAGFAPLFG >ORGLA10G0089100.1 pep chromosome:AGI1.1:10:11809618:11810591:-1 gene:ORGLA10G0089100 transcript:ORGLA10G0089100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKRPAPDGGDAFLTGASPCCKKPRPLFTSIFNYEYLHKLGAGSYGVVYKAHDRCTGETVAVKWGDAPRRHGAPRHQARQHPRRPGLYTQDMRLRDGHHGAAAVRAVHVGTLHYNSPEQLTEDGLNGQYDGKAVDMWVAGCVMAELLTGGKAFTSETAKEHLLELVELRDYDIGSRNSLAFGGLRWLSPAGREVLAGLLAFDGHKRMTTEAALEHRWFTEEADSPAVLSCLAAITS >ORGLA10G0089000.1 pep chromosome:AGI1.1:10:11802077:11804131:-1 gene:ORGLA10G0089000 transcript:ORGLA10G0089000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPNMDTLTANTFSFATPAHYSAVEFYNNGVGCEQNIEEFYYNVASGEQNMAFPTMDTHAANIFSFDTPINHSAEEFHQISAGGERNTVCPNIIDTPLAVNAFSFVAPVHYSNANADLHLHVVDAGDEQDRVAANVDALGIPPAPPSPAPASITNVTVKSVWRENCTEQFKLVVDALNQPRRHLYIAVDMEFAADATTNIRRRPVTSTGCYHHLREFVNHGDIVQMGLTFVFVGDGEQSSSSSPPPITLEINFKINIKARKYNMKSIAFLSRHGHDLREHRRRGVSPRRVYEGLLRHLPFGDTSVTWVAYHGDYDLGFLLRLLQRGGRRHGGGGLPRQLAVFLRRLREDFPAFYDVRVIRQMLEDHGFSGKLTGLAEHLGIRRTGGAAHHAGSDALLTLSCFFKIFRSLSGQQLHQLDARRGLLAGLEEWNMAIKCARHIDDHTRNIKVIEVVAENLDEEARRIGELVASNFSIIGVDVNQVVIHPRLGRKGYEMIIAFMNPEGMLAYGRAWKFCISRFTSDSNGYVLNLKQLAELMQSCGATNNPDVSWVTFQGSDVIYRLIRSANGGVIPSLISGESYFPSLYDVALIVGGFHGIGTLATTDRKVGIFDVARALKLKAIKADKEAERVLLTLRCFMRLAELIP >ORGLA10G0088900.1 pep chromosome:AGI1.1:10:11769867:11771270:1 gene:ORGLA10G0088900 transcript:ORGLA10G0088900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLAGKKDGGGRRSGERQQHGGGDATPAVEVVAASTREKKRWSFRRSSASASAAAMGKPAAVTAPSTPEPSVSGLASVSERARDVADLEGQSKHAMAVAAVATAAEGDDVSASAVEVVAAVMIQATYRGYLARKALCALRGLVKLQALIRGNLVRKQATATLRRMQALLVAQARLRAQRMRMLEEEEDDDVHGHGHHHHRRSSPHHPRHRRSYEMDRSGEEQAKIVEVDVGEPPPPHLERQPSRRRGGGVPRSVKMQRSSSHVGVPAAHGYHHHHLYSYGQYPWSVKQLDRSSASLKDSECGSTTSSVLTAATTVGYCRSLVGFDLHRGHY >ORGLA10G0088800.1 pep chromosome:AGI1.1:10:11761606:11763829:-1 gene:ORGLA10G0088800 transcript:ORGLA10G0088800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductases [Source:Projected from Arabidopsis thaliana (AT4G20350) TAIR;Acc:AT4G20350] MEDDKTTQESSAAAAPPLMSLADYAVGPIPTLLYVPGFISDAEQSQLLHHIYQAPAPKWKSLKNRRLQNWGGVVHEKGLLPQALPSWLTKITDRICQWTGLFPSAINHVLINEYHPNQGIMPHQDGPAYFPVVAIISLASPVVIDFTPHQRLKGEDFTDPQNAHSGESQATTTESNGSHNLEGANETDPASSSLLLMPCSLLIFKDQAYTDYLHGIRDNELQNLDKVANMLQCPEFKHLNSGDGQGNTDESCSLEQSGVYRRTATRVSLTCRLVLKVHKKLFKM >ORGLA10G0088700.1 pep chromosome:AGI1.1:10:11758340:11760687:-1 gene:ORGLA10G0088700 transcript:ORGLA10G0088700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGCLLLLLGVVATVAAGECNDDEELARRPCVAVNCGPGGQCVKEEGFSYHCACSPGFVNMLNLTELPCIKNCAFGKDCAALGLSPASTPAPAPTPAELVKLDDFDQSQNVLCPETERVDNTIKFG >ORGLA10G0088600.1 pep chromosome:AGI1.1:10:11756622:11756882:1 gene:ORGLA10G0088600 transcript:ORGLA10G0088600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWCNYRRSRKRSMMTTRTPLPHAPAVLGDEAGKRVRDPMTRKKMSGGRCDRGGEDRVASSLRSLNHRRSSPQSPPPPSLPKEE >ORGLA10G0088500.1 pep chromosome:AGI1.1:10:11751381:11752838:-1 gene:ORGLA10G0088500 transcript:ORGLA10G0088500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMPPPLLLVAAAALIAVVTATVAAGAGEGPACDTAHCGRGQCVEQPGPLGLDTFRCDCDAGWSNMFAFLPASPCTIPKCTFDSACFNITFNFPRGFPLTDPCVAINCGSGGECVKEEGLSYHCACSPGFVNMFNLTMFPCIKNCAFGKDCSAQGLSPPGSPPPPPPPSPSSSSPATPGNDSHDSSGHPSSPKGNASTVATSTTSLGYTITRFFT >ORGLA10G0088400.1 pep chromosome:AGI1.1:10:11740228:11741574:-1 gene:ORGLA10G0088400 transcript:ORGLA10G0088400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMIAFAAQILAFCLLLLLLLLLQLQTTMAGDSSFSGVFDHGSHGVTLVKVDEAPRKCSSAAAAKKTDDDTAPAGGAPPKPLLVAAPCDAGVYPVVVFLHGYLAYNSFYSQLFEHVASHGFVVVGPQLYTMSGPDTTDEINSAAAVINWLAAGGLTSKLPPNVRADATKISISGHSRGGKVAFALALGHANVSLRGGAGGATIAALVAVDPVDGFAAGKQTPPPILTYGGANSLRVPAPVMVIGTGLGGLARAAPLLPACAPPGVSHGEFYGECAAPACHLVARDYGHTDMMDDVTPGARGLATRAVCRSGGARAPMRRFVGGAMVAFVKRWVEGEPELLECVRARPETAPVVLSVVEFRDEAIANHSY >ORGLA10G0088300.1 pep chromosome:AGI1.1:10:11733588:11736082:-1 gene:ORGLA10G0088300 transcript:ORGLA10G0088300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G43850) TAIR;Acc:AT5G43850] MAPLVWMLGENGEEKSFENPNELLPLSRLEEIGVLYWHLDPKKSESEEELTKIRRERGYSYFDLIEICPDKLENYEEKLKSFYREHIHADEEIRYCLEGSGYFDVRDKDDKWIRIWIKEGDMIILPAGIYHRFIVDSNNYIKLMRLFIGEPVWTAYNRPQEDHPVRQEYVKNVKGDTGFALAAH >ORGLA10G0088200.1 pep chromosome:AGI1.1:10:11727733:11729765:-1 gene:ORGLA10G0088200 transcript:ORGLA10G0088200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase [Source:UniProtKB/TrEMBL;Acc:I1QUJ9] MENEFQMDDSEEDQRLPHHREPKEFIPVDKLTELGVISWRLNPDNWENCENLKRIREARGYSYVDICDVCPEKLPNYETKIKSFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRIALKKGGMIVLPAGMYHRFTLDTDNYIKAMRLFVGDPVWTPYNRPHDHLPARKEFLAKLLKSEGENQAVEGF >ORGLA10G0088100.1 pep chromosome:AGI1.1:10:11722575:11725322:-1 gene:ORGLA10G0088100 transcript:ORGLA10G0088100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAGIVKEEMLESQQQQRQEDGGAAPRPMEGLHEVGPPPFLTKTYDLVEDPATDGVVSWSRAGNSFVVWDPHVFADLLLPRLFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKTIKRRKPPSNAPPSQQQSLTSCLEVGEFGFEEEIDRLKRDKNILITEVVKLRQEQQATKDHVKAMEDRLRAAEQKQVQMMGFLARAMRNPEFFQQLAQQKEKRKELEDAISKKRRRPIDNVPFYDPGETSQTEQLDSPYLFDSGVLNELSEPGIPELENLAVNIQDLGKGKVDEERQNQTNGQAELGDDFWAELLVEDFAGKEEQSELDGKIDGIDELAQQLGYLSSTSPK >ORGLA10G0088000.1 pep chromosome:AGI1.1:10:11714955:11718106:-1 gene:ORGLA10G0088000 transcript:ORGLA10G0088000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQEPRAKKKRSLPGNPDPEAEVIALSPRALVATNRFVCEVCNKGFQRDQNLQLHRRGHNLPWKLRHRAAAVSAVTTAAPAPRKRVYVCPEPTCVHHDPARALGDLTGIKKHFSRKHGEKRWRCERCGKRYAVHSDWKAHVKNCGTREYRCDCGILFSRKDSLLTHRAFCDALAEESARLLAAANNSSSITTTTCNNSNISSNNNNNNINSISNSNNLLITSSSSSPPLFLPFSTTPAENPNPNQLLFLQQHQAAHHQLLLPQFQQPPSSPPAYFDHLAFGGGGGVITGSSCNDDNSSIAGDVMVAAGGDSVSFGLTSEGSVTMHAGDVGRRRLTRDFLGVDHDAGEVDELELDELPADLSTTAAACQGCNFAAATTAACCATDFTTGSRQYLGRLPPVNETWSHNF >ORGLA10G0087900.1 pep chromosome:AGI1.1:10:11706444:11711440:1 gene:ORGLA10G0087900 transcript:ORGLA10G0087900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVQIPRVKLGTQGLEVSKLGFGCMGLTGVYNAPVAEEDGVAVVRRAFEAGVTFFDTSNAYGPHTNEVLLGKALKQLPREKVQVATKFGIAGFDANGMLVKGTPDYVRACCEASLERLAVDYIDLYYQHRIDQSVPIEETMGELKKLVEEGKVKFVGLSEASADTIRRAHAVYPITAVQMEWSLWTRDIEEEIIPLCRELGIGIVPYSPIGRGFFAGRAAVQSIPSESWLTRHPRYNGENLEKNKVFYTRIEELATKYGCSPAQLALSWVLHQGDDVVPIPGTTKVKNLDDNIGAVKVKLSKEDLKEISAAVPAGEVAGSRLIGVLEPYSWRVANTPPPKSL >ORGLA10G0087800.1 pep chromosome:AGI1.1:10:11698685:11699007:-1 gene:ORGLA10G0087800 transcript:ORGLA10G0087800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATSGRVLAIMLLMAIIAALMIINSPVAECRVAPDQVGVDPNGHCYFDPSSCRSPGAP >ORGLA10G0087700.1 pep chromosome:AGI1.1:10:11690923:11693088:-1 gene:ORGLA10G0087700 transcript:ORGLA10G0087700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VADPPATAAGGSSGGGSLPPTRLPPAACSWFGSNPVKPLNQPRPNRSAGYTWVGLGYSKTRLNRIKYRKVDEAWDGAIAGVHAVAVREGNVVAVVAFGDGEVDAAKPGGDSAEIGRDERHEPQQEQYQPLASLLPWLGLVDRQWWLRRRRNHRAAPRTA >ORGLA10G0087600.1 pep chromosome:AGI1.1:10:11655604:11658702:-1 gene:ORGLA10G0087600 transcript:ORGLA10G0087600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:I1QUJ3] MECADYFIGSGRRCSPSTSTSREAWRPEKQWRKATNVIRGCHRLLRLGVLSAAAGIMRRNPSYVEIKVHDEGELDVSSGGDGEAPVAFTVAADDESFKGLVKNKREDCFRLLGGGAGVAAVLASGAERGIRGDDADVARRKKAFGSNTYPKPKPKGFFRHVWDALADVFLIVLLVCAAVSLAFGIKEHGIKDGWYDGVSIFLAVFLVAAVSAVSNHSQGKRFDKLARESENIMVSVVRAARRQEVSIFDVVVGDVVVLKIGDVVPADGVFLDGHALQVDESSMTGEPHPVEVDAVKSPFLASGVKVVDGYGKMVVTAVGTDTAWGEMMRTITRENTDPTPLQERLEGLTSSIGKVGIAVAVLVFAVLTARHFTGSTRDEQGNALFDKRNVTFNAVFSGLVSIFQQAVTIIVVAIPEGLPLAVTLTLAFSMKRMVRENALVRRLSACETMGSVTAICTDKTGTLTLNQMKVTEFWVGADRPRSAAAVNGGVVRLLCQGAGLNTTGSVYKPDNVLPPEITGSPTEKALLSWAVEELAMDADALKRKCKVVRVEAFNSDKKRSGVMLRDAATGAVTAHWKGAAEMVLARCTVYVGADGAARELGVEQRRKLEQVINDMAAASLRCIAFAYKQVVDGGDSDNAKIDDEGLTLLGFVGLKDPCRPEVKSAIEACTKAGIAVKMVTGDNVLTARAIAKECGIISGNDDDAAGVVIEGHEFRAMSEQEQLAIVDNIRVMARSLPLDKLVLVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGVQGTEVAKESSDIVILNDNFDTVVTATRWGRCVYNNIQKFIQFQLTVNVAALVINFVSAVTTGRMPLTTVQLLWVNLIMDTMGALALATDTPTKGLMRRPPIGRTAPLISNAMWRNLAAQAAYQVAVLLALQYRGFGGAGAGERANGTMIFNAFVLCQVFNEFNAREIERRNVFAGVHRNRMFLGIVAVTVALQVVMVELLTKFAGTERLGWGQWGACVGIAAVSWPIGWAVKCIPVPERPFHEIITARRRRRST >ORGLA10G0087500.1 pep chromosome:AGI1.1:10:11651760:11652549:1 gene:ORGLA10G0087500 transcript:ORGLA10G0087500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:I1QUJ2] MAGKGGKGLLAAKTTAAKAAADKDKDRKKAPVSRSSRAGIQFPVGRIHRQLKGRVSANGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTAKE >ORGLA10G0087400.1 pep chromosome:AGI1.1:10:11630532:11631549:-1 gene:ORGLA10G0087400 transcript:ORGLA10G0087400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAPDPSPAPARSMLKRLFDRQLLRVSPAERIVAVGGGEKDEVEPSSVCLDGMVRSFLEDGSGVGAAVERAGGHGARRCNCFHGGGSSDDDDDEDDAAASSDVAETIKGLVHCATLRERNLLADVCGHVERHRAGGARRRELLGLVAASLRAAGHDAAVCVSRWDKSPTHPAGEHAYVDVLLPPASDRGARERVLVDVDFRSAFEVARPTKAYRALLQRLPAVFVGKDDRLRLLVAASADAARASLRKRGLHLPPWRKPEYMRAKWLSPYDREPAPPDEASASAAAAEVAGEEAPAAA >ORGLA10G0087300.1 pep chromosome:AGI1.1:10:11613330:11614969:1 gene:ORGLA10G0087300 transcript:ORGLA10G0087300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKNGTAYGEYTYAELEREQYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTNGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIILSFEDRELPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRFTYFWIKEQIEKEKTQGVDIAGYGSSKVVSTQAPVQLGSLRAADGKE >ORGLA10G0087200.1 pep chromosome:AGI1.1:10:11606163:11606473:-1 gene:ORGLA10G0087200 transcript:ORGLA10G0087200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPFLSSTPLSLSIPCFLARGGRSVRPKNSVSVFRYSPLESRDGGDAEAEAEAAPAPVLEAEAAEGGGG >ORGLA10G0087100.1 pep chromosome:AGI1.1:10:11602784:11605703:1 gene:ORGLA10G0087100 transcript:ORGLA10G0087100.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSDYEKRNKKKKRIEDLTQSQRGAMFRYITKESQGPSGDQTLDSDVANEPNDGETEIETTADDVLSESTHEQVENHGDGDNVDDSSVHVDLDSSDTAFQPEIFDPRYWDSLDAKQLDILAQLGPKRDLSIQKGPKDRLFRRSALLYTRILSNREKYDRDWLVYSKELDRVFCFCCKLFTKGREHRYQRLQKDQTIDKAAQRQLEKEKDHWRKVLFRILVIVKFLAKHNLAFRGSKSKLYEDSNGNFLGMVEMLAEFDPVIQEHIRRITNEETHVHYLGPRIQNELIHLLACDVKKWHILKDNMTGLILKSVSTTRWESRVESVKAIRFQCAKICEALLQVSESDNEPLTSSEAKGLANNELGEYEFLVTIVIWYEVLYAVNLVSKSLQGKDMLIDVAVEKVQGLISFFKGYRQTGFLNALKMSKEIALEYWYNISXKAXNXKKETFXXEPRXRKCCLTNCRGFIXNQLFYSCCXSSYFLSHQEIXTISRLSKDFWLLVYFXHIAIKGXQXLEIFLXSSRGCTXKGWKIXYXCYXIACRVDFSPKFHSQRKVGPWXNFEVFEAAXLFSQCNYCIXNFIDHSCDYCIGRMEFFXIEAIEVILAIYNVTRKTXWFGYHSTXGWYAGENQYEAIIEDFISRNTKRMSLFKXILCTVLSSLXLRTWPPNLTGRPXPRVXQNRGRQLAAWTAGMQPRSGATKEEKVNVSPPALRWMTLAFLDGEAETRRQXPWSPPHXGSRQQALAPPAFTSPSLPV >ORGLA10G0087000.1 pep chromosome:AGI1.1:10:11593670:11593987:1 gene:ORGLA10G0087000 transcript:ORGLA10G0087000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRYVEMLDMGVRVAARFHSHCPHTARMYYKPPQTTADHGGAAAGKQVVAAGFRFEAVSSSSAGAMAAAAAWGDRGFRATAPSGFDFEFDTAQAVVVYDDVAAV >ORGLA10G0086900.1 pep chromosome:AGI1.1:10:11588457:11588789:1 gene:ORGLA10G0086900 transcript:ORGLA10G0086900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVEMLDMGVRVAARFQSHCPHTARMYYKPPQTTADHSGRGDGGAAAGKQVAAGFRFEAVTSSSAGAMAVAAAAAWEDRGFRATAPSGFDFEFDTAQAVVVYDHVAVV >ORGLA10G0086800.1 pep chromosome:AGI1.1:10:11584818:11586808:-1 gene:ORGLA10G0086800 transcript:ORGLA10G0086800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKATSAAVLGRACLPERRPPSPATPKRGGNRDVSTGGKWCWNTHGLAQGPYTIHAGWSGGARGIHHGWSMRDLLPSPIKEVFLSASWVWVPKGGSLSPHLGFPATRREVVRFGATTRRIWRAPVRLTDGRSFLEVAKGGMDRKPQFQRPDQWVPNKRRAFEEEGGGGNWGNRNLQEREERELGIGXWGNNNSVRKGGGSKLREGGPTYSGKETETGRVVLGREGIGVRLVERRSXGRKGDQVLPRRKRSSVSTVEKVVIIRXTVRNLLCAMSVRILDTSHLIALFMLGGVALIWANXKXSXKVMAYLSRVFSAXMXIIWVWLRKGHNSEESXLWRKGLVQCKRWPMRCLICLGRKIGIGMXSKSAVIISXLISRVKMLEDKLLSSWGFAKKQEIIRAISDIVGEFKEVDEKSLKGEGAVRIKVGCLDPSAINYSVIIYINDIGYKIKWEAEVDTEGTGSIDGGGAMMMMMLMIWMMITLQEERKVGVRMTKGVXRKRNLLRMQIAKEGLSVENEVGDTAKGEDPIESTAVEKKADKAVVLWKSEEFSQPELFEQVSQEEDKSGDLLKRMDVDLKLGVLDYSQMKRGRNVLSPLTQTLS >ORGLA10G0086700.1 pep chromosome:AGI1.1:10:11573466:11574332:1 gene:ORGLA10G0086700 transcript:ORGLA10G0086700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYLFREYIGAQFTGVRFSDVPINPNLSFNFILSFAIDYTSPAGGATPAPTNGVFSPYWDTANLSPADVAAVKAAHPNVSVMVGIGGDSVQDTAKVFFSPTSVDSWVANAVASISGIIDAYGLDGVDVDYEHFNDDGGAGVDTFVECIGRLLTELKARHPNITTSIAPFEDAVVQRYYQPLWRRYAGVIDLVNFQFYGYGDNTDVPTYVMFYDEQAANYPGGKVLASFKTGDVAGLLSPEQGIAGAKELQRQGKLPGLFIWSADSSKVSSYGFEYEIKAQEIIANH >ORGLA10G0086600.1 pep chromosome:AGI1.1:10:11571501:11572324:1 gene:ORGLA10G0086600 transcript:ORGLA10G0086600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLANGDSSADTTTRRNAEDFLAILLKVVSSPEVAGIDASGVASGGGLQSLGVHWNLIAAWRGLGNSGNGKDSPAVMDNVGFTATARLSGGMLREGDDFGAMAWLEMDHVGRNSDNVMAVAPGASSGALVGKVAFPLLQWIKRDGVKRRFARHDVGSLERERFGDGDNLSATASVIFFAIDDENVGDGEA >ORGLA10G0086500.1 pep chromosome:AGI1.1:10:11561063:11561923:1 gene:ORGLA10G0086500 transcript:ORGLA10G0086500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGYLFREYIGAQFTGVRFSDVPVNPGLSFHFILAFAIDYFMATQSSKPAPANGVFAPYWDTANLSPAAVAAAKAAHPNLSVILALGGDTVQNTGVNATFAPTSSVDAWVRNAADSVSGLIDAYGLDGVDVDYEHFAAGVDTFVECIGRLLTELKARHPNIATSIAPFEHPVVQRYYQPLWRRYAGVIDYVNFQFYGYGANTDVATYVMFYDEQAANYPGSKLLASFKTGNVTGLLSPEQGIAGAKELQRQGKLPGLFIWSADSSMVSSYKFEYETKAQEIVANH >ORGLA10G0086400.1 pep chromosome:AGI1.1:10:11532223:11536946:-1 gene:ORGLA10G0086400 transcript:ORGLA10G0086400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1QUI1] MDRELVRTVKLATKNHAGVLFRRAVRHLPYIVAVTALVAAAPRLSTLLAAAAAGGVGGGSTMRWARALWSDLAGELGPSAPALAVACWAAALAAYTYAASRPRPVYLIDLAGYKAPREHEASRAKTIAHFGRCGRFSGESMAFQKRMLERSGLGEATHFPTSLISLPVDMCLRTAREESHAVIFGVVDEVLRKSGVAAADVGVLIFNSSLLSPTPSFTSLIVNRYGMRPGVVSHNLSGMGCSAGIIAIDLAKRLLQVHENTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLSNRAADRRGRAKYQLIHTVRTHRGAHDQSFGCVTQEEDDAGEVGVSLSKELMVVAGEALKTNITTLGPLVLPISEQLRFLATVVLKRVFRADVKAYLPDFKLALDHFCIHAGGRGVLDELEKSLKLSPWDMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIKRGDRVWQIAFGSGFKCNSAVWRALRTVDAAGLDAGDNPWMKEVDMLPVDVPKVAPIDETSYQIPN >ORGLA10G0086300.1 pep chromosome:AGI1.1:10:11530435:11531358:1 gene:ORGLA10G0086300 transcript:ORGLA10G0086300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLIAVVLLPALLAFQAPMATAVNSNLFRDYIGAIFNGVKFTDVPINPKVRFDFILAFIIDYTTETNPPTPTNGKFNIFWQNTVLTPSAVASIKQSNPNVRVAVSMGGATVNDRPVFFNITSVDSWVNNAVESLTGIIQDNNLDGIDIDYEQFQVDPDTFTECVGRLITVLKAKGVIKFASIAPFGNAEVQRHYMALWAKYGAVIDYINFQFYAYGASTTEAQYIDFFNQQIVNYPGGNILASFTTAATTTSVPVETALSACRTLQKEEKLYGIFIWAADHSRSQGFKYETESQALLANATISY >ORGLA10G0086200.1 pep chromosome:AGI1.1:10:11520540:11526573:-1 gene:ORGLA10G0086200 transcript:ORGLA10G0086200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone acetyltransferase of the GNAT family 1 [Source:Projected from Arabidopsis thaliana (AT3G54610) TAIR;Acc:AT3G54610] MDGLAAPSPSHSGATSGGGASHRKRKLPPSSLSDATADEDDDTTAPSSPSTSPSSPSRPSSPSSSHSDDDDDDSLHTFTAARLDGAPPSSSGRPPKPESSTVSAAAAAAAAAAAPKPDSASAAAGDGKEDPKGLFTDNIQTSGAYSAREEGLKREEEAGRLKFLCYSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNIVVGGITYRPYTSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITLDKERWQGYIKDYDGGILMECRIDQKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKKEAGIPRRTMKPEDIQGLREAGWTPDQWGHSKSRSAFSPDYSTYRQQLTNLMRSLLKNMNEHPDAWPFKEPVDSRDVPDYYDIIKDPIDLKTMSKRVESEQYYVTLEMFVADMKRMFSNAKTYNSPDTIYYKCASRLESFFSNKVASQLAQASTKN >ORGLA10G0086100.1 pep chromosome:AGI1.1:10:11515713:11519859:1 gene:ORGLA10G0086100 transcript:ORGLA10G0086100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALASEEYASQSKLLQEFTNAPSIDGAWVFQTNNEDRSTAMYSISQTNLLANNKRKYILFSHIMRNGTNLLDFQWSPFPIQMDGVSAVVPSPSGSKLLVVRNGEKGSPTKLEIVDQSHVEKEIHVAQSVHGPLYTDEWFHGISWNQEETLIAYIAEDSPEPKPVFDDTGYRKEGSSEKDCNNWKGQGDWEEDWGETYSKKGRPSLFVLDINSGEVRAAKGISRSLSVGQVVWAPPSSCGRQKYLIFVGWLEHNGFQNTPRKLGIKYCSNRPCSLYSTLCPFEESDVDNAPASDSKLEPASVAINLTPSISSAFFPRFSKDGKLLVFLSANRAVDSGVHNATDSLHKINWPSDWKMDQYLEITDVIPIVMCPQDGCFPGLYCSSMLSNPWLSDRCTMILTSAWRSTEVILSIDVLSGKATRISPENSEYSWSALTVDGHNVLAVSSSPIDPPQIKYGHQVSLKDQTCTWVWDEVNNNPLMAANNKVKALLSHHQFSILKIPVTNPSDDLSDGSKLPFEAIFVSCKDSSHKPTILVLHGGPHSVSVSSYSKTSAFLASLGFNLLIVNYRGTPGFGEEALQSLPGKVGSQDVQDCLTALDYVIEGGLIDASKVAVIGISHGGFLTTHLIGQAPDRFMVAAARNPVCNLSLMIGTTDIPDWCYAVACGSEGRQHASESPSPDHLRLFYQKSPIAHISKVKAPLLMLLGGADLRVPISNGLQYARALRERGGEIRIMMFPDDIHEINIPQSDFESFLNIGVWFKKHLSISASDASA >ORGLA10G0086000.1 pep chromosome:AGI1.1:10:11503371:11513894:1 gene:ORGLA10G0086000 transcript:ORGLA10G0086000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLATGLSLTPRFYSRSSIVVAASLLLSAAPSSSSPRARAAAPASGYSPWRGSISRISSHPTAMATTQASEAATEKGLPLGMDVSMVDEYASQSKLLQEFVKIPTIGKAWIFNSKTENTSRAIVSVGQTDLLANKKRSFLLNSHISKNSSNSVDFQWSPFPIEMSGVSAVIPSPSGRKLLLIRNSEDDSPTKLEVWGPCQLENEIHIAQSVHGSLYVDEWFEGISWNQEETLVAYVAEEPPQPKPEFNDSGYKKAGSSEKDCKSWKGKGDWEETWGETYSKKRIPALFVVNISSGEVRAVKGIPRTLSVGQVIWAPSSSHSLVFVAWSSDNGYQKTPRKLGIKYCFNRPCALYAVPDPFMEEADKPSLNVSKGETAPTTKLTSDLSSAFFPRFSPDGKYLVFISAKSAIDSGTHNATNSMHKIDWPADGKLEGLSVADVVPIVMCPQDGCFPGLYCSGILRNPWLTDGQTMILSSIWGSKEVILSVNVVSREVSRVSPQDSDYSWNVLALDKDNILAVSSSLITVPQIYYGSEVCQTGKPNQWGWQEIATPFPSPSDKISAILADHKFSILKIPISNSSDKLADGAKLPFEAIFVSWKDSATRPTIVVLHGGPHTVYPSSYSKSLAFLYSQGYNLLVVNYRGSLGFGEEALQSLPGNIGSQDVNDVLTALDFVIKKGLIDASKVAVVGGSHGGFLTTHLIGQAPGTFVAAAARNPVCNLSLMVGTTDIPEWCFVEIYGKEGKNCFSEYPSFDDLCQFHQKSPISHISKVSTPTLFLLGAQDLRVPVSNGLQYARTLKEMGVETKIIVFPEDMHGLDKPQSDFESFLNIGVWFKKHMSK >ORGLA10G0085900.1 pep chromosome:AGI1.1:10:11484191:11487877:-1 gene:ORGLA10G0085900 transcript:ORGLA10G0085900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATCISNIVSWEESIAALGPAKGNALPLVGGIKVGYCSERQTRGPLVAKMWWILDYTASLPGLTTMNCPSMSSQPKPQLGKPS >ORGLA10G0085800.1 pep chromosome:AGI1.1:10:11478337:11481795:-1 gene:ORGLA10G0085800 transcript:ORGLA10G0085800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATLPSSSSSAAAAVQTISRALSFPRRGGGGFLHLRRHPLAAAAAATRGRGPLLRLGPRRPFSASASAGDNGAAAGGDGGGCDYDYDLFTIGAGSGGMRASRVAASLYGARAAVCEMPFATVASDSLGGVGGTCVLRGCVPKKLLVYASKYSHEFEESHGFGWRYGTEPKHDWSTLMTNKNLELQRLVGVQTNMLKNSGVTIIEGRGKVVDPHTVSVDGKLYTAKNILIAVGGRPSMPDIPGIEHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSGVHVFIRQKKVLRGFDEDVRYFVADQMSLRGITFHTEETPQAVMKSDDGLLTLTTNKGSINGFSHNLGLEEVGVKMDKHGAIVGELDTGCINGSAVPSAVFSQPPIGQVGLTEEKAIEKYGDVDVYTSNFRPLRATLSGLPDRVYMKVIVCANTNKVLGVHVCGEDAPEIIQGIAIAVKAGLMKQNFDATIGVHPTTAEELVTMRSPTRKVRRDAVDEAKMKDEATSQK >ORGLA10G0085700.1 pep chromosome:AGI1.1:10:11473063:11475432:-1 gene:ORGLA10G0085700 transcript:ORGLA10G0085700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:UniProtKB/TrEMBL;Acc:I1QUH4] MTAQTKKRGGGESGNGGAGLGLAAFIANGEDLGPIVRHAFESGKPESLLQGLRSIVKMKEVEIEELCRVHYEEFILAVDELRGVLVDADELKGTLSGENLRLQEVASSLLLKLDDLLELYSVNKNVEEALGMLMICLQVTSLCQMCNKDIAEARLHSALKSLELIEKDFLQNIPLKLLKKAVQKQIPIVKLYIEKKVCNEFNEWLVYIRRTAKEIGKVSISQASLARQKNEGIRSQQREAEDCSRIGFDEHAYALDLDLIGEEEVLEFDLTPVYRANYIHTCLGLGEKFREYYYNNRLMQLNLDLQIPTTQPFMESHQHFLAQIAGFFIVEDRVLRTADGLLSDSQVETMWGTAISKVTSILEEQFSRMDAANHLLLVKDYVTLLGATMKKYGYQTTSLLEILEKNRDKFYQLLLSDCRKKIDGIFTKDSYEQMIIKKENEYHMNVSAFQLELIGVVPDFPYVAPFSSSVPDACLIVRSFIEDSVNYLSYDPMMDAYDVVKRYLDKLLIEVLNDGLLNLIHGGCLEITQMVQIAGNIAILEKSCDMFLFHAAQLCGVPRRLLDKPHSGLTARAVLKASQNAAYNGLIALANSKIDEFMLLLTSINWTPEETPEHVNDYMNEVVIYLHTLVSTAQNVFPREALYKVVCGAFSHISDSIMTVFLSDRVKRFNANAVAGIDTDLKKLEEFADDKFHSTGLSELRKETTFRDCLVEIRQLTDLLLSNQPENFMNPVIREKNFGSLDHKKVSIICDKFRDAPESLFGSLSGRSTVQSARKKSLDVLKRRLKDFS >ORGLA10G0085600.1 pep chromosome:AGI1.1:10:11470532:11472534:1 gene:ORGLA10G0085600 transcript:ORGLA10G0085600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARGGTPEEGGGADIEKAAAETGGRGTWRHAAFHVATTIATPAAYAPLPFALASLGWPLGVCSLVTGTLVTWCSSLVVASLWQWNGDKHTSYKLLAKSIFGPWGYWYVSFFQQVASIGNNIAIQIAAGSSLKAVYKHYHTTDDGAMTLQQFIILFGAFELLLSQLPDIHSLRWVNAACTASTIGFAGTAIGVTIYDGHRIDRKEVDYSLQGSAASKIFRAFNALGTIAFSFGDAMLPEIQSSVREPVRMNMYKGVSTAYSIIVMSYWTLAFSGYWAFGSGVQPYILSSLTFPRWTIVMANLFAVIQITGCFQIYCRPTFAQFEQRIQAKDAGYRARMWRLVYTSAYMVVITLISAAMPFFGDFVSVCGAVGFTPLDFVLPALAFLKAGKLPENPGLRHAVKVITSAVAVLFSIVGALACIGAVRAIALDVKTYKFFHDM >ORGLA10G0085500.1 pep chromosome:AGI1.1:10:11465857:11467753:1 gene:ORGLA10G0085500 transcript:ORGLA10G0085500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNHARSQDFWRRFWSMLSYACSELCLIILLHVAAGASYLATRLARIHKLKMPCILCTRMDHALHGKPWFSSDLVCAAHRSEISSLAYCSSHNNLAQCDDLCKRCTVATNDVVDTRRSKSRQLCSCCSEPFTKARNAHRISETASVSGEINREQIPADHSKDKAFVVGIEEVNESDSSPRTYEQSTKNNGASGNAGTAKLAPSGSTVPMRVFVDRNSSVKNGFISRANLSSPRPSQIISAKDSNSTTQQEVKAFLSQMSTVRGIDSSWSDGAPSPGINAQTDESNANGRRPSLERNYSVIEPSDANLADEVEGESSPENLKRLLELNKKSMSALYKELEEERSASAIAASQAMAMINKLHEEKAAMQMEALQYLRMMEEQADHDHEAIQNLHDLLTEREKELLDMDAELENFRRLVQNEQFNGGKHDIAGIMNETDMPFEVLNDLGYTKNTMSGFEDEMAYILESISRLEDKLCVSTNRLASDDAKINQEGLIGGADFGSSPTHGESTSDQQDDGNKSVQNHKDNCSCSHPEDGKTSDANLKDEVSLLHTRLQALEADQKFLKHVLNSLRCSPDGLQCVQEIASHLLELRRIATQR >ORGLA10G0085400.1 pep chromosome:AGI1.1:10:11459864:11464635:1 gene:ORGLA10G0085400 transcript:ORGLA10G0085400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYCDKKEVTVAFSKTKRQEEDEVIWRIVEQGATDDEECGGTNCSAGENRDPGWPGTSVFRMLIPATKVGAVIGHSGERLRRLCEETKACVRVIGGHFAAAERAVIIFAKEQPDEPKPPAIDALLRVYECTINDDGLDVRYNNIVVARILTPSEQAASLIGDQGSVINYIKKASKTNIHVIGNFLTLMHLLEPLVPSIDKFDISGLQLSIYTDADGDLPPVALEDDMIIEIWGLPARVHQALELVACHLRKYLVHRSVIPLFDPHVSIPISPVDMPPFHYSDHHEGLLHEASPGYYSLYAEAFQLEHPWTDTSYSRYPMENFTHADIFEYRQEAPVFFGRYRSVTPPHYGHEAEAYLSSPMELCLHNNLNTYGWEATPPIGRSDTVERIRSLISVYGKQAHPHPLRQTYQSTKMEKHPHSGISLYGRDDHPTRVSPSPATELPPSPAVSAYKWQVSPSLKMYPSTNVENLQHCRVSACAPEELPNVVVPSLTSQSPAVTSQVIMKMQVPIFYAEAVIGPTGARIDYIRQASGSSVVIKDLDDSAMSIEITGSAATDVQIAEQLIKNFMAEAAAASPDHSYDFIPSHLPAPRSPEPDIPTTSLTRRASCFTEPRLQATY >ORGLA10G0085300.1 pep chromosome:AGI1.1:10:11448255:11451658:-1 gene:ORGLA10G0085300 transcript:ORGLA10G0085300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole (Corrin/Porphyrin) Methylases [Source:Projected from Arabidopsis thaliana (AT1G45110) TAIR;Acc:AT1G45110] MASLLRLQALALNLTAPRRLPFLPLRVTTATAPLAGRLSTAAAASASGSSLESPASEPDLDSGLYLVATPIGNLEDITLRALRILKCADVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPNILKRLHEGEAVALISDAGTPGISDPGMELARLCATEGIPVIPIPGPSAAIAALSASGLPTDEFTFVGFLPKHARSRKERLEISACQAATQIFYVPPHGIHQFLSDAASSFGDSRSCVIAREITKLHEEFWRGTIGEANEAFATRQPKGEITVLIEGKLISADETPSEDFLEHELRELMTQGHPLSAAVKMVSEATSAKKKDVYALALRLFGK >ORGLA10G0085200.1 pep chromosome:AGI1.1:10:11441776:11442183:1 gene:ORGLA10G0085200 transcript:ORGLA10G0085200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESIAENRSNGFVFAVVVVVVLAVLTLTCGSTTSPLPCAGSAAVVAAASCVLAARRMLAVLSPWFYVGGGPVIRRGHEWSPPFYMYARARDDDLSGVALTLGAAALLLALALLFLRRRRSVGLKKVDKVEGKSE >ORGLA10G0085100.1 pep chromosome:AGI1.1:10:11426330:11426860:1 gene:ORGLA10G0085100 transcript:ORGLA10G0085100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTAMAAGGDDDQRFDLPDDPPPAHPPARPIQGGRVDRRALAAAGLALAAPSTSPRASTSTAGSAAASASTNGRRPSPPAATPSSAPRQAAFAAAAPPEATAAAAASPGSPSTCRRTALQLLDLQVPEQWRRDDGEVDVVDLVVSHPAARRVEELRVRVVPCRYRSYSDDDEEKEAR >ORGLA10G0085000.1 pep chromosome:AGI1.1:10:11423635:11425232:1 gene:ORGLA10G0085000 transcript:ORGLA10G0085000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRHRRMGRTGISGRTSAGSRSASTSRPRTRISSPSSRPSASAARSPARTTPSSTTSASSTSTPPSSTRSTPTTRRRATSTSSARGSSRRAARSGRCGWRRAARGTAPAPFTRWXRAASPAAATTSAIRKPSCSTSVSSATRRPSKPTGPFRSSPGSSAPRTRYKSKIKPRFIPTFHLLVVRIELIEFILISFGWCKFVPNFDSEFSXTLICKKVPDLAVYRLYKMRKEGRETPADLAADEAAAAAAMNNRGQQASAAAMALPPPATGLPGGRMMSMADKANMASTSKAYATSQSSSSQLQQGAAAVAAPPNAAGPSNWAPRPCNCRECAPAAGQYGYLASMVPRPSLDRKGKGKAPMDCAEQAGGGGGGCHAESTSTPAPPKGAEYYGCSVAVEDDDEELLKFLQAMVRGEEVEGDGDHAMADERGPQQGSSPVAAAAASGSAPAGHDGRRGSLQGGHHGSSSPTSLAAAAATGDDVTSAVSGSQQEDHPAR >ORGLA10G0084900.1 pep chromosome:AGI1.1:10:11420934:11422225:1 gene:ORGLA10G0084900 transcript:ORGLA10G0084900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEGQNKFAGVRFLPKDLELLAILDAKLRGSPLGPVEAIFHDTQILDFHPYKLYEMYAEDEEEEGYIYFFSTMQFRCRKIVERAAQGGRWKVNNCETLEVGSVAVGRKFTMNFYEHIGGDNDLIWTNWGMQEFARIIGPNKELADLALYRLYKKKITRGTGEEKPEDIAAASDGDTESSMNKRRRVEASAAAMALPPPPPSPGLPGTMMFMAADQANVASTSQEWHGQFANGAAAAPSPSGCWPWAPPPTPSAVEPFSFWASASAATPPAAANYHPSPPPPPPQSGEYYSRHGAFSAAPVPASACSTPSPEAVTSCLLTSPLPAAGTEGSDSQQQEPPCELMEF >ORGLA10G0084800.1 pep chromosome:AGI1.1:10:11414306:11415776:1 gene:ORGLA10G0084800 transcript:ORGLA10G0084800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GREREEQARVPARLPLRAPGTRSSSNILDDKLRGAPLDRALDAVFHDTRILDFHPAKLYGMYAEDEENGYIYFFSTIEFKAAKPKQKKWPRRAAQGGRWKAVLGSSQMVEVGGVPVGRKLSMEFYVKGVRTNWGMHEFVRIIGPNIEVADLAVYRLHKLWTNGEEKPGDLAADVAKSTNQSGQASAADYYQTYQNAVSQAYAYAPPYVLQPGWSQGYPYDVAAAPPTAPWPVCWAPPSAPGSYDCCYASTFSRPPPPPPIAASTLDKAPITSTDHGASTNTSAATPAANNKPPPPPVAATATTLGKKGEGKGKAPTTTSTDHAGSTNTSAPPAANYQPPPLQGTQHVFASGVVIGHEDEEGYLVVDEVNTWRNTQQLVLEDDDDGRAAVAGAGEGGASASGR >ORGLA10G0084700.1 pep chromosome:AGI1.1:10:11411806:11412564:-1 gene:ORGLA10G0084700 transcript:ORGLA10G0084700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTARTRRSPPAERFLGMFTSPTPSLPTSPTAAGDELLEGDLLFAPAPSSDPPPPPPPDPSGKPARVPGGHVGLLAALHEGDRRLSGRGGAAAVATAGAAGALLRRKATIAAAEAAASSSAQTQSPPSAARAIPSAPRVRFHLPEQPPAVPYHQSAPVKVPVRPPPPRRSGWDHLAGVPGDRYDDDDDEELLRGDAAMLPPHEMVARASAGGGFGGPVKPSSMLEGVGRTLKGRDLRRVRDAVLRQTGFLD >ORGLA10G0084600.1 pep chromosome:AGI1.1:10:11405259:11408890:-1 gene:ORGLA10G0084600 transcript:ORGLA10G0084600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADNESGKSVMSEVRTSSGMFLEKKQQDEVVARIEERIAAWTFLPPDNGESIQILHYQNGEKYEPHYDYFHDKNNQALGGHRIATVLMYLSDVGKGGETIFPEAEGKLLQPKDDTWSDCAKNGYAVKPVKGDALLFFSLHPDATTDSDSLHGSCPVIEGQKWSATKWIHVRSFDISVKQGASTDGCEDENVLCPQWAAVGECAKNPNYMVGTNEAPGFCRKSCNVCAHCRIEWNTYALAYV >ORGLA10G0084500.1 pep chromosome:AGI1.1:10:11403985:11404956:1 gene:ORGLA10G0084500 transcript:ORGLA10G0084500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHGVAWRGVAWQEGYIVPRSPAVEAVPMDKLPRPVIFHDGRLARRPTPLAALLAVLWFPVGFALACVRIAAGALLPMPWVYYAFWALGVRVVVRGAPPPRAERAAGRRGVLFACSHRTLLDPIFLSAALGRPVAAVTYSLSRLSEFLSPIRTVRLTRDRAADAAMIGELLDEGDLAICPEGTTCREPFLLRFSALFAELTDEVVPVAMESRMGMFHGTTARGWKGMDPFYFFMNPSPAYVVTFLGKLPPEHTCGAGGRSSHEVANYIQRLIAATLSYECTSLTRKDKYRALAGNDGVVDNATGKLPSPATATANNSKDKAC >ORGLA10G0084400.1 pep chromosome:AGI1.1:10:11398403:11399320:1 gene:ORGLA10G0084400 transcript:ORGLA10G0084400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLPSTLSLSLSLSLRRAWTSSGRWRRRAGNGRAVGGGRACGWRDGRRAAPRRVLEEAGSAEDELRVNGDEATKAVALLLLPSLEMMVRLCFYRWPPSIFCCALYFLSRSHDTGGDADEAEEDYEEELRASIRLHRWPPSPPSLAIDAKREREREREGERREAAGMGLGRDWCEERDDMWA >ORGLA10G0084300.1 pep chromosome:AGI1.1:10:11380971:11381093:1 gene:ORGLA10G0084300 transcript:ORGLA10G0084300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAGCSVVAQRRSDEQTRQPGDEERAAGGEGEELADQAIPP >ORGLA10G0084200.1 pep chromosome:AGI1.1:10:11377566:11377982:-1 gene:ORGLA10G0084200 transcript:ORGLA10G0084200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTIIGRIYAGQPSVVEKQQRTTSSRLGIGSARARADACNDDDAWCLRRWIERRGVNLAAEGARRRRRLDWGGRRCGGCRQAGATGRLRRWGGVRHGGERDGSAREDGSDDGEGGKGERTDRGCGRLRGLRRRGSGG >ORGLA10G0084100.1 pep chromosome:AGI1.1:10:11363259:11367478:-1 gene:ORGLA10G0084100 transcript:ORGLA10G0084100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVRVHLPSEIPIVGCEITPYVLLRLPTGVVSTDDVPEAAPADGYFMRYRWYRIQSDRKVAICSVHPMEQATIQCLGCVKSKIPVAKSYHCSAKCFSDAWQHHRVLHERASSALNENGAEEEELFGRFGSTGSGVLSTTGSGSISNLGQSPGLNNGPVPLYPSGTDKNSGETWYEVGRTRTYTPTADDIGHVLRFECVSVDAEKKVPVGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLSHLDLDSQTSFGTFSVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFSPELDKHGYQALYKKRTTEVYTGAPHAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPSTQRRVALSRLIKDNVALIAVLEAKFGNHGTDNPGKRQLLCVANTHVNVHQDLKDVKLWEVQTLLKGLEKIAVSADIPMLVCGDFNSVPGSSPHGLLAMGKVDQLHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTADSLSVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRR >ORGLA10G0084000.1 pep chromosome:AGI1.1:10:11347949:11359336:-1 gene:ORGLA10G0084000 transcript:ORGLA10G0084000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aminophospholipid ATPase 3 [Source:Projected from Arabidopsis thaliana (AT1G59820) TAIR;Acc:AT1G59820] MVRVATARLGGEPSPRGGAGNSAFGRGESSRTARLGGGGASLRRQPQPQAPSVRTICCNDREANAPVGYKGNSVSTTKYNVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDVLQGQKWETTPWKRLQVGDIVRTANLDGETNLKIRKALEKTWDYKNPEKAFEFKGEIQCEQPNNSLYTFTGNLIVDKQTMPLSPNQVLLRGCSLRNTEYIVGVVIFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFTMCVIGAIGSGVFINEKYFYLGLRGKVEDQFNPKNKFVVTILTMFTLITLYSTIIPISLYVSIELWMQMIKFIQCTQFINNDLHMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIAGEIYGTGITEIEKGGAERAGIKIDGDEGKRSGAAVHEKGFNFDDARIMCGAWRNEPNPEACKEFFRCLALCHTVLPEGEETPEKISYQAASPDEAALVAASKNFGFFFYRRTPTTVIVRESHVERMGSIQDVAYEILNVLEFNSTRKRQSVVCRFPNGRLVLYCKGADNVVYERLADGNNDIKKISREHLEQFGSAGLRTLCLAYRDLSREQYESWNEKFIQAKSSLRDRDKKLDEVAELIEKDLVLIGCTAIEDKLQEGVPACIQTLSAAGIKIWVLTGDKMETAINIAYACSLVNNDMKQFIISSETDVIREAEDRGDPVEIARVIKESVKQSLKSYHEEARGSLISTPGQKLALIIDGRCLMYALDPTLRVDLLGLSLICHSVVCCRVSPLQKAQVASLVKKGARKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRYLTDLLLVHGRWSYLRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIMVGLFDKDVSASLSKKYPKLYQEGIRNTFFKWRVIAVWAFFAFYQSIVFYYFTAAASRYGHGSSGKILGLWDVSTMAFTCVVVTVNLRLLMSCNSITRWHYISVAGSITAWFMFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTLLLVPIIALFGDFLYLSIQRWFFPYDYQVIQEMHRDEPHEYSRIQLPETSHLSPEEARSYAISMLPRESSKHTGFAFDSPGYESFFASQQGVGVPHKPWDVARRASMKQRQKTGGS >ORGLA10G0083900.1 pep chromosome:AGI1.1:10:11344248:11344673:1 gene:ORGLA10G0083900 transcript:ORGLA10G0083900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALEVEEIRVDKETMEMLAALGMADLPGVERQQEVSAPTYSRPPYGGPRRDRV >ORGLA10G0083800.1 pep chromosome:AGI1.1:10:11340499:11342561:-1 gene:ORGLA10G0083800 transcript:ORGLA10G0083800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKQIAVISEKLNAHLALFSSVGKEVAAVKQVLGNVKCLVGDKENVVSDLKGKVEKISVLEKDFVEKLRFFEEKINDYQLELWNRARLIYELRERLEAEKLNNKFQPKLEEISISTELKAMLMELHNMEIALHKFQDIFDSIGHEVIKRSSPVSNSQDVTEDVNREKLESIPGSQCEPANEHTVIPVFDEAATTPNIEGQSEIDPGRKQVQSQSSLMHSALPSPEPANANAETADCLHGSEDIDMDNSSPGR >ORGLA10G0083700.1 pep chromosome:AGI1.1:10:11336543:11336740:-1 gene:ORGLA10G0083700 transcript:ORGLA10G0083700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >ORGLA10G0083600.1 pep chromosome:AGI1.1:10:11332791:11335702:1 gene:ORGLA10G0083600 transcript:ORGLA10G0083600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPAMEDNMGRLFIRSLSFDDDMEAAADDSPSTSPMPSPSATLLPAFGSGGKLIIEGSLSFKRREADPVQMETMISIRSPKSDRESCSSKPNATAGASRSALAGDQTPEDSPVIAGVASPKHQAAAVRLQKVYKSFRTRRQLADCAVLVEQSWWKLLDFALLKRNSVSFFDIEKPETAISRWSRARMRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYHRWLHCEINQPFFYWLDVGEGKDVNLEEHCPRWKLHKQCIKYLGPKERESYEVIVEDSRLIYKLSRQIVNTTKSRKGSKWIFVLSTCKTLYIGQKQKGTFQHSSFLAGGATSAAGRLIVEDGILKAVWPHSGHYRPTEQNFQEFMNFLKERNVDLTDVMLNPSEGEDDAEFSLKSSHSRQDLTELCEPDMQEHEEQVTQHHGADETKTSSDAPTMTSTETMASTPAIRKSTSANKLQGKRPPRLLISSNNTELPATHCNGRPSPVHKDIDEDSTMFGECLAFCKKNLFAEEGNEEDELVEVPEEMIMNRINCKKATKSYQLGKQLSFQWSTGAGPRIGCVRDYPSELQFRALEEVSLSPRGTRSTRFSSPRPKPLTPNSIPVARFGCSPTAQGDNMGLKPRQRCATWTAF >ORGLA10G0083500.1 pep chromosome:AGI1.1:10:11319765:11320514:-1 gene:ORGLA10G0083500 transcript:ORGLA10G0083500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLATLAEEPGGGEEAARARRKTGLHAALHRWARARIPLRFLSGGGGGGGVGGGGGGGRPSPDLRVLLSVLACPLSPVPVLPRHPRNVASSAQYILEQFRATTGCAKIEGAAKSMYAAGRVRMAMAPEPGGGIGIGGGGGDGHEGCFVVWQLVPDMWLVEMAVAGHAVAAGCDGRVAWRRTPWLGAHAARGGGARPLRRALQVGTPHVPAIWPF >ORGLA10G0083400.1 pep chromosome:AGI1.1:10:11316138:11316824:-1 gene:ORGLA10G0083400 transcript:ORGLA10G0083400.1 gene_biotype:protein_coding transcript_biotype:protein_coding HNXLYFRMDXVEYRQCICTNEKRPRVHADEVSVLTIVHHVGEKAVDGEDCFALRLDVAPSVLSAWGDGAAEVIRHGMTGYFSQRSGLLARLDDSQLTRIQTPGAPAMYWETTVSSRLGDYRAADGAVVAHAGTSVAHLARFGADVGAARAVTRMEEAWTIDDVAFNVAGLCPESFIAPEEVRSGGGGGSSSRRYDGGGGAIAKKK >ORGLA10G0083300.1 pep chromosome:AGI1.1:10:11305813:11306127:1 gene:ORGLA10G0083300 transcript:ORGLA10G0083300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPAENKREGWSAAAPMAPAASRGGSDGDDGAGGFPRPDPPAATASRGGSDGDDGGGGCHSYDILGKLPSSPGRDGRRRCRSEPDSSPPSKLLPTLKLLEDPS >ORGLA10G0083200.1 pep chromosome:AGI1.1:10:11298560:11299677:1 gene:ORGLA10G0083200 transcript:ORGLA10G0083200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSRFAVVARNFLAVGTKHDGRQLVFKEVHHEECAKQINGKYHTNFTSRQVYHKLKAQWKAKDKRAKFINIPIRWYDEMEFIFQDKHATGEFNVLQTPYDHPMTENDDFIGDKNGSPGDVDPSSNYDSDCLPDQENNTGSSSSSRRAKGRKSDKGKRVRTDDNVVYEITGATDNMSETMCFTHMTHPNESLFKIIDEMTEYPVMVRLELQTYLATHENIAAMLKGRPLDSIKEYVAQWIIQNYPAAM >ORGLA10G0083100.1 pep chromosome:AGI1.1:10:11286787:11289102:-1 gene:ORGLA10G0083100 transcript:ORGLA10G0083100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 38 [Source:Projected from Arabidopsis thaliana (AT5G06620) TAIR;Acc:AT5G06620] MFHHLRRRLLCTAAAPPIRVALTESSGRGVFATRPISAGEVLHSAQPLVSHPSPPLIHEVCYSCLRRKSGSGGGSSGSCYFCSDACREHAKGFHGVEKKADWSLFDDHCSSRGLKYPYMAKRLACMVISGAVSADCLDILQPARLHQGTLTEMEEEFALLDSTFRKAGFQEEITTFLTKEWYINVLARIRINAFRIELVASSYEDLLSSAVASVSCDAAVGNAVYMLPSFYNHDCDPNTHIVWLASADARLKALRNIEEGEELRICYIDASMDVDARQRILADGFGFECRCQRCLSGD >ORGLA10G0083000.1 pep chromosome:AGI1.1:10:11281112:11285737:1 gene:ORGLA10G0083000 transcript:ORGLA10G0083000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:I1QUE7] MEESVGSRGGGGGGLDAQIEQLMECRPLSEPEVKTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIDNLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >ORGLA10G0082900.1 pep chromosome:AGI1.1:10:11262629:11262898:-1 gene:ORGLA10G0082900 transcript:ORGLA10G0082900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALPAAENSVCSGGVGTLGQELGGGDNGGQGMRRRGDFSGIGGGGEGWCGGGGEVVQRRRMGRDLGAAIDEESDSRTCAKETRISRG >ORGLA10G0082800.1 pep chromosome:AGI1.1:10:11254045:11257018:-1 gene:ORGLA10G0082800 transcript:ORGLA10G0082800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAARASQSAAPPPPGAGVRVRAPLVESVSCYCRLDTGLKTVVDARKFVPGAKMCMQPDVKPNKRKSRGSRKERSRTQAPLLPGLPDDLAIACLIRVPRVEHPNLRIVCKRWNRLLSGNYYYSLRKRNGMAEEWVYVFKRDREGKISWHAFDPLHQLWKSLPPVPAEYSEALGFGCAVLSGCYLYLFGGKDPLRGSMRRVVFYNARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLPSAEVYDPNRNRWACVAEMNNGMVPFIGVVYDGKWFLKGLDSHRQVTSEVYLPSSNLWSTIDDEMVTGWRNPSITFNGKLYSSDCRDGCKLRVYDPNTGTWAKFMDSKHHLGSSRAFEAAALVTLNGKLCIIRNNMSITLVDISDPTMSIETDSARMWETVARKGQHRSFVANLWSTIAGRNLKSHIIHCQVLQV >ORGLA10G0082700.1 pep chromosome:AGI1.1:10:11219606:11219830:1 gene:ORGLA10G0082700 transcript:ORGLA10G0082700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSTRSPGSPAPATAPHTIQVPAAVLPHPAPHHRTTPPSKPSPPRAHPHRGGLTFVPTCDGRLHPLLREERYT >ORGLA10G0082600.1 pep chromosome:AGI1.1:10:11195070:11196422:-1 gene:ORGLA10G0082600 transcript:ORGLA10G0082600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLFSLILLLITALSLPFPLHASSIDPFSAGATAVRYWNRKIPNNAPHPDFFLSLLSPLPASVSSSLSSPLSISPSICRSARLLCPNSTYFQSLSSTVFIDGCTLSYTCTFTYEHTNIIVKPGIFFREQELKEGNVVRMPDIANELTTARSSFLPRSIADRIPFEAEAVKSLFGLEPNTTLAKAVDETVAQCQSSPSKGETKRCVTSAEDMIDFAVAMLGDDIVVRSTVLPNGPGESIMIGKVKGINGGKITSSVSCHEYLFPYMVYYCHSVPKIRVYEAEILSVQTKEKINSGVAICHIDTSAWNAGHPAFVALGGKPGQNEVCHWIFNGSMTWVIADKS >ORGLA10G0082500.1 pep chromosome:AGI1.1:10:11091908:11095291:1 gene:ORGLA10G0082500 transcript:ORGLA10G0082500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMATSAAAAAGEGTSGGGAVPEAGGPEIAFFDVETSVPQRAGQGYALLEFGAILVCPRRLVVVGSYATLVRPGDLGVVSAASVRCNGITRDAVAAAPAFRDVADAVYSVLHEFSIGRVWAGHNIVRFDSARIREAFAEIGRPPPEPKGMIDTLPLLTQKFGRRAGDMKMASLANYFGLGRQSHRSLDDVRMNLEVLKYCATVLFLEASLPGVLTVENLVERAITRSQANGAASPEVPKPVARSSPDSSKRQRTISRVDNAIQAGGNQQLIDPATNKEPIELISNIEEMTLGSGIQIDASSSGFSGFLEPDDVSTESIQISVPSSYRLTRKTSIKHKGSPIKLCCAGLRIQFGVSTKFLDSAGRPKLNILVDIPENLSKILEFCDGIAQKSSQDSGSTSEWRPLIKKYGYVNRPTVRLHIPTIVSGEAATYGTDIYQKEASGNIQKLVFSKVDVAELDSWFVRGNTVDAFFSLELYDYEQNAGIRLVAKKLVVQSK >ORGLA10G0082400.1 pep chromosome:AGI1.1:10:11083832:11088720:1 gene:ORGLA10G0082400 transcript:ORGLA10G0082400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKTIAYMSMEEGEGSSRAMVEEEPEIVFFDVETSMPWGPRERRTLLEFGSIFLCPRQLVEVAEPFVTLVRPSDLGVVTEVLERKGITRGALEDAPPFYDVADNIHNALHGRIWAGHNIISFDSEIIREAFAEIGRSPPEPKGMIDTLPLLTQTFGRRAGNMKMANLADYFNLGPQIHRSLYDVRMNLDVLKCCSTVLFLEDNFPELLSGGFLNPNDISLEFIQVSISFSSCLGKRSLTSGLRTNSLPYQFEWSLCIEHNDNPLQLRCIGLRVRYEVCLYQDSEGRPNKLSIVVDIPENLRQVLEFCDEIAEITFRKFGSNSEWRQVIKEYGNRPSVRLNIPIVGSGDDATYATEIYLKEASGNIRKKDFSKADVAELEFMFFRGDMVDAFFSVELYDYKNNAGIRLVAKKLVVHCR >ORGLA10G0082300.1 pep chromosome:AGI1.1:10:11079738:11080123:1 gene:ORGLA10G0082300 transcript:ORGLA10G0082300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNNASPVARDPVRRRATCLRPLSLLPNGSLLIAYTCNGTTLSFLVEARRHERRRAWAGGLQVRASNLVDRIAVRGCQHPDFVALKGNIYRRMWQLELSTSRA >ORGLA10G0082200.1 pep chromosome:AGI1.1:10:11077807:11078689:-1 gene:ORGLA10G0082200 transcript:ORGLA10G0082200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRRGLEHLERRYAFYAAYHSNPANVLVHAVCVWPILLTAMLPLRYAPPLPLLRFYCPLCRQYLPVQLGFPVAVALGAYYSLMDRRAGAAAAALCVAGWAAGTLLADAAGLWTFRDAWRPLLTAQAVLWSAQFFSHAFFEKRRPALVDGPVQAVVTAPLFVFIEVLHRLFGYEPTPGFYKRVQARVAAMHNGPPAPAPAPEKKEEEEKENVSKATQEESAEKDS >ORGLA10G0082100.1 pep chromosome:AGI1.1:10:11075427:11077081:-1 gene:ORGLA10G0082100 transcript:ORGLA10G0082100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASSGWLRRAAGTVPRIPCGLVTALVPTPPPPAAAVVSEAPALALPSHAAAAMELMAVPKKKVSKYKKGLRNGPKALKPVPVIVRCKCCGRVKLPHFYCCSGERGNPGSESS >ORGLA10G0082000.1 pep chromosome:AGI1.1:10:11070694:11072824:-1 gene:ORGLA10G0082000 transcript:ORGLA10G0082000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1P6J8] MAQQQPRRVLRVAPPGRGGARAEAERGEEGEAVFATVQAAVDAVPVGNRVRTVIRLAPGTYREPVYVAKAKNLVTLSGEAGSPEAIVITWDNTATRIKHSQSSRVIGTGTFGCGTVIVEGEDFIAENITFENSAPQGSGQAVALRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRDCYIEGNCDFIFGNSIALLEHCHIHCKSAGYITAHSRKSSSETTGYVFLRCIITGNGEAGYMFLGRPWGPFGRVVFAHTFMDRCIKPAGWHNWDRSENERTACFFEYRCSGPGFRPSNRVAWCRQLLDVEVENFLSHSFIDPDLDRPWLIQMMAIKVPVSA >ORGLA10G0081900.1 pep chromosome:AGI1.1:10:11066044:11067267:1 gene:ORGLA10G0081900 transcript:ORGLA10G0081900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRNGDVTVTYEHQLFGRRRNVAGGGGGFATYLDLVREEGDAGKMPPRRPLPAPPPHGAASRRRTYADGELDVFAAERYFKGAMDGADGYNKVDLASPVMAAAAARPAVAVSRPAPWTTRASVASAGSSGSTANSQAVLLREQRRRDKCCAHVGGILRSCSGKRSVHVGGAAVAATEPAGDPGDELPPATASRIEWYRDLRLDKAGDGVSHGGVVAAGLPPNLNSIGAARVAAIGREEATAATSEYSSSSFRSNFTLLAPVKVTIPASGGDDDDDDVGSESSSDLFEIKSLMIDDCRGYEPSEASVQWSVVTASAADVSVAASGRGGGGGGGGKGRPAAAVAVRQQQHRRQADRPVGLLAGCVSHRAVDVSAVAAVRRPPPPPGAPATATRRRSDLSRFAHSGHL >ORGLA10G0081800.1 pep chromosome:AGI1.1:10:11063199:11063420:1 gene:ORGLA10G0081800 transcript:ORGLA10G0081800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFPWLKAIKSSPYNYVRTWRNMHKQSNLPTFHRKIRKLVNVHMSPLTGGFASGQRNIQPPVGKTTGFWINGPF >ORGLA10G0081700.1 pep chromosome:AGI1.1:10:11057676:11062340:1 gene:ORGLA10G0081700 transcript:ORGLA10G0081700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRARRTPRLPLLSPAKRARRGGGASCGGVTGDADGLRALSARADRALPALLRLLASAGGELGTGGAAADSLVNLSQDGALSARLVSLGAVVAAMDVVAKRGGEQPGLARSLVMLLANLTQVDSGVAALLQVVGDEKMQGLYVAKLVRSFCRSSSESEEEDIFEHVASILVNISKVEAGRRILMEPKRGLLKQIIRQSDSTNQLRKKGVVSTIRNCCFEADTQIQNLLSLAEYIWPALLLPVAGKKIYSEEDRSKMPPELANALSHEREAVENSEIRQQALEAIYMIVLQDEGRRAFWSVNGPRILQVGYEDEEDPKVMEAYELIGSLLNRDVLFLARFVPK >ORGLA10G0081600.1 pep chromosome:AGI1.1:10:11043877:11044149:-1 gene:ORGLA10G0081600 transcript:ORGLA10G0081600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTRAEALSLFRSLLRTARQFSDYNIREYTRRRAADAFRENRALGDAAAAAAVFADGKKQLEVAKRQAVVYSLYAPKAKSIMEMKLQ >ORGLA10G0081500.1 pep chromosome:AGI1.1:10:11034960:11039358:-1 gene:ORGLA10G0081500 transcript:ORGLA10G0081500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLPAAWAAAVRAGAVAPALRAAVWACLAMSAMLVAEAAWMGLASLAAAAARRLRGYGYRWEPMAAPPDVEAPAPAPAEFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPFVKELVELECKEWASKKINIKYEVRNNRKGYKAGALRKGMEHTYAQLCDFVAIFDADFEPESDFLLKTMPYLLHNPKIALVQTRWEFVNYNVCLMTRIQKMSLDYHFKVEQESGSFMHAFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRASLKGWEFLYVGDIRVKSELPSTFQAYRHQQHRWTCGAANLFRKMAWEIITNKEVSMWKKHHLLYSFFFVRRAIAPILTFLFYCIVIPLSAMVPEVTIPVWGLVYIPTAITIMNAIRNPGSVHLMPFWILFENVMAMHRMRAALSGLLETARANDWVVTEKVGDQVKDELDVPLLEPLKPTECAERIYIPELLLALYLLICASYDFVLGNHKYYIYIYLQAVAFTVMGFGFVGTRTPCS >ORGLA10G0081400.1 pep chromosome:AGI1.1:10:11020946:11023095:-1 gene:ORGLA10G0081400 transcript:ORGLA10G0081400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGDGLIKLFGKTIPVQPDAKDVQQHSGSSSSSTESDVQETAAVAVADPSPRSEVVDGESPPQPGGEAASHQQQQKEMKLKKPDKILPCPRCSSMDTKFCYFNNYNVNQPRHFCKHCQRYWTAGGAMRNVPVGAGRRKNKNATAAAHFLHRVRACAAAAAMPAAPHDATNATVLSFGGGGGGHDAPPVTLDLADKMTRLGKEGLVAHARNADAAAACSEVSSNRDDEQIGNTVAKPTNGLQQHPPPPPHHHHSAMNGGGIWPYYTSGIAIPIYPAAPAYWGCMIPPPGAWSLPWPATVQSQAISSSSPPTSATPSVSSFTLGKHPREGGDHEARDHHGNGKVWVPKTIRIDNADEVARSSIRSLFAFRGGDKADDNNDDDGTGVHKLATTVFEPKRDGKTAKHPAITSLPLLHTNPVALTRSATFQEGS >ORGLA10G0081300.1 pep chromosome:AGI1.1:10:11012855:11014308:-1 gene:ORGLA10G0081300 transcript:ORGLA10G0081300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPIFSHRNPRREGEATGCFPTSSLLAIVDKEDEQQSGGERGVAVGRCLEVFVLAREFCEQAYGSSITYGYERLI >ORGLA10G0081200.1 pep chromosome:AGI1.1:10:11008548:11012721:-1 gene:ORGLA10G0081200 transcript:ORGLA10G0081200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCCVASRPHGASTASREWSSIGRSDPLWRTNAGFSPPLSRRWEYRINSEGLSYGSQGDSGAAAHYGSSLSSNSKEPSRSWERSDVPPDHHRYSTSEGAISYFNSPDVTFQNHHIMLPMLQDSGIDEYMRVSVAEPIGALLLSEGISGQQNSGGSTSSRSDGSEYDIVPKSYSSTPRNFPSRRSFLSKPIHPLSFPEHALEGQETDSPVANASTSSPMPSEFKAIGEIRPSGLMDYAYASGSHGESANWSAASSMDLTDLSERHDAERSGPLRSNNIMDRTRCDLCERLLSKRSPWGSRRIVRTGDLPVAGVLPCCHVYHAECLERTTPKGQKHDPPCPACDRLSGKDTEQWSICRLRNGFPRLRSLGEGPSRVWSCAQAGDCVAGAVQIPRASSISLLSRSGHKRHHAASKGESGKDWAETSSSSRTACM >ORGLA10G0081100.1 pep chromosome:AGI1.1:10:11005676:11007061:-1 gene:ORGLA10G0081100 transcript:ORGLA10G0081100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKTPCLNERILSSLSKRSVAAHSWHDLEIGPGAPQVFNVVVEITKGSKVKYELDKKTGMIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQEPVIPGCYLRAKAIGLMPMIDQGEKDDKIIAVCVDDPEFRHFNDLKELSPHRLAEIRRFFEDYKKNENKEVAVNDFLPPATAQEAIKYSMDLYAEYILHSLRR >ORGLA10G0081000.1 pep chromosome:AGI1.1:10:11001018:11001701:1 gene:ORGLA10G0081000 transcript:ORGLA10G0081000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGTGDDDDVHARVKYKGVARTADGRWRGFITNKFGRRYGVGDHGTPEEAALAHDRAILAILGAHASPAALNFRAAFSDTELRFLRGPHAPSRRAAGVVAAVMRGAAFDAALERFAARAYDAHTDPELALDVAAFRLATRDAGTPLAQPDAERAAFVAAARNKVHDAAWLRSYLRRRRLVGATFEDENRWPPVVLPAVADVGDGFAGNELVYLPYGSCHVDEMMI >ORGLA10G0080900.1 pep chromosome:AGI1.1:10:10987160:10990331:-1 gene:ORGLA10G0080900 transcript:ORGLA10G0080900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:I1QUC6] MALKSPVDFAGSITSGQKDPCCFGVPGCNPRCVRYNKKSRTCRLVTRAISVDRPQLDFSNSDWKKQFQEDFDRRFSLPHLKDVIDVEPRPTTFSLKSRTPLENVNGSMQGSWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWVEQWVHRAGPRKQIYFEPQYVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLAEVPLNRQVVQNINLAGGSFLGVSRGGTNISDIVDSIQARRLDMLFVLGGNGTHAGANLIHEECRKRKLKVSIVGVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGPNGVLQHLEHLIETKGFALICVAEGAGQEHLQQSNATDASGNMILGDIGVHLHQKIKAHFKEIGVHSDVKYIDPTYMVRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGICNTHNVYLPISEVIKSTRFVDPNSRMWHRCLTSTGQPDFH >ORGLA10G0080800.1 pep chromosome:AGI1.1:10:10980970:10986399:1 gene:ORGLA10G0080800 transcript:ORGLA10G0080800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELTNIQKMDNASLQVSPEAANHGGSAKEVPKPVPAKVSVSQPDDNAIEQTGTFSFGTRREQDSHLDQLDRPPLVSSQGKRQVESADKNKPNSEMLRMKLWEILGGTSQNKEAVASPNPEDIETPCQPKSQIANGPSSGRQKVFTSPVPYNIKTPAQFNSQTANKPSSDPIESDSDGPQVVEVRPITRSLGRKKAPTGSTHQDKSGSAKKPLSTHRSTPKQKILDNVFAFNDKCTPKTVGKSANGESGSLRNLRSLSRRAKVEPKKAHCSDRISDKTTQDDMERKVPSKYIPSEKKGEKTNSFSSLSRTGKTAESCSRSPKRERRVNTMANVGARKMQLSENLLVKTLNDGEHKLSSPQLTSFKSKGKCSSISPQQKENDNTHIPEASDRTAARNIFNSTPSPAANPSPVLRKYSWEHDKSPAINGKSGQKDASPLADRFSDMPDDFASPTFAANIKISPHRSKMLDDDLFSSKYPKGVNRSRSTSFTSDPESEPLDKMEKTNELPGSESPNSQEERQNRKQPHLSPLSPIESEGAQISIPSFRKGYKSHKWLSDVDSPDKSSIEHLGRKSHLKEGRKGKRQLTSPTHFATSGTQETMSDKEPEKVPENYLTRAFDQLVVVLGRFQTKIKSETRNKSSKILAATGEIIRQHLEGVEGQMQADVDKLVNAGKSKRKRLESTFEEQQEKLRILHEKFKEEVNQQLLGCKNSVEDFEAYHAELKGVADKQKASHKKLLQNAEKTVGAQLSDAETKIAEVQKRARKRMKGLKFVLKELIAETAE >ORGLA10G0080700.1 pep chromosome:AGI1.1:10:10978618:10979073:1 gene:ORGLA10G0080700 transcript:ORGLA10G0080700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFVLTVSAVWGRRSSAAVAKVAALVEAAAVVDEVEEAAGAATVLAMVAALAEVVVAVLAEVATLVEAAAGVEARWLPDLRGARRCSRRWWRSWTSGDAHGGGGGRGGGGGGGACGRAEVAAGVDEAAVLREAATLADARRSSRRWRMAR >ORGLA10G0080600.1 pep chromosome:AGI1.1:10:10971656:10974058:1 gene:ORGLA10G0080600 transcript:ORGLA10G0080600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKEEEQHGGGGAVGWMTVPAFGEWDMKNGAVPDYSMDFSKIREMRKQNKRELSRASLGGDDDLLAAQQHKAAAPQPAPNASAAADDHRRPLHAAHDDSPTGRKKFLSYFQCCIRA >ORGLA10G0080500.1 pep chromosome:AGI1.1:10:10962102:10967138:1 gene:ORGLA10G0080500 transcript:ORGLA10G0080500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTAAAAAAAPDTNNAADPSKAASKTTYSSYPSTTKSGSSWTVPSYKDRSDLPTPRTEGEILSSSNLKAFTLSELKNATKNFKPDSLLGEGGFGYVYKGWIDEQTLAPARPGSGMVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHENLVKLIGYCSDGDNRLLVYEYMPKGSLENHLFRRGADPLSWGIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYVATGRLSVKADVYSFGVVLLELLTGRRALDKSKPASEQNLVDWTRPYLGDKRRLYRIMDMKLGGQYPKKGAHAIATIALQCIRSEAKMRPQMSEVLEKLQQLQDPKYNVTSPQVDTRRRSSSGSVPRSPMRMQPSPRRLSASASPLPAAGSPLPACRTAQVH >ORGLA10G0080400.1 pep chromosome:AGI1.1:10:10949979:10951423:-1 gene:ORGLA10G0080400 transcript:ORGLA10G0080400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMVDSSGGGGPSFSGHSLSSPDAESAKPEPPHDSGARASLEQVTVDHTKPPDSGSAKPVTVDHKSATSDSSTGTESDGGGEPSSASSSLPAAAHPFARALAVVDGVASVAVSSDELATNEEGSKIDGEQKPPVPTNFAKFVGRSVTSGLDDACMVSVIDRAFSAWQDESKFGLVARQDPPPRGPMALLLRRRGAPRERQVHPFRVIAGVGGGVATIDVLGFGSGCGGGSAVWGAGERPRSRTCAAPHSSMPRSGPSSASPLWRPPAAGAAVAALRSSSSGLPRRPPPQPPDGLDRQEEKRRERGGGGRERDDLAT >ORGLA10G0080300.1 pep chromosome:AGI1.1:10:10942708:10944001:1 gene:ORGLA10G0080300 transcript:ORGLA10G0080300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAMASNGAAAGAMAPFFPPNFLLQMQQPLPLHHQHLQDHAHGGHGGHHLLPPPPPSLSPFLPDLAMDAPPPPMYEASGGDGGGGGAASEDEEDGCGGGGGGGGGEKKRRLSVEQVRTLERSFESGNKLEPERKAQLARALGLQPRQVAIWFQNRRARWKTKQLEKDFDALRRQLDAARAENDALLSLNSKLHAEIVALKGGAAAAAGGGGSSCRQEAASELINLNVKETEASCSNRSENSSEINLDISRPAPPPPPPVANESPVNRGIPFYASIGRGGAGGVDIDQLLLRGGHSPSPAAVTTPPPPKMELGITGNGGGADAAAAGAGSFGGLLCGAVDEQPPFWPWADGHHHFH >ORGLA10G0080200.1 pep chromosome:AGI1.1:10:10930161:10931687:-1 gene:ORGLA10G0080200 transcript:ORGLA10G0080200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYECTGRKAHGKFAMADGAIDSSEVQLSTNAHPSHTYTVRPSQIEVELRQELANFKRQRQEDCQSIQNALSEFNNQIKEYMMNGSASTPPPQINLAALFPSHSSPTTQQNTTDNSSRNVFNQIDGNNSGNCSQQDAGLSNNEQGDMGNNSENVVLQRMDGSTFRYSSQQTAPATNQGNSKRGRDGDYVDSEDDYADDGNYDDADETPDPFFSLFGI >ORGLA10G0080100.1 pep chromosome:AGI1.1:10:10916350:10917960:1 gene:ORGLA10G0080100 transcript:ORGLA10G0080100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKQTEGSSIQAAASVTPGGIERSFGLGGAEAPGGGGDLGGRMELMGEYCNNAPCKWLLDLGKGGAHDTNAHNLFDGMPSQSEMPKEDQRTSKPVPINSTMNKEEKWLDKALDRILEKFEQMEAKRMQEEKINQIFQKLEEIEVRRSKASEEIIEAIRATTAVLKAKSPTAPIAPPTPAPTKCLTECPNNNFTWATTSSSHIGEDTAPTATWELGDNKDKGHAPCIVAKDSPEVTPTMCSTKCSGPTIEPDLTMAIVVTSTTTVVASMELVAAGNAIGATDINNLDHPKVTHAKCSMSSSGVKRGTEQVMLAFPLMASPMEFMTSLVEPSPPTGLKLGDAICVGDQVPMKCSMKCTESDNKPLMEHPKRNPWPPAWSGWKKWYMTWTTINHHGMRFYFVPPWPPPSLVSSLAWVHFCIGALIFQRVVLSNEMVELEPWPPPHEASFRILPFQTSSRKVAIMSLL >ORGLA10G0080000.1 pep chromosome:AGI1.1:10:10912695:10913384:1 gene:ORGLA10G0080000 transcript:ORGLA10G0080000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTADLARVAGCWIRAPEAPAPSAAATPQGGGAASTSTSTSTTARLRPSAAYTSARHRSEGREVSLPAAVKGATPASRRSVTTAGRFTNASTDGTATNRFMAVELDAPKQRVCIAVCLSSLSLPPLSDPGADNPPSTNATESLSERRCAPRYDAANSANSSAHAASPVPAFDVNGI >ORGLA10G0079900.1 pep chromosome:AGI1.1:10:10905727:10911749:1 gene:ORGLA10G0079900 transcript:ORGLA10G0079900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDMELDGGGDGKGKAPPQISLSGLFLACMVAGGVQYGWALQLSLLTPYVQTLGIPHALTSVMWLCGPIAGLIVIVIGFSSYIGYALGDTTEDCKGPRYHAAAAFILGFWLLDFSNNTVQGPARALMADLSGRHGPSAANAIFCSWMALGNILGYSSGSTNDWHKWFPFLMTRACCEACANLKAAFLVAVVFLGLSTAVTMVFAREVALDPVAAAKRNEGEASGALAVFKGMKNLPVGMPSVLIVTGLTWLSWFPFILFDTDWMGREIYHGRPDGSPAEVTAFQEGVHQGAFGLLLNSIVLGISSFLIEPMCRRLGARAVWVMSSAVVCVAMAAVSVLSAWSLGDFGGSVQDAARAPAEEGGVRASALALFVFLGLPFAVLCSVPFAVTAQLAASRGGGQGLCTGVLNISIVVPQMAIALGAGPWDELFGEGNIPAFAMASVFAAAAAAAGVVLLPKVSVRSVSMAGGH >ORGLA10G0079800.1 pep chromosome:AGI1.1:10:10900661:10901044:1 gene:ORGLA10G0079800 transcript:ORGLA10G0079800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSRSRASSAARITDEQIGDLVSKLQALLPEARLRSNDRVPSARVLQETCSYIRSLHREVDDLSERLAELLAAADVSTAQAAVIRGLLM >ORGLA10G0079700.1 pep chromosome:AGI1.1:10:10882208:10889214:1 gene:ORGLA10G0079700 transcript:ORGLA10G0079700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDGEKASKLCKEAGGPSLDSNHAPTIVLALTAVPMGWDNRRRKQASTMMNARGRGARNGNGRNRGREGGRAHSDVVVGANTTVLATRRWVGLEIDSVPGDEGQRDIVNYYLRRATGVGSGERELAVVGTHRSNRRVTYVVHEPFLQSLKELQVAAVVGVERLRWKSRKDVVHWLNMLISDEMLNPLKLLVAMDSIKQCLITTVESSLRIFAYLAFADTNSVSSITDVTSNEVAICNNDGKDAKLANISPTKDSSSSTAGNDSGDFKWLGPESHSKKGKSYKSFWRRGFTFMVHDFVYIVVQHGNKLVAYVEELYEDNHANNMVHIRWFRTLNSAGIQLSPGVNDREILLSDNLQDIGVECIDGLASVLNEEHFEKFHAIANNTNRQPYLSIRHIDNNSNVKTFDIAQLQGYSEQEIFRTISGTPPVTGHPDASEGNKNTPRSSARGHHHHQTVENPTAGDETNVQATTINVLACNAAPTESASGLINSALEKYLEQYFSPGCLVECLSQDSGIRGCWFIGSVIRRRGDRIKVRYQHLQDPETPRANLEEWLLVTRTANPDTLRIRLSGRTRIRPHNMSERKNPSTISVGTVIDGWLYDGWWEGIVLKLVAMSTEFFPLSEFAGEKKMVLFRRDQLRHSLEWIGNEWKNFAHQEDIARRIPSAEDLRITVITAREVPTREEVMRQLEGLQTNKGGSNSAKPAAEKGSSSSATKKTTPDLIRHATNDLGSSNFKHVGVPASEEIRTDNKGSQVNLENVLKSDSLKWTEPLSPGAKWPSRQLPSTAAPAAAPHAQRSAAGRRRNRGVPLLLLGRRRHRVPTREGRRRRGGFSCGGANDGGGDVRADMRREGRRGLQHMEGEGVPREPGGGADSARRRSWGAVGWGGGAGSSAQRKDGGDGGASLAPSPPSSPTWLREAALSPESGGGWAGPAVELGGGDARAAAAEPRGRGNSLRHSGARGERQQE >ORGLA10G0079600.1 pep chromosome:AGI1.1:10:10868856:10869229:-1 gene:ORGLA10G0079600 transcript:ORGLA10G0079600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIGDSAAMPAXSIGDSATTRVSQAYENRINHGRGFRASGHNLEANRPTMAAAHTDPRSTMVSSQ >ORGLA10G0079500.1 pep chromosome:AGI1.1:10:10865617:10867898:1 gene:ORGLA10G0079500 transcript:ORGLA10G0079500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGATSSSMAGDEVAHRKPKRKRRAKSADEDASGDEPPESKGPNLTRCSAALASEACRVLSNTHHEILGEIGLDAVACMTLESLKKPDLIRXPTPTQCVFPLMVNGRFRSPLAPXSLXWGPHXADIILXSHLTRLCEACTTGSHKNWELHGMVGSQLKCXLKXSRTRKTTPRQFVSXSWFLCPSSYSQQPTFTYPRVMYGLPLTSIGSLPSIGRRQCSKHXATPSDVGDKTPHRQSHLVLYFWWFCIYLDNILDVRIRWVEVVNVRWWRWAWSXHVPKIFSEHRVICFHRGRQREWVWGRRWWLXHTKLFGGVHIYTSSRCSDVVLTDGAGTCSSELVALAE >ORGLA10G0079400.1 pep chromosome:AGI1.1:10:10840326:10840682:-1 gene:ORGLA10G0079400 transcript:ORGLA10G0079400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRASGRITDDEINELISKLQSLLPESSRRRGATSRSPATKLLKEMCSYIKSLHREVDDLSERLSELMATMDSNSPQADIIRSLLR >ORGLA10G0079300.1 pep chromosome:AGI1.1:10:10826053:10832978:1 gene:ORGLA10G0079300 transcript:ORGLA10G0079300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSAASPPPPPPAEQRRPDPVRFGIMGCASIARKLARAMLLAPGAAVAAVGSRSEAKARAFAEETGLLLRHAPRLHGSYEALLADPGVDAVYLPLPTSLHVRWATAAAAAGKHVLLEKPTALCAADLDAILAACDAAGVQFMDATMWMHHPRTAKMRELVADEATTGDVRVLHYYRMIALSPLDIPPYNLLPVDVINSLFSFRANEEFLQNDIRVKPDLDALGALGDAGWYSIRAILWAVDYELPKTVIALRNPVRNQAGVLLACGATLYWADGKIATFNCSFLTNLTMDMTIVGTNGTLHVTDFVIPYEEKSAAFNMASKSKFAELHIGWDPLPSKHVVSTDLPQEALMVQEFSRAITLQVSILKAQCSGLAGGRAAMIGSGRGSRVRVTRRQGN >ORGLA10G0079200.1 pep chromosome:AGI1.1:10:10823918:10824575:-1 gene:ORGLA10G0079200 transcript:ORGLA10G0079200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAXSGSRPEARPKRTLWQVMLVRPMATSATGHHHASANRHRHRELYRSASPLPPLLYCCRPVAAAPPRRPAVPVFATPSLDLSLGMERGEACGGGVATGRSSLSSELADFGFGRRRCRLTLRREEEDRKEEHRWKKVTTVA >ORGLA10G0079100.1 pep chromosome:AGI1.1:10:10807505:10807771:-1 gene:ORGLA10G0079100 transcript:ORGLA10G0079100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKKKEKEEREGEESGRKGQWRAASLVVDLLLCCLALDGAHAAGAAGGRGEQRRSELRWAAVEVDAERAMAAAGGGRPSWAARRWCGSA >ORGLA10G0079000.1 pep chromosome:AGI1.1:10:10778197:10779951:-1 gene:ORGLA10G0079000 transcript:ORGLA10G0079000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 78, subfamily A, polypeptide 7 [Source:Projected from Arabidopsis thaliana (AT5G09970) TAIR;Acc:AT5G09970] MAMATATATASSCADATWWAYALPALLGADTLCAHPALLAGAVLLAFATAAVLAWAASPGGPAWAHGRGCLGATPIEGPRGLPVFGSIFALSRGLPHRALDAMSRDAAAPRARELMAFSVGETPAVVSSCPATAREVLAHPSFADRPLKRSARELLFARAIGFAPSGEYWRLLRRIASTHLFSPRRVAAHEPGRQADATAMLSAMAAEQSATGAVVLRPHLQAAALNNIMGSVFGRRYDVSSSSGAAADEAEQLKSMVREGFELLGAFNWSDHLPWLAHLYDPNHVARRCAALVPRVQAFVRGVIRDHRLRRDSSSTAADNADFVDVLLSLEAHENLAEDDMVAVLWEMIFRGTDTTALVTEWCMAEVVRNTAVQARLRAEVDAAVGGDGCPSDGDVARMPYLQAVVKETLRAHPPGPLLSWARLATADVGLANGMVVPAGTTAMVNMWAITHDGEVWADPEAFAPERFIPSEGGADVDVRGGDLRLAPFGAGRRVCPGKNLGLATVTLWVARLVHAFDWSLPDGSPPVSLDEVLKLSLEMKTPLAAAATPRRRCAA >ORGLA10G0078900.1 pep chromosome:AGI1.1:10:10716396:10721925:-1 gene:ORGLA10G0078900 transcript:ORGLA10G0078900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G16690) TAIR;Acc:AT5G16690] MAAPPGEAPLTAATNIEPFYVLHKGGAAASSSSSSAPSLPSSGRARRRIDVSGPASPNPKPGKRSRDDDAAEDDDDDELYERLRLDAFHCVWSKIQSTINEVLRGISLKLFDQVLRWVQESFSAVRSIARPSAAEVRQPYPLLTDVICRKIPTAFVLTKNAEFVDDITTFRDLAEYLESNGCHLAKLSATELSEKNGVGCCFRSLLRQLLSDVPDVADIFALASWYSAAENYDQPIVVVIDDLEQCSGDVLGELVMILSEWVIKIPIFFVMGIATTLDAPRKLLSSEALQRLEPCKLTLGSPSDRMNALVEAILVKPCAGFCISHEVAVFLRNYFFKHDGTITSFISALKLACSKHFSVEPLSFLCMGMLEEDRENFWHDKFNALPQELRKYASGLPSCTREKDSTKSGDNMVDGLSELMNIQKDWSSVLLCLYEAGKHGKVQLLDIFCEAVNPDLHTQKAPNLPNEKSGTSRRFIDQVMDTIRYLPVETLFCLLEVWSIHLNGMDKITNKVKELQSTTISTDSVRITKDKWPRRSTNSTGNSTVALNDKVAMLLDDVTRKFLVSVECLPFHEIVCFKNVSILQSALIGNPRRMVQLDLVKSHKHLKCSCCRKNGIAVSASMHDTSIMCNLAQEYGDVINLHDWYISFDGIINSVHSKIKRKPHTSPSKKKSKPVAAESEAMIQARFCRAVTELQITGLLRMPSKRRPDLVQRIAFGL >ORGLA10G0078800.1 pep chromosome:AGI1.1:10:10709975:10712774:-1 gene:ORGLA10G0078800 transcript:ORGLA10G0078800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLTLADHHEGETDGGLAAAAAAAATGGGREGRVDLAVVVIHGDRGGSVTRDAAPGSMAMAVVEAGRRISGKTSVPASSPNRLHATQSNFRGSGSSARSLMISLGLKDHSQVNTSLVVSVITKATDMGGHGQWRGRRLQRTRVIAXMSLRWMQTKVVAAVDKGKGDDDGYGGRGRRTRVWTVGRTWASAAEDEDSGMEASNSNGYERGWRDGCEGQGRRPQARMAGRPRATTAVILDEDNDRGGHGCGCGMNVPCRAEDEDGGTKASNGCGREGRGRRLXARVVGWPRATSVAAKDENDRGRW >ORGLA10G0078700.1 pep chromosome:AGI1.1:10:10708831:10709684:1 gene:ORGLA10G0078700 transcript:ORGLA10G0078700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMADQANMASTSQASTPSSELLQDWYDEFEITYGAVTPPSPSTISWEAPQSSPMGWWPSPNGGLVQHDGYLRMAADPTWYMLEHLLPTAAIPPEPMTPKKSSPAPPPAVDHHHRLSPPHDAAGSNYNHPKLAGYNGGIQAQHENQHHPQEPQPALLVDGEDGYGAMADSNDTQLGGTEFDTERIAEMFRIDLW >ORGLA10G0078600.1 pep chromosome:AGI1.1:10:10691492:10691848:1 gene:ORGLA10G0078600 transcript:ORGLA10G0078600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVEAVAENSRREPEWWALPPSPPLSSPFVALVIIVLAATTVVTVLECTVGGCPMAECTKWDAKGRWMLVSNFFGAGVSELHARRVGGEGGGTGCIWRVRAPTPSGGSHYGSLSTC >ORGLA10G0078500.1 pep chromosome:AGI1.1:10:10684620:10689194:1 gene:ORGLA10G0078500 transcript:ORGLA10G0078500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSPAAPPPPPPAAAAAEASWECDPCACAATAGSPCGSCGAPPPWACSRCTLLNPSGSGVCSACEAARPVEVDAENDGDDPASSPPPPRARKKRVREACADEEEEEEGEGADGAGSPRPSDAAAAKKEKFENNLDKKTFKIMTYNVWIREDIELHRRLGALGDLIQLHNPDFICFQVPGNKENEKIEPLPVVVLHPPARGLPPNLEAKLAGRMSKLPMSESNPIPFSKSIMKRELCVAVVKTGEIHLAVGTSHLESPCPLPPLWDLKYSEKRVAQAKQSLEILGQLRNAIFCGDMNWEDKVDGPFPLPDGWIDAWVELKPGDNGWTYDTKANAMLSANFKQQKRPDRFVCKLSDFKIDDIEMIGKEAIPGVVYYKEKIVRKEFHKLELPVLPSKHFGLVLTITLQDDIL >ORGLA10G0078400.1 pep chromosome:AGI1.1:10:10680601:10680843:-1 gene:ORGLA10G0078400 transcript:ORGLA10G0078400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALLVVEEFEKMTKKLKQQRQQQGRISEEVPAGDAAAASRAGEWGSWAAAAVRTRVAALKEPAAAQGLAAVDGFFSA >ORGLA10G0078300.1 pep chromosome:AGI1.1:10:10673724:10679244:1 gene:ORGLA10G0078300 transcript:ORGLA10G0078300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; EXPRESSED IN: sperm cell, cultured cell; CONTAINS InterPro DOMAIN/s: Colon cancer-associated Mic1-like (InterPro:IPR009755); Has 30201 Blast hits to 17322 proteins in /.../pecies: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G12010) TAIR;Acc:AT3G12010] MQGGLGSPGALSHAYVQHPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKIVPSTPAGPPNSDPVNDGPVLSVRYSLDLKAIGIQRSNHEVEFINRETGQTCNKKCRADSETILGFFWTDCPTCDVIIIKTSGLDLFAYEPQSNALHLVDSKKINVSWYFYTHESRLILLASGMQCTLFTGYQFSAGGIVKLPKFEMTMTKSEANNKPVLAADDVHTVTVYGRIYCLQLDRVSMTLNLYRFYRDAVVQQGTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVFMDSYAPISAPLPLLVRGLPSNNKQSAQPPDSQSSAYGGTLYGEGWSFLIPDLVCDVENGLLWKLHLDLEAIAASTSDAPSILEFLQRRKSDPSMVKTLSLAIVRTIILERRPITMVAKAMDVVLDSYSRLMKMGGGLPAVRRTSVQNQQPGVQPGVNPDSASGDGNRPVQSNSEVEHGIANLAEHVDRTLLNTSSDSDDIIDASGASDAPDRKPQVLGQDSRPLASGTSTQHGSHVASVAVSPSEMFESVFVLVEDEMMADPAYLISIIMEFLRSVSRAGLKAPPNLFVMMTTLLARSNRYPEIALFVSNKIKFSVGTGFPLTSITKILEPSKELAMQLMELGRQHSPTRKLGVDMLRERGLHHDYVTALLQDGYHLEALRYARKYKVITVQPVLFLEKAVAINSAQNLAAMLSFFSEFTPTFKTTSDYGRYRHILSEMI >ORGLA10G0078200.1 pep chromosome:AGI1.1:10:10665236:10669555:-1 gene:ORGLA10G0078200 transcript:ORGLA10G0078200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEACLLAHLNSGGEVPDSRSYASSLRVTHDELEAVIKSLSAFRIVDTTDITKETWVLTDEAKGYVAGGSPEAQLVAAIPPEGASKDVLREKLGSVFDIGMKTAAKNKWIGFEKGNKDLVLRKVDTIKDDLQEQLKSLESGEVLSDKVIDDLKRRKLITKEKSIWYALKKGPQFVAKRKKLATDVTQEHLRSGDWKDLEFKDYNFGAQGQPIAIGYVQPLLEVREEIQNIFLQMGFTEMPTNNYVESSFWNFDALFQPQQHPARDSHDTFFLEAPAATKQLPEDYLEKVKEVHQRGGYGSKGYGYDWKQDEAEKNLLRTHTTAVSTRMLYKLAQEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFSSLGMSKLRFKPAYNPYTEPSMEIFSYHEGLKKWVEVGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSNPLCRLGLQ >ORGLA10G0078100.1 pep chromosome:AGI1.1:10:10650065:10651876:-1 gene:ORGLA10G0078100 transcript:ORGLA10G0078100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPLDALQPLDPDTFAADSSAVVDFLAGYYRDVDKYPVRAADLEPGRLRRLLPEAAPEFGEPAERILADVRRDVLPGLTHWQSPSFFAYFPMNASAAGFAGEMLSVGLNVVPFMWVASPAAVELEAVVVDWMARLVGLPDRFLFSGSGGGGGGGGGGVLQGSTCEAVVCTLAAARDRALGRIGHEGIVKLVVYASDQTHATFQKGARLVGISPANFRVVPTAAASGYALTGAAVRAAVEGDVARGLVPLYLCATVGTTGIGAVDPVRELGEVARRHGMWLHVDAAYAGSAAICPEYQGYLDGAELADSVSMNPHKWFLTNMDCCCLWVASPAALTAALSTDPEYLKNAGGGKPQAAAGAGAIDYKDWQISLSRRFRAMKLWFVLRRYGAAGMRAHIRRHVAMAEWFERAVSADERFEVVAKRRFSLVCFRLRGGGDAMNRELLAAVNASGRVFMTHFVVEGKFVIRLAVGGAMTEMRHVGDAWELVQRTAEQLLQRRGTRKNEDENPLPW >ORGLA10G0078000.1 pep chromosome:AGI1.1:10:10646858:10647214:1 gene:ORGLA10G0078000 transcript:ORGLA10G0078000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:I1QU97] MVVTLAAVTALLVAPAVVAATATPPAGYTTAEDVSSDFIKQVGKFAVTVYKLARGVSLYYVSTSQCWSKPAGGGADDYWMVLTATNGAGAAGSYVATVWGIPGSESKTWKLLSFNATS >ORGLA10G0077900.1 pep chromosome:AGI1.1:10:10621971:10623926:-1 gene:ORGLA10G0077900 transcript:ORGLA10G0077900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLOW GROWTH 1 [Source:Projected from Arabidopsis thaliana (AT2G22410) TAIR;Acc:AT2G22410] MPPPPPSSRLLALLSARRPPPPLRRLLQIHAHLLAAGLLQDFSSLLAVAYALSTTATATDARTSPPSPLRHALALLSSLPASAYNAAIRALSLSDDGDRHGHGVVRRCLPLYRALLRSGTARPDHLTFPFLLKACARLREWGYGDAALAHVLRLGLDSDVFVVNAATHFLSIRGPMEDARRLFDRSPVRDLVSWNTLIGGYVRRGNPAEALELFWRMVAEDAAVRPDEVTMIAAVSGCGQMRDLELGRRLHGFMDSNGVSCTVRLMNALMDMYIKCGSLEMAKSVFERIEHRTVVSWTTMIVGFAKFGLMDDARKVFDEMPERDVFPWNALMTGYVQCKQCKEALSLFHEMQEASVVPDEITMVNLLTACSQLGALEMGMWVHRYIEKHRLVFSVALGTSLIDMYAKCGNIEKAIHIFKEIPEKNALTWTAMICGLANHGHANEAIEHFRTMIELGQKPDEITFIGVLSACCHAGLVKEGREFFSLMETKYHLERKMKHYSCMIDLLGRAGHLDEAEQLVNTMPTEPDAVVWGAIFFACRMQGNISLGEKAAMKLVEIDPSDSGIYVLLANMYAEANMRKKADKVRAMMRHLGVEKVPGCSCIELNGVVHEFIVKDKSHMDSHAIYDCLHEITLQIKHSADLLSISAAGAV >ORGLA10G0077800.1 pep chromosome:AGI1.1:10:10617718:10619492:-1 gene:ORGLA10G0077800 transcript:ORGLA10G0077800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINRPIVFFTVCLFLLCDGSLAQQLLGQSTSQWQSSRRGSPRGCRFDRLQAFEPIRSVRSQAGTTEFFDVSNELFQCTGVSVVRRVIEPRGLLLPHYTNGASLVYIIQGRGITGPTFPGCPETYQQQFQQSGQAQLTESQSQSHKFKDEHQKIHRFRQGDVIALPAGVAHWCYNDGEVPVVAIYVTDINNGANQLDPRQRDFLLAGNKRNPQAYRREVEERSQNIFSGFSTELLSEAFGISNQVARQLQCQNDQRGEIVRVERGLSLLQPYASLQEQEQGQMQSRERYQEGGYQQSQYGSGCPNGLDETFCTMRVRQNIDNPNRADTYNPRAGRVTNLNSQNFPILNLVQMSAVKVNLYQNALLSPFWNINAHSIVYITQGRAQVQVVNNNGKTVFNGELRRGQLLIVPQHYVVVKKAQREGCAYIAFKTNPNSMVSHIAGKSSIFRALPTDVLANAYRISREEAQRLKHNRGDEFGAFTPLQYKSYQDVYNVAESS >ORGLA10G0077700.1 pep chromosome:AGI1.1:10:10613129:10616674:1 gene:ORGLA10G0077700 transcript:ORGLA10G0077700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEAVVPVEGRRNVLVTSALPYVNNVPHLGNIIGCVLSADAFARYCRLRGHNVLYVCGTDEYGTATETKAMEEGCSPREICDKYHAIHKEVYEWFDISFDIFGRTSSPQQTEVCQDIFLKLLDNNWLSENTMQQLYCNSCQRFLADRLVEGYCPTEGCNYDSARGDQCEKCGKLLNSTELVNPKCKVCGSTPCVRDTDHLFLELPLLREKLEKYIDETSVTGSWSQNAIHATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITACYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYESGKFSKTKGIGVFGNDAKSTNIPPEVWRYYLLTNRPEASDTLFTWTDLQAKCNNELLNNLGNFINRVLSFIAKPEGTGYGSVVPDSPDVDSHALTQSLAETVGKLIDQYIDAMDKVKIKQGLKIAMAISSEGNAYLQESQFWKLYKQDPASCATVMKTSVGIVYLLACLLEPFMPTFSKDVLQQLNLSPEEHLSFCDEKGEVEKAKRPWDLIPSGHRIGKPAPLFKGLVSLYAYLGTIFICFTNLPLLHASHYVQENEAVKGLREKFAGSQAERKLRTQVAAQLEATSI >ORGLA10G0077600.1 pep chromosome:AGI1.1:10:10610191:10610412:-1 gene:ORGLA10G0077600 transcript:ORGLA10G0077600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKEFKFGTIGYVKFLSCTRGFPKVFRTPSMSLVRGFRLSTSGINRGGA >ORGLA10G0077500.1 pep chromosome:AGI1.1:10:10596546:10596767:-1 gene:ORGLA10G0077500 transcript:ORGLA10G0077500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHMMELLCAYGMRSRIWKEFKFGTIGYVKFLSCTRGFPKVFRTPSMSLVRVFRLPTSGIKRGGA >ORGLA10G0077400.1 pep chromosome:AGI1.1:10:10582900:10584669:1 gene:ORGLA10G0077400 transcript:ORGLA10G0077400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSLVTKVSAQRRRRVHRPRRSPTKPERAAAAVALSHEKILLAGVDAAADMLGSLAGCPSSPEYPVVPDMDDRDVDGAVDDAPAAAAGRRASDETLAVHAGEKLGSGAAETDSIATPIVSGTTHWFRDSADLIAFREGRRRSFEYGRYGNPTVKVLEEKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVATADCYSEAHAFIRDKLSNMGITSTFVDLDDDMEALECVLDESEVTMFYADSMTNPHLKVVDVTRVAELCHRRGALVCIDSTLASPINQKPLALGADVVLHSATKYIAGHHDVIAGCVSGSEALISRIRAWHHDLGGAISPNAAYMIIRGLKTIALRVEAQNRTALRMARLLEKHPKIERVYYPGLESSPWHGVVTRQMAGAGGVVSFEVASDLRGVMRFVDALELPLIATSLGGCESLVQQPAVMSYWGKSEEEKAKNGIKDNFVRFSFGIEKFEDLRDDILQALEKI >ORGLA10G0077300.1 pep chromosome:AGI1.1:10:10575111:10576815:-1 gene:ORGLA10G0077300 transcript:ORGLA10G0077300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSLVTKVSVQRRRRVHRPRRSPTKPERAAAADTFGSLAGCPSSPDLDDCVVDTAVDDAPVAAAEAAGRRASDETLAVHAGEKLGSGAAETDSIATPIVSGTTHWFRDSADLIAFREGRRRSFEYGRYGNPTVKVLEEKISAMERAEATLVTSSGMNAIVATLLALVPPGGHVVATADCYSEARAFIHDKLSKMGITSTFVDLDDDMEALESVLDEDEVTMFYADSMTNPHLKVVDVARVAELCHRRGALMCIDSTLASPINQKPLALGADVVLHSATKYIAGHHDVIAGCVSGSEALISRIRAWHHDLGGAISPNAAYMIIRGLKTLALRVEAQNRTALRMARLLENHPKIERVYYPGLESSPWHGVATRQMAGAGGVVSFEVASDMRGVMRFVDALELPLIATSLGGCESLVQQPAVMSYWGKSEEEKAKNGIKDNFVRFSFGIEKFEDLRDDILQALEKI >ORGLA10G0077200.1 pep chromosome:AGI1.1:10:10564019:10565525:1 gene:ORGLA10G0077200 transcript:ORGLA10G0077200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVALVLMKASLPRRQRMDRPRRSPAAVQLVAGVDAADDMFGSLAGCPPRPLEYPVVPDLDDYDVDDAPVAGRPAPASDKTLAVHAGEKLGSGAAAAETDSIATPVVGGTTHWFRDSADLIAFREGRRRSFEYGRYGNPTVKVLEEKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVATADCYSEARAFIRDKLSNMGITSTFVDLDDDMEALESVLDEGEVTMFYADSMTNPHLKVVDVTRVAELCHRRGALVCIDSTLASPINQRPLALGADVVLHSATKYIAGHHDVIAGCVSGSEALVSRIRAWHHDLGGAISPNAAYMIIRGLKTLALRVQAQNRTALRMARLLEKHPKIERVYYPGLESSPWHGVATRQMAGAGGVVGLEVASDMRGAMRFVDALELPLIATSLGGCESLVQQPAIMSYW >ORGLA10G0077100.1 pep chromosome:AGI1.1:10:10542736:10545460:1 gene:ORGLA10G0077100 transcript:ORGLA10G0077100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQGPTPASPTALAMYLGETLVRVASKPHWFSVDVRVQPYATVDADGRKIMSKGGTGHWVIDSESFSFDFLMESLRVEFKWGSNQSPSVWYFNKNLGEDVRLIGDADLPDIFEMYATEARFHLLVAVLEESMDVASVCCVHEPIAIIPPENPSHNDGSGQAATNVGGSAQPTTVEADVREPDMFDNTAATGIEAPEVEVTDEDPQVVRVLHDPENPNIVKNALFPDMLQPHHSRIWYICGFGESNKCNYLTNNVSESFNAQIRNLKGLHPHELVDSIRELIMEKMATRRDVGNKMDDGIIPGVMKQLNDATSLLKVVKIARSDDGHALAWICSSGGRIQDFVSPYYSVQMFRTTYAGRVPPMTDRTQWPVVDLGFKVHVPKQKRGAGRPRVQTIRGFLEPGRKRVKCKRCKRFGHFEKTCKLAEPADADDMSSHCTPQKGKRQVCRQGNDPGVSTSEASCSAPKKKKTPRKKKTPKKKKTPQKRKDLASSSAPPTRVVRILSTYLRL >ORGLA10G0077000.1 pep chromosome:AGI1.1:10:10540543:10540797:1 gene:ORGLA10G0077000 transcript:ORGLA10G0077000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDSAVARQRRSHRYSRRRRRLPRRPSIQQRTPSIAPPTIHLPPSLQRCPCCRLQLSTHYGLHRQGSRELQFQLARTPCAAATT >ORGLA10G0076900.1 pep chromosome:AGI1.1:10:10537705:10538286:-1 gene:ORGLA10G0076900 transcript:ORGLA10G0076900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QU86] MAPSLLAPRLAVPLLLVLAAAAAAAADGVADAGAGAGMTHLHFFFHEVFTAGPNGTTATVAPPARSGDGSSLGFVGVVDDMLREGADPASRLVGRAQGVTAGTSLAAADGAGAITTMLSLAFTEEGPYAGSTLQVFGRAVLGTGDGAAGGGRHGQVPDGAGSHAQPARELVGPRQPPRHRVRRLRHHVSHLAS >ORGLA10G0076800.1 pep chromosome:AGI1.1:10:10528935:10536860:1 gene:ORGLA10G0076800 transcript:ORGLA10G0076800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGESTQGNQRKLDGPGKVSTELSLVNLEAKNLHPKPECNQPLEHIPTKGMKCTPLLPLPTEHADDEPIYVNAKQYHAIIRRRQRRKIVGSEDKVAAIRKRILVEARQKQAKLRRRGKGGRFISIEHPLELSMDDQISKNGGSASPSSSTVSENSSNVNGFTGDL >ORGLA10G0076700.1 pep chromosome:AGI1.1:10:10511645:10512658:1 gene:ORGLA10G0076700 transcript:ORGLA10G0076700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMEMRWAAPAPAARGRGRARRRAPDQPSFSSTLLDAICDSMDEGGEDGRTRNAASAAAKKRQEAANSYHYYYCYKPSLAASYRAAPALGSTADCPGRGYFSSSEVEYSLRRLRPIRTSAAGGAGDGAAVARKQRHEQPDVEKTAKTKPGSASARACRRPASPGARLASLLNSIFSGKRPSAQRSACSPDHPEPACSTAPPSSSSSYARRPCHAKTPRTPTTTTTTARARPSRSRTVRFLDIDGKVAVAAAVAGCRRIPVMEVEADTDDGGEESSDASSDLFELDSLAAIAPAGGRDGSYGDELPVYGTTGVGIRRDIGRRRPYGHAPCRSWSRAV >ORGLA10G0076600.1 pep chromosome:AGI1.1:10:10510121:10510768:1 gene:ORGLA10G0076600 transcript:ORGLA10G0076600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVPEAEVVAGVHRRGKQLHGGRSAGASGGGRSGAWVGAGGGGSSGPGGAAPLPSPSSSSSSSLALAPATAGKEYSSLPPARSRTKWTAAGRPAQTREREREEEEEEEEEEEGSGDPAARHRQAPPGVMRRVMKRRRKSRGRRRGRWGCRRAAPDESLSGSAKAMIAAASTFDMMDDKVASAAEWGRGETDAAEAASELELEMMRSRFYSSGF >ORGLA10G0076500.1 pep chromosome:AGI1.1:10:10492755:10494694:-1 gene:ORGLA10G0076500 transcript:ORGLA10G0076500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell elongation protein / DWARF1 / DIMINUTO (DIM) [Source:Projected from Arabidopsis thaliana (AT3G19820) TAIR;Acc:AT3G19820] MADLQEPLVRPKRKKVLVDYLVKFRWILVIFVVLPISALIYFNIYLGDVWSAMKSEKRRQKEHDDNVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDRERMVAKVEPLVNMGQITRATCPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAVEVVLADGRVVRATKDNEYSDLFYGIPWSQGTLGFLVSAEIKLIPIKEYMRLTYTPVKGSLKEIAQGYCDSFAPRDGDPAKVPDFVEGMVYTENEGVMMTGVYASKEEAKKKGNKINCVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKATQGESIRNYYHDNHVIQDMLVPLYKVGDALEFVHKEMEVYPLWLCPHRLYKLPVKTMVYPEPGFEHHHRQGDTSYAQMFTDVGVYYAPGAVLRGEEFNGALAVHRLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRQKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA >ORGLA10G0076400.1 pep chromosome:AGI1.1:10:10486111:10489020:-1 gene:ORGLA10G0076400 transcript:ORGLA10G0076400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIE subunit beta [Source:UniProtKB/TrEMBL;Acc:I1QU81] MDLKDSLSKFKQQQERCQSSLASIAASTSKPKHRAQPVNAPSAPARPPQPIKFSNDTERLQHINSVRKSPIGAQIKLVIELLYKTRQAFTAEQINETTYVDIHGNKSVFDSLRNNPKVHYDGRRFSYKSKHDLKGKDQLLVLVRKYPEGLAVVEVKDAYPTVMEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDLKQLFREMELPRDMVDIEKELQKNGIKPMTNTAKRRAAAQINGVQPKAKPKKKQREITRRTKLTNAHLPELFQNLNT >ORGLA10G0076300.1 pep chromosome:AGI1.1:10:10449902:10451501:1 gene:ORGLA10G0076300 transcript:ORGLA10G0076300.1 gene_biotype:protein_coding transcript_biotype:protein_coding CNLMLXLMLXCASRCSREDSICTYLLIIVEVXSRPLVPWFFTPHINEVFHRSLRSRLLLPSPELCRKSAGDPSDSHSEPPPEQASAPHQVIKDFRGGEQDEAAQMVFYIFTIVVPLPLLNPHQVRPCFXXS >ORGLA10G0076200.1 pep chromosome:AGI1.1:10:10438448:10447496:-1 gene:ORGLA10G0076200 transcript:ORGLA10G0076200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT4G33210) TAIR;Acc:AT4G33210] MADRGRMKGVEGGEGGSGAGEGAEERDGEAREELELALSLGRRGWHLPAARREPPPPPAAMRWTMPPHSWDHDAAGSSRAATHVPPLRCRDIWHGDNDAGGAIEGAEEGDEEDEEGDEDGDRDLQSKRPKVRGFGEESPQHSGVNASFFGLESTHFPGSDEHGHFKLSHCPENELDFGLSLFPNDGVNENPGDGNVGDVEISGGENSEDVEIRMDLSDDLLHLIFSFLGQRDLCKAGASCKQWRSASMHEDFWKCLKFENTRISLQNFVDICHRYQNVTYLNLSGVPHAELLVMEAITCLRHLKTLIMGKGQLGEAFFQLLSECPLLTTLTVSDASLGSGIQEVTVNHDGLHELQILKCRALRISVRCSQLQILSLRRTGMAHVSLNCPQLVELDFQSCHKLSDNAIRQAATACPLLASLDMSSCSCVTDETLREIANSCPNLSVLDASNCPNISFESVRLPMLVDLRLLSCEGITSASMAAIAYSRLLEALQLDNCSLLTSVSLDLPHLKNISLVHLRKFAELTLRSPVLSYIKVSRCSVLHRVSITSNALQKLVLQKQESLSSLSLLCNNLIDVDLSDCESLTNAVCEVFSDGGGCPLLRSLILDNCESLSTVELNSSSMVNLSLAGCRSMTLLKLSCPNLQNVNLDGCDHLERASFCPVGLESLNLGICPKLSDLHIEAPKMSLLELKGCGVLSQASINCPRLTSLDASFCRKLMDDSLSQTAEACPLIENLILSSCLSIDLNGLSSLHCLHKLALLDLSYTFLTNLKPVFDSCPQLKILKLSACKYLSDSSLDALYREGALPMLVELDLSYSSIGQTAIEELLSCCTNLVNVNLNGCTNLHQLVCGSDDCSSGDMPVDVCPPDSAPVRSEEISERSDRLLEVLNCTGCPNIKKVIIPSMTTYLRLSKINLNLSTNLKEVDLTCSNLYTLNLSNCSSLEVLKLDCPRLTNLQLLACTMLQDEELESAISRCSALEILNVHSCPKINVLDFSRLRVVCPSLKRIQSSLIT >ORGLA10G0076100.1 pep chromosome:AGI1.1:10:10433001:10435094:-1 gene:ORGLA10G0076100 transcript:ORGLA10G0076100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGSKNQKTFRPKKNAPSGNKGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPTTCPTMSAGPKFEYRWADGIQIKKPIEVSAPKYVEYLMDWIEVQLDDESIFPQKLGTPFPQNFREVVKTIFKRLFRVYAHIYHTHFQKIVSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVSVC >ORGLA10G0076000.1 pep chromosome:AGI1.1:10:10430983:10431779:1 gene:ORGLA10G0076000 transcript:ORGLA10G0076000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGWKLDKEDREKIGLSYTELATMWMQLSGPRRLPHLAXERWGRPNTDLFLTPGIGARRRHYRAGVGRRAPAAVATAPVREGDVDPTMERWGMETKEVKSSGKVVDLACPEWRGGRDR >ORGLA10G0075900.1 pep chromosome:AGI1.1:10:10427556:10428681:-1 gene:ORGLA10G0075900 transcript:ORGLA10G0075900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAASRAYLPDDLVADILTRLPARSVCRFRAVCRSWRALATERQFVLAHAARDRAAAVPMNHHHRDGIVVVNPISMAYAVVRSPMPDGGEFIAGYAHPDTFAFHLMYCCHNQGKVIFQVIKAGDSQWREIAADRLAISGIDFDKQGISSVALHGGLHWQLRTNSGQWVMLVYDMVTEKFRSMAAPQCATTWVRGLSVLSGRLCSIVIPESMTAEIWVLEDYHEHRSWHCIREIDMAASAERINLENFWDSDLRMFLKVDVKQGIEHEVQEIIIHHGNKIISQPCSVYELRRNEAVYNVRHNVWHKSTMCFNGESIMYKESIVPYQMSFGMKSQFCERKRGTSQFSEGQHVYHLPL >ORGLA10G0075800.1 pep chromosome:AGI1.1:10:10421140:10422283:-1 gene:ORGLA10G0075800 transcript:ORGLA10G0075800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVFMFKRIDRIPFFEFEFWMQFVLIWLDNLIMWILAKLEDLAVYRVYKIRRKEDTEPVNAAAAVSSTDEPSTPAALPLPTPPPRPLPDMAGSSSATSLLPLQLPGLAGSSSTMPLALPLQLPGLPRSSSVMSLPGLAGEMTSMGHGETGEHGLDVTGINTVVGAPTRLELTDGTDGDGAMADGDTQLDAAAAAAEFDSERVAEIVSQIMDGEFEFKFDDDTIVSFNEVVAAPMLIDGDGDGDGDGADGGVGDDPFEN >ORGLA10G0075700.1 pep chromosome:AGI1.1:10:10414008:10416162:-1 gene:ORGLA10G0075700 transcript:ORGLA10G0075700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPPEGSGGGGNNNGKWKGKEKVVPEYGKNRHGMPVGWYFVPKDLELFAILRCKLVRGQLPSALNNVFEHIRILEFHPALLHETYSGNEEDGYIYFFSKRQFTTKAGNKRRPTRVAKGGTWKASGGSKTVRSKKVGGIDIGQKLTMVFYERRFEDDRNPIKTNWGMHEFTKIIDGTKNQLEDLAVYRLYKIKRKEDEEPSNTAAAASSTDEPSTSSALPPPTPPRPLPDMAGPSSATPLLPLQLPGLAGSSSAMSLPALQLSGMAGSSSAMPLPPLSLPGLAGGMMSMADQANMASTSQASTPSSDLLQDWYDEFEITYGAVAPPSPSTISWVAPQSSPTGWWPSPNGGPVQHDGYLGMAADPTSYMLENLLPTAAIPPEPMMPPTSSPAPPPAVDHHHRLSPPHDAAGSNYNHPELADYNGGVQAQHEHQYHPQEPQASLVDAEDGYSAMAGGDDAQLGGAELDTERIAEMVNHIMDGEFEFKFEDNTVLKYNEVFPDNDEVVAAPMMIDGGRDGDGADGGDGDDPFDN >ORGLA10G0075600.1 pep chromosome:AGI1.1:10:10410403:10412341:1 gene:ORGLA10G0075600 transcript:ORGLA10G0075600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Proteasome assembly chaperone 3 (InterPro:IPR018788); Has 120 Blast hits to 120 proteins in 47 species: Archae - 0; Bacteria - 0; Metazoa - 62; Fungi - 2; Plants - 49; Viruses - 0; Other Eukaryotes - 7 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G14710) TAIR;Acc:AT5G14710] MEMGMNSVQPNAQFTVPHKSLSLDINGNKTDIVISKYEDNFMVMVTQIGCMGTILAARKDESVFSDPTYNVSVLFGKRDEPLLLACARQLIEHISGSGSARSLMISLGLKDHSQATMKYIVSTIIENRLW >ORGLA10G0075500.1 pep chromosome:AGI1.1:10:10407063:10408751:-1 gene:ORGLA10G0075500 transcript:ORGLA10G0075500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEGEGVRLLGGRMSPFTMRARMALALRGVEYELVEEALHPRKSGRLLAANPAYGRIPVLLLPGGRAVCESAVIAQYVDDAWGGAGAGAAILPVDPYERAMHRFWTAYIDDKFWPALDAISLAPTPEARATATASTRAALKLLEEAFAARSNGGAFFSGGGGAASPGLLDVALGCFLPALWACESLNGLRLLDDDATPLLRAWSARLAATPAAMAVMPETEEVVAFTRFLQTKFGVAGSK >ORGLA10G0075400.1 pep chromosome:AGI1.1:10:10402706:10403383:-1 gene:ORGLA10G0075400 transcript:ORGLA10G0075400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDDATYRPTSLLLLLFRPWRLPLVRDVSMLCRSRVAGEHEEAEGEVPDRPVRAGSQGRRRRRWAGRVAQPRRHDRLRRRHHRRADHRQRRAPERGADVTGADGGAGAHRGGRRRHRVPHLPVGVEGLIGRSPPTTQLLAAYQWANGVTPLVSVFLVRFA >ORGLA10G0075300.1 pep chromosome:AGI1.1:10:10388913:10398795:-1 gene:ORGLA10G0075300 transcript:ORGLA10G0075300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLVYLHEPGVLCNLARRYGFNEIYTYTGRILIAVNPFAKLPHLYDMHMMEQYKGVQFGELSPHVFAVTDASYRAMVSEDRSQSILVSGESGAGKTETTKLIMRYLTFVGGRSTGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASEQDADKYKLAHPRNFNYLNQSHTYELEGVNEAEEYLKTRRAMDIVGISFSHQEAIFRTVAAILHLGNIEFSPGKEFDSSAIKDEKSKFHLQMAADLLMVDGSLLLSTLCYRTIKTPEGNIVKAVDSSAAAISRDALAKTVYAQLFDWLVDNINMSIGQDMESRALIGVLDIYGFECFKYNSFEQLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEACMLGKSTHETFAMKLFQNFKAHPRLEKPKLSKTDFALSHFAGKACSMTSISCKTCLEFHCF >ORGLA10G0075200.1 pep chromosome:AGI1.1:10:10375644:10384019:-1 gene:ORGLA10G0075200 transcript:ORGLA10G0075200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALWRMKKLYDFHRQYRHATILIQCCWRQKLAKRAFRNLKQAAYETGALREAKGKLERSLEDLTLRFTLERRQRVAAEESKALEVSKLLKIVESLKCELEAANEEKINGCKEVASMQQQLELSIKDQELLHSNLAQIEELKRENTLLKGKNAEMEQELLKAQKCSHDNMDKLHGVERNYLHLRDNLKNLEDKISNLEDENHLLRQKALSLSPRHSRTMSHPIGSSPCSPKSLIESSPVKIVPLPHNPTELRRSRMNSERHEEYHELLQRCIKDDMGFKKGKPVAACVIYKCLLHWGVFEAERTTIFDFIIQNINTVLKTENENDILPYWLANASALLCLLQRNLRSKGFIAAPSRSSSDPHLCEKANDALRPPLKAFGQRNSMSHIDAKYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGSGRRSRSPDVTLQQPISAHWDRIIKFLDSLMDRLHKNFVPSFFIRKLVTQVFSFINVQLFNSLLLRRECCTFSNGEYVKTGLCVLEKWILDATEEHAGAAWDELKYIREAVEFLIIAQKSKRTLEQIKKNICPALSVRQIYRLCTMYWDDKYGTHSVSAEVVAKMRDMVSSDAQNPVSNSFLLDDDLSIPFTTEEIAEEVPDIDMSNIEMPSSLRHVHSAQFLMQHLQTTYPLR >ORGLA10G0075100.1 pep chromosome:AGI1.1:10:10364191:10370101:-1 gene:ORGLA10G0075100 transcript:ORGLA10G0075100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTTTSSSPSWSSLFGLGCFTSSHSDGGSHHYHGGSAAAKNPGTPLPARPSSCNSNDGVAAAVMPSPEDLSQSLAGSGVEAFTVEELRRATRDFSVSNFVGEGGFGPVYKGYVDERLKPGVRAQAVAVKLLDLEGSQGHKEWLAEVIFLGQLRHHHLVKLIGYCYEDEHRLLVYEFMARGSLEKHLFKKYSASLPWSTRLKIAIGAARGLAFLHEAAKPVIYRDFKTSNILLNSDYEAKLSDFGLAKDGPQEDETHVSTRVMGTQGYAAPEYIMTGHLTTKSDVYSYGVVLLELLTGRKAVDKKRPPREQNLVEWARPCLHDSRRLNRVIDKSLNGQYSTRAVQKAAAIAYQCLSVSPKSRPRMSAVVEALEPLLAMDDGIVEPFVYMAPPESK >ORGLA10G0075000.1 pep chromosome:AGI1.1:10:10358889:10359944:1 gene:ORGLA10G0075000 transcript:ORGLA10G0075000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESLYYTTCSLNWPRRKDREERDGIANINEGESTENNRTITQNPSRGKLMVGRAHKSNEITSIGPNYHTTGLRIHQEIHLPKSGPNGPAKGSTEP >ORGLA10G0074900.1 pep chromosome:AGI1.1:10:10338920:10340935:1 gene:ORGLA10G0074900 transcript:ORGLA10G0074900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVVLCKKNATKNAWEEVLRNQIWSKMGLPEELNKAIYLSYEDLSHNLKQCFVYYSLFPKDEIIGIDKIVSMWIAEGFIGKDGYSAQSAGLDYYKELIKRNLLEPQNDYYNEEHCIMHDVVHSFAQHVARDEALVLRDPQNNGILSSSKFRRLSISTEQIEWSNLQNQHCLRTLILFGNIKLKPGDSLRILPSLRTIHVRSSNFSILQDSLCHLKHLRYLELRYTDISALPRNIGRMKFLEHIGVRGCHRLSKLPSSIIKLDNLRHLSIDETKIRAIPRGFSRLLNLDVLWGFPVHGVAQGTAKHYCTLEDVGPLSQLRKLKLKGLENAPSKSAALAELGTKSRLTCLELWCSNDETKDAIVTVAQEQIKELFDLLRPAECLEELTIGGYYGDTVPDWIMPEAAIFKDLRRLNLQNLVSCIQLPDGLGQLPNLDFFVVDDAPCIKQIGHCLLFEQGQRNMDNKKSSRHVAFPKLHELHLKGMMEWNEWTWEKHVEAMPVLSVLHVKDCNLSHLPPGLPYQARALKRLXVINARNLNSVEGFSSVVKLEVYGDPNLERIVDLPSLQNLTIVNCPKLMLLDGVASVQIMELGDHGMETLPEYLRHLTLRHLKIVCSLNLLRLMSTKHDASRSEWEKISHIMHVEGFASDNGDGILRWYMSYTRDPYNLETNLQAD >ORGLA10G0074800.1 pep chromosome:AGI1.1:10:10334898:10335302:1 gene:ORGLA10G0074800 transcript:ORGLA10G0074800.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAEAKCGRKLRVLCTDNGGEFMAAEFVSYCADEGIQCHYTAPYSSQQNGVVERRNQTVVGMAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA10G0074700.1 pep chromosome:AGI1.1:10:10333213:10334601:1 gene:ORGLA10G0074700 transcript:ORGLA10G0074700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRLEVQHMWEAVRYGDVDYDEDRQALDALIAAVLPEMQFSLSQKRTAKEVWDAIVAARIGSDRARKSTLQALRKEWENLAFKPGEDVDDFALRLNTLLQKMVQYGDDTYDEERAVEMLFRCVPEKYRQIARSIESLLDLSTMSIEEALGRLKVVDGDEPQPLSGPITIGGKLHLTREQWEASQGDGMKGESSPSIGGRKPRKARGGVQLRWARRRAEGGARRAHASIELPPATPATAAFLHLDEPKVLVSLCNSSSNDKADGWYLDTGATHHMTGRREFFTELDPSVRGSVKFGDASGVEIKGVGSVTFIAKSGKHRLLTGVYYIPALRNSIISVGQLDENGSHVLVKHGVMRIWDRRRRLLAKVTRSTNRLYILSAQVAQPVCSSLVETTRRGSGTSVSGTSTLRP >ORGLA10G0074600.1 pep chromosome:AGI1.1:10:10332556:10333116:-1 gene:ORGLA10G0074600 transcript:ORGLA10G0074600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVRGGRAALPAKAARGLRAAAGTPAPVWVPIGERAVPWAAAVEVGCCPPLCPLPCPSSCQLLKLPVGGAVAGDGAVDATAQGFNLYLRHTCRIFRRLCFRLRPGCCQLRCRQSGRPRCCCSGLSRCSPALFCCGELGVLLTPSARGDRTPRPNVGHGAPPGGCCLERGKGTGKEEQPVLLLLLA >ORGLA10G0074500.1 pep chromosome:AGI1.1:10:10318092:10321274:1 gene:ORGLA10G0074500 transcript:ORGLA10G0074500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHLVLVLLLLLAAAAHPIAFANAGAAGNATTTTYIVFMDPARLPAAGHAAHLQSLAIDPDRHLLYSYSAAAHGFAAALLPHHLPLLRASPGVLQVVPDEVFDLHTTRTPEFLGLLSPAYQPAIHGFEAATHDVVIGVLDTGVWPESPSFAGGDLPPPPARWKGVCEAGVDFSPSVCGRKLVGARSFSRGLRAANGGGGGGARAGVGRKGFVSARDRDGHGTHTATTAAGAVVANASLLGYATGTARGMAPGARVAAYKVCWPEGCLGSDILAGIDAAVADGVGVLSLSLGGGSAPYFRDTVAVGAFGAAAAGVFVACSAGNSGPSGATVANSAPWVATVGAGTLDRDFPAYVTLPTGARLAGVSLYAGPSPSPRPAMLPLVYGGGGDNASRLCLSGTLDPAAVRGKIVLCDRGVNARVEKGAVVKAAGGAGMVLANTAASGEELVADSHLLPAVAVGKLAGDKIREYASRRAAGGAGAPMAMLSFGGTVLGVQPSPVVAAFSSRGPNTVVPEILKPDMIGPGVNILAGWSGVAGPTGLVKDGRRTHFNIISGTSMSCPHISGVAALLKAAHPEWSPAAIKSALMTTAYTVDNTNSSLRDAAGGLLATPFAFGAGHVDPQKALSPGLLYDISTKDYVSFLCSLNYTTPHIQVITKMSNITCPRKFRPGDLNYPSFSVVFKKKSKHVMRFRREVTNVGPAMSVYNVKVSGPASVSVKVTPAKLVFNKVGQKQRYYVTFASTVDASNAKPDFGWISWMSSQHVVRSPIAYTWKI >ORGLA10G0074400.1 pep chromosome:AGI1.1:10:10308756:10311872:-1 gene:ORGLA10G0074400 transcript:ORGLA10G0074400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07010) TAIR;Acc:AT1G07010] MAVLPPPVRVAAAAAATTFGLSTSPAAATPAGCRRCGPRGRAAVHVAISASGRASFSRGAAGDGGGGRPAITVAGDPPTFVTAPGRRIVAVGDLHGDLNQTRAALVMAGLLSSESDGHVWTGGQTVLVQVGDILDRGEDEIAILSLLSSLNMQAKSQGGAVFQVNGNHETINVEGDYRYVDPGAFDECIRFMEYLDECDGNWDDAFLNWVNVCERWKEEYPMSPNGDWRPWNFVKKQKGIAARSSLFKRGGPLACELARHPVVLSVNDWIFCHGGLLPHHVEYGIERMNREVSVWMKSSSGDSDDELDIPFIATRGYDSVVWSRLYSQGPTEMTRHSWKLSSVVAERTLKSVGAKGMVVGHTPQTRGVNWYLLFSLG >ORGLA10G0074300.1 pep chromosome:AGI1.1:10:10305601:10305822:-1 gene:ORGLA10G0074300 transcript:ORGLA10G0074300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMLSRIWKESKFGMIGYVKFVYCTRGFPKVFRTPSMSLVRGFRLSTSGINRGGA >ORGLA10G0074200.1 pep chromosome:AGI1.1:10:10300252:10302240:1 gene:ORGLA10G0074200 transcript:ORGLA10G0074200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKHTSMAPAVILRVTALLRFILLVAGAATATATAARFSRVFSFGDSLTDTGNLALLPAGRDVPERRLPYGQTFFHRATGRASDGRIAIDFIAEALELPRLKPYLAGEGADGFRHGANFAVGGATARDAGFFQRRGLRSVPVSLATEMGWFKELLPLLASSCPQEQRKITASSLFFVGEMGGNDYLNAIFQNRTLDEAKTFVPGIIDAIRSSLTELVGVGAKTVLVQGMLPIGCEPRVLELFKLKHGRSTGGDGDYDADTGCLTSFNELAEQHNRVLTAALDELRRAHPGTAIVYADLYRAVTDIAVSPRRYGFGGEPLFACCGGGGGPYNVRLAARCGDEGTAACGEPSEYVSWDGIHYTEAANRVIARGIVEGRYTVPPISLSVSSSD >ORGLA10G0074100.1 pep chromosome:AGI1.1:10:10286608:10288214:1 gene:ORGLA10G0074100 transcript:ORGLA10G0074100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFSPHLVAAAAALLGLLATAVAGGGTGAYTRVFSFGDSLTDTGNALHLPSTGGGGGPASRPPYGETFFRRPTGRASDGRLAVDFIVEALRLRHPAPYLAAGGETAAEFRHGVNFAVGGSTALPPEFYEGRGLKPFVPVSLANQTAWFDKVLQILGSSDHGRRKIMASSLFIVGEIGVNDYLVSLVGNLTVGEVETSVVPHIVAAIRSTVNEVIAAGATTVVVPGMIPLGCEPQLLALYQGGGGGGVAGDDYDPESGCMTRLNGLAEHHNRELRRAVAELRGAHPGASVVVAYADLYRAVADIVASPGRHGFGGAPLAACCGAGAGAYNFDMAAFCGAAGSTACADPSTYVSWDGVHFTEAANRHIACAVLEAGAPPAVSTAPMTWPAAAEAGRSRIGCS >ORGLA10G0074000.1 pep chromosome:AGI1.1:10:10276386:10276646:-1 gene:ORGLA10G0074000 transcript:ORGLA10G0074000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSRRPALHGRTWRDNHKLVLTIGNPEHVREEPQNVVTGVGNGGRNQEAKDGPGRSEDANGIAEIRVDGALMPPTRAPSSLSRHAP >ORGLA10G0073900.1 pep chromosome:AGI1.1:10:10267907:10274899:1 gene:ORGLA10G0073900 transcript:ORGLA10G0073900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPFPRRLLLSLLLGVLVVAVVAAADVESSAGGGGGRRHSPRRTRYSRVFSFGDSLTDTGNAAILPATAGGPFTRPPYGMTFYHHPTGRASDGRLVIDFLVKALGLPEPTPYLAGKTAADFRRGVNFAVGGATALDPAFLKSRGMTSSVPVSLSNETRWFQDVLQLLGASAHEKHTIAASSIFYFGEIGFNDYSFALSAGNGTVDVAASLVPDIIAVIRSAVTAVIAAGARTVVVAGMIPIGCEPEMLALFPGGAGNYYDPASGCITRFNDLAELHNRELQRALHELRRAHPGATAVRYADLYGPVAAAVASPKEYGFGSSPLAACCGSGGEPYNFNANFTGFCATPGSTVCADGPSSSVSWDGIHYTEATNKLVARAILTRP >ORGLA10G0073800.1 pep chromosome:AGI1.1:10:10250120:10252053:-1 gene:ORGLA10G0073800 transcript:ORGLA10G0073800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLSYLLEPASLALILTAVSVAYASASRALDHGREMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSHLVTAFTAVASAMALFFCLSPYVNCVRSRLGVGDPFVSRCCSKPFTRLQGLLVAICVGTVVAWLVSGHWLLNNLLGISICIAFVSHVRLPNIKICALLLVCLFVYDVFWVFFSERFFGANVMVSVATQKASNPVHTVANKLSLPGLQLITKKLELPVKLVFPRSLMGGLAPGSSPGDYMMLGLGDMAIPGMLLALVLSFDHRKIKDMSVSQDMPPSKQRKYVWYALTGYGVGLVTALAAGILSQSPQPALLYLVPSTLGPVMYMSWLRNELWELWEGSRPIINDKAHLLEV >ORGLA10G0073700.1 pep chromosome:AGI1.1:10:10242161:10245815:1 gene:ORGLA10G0073700 transcript:ORGLA10G0073700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQRLLVALLRVLVAGEHAAGDAMPSPASGGGGAAVNVEARYARVFCFGNSLTDTGNNPLLPATAGGPSTSPPYGMTFFHRPTGRSSDGRLLIDFIVKALRAPQPTPYLAGKTAADLLAGTNFAVGGATALEPAVLARMGIVSAVPVSLSNETRWFQDALQLLASSINARRRIAETSLFFFGEIGVNDYFLALASNHTVEQAAATLVPDIVGVIRSAVIDAIVAGARTVVVTGMIPLGCEPQLLALFPAGSAADYDPDTGCDARFNKLAEVHNRELTRMLRQLRRAFPAAAVHYADFYRPVTAIIASPAKYGFGDTPLAACCGGGGNPYNFDFAAFCTLRASTLCADPSKYVSWDGIHYTEAVNKFVARSMLRRALIPMPKPNPSLSMPLSSSREHTGQETSRELATL >ORGLA10G0073600.1 pep chromosome:AGI1.1:10:10238352:10238564:1 gene:ORGLA10G0073600 transcript:ORGLA10G0073600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTRVGGTEANRCTKIPVSDRREGRSGDETSRRQTRRPVVKTWTPINGGVTNQPCRRGHQSVADEVSRR >ORGLA10G0073500.1 pep chromosome:AGI1.1:10:10228880:10234045:-1 gene:ORGLA10G0073500 transcript:ORGLA10G0073500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07300) TAIR;Acc:AT3G07300] MPDVQPLVSEFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSTNQAAALADAVRGVGEQLIAANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTAESDDEYDSGNDDHPTLSAAVLAAHARNALRAPSLQTLLEDIPVSTALSRSASSAGDSDGKSGDKSSKSRKLKHDVIAAIGDLIDEIDTCYDQISEQAVEHIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYKGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSSCMNFSTQDGTPLLNVVNPTFDYVPPKLVSLFITDTGGHSPSYMYRLIAEYYSADDLVVRRKSTSS >ORGLA10G0073400.1 pep chromosome:AGI1.1:10:10225029:10228314:1 gene:ORGLA10G0073400 transcript:ORGLA10G0073400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKKQVEESLPEWRDKFLAYKRLKKLVRLVSSSSGDVGGGGGGGEAEFVRLLDGEVDRINAFFLEQEEEFVIRQRACVHCSSQELQETVEKVAGSGGGGRRPAAAEMRRVRKEIVDLHGEMVLLLNYSAVNYTGLAKILKKYDKRTGRLLRLPFIEKVLRQPFFTTELISRLVRDCEATMEAIFTSSVATTAMAGDRRTWKGCSGDAGMAPMADQQGIFRNTVAALATMEELRSGSSTYGRFSLPPMAAPASPESDVLQSIQIADPIPI >ORGLA10G0073300.1 pep chromosome:AGI1.1:10:10218213:10218971:-1 gene:ORGLA10G0073300 transcript:ORGLA10G0073300.1 gene_biotype:protein_coding transcript_biotype:protein_coding CHVSSILFMDLELGAPHQLKLSRSGFLESIWASCHSIFALRPAERLDAYNILSLSLYLSTLKYVFSGPDEPQDYDLRNSNESWDEIRRGLVDKDSLLRKXALYILRISLDIFPSSENDVAQQCSRRRSAALPAQDKPNTAMTKRERWAQKEARSLGIGETSQSDENCSSRKDWSKVFLLLYEMLREYGTHLVEAA >ORGLA10G0073200.1 pep chromosome:AGI1.1:10:10205357:10206082:-1 gene:ORGLA10G0073200 transcript:ORGLA10G0073200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSSSRFAVTCGLLSQYMRERQQPQPPVTVLEAVAEEEEEDARTMQLFPPRAAATDGVATPSAGTAPLTIFYDGRMVVVDDVPADKAAELMRLAGSACSPPPPPPQPAHAAALPEMPIARKASLQRFLQKRKHRITTTSEPYKKAAVASPAPEKSFAVAPVKDEPATWLGL >ORGLA10G0073100.1 pep chromosome:AGI1.1:10:10188714:10189448:-1 gene:ORGLA10G0073100 transcript:ORGLA10G0073100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKEEAIELAAAAPPPFVPRPMWPLLADGGKRKKQSGCGGRIPALNTLTPLELRLLLERGGNGGQRLLGVRRGDGAGVVALVVGARSRALRLLLWPAAGCTLLGLEAASAYDPIKRMIPVAEEVVKTREIVTKGGAAGSRPCPPIPVIPSEANRGGRGRGGDAEDG >ORGLA10G0073000.1 pep chromosome:AGI1.1:10:10170829:10171341:-1 gene:ORGLA10G0073000 transcript:ORGLA10G0073000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAAAATSRFAAACGALSQYVRAADSVHRARTAAAAAAAVRPLPLMPGADVAGDEREEEGGGAAASSAAAQMTIFYGGRVLVLDECPADRAAALLRLAASSRGVPRDDLASTAAAGGESADLPVARKASLQRFMEKRKGRLAARGQPYRRHDAAAAARGDHLALAL >ORGLA10G0072900.1 pep chromosome:AGI1.1:10:10157671:10161975:-1 gene:ORGLA10G0072900 transcript:ORGLA10G0072900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAADDDHRAALPREEDDGEEEEGSEEEVESDDEEEEEGEGYDWSEEDDPEAASLAGICDPDAGSDDDPTFDPAADGDLEVDAVLRSRMARMSLSSARKDRKGSRMPKMGKEEMDLLAMVDKLMHDGQLEKLKVYECKAYLRMHKLRLSGNKEVLLTRIREQIEVKTMGEVKYPVSSFALNCQGDSCKGDVVVFEQNIYKRKKGAPRGVKGHLCGQRTNAGRIIKESYGTKKQQHTFTIEILWSRGYKPWPPLHPLLIKGRNLYKDKTMRQPWLDEEERNRALQEKHARGYVARKTREVRIKDKENERMRRLNRNKENKSKGQDNMNKKSSQAVFPQHTVTTNTVQKRAEKIIPSLQHGESGNSSQQHLSSKQTPTEQLLHYLPQFPHPQQHNEVLLQKGTSRTSTTQLINHQAPSLQHAVKVETTQQQQQQQPPKSIKPAPIQQSSAYPQQYPKHQHHNQALPRVPPSQEQRAAVSQTSAARQDFTNHQAPPSRQHGGSENMRRQEISSRPTPTPTPQQAVSYTQQQPPNHQYRNEAFWQQGGTSTSRTGFMDRQSNNWGSTDHDKPAFQPFTQKAKTYQHGSNCSGHHQALVDRETPHQPLRSRNQDYHWEDQSYHHQQNHHKNYYGHRQMSQDQYHHQQNHHQNYHGRQGMNGNQYHDRQNHNQNPQRFRPWKPCFIYQQQGWCPYGENCKFMHDLR >ORGLA10G0072800.1 pep chromosome:AGI1.1:10:10155230:10156717:-1 gene:ORGLA10G0072800 transcript:ORGLA10G0072800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPAAEAAAAVEELTRLYRELPRRPSAEEVEAAAAAVLASEAEAEGAAARLARAEAAAAEGGVSGELLDLLREARANAVLPAIGLLRRRKEAEIVMEVERRFKVFDGLLARASRVAEAGEERVDSAPAESVEEDVRRTPRGFTGGLDDEMVLCEILVRLPARSVLRCRAVCTAWRRLTSDPAFLRAHHHRQRDLPLIYFRRGGSDRVGAIDLHAAQLRPVVDHTWPPLGYTVIASCDGLLLLSSGRFYICNPATNHWAEIPQLVDADFLGLYPHNPSGEYRVLYGEFHGEEECVYHILTLGSDEPRCITMTMGSETVEQPLAREFLMHARGDRSVLVRGNLHWYLRHRDGGCKIMVFDTASESFQWMRHPAIPGWVSLLEMDSTLVFSAVECTTRIDLWVLQDYERSTWACKHRIELPMAQIRQFPECNLEHLGWSAMVVSVEGDVLVRCSNRIFHCDRKGNVLASFQFDGQLPMNCLHRLKENLVVHPFFQM >ORGLA10G0072700.1 pep chromosome:AGI1.1:10:10144916:10152536:1 gene:ORGLA10G0072700 transcript:ORGLA10G0072700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Spt20 family (InterPro:IPR021950); Has 8778 Blast hits to 7244 proteins in 477 species: Archae - 6; Bacteria - 326; Metazoa - 4198; Fungi - 1506; Plants - 923; Viruses - 22; Other Eukaryotes - 1797 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G72390) TAIR;Acc:AT1G72390] MGISFKLSKVGVRVHPAARVAAPAPAAVAAEKAAEKEAKREDGVVERASDANGITISPACSRIILPEHEVSFTFSLYDRGYLIAKSAAMDPCQPSIQDGKTLHPYDKASEKLFSAIESGRLPEDILDEIPSKYYNGSVICEIRDYRKHASNQAPAPSAELGLPVVNKVRLQMTFENVVRDIPRLSDDSWSYRDFMEAEARIVKVLQPALCLDPTPKLDRLCQDPVPHKLNLGIGKKRRLRQNPEVVVTSNNMSHGKKVCIDRVSENMKSDEMGISGGNAVHQGLDNTAIQNMSGGSQTFRPANFSMLSQTGIQQTVNYPAIGNDRGAGTPMNYAGINSSISSPQNLMAYNETTNGLLSVKREMADAPLQDPKRVKTTVSVDDMQQQQQQTRHQPAGLGGQEMQWKNQQLQQLDVKGMQYAASVGQRYTHPHVQEPASIYSNQLGMRYGAKQEQMDGMDKSKDTLQAMAPENSVLDQQQPQAPHLSQQAGPRNMQQWQNPRFSGEKDLKKEEMLQRRKIAATSRVSSVPMVQSPVSSKSGEISSSSMSAQFGAAVTSAVMGSQKDKFPANSNPAVVGYPPVASSPSDSMHRMQQPSVAPSKRKSNSVPKTQPPVSGVGSPASVSNMHAVLNASSPSIGTAPMGDQAILERFVKIDAISQRCKLHSKKNKVDNIPQRKPIINASQEKVATVLSNCFHAEDFRDEIKPLCNSMLGGTMNSFKTRILNFVVNNRMYQGPTKPFRIIFKEKHDGTVAMQYGDPEDFDNQNSYECTLILPTKYHADLLAKQLIIRMDREGHTKADDQVALSTPPGNLSALSGILPDNTVNDVKQEGGISHQLNAAAHANMTPGTPLQQHPANRMLPSVNNQALMQQGYMQGANMPPRSQQLDQNLIQQQQQQPPQLQQNAQAQLQQPASLPLNQMQRPQLLPTNPLSQMLGNTGSNLPMASSHMGNKVAPNSVQLQMMQQQQQSRKMMMGLGSPANMGNMVNNVVGLNNIGNVMGMGNVRPMSSPMGNMSGLGNNPNQMSLGMVSSLSAPGIRPGMTHAAIAKMRMGLIQQQRAAGIYPQTSMVGMPGSSSPILPGSANLSMMNQLNRSNITPLQRAMMGPPKMPGSNYPLTPQQQMQLQQQFQQNPLQQQQLQQLQQQQQQQQQQQIQQQQQQQQQQQQQIQQQQQQMGSPLQQAAQVGSPAGSQQSLVMSQHQQISPQQMAAMSPQLSSGTMQQVNNNVINHVATPGPPPSPQLSSQTHGSVNSIANSPMEQLQGANKGGPGSM >ORGLA10G0072600.1 pep chromosome:AGI1.1:10:10136953:10137887:-1 gene:ORGLA10G0072600 transcript:ORGLA10G0072600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFASLAHSDFLHCQLTTTSLPDHTLREQNDVTGASSSTSMNEPKFGIIEILPIVLQKGVLRTNCIDSRDRTNRAQIVDGLVGLGRQLKALVQTKGLEIHIEEPLSSTLMLLYEEMGDALALQFTGSAAQNKEFWKQKGQWSAMNKLTRNIQHFVSHAYMDSEKQNSPNM >ORGLA10G0072500.1 pep chromosome:AGI1.1:10:10130793:10131858:1 gene:ORGLA10G0072500 transcript:ORGLA10G0072500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTQSNSNQTHLPTPNPSRARAMCGGAILADLIPSPRSGGHTNKNKRRRISDDEDFEAAFEEFDAGDDDSDSDSESEEVDEYDVVVDDDDSEDGVVVLPPPPPPVIPHERHGARRFRGVRKRPWGKWAAEIRDPVRGVRVWLGTFPTAESAARAYDAAARRLRGAKAKPNFPSAPPPSAAAHRRKKRRAHAATRSPSSPPATSEVTAASASASSDVPAPAFASFVGEPGHGGAKSMPTTSHTSQPAPPATVASENVDDPEVFDPYDVHGGLASYFAGGAYESLESLLAHGGDSAAVDQAASDHWPAALWSFADDGSFCF >ORGLA10G0072400.1 pep chromosome:AGI1.1:10:10128085:10128387:-1 gene:ORGLA10G0072400 transcript:ORGLA10G0072400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWPRPTFPFSQEQDRAPPPLGQGRDRAQAPHPLPPSRACVPRPQAPSPPPNSTSPSSSSSVGELDFMVKMELLEVAREANLKLVLAGGRRGREGWGYA >ORGLA10G0072300.1 pep chromosome:AGI1.1:10:10121385:10121879:1 gene:ORGLA10G0072300 transcript:ORGLA10G0072300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFSGPESKKAPNSVSFTSKVNKVYEDKSMGILCYTDESGELICEGFDEGPRLTWQDMEKINREKXVMFRFHILNSRRCKSSELTIPLALNNRELKAEEDRRERMVRIGVAGIDWSSLRTAVSKPVSMGEELKK >ORGLA10G0072200.1 pep chromosome:AGI1.1:10:10115770:10120755:1 gene:ORGLA10G0072200 transcript:ORGLA10G0072200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRXDETSSLLFIHPWPPSLEAHHRHTGSLLHSAFLARSPHLTSLTYIAGSIAPLPAANEMLRAAARALTVSSLNPKVLALADHHLAGLVARRAQSMQQELDANPASHPFSEIIYCNHSNPQSQGQQPNKFFREVLALCNHPHLLDRSEASFMFSSDAIMRAREIVGLIPGKTTGGYSHCQGIEGLRDAIAAGIASRDGLPSYSEDIFLTDGAAAPVHMMMHLLIRGKKDGILCPIPSHSLYTDSMVLRGATLVPYYLDESRGWSVNISDLKKQLNGARAKGIAVRGLVVVNPGNPTGQVLVEENQCEIVELCKNECLVLLADEVYQENIYTDQKKFNSFKKVARSIGYGEGDISLVSFHSVSNGYYGECGRRGGYMEVTGFSSEVRGEVYKVASLSACSNISGQILMSLVMNPPKVGDESYPSYLAERDSILSSLSCCAEAMVSTFNSMEGMTCNKAEGGISVFPSVRLPPRAIEAAEAMNTEPDVFYALRLLESTGIVVVPGSVFGQVPGTWHFRCTILPQEEKTQQIISRFNVFHEAFMEEFRS >ORGLA10G0072100.1 pep chromosome:AGI1.1:10:10106485:10112182:1 gene:ORGLA10G0072100 transcript:ORGLA10G0072100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSVAVDNLNPKVLNCEYAVRGEIVIHAQRLQQQLQTQPGSLPFDEILYCNIGNPQSLGQKPVTFFREVIALCDHPCLLEKEETKSLFSADAISRATTILASIPGRATGAYSHSQGIKGLRDAIAAGIASRDGYPANADDIFLTDGASPGVHMMMQLLIRNEKDGILCPIPQYPLYSASIALHGGALVPYYLNESTGWGLEISDLKKQLEDSRLKGIDVRALVVINPGNPTGQVLAEENQRDIVKFCKNEGLVLLADEVYQENIYVDNKKFNSFKKIARSMGYNEDDLPLVSFQSVSKGYYGECGKRGGYMEITGFSAPVREQIYKVASVNLCSNITGQILASLVMNPPKAGDASYASYKAEKDGILQSLARRAKALENAFNSLEGITCNKTEGAMYLFPQLSLPQKAIDAAKAANKAPDAFYALRLLEATGIVVVPGSGFGQVPGTWHIRCTILPQEEKIPAIISRFKAFHEGFMAAYRD >ORGLA10G0072000.1 pep chromosome:AGI1.1:10:10085064:10089817:-1 gene:ORGLA10G0072000 transcript:ORGLA10G0072000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pumilio 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) TAIR;Acc:AT1G72320] MVCFGSKALRRKGDKQRCLPEGDIDYSLPMRRGRKDKSEKPRKGGSSSSNRPSAEKAKHGRKKSGDGKKSKGHGKGCHSDSSIEMNPGHMKNDNTLLPSKASKPVTNVLRKRVDPETAKYFLEISNLFDNKEIDLEERATICANALEETKGKELELATDGPISHTLQVLVEGCELEQLCVFLHNSIESFHIIAVDKFGSHVAEAALKSLATHLEDESSRGIIEDILIRICKVIAGDAANVMSSCYGSHVLRTLLCLCKGVPLQSLQDFHTTKRSAVLAERLSCGSTRSGGSDPKNQGCGFSDIFKSFVREMLQNAKDDIASLVTDKNSSLVLQTALKLSAGDDHELNYIISILLGFDEDDTAQKKDRSEQKNEIIALLEDTAYSHLLEVIVEVAPEELRNNMLTGTLKGALFAISSHHCGNYVVQALVASAKTSDQMEQIWDELGSRINELLELGKAGVVASILAACQRLETKRLESSQVLSAALSSNSESSDSIVAHMLFLENYLHQKSSWEWPLGAKMSVLGCLMLQSILQYPHQYIRPYVASLLAMDDNKILQISKDSGGSRVLEAFLCSSATAKRKFKVFAKLQGHYGEIAMNPSGSFLVEKCFTASNFSHKEAIVVELLAVQTELSRTRHGFHLLKKLDVDRYSRRPDQWRASQTSKETTQREFQVEFGLSSKGAGQNIEELLTSRSPSKKRKQKDKTDVTTEDASTNKQDLSHVGKTKRIKSEKTTCEKESSNKKPTNEDSGTSMAFLKNSAKRKSPGFLSDKPSFKRQKHHKPNAGNSSGKMFVRDSAGTPFVRNSGKQKRSIAELADLAGKEKLSASEVRKLLKTEMPGKS >ORGLA10G0071900.1 pep chromosome:AGI1.1:10:10082385:10083875:-1 gene:ORGLA10G0071900 transcript:ORGLA10G0071900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSIHELQSDLWLLKMKKKSCHGRATHDPQHGLSKIAGESTKHQQGNISGSQAAAAAKSKPWKKRYLTFLSKFQNKMKKHKKPDNIKAHHTRSHKTRSILLSSSQIMEECSNLVQVIRHTAADCFAAAATAVAAAVDYEEDDHHQPYMQLDQVNYGVMKREAFGPVYLVT >ORGLA10G0071800.1 pep chromosome:AGI1.1:10:10073245:10078139:-1 gene:ORGLA10G0071800 transcript:ORGLA10G0071800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWARAWPLVFLALCCCSWTQRRILVAATTDANDVTVLNALFTSLNSPGQLRGWQVNGGDPCGASWQGITCSGSSVTVIKLPSLGLSGNLAYNMNTMESLVELDMSQNNLGGGQNIQYNLPNKKLERLNLAGNQFAGNVPYSISTMPKLKYLNLNHNQLQGNMTDVFSNLPSLSTLDLSFNSLTGDLPQSFTSLSSLKTLYLQNNQFTGSINVLANLPLDNLNVGNNRFTGWIPNELKKINSLQTDGNSWSTGPAPPPPPFTAPPPSRNRKKSPGRHSNGSGSSSSSGGNSGLRAGAIAGIIVALLVIGAAVAFFLIKRKRKGTRQEHVEQRQPFNSYPSNEVKDVKPIPESTKIEVEPLPSPVAVSLKPPPKIERNQSFDDDDDDFSNKPVAKKSNSASVKATVYSVADLQMATDSFNMDNLVGEGTFGRVYRAQFSDGKVLAVKKLNSTVLPSQSSDDFFDLVSNISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDMLHLPDEYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDTEFNPHVSDAGLASNVPDSEFQASDQGSGYSAPEVDMTGQYTLKSDVYSFGVVMLELLTGRKPFDSARLRTEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMTRRMIDGEEGSRRPDDQDQEFV >ORGLA10G0071700.1 pep chromosome:AGI1.1:10:10058734:10060000:-1 gene:ORGLA10G0071700 transcript:ORGLA10G0071700.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTLQFFRKTLCCDSSRQGDQLLDLMSDGWTNERHSLYISSMEASFMEQLYGRDHHGLDRNRSHAGGATGFRVHREGACDNLRSERNDAHAHDGGMSCFPENPWIRRFRPRDAGVNHRNDAVGFSVDDDESGTDMVRQRVRVHGREAKSCVGGILADKSTEVSDQNFPDEDVEVDSEPCKRRRPTNSTATPHDQTT >ORGLA10G0071600.1 pep chromosome:AGI1.1:10:10053699:10055656:-1 gene:ORGLA10G0071600 transcript:ORGLA10G0071600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRSAASAASRVSREEAVARMPPLAHREVMLAVAGEAEARLGARLLPSEVPADVAWFGNAAGDAVGSVDVRRGAPGSSIAFMLEAWFHRELPGGGGGAIDITALIVNLNGATDAPHLVMEFIQGGPASLIVLLDLLPRVDLPLHPSYIHRYYAATALDARARRRVAGLVPQSRPYVSPSLLVRSLWSPAAVVADVQCGEGQGGAAALDGIVRGQLAATAMDVLGVWLEHCAAGGGGEMEAAERERIVARDRKVAAAELEVNLAANLPRMFDAGVADRVVAEIRKAFMGS >ORGLA10G0071500.1 pep chromosome:AGI1.1:10:10048877:10050588:-1 gene:ORGLA10G0071500 transcript:ORGLA10G0071500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRSPPPATSSPSSAVSLSTLAPRLLPLRRRCRGAGTPLGGKTSSAVRASSAAPGATESEVVVEVAHREVARELASLAEARLGARLLPSAVPPDVAEFRSGGAGNAVGSLDVRRGAPGSTIDFMLQSSLHCKVPNGAIDITSLLIFLNASTDAPHFLMEFIQGSPTSIVVLLDLLPRKDLALHPEYIERYYENTQVDKQREKVEELPQARPYRSQSLFVRSTFSLTAILMSIDCGQGGEGTLEEIVRGQLATAASALLQIWLDSCADHTSEMEEGERENMIKRDQIVRSKSIEVDLTSNLPRMFGPDVADRVIAEIQKAFGVQEAKH >ORGLA10G0071400.1 pep chromosome:AGI1.1:10:10027156:10029936:-1 gene:ORGLA10G0071400 transcript:ORGLA10G0071400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKYRGYYHEEASSAAGGGGGGDGYRREKQVRKKRLTAQKRKEIKEAFDLFDTDGSGTIDPKELNVAMRALGFELTPEQIHQMIAEVDKDGSGTIDFDEFVHMMTDKMGERDAREELNKAFKIIDKDNNGKISDVDIQRLAIETGEPFTLDEVREMIEAADENGDGEVDHEEFLKMMKRIGFGAGFF >ORGLA10G0071300.1 pep chromosome:AGI1.1:10:9993856:9995462:-1 gene:ORGLA10G0071300 transcript:ORGLA10G0071300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: eukaryotic initiation factor 4A-III (TAIR:AT3G19760.1); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other /.../yotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G37020) TAIR;Acc:AT4G37020] MRSPAAAEFSDALPSPTSPAATPSHPSSGRHFYLAVDRLQFKMRTLLELLGVVADRRGALPIAVGVSSRDELDLVCADVASLPFVSLSPLYSDQAESERASVLDKFRQATIQWNHTKAASADIADSPKTESADSKLTIVVATDACLPQATLGEAPLMARVLINYELPTKKEAYFRRMSTCLAADGIVINMVVGGEVATLKALEETSGLLIAEMPIHVSEIL >ORGLA10G0071200.1 pep chromosome:AGI1.1:10:9980440:9980922:-1 gene:ORGLA10G0071200 transcript:ORGLA10G0071200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLRRGCGASASTSTPLIPVGVDKAPNIDPNLYFVAITGIKVASGEIVSDKAAAIMTTVSTLYTFLNPVLFDHLKKQLPPLADKGSSVLCYTKDTELPAIMLVFAGKDAAMKLAPEHYSYKRSDGAVCLSILRSPLHGGVFVIGSRGGPRIFNLGIRN >ORGLA10G0071100.1 pep chromosome:AGI1.1:10:9961187:9962230:-1 gene:ORGLA10G0071100 transcript:ORGLA10G0071100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63220) TAIR;Acc:AT3G63220] MMSTLIDGLPNEVALQCLARVPFLSHPVLQMVCHSWRASVRNGELSKVRNQISATEDLLCVLAFEPENMWQLYDPLRDKWITLPVMPSQIRNIARFGVASVAGKLYVIGGGSDRVDPLTGDHDRIFASNEVWSYDPLRRLWVQRAPMLVARAMFACCALDGNIIVAGGFTNCRKSISKAEIYNPEADTWEPLPDLRQAHSSACSGLVIKGKMHVLHKGLPTVQILEDGNAWAVEDYSWLQGPMAMVRGELYVLSNSCIMKQRGVNFPDKMVSCASEFQSRIGFGMIGLGDNIYLVGGVIGPGPRNQCIKPLSDVDILNVTSERPTWLPGSPMTHCRGSISGCALLRI >ORGLA10G0071000.1 pep chromosome:AGI1.1:10:9947652:9948701:-1 gene:ORGLA10G0071000 transcript:ORGLA10G0071000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRMDQRAIIHGEKMEEVAKKENQMWRASYGGRFSAKRDHHHHGWWSQSSSFAATDGPDRSPLFCTCDTGDIGTRAVLELAGFSNSLFEKSKTESSSNEAKRCFRVFNGKLENVGYKSSGTKEADQKANQERGECRQGAER >ORGLA10G0070900.1 pep chromosome:AGI1.1:10:9943818:9944362:-1 gene:ORGLA10G0070900 transcript:ORGLA10G0070900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGMAGGDGGEPASNTGRTVMLRLCRQPSSTCASSSAVERMRASEEGTETEAKAEEMGPVGVATTVLAVANARDAIKEETXEMPGWSRHRRLKLGQASEDKEEASAEVELADKALREAPHVLHDRVSH >ORGLA10G0070800.1 pep chromosome:AGI1.1:10:9940192:9941750:-1 gene:ORGLA10G0070800 transcript:ORGLA10G0070800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFPFVPFFLAFFFLLPPPLDVPIAAAGNGSIGGRPEESLMKQAIQREGGSESDGADHQRQRRLWSGGGGXVGGGVQVWRTWVLTEACMSAIRXATLXEIHSDDNCANWSVERESTVVANAASCRIEEEGRKKKGGRRXKKITNKKIEMWPAIXARSYRNEAHMEEKWKKQQRKKIKCGEPHMEGDFLPSVTIIIMDGGRRAVPGQSTVPRHRPRHDPTVRPGQHRPDTSRVMPCLGRAKWPCYGSGHHASDHMAIYTND >ORGLA10G0070700.1 pep chromosome:AGI1.1:10:9939234:9939764:-1 gene:ORGLA10G0070700 transcript:ORGLA10G0070700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRRQRGAARAAAAVAAWSYKGGGCSVGIELRGRRRRRGDVRAAVATAAWSYEGGDCGVDIELRARWLRLWCGAARAAALGAACAVFGLAAACGRLGDGRAWSSGRWGVGVAPRMELADNYGIDVRELVIARMGAPLPPPDLECGCSPRASPSPDCPARAPPPPLSSSSLGMLAQG >ORGLA10G0070600.1 pep chromosome:AGI1.1:10:9938586:9938837:1 gene:ORGLA10G0070600 transcript:ORGLA10G0070600.1 gene_biotype:protein_coding transcript_biotype:protein_coding QYKIPHEIPGNGEVEHVVLVALKDACRLVGDCERPVGDDEVLREHVLPLFPFTNFLDSWDSASVVVIDDDVPSAGVALGGCGR >ORGLA10G0070500.1 pep chromosome:AGI1.1:10:9937969:9938343:1 gene:ORGLA10G0070500 transcript:ORGLA10G0070500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMHNTLCGGGGGDDDDANENLSFSSSYEEDEASSFCGGAIVHGNLKASNILFTGTMEPCISEYGITTPPSVAAPSSGAAVLRTDVRVYDVLLLELLTGKATAADGAELARWVTAVIREEWTV >ORGLA10G0070400.1 pep chromosome:AGI1.1:10:9898744:9901483:-1 gene:ORGLA10G0070400 transcript:ORGLA10G0070400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGLLAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFSPYFWPYLGQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGSMETTTDANQNTGATCDKVKSQLSNFLPTSRRIMQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVVGIDPYAVPKSMGIFQTITSTKPITTVSVATRIIDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >ORGLA10G0070300.1 pep chromosome:AGI1.1:10:9896767:9897447:1 gene:ORGLA10G0070300 transcript:ORGLA10G0070300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVAMNMKRKEHAEVANNGLSIFLDPKRLKLQDGEIPDMMEEEKPSAGVQLDPTVPTMALSWMLPHDTMNTAYEMSSSETPPLRADQAAAAAPWTSRFSSG >ORGLA10G0070200.1 pep chromosome:AGI1.1:10:9789390:9799192:1 gene:ORGLA10G0070200 transcript:ORGLA10G0070200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: CTLH, C-terminal LisH motif (InterPro:IPR006595); BEST Arabidopsis thaliana protein match is: LisH and RanBPM domains containing protein (TAIR:AT1G61150.1); Has 333 Blast hits to 242 proteins in 88 species: Archae - 0; Ba /.../ - 0; Metazoa - 104; Fungi - 47; Plants - 152; Viruses - 0; Other Eukaryotes - 30 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G66810) TAIR;Acc:AT5G66810] MDTPPPPPTQSSPPVNWDALDALVLDFARSDRLLLPPASPSPPSSPSSSSTTTATSSSSSAPSPSSSYRSRLLIRRARTALEEGDVDAALALLRAHAPAALLDHRFLFHLHKQRFVELVRSGTEADREAALDCLRTALAPCALDAYPEAYEEFKHILLVLIYDKDDQSSPVANEWSIKKRFELAGLLSSILRAHLQAYDPILSMTLRYLISIHKLLCSRQGISSPISDLTERLLFDDRDPPAVPQECSLEAPPFDEVDVQALAHAVELTRQGAVDSLKFAKGDLFQAFQNELCRMKLDLPLLDKLIHEYCIYRGIVEGGSHVLPGLQSNNQSNDVNFVDKQEDSTETQIDFQMTNNQNGNCSTSDTSHHDSWSRRLRRVRSSASGQRRRKRWRGRVDDLDYGCEIPLDANKHAILCSALGMDEDDMIVKPDLMADTGLPDSRSNQDQKYEAILEMRDLTRKGMASKVVEEINNMDPDFFLKNPIILFQLKQVEFLKLVASGDHGAALKVASTHLGPLAASNQALLKPLKETLVTLIQPCEDVLTKSVSLPVLASSLQIAMSRRLGIEEPQLMKIIRTTLHTHSEWFKLQMCKDRFEHFLKIDSLKEVDPSAGSHNMSKVLTDECANGSSQITTCSSGKVLDEGSSPQESSEVTCDENAILKVMEFLALPRADAIQLLMQYGGNAEAVIQQIFS >ORGLA10G0070100.1 pep chromosome:AGI1.1:10:9785288:9786138:1 gene:ORGLA10G0070100 transcript:ORGLA10G0070100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLLMKFYKMESMPSPSRSSSLSFLSSFISPLLFSWMPLISATEPAEKLMPLPSTTPSRISTLRVISSLPTRGSSVKSHHHGCTILPHHGAGAGARASGGGGGVXVHGLDSSNADATASATLATTAARPLGSTAPAKVAFLAVALRTVVSCSPWRTRFAVAPTVFSGRRSHIGATAALETWWWSLPLPSKEVRRGKKTEEGRKKTERKEMDGKHDNSGTVLIL >ORGLA10G0070000.1 pep chromosome:AGI1.1:10:9778724:9779935:1 gene:ORGLA10G0070000 transcript:ORGLA10G0070000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:I1QU17] IFHCVFXPQYRARVLSVWANKTQSMMHAHTNASSLISQSNHLMSNPKNKSMKIMLAGVPSLNNYDHRRRGHGRSDLITVKVMAILLLLISTPAHSIGGVGDDDDDVEYVEITCYINTRPFDYCFEHAYLLLSDNEHRKTVHGNHPVLAAKRRGQDTFSAPPRKWLKMHLVGRKPRIDRCTIALRFDTIYLMAFSTNQNQWYSMYSGFPIAHTRLPFDEDYYALAGGTCNLVTVPLGKESALDAIHTLATFDGESANDLKIPLVKLRIMFSEALKLKPVRLAFSRDWNEETHITKQDTGYIGCWPLMSFNLVAWKNSGYVYWKSEKNLENCLVNTPWNASEVGDLLMRPNDEAI >ORGLA10G0069900.1 pep chromosome:AGI1.1:10:9766753:9768713:1 gene:ORGLA10G0069900 transcript:ORGLA10G0069900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADLLPDDVLEEILHRLAPSPRSLAACRVVCKAWRAAVDTRCPPPRPDLLPLSLAGIFFSGFYFAHEYLPGFFARRGHHHCDRIFPKLDYLDDAPISKLEAIDHCNGLLLMQEYIVNPATRRWARLPPTPEWSPAGSDLEAVVTDSCREEYLVFDPTVSPHYEVFSIPELVFCRGDDKDNAESVVRQHEWPPSPFVVQVYSSATGRWEKRSLVRRGEAAGTIADVHYSSWMASHHLYGVYWRGALHVQMKNNDVIRITLLDDKYQVIKSPSDINLNNHPYIYLGRSKKGVCCASIDDKQHQRLQVWLLHEVLLGGDQMEWMLIHDVSLEQIMADFRWNPEAVKPWIKHNTYRGENKNNEEISEDESPGWDSEDDSIVVYTEDMVYDWLWASCTWRRCMGRDDYGVL >ORGLA10G0069800.1 pep chromosome:AGI1.1:10:9764305:9764592:-1 gene:ORGLA10G0069800 transcript:ORGLA10G0069800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSSGLLPPPATASTGDDLHGNELTAIAGCRCDKCPSSPLFLFYFPLVAWPIHWCWRSEMGRSRRCDDAAPSCSSSHTRSRSSDDGDDNELLV >ORGLA10G0069700.1 pep chromosome:AGI1.1:10:9756963:9757771:-1 gene:ORGLA10G0069700 transcript:ORGLA10G0069700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADVLSPPGGPLQRPREKGEVRDAGVGACASLLGGGRGRDDSAVGEGAGVSGLRGGRREVVGEAGAEQGGAVVGRQRRSTREAAAPCQRWRRPRQGLGTGAVEEHVPEPTDGLLDH >ORGLA10G0069600.1 pep chromosome:AGI1.1:10:9722314:9723441:-1 gene:ORGLA10G0069600 transcript:ORGLA10G0069600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLYVEQKKFTSPKYNCFIGTIHCYWCQGSICKYLFNKFVLNANDIDVIPFFVLVTSMRDKEDNVNVTGAIILNHGTKRKWYIFLISFSKDE >ORGLA10G0069500.1 pep chromosome:AGI1.1:10:9719314:9720894:-1 gene:ORGLA10G0069500 transcript:ORGLA10G0069500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPSHCHATNGNNGAIAAADTPVKTQHCARLLDADEFRRQGRLVVDLIADYYAGMGEYPVHPTVSPGFLRHRLPAEPPSRRETDAFAAAMQDVRDVILPGLTHWQSPRHFAHFPASSSTAGALGEALAAGINVVPFTWAASPAATELEMVVVDWLGKALHLPERLLFAGGGGGTILGTTCEAILCALVAARDRKLAAIGEERIGDLVVYCSDQTHFAFCKAARIAGIRREHCREIPTCRDDAFALSPAALRTAMRRDADAGLVPLFVCATVGTTQTTAVDPVGELCAAAAPHGAWVHVDAAYAGSALVCPELRGAVAGGVEAVDSFSMNAHKWLLANNDCCVMWVRTPSALVAALGTDQEYILKDAAAETAAADGGAAVVDYKDWGITLTRRFRALKLWLVLRCYGVEGLREHVRSHVGMAAAFEGMVRADARFEVVTPRRFALVCFRLRSPNKKTANELNRRLLEEVNAASSGPYMSSANVGGVYMLRCAVGSTLTEERHVREAWKVVQDRATSILAKMDIIM >ORGLA10G0069400.1 pep chromosome:AGI1.1:10:9693845:9695246:1 gene:ORGLA10G0069400 transcript:ORGLA10G0069400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVEITRSEVLRPSETSAAGGGGKRSPLTVFDRAAMDWYIPAVFAWDGAAAPSNDEVKGGLAAVLARYPHLAGRFDVDERGRRCFNLNDAGVRVLEATVAADLADALAHDVAAHVNELYPKADMENADEAVFQVQLTRYACGGLVIGTACNHQVSDGQSMSFFYVAWAAAVRSAGATLPTPFVDRAAIAVPRGPPAPAFDHRNIEFKGENSWTHSYGSLPLERIRNLAVHFPDEFVAGLKSHVGARCSTFQCLLAHAWKKITAARDLSPEEYTQVRVAVNCRGRASPAVPMDYFGNMVLWAFPRMRVRDLLSSSYAAVVGVIREAVARVDEQYIQSFVDFGEVAAGDELTPTAAPPGTVFCPDLEVDSWLGFRFHDLDFGRGPPCAFLPPDVPVEGLLIFVPSCAAKGGVEMFMALDDVHVEAFRQICYSMD >ORGLA10G0069300.1 pep chromosome:AGI1.1:10:9661623:9663023:-1 gene:ORGLA10G0069300 transcript:ORGLA10G0069300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVEITRSEVLRPSEASAAGGGGGKRSPLTVFDRAATDWYIPAVFAWYGAAAPSNDEVKGGLAAVLARYPHLAGRFDVDERGRRCFNLNDAGVRVLEATVAADLADALAHDVAAHVNELYPKADMENADEAVFQVQLTRYACGGLVIGTACNHQVSDGQSMSIFYVAWAAAVRSAGATLPTPFVDRAAIPVPRGPPAPAFDHRNIEFKGENSWTHSYGSLPLERIRNLAVHFPDEFVAGLKSHVGARCSTFQCLLAHAWKKITAARDLSPEEYTQVRVAVNCRGRASPAVPMDYFGNMVLWAFPRMRVRDLLSASYATVVGVIREAVARVDEQYIQSFVDFGEVAVGDELTPTAAPPGTVFCPDLEVDSWLGFRFHDLDFGRGPPCAFLPPDLPVEGMLIFVPSCAAKGGVEMYMALDDLHVDAFRQICYSMD >ORGLA10G0069200.1 pep chromosome:AGI1.1:10:9646185:9647899:-1 gene:ORGLA10G0069200 transcript:ORGLA10G0069200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVEITRSEVLRPSPASAGGGGGGGEMVPLTVFDRAATDGYIPTMFAWDAAAAAALSNDAIKDGLAAVLSRFPHLAGRFAVDERGRKCFRLNDAGARVLEASAAGDLADALAHDVAANVNQLYPQADKDRVDEPLLQVQLTRYTCGGLVIGAVSHHQVADGQSMSVFFTEWAAAVRTAGAAFPTPFVDRSAVAAPRSPPEPAFDHRNVEFRGEGSRSHSYGALPPERMRNLAVHFPPEFVAGLKARVGGARCSTFQCLLAHAWKKITAARDLSPEEYTQVRVAVNCRGRASPAAPMDYFGNMVLWAFPRMQVRDLLSATYAAVVGVIRDAVARVDERYIQSFVDFGEVAAGDELAPTAAEPGTAFCPDLEVDSWIGFRFHDLDFGGGPPCAFLPPDVPIDGLLIFVPSCAAKGGVEMFMALEDQHVEALRQICYSMD >ORGLA10G0069100.1 pep chromosome:AGI1.1:10:9627418:9628358:-1 gene:ORGLA10G0069100 transcript:ORGLA10G0069100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRLERVSEGYGEAAWRRRGSERIRRRRRLYVMRKIGGCQYYQWEDEMLDTGVRTHLAPAPIHAGHLXAVAPLGGFPTAAPQAIMQDRAARVVGNTQVDIR >ORGLA10G0069000.1 pep chromosome:AGI1.1:10:9625322:9625648:-1 gene:ORGLA10G0069000 transcript:ORGLA10G0069000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYGMDDRHMDGLEGDNDDQLSPGEANISVDVDATTLPTQNSDNQPSAKRAECWRHFEVFTEMVDGKSIPRAQCKYCDQILSAATSLGTSHLNRHYLALTDTIVLRP >ORGLA10G0068900.1 pep chromosome:AGI1.1:10:9621493:9622626:1 gene:ORGLA10G0068900 transcript:ORGLA10G0068900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNGAGERPDWFLDGMYLDNSFNLETRIVARNSRAQWYSLNQVVDADHTQFSGLLDDLVEKCPHEYVEPTSVALNHSAEPTNAAKSQSVELEYLGNPNPMNEHVGVDDEVEDIDDEIKDREPENMLDAFYDKKDPPMSVGTVYSYMDSFKIALASHAVKREYNYDIEKNDTGRYRVNCAQKNDGCLWRLHASTGKDGHAI >ORGLA10G0068800.1 pep chromosome:AGI1.1:10:9612365:9613564:1 gene:ORGLA10G0068800 transcript:ORGLA10G0068800.1 gene_biotype:protein_coding transcript_biotype:protein_coding FEVSNSYTPNSRSQGNIGLLTVVDDTAIEQQTKEVLTSMCVAFNSKTAMNRAPEEILMEILAIRQNMVTLAANEEREYCHGQCSSGDRVGQGGLVAAYAPTFLVDATQDLVSSSISTRDAAPMVNQEETEVTTSQDSTIFDVRIAGVNTVIELAADGAQLDAAHTIIAEAKVQVEAGGKHPSQEVRQRSRQLIDPTPTCQNELQKTMPNEKTH >ORGLA10G0068700.1 pep chromosome:AGI1.1:10:9601803:9602396:-1 gene:ORGLA10G0068700 transcript:ORGLA10G0068700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAAGDASAAAFWTACPHCCYVHSYPRHYAGRRLRCPTAACRRAFSAAELPAAPPIVPGTDMYFCTWAFFPVGPPAAAADGWAPFTPFNSAPTPSPPPATAAAPAPAPARAGGPTSRRKMCVSLKGRARVEAEQEELEEEEEAAAAATIIDLEPEVQEGGIGEKGFTGINLNESVELSELGFRDDEIELFTAMS >ORGLA10G0068600.1 pep chromosome:AGI1.1:10:9596107:9597375:-1 gene:ORGLA10G0068600 transcript:ORGLA10G0068600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPGSKESQNYDSNNQKVHPQPIDENMNQNMGSMDTMIGRIFNNISSLKSAYIQLQEAHTPYDPDKIQAADQLVIEELTKLSELKHAYREKNPKPVAATPQDARLLSEIQEQQNLLKTYEVMVKKFQSQIQTRDTEITHLQQQIDEAKLRKSKLEKKLKQRGLLNKESEESDDEDNYFSIELTPSLFTSAVDNAYQSIHDFSKPLINMMKAAGWDLDAAANAIEPAVIYTRRAHKKYAFESYICQRMFGGFQEESFSVKAANITVSNEAFFHQFLAVRAMDPLDVLSQNPDSVFGKFCRSKYLLLVHPKMEGSFFGNMDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPKVKVFQVKKGSDFSEIHMESVVKNIILDEGAERPKVGLMVMPGFLIGTSVIQSRVYLSGVKSAD >ORGLA10G0068500.1 pep chromosome:AGI1.1:10:9591340:9594793:1 gene:ORGLA10G0068500 transcript:ORGLA10G0068500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSSQKMPLLPSPLGSLSAAATAAPRRAAAAAGLSPLLLRRRAPIAGALLFLSLGAFAGVRSLSSSASARMESTSTTVPSIVVYVTVPNKEAGKRLAGSIISEKLAACVNIVPGIESVYWWEGKVQTDAEELLIIKTRESLLDALTEHVKANHEYDVPEVIALPIKGGNLKYLEWLKNSTRES >ORGLA10G0068400.1 pep chromosome:AGI1.1:10:9564934:9565564:1 gene:ORGLA10G0068400 transcript:ORGLA10G0068400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGKMMPIGVVNIATARRPGVMLSPWALKTVDYRCLAHHLAQQLNFATTQDDTTLEEQTLLIHPCCHQSSLKDITAPSVGLGCGR >ORGLA10G0068300.1 pep chromosome:AGI1.1:10:9561213:9562501:-1 gene:ORGLA10G0068300 transcript:ORGLA10G0068300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGMQTPVVAVGATDWAWRLGALVAGAVPLLALAVWHCTDAFHRAAFAFRRRGTRRARRLPPGHMGLPFVGETLALLWYFNLARRPDAFIEAKRRRYCYGDGDDDAGIYRTHLFGSPAVLVCSPASNGFVFRSAPPGSFGVGWPDPELVGVSSIVNVHGSRHARLRRFVLGAINSPNSLRSFAEVVQPRVAAALRSWAAKGTITAATEIKKVTFENICRMFVSMEPSPATAKIDEWFAGLVAGFRALQLDIPGTAFHHARKCRRKLNSVFREEVERRKLKAKLEEHDDVMSGLMRMKDEQGRRLGDDEVVDNIVSLVLGGYESTSSAIMWATYHLAKLPAVLAKLRVRKPIK >ORGLA10G0068200.1 pep chromosome:AGI1.1:10:9557499:9559467:-1 gene:ORGLA10G0068200 transcript:ORGLA10G0068200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQVVEETIRLANISPMLYRVALRDVEYRGYTIPEGWKVIVWIRSLHVDPKYYDDPLSFNPDRWDKAAKLGTYQVFGGGERICAGNMLARLQLTIMLHHLSCGYKYSLNFQTFINSTCVQLFSVIIHPLYFFFTGSIYFFQMGVVES >ORGLA10G0068100.1 pep chromosome:AGI1.1:10:9547559:9552945:-1 gene:ORGLA10G0068100 transcript:ORGLA10G0068100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyridoxin (pyrodoxamine) 5'-phosphate oxidase [Source:Projected from Arabidopsis thaliana (AT5G49970) TAIR;Acc:AT5G49970] MLTVAASALLRNNKKSTAAACFSLAMPFLLSSPTPPPPPPHSSLPRSPSPRPRLPLPPPRRAALITAAQDPRWRRAMASLAVSASASASGEEVTHLAQREAAEIDEQLMGPLGFSVDQLMELAGLSVAAAVVEVKVYKLGEHTRVLVICGPGNNGGDGLVAARHLHHFGYKPSVCYPKRTPKPLYSGLCTQLESLTIPFVPVEDLPANLSEEFDIIIDAMFGFSFHGTPRPPFDDLINRLVSLSAIDNSAKRPAIVSVDIPSGWHVEEGDINGGGFKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPPPIVSKYKLHLPPYPGTSMCVRIGKAPSVDISSLRENYISPELLENQVMPDPFDQFVRWFDEAVTAGLREPNAMALTTADKEGKPSSRMVLLKGVDKQGFVWYTNYGSQKAHDLSENPNAALLFYWNEMNRQVRVEGSVQKVPEEESEKYFHSRPRGSQLGAIVSKQSTIIPGREVLQQAYKELEQKYSDGSVIPKPDYWGGYRLTPKLFEFWQGQQSRLHDRLQYSLREVDRSTVWHIERLSP >ORGLA10G0068000.1 pep chromosome:AGI1.1:10:9536197:9538068:1 gene:ORGLA10G0068000 transcript:ORGLA10G0068000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSLLPCREYGPHVCTGWRMGDRQRYTGLLHAACGARKPLNRAVLYTVEFQKRGLPHIHCLVWLAAENAEFSAPIVNGFIFAEIPDVLTDPLGYALVDEFMIHGPCGNQNRACPCMKKGECSKHFPKSFQEETMMDEFGFTIYKRRNNGRYVVKNGIKLYNRWVVPYNLELLKKYQAHINVEWCNKSNMIKYLFKYVTKGADRTKAFFEISGNASNKTSESSTSPRNEIQECIDARFLSTCESHWRAFELDIHYRMPSVERLTVHLPNMNFVRYKKGSDLQSLLSSPAAKKTLLTEWFEANRKYSSAHTLTYCDFPREWTWDSSSRSWRPRTPCEKIGRMYYVSPVSDELYYLQKLLMIVKGTMSYADVRTYDGVVYPTFKQACEARGLLESDNECHLLFDEAIVSASSGQLRQLFVTVVMFCSVGNVRSLFEKYWTYFTNDIQRRVRTALSNPSYIIPSDRLLSLLMKELHTVFSNSGGSIDDYDLPRAAIYSDDIVGNIMVDEELAPDAAALAAEANLNIPRLNTDQRNFFDTIIQ >ORGLA10G0067900.1 pep chromosome:AGI1.1:10:9527894:9532122:-1 gene:ORGLA10G0067900 transcript:ORGLA10G0067900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEKVDYVFKVVLIGDSAVGKSQILARFARNEFSIDSKATIGVEFQTRTLLIDHKSVKAQIWDTAGQERYRAVTSAYYRGALGALLVYDITKRQSFDHIPRWLEELRGHADKNIVIMLVGNKSDLEDERAVSTEDAKEFAEKENLFFLETSALQATNVENAFQTVLSEIFKIHSKKNMAADPKANGAAPSLAGKKVVVPGPAQEIPKSKCCSSM >ORGLA10G0067800.1 pep chromosome:AGI1.1:10:9521254:9522357:1 gene:ORGLA10G0067800 transcript:ORGLA10G0067800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSCRGSSMAIIHDTSDQQEDNMRSYMDGGGAAAYEEEEEEVEDDDGGGGGGGGGGGLGEKKRRLAAEQVRALERSFEADNKLDPERKARIARDLRLHPRQVAVWFQNRRARWKTKQIERDFAALRSRHDALRLECDALRRDKDALAAEIADLRDRVDGQMSVKLEAVAADEHQPPPPPPPPPLAYNSKVVDGSTDSDSSAVFNEEASPYSGAAIDHHHHQTPASYDTAGFTSFFAPSTTLTSSLSFPSMFHASSHFDGHQELLVGGGGAGAVADADLGGAGFFAGDEHAGGLSWYGTEGW >ORGLA10G0067700.1 pep chromosome:AGI1.1:10:9500506:9501682:1 gene:ORGLA10G0067700 transcript:ORGLA10G0067700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVRSVASLYCQGLRRTVRLGGGATAGTPAARAPALDQRRPFLSRVDRIEATTAGGGGGGAADTGGSPRRHGKEGTAPLFTWARLVVGSVLTAMAPFLQSKWATLLRIQSEVEMVKDAAETTAEVVEEVAAAVEKASAEVAEAEQLPEHGALRRAAALVERASREVAEEAHLAHDIIHKIAEND >ORGLA10G0067600.1 pep chromosome:AGI1.1:10:9494621:9496059:1 gene:ORGLA10G0067600 transcript:ORGLA10G0067600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVYSHGGHFGGYGGGLMGGAPAAPWDVFAAAAAGGGGSWDDPGLFAAEASLDVIQGVDEWEVDQDQHASSSSKVAARPPVKAAAAAGKRKRRRAKAAKNREEIESQRMTHIAVERNRRRQMNEYLAVLRSLMPPSYAQRGDQASIVGGAINYVRELEQLLQTLEARRTIKDHIDGGAGESPSPFAGFFAFPQYSTATSGHGGGGDAHSRIVVKPAETTTTAAGGGAGAAIADIEASMVEGHASVKVQARRRPRQLLKLVAGLHQLGLTTLHLNVTTVAAMAMYSFSLKVEDGCKLGSVEEIATAVHEILERMQEEQAFADAKTSL >ORGLA10G0067500.1 pep chromosome:AGI1.1:10:9485309:9487010:-1 gene:ORGLA10G0067500 transcript:ORGLA10G0067500.1 gene_biotype:protein_coding transcript_biotype:protein_coding CDDVSSANLTVLSVSAKMFLAFQIFGNFLEVEPSFDCCTAGDKPLPQQQWRLTKFGDQPPQPSLGWSPNVNLPCPLPPTQPTVVSLPAPRSGGPTLPLLPHHHHFRSKVAFRFPNDQGFEGGTNGGVFVEQAHHPSASTVLGALERHTTLLLYSNWLVGSLPPSLGGLAALRMLHISNDTSAMSSPSLVALSRLANLTEFELASCNLHNMIPRSLGRLTKERGGRGDWKDDRRHRCDQWLRQQCPRDTPSSPTIAFEMRWREMGKKIRGDDGGRRWN >ORGLA10G0067400.1 pep chromosome:AGI1.1:10:9480762:9481040:-1 gene:ORGLA10G0067400 transcript:ORGLA10G0067400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVAKAKEVTMMTLIGGDGVAWQATRKEGTMAGRLSEEEVMGQMWHGIVACPLVHEGGGWRWRKKPSSSSPHGGWWRLRPVTELELTHEGK >ORGLA10G0067300.1 pep chromosome:AGI1.1:10:9474136:9477368:-1 gene:ORGLA10G0067300 transcript:ORGLA10G0067300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGQRAKEAVGGAWGCPDPVVPNHLKTGYSAQEFGAAERRQAVTLGWRAKEAAAGAWGVQIRWCRGDASENNTISPEELQIRDELEADIEEDLEREIIDDMCRLTRHLQRLYQQRDLRQLTGSATSYQMPLYHTTTEVLSEINIRINLDGQCKINITKIEQDDDTENQRKTCPNAYQSDKRQGHVKARQTYTVSRRKQQNHPVAPWR >ORGLA10G0067200.1 pep chromosome:AGI1.1:10:9469732:9470883:1 gene:ORGLA10G0067200 transcript:ORGLA10G0067200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSHHLLAAAVAAVVVVVMGWSVRPCEASLYQPPPPAMAYHDGAVLEGAVPVSVLYYGAFPPHHRAVVADFLMSLSPRGRDHQPHTFGAPGPAPPPTVARWWGTVERYVRKAGRGGGAGVARVVLASRGXXXGCSLGRRLSRAQVERLAARLGVAPGGVAVVLTAADVAVEGFCSSACGAHGSSAPGGGAVHVWVGDASAQCPGRCAWPFHAADYGDADAGRHRRAHGHDVALRAPNGDAGVDGVVINLAALMAGAVTNPYGRGYFQGDAAAPVEVAGACPGVYGRGAYPGYPGAVRVDAATGAGYNVVGRNGRRYLVPALVDPDNYSCLIMT >ORGLA10G0067100.1 pep chromosome:AGI1.1:10:9464376:9467574:1 gene:ORGLA10G0067100 transcript:ORGLA10G0067100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMRCIPGLLAGRRKKRVVADAKKASGDCLKVKPVEFIDSPAAECGDGKVAPCDVKVVVDDTVVTALAATKGGGGGDDHGGDMALAKRGSMSSDFEFEFHAHEKPDGHGVPAGASPDAVIASGKEVVVADASPKLKRSCSNIETKRPGAHDAAAEAAPARSRSYGDLGNLPGGVGGGISLETTPRGAAPQAEASPASVRTSRTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPSPAARPAAAACTPAGHHGGGGYTSDTLEEGPAAAAADRKNKKVMVDDSPPIPNQWVAFSADNSLHDRISAWVNSIDNDTFRIAEEDNDDHHHHHYHGDADDDDGEHAMEHGDCVARAPRALEIGESSGKGHGKSKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAVNLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSGCTALRELYLAGNKISDVEGLHRLLKLAVLDLGFNKVTTARALGQLVANYHSLRALNLVGNPVQANVGDDALRRAVTGLLPHLAYLNKQPVKPRGAAPADGAVSRAALEAGGAGGGSRSAQKRSSAAAASRRLGQRGEGSGSGRSRSKGRQPSSSLPARR >ORGLA10G0067000.1 pep chromosome:AGI1.1:10:9443233:9443472:-1 gene:ORGLA10G0067000 transcript:ORGLA10G0067000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WKEGGEPSADASPTFASLRXGTTTASNRPTRHPSLPPWPSTDDADDSADLAEPSPAAADSITVGVELATLFLGESRGCS >ORGLA10G0066900.1 pep chromosome:AGI1.1:10:9428955:9432677:1 gene:ORGLA10G0066900 transcript:ORGLA10G0066900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPLSAAGAGGGRRRWQNRLSPTLARDRCYTRAFRSAGLRPTAVPLPDGAVVHLWLPPAAPPELHPVLLLHGFGARATWQWAPFLRPLIAAGLAPFVPDLVFFGGSASPAADRSPAYQAACVAAAMAAVLPGAPQVQAQRYAVVGVSYGGFVAYHLAHAFPAAVERLVLVAAGVCLEEADLAAGLFAVEDIAEAASLLLPQRPEDLRRLVGLTFCRPPRFMPSCFIRDYIRVMCTENVKEKTELLHALINGKKLSDLPKINQQTLIIWGEQDRVFPLELGLRLKRHLGDTSELVIVKNAGHAINREKPAELCRLIKNYIADPSVKYRDGHKGSWKSAIKRFAGSSLRKVDSTRPLL >ORGLA10G0066800.1 pep chromosome:AGI1.1:10:9419846:9426634:1 gene:ORGLA10G0066800 transcript:ORGLA10G0066800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADARRFAVVPQLDIAQILKEAQQRWLRPAEICEILKNYKSFRIAPEPPNRPQSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKSGSIDVLHCYYAHGEENENFQRRTYWMLEEDFMHIVLVHYLETKGGKSRTRGNNDMHQAAVMDSPLSQLPSQTIDGESSLSGQFSEYEEAESDIYSGGTGYHSFTQMQQQQNGIGPVTDASMFSSRVSASSIGNYQGQHAMGHTTNFYSSNQHDSPLVLSDPNLELENNGHESLWNGVMKPDEGTVQMTHLQPPVHPEQGMFTTEGQGVEYLTFDEVYSDGLSLKDIGAAGADVEPFWQLSSATADISATENSVQQNDGSLGAAIGFPFLKTQSSNLSDILKDSFKKSDSFTRWMSKELLDVEDSQIQSSSGAYWNTEEADSIIEASSREPLDQFTVAPMVLQDQLFSIVDFSPSWTYAGSKTKVLVTGRFLHANEVTERCKWSCMFGEVEIQAEISADGTLRCYSPPHKPGRVPFYVTCSNRLACSEVREFEFRPSDSQYMDAPSPLGATNKVYFQIRLDNLLSLGPDVYQATITNPSKEMIDLSKKISSLLANNDEWSKLLKLADDNEPLSHDQQDQYAENLIKEKLHVWLLHKVGDGGKGPSVLDDEGLGVLHLAASLGYDWAIRPTVTAGVNINFRDFHGWTALHWAAFCGRERTVVALIALGAAPGALTDPHPNYPAESTPADLASANGHKGISGFLAESSLTSHLQALNLKEANMSEISGLPGIGDITERNASQPAIGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAVQYEGDKGGISDEHALSLLSMKPSKSGQIDPLHAAASRIQNKYRGWKGRKEFLLFRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKVILRWRRRRAGLRGFRPTEGAIESSSGGTSSNLVTDKPAGDDYDFLQEGRKQTEERLQKALARVKSMVQYPEARDQYQRILNVVSKMQESQTVQEKILDESTEMDEGDFMSEFKELWDDDTPLPGYF >ORGLA10G0066700.1 pep chromosome:AGI1.1:10:9395271:9398488:1 gene:ORGLA10G0066700 transcript:ORGLA10G0066700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAYVQALPLGNETELDALLSFKAGLSFDSDPLASWNATTDFCRWHGVICSIKHKRRVLALNLSSAGLVGYIAPSIGNLTYLRTLDLSYNLLHGEIPSTIGRLSRMKYLDLSNNSLQGEMPSTIGQLPWLSTLYMSNNSLQGGITHGLRNCTRLVSIKLDLNKLNREIPDWLGGLSRIKIMSLGKNNFTGIIPPSLGNLSSLREMYLNDNQLSGPIPESLGRLSKLEMLALQVNHLSGNIPRTIFNLSSLVQIGVEMNELDGTLPSDLGNGLPKIQYLILALNHLTGSIPASIANATTMYSIDLSGNNFTGIVPPEIGTLCPNFLLLNGNQLMASSVQDWEFITLLTNCTSLRGVTLQNNRLGGALPNSIGNLSERLQLLDLRFNEISNRIPDGIGNFPKLIKLGLSSNRFAGLIPDNIGRLTMLQFLTLDNNLLSGVIPSSLGNLTQLQHLSVNNNNLDGPLPASLGKLQRLVSATFSNNKLSGPLPGEIFSLSSLSFILDLSRNQFSSSLPSEVGGLTKLTYLYMHNNKLAGALPDAISSCQSLMELRMDGNSLNSTIPVSISKMRGLELLNLTKNSLTGAIPEELGLMKGLKELYLAHNNLSLQIPETFINMTSLYQLDISFNHLDGQVPTHGVFSNLTGFQFVGNDKLCGGIQELHLPSCRVKSNRRILQIIRKAGILSASVILVCFILVLLVFYLKKRLRPLSSKVEIIASSFMNQMYPRVSYSDLAKATNGFTSNNLVGTGRYGSVYKGRMQFKNSVSDVAVKVFDLEQSGSSKSFVSECKALSKIQHRNLVGVITCCSCPNLNQNDFKALVFEFMPYGSLDSWIHPDIDPSSPVKVLTLMQRLNIALDIGAALDYLHNNCQPAIVHCDLKPSNILLGNGMVAHVGDFGLAKILTDPEGEQLINSKSSVGIMGTIGYVAPEYGEGGQISPYGDVYSFGILLLEMFTGKAPTHDMFSDGLTLQKYAEMAYPELLIDIVDPLMLSVENAWGEINSVMTAVTRLALVCSRRKPTDRLCMREVVAEIQTIRASYVEEINKIVSD >ORGLA10G0066600.1 pep chromosome:AGI1.1:10:9386368:9386751:1 gene:ORGLA10G0066600 transcript:ORGLA10G0066600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGVGIDLLAPTLDLGDYERSQGHRADGGRRRNKADGGRRRTAGGGGGSSCSVRAESVPPAAAVSGRRREQRGGDRRAPIVESQEGQRGIRAAATRTRRRWRRVPPQRHRRRRGRCRRHPAGWRW >ORGLA10G0066500.1 pep chromosome:AGI1.1:10:9372100:9375367:1 gene:ORGLA10G0066500 transcript:ORGLA10G0066500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALKLLLLPYLLLLASASCTQGLPSSNNTDLDALLGFKAGLRHQSDALASWNTTTSYCQWSGVICSHRHKQRVLALNLTSTGLHGYISASIGNLTYLRSLDLSCNQLYGEIPLTIGRLSKLSYLDLSNNSFQGEIPWTIGQLPQLSYLYLSNNSLQGKITDELRNCTNLASIKLDLNSLNGKIPDWFGGFPKLNSISLGKNIFTGIIPQSLGNLSALSELFLNENHLTGPIPEALGKISSLERLALQVNHLSGTIPRTLLNLSSLIHIGLQENELHGRLPSDLGNGLPKIQYFIIALNHFTGSIPPSIANAANMRSIDLSSNNFTGIIPPEIGMLCLKYLMLQRNQLKATSVKDWRFVTLLTNCTRLRAVTIQNNRLGGALPNSITNLSAQLELLDIGFNKISGKIPDGINNFLKLIKLGLSNNRFSGPIPDSIGRLETLQYLTLENNLLSGIIPSSLGNLTQLQQLSLDNNSLEGPLPASIGNLQQLIIATFSNNKLRDQLPGEIFNLPSLSYVLDLSRNHFSGSLPSAVGGLTKLTYLYMYSNNFSGLLPNSLSNCQSLMELHLDDNFFNGTIPVSVSKMRGLVLLNLTKNSLLGAIPQDLGLMDGLKELYLSHNNLSAQIPENMENMTSLYWLDISFNNLDGQVPAHGVFANLTGFKFDGNDKLCGGIGELHLPSCPTKPMGHSRSILLVTQKVVIPTAVTIFVCFILATVVFSIRKKLRPSSMRTTVAPLPDGMYPRVSYYELFQSTNGFNVNNLVGTGRYGSVYKGTMLLKKSETTVAIKVFNLEQSGSSKSFVAECNAISKIRHRNLIGVITCCSCSGLNQNDFKAIVFKFMPHGNLDKWLHPEVHSSDPVKVLTLMQRLSIASDIAAALDYLHNSCRPTIVHCDFKPSNILLGEDMVAHVGDLGLAKILTDPEGEQLINSKSSVGLMGTIGYIAPEYAECGQISPSGDVYSFGIVLLEMFTGKAPTNDMFTDGLTLQKYAEMAYPARLIDIVDPHLLSIENTLGEINCVMSSVTRLALVCSRMKPTERLRMRDVADEMQTIMASYVTEIDKVSL >ORGLA10G0066400.1 pep chromosome:AGI1.1:10:9328306:9329736:-1 gene:ORGLA10G0066400 transcript:ORGLA10G0066400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPPPPPEFQDAQSRAAAAVAFLGNVKARFRRRPAVYVELCDVLTAYGRDPAAPAAPVLRRTAELLRGHPDLVAEFNAVIYPHNRVELLPAAGDDGDHAAAAARPRRRSDAQRRRPDDAAAAESSAVAAAERRAKVSKAEQFLANLRIVGGVELHDRVEHVIHDVNRDKGLDAHQVYARLEEVLAAEHPYLLHGVDEFFPRPKHQPLPHTAADGEPDADHRPSSSKSKLAAVIDINQNGDATRPSRARATQLRTAAIFDLQINHVDLHVNKNSEAVRPKKKPRAADPQISKSALDGGDDDDGDDDGAVLPSRAAKKPRAADIKIKRRHPLDDGEESDACWQVTTTDNPHDAARTFRKILEFIAWYSKLVTTMRRAEELERREPQPHGALKDLFPSRDCHEILEELYGGGWRTVQVTHGDDGGGRAGRTTLAAMLVGLRQRENAAVELARRRADKTRYGEEPAAASGSRPRRHRP >ORGLA10G0066300.1 pep chromosome:AGI1.1:10:9324438:9325861:1 gene:ORGLA10G0066300 transcript:ORGLA10G0066300.1 gene_biotype:protein_coding transcript_biotype:protein_coding DNRLGGHAGAGGGDWRRAGWLXRADWAASRVGWAALTAVDTGSGPKWCGLGGCGAELVLRTLGIGDMVLFLVLVGLDSVVVLTDNELSTVHMWADESGGSELFGFGPAWPDGIIVLIDEIALAAVA >ORGLA10G0066200.1 pep chromosome:AGI1.1:10:9305359:9305753:1 gene:ORGLA10G0066200 transcript:ORGLA10G0066200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTTPILAVREARPCGLAVAGAKPPTSCFTPARDSDAKTNNLMEAXISDF >ORGLA10G0066100.1 pep chromosome:AGI1.1:10:9302455:9304303:1 gene:ORGLA10G0066100 transcript:ORGLA10G0066100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARPXERGDGVASLAGGLAEIASCEGMGRRLGRRRGAPLLPSDAIKLHDLYRCFKIFALYIIGSKSNPMVCCNAALLLLDVFVFDQVHVFCKHSCILCYCLHHGKVVIVEHVLATLGIGGMGLLLVLVGPDSVAVLANNEFSAINMGVDDSEPDNEFSAINMGVDDSGGLDIFGFVPVWLDSIIVLIDEIASAAAA >ORGLA10G0066000.1 pep chromosome:AGI1.1:10:9288386:9288798:1 gene:ORGLA10G0066000 transcript:ORGLA10G0066000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKPAGHDARLLLALRCSRASLLLSSLRHPRAAPPRRATTPRRSSSTAAGGSELRDAGLLRAELAAARREATMHAANSGAEVLLVLSLVPVLLLLLGFLAAAAVAA >ORGLA10G0065900.1 pep chromosome:AGI1.1:10:9284827:9286372:1 gene:ORGLA10G0065900 transcript:ORGLA10G0065900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQGSIASSASSSSLTALFDPSSDSPLPSPPGSPPRDVSTTPSFVLSTQYSGDYRADWHQRDVQVQGLDKSWMDPTPTPSIFGVDDLCTIFAANLDCQMVKSRSRVPPREELRRISDERDYNSLRIALKAYITRSSEKTPELRVVSVLERNLIVEHGQGFLHFNFLVQPVDLESSTLKMFFAEVHPDCKGDEDVYVCCPLHVHDNGHCFGCSVRAKFLRHPSSHVFLGGHKDVDFPFTDSSSNDHSNGTEDSLRDEESENSKIETDDKDSNSDTEEETESKDD >ORGLA10G0065800.1 pep chromosome:AGI1.1:10:9268485:9271269:-1 gene:ORGLA10G0065800 transcript:ORGLA10G0065800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVIDKLAILLESVLLDLTTVRQKINKGKKRIWKMEGKLEKAQIQHLTDFNNKYGPSIRQSVETAEHNNCALRRLNNYLELMIEEPRFSSIAYVRLDSQVKKLDKENTSAMDLSSILHQMLQKLNLRVQDEEVWVSQLGSNLQASFRKIMDTSSEIGKLEDEVLQLKVDIAEFAPGEVTSCAVYEMIKTLQIVELFMNNSTRHLKLIDGINSEMREFGSIFNGKVRCLVRFARYQQTIMEKSTQIINFLGD >ORGLA10G0065700.1 pep chromosome:AGI1.1:10:9263562:9268354:-1 gene:ORGLA10G0065700 transcript:ORGLA10G0065700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKKRIWKMEGKLEKAQIQHLTDFNNKYGPSIRQSVETAEHNNCALRRLNNYLELMIEEPRFSSIAYVRLDSQVKKLDKENTSAMDLSSILHQMLQKLNLRVQDEEVWVSQLGSNLQASFRKIMDTSSEIGKLEDEVLQLKVDIAEFAPGEVTSCAVYEMIKTLQIVELFMNNSTRHLKLIDGINSEMREFGSIFNGKVRCLVRFARYQQTIMEKSTQIINFLGDIRAKVDKMTQMYGLSEVVPIDSNQFIEGSFNADAYAFESLKEHSTDLCFSWRTRKFHNRLILPPVRQQTSGTCSYYATLSSVESLYKREYASYPTILKDKIGQPDEFLVNLSRAQLEDIVEDFYTRHKVKGSKRLNVCLDKMKNEGVISEESYMNPQAQFVQSTERYKIKQYEELNLEIAMRERDKEEVSIVDKKCIGKKKKRRFRKKMRMKEHIFLTKIKKVIESHIMDGKVLIASFRVTTGYFRLLPHQIYKIP >ORGLA10G0065600.1 pep chromosome:AGI1.1:10:9259186:9261481:1 gene:ORGLA10G0065600 transcript:ORGLA10G0065600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAAPNPRIHARASTRGAVKECQGKSKFFLEHQTKWEKEGNKGYDTNAHGWRFAYELTFPEGEIPSDWGYSKPLWDEHAKDEARRRHREAKQHKNEALQRQQRIEQVRTRWREKYGAGKAPRKEQLQKEAMDDMFDWQVLAEKRHTKNVQMALNIINRKHPGRNYELWEISAKSTIVEMELSYCHYNFTAYSPSSGFGFFFAETSDDVKCEDQVHSWCSIETGEIGCCVRCMSYEIYLVHPSSDKFLFGDESLHCCCADH >ORGLA10G0065500.1 pep chromosome:AGI1.1:10:9254285:9255776:-1 gene:ORGLA10G0065500 transcript:ORGLA10G0065500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVKMCKQGIXICSCFCTISDYVKEEMGGFTGVIVFSGDVAPERDASLEEFLDSCCDQIGAMRCARNGDEQTEIKKRKEEAMEEYLLGRLGRRSVERAARRARRPGQDGGAGGLAGGRLGGLPRPRGGRLLLVPRSRGTAARGRDAEVSPRSSVAAASAAGLVRWPGREDERRRGGGEGKKEKPPLPVESVLAGVGEGKGAMALPPCNSFGDKEPQPPLALEPVGVSGCSGAPKNVKPPLAVGPVVAAACFGEAKNEKAPGGAVGSFGEATNANPPLAVEPVGVSGAAGDTKNDNALLPLDPVGVVGALGASSKNANMFPPPPPPPPPPVPPTANGKEKSLSGQEPRVPAREESRGGAVAGEGVGVGEPKGWSSSSTFLPGDEGGRRHRRFLPASQGAEKATAECARSMRSSARWWRGVVGVGAGEPKEGSRWGSGAASVAMAVAWRARAAHEFLDSWVELGGGGGSQRRLVG >ORGLA10G0065400.1 pep chromosome:AGI1.1:10:9249907:9250387:1 gene:ORGLA10G0065400 transcript:ORGLA10G0065400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVFEGVEFTWTSVPGEGGGGGGRSNGGGTAAESDSRELSFDAEHTDTALDRYVPFIRDEVERARRRDRELEISMNEGSSWNGIVHHHPATFDTVAMDPALKKQFDFNKSQNILXPXTRKRRRS >ORGLA10G0065300.1 pep chromosome:AGI1.1:10:9245808:9246074:-1 gene:ORGLA10G0065300 transcript:ORGLA10G0065300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARDLLRSPLRWPSHDSGWRREEETLAAGRRLPPHLRSALSPLEVRPPPPPSHAASPLRSLPGGYESIPARGDQVGTRKQWQMVLGLQ >ORGLA10G0065200.1 pep chromosome:AGI1.1:10:9242778:9244781:-1 gene:ORGLA10G0065200 transcript:ORGLA10G0065200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AALAVGLHLLWRLGXAGPHPLCSTPYKYKDQNGLGDGDCIDHQAELDSYTATITECTSGSPLFLLDFPLPIILVENSGRCKTNKHGQSDPFLPIVKWDGKPVGDG >ORGLA10G0065100.1 pep chromosome:AGI1.1:10:9232793:9238701:1 gene:ORGLA10G0065100 transcript:ORGLA10G0065100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPASTPTRPRPPRRIYIHGSMAHASRRARRWRCTCRRSANCCKGKSKFVLEHXAMWEKEGRNKGYDTIVHRWRFATVPEGKIPAGWEKSDILPLSKRDFLVANDAPSLQHLGCDGRGLAVLVCLTDDELKVQEKVCYDGLLESRWAEDAGSSYAPRTAAVAGSVTGRRHDPRGQGHDGGDEEHNGGKVPQGQDSGEARI >ORGLA10G0065000.1 pep chromosome:AGI1.1:10:9232040:9232510:-1 gene:ORGLA10G0065000 transcript:ORGLA10G0065000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRPSSSTEYYECRLCQGKKNNVFEENHAMLANKVEAGGAYRHTPNAWRFAYQPPSLQVTGDQPATSTAPQPEKQITIKDDNDDDHRINNVNIALNSYNYNMANESIFNSGLLHPNTQDYISGCKDLWYGPYEDDEKKDWLSSFELLVDELCKNK >ORGLA10G0064900.1 pep chromosome:AGI1.1:10:9203472:9204446:1 gene:ORGLA10G0064900 transcript:ORGLA10G0064900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQWRCLAAAAASTSGSSSKLPPLPMALGGAVEYGQLVHGAAVPVAPFVVDAEQQSLSPATAMVLGAGWYNYNLVTPAQAAQLHHRLRRAVGAAPCAMKRCGGMAAAAAAGRLGLVGPAPVQAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTYDTAEDAALAYDGAAFRLRGDAARLNFPQLRRGGRHHAPPLSASVDAKILQATTSTTADDPAAAAAPPSTNTPPSPRVVKTEPGCCSVSEASTTTTADAADVSSTGSSPSPTSSNPGATATPPPPPPRPPPLPETMQQLDFTEAPWDEADGFALRRYPSWEIDWDAILS >ORGLA10G0064800.1 pep chromosome:AGI1.1:10:9195114:9197721:1 gene:ORGLA10G0064800 transcript:ORGLA10G0064800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRALSLLLVLLGMALASFPSAASASRDLRPRRAGFVVRGRVWCDTCLAGFETPASTYIAGAKVKVECRSKSTGAKTCSFEGQTDHTGTYNIPVNDEHEHELCESVLVSSPDAKCGKIVAGRERAPVFLTNNNGVTSNVRLANALGFQKDAPLAACAQILKMYEEVDDRV >ORGLA10G0064700.1 pep chromosome:AGI1.1:10:9188078:9189388:-1 gene:ORGLA10G0064700 transcript:ORGLA10G0064700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAQNKLAEYGDTATEKLERGYLTQLCPSCSTFLPSRVGCREGPQPLHDATFAKRSIGKGERKPAGVGGHEGPRLTKEELDPEAETTTTLLVGELWPGPRDSSQAEPRDGCVEEKDGVTEDLSV >ORGLA10G0064600.1 pep chromosome:AGI1.1:10:9170608:9184684:-1 gene:ORGLA10G0064600 transcript:ORGLA10G0064600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSSSPPVPPIRSVNLGGWLVTEGWILPSLFDDIPNNDLLDGTKLQFKSVVHNTYLCAEHGGGDIVVADRTAASGWETFKLWRVDENTFNLKAIDDSAVHFVGVDGNGVVVATAATPGPSETFMIVRSDRDNSRIRIRASNGKFLQAKTMASVTADHGEGTSWGDDDPSVFVINRGEKLQGEYQLCNGYGVKKATEVLREHWSTYIVENDFKFISSNGLNAVRIPVGWWIASDPNPPAPFVGGSLEALDNAFRWAEKYNLGVIVDLHAAPGSQNPWEHSGSRDGSQTWGTTDETIIQTVQVIDFLASRYAKSPSLLAVELLNEPLAPKVSAGMLKKYYQDAYNAVRKYTSDAYVIMSNPISADCSNEILQFAGGFFGAVFDVHYYNMFNGSFDNTTAEWNIQFVRNDRSAELRSVTKQNGPLTYVGEWVAEWKVNNASKEDYKRFAQAQLDVYSQATFGWAYWSFKHVQNHWSLEWMIKNGYISLNQPKLPIRAVNLGGWLVTEGWIKPSLFDGISNKDLLDGTQLQFKSVTNNMYLAAENGGGSAIVANREKASGWETFKLWRINETTFNLRVFNNQFVSIGGNGAVIATATVPGPNETFQIIRLDSDKSRMRIRASNGKFLQVKAMGSVTADHGASTNWGNDDPSVFVVNNIYGLQGEYQICNGYSAGNATEVLREHWNTFIVEDDFKFISSNGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAEKYNIGIIVDLHAAPGSQNRLDHSASRDGSLEWGTSAANIAQTVDVIDFLASRYARSSSLLAIELLNEPLAPDVPVDTLAKYYQDAYNAVRKYTLQAYVILSTRMSGDPTEFLSVASSLFGAVIDVHYYNLYNSMFDIYTVEQNINFVRNNRSSDINTVTKQNVPLTFVGEWVAEWYVDNASKEDYQNFAQAQLDLYGKATFGWSYWTFKNVKNHWSMEWMIKNGYISLNNLPPSSPPIRSVNLGGWLVTEGWILPSLFDGIPNNDLLDGTTLHIKSVIQDKYLAAEQGGGQTIVANRAVASDWESFTLWRIDETTFNLRVFKKQFMGIDSNGTVIATATTPGLSETFQIVRSDNDKNRVRIRAPNGSFLQAKTANSVTADYGESTNWGNDDPSVFIVDMVGGPQGEYQICNGYGAEKASQVLREHWSTYIVESDFKFISSSGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAEKYNVGVIVDLHAAPGSQNHWEHSATRDGSLEWGTTDTSITQTVQIIDFLASRYANSPSLLAIELLNEPWGPDVPLEKLKKYYEDAYNVVRKYTAKAYVIMSNRLAGESNTELLDFASRFPGAVIDVHYYNLFNDDTFKNLNVEQNIEFVKNSRKAEFSNINKQKSPLTFVGEWVAEWKVNGASKEDYQRFAQAQLDVYGRATFGWAYWNFKNVNNHWSLEWMIKNGYISLKI >ORGLA10G0064500.1 pep chromosome:AGI1.1:10:9162751:9165275:-1 gene:ORGLA10G0064500 transcript:ORGLA10G0064500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASDEERPLIHHLPPQEQCSKYTCDGTVNIDSKPALKQSTGNWRACFFILGVEFTECICFYGVSKNLVTYLTSVLHESNVNAAQSVSIWIGSCFFTPLIGAFLADTYWGRYWTVVMSILVIILGMIVLTVSASPLFQNASFYNGGISRLTVYLGLYLFALGTGGIKPNIPAFGADQFDGADPVERVTKGSFFNWYYFSINVGSLLSSTVVVWVQDNIGWSVGFAGPMLLLGFGLAMFIAGRRAYRYKKLGGSPLTRVFQVLVAAVRNHRLNLPDDSSLLHELPGVTEGDYRTQHTYQFRFLDKAAILSDKNCAPAAPSSPWRLCTVSQVEELKMLLRTFPVWASLVGFFMVTAQMTSTLIEQGVAMDGRVGRFTVPPASLATFDVVAVLALIPVYDAALVPLARRVTGRDRGFSHMQRIGVGVALSAVAMAYSALVEARRLAMAAAAAGTRMSIAWQVPSFFVLGAGEVFAVIGMLEFCYEQSPASMKSLGTALVQLAVAVANYLNSGMLRVVAAATARGGGAGWIPDKLDEGHLDYFFWMMAALSVLNLLQFLHCSIRFRGNNTLSSS >ORGLA10G0064400.1 pep chromosome:AGI1.1:10:9151298:9157510:-1 gene:ORGLA10G0064400 transcript:ORGLA10G0064400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFELLLLFLLLCSPCLFSVSDGRTVRPVKRDAPSPPIRAVNLGGWLVTEGWILPSLFDDIPNKDLLDGTQLQFKSVTQNMYLCAEQGGGTILVANRTSASGWETFKLWRINEDTFDLRVFDNLFVTVAGDGVTVVATVASPGPGEAFQIVRNGDKTRARIRAPNGMFLQAKTSDSVTADYDGETNWGDDDPSVFVVTRVGGLQGEYQICNGYGKAKATQVLREHWRTYIVESDFKFISTSGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAEKYNLGVIVDLHAAPGSQNPFEHSASRDGSQDWGTTDANIAQTVQVIDFLTHRYASSPSLLAVELLNEPLAPGVTLPALMRYYKDGYNAVRKYTSTAYVVMSNRLSASNTELLDFAAGFPGAVLDVHYYNLFTSSFNGLTVDQNIDYVRTNRSDELSTVTRPNGPLTFVGEWVAEWNVQGASNQDYQRFAQAQLDVYGRATFGWAYWTYKNVNNHWSMQWNIQNGIISLKS >ORGLA10G0064300.1 pep chromosome:AGI1.1:10:9146993:9150498:1 gene:ORGLA10G0064300 transcript:ORGLA10G0064300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVLSAVLRTLGPKLYAFLRDGHDLLRRDLERDVHYIRNELAMIAAAIEEHDRRPPPAAGDVRSAWIRGVRDLACDMEDCVDRFVHRATGHGLASMGARAKFAAVIQELRRKSEELSRLRASYAAAAGEPSCWVATGSSALTLPASSSEAHTLASDIVGMDGPRDEILELIGETQGQLKVISIVGFGGLGKTLLARQIYESDAVAAQFHPRIWVRAAGKNAEDVLMEILQQLGMPVHHCHASNLVVNLRNCLESKRSGHLFFVVIDDMQREYWNSSFRNAFPSDTGLSSIVIVTTAIQSIANACSSRNSHVYVMRTLNEEHSRQLFLKEASWKDYPPGSEAILKKCDGLPLALVTTAQFLQSRCQQQPLGCAKLCDNLGKHLVTEDTLARMKRVLVHHYSSLPGHVIKACLLYLGIFPSGHPVRRKTLIRRWSAEGFVGADHHRSSLDVAIDSFEELVNRSIIQPVDVSSNTEVKTCQTHGMMLEFILHKSICDNFITFLYGQARLPDKIRCVSIQQNSGSKTRVDSDIDLSLVRSLTIFGKAHKSFLNFSRYKLLRVLDLEECDELEDEHLKKICKRLLLKYLSLGRGITVLPKEIAKLKFLETLDLRRTVIKFLPIQVLELPCLIHLFGVFKLQDADQQMRKLKSFLTEKSKLETLAGFVTDRCQTFPQLMKHMTNLAKVKIWCENTADASSSSNSDVHLSEAIQEFIQRGTDVNDVRSLSLDVGECSQEFLNFSLGDSCYLSSLKLKGNKICRLPPFVTSLAVLTDLSLSSSDRLSSDVLAALSNVRALRYLKLIARHLDRFVIERGDLQSLRLLHIVVVSMTTMSKQQPEIQEGALPNLESFHLLCKDLDGPCGHGGIRIDSLGLGCLREIVLDDGVRETAKEQWKDAARRHPKRPKVVFVGAGDVVDRRRVGAAAAAAPAAGESNSAVAPAAVASVVAAGDVKRPAREESDISAALASLPAKMARLLGAASIHQSSGTQGELSCGGNGASQRHFS >ORGLA10G0064200.1 pep chromosome:AGI1.1:10:9060268:9064529:-1 gene:ORGLA10G0064200 transcript:ORGLA10G0064200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLAAGLLDLRPCKLAPPPPPPLPVSPSPRHHRRPHSTATACRAAPDLHSSTELADGSIVFRFARPRDDDDEEQQQRRADAVAPEAAAVVESGLDGDAAAAAEPEARDGGGEGEVTATATGLDAEEVVASGGAEATATSGLEDAGEEASDGSTARDSDTDVDTESSASTAADDDQPAEFAVPPPPAEEVCNKVDWEKDTSEVKNTDRMVPVASSTLVLASGAAILPHPSKAATGGEDAYFIACDGWFGVADGVGQWSFEGINAGLYARELMDGCKKFIMENQGAADIKPEQVLSKAADEAHSPGSSTVLVAHFDGQFLNASNIGDSGFLVIRNGEVYQKSKPMVYGFNFPLQIEKGDNPLKLVQNYTIELEDGDVIVTASDGLFDNVYEQEVATMVSKSLQADLKPTEIAEHLAAKAQEVGRSAAGSTPFSDAALAVGYLGFSGGKLDDIAVVVSIVRKSEI >ORGLA10G0064100.1 pep chromosome:AGI1.1:10:9054680:9059219:1 gene:ORGLA10G0064100 transcript:ORGLA10G0064100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEGFRVESPRVRYGDGEIESEYRYDTTEVVAPPSPEKGWVVRPKSVTYHFKTTTTVPKLGVMLVGWGGNNGTTLTAGVIANREGISWTTKEKVHKANYFGSLTQSSTIRVGSYNGEEIYAPFKSLVPMVNPNDIVFGGWDISSMNLADAMTRARVLDIDLQKQLRHHMESMVPLPGVYNPDFIAANQGSRANNVIKGTKKEQVEQVKKDIRGFKEKSKVDKVVVLWTANTERYSNVVAGMNDTMDNLLASLDKDEPEMSPSTLYAIACVMEGVPFINGSPQNTFVPGLIELAIKKNSVIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIASYNHLGNNDGMNLSAPQTFRSKEISKSGVVDDMVSSNAILYEPGEHPDHVIVIKYIPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGQDKYHSFHPVATILSYLSKAPLVPPGTPVVNALAKQRAMLENILRACVGLAPENNMMLEYK >ORGLA10G0064000.1 pep chromosome:AGI1.1:10:9047219:9048055:-1 gene:ORGLA10G0064000 transcript:ORGLA10G0064000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKANPMASTARLGAAASGGRGSGRQRRWVRGTRAMECTGELGLGEEL >ORGLA10G0063900.1 pep chromosome:AGI1.1:10:9035791:9037416:-1 gene:ORGLA10G0063900 transcript:ORGLA10G0063900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARASNHAYICSDDSQMPYYNNSVPSGGNGRFYITQNHQDAHYASSDDGSQKIGSSPQAFEAPYCTLESSSANGAHPAHSSASSHSISPISGSPLSHHDSHSDHTYNSPPSASCVTEITDLQIKLRELENAILGPELDIAYDSPESALQPNIMATPENWRQLLGINTGDLKQVIIACGKAVAENDVRLTELLISELGQMVSVSGDPLQRLGAYMLEGLVARLSSSGSKIYKSLKCKEPTSSELMSYMHLLYEICPFFKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWMTLIQALAARPGGPPFLRITGIDDSNSAYARGGGLDIVGMRLYKVAQSFGLPFEFNAVPAASHEVYLEHLDIRVGEVIVVNFAYQLHHTPDESVSTENHRDRILRMVKSLSPRLVTLVEQESNTNTRPFFPRYLETLDYYTAMFESIDVALPRDDKRRMSAEQHCVARDIVNLIACEGAERVERHEVFGKWKARLTMAGFRPYPLSSVVNSTIKTLLHTYNSFYRLEERDGVLYLGWKNRVLVVSSAWC >ORGLA10G0063800.1 pep chromosome:AGI1.1:10:9026755:9029613:-1 gene:ORGLA10G0063800 transcript:ORGLA10G0063800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:I1QTV5] MGLLFVELLPRHGDGGGPASAVLKCRRCRVDAASADAILSRDFRGRFGRAYLFDHVVNISLGPNEDRYLMTGLHTVKDIYCSCCQQILGWRYEKAYEESEKYKEGKFILEKARMWKEAR >ORGLA10G0063700.1 pep chromosome:AGI1.1:10:9020101:9022572:-1 gene:ORGLA10G0063700 transcript:ORGLA10G0063700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVGARNFGGLDCLEFGERRVNISLGPNEGWYLMTGLREKAYKENEKYKEGKSILEKTRMWKEARFNSGTLVVTVLT >ORGLA10G0063600.1 pep chromosome:AGI1.1:10:9018676:9019179:1 gene:ORGLA10G0063600 transcript:ORGLA10G0063600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMLALLSTGLSLSLSRADLAAVVVAEPRLLCAKADRASSRFSARLTAMVVVLTLLSTGLSLSLSRADLTAVVVAEPRLLCAKADTVRCRRRAHRRRRRPSPPPPLSPEPSTAAVAEPPAAAHRRHQGGRRRPRPEVRAEAASAMVVANGVVGGCKWRSSHPSLVP >ORGLA10G0063500.1 pep chromosome:AGI1.1:10:9012107:9015227:-1 gene:ORGLA10G0063500 transcript:ORGLA10G0063500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl/glutaminyl-tRNA synthetase, class Ic [Source:Projected from Arabidopsis thaliana (AT5G26710) TAIR;Acc:AT5G26710] MEAKLSFSQDSPPISIISAAKVAGVSLSIDPSLAAGSAPVLCFSSGESLRGINPILEYIAQSSPSLHGRDAIESGHVVEWLEYAPTFLLGSEFEVACSFVDGYLMSRTFLVGHGLTIADITVWSNLAGIGQRWESLRKSKKYQNLVRWFNGIDSDYKDTLNEVIAAYVGKRGIGKSPAPNLKEKVNDSKDPSAPEVDLPGAKFGQVCVRFAPEPSGYLHIGHAKAALLNKYFAERYQGRLIVRFDDTNPSKESNEFVENLLKDIETLGIKYDAVTYTSNYFPKLMEMAEKLIKQGKAYVDDTPKEQMRSERMDGVESKCRNNTVEENLSLWKEMINGSERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRIGSKYKIYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGMRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALIQFILQQGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKDQRVIFRLTNGPEKSFVRILPRHKKFDGAGKKATTFTNRIWLDYADASAISKGEEVTLMDWGNAIIKEIKMENGVITELIGELHLEGSVKTTKLKVTWLPDIDDLVPLSLVEFDYLISKKKLEEDENFLDNLNPCTRKETLALGDANMRNLLRGEVIQLERKGYYRCDAPFVRSSKPVVLFAIPDGRQQASLN >ORGLA10G0063400.1 pep chromosome:AGI1.1:10:9003460:9006947:-1 gene:ORGLA10G0063400 transcript:ORGLA10G0063400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G64320) TAIR;Acc:AT5G64320] MAEHHPPRLPPSAAGAAATSWPELLAPFDLSRLRATLASRPLTPRRLGRLLALPLSPATSLLLLTWYASSHPALSSLPLRPLLAGGDPDRALSLLDSLPPGFLPLRESLLLPLLRSLPPGRALHLLDQLPRRFGVQPSFRSYNVVLSVLARADCHADALALYRRMVHRDRVPPTTFTFGVAARALCRLGRADEALALLRGMARHGCVPDAVLYQTVIHALCDQGGVTEAATLLNEMLLMGCAADVNTFDDVVRGMCGLGRVREAARLVDRMMMKGCMPGVMTYGFLLQGLCRVRQADEARAMLGRVPELNVVFFNTVIGGCLAEGKLAEATELYETMGLKGCQPDAHTYSILMHGLCKLGRIGSAVRLLREMEKKGFAPNVVTYTIVLHSFCKNGMWDDTRALLEEMSAKGLTLNSQGYNGMIYALCKDGRMDEAMGLIQEMRSQGCNPDICSYNTIIYHLCNNEQMEEAEHMFENLLEEGVVANGITYNTIIHALLRDGRWQDAVRLAKEMILHGCSLDVVSYNGLIKAMCKDGNVDRSLVLLEEMAEKGIKPNNVSYNILISELCKERRVRDALELSKQMLNQGLAPDIVTYNTLINGLCKMGWMHAALNLLEKLHNENVHPDIITYNILISWHCKVRLLDDAAILLNRAMAAVCPVGDRRIMQILPDKNFKLYLHTKGDDF >ORGLA10G0063300.1 pep chromosome:AGI1.1:10:8971143:8973737:-1 gene:ORGLA10G0063300 transcript:ORGLA10G0063300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVVMVNKQGQTRVAQYYEHLSVDERRALEGEIVRKCLARTDHQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIHLMEKTS >ORGLA10G0063200.1 pep chromosome:AGI1.1:10:8968092:8968358:1 gene:ORGLA10G0063200 transcript:ORGLA10G0063200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRRAPPLHSELLRPCAAAFAGERRPHCRLHHHRRRCWSSIWLRACGCHPLRRWNGYWRTVFAGGESAAPSGTRCRWVCGGPRWANG >ORGLA10G0063100.1 pep chromosome:AGI1.1:10:8965462:8966507:1 gene:ORGLA10G0063100 transcript:ORGLA10G0063100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLLASNPVHKKVPVLIHNGKPICESRIILEYIIDEVFPVDGAALLPADPYDWAVARFWAAYIDDKAMCPFAITHAMANNVHAVHFVAPWAPMFKGKTEEEKAEGIKQILAAVETLEGALKGCSKEKPFFGGGTVGLVDIMLGAHIPGVRATEVLTGAKIFNAAITPLLASWTEHFGELDAPKKVLPDVDGMVEYVKRRHAQWAAAGAAAAAASKS >ORGLA10G0063000.1 pep chromosome:AGI1.1:10:8958670:8964164:1 gene:ORGLA10G0063000 transcript:ORGLA10G0063000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCRPHLRPDLGEGLEGRGGADALPPRRGVEGRGIGEGKRWRLQSCKLKLQSAFPLSAKISDGHRMRAYTKRKVVWPWWALALLEFLSLGQQYFIVIDDMREELWCDTEHAFPADDGVSSRIVVTTNIQSIANACSTAEGYVYKMGKLNTEYSKGNHSIRRKRLLRRWLAEGLVKRQPHPRLGDSAVESFKMLMDQNIVQPVDVSNNKGVKTCQLPGMMLEFIMHRSISEGFITIFRGEDEMLLLPDQYAVRCLSVQCRSTAAANIGLERNDLSLLRSLTVSGEVCRDFLDFKEYKLLQVLDLEECDGLMDGHLDGIYLLIIISHFLEIYDISRVKLFVYDFPRNI >ORGLA10G0062900.1 pep chromosome:AGI1.1:10:8952986:8953302:-1 gene:ORGLA10G0062900 transcript:ORGLA10G0062900.1 gene_biotype:protein_coding transcript_biotype:protein_coding TALDGDVASAIELLLNLIGNMDDTDVSDAHVFNFWFLFRLARPPFLMLLPSIGWLFGLTGASSALCLSEPVIVSS >ORGLA10G0062800.1 pep chromosome:AGI1.1:10:8901488:8902526:-1 gene:ORGLA10G0062800 transcript:ORGLA10G0062800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVRGRRRPAWWRWCTIGGGRHDGTGGSGVMAGGGGLVMGVKEATVAPGGGRGGAVLGDDGGGVPQIRATWLDLEGGRRRGWRRRANDRRRQQVEAGKESGVRCGWRRAFSNSGCGGGDRDYGHWRHDGFGRLAEGVADGYFWLVRHHLDEGLETSLAQRGAADGSRGRLGVRGTSGEDGDRLGGRGATDGGRPDWRERPVRWRRPAWRERRGRWWRRRPRCEEELLVDVTRSSVHEGWPAGAPVQGSHMSAELEWWWSIGAPAVDS >ORGLA10G0062700.1 pep chromosome:AGI1.1:10:8899334:8900028:-1 gene:ORGLA10G0062700 transcript:ORGLA10G0062700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIAQSGHPPLATPQPRAHETVLGIQKKGKGEQPGLRTCIHTESSTQKLLLLTFQAVHMLGLASGRICILRR >ORGLA10G0062600.1 pep chromosome:AGI1.1:10:8892924:8894606:-1 gene:ORGLA10G0062600 transcript:ORGLA10G0062600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGISSSYFALSKYSLFDYQAMIFYALSIRRSFQGGDPSVSSKRPSNVESNRKGPAGTSKKKKRRGCCVDIPSSESDEEDWAPTPTREDNQPNRNMMVDEHQMAKDVHLPTAKCVGRITLDIEDWRPEVLTVCPSSPDTDSPIYMSSEPVIINEKESQ >ORGLA10G0062500.1 pep chromosome:AGI1.1:10:8889434:8892848:1 gene:ORGLA10G0062500 transcript:ORGLA10G0062500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEGGVRLPTLDRVRRGVRSSCDTESWECFQCGSINLPVEKLLFDLPAFHCRGCEAPFLGEMNFCYDLVKANKRSLIGGLDNIKNQYDKPECIAYSIASCLEIADRIKTVLQGKNPDSVKEIDPTAIVDMFDGKCLANCSDGTSGIGKLVTMALAVQTDGIQSADHSRLYTAVAVETIDKYDFEGICAALADGIPLVGAFYCGSRLEKLEYCQIYRVPKLSKFLDRNLIPTGHAAVIIGAGMRCGIQYLYFLNSWGNFFCPRYDKDGNLVKAGVGKLRFYDLLCNPIMFITDSAKRVGLNRQLLPMGTGKLSDHNKSMLMGRKQTDVIPEDLFIGVTSEFVGHQPQISSKRKMANATLDGDGQTQKRNKCRTFGRSSVDLNEANNKRNAKMRCEVMGMKNPADILLLCEKGPDVFCSESW >ORGLA10G0062400.1 pep chromosome:AGI1.1:10:8873807:8876746:-1 gene:ORGLA10G0062400 transcript:ORGLA10G0062400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGSPVEGRFVFGDITNRLNVRQTTPCNEVENKKGETSSKKREYRARKKVEANNPYHEVPIPDKHIAERNIKQREYCARKNSVIEDAHNPITPAKPTEPTACVSVNHETGNSQPTKMSNEQREERNRKQHEYRKWKRDEMNNVDISVLSNIPMQPVTISSSMQSDGGNICRDEKREKDRNRKQCEYRARKKAESNNAILANFDATTPIIGSSFGTTYSTILQASSVTDNKNGNIDDPTDWLHRNDTYKRQCKRKPVIIEEDKDSPTIDGVTQEETIHVQDAKVHIPDDTYVEFDSGLFEPPLIDFVDEDHGATAEIHDIADNVDELDMQFQQSSQGPRHMQLYFYYTKDADALAHRVRRSPDLDINLVRVILCILAQNPYVQTFSRVGSMPNIDDYMIELNTNVTPDQRRYNAPTASQVAAIWLEGDNPMRTFDRHVLVHAKGDKPCYIQWETEWNLKMPYNDPNQILCDVEMDEHCETSTLGDVRTNEESTFDDLPENEVVDNYLDNEDDNDDSSKSGKGKKDKFVTAREYYCFRLQFRRGLLNIILFGGRLFQQWVVAMYIKIESMRLDWYSKPENQKKIRAELYQGVVDVLSSGETSGLAVGKRVVLPRTFSGGDSDMQ >ORGLA10G0062300.1 pep chromosome:AGI1.1:10:8863597:8864724:-1 gene:ORGLA10G0062300 transcript:ORGLA10G0062300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERGGNPMIYATENSNASVPPKVNMTTFAGGVSSMQAHGPTDHHIHPPFKDGFGPSMNQTSFFCSRTTFSTICPYNFSHGVKPERLVQGLSPRYCALSLVVEPNMYPEINVLIVDLHRRQHFLSVMHSFLIRLRH >ORGLA10G0062200.1 pep chromosome:AGI1.1:10:8857769:8860561:1 gene:ORGLA10G0062200 transcript:ORGLA10G0062200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRVGPDQPVPDAGGGGDKSVLKRCIIGFYTRAIERLPVEEKPALLAAILDGGLCFGPLDPVSNIVANAIWHLSTGEDSSEHVEEEVEMRQCLKTMARGSLKALVGFMTSYFRYLPTMEAMHFLRAAEGDLLAAVHLVEAERCTSAFDIGSCTTKTALRCAAGASGHPDPDRLATAMLSLSSKAHKIAHLLSRKGRLTCSDVDHLSYLLLEEGTNDQICTQQLMALVPPRLPPELADAAATFSPLAPQGVTVKDERTLERCTKSLQCVLVDKIHGFYLEALALLPQHLLRGRYHRSVVMAGHCYGPLDPVSNIILNTIWYDAAFPVPKEQHLDLDMIGRWALVRAERYSVAGLVAGLIAFAGDYNLSELQAIRCLLYANGDFATAMSVLQQALLNQERTMLSDSELCRFMDVMAKRLQLYSVMAIAAQHPSADGLQEFLISERTRAMLPMEYRRFSREDVHSVIESLLHEPPPSLGMPPELVRLSLAAERTIDQFPDATNRFWADMSSFHSKAKAALESYVLENGGPQYVIHVICGANESVADRNGPELSRINWPRSRNKFHYSHINFLASPTGPSAVGVLPTLFFAECVNHNEESDRARKNNCYPVVVPPTNAEKVRCFYCEYKGINIIHPADGNYHGCDTDFEKMARRKHVLTNSIESVFNNGLLVSNFRGAVQEDFFYFDHARDHAKGPSSCSDG >ORGLA10G0062100.1 pep chromosome:AGI1.1:10:8853403:8853774:-1 gene:ORGLA10G0062100 transcript:ORGLA10G0062100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDVGVEAVEAPSTTQRGALARQLRASTAASSVGASAAVQEGYGGGGATQSVAGLANPPCPMGGSAPGRRMRT >ORGLA10G0062000.1 pep chromosome:AGI1.1:10:8848732:8852987:1 gene:ORGLA10G0062000 transcript:ORGLA10G0062000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPIIGHSPEANTLSPTSSPLPLPPITIHAPPFTRALHHAASSFPLLPPHRSHRRKGAAVARIGIEPAMGRRQQPAASGASPSSATASQKSLIPQIQRLRRGGHSRPSGFMTSYFRYLPTLEALNFLCAAEGDLLAAVHLVEAERCMSCAFDIGSCTARTALRCTAGAAGHRDPGRVASEMLSLFSKAYKIASHLLSRTADRLTCSAILVLVPPRLPPELAAFSPLASLGVTVKDERTLERCTKSLQSVLVDKIHGFYLEALALLPQHLLRGRYHRSVVMAGHCYGPLDPASNIILNTIWYDAAFPVPKEQHLDLDMIGRWALIRAECCSIAGLVAGLAGDYNPSELQAIRCLLYANGDFATAMSGVSQILPRGCAFRHRVAVTGTSTVAWDAIGAGCPGSGLGLPGTGWKGREVALAPWPKEKGGRKQKREEEEEEEEKKKKKKKRSGKGRERKEKGIREKSGDFSGIFEIFKENLGY >ORGLA10G0061900.1 pep chromosome:AGI1.1:10:8841764:8842510:1 gene:ORGLA10G0061900 transcript:ORGLA10G0061900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGGGSPDHELKLLGSTNPSPFVTRVELALALRGLTYDLVAVDLDRKTDLLLAANPVHAKVPVLIHRGRPVCESRVILEYIDDAFPFPGGGGAPLLPPADDPLARAAARFWAAHVDDEFVASWRPAYLGSTEGERAEGMARMAAAVGALEGALAAAEGKPFFGGDAPGLVDVTLGSVIPRTRANEALTGTRVLDAARTPLLAAWAERFGELDAARKVLPAVGDVVEYLETRLRRSNVVIARKQ >ORGLA10G0061800.1 pep chromosome:AGI1.1:10:8836166:8840058:-1 gene:ORGLA10G0061800 transcript:ORGLA10G0061800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVPDLPAAIELGEVVAAGQLFSTNRRPSTNXQPPPVSFARRRTREMRKREENVEFFLGRREYRLGTIFVPALKDEGHVLSGIVIQGNLFKLDVKVAAMAVRLKGLIWCTLTPKISMGTWLNMKVMPISVSLEIYNLKSSVLCLAGCARLVSTQVNNTTAIFSNISFSSFKEKQMCRKIVSKLVSLSSGISESMTGHHAIRALLAWLCSAHHCHALHFLLSANPFWICLSSNPERTIAFS >ORGLA10G0061700.1 pep chromosome:AGI1.1:10:8834424:8835044:1 gene:ORGLA10G0061700 transcript:ORGLA10G0061700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGFSYAQIHVQQDRCRRTNEEKMKKMMAEEEEKSKKGMCEGEGEEKNKFMAADEKSCHSWTSERVHPCSSPASKIGRLIGSKDKNEGQQLERRMMHDRLDHVSGTPPIPL >ORGLA10G0061600.1 pep chromosome:AGI1.1:10:8826024:8831750:1 gene:ORGLA10G0061600 transcript:ORGLA10G0061600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGRGRGREWEDDDLGVGGGQPPHHAAPVVCLARSAGDLAAGAFVGSLVGYGQGLLTNKGMKGSLSSAGSSAKTFAALSGVQSFILCLLRRLRGKDDMINAGVAGCCTGLALSFPGAPQAMFHSCVTFAAFSCIMDGLNKQQAAMAATLASKPSTIKHQEGDVLPPFTLPPLLNASDALASCCQAFLKPKD >ORGLA10G0061500.1 pep chromosome:AGI1.1:10:8785349:8786807:1 gene:ORGLA10G0061500 transcript:ORGLA10G0061500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEIGDKEVNDINGSNTASKSNGGTFLGLNFREIFLSGRKFTWANSMPSPTYEKLDRILDDIPKVSMEENNFLTKEFTEEEVKHVVFQMEHKRAPGLDGFPAELIEHKGK >ORGLA10G0061400.1 pep chromosome:AGI1.1:10:8762130:8764193:-1 gene:ORGLA10G0061400 transcript:ORGLA10G0061400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLGATGGGGGGGWGRATSDGRAARIRRRGACGFAWIGCLCERLCHPGRGLQGHQHASTSTAIAPAAAVLPPSGKDLKNLDADFVKQATLFDLIVGDSF >ORGLA10G0061300.1 pep chromosome:AGI1.1:10:8755808:8756146:-1 gene:ORGLA10G0061300 transcript:ORGLA10G0061300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILGLAYNSVLRGPRVECRSGGLGSLFCSGSAWEGRRTTSWRVDDGSDGSKEDRCLLQHWSREDWLREGGGNVRIDDGNTLLIVVAASMAMPLHSHAQHHYSLTSSPHHL >ORGLA10G0061200.1 pep chromosome:AGI1.1:10:8755120:8755539:1 gene:ORGLA10G0061200 transcript:ORGLA10G0061200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQYELTSDRDPNRSPTGLGGPSDGSYIFVRGVTSGAWEYGEENARGGEGRAGAIDYFLYIDARYFARGPSTSQRLLPLHSASSGNDL >ORGLA10G0061100.1 pep chromosome:AGI1.1:10:8742152:8743661:-1 gene:ORGLA10G0061100 transcript:ORGLA10G0061100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLSLALASYLILFLAAAATAATSRCTFEIVVKTDGRRNAGTDARVSLQVRAARGPTLTVANLESWGQMAAGHDYFEKGNLDRFRGAGDCMPSEPCNMVLTSDGSGNKPGWYVIYVMVTQLSQGSLPSMTHRWAVDQWLAIDEAPHMLTAERRGCGIGTAAP >ORGLA10G0061000.1 pep chromosome:AGI1.1:10:8739789:8741296:-1 gene:ORGLA10G0061000 transcript:ORGLA10G0061000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQHLSISPLLLSLLCFSFSVQASRPEGRRSVGRARRTLHEVGRGGGVVVAGRAVSAQFATAGADLSSGAAAAASAAGRRCTSPTSHRARARSGCAPSSPGSGSSRAAVQLRRVSRGCALFVYRAAEGASSTPTPPEEQTTRAAAAAVASPLPALNLTNRGLIGQMSPLGNLTFLKFLFVPANSFTGEIPQSFGNMHHLQIIYLSNNTLQRRMPNLAICSNLKVLWLNGNNLVGQIPADLPQRFQSLQLSVNNLTGPIPVSVANITTLKRFSCLYNNIDGSIPNDFAKLPGLVYLHLGANKLAGQCAKFFWKPFHSTAVHTLIHMGLNQFLGSIPSGIANIPNLIALELGGNLFTNVIPRSGGGMRGLLFQAG >ORGLA10G0060900.1 pep chromosome:AGI1.1:10:8725192:8734917:1 gene:ORGLA10G0060900 transcript:ORGLA10G0060900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G35750) TAIR;Acc:AT5G35750] MSRVGECGGGGGGCGARRGKAAHAGAGAVAGFLGCLLLVWAMGGCGRGCGGGGGEGVRDRVEEVAAQFNLSMSKLQALASLLSSPERECICKSGTINDDNPAHSMPDMSNCRLKNKPSGGNQNRLDNVIIQDCCANEDNYDKNNHENNLLQNAMQQDIGSPTTLWNNNALSCNHGMIFSLFASLGIVVILVVITIFKRGKQANELCQHEKLLQTPSVKISRKWSKRALLLGVLVGLCSSVWIFSSMHADVVARRIENLENMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSERELFEQKLGWKIKKMETEDQSLVHDYNPEKLQPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDRDNILRSRATGKGALTAPFPLLKSNHLGVVLTFTVYKYDLPPDATPEERIEATLGYLGASFDVPSLVERLLEQLASKQKIVVRLYDITNHTYPTKMYDSDVIASDDLHISNIDFGDPTRKHVMHCRFKHAPSLPWSAIMISSAVAIIVLLVGYIIYATLNSLEEAEDNYTTMRDLKGRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQRDFVVTAQESGKSLINLINEVLDLAKIESGKIELEAVRFDVRDILDNVVSLFSEKSWAKGIELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTEQGHIFIRVHLIEEVKRKMEALDDTSPENIEVTANSKNTMPYNTLSGLEVANNRKTLESFRMFKDSSDAIDSVNLLVTVEDTGIGITKDAQTRIFTPFMQADGSTSRTYGGTGIGLSITKRLVELMGGEIGFVSNPGVSSTFSFTAIFKENRKDPGDIKRYCPEPTPPDFQGMRALVVDGRCARAEVTMYHLRRLGIQCDLAATSESALSALLESCNSSVKSSLNMVLVDKEAWGEDSGLAFFRCLIDLRLKGTLKSWQTMPKFFLLAGSITPADSDCLRLAGYSNSIRKPLRLSTVAACLSKALGVGLTGRRSRDNSLVLRSVLTGKNILVVDDNAVNRIVAAGALKKYGAIVTCVDSGKEAISRLQPPHKFDACFMDVQMPEMDGFEATRLVRSVESKINDTIQAGEVCSEIYGNKAHWHVPILAMTADVIQATFEGCMECGMDGYVAKPFEEQQLYSAVAHFLEADATDPLT >ORGLA10G0060800.1 pep chromosome:AGI1.1:10:8683565:8683963:-1 gene:ORGLA10G0060800 transcript:ORGLA10G0060800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGGNCEVNSSIALLQERFRNLQKVREMREGREQLLQTPPSPSATATAIAGATTTGAAASSSGGEQPRWFSHPELVRPSSRPAAAQRATADDDAAAVRQPPAVSVGRAAAMVLQSSGCRSDVEVDTSLHL >ORGLA10G0060700.1 pep chromosome:AGI1.1:10:8682053:8682352:1 gene:ORGLA10G0060700 transcript:ORGLA10G0060700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRRRRPRMGGEQGWASADLAASVASHDGSSGDHDRSSFLWRILGRLWCQWRPTTVVVEKEAHPSSPPLHLATAVMVEKAGEEGKVMAGIEDKTSFSS >ORGLA10G0060600.1 pep chromosome:AGI1.1:10:8680218:8680490:1 gene:ORGLA10G0060600 transcript:ORGLA10G0060600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRHLLDEKRRPRPSETSYGAKLVSAVPGEEEAACGVACELAKLRKGEKRPGGTLIACGSRLGMKWRRQSPGKRRRQCPNGVYVAQES >ORGLA10G0060500.1 pep chromosome:AGI1.1:10:8673171:8676164:-1 gene:ORGLA10G0060500 transcript:ORGLA10G0060500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QTS2] MAFPSTSSVAYPRSLSPLRRCPGFLALLVAVLLFLSFQIVSERKGPGSCPGCHNGMDNADKTIAYTNQDGRIRLFKVTTREFLSSSIWKNPLLPKDTEPVAETQEIAEEQLLATGSEVSNLSSTETLETRTDPIKLKREVFRRKRKEHRIQELLQVDKEAELHMRNVATNRSRNFSNKVRASYNIWRLQFHHTNTDSTLRLMKHQIIMAKVYATIAHSQKQPDMYALLMKCIKLCQEAIGDAHMDYELDSSALERAKAMGHALSSARDVLYNSDEVSRRLLVMLQSTELNIDSVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYYFRDGTIKEYFHDAVLKEEEDKAKREDRSLYHYAIFSDNVLAASVVVRSTVTHAKEPEKHVFHIVTDRLNFAAMTMWFIRHPPLPATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDVDLKGMVNGAVETCKESFHRFDTYLNFSHPKIAENFDPRACGWAFGMNMFDLKEWKKQNITGIYHYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLNRNWHVLGLGYDPAVDLAEIENAAVVHYNGNYKPWLDLAVSKYKPYWSKYVDLDNSHIQHCYMSEQ >ORGLA10G0060400.1 pep chromosome:AGI1.1:10:8654490:8655604:-1 gene:ORGLA10G0060400 transcript:ORGLA10G0060400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKRPRHQQLRCVAGRRLLSCFCCCCRLELEREREVEKREGRKLCAAAGAFKARGCGEEGGWPSALDLDLAVARRGGGIPSNGWRAERSRRHLVRLKVRGG >ORGLA10G0060300.1 pep chromosome:AGI1.1:10:8643884:8654487:-1 gene:ORGLA10G0060300 transcript:ORGLA10G0060300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYQMNGILNGMSNSRHPSSPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTHVSAVWPGWGHASENPELPDALKEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKIPPESCNSIPEEMYRSACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPSETVKELEQAARRLAKCVHYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVVVGMGVPLYNIPEIRRFYGMEHGGGYDAWRKISAVATKFDLDNAQSVKPKGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNVWAYFSVKSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRRGPRSYTLRMNGSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQAADLIARLDLDDPSSVRRAEPFHGTFPKLGPPTAVSGKVHQKFAASVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKEYELNSDFRKNKDFPAKLLRGIIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFSDNIQSDVIERLRLQHAKDLEKVVYIVFSHQGVRTKNKLILRLMEALVYPNPSAYRDQLIRFSGLNNTVYSELALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVGAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQPYLVKGSVRMQWHRSGLIALWEFSEEHIKQRNGQDAMSLKQQVEDPEEKRWGVMVVIKSLQYLSSAIDAALKETSHYKAGAGNVSNGNSASSSHGNMLHIALVGINNQMSTLQDSGDEDQAQERINKISKILKDSTVTSHLNGAGVRVVSCIIQRDEGRPPMRHSFQWSVDKIYYEEDPMLRHVEPPLSTFLELNKVNLDGYNEVKYTPSRDRQWHIYTLIKNKKDQRSNDQRLFLRTIVRQPGVTNGFLSGNVDNEVGRAQASSSYTSSSILRSLMAALEEIELHAHNETVRSSYSHMYLCILRVQQLFDLIPFSSTIDNVGQDEATACTLLKNMALNIYEHVGVRMHRLSVCQWEVKLWLDCDGQASGAWRVVVTNVTGHTCTVDIYREVEDSNTHQLFYHSVTPSLGPLHGIALDEPYKPLDAIDLKRYSARKNETTYCYDFPLAFETALKRSWKSTLSVVAEANEHNKSYAKVTELMFADSTGSWGTPLVPVERSPGINDIGIVAWIMKLSTPEFPSGREIIVVSNDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLSATAGARLGVAEEIKACFNVGWSDDESPERGFHYIYLTEQDYSRLSSSVIAHELKLESGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPIMKPLDPPDRPVTYFPENSCDARAAICGVQDSQGKWMGGMFDRESFVETLEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSNIVENLRTYNQPAFVYIPMGGELRGGAWVVVDSKINPEHIEMYAERTAKGNVLEPEGLVEIKFRPKELEECMLRLDPELIKLSTRLREMKKENAGLSEMDTTRRSIIARMKQLMPIYTQVATRFAELHDTSARMAAKGVIGKVVDWEESRSFFYRRLRRRVTEDALAKEIREVAGEQLSQKSALDYIKKWYLSSNGSDGNSEKWNNDEAFFAWKDDPTNYENQLEELKAERVSKWLSRLAESPDVKALPNGLSIVLNKMNPSKREQVIDGLRQLLG >ORGLA10G0060200.1 pep chromosome:AGI1.1:10:8637601:8639139:-1 gene:ORGLA10G0060200 transcript:ORGLA10G0060200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G26680) TAIR;Acc:AT4G26680] MPPPPPRALPLPHFTLPPLAGEDLLFVTALRAHLSTAPPPPTTTAASLSRFLPHLTPLRLSHLILAPTPPPRHDDGGHLLLASLLPSPPPPLPFALLLHSLRPRRSSALLASLLPSIPHHAFPDLLHHVLLTARLAAARPDGGAVPALDVLFSVCARGKKLSLATLAFRAMRAHGLLPRVVSCNVFISAALGLRRPEIALSFFREMRRCRISPNIYTANMVLRAFCDLGRTADAAEVLDKMPEWGVGRTAVSFNTLIAAYCRDGVDAGPALQLKRKMEQEGLVPDVVTYDTIIHGLCKEGRMGKANQVLSEMRAKGVMPNTVTYNTLIHGYVVLGDNAMAGRVHEEMVKNRVELDIVTYNALILGLCNEGKMKKVEHLLRELDRAKLEPNASTFSALIVGWCKMQNSERALQLLNVMKKSGFHPNYATYKMVISSFCKNKDLEGAVDVMGDMLGRCIAPDKALLNEFFDGLWKAKKLHLAENLRSLNNGLKFIPDVYYTGDYRNKDEVINRC >ORGLA10G0060100.1 pep chromosome:AGI1.1:10:8624946:8626373:-1 gene:ORGLA10G0060100 transcript:ORGLA10G0060100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLSSPNSKNQPWEHGEASKADSSKKLRMSAPPLSGGYDHPGLIPGLPDEISLQILARMPRMGYLNAKMVSRSWKAAITGVELYRVRKELGVSEEWLYMLTKSDDGKLVWNAFDPVCGQWQRLPLMPGISHGGECKRGIPGLWLGDLLSAGIRVSDVIRGWLGQRDSLDRLPFCGCAIGTVDGCIYVLGGFSRGSAMKCVWRYDPFVNAWQEVSSMSTGRAFCKASLLNNKLYVVGGVSKGKNGLAPLQSAEVFDPRTGIWVEVPDIPFSKAQALPTAFLAELLKPIATGMTSFGGKLYVPQSLYSWPFFVDVGGEIFDPETNSWAEMPVGMGEGWPARQAGTKLSAVIDGDLYALEPSTSSDRGKIKIYDPQEDAWKVAIGQVPVGDFAESECPYLLAGFLGKLNLIIKDVDSKINIMQTDVLKPVELSAPGNGPTCQNQQLSSEQETNLWKVIVSKNLAAAELVSCQVLNI >ORGLA10G0060000.1 pep chromosome:AGI1.1:10:8611051:8622910:1 gene:ORGLA10G0060000 transcript:ORGLA10G0060000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLVLNLRSATSLSGSGGLIAVHYTFLALNLVLGARVRVFAGKSQVLMASIIHGAFGYGTVLIFSGKMEAFWRVPKWKIEKAKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVSAKTNKANVRNGSCKWPDPIYEATRLLQDSRTKTYDDKLYKIVVAMGTSRSSILGELDVNLAEFAEALKPVSIALPLRGCEFGTILHVWEFEQQRETGAKSTQQLVNQRSHDPSEIGVASSDIYSHKANARIKLKETSSGFPLAEDSAGSTEDYENSSHNSDGLFAEKIDSYGGHEVSSFRATMSGDLSLSSQSPTPEKGSLRSKHLSPQGSNEWTYGWSPELSTGHDLAAAHEENNQLRTRLEVAESAFSHLKLEATSLQDFTDKLGTETQGLAQRLGVELMSRNQLSAEVSSLRTECSNLKRELQEMKSAKLLQQKANGEDIMMAAGQGNISSKFGNDILADTSVRDLQTEWLQGLLLLESKLQQTRNNALHGLQAADLDFLLADLGALQRVIENLKQGVQIGQMKENNYLEHLVPPTNAAHQPSLGRDHDSNKKTSGSAGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFIHKLEERQKQTEIELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLIRFVEDRTTLEAQNKEFERRAVATETALKRVRWNYSAAVERLQKDLELLSFQVLSMYESNETLAKQSIVEDFESSPEEQSAVADLGANKERSLYMSDHESQAFSAENGRPDNLTYKMDGQKSLLRALKMEEIRNRSEFQVRSNTNLQVDYSKLDKLEQTPSTTESEVLETYMANIEWQVFSDVLREAHCTALGTIKLMQERLHMLEIQLRDSNDARDSLVLKLNAALDQAKSVKETEAEYILKCDDFMVKNKILEAKLQDMSAENALLMEKLKESERYVQEHESCESKYKACAEDRKRFEDLLMKESLQTSHLKDELRSVVENFEAMKDELHKQSTLNTDMQTVSALLQEQMNNVCNGIISSSKDIGISGLDEVSLLHELQRRNYIAVMASLEFFQKQSCQEVVRLRQEKEAAEEMCEALRSRQDKSELELLDMKQKYQLDFDAMKEKLNFSEEHMEKLEKELQDMTHKFKISSEAQEKYSIINADLTSRLAEMEGQLQHITSENEALVEKLKDIAAIVEEHERTKVTLAESEEENKTLTLSLQSKDEAMMQMENEIRSLQDELRSSDDNLLREKRLMEELQSTLASLTSQLGHKDQALLSFDEHKTELNRLRDQVLDMERTNSLMQDALSQSEQIQMDLNCKNISLQSQLSNVEDRLATVMKNTVATETEASYMRNLVEELTGQLDSLRNDHEKLQLKNKDADDLLRVHMSTEAELADRVAALEAAIHSLEIDLARVNEEKEELEELIKSNEEQFVQVGTDKSRDIVESIDSSERVLKYQDDILQLKVLLTNLEEQVDDLRSTKDEVEILNMVLKSKLEEQRTEILSLLQNSGHELANFKEQNKDLTQKLAEQTLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLFAMQESLRIAFIKEQYETKVQELKGQVFVSKKYAEEMLLKLQSALDEVETGRKNEIALAKRIEELSMRISEMELEMQDASADKRDLSNAYDSIVTELECTKLNFDCCMEEKQKIEDTLQECTEERNRIRVELDLVKKLLENMALTDNPTVPDNSGSCTSGATSIGQILGDAKPGSASSKTTKNTPEVDSGLQQDEDRIQSTNASSTLAAGEDVRRFSEQGEHARSVPSKNLEECEPSLENHSTGKTAIEDISMEHRKLAVDLNHFHQELERLKNENLSPLLPLDINLIDPSLSGLERALSQLDMANEHLRIIFPSFKELPGSGNALERVLALELELAEALQAKKKTDILFQSSFLKQHNDEAAVFQSFRDINELIQDTIELKRRQVAVESELKDMQGRYSELSVQFAEVEGERQKLEMNLKNRSPMRS >ORGLA10G0059900.1 pep chromosome:AGI1.1:10:8504135:8504629:1 gene:ORGLA10G0059900 transcript:ORGLA10G0059900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTMKLSIALPCFLFFLAAATTASAQCTFEILVKTDGRRNAGTDARVSLQVRAASGPTLTITNLESWGQMAAGHDYFEKGNLDRFRGTGACMPSEPCNMVLTSDGSGNKPGWYVSYVMVTQLGQGSLPSMTHRWAVDQWLAIDEAPHMLTAERRGCGIGAAAP >ORGLA10G0059800.1 pep chromosome:AGI1.1:10:8486994:8490696:-1 gene:ORGLA10G0059800 transcript:ORGLA10G0059800.1 gene_biotype:protein_coding transcript_biotype:protein_coding QCSPPFSSRPVFPRRAAVAVAFLHPARDQRCRRRGSCRGQGSRESGMASPDACVEDKVHRIFLDFMTKVARYDELVDAGKKVLLKFHQEVQHFRRPKLLTESGAIIEIVKSNYSDRMRSYLEAGCTHHDENIQKMNRLHAYQEKLNDHINKAKLLLEELQFLEEDVYSAALTASLSSSRNTDDCPDDVNLTNVCSRDEQQPEDWLDGAVSFASVIVLVHNMLKNGLHDA >ORGLA10G0059700.1 pep chromosome:AGI1.1:10:8481971:8485555:1 gene:ORGLA10G0059700 transcript:ORGLA10G0059700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAAIHIAVVAMLVSLTALAIADESDNNQREALLCIKSHLSSPEGGALTTWNNTSLDMCTWRGVTCSSELPKPRLVVALDMEAQGLSGEIPPCISNLSSLARIHLPNNGLSGGLASAADVAGLRYLNLSFNAIGGAIPKRLGTLRNLSSLDLTNNNIHGEIPPLLGSSSALESVGLADNYLTGGIPLFLANASSLRYLSLKNNSLYGSIPAALFNSSTIREIYLGENNLSGAIPPVTIFPSQITNLDLTTNSLTGGIPPSLGNLSSLTALLAAENQLQGSIPDFSKLSALRYLDLSYNNLSGTVNPSVYNMSSITFLGLANNNLEGIMPPGIGNTLPNIQVLMMSDNHFHGEIPKSLANASNMQFLYLANNSLRGVIPSFGLMTDLRVVMLYSNQLEAGDWAFLSSLKNCSNLQKLHFGENNLRGDMPSSVAELPKTLTSLALPSNYISGTIPLEIGNLSSISLLYLGNNLLTGSIPHTLGQLNNLVVLSLSQNIFSGEIPQSIGNLNRLTELYLAENQLTGRIPATLSRCQQLLALNLSSNALTGSISGDMFIKLNQLSWLLDLSHNQFINSIPLELGSLINLASLNISHNKLTGRIPSTLGSCVRLESLRVGGNFLEGSIPQSLANLRGTKVLDFSQNNLSGAIPDFFGTFTSLQYLNMSYNNFEGPIPVDGIFADRNKVFVQGNPHLCTNVPMDELTVCSASASKRKNKLIIPMLAAFSSIILLSSILGLYFLIVNVFLKRKWKSNEHMDHTYMELKTLTYSDVSKATNNFSAANIVGSGHFGTVYRGILHTEDTMVAVKVFKLDQCGALDSFMAECKALKNIRHRNLVKVITACSTYDPMGSELKALVFEYMANGSLESRLHTKFDRCGDLSLGERISIAFDIASALEYLHNQCIPPVVHCDLKPSNVLFNNDDVACVCDFGLARSIRAYSSGTQSISTSMAGPRGSIGYIAPEYGMGSQISTEGDVYSYGIILLEMLTGRHPTNEIFTDGFTLRMYVNASLSQIKDILDPRLIPEMTEQPSNHTLQLHEHKKTGTPKNEALPNLSSEVSLLLQ >ORGLA10G0059600.1 pep chromosome:AGI1.1:10:8473691:8480683:1 gene:ORGLA10G0059600 transcript:ORGLA10G0059600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHFPLATSPPLPDPAEGRGVGSGAGRGGGGGSVGVGAAGWEDQIDPHVHISSIFWTCTGVSRSTRPLCSST >ORGLA10G0059500.1 pep chromosome:AGI1.1:10:8468153:8468736:-1 gene:ORGLA10G0059500 transcript:ORGLA10G0059500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDGADGEARLGDALRDGEAGGATADDKVGRATNAEASETARRVCLCSALGNNREKNGAISSHGYSVGPIEWWSGVGVGAQRFTEHAQLMAALGSAGRDDNTVDMLN >ORGLA10G0059400.1 pep chromosome:AGI1.1:10:8455468:8456826:1 gene:ORGLA10G0059400 transcript:ORGLA10G0059400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELKLCQCQTGLQIGRDRSNEQADGRLWPTRIVCDSPCHTMEIMGTPYPHGMVIQLVIGDNLYLWNMYGS >ORGLA10G0059300.1 pep chromosome:AGI1.1:10:8449876:8451634:-1 gene:ORGLA10G0059300 transcript:ORGLA10G0059300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKQNDERKNKYAVGCSIIGSIISVLMGYDTGVMSGAMLFIKEDLKTNDTQVQVLAGILNVCALVGSLTAGRVSDCVGRRLTISLAACIFLAGSVLMGLAPNFATLLAGRCVAGVGVGYALMIAPVYAAEIASADIRGSLTSLPEICISFGILIGYVANYLLAKLPLVYGWRAMLGLGALPSAALALGVLAMPESPRWLVVQGRAEEALSVLRRVCDRPSEADARLAEIKAAAGLADDDGGAAAANAGSGGKGVWRELFLHPTPPVRRIVIAALGIHFFQHLTGIEAVVLYSPRIFKAAGIASRNSVLAATIGVGVTKTAFILTAILLVDRIGRRPLYLSSLAGIIASLACLGMGLTVIERSPPHHSPAWAVVLAIATVFTFVASFSIGVGPITWAYSSEVYPLRLRAQGASVGVAINRVMNAGVSMTFVSLYKAITIGGAFFLFAGLAVAAATFFYLLCPETQGKPLEEIEEVFSQGWRARRRASAAAVEMPASGGGGGGGATMA >ORGLA10G0059200.1 pep chromosome:AGI1.1:10:8448462:8448752:-1 gene:ORGLA10G0059200 transcript:ORGLA10G0059200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCEVQETSVGEALVMVVGIGGSRVAAEGEAGIVPHQFHGGGSSGTALEKHLVPATSNTDGTVLTVRKPPSKDSHSKVIDHGRRIRMPHHLRSRPQV >ORGLA10G0059100.1 pep chromosome:AGI1.1:10:8433992:8437196:-1 gene:ORGLA10G0059100 transcript:ORGLA10G0059100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVPGLALLNTSISKFWSDEELVRFLAERKEAHSLPENVFVGMNISLIDPRNSEDIWYMNFSDDPQSPKNGENAIIKSKTGYWKVVGTVRIPTSTVIVGMKVTLDHYEGKAPSGKRTGWVMDEYLIEQNDEANLPQDYKNLCTIFFQGDDILNAGDKQICLNANVPNERKEFYLQYLAELEEQNAAWNNQAVSVNEQDVSSSKGLDGQKTSAADDQSVNHAPSREGYIELNDFLNSDSSASTSEYSSQRTMISEEYFDSDAFLREIRNDHNAADEEHTDSKFSVAAASKSDCVVISPPEQGFVNNLDNHATIVGDSPLKSVKNDKVDEHSSEEHPQHSPTTSCFPSHVKRSLSSSSSSSQGTSNSKSPQRQRERSTKKIGKLGKYWCCGSL >ORGLA10G0059000.1 pep chromosome:AGI1.1:10:8432015:8432236:1 gene:ORGLA10G0059000 transcript:ORGLA10G0059000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKLEADDGGGATGGTDDALIDGSGVDINGVAGTTRRPRRAGSGGGRALTGSSRRPRRRLLRLRWLRMRVER >ORGLA10G0058900.1 pep chromosome:AGI1.1:10:8424625:8427559:1 gene:ORGLA10G0058900 transcript:ORGLA10G0058900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRHGIMRPRRLASGRSAAEEEEEEGEGEPGSYEAACSADAELGTFDTALRRRASRAITAVASGVEVRSLSLGSLREVTGCLLDMNQEVVRVVLACKRDVWRSPDLFDLVEDYFEGSLHTLDFLAALDKSLHRARDSQLVLHLALQRHHHEPPAAASASELYASTLSELRQFKAAGEPFTDEFFAAFQTVYRQQMSMVNKLRRRKRRLDRRLRSVRVWRRVSGIVFLTAFAALLVCSVVAAAIAAPPVAAALAAAASMPVGSAGKWMDSLLKKYQDALHGHKEVVSAMQVGTFIAIKDLDSIRVLVEHLEVQISSMADSVEFAERDEEAVRFGIDEVKKKLELFMKSVDDLGEQADRNNMRMCHILPEYVFFINPANGNGMSESLFEMMNAFHDICRKDIKFKTSHYYLNFLSSSYQVYIAVA >ORGLA10G0058800.1 pep chromosome:AGI1.1:10:8416500:8416730:1 gene:ORGLA10G0058800 transcript:ORGLA10G0058800.1 gene_biotype:protein_coding transcript_biotype:protein_coding NAILSALNPEEFNKVDGLEEAKEIWNTLQLAHEGSPAVREAKIELLEGRLGRFVMDDKEIPQEMYDRMMILVNKIK >ORGLA10G0058700.1 pep chromosome:AGI1.1:10:8397920:8400955:1 gene:ORGLA10G0058700 transcript:ORGLA10G0058700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYFERVDEPFRLKLRELWHKEMREQRKNIFFFDWYESSQVRHFEEFFKGKNMIKKEQKSEAEDLTVIKKVSTEWETTSGNKVDSVHPPFESIQLSHNGGKACPLKSISKNTYGETAKVEHIGHLVEQQNYANISLRSLGQQTDQIETILMEGYKTGRPEVKINIPSNSQSSSSQSVSPMFVPTIDPNIKLGKQKAFGPAISEELVSELALKLNNLKVNKNINEISDNEKYDMVNKIFKPSTLTSTTRNYYPRPTYADLQFEEMPQIQNMTYYNGKEIVEWNLDGFTEYQIFTLCHQMIMYANACIANGNKEREAANMIVIGFSGQLKGWWNNYLNETQRQEILCAVKRDDQGRPLPDRDGNGNPTGNISDALATLIYNIIYHFAGNYHDIYEKNREQLINLKCKTMSDFRWYKDTFLSKLYTLPEPNQDFWKEKYISGLPPLFAEKVSNSLRKEGGGSINYHYLDIGKITQKIQLVGAELCNDLKIKDQLKKQRILGKREMGDFCYQFGFQDPYVYRKRKTHSKPMTKPNDKSKMSFQATKRKPKRIYNKNIRTQDTESKETICYKCGLKGHIANRCFKSKVKKEIQALLDSESEDVKEKLEAILNNIDNDSSSDEEKNAEINCCQDSGCSCYEPDNSEEESDENILVLTSLEEFVLDTFETIQDPEEKRRVLEKFLSRVKTDKDKLKKDIQKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDSGADVNCIREVCIKTSFLLVKNLKQDVILGTPFLSLIRPFLVTNEDIQFEIMGKQVSLRFSSNTDEILDQLVQTKREQVVNRIYLHDNSSKIYGLAR >ORGLA10G0058600.1 pep chromosome:AGI1.1:10:8392732:8397196:-1 gene:ORGLA10G0058600 transcript:ORGLA10G0058600.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTPLPRPLFPTPFGEDGLGRLRALGSDTSTSLFXYENSQIIYFEEFFKTQKKGKGDIRGKNCFPESTYEKSIENNFLGYLVEQQKTQDLSPEQQDSKTIPMELIILRSHEEPSSHSQFEVSIPQSETYLLPYSYPITTILIPTATPKDKVAKFFGIPNNFPNTNDQMSNSLTRIQASSYDKLTLQKEVSSFYNKIDSSVNRNLESYITRIINTSFYNIINDPKGITRSKFRLFHNVLSSKIYIQPNPNKTLCYHSQTKNSFERKSQNQISAEALCTNNEILVHKQDLFGSIFVISDTNQFGTFNPXEERTKRKLKINDLFQEQNYTKKELRDLKGKIRSLELQNNSCMGQDEEVVNSINSYVKQKWYAEVRYKFNDGFQFSYKTLIDSGADVNCIREDLAKDRKILTERLKKKPPAWTAKHTQAVKKIKGNIIPRTPTVQEKYGNNSSYILNIEEVILPLEFGDSDLNIIKIMGKYFPQHQYFIPEYPGKDQNYYETILCETRSAQIFHTRNGDELGFTKLLIQKIISIDDWDKSSNPYVARTIYSTSCANKRYNYWDYQKAWERVLLVQNSQMKHSWFIRFKEGCEEIPLWFFSNWWLKAGAIPEILPQEIIKVITQESKKDLKEYPLNLMQFCAETGMPWILKWDLNIQRMEFPATLKRNYYARWWDKFAITPVIEGRKFRAKNKKSHVAQLKEDITRELLKARPELTKGELQLQVYETMFKRLEESPKSSSTCRSLDEDMVQCSQIKPSSPIPPYYSIKQDNDXDEGISDFNPTHI >ORGLA10G0058500.1 pep chromosome:AGI1.1:10:8374781:8381433:1 gene:ORGLA10G0058500 transcript:ORGLA10G0058500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(26)-N(2))-dimethyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QTQ2] MPLAFRFSRILNPRFNPSTNLGLALASSFSASSRPEPPELPGARGGGGGFPATIREGRAEIFADDFNSVFYNKAQVNNRDLSIAVLRSFISRRREEHDIQLRRGSHAELPPKHHAEELGHIRGSSEDKALSEEISYRAPKVLEALAASGLRAIRYALEVDGIGEVIAVDNNEVAIEACKKNIHHNGSVASSKVVPHLADARVYMLTHPKEFDVVDLDPYGSPAAFLDSAVQCIADGGILMCSATDMAVLAGGNAEVCFSKYGSYPLKGKHCHEMALRILLACIESHAIRHKRYIVPIISVHMDFYIRVFVQIFTSASTVKSSPLKLAHVYQCTGCSSFHLQNIGRINSKDKRNIALPNFSPLVPQECAECGHNFVMGGPIWSDPMHDKEWAASILSSIQAMSCAYSAYAKISAIMTSVSEELPKAPLFVSLHNLCAILKCTNPTIATFQSAIRNAGYQISGSHVDPLALKTDAPMSVIWDIMRCWVKIHAVKYRPGNHPGTRILSQEPKLQAKFSHVPGGLAVQKSPRFVPNPEKYWGPKTKAGRQPKRLPVDNL >ORGLA10G0058400.1 pep chromosome:AGI1.1:10:8345256:8345942:1 gene:ORGLA10G0058400 transcript:ORGLA10G0058400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGWTIMSDRQKGLMNAVARVFPDCEHRYCKRHLLANMATAGYRGEKYKSFVDSAVYAYTEYDYNIAMDALKAFNAKAWKWLNDLGKEHFSRHAFSSRSRTDLVVNNLSEVFNNYIIELRDKPIVTMLDKIRQKLMVRANQKRDGGQQAMWEITPVVVGKLEVEKKYARYCNAYQSGVGLWEILGSERQYEVNLFSRTCGCNK >ORGLA10G0058300.1 pep chromosome:AGI1.1:10:8333511:8336853:-1 gene:ORGLA10G0058300 transcript:ORGLA10G0058300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAADAAAVVIQHPSSSSGNGGGGGGGRVERAYGAVSAAAVMAANPGHYVAEVVRPVATAPATAASASAPAARRRLKLLRPDDTLVLGGVYRLVTFEDVLKQFVSKRNATISRATIAAAAADDDEDDDGHRRQGHSGGEAAAAAPAKVAAQSHQENPSSPSPTDEARPEPEPEPEPDLVAAAMALGGRMSLSRHGQWRPALPSIAEGSVLCF >ORGLA10G0058200.1 pep chromosome:AGI1.1:10:8318975:8322326:1 gene:ORGLA10G0058200 transcript:ORGLA10G0058200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLVLSLICISLCQGWVVQSLEYDHTASIECLRDPMKPLYNGGIIQNGEFNSGLMGWSTHRDIKAGLSSSPSGNKFAVVQRADSLSGAAVPSRSVYQKIQLQGDTHYSLSAWLQVSAGAAHVKAFVKTPNGERVVAGSVSAQSGCWSMLKGGMTAYSSGPGQIFFESDAPVDIWLDSVSLQPFTFDEWDAHRQQSAAKVRRSTVRVVVRGADGAPMANATVIVELLRAGFPFGNTLTKEILDLPAYEKWFTSRFTVATFENEMKWYSTEWTQNNEDYRVADAMLKLAQKYNIKIRGHNVFWDDQNSQMKWVTPLNLDQLKAAMQKRLKSVVTRYAGKVIHWDVVNENLHFNFFETKLGPNASPMIYNQVGALDKNAILFMNEFNTLEQPGDPNPVPSKYVAKMKQIQSYPGNSALKLGVGLESHFSTPNIPYMRSALDTLAQLKLPMWLTEVDVVKGPNQNQVKFLEQVLREGYAHPSVNGMIMWAAWHAKGCYVMCLTDNSFKNLPVGTLVDKLIAEWKTHKTAATTGADGALELDLPHGDYNLTVSHPSLGTNATVRAMTVDAASLASEHLINIKV >ORGLA10G0058100.1 pep chromosome:AGI1.1:10:8302760:8306634:1 gene:ORGLA10G0058100 transcript:ORGLA10G0058100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFMKKFGIILLLFSFFRGQMVQSVPYDHTASIEASVYGLFLQICLSNPMIPLYSGGVIKNSEFNVGLTDWTVPLGVQATVNRSSSGNKFAEARTDGQPSRTVYQTVQMQPNTHYSLSAWLQVSAGTANVMAVVRTPDGQFVAAGATVAKSGCWSMIKGGMTSYSSGQGQLYFEADAAVAIWVDSVSLQPFTFDEWDAHRQQQSAGRARRSTLGVVVARGTDGAPVPNATVTAELLRPGFPFGNAMTREILDNPAYEQWFTSRFTVATFENEMKWYATEGRQGHEDYRVPDAMLALAERHGVRVRGHNVFWDDQSTQMAWVRSLGPDELRAAMDKRLRSVVSRYGGGKVIGWDVVNENLHWSFYEGKLGPDASPAIYHQVGKIDGETPLFMNEFNTVEQPVDMSAMASKYVAKMNQIRSFPGNGGLKLAVGLESHFGATPNIPFMRATLDTLAQLKLPIWLTEIDVANGTNQAQHLEEVLREGYGHPNVDGMVMWAAWHATGCYVMCLTDDEFKNLAVGDVVDKLIAEWRTHPVAAATTDADGVVELDLAHGEYNVTVTHPSLVSSAVRTLTVDASSSSSENAIDIRV >ORGLA10G0058000.1 pep chromosome:AGI1.1:10:8260100:8261083:1 gene:ORGLA10G0058000 transcript:ORGLA10G0058000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAAVVLLPHILFVQQGASELVWGSLHKATVYGARGDEESFVVRAQGISAIRPRDAEESAAHALISIIKKECRVEFDDTNWFDMKHYHCETEQLKRALGRARKKHNTLAKKARWLG >ORGLA10G0057900.1 pep chromosome:AGI1.1:10:8254322:8256496:1 gene:ORGLA10G0057900 transcript:ORGLA10G0057900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G46850) TAIR;Acc:AT2G46850] MSPPMPLPHLTVLLVLFATSAAQAAADRSSSSSSSSSCGGGERCGDLLLPFPFHLNSSCVSSTTNSSSRFRLSCDTTNATLTLPLGSATFRVLGFLPSGSLLLDYAPAASPSPSSPCDPAYAAFSRPSSPAAALDAAAAFLAVTPANVLRLYACEDSSLCRSGCEDVATCGRAAAGAKSGCCYPLSDGSVWKPGDGLGAFAEFGCRGFSSWVKNRSAAAPGVVRGIEVEWAVPKGSEMAACADGAVAVNATAVRGGVRCACAAGLVGDGFAHGTGCSKGTSCSNSGQASDGRECCQGRFCSKKSVALAGFFVSLFFLAAAVSFWLFLRQPSKDTLWDIDPACIPKILGGVCNARQFTYEQLDEATKRFDDSEKAAVNVQVDGGGTVHAGVLDDGTVVAVQRIGYDTAEKLRLVLDRVELLSEVSHRNIARVVGFCCTAAADSGTGNALLLVHEHFAGGTLEDHLRQVKGRVLSWYHRVNIAIELASALTYLQAHDTAPTFLHDLKSSDVFLDDNLTAKIAGYKLARPVAYYYYAPSYDHDVVRNFGHLLIELLTGMRHQHPFDSVAPKVREGRLHEVIDVTLLSGKQLPAPNEEVRKVFELAVACLLSAENGLCMLGVAKELMQIVRNNIGSSSKIEISLEETFSSSSLLQMISMSPETLHHHLP >ORGLA10G0057800.1 pep chromosome:AGI1.1:10:8209758:8212789:-1 gene:ORGLA10G0057800 transcript:ORGLA10G0057800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLVCMCSLLLMFLISYVLQLFGDARRRLPPGPTPLPLIGNLLDIASDLPHRSLSRLAGRHGPLMAVRLGTVVAVVASSPSTAREVLQTHNGSLTGRIPPDAWHGVGHAANSVFVLPPRRKWRALRRIGAEHLLSARQLDGRRLLPLLRDAVLGLLHRVSEMSAASGGGAPVQVGHAAFAAMMDMQWRAMFSAGLDNDDARVLQDAAREAVALSLKPNLSDFYPALAAVDLQGLRRRFAGRVGTVYHLVDEQIERRMRRRREAAGDGEARSDDDLLEVLLDMSEHGKDDGKVAIDKDLIRTFLTDIFLATVDTIASTLEWAMAELLQDRETMRKLQEELKNVLGSRTHAEYADMDRLPYLRAVIKETLRLHPVVPIVPNVAEEMVEIHGHVVPRGSTILVNLWAVHRDAEAWPEPNRFLPERFMLRQHGQEAAGRALGTATTEFELIPFSAGRRVCLGLPLATRMLHAMLGSLLHRFEWTLPLEVEENGVDMSENLGLTMTMATPLQAIAKSI >ORGLA10G0057700.1 pep chromosome:AGI1.1:10:8206218:8207539:1 gene:ORGLA10G0057700 transcript:ORGLA10G0057700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTAWAACPTCTGAPPDAADISDPQQRHAGPVELPRREQVLGADPPQRAPLAARREDEHGVGGVPDPDAVPRGRFKVSIETRRGNKPQFQRLRLRLLHQGRKMSSFKEERSEGKVPATAIDPTNEKKKRTKMVRYTQDQIQYCFANSVELSDDDEDDFKLTEVLSKECLGRMSQEYLAKLYAMEIAEEKEKANLKKIQDVLRNERENIFNIRDKPEDVLKQYYTKGYAEYEVVVDDDKGDEDNKVHARVAPPGRRSFRNGVAMKKNQLGGGSIIRKIN >ORGLA10G0057600.1 pep chromosome:AGI1.1:10:8201353:8202288:-1 gene:ORGLA10G0057600 transcript:ORGLA10G0057600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIMSASRAQGLRVRLTTLGPFFRVTAARRGGGSGGEGETEEVELGRAQGVVRPWPGGAVLHLDSMRMSRATLQVPDRPLFGLGVFLGAVAVRHGFDAGCKRAELLAINDTDLYHSKVHTTYYCSLGCCLVRFYSRMGFKTVHEVDGSSMIDLAHMLVWGGRGTRMDADIEQLLIKWSKRFRSQD >ORGLA10G0057500.1 pep chromosome:AGI1.1:10:8184764:8187437:-1 gene:ORGLA10G0057500 transcript:ORGLA10G0057500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDA1/CD39 nucleoside phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT4G19180) TAIR;Acc:AT4G19180] MRLSSSLQDLPTFSRIDALERGSSTGSDLVSGRAKPIRTLQRDGTVASFSKEKTPPSSPTNRKKCMRAAGCAIALFLLVFFIYASLRYFHVFLSEGSPEYYVILDCGSTGTRVYVYEWSVNHDDGNTFPIALKPLGNAPKKKSGKLTGRAYQRMETEPGLNKLVHNETGLKMTIEPLLRMAEKLIPRRAHKHTPAFLYATAGVRKLPSADSEWLLDKAWDILKNSSFLCSRDRVKIISGMDEAYYGWIALNHHLNMLGTSSSKMTYGSLDLGGSSLQVTFETDNSIQDETSMSLRIGSISHQLSAYSLSGYGLNDAFDKSVAHLVKKLGGAAGNGKVQVKHPCLQTGYKEDYICSYCHPLKLDGSPSVGGKTTGKEKQGMAVELIGMPQWNECSALAKLTVNLSEWSNASSVDCNTKPCALPSTFPQPHGQFYAMSGFYVVFKFFNLTADATLIDVLNRGQEFCEKTWKVAKSSVPPQPFIEQYCFRAPYITSLLREGLQIKDNQVIIDSGSITWTLGVALLEAGQVLSTRIDIQGYRILHREINPNILIVLFLISIVLVICAILCVSNSIPRSFRKSYLPLFRQNSAGSPVLSMGSPFRFHLWSHITSGDARTKTPLSPTVAGSEPHPFSMSHGLGGSSVQLMESSRQSLGVYHSYSVGSLGQMQFSSGMWKPGQTTLQSRRSQSREDLTSSLADLHLPKV >ORGLA10G0057400.1 pep chromosome:AGI1.1:10:8174525:8175124:-1 gene:ORGLA10G0057400 transcript:ORGLA10G0057400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLPPPPRARCIVRLKLPPAWTPEEDARLQRLAKENGFRRWSRVARSMPRRSARSCRDRWRHHLARDVYHRPFTARDDDELLRLHYRLGDRWKEIGRAVYGRTSRVMKHRWRELRRGGFLATAARKEQAALDMADDMVETSEVEEPADQSLPSLELQRSTLADTLASSFGSCSLATDHVMDPLAGSLALGFACMAV >ORGLA10G0057300.1 pep chromosome:AGI1.1:10:8172098:8172911:1 gene:ORGLA10G0057300 transcript:ORGLA10G0057300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYNFKVNGRYRINAEDLPRLECRTSFDDTGIKNVQRDMCHFIHHEWCHVKGDFFDPEGALATSDEFKDFREWNNAMP >ORGLA10G0057200.1 pep chromosome:AGI1.1:10:8151229:8151537:1 gene:ORGLA10G0057200 transcript:ORGLA10G0057200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAVVPGGGVGGTWRCRRGSRKERXGVRGGGAGSLGGGDGAGSTGGGAMVTCIGAGSTGSSAGFVGSGAAVTGDDEEAAGAGAEVAGYIWVIFFFLTFFFS >ORGLA10G0057100.1 pep chromosome:AGI1.1:10:8140842:8141120:-1 gene:ORGLA10G0057100 transcript:ORGLA10G0057100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLGEKGLYDGIFRKLLLSMDEVLIVGFGWKVHAGGDILGVRLKVVKVSAVSLLALFKEKKKQRS >ORGLA10G0057000.1 pep chromosome:AGI1.1:10:8138034:8139043:1 gene:ORGLA10G0057000 transcript:ORGLA10G0057000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACRGQSPATLARRETLAAGNSYLHTAPPMATHIANDGGTGERIEGVIDIMTESLYDKCVDEHGVVDFARSGGMSNQKQSKKFLRALNEQCDL >ORGLA10G0056900.1 pep chromosome:AGI1.1:10:8137087:8137467:-1 gene:ORGLA10G0056900 transcript:ORGLA10G0056900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKIAPLLALTLLILFFGCAVTNCTGKPVAPTPPSHDDHGRCPIDALKLRVCANLLNGLIGVKIGRGPDDCCPLLAGIADLDAAVCLCTALKANVLGLINLNLPVDLSIILNKCGKNYPSGFTC >ORGLA10G0056800.1 pep chromosome:AGI1.1:10:8127369:8128476:-1 gene:ORGLA10G0056800 transcript:ORGLA10G0056800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVAPFIALSLLLLAVIANGCTPNCPGEQVVPTPTHHGKNGGHGRCPMDALKLRVCANVLKGLVDVEIGHGPDDCCSLLSGIADIDAAVCLCTAVKANVLAGRANPVTGTGRKDDVAILDL >ORGLA10G0056700.1 pep chromosome:AGI1.1:10:8125666:8125845:-1 gene:ORGLA10G0056700 transcript:ORGLA10G0056700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESAVVGARGLRRRSIEVGGRGGGDSDGRSWMEAMRTRARGGGRWRSRWRQELGVGG >ORGLA10G0056600.1 pep chromosome:AGI1.1:10:8121111:8124246:-1 gene:ORGLA10G0056600 transcript:ORGLA10G0056600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVVAPFLALSLLLFAVVAHGCTPNCPGEQAVPATPVAVPVQSHHGQHDEHGRCPINALKLRVCINVLNGLIGHGPDDCCSLLSGIADLDAAICLCTTVKANVLGIRVNLPVDLGLILNKCGKTYPTDFTC >ORGLA10G0056500.1 pep chromosome:AGI1.1:10:8116725:8117138:-1 gene:ORGLA10G0056500 transcript:ORGLA10G0056500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVIAPILALSLLLFAVIVQGCTPNCSGEHVVPTPPVAVPTPLHHGGHGEHGRCPINALKLRVCANVLNRLVDVKIGHGPDDCCSLLSGIADLDAAVCLCTAVKANVLGIRVNLPVDLSLILNKCGKSCPSDFTC >ORGLA10G0056400.1 pep chromosome:AGI1.1:10:8113580:8113993:-1 gene:ORGLA10G0056400 transcript:ORGLA10G0056400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVIAPFLALSLLLFAVIVQGCTPNCSGEQVVPTPPIAVPTPSHHGGHGEHGRCPINTLKLRVCANVLNGLVDAKIGHGTDDCCSLLSGIADLDAAVCLCTAVKANVLGIRVNLPVDLSIMLNKCGKTCPSDFTC >ORGLA10G0056300.1 pep chromosome:AGI1.1:10:8111665:8112030:1 gene:ORGLA10G0056300 transcript:ORGLA10G0056300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERHGSRKKLADDVDNEHVGNGVEPSPTEELPDGAVVEIVHLIDCVPLCSELFETVDEAPQLVGPAAEAYVGDEAEEEANLHHSKPLVVLDNRAGQLHQLFVFTMRPSACLYYNDVLRRV >ORGLA10G0056200.1 pep chromosome:AGI1.1:10:8080131:8082314:-1 gene:ORGLA10G0056200 transcript:ORGLA10G0056200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNFNPVNDSDWLIRAAMLLSFTLQVILVFVAPTRKRSCHPLPHLAVWSSYLVAGWVAVVGLGLLLYSLSISGSNNGSSSIFAFWTPFLLLHLGGPDTITAYSLDDNELWLRHLAGMLFVVFVALVVFFSSVTSNPMVTATVLVFVAGVIKYGERIYSLYSGSVRGFRDKMLGEPNPVPNYAKLMTEFESKKNAGLMVEIIVVDGEHKEVLEQAEVMKNGRKSVETMAYELFAMFRVLFVNLVLSYKERRISQAYFLDRGDVMTAAAAFEVVEVELGFLYDMAYTKAAVSSTRRGCLLRFVATACLVVAVVLFVLMDKAGVRHVDRGVTYVLLLGGVAIDVAGYLMLLSSDWTLAFLDGKPKLAWLARVARAVRLPTRRWSERITKMNLIRYSLGKPEEDAGRRCWCCRWTTIPRVVRCLAWVADMVGVREILDDFFFIRHEPVSCRKIKDSNKGKKSIDVLNYVFDGLRKTANEVRYSGGKEMKEVCDYRGGEGIINELVGDIQLMELNVNDVVRDSVRREFDESLLLWSVATDLCSHRRRDIEVPRNGDIQGLMSISETLSEYMLYLLARRPEMLPAATATAAGIGLLRYRDTRAEARRIFRSAAAWDPTHHDAQRMLLEVNTSKKPAVVKGDESKSVLFDACILAKALLQLGDDTMWRVVAGVWREMLVHAAGRCHGSTHVRQLSRGGELITMVWFLMAHMGIGDMYRTQVGDANAKLVVLDQ >ORGLA10G0056100.1 pep chromosome:AGI1.1:10:8064574:8064795:-1 gene:ORGLA10G0056100 transcript:ORGLA10G0056100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGMIGYVKFVSCTRGFPKLFRTPSMSLVRGFRLPTSGINRGGA >ORGLA10G0056000.1 pep chromosome:AGI1.1:10:8059016:8059408:1 gene:ORGLA10G0056000 transcript:ORGLA10G0056000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSNEKSESASEYATRRRDSGRSSGRGKSSPQRRTQSDEPMRVSATADEAAAHSAVASSGVLDRQDRAGERGDRRCHGSEDGSMDEVSRCLQQRERAAMVAYLDFGSHLAHDVSGIWWWQNAGGQRLEAWN >ORGLA10G0055900.1 pep chromosome:AGI1.1:10:8049087:8049483:-1 gene:ORGLA10G0055900 transcript:ORGLA10G0055900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGVGPMNATAVAVGPGSAGRQIDGWVPRAALEQLPLAGVTWRVSRKIISLKLKKEDILLSKGTVGAAKKLFFFPEDNTFGLVICDILVCV >ORGLA10G0055800.1 pep chromosome:AGI1.1:10:8037178:8041077:-1 gene:ORGLA10G0055800 transcript:ORGLA10G0055800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:I1QTM5] MPPTLALLLFLAFSAVAAVGGAGDVRRVLHEPLFPIEWTPPPSTASPSPPSPDFSSDPSTPATPVDNGGPALLPPPPPNTVAADVSSSRSGPDPRARGGGGGGTPKAAIVVASAAAAAVLALLAFAAAFLLTGRLARHPAAAAAQAHKPPGHAHAGAGSVAGAHADVAACSTAVSPYRKVRPERARRGMCRDVDTVPSPELRPLPPLRRGASALTQGSSDEDAAYYTPGQRSAGSGGGGGGEGGGTWSEASASSPRTTTASRRSLPSLTSDFFPTTPAAAPVPAPAAAAPPPAPPAPRSRRTPPRTRFSAGSGAEMNKQMASPPSNPPPAPPPPPPPPSRFNNTTPKPPPPPPPPEPPTGPVSARRLLRPLPAEGPSIVIPRAPAMAVTKDNDATAATMSVRTRGEAAGDEPRPKLKPLHWDKVRASSDRDMVWDRLKLDEDMIEVLFMNNSTAVAPRMDNPKKVGMPQFKQEERVLDPKKAQNIAILLRALNVTLEEVTDALLDGNAECLGAELLETLIKMAPTKEEELKLRDFTGDLSKLGSAERFLKAVLDIPFAFKRVDVMLYRANFENEVNYLRKSFQTLEAACDDLKGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGADGKTTLLHFVVQEIVRSEDAKSEKAPENHITNIAKVEQLRRQGLKVVSGLSTELGNVKRAATMDFDVLHGYVSKLEAGLGKIKSVLQLEKQCSQGVNFFATMREFLKEAEQEIEQVRHDEKAALGRVKEITEYFHGNAVKEEAHPLRIFMVVRDFLSMLDHVCREVSQQDRTFVGSARSFRISAASALPILNMQGQKGGRESSSDGDSPSM >ORGLA10G0055700.1 pep chromosome:AGI1.1:10:7993043:7995983:-1 gene:ORGLA10G0055700 transcript:ORGLA10G0055700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVAQALLLLVVVSNSLLLPSLGLAVSGQEAHEVAMAGLHDQQPPSPAAVAARVSVAHADLPMVASSVLGAESWLRAHVLAHYPSNHVTAIAVAVACARGGSRHGQDLRASRAAKNLHHALVRWGLVDEIKIDASSAPCAEEVGGGALKRRLYGMHHLPPPLPPTSVASPPPPGVPLSFAPNAPPEVVPSVPPAAAPPSTPVVVVPAPATSPPMSMPATPPEAAAGGMAPCSAPPTAAMLPQPWSGEGGNGGGQWCVAKPTVPLDRLQEAMDYACSQDGVDCQEISAGGSCFYPDSIAAHASYAFNSYWQKMKHIGGSCSFGGTAVLINSDPSYLQCRFMMS >ORGLA10G0055600.1 pep chromosome:AGI1.1:10:7978874:7985532:-1 gene:ORGLA10G0055600 transcript:ORGLA10G0055600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPAGLPLVAVLALAAAAAVVMVVEARFVVEKNSLMVTSPTSLRGRHDSAIGNFGVPQYGGSMAGTVVYPKDNADACEAYDGDRHFRAKPGALPNFLLIDRGNCLFAKKVWNAQNAGASAVLVVDDKDEPLITMDLPREDDEAAKYIQNITIPSALIDKKFGEQLKKAIKDGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGPKCDMLMNFLKEFKGAAQLLEKGGYSQFTPHYITWYCPQAFVVSKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVVENLRQLCVFQVAKESKKPWIWWDYVTDFHIRCPMKDKKYNKKCAETVIKSLGLDVKKVDKCMGDPNADSDHPLLKMEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLERKAVLKAICAGFEETTEPNVCLSDEMETNECLNDNGGCWQDKAANVTACRDTFRGRVCECPTFNGVQFKGDGYSNCEPAGPGKCLINHGGCWHETRNGKTFSACQESGNGKCQCPAGFRGDGVKKCEDINECKEKKACQCPECSCRDTWGDYECTCSGDLLYIKEHDTCISKTAVQGKAAWAAVWGILIVLVVVAAGSYVVYKYRLRSYMDSEIRAIMAQYMPLDSQGEVPNHTNDEEHH >ORGLA10G0055500.1 pep chromosome:AGI1.1:10:7961269:7967178:-1 gene:ORGLA10G0055500 transcript:ORGLA10G0055500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCQSSRPTAAVAAVVAAVSMIIVLVSGTAIPSAAVEHTFVVSQVNMTHLCKEMAFTVVNGQLPGPTIEVTEGDSVTVHVVNKSPYNLTIHWHGVYQLLNCWNDGVPMITQRPIQPNHNFTYRFDVAGQEGTLWWHAHDAFLRGTVHGALIIRPRHGAASYPFPRPHREVPIIIGEWWEKDLPQVDRNMTNGYFDDYSSGSTINGKLGDLFNCSGVLEDGYVLDVEPGKTYLLRIINAALFSEYFLKIAGHRFTVVASDANYLTPYSTDVVVIAPGETLDAIVVADAPPSGRYYIAAQPIQAPPPDTQTPEYATRGTLQYSSNNRNSSAAAMPEMPHQHDTMRSFYFRGNLTAGARLHRHGRRRVPERADESLFVTLGLGSVCRHGGASCKRGGNLKESIVVANVNNVSFHIPAAAATPILEAHYYHRLHAGAGAGEEEEELAERPPRAYNYTDQALTPFGPEEMRLEATSRAVVTRRFRHGATVDVVFQSTAMLQGDSNPMHLHGHDVFLLAQGIGIYDAARDEGKFNLVNPPRKNTVLVPNLGWAAVRFVADNPGAWLMHCHFEFHLSMGMAAVFIVEDGPTVDTSLPPPPEDF >ORGLA10G0055400.1 pep chromosome:AGI1.1:10:7953050:7957938:1 gene:ORGLA10G0055400 transcript:ORGLA10G0055400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G14835) TAIR;Acc:AT2G14835] MVVCKCRKATRVYCFVHKVPVCGECICFPEHQLCVVKNYAEWVVNPDYDWPQHCSSCNSVLEAGSEETTRLGCLHVMHTRCLISHIQSYSTQTAPAGYVCPSCSTPIWPPSTIKDTGSRLHSKLKEAIAQTGLEKNVFGNHFVTMPKADTRTPPAFASDPLKRVSISGDRESNGANIINSAIDANVQSGGMYSSATVGSGTPSHVEPEIVEIDGPSPITTQFPEQESNFIRSPSPHGPSAMTRKGANYVERQNSEISYYADDEDANRKKYTKRGTFRHKFLRMLLPFWSSALPTLPVTAPPRKESDAPEGRSRHQKSSRMDPTKILLALAIMACIATMGILYYRLTQRSLSENFIEDEPQ >ORGLA10G0055300.1 pep chromosome:AGI1.1:10:7942526:7943676:1 gene:ORGLA10G0055300 transcript:ORGLA10G0055300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFAGVCFLLVLLLLANPTSADELDPGTCGTEVDPLDPCIQTLCKWNCELVAMKRGGHLTSYECGDRECKCDFCASSIGADEHGLHV >ORGLA10G0055200.1 pep chromosome:AGI1.1:10:7940296:7940707:1 gene:ORGLA10G0055200 transcript:ORGLA10G0055200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFAVCFLLVLVLLGTPTASAAICEHFSTKDLFCIKYLCRGFCHDEAVNLRGKHARVMRAWCHGRRCNCNVCH >ORGLA10G0055100.1 pep chromosome:AGI1.1:10:7936294:7937120:1 gene:ORGLA10G0055100 transcript:ORGLA10G0055100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSAACFLLVVLVLLGTPTSADECRDISTKDLFCLKYLCKSFCLDEARNWGGTAGYVDQYWCKGQRCNCRICRLT >ORGLA10G0055000.1 pep chromosome:AGI1.1:10:7932147:7933033:-1 gene:ORGLA10G0055000 transcript:ORGLA10G0055000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKNKRCNRRGTCGRGGKDWGYFGEPIGGEVTVGAEDGRVRERAREGTTGKRTHQLGGHSLSTRERGAGRKKGHWPAPAVAAAQGCGYGLEVVPSALGSKGDGKLPRLEGEWRQRGETWWHRGEVNAGDGDVDFSTDVVGIRVWGRWSWMGSEQDGRGRLDKDGCSCHVAQLVSPEKAPASHLHYKWTHGPLQN >ORGLA10G0054900.1 pep chromosome:AGI1.1:10:7919854:7924831:1 gene:ORGLA10G0054900 transcript:ORGLA10G0054900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLAQEPRHHPSRPPLAPAAAHAPNSAAAACSTPRRGKTSPHASSRHASSSLPSCSAARVAVTLAPHATATAPVTMRMRSLSVSFQGESFVYETPRAAAPRRAPAAAAARPRPTTRRRGEAENERPSPPPASKATDALARSLDCSLHRKESILAAVRLLRSSISPGNAAAAAAPDADAATDTDTDAAPPSIPTQTRFWQETNSRLRRLPESGLPHPISTSRKPFLDGPISPTLLETSPANAPSIISFATAVRRANKGEDKIEEAHRLRLLDNRHLQWRCLNAHADAAAVARSCAAEKALHSAWKDISTLRDNVSFKRSKLQLQKQKLKLFGILKGQISYLEEWSDVENNHSSSMSEAIKALEASTIRLPIVCGAKADAQGVKEVVSSALTKMDTMASSMCSLLSKVEGMSSMVFELAKVVSQEQMLLDQSRDLFSAVAVMHVKLCSLQACILQRN >ORGLA10G0054800.1 pep chromosome:AGI1.1:10:7881748:7884026:-1 gene:ORGLA10G0054800 transcript:ORGLA10G0054800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1QTL5] MAAAAVHEPLLAAAPPTPGKAADGDGPEEGRRLASAEAKRLLRLAGPIVASCILQCVVNMVSVMFVGHLGELPLAGASLATSLANVTGYSLLTGMATAMDTLCGQAYGARQYHLLGVYKQRAMVVLAAACVPIALVWASAERILLLLGQDAGIAAEAGAYARWMLPSLAAYVPLQCHIRFLQTQTVVLPVTASSAATALLHPLVCWLLVFRAGMGSKGAALANAISYAVNLAILAVYVRASNTCKGRWSGFSGEAFKELRQFAALAMPSAMMICLEWWSFEILVLLSGLLPNPQLETSVLSICLNTGALLYMVPLGLCSSISTRVSNEIGAGQPQAAKRATRVVMYMALSEGLVISFTMFLLRNVWGYMYSNEQEVVTYIARMLPILGISFFIDGLHSSLSGVLTGCGKQKIGAAVNLGAFYLVGIPVAVLLAFYLHLNGMGLWLGIVCGSIIKLLVLIIVSCCIDWEKEAILAKDRVFSSSLPVA >ORGLA10G0054700.1 pep chromosome:AGI1.1:10:7872930:7875286:1 gene:ORGLA10G0054700 transcript:ORGLA10G0054700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1QTL4] MEKPAASVEEPLLVGAGEKKGESAAAAELKRLLRLAGPLVASGVLRNVVQMVSVMFVGHLGELPLAGASLATSLANVTGFSLLFGMASALDTLCGQAYGARQHHLLGVYKQRAMLVLAVAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWLIPSLVPFVPLVCHIRFLQAQSAVLPVMASCGVTAASHVAVCWALVRKAGMGSRGAALANAVSYGVNLTIMSLYVRLSRSCEKTWTGFSMEAFRELRQYAELAIPAAMMVCLEWWSFEFLVMLSGLLPNPKLETSVLSICLNTGALLVMVPIGLSTAISTRVSNELGAGNPQAAKLATRVVLCMAMTEGSVVAFTMILLRNSWGHMYSDEAEVVTYIARMIPVLAISFFIDGIHSALSGVLTGCGKQKIGARVNLGAFYLAGIPMAVFLAFVLHLNGMGLWLGIVCGSLSKLILLFWITMSINWEKESTRAKELVFSSSLPVA >ORGLA10G0054600.1 pep chromosome:AGI1.1:10:7869458:7869751:-1 gene:ORGLA10G0054600 transcript:ORGLA10G0054600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSDLKRAAETVEIIEKACDVSNLVLTESLRERHMGYLQGLTWDDIVNKSPCVFKGFANFEVKNGLDFDGRNQELPIS >ORGLA10G0054500.1 pep chromosome:AGI1.1:10:7829879:7838900:-1 gene:ORGLA10G0054500 transcript:ORGLA10G0054500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLTCALRFLQAQGIVVPVMASSGVAAVAHVAVCWALVHKAGMGSKGAALSGAVTYWTNFAVLAFYARLSGACKTTWTGFSMDAFRELRRFTELAVPSAMMVCLEWSSFEILVLLSGILPNPQLETAVLSISLSTASLLIMVPRGIGSSLSTRVSNELGGGHPRAARMAARVATAMTVLVCLVLVIAMILLRNVWGYAYSSEEEVVAYIASMLPILAVSFFVDGINGALSGVLTGCGKQKIGAHVNLGAFYLVGIPTAVLLAFVLHLNGEGLWLGIVCGSISKVGMLLFITLHTDWGKEVIMAKERVFGSSIPTR >ORGLA10G0054400.1 pep chromosome:AGI1.1:10:7828517:7828981:-1 gene:ORGLA10G0054400 transcript:ORGLA10G0054400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGGLYFGPLDPVSNIITNAIGHLPPPANVMAQWSLKALVGFLICYFYYMPSLEALCYLCSTEADILTIVHLIEIDCCTRTFGVGSGTTKTSPSCATGDAGHANMDSLTTAMLTLSLRLRKIASLLSTETGSHSLNTQCCHKSGTSLGCPRFG >ORGLA10G0054300.1 pep chromosome:AGI1.1:10:7812677:7813362:-1 gene:ORGLA10G0054300 transcript:ORGLA10G0054300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPTKDVVTASTATSMSAATSGCNLLKIKVRNAIKKSHQGSKYDIESSKFQNASKYSGNGYSTVCLKLHAADPGTAAAAAGGIRTNVRFRMVSLQPCVPPTYEVRSYATSFHGTGKAEYCCFTFIRHDVLASQWSSTDDEFAIHCNVAVVEEAAAAATMSTELGPDDLDGLMMICKCSVDNDDEPCKSGTRQNLKEAFRKHFLGCFGPK >ORGLA10G0054200.1 pep chromosome:AGI1.1:10:7802606:7809571:-1 gene:ORGLA10G0054200 transcript:ORGLA10G0054200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAGLATERLPAATCPAKKDAYAAAASPESETKLAAGDERAPLVRTTRISTTTIKLYRLTIFVRIAIFVLFFKWRITYAARAISSTDAGGIGMSKAATFWTASIAGELWFAFMWVLDQLPKTMPVRRAVDVTALDDDTLLPAMDVFVTTADPDKEPPLATANTVLSILAAGYPAGKVTCYVSDDAGAEVTRGAVVEAARFAALWVPFCRKHGVEPRNPEAYFNGGEGGGGGGKARVVARGSYKGRAWPELVRDRRRVRREYEEMRLRIDALQAADARRRRRGAADDHAGVVQVLIDSAGSAPQLGVADGSKLIDLASVDVRLPALVYVCREKRRGRAHHRKAGAMNALLRASAVLSNAPFILNLDCDHYVNNSQALRAGICFMIERRGGGAKDAGDVAFVQFPQRFDGVDPGDRYANHNRVFFDCTELGLDGLQGPIYVGTGCLFRRVALYGIDPPRWRSPGGGVAADPAKFGESAPFLASVRAEQSHSRDDGDAIAEASALVSCAYEDGTAWGRDVGWVYGTVTEDVATGFCMHRRGWRSAYYAAAPDAFRGTAPINLADRLHQVLRWAAGSLEIFFSRNNALLAGGRRRLHPLQRAAYLNTTVYPFTSLFLIAYCLFPAIPLIAGGGGWNAAPTPTYVAFLAALMVTLAAVAVLETRWSGIALGEWWRNEQFWMVSATSAYLAAVAQVALKVATGKEISFKLTSKHLASSATPVAGKDRQYAELYAVRWTALMAPTAAALAVNVASMAAAGGGGRWWWWDAPSAAAAAALPVAFNVWVVVHLYPFALGLMGRRSKAVRPILFLFAVVAYLAVRFLCLLLQFHTA >ORGLA10G0054100.1 pep chromosome:AGI1.1:10:7796867:7799134:-1 gene:ORGLA10G0054100 transcript:ORGLA10G0054100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1QTK8] MRASRPVVHPVEAPPPAALAVAAAAVAVEAGVGAGGGAAAHGGENAQPRGVRMKDPPGAPGTPGGLGLRLVQAFFAAAALAVMASTDDFPSVSAFCYLVAAAILQCLWSLSLAVVDIYALLVKRSLRNPQAVCIFTIGDGITGTLTLGAACASAGITVLIGNDLNICANNHCASFETATAMAFISWFALAPSCVLNFWSMASR >ORGLA10G0054000.1 pep chromosome:AGI1.1:10:7792679:7795115:1 gene:ORGLA10G0054000 transcript:ORGLA10G0054000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFPAAEEVQESADRMESVYRRWLQERKEVGGGAVETAAERGGDGWGRAAGDLRRELHTALGTAKWQLDELQRAIKSNYSVVLAGKDTRARHDDFVSAIGHRILEVEKFLKESNTTEGRGPLSWVRLDEGEREELAHFLSAGTYQKRDEVVTITSAGDIEVGSNARRVKKGVSIDSSNDSSGSAESGLVSTKEETAPGHRRTASAYADIGSWTITIPDEANGIDEQSFDDLPKVPLVKSPSSSVLMNAFQSKPRMKTKNGAKKLAGADQQDVVETLPLTNSRSCQGFDGLFQRSKSCLSTSDDEDNCNKKLYGCLGAFRRLLQRSQYQVQYGRPIQLLILAIVVLLVLIYAMKAIL >ORGLA10G0053900.1 pep chromosome:AGI1.1:10:7784962:7785899:1 gene:ORGLA10G0053900 transcript:ORGLA10G0053900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPVRAGRGPLLARAGDPPSPPHRRLMGARSSVTARRDLGSSTPAATRSDEDNASPLSSSGQHGDGAPRAAAAQIRPAAVPSSWLLAWARSSFAGLDRLSEYLLSFTFGSQ >ORGLA10G0053800.1 pep chromosome:AGI1.1:10:7774445:7775048:1 gene:ORGLA10G0053800 transcript:ORGLA10G0053800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKRCGETTSNHATSKRSKVPTVITQIAAEGVQKHMARYNNNKPIEIEGANVGTTKHANVKRAALACENVVGRDVFLKRIVRPYNRVARATIQSQDPLEMVGGTMLGRECYKVVIDSLICGDADCSGRTEI >ORGLA10G0053700.1 pep chromosome:AGI1.1:10:7756510:7758924:-1 gene:ORGLA10G0053700 transcript:ORGLA10G0053700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QTK4] MSVLLGFLLLLSLHPPTSYATTDTVSPGQTLAGGDRLISNNSKFALGFFKMDSKNSSYTSRNSYLCIWYNKLPMITPLWSANGENPVVDPASPELTISGDGNMVIMDQATKSIIWSTRVNTTTNGTVVVLLNDGNLVLQSSSNSSMVFWQSFDYPTDSLFADAKIGWNKVTGLNRRLVSRKNSIDQAAGLYSLEFDINGVGHLVWNSTVTYWSSGDWNGQFFGSAPEMFGATIPNFTFVNNDREVYLTYTLNNEKAITHAAIDVNGRGLAGVWLDSLQDWLINYRMPLLHCDVYAICGPFTVCNDNNDPFCDCMKGFSIRSPKDWEIEDRTGGCMRNTPLNCGSTMNKTGFSDKFYYVQNIILPRNAMHVQEAASKDECSDVCLSNCSCTAYSYGKGGCSVWHDELYNVRQQSDASADGNGDNFYIRLAANEVQSAERKKKSGVIIGVAIGASTAAFCLMILLLMFWRRKGKLFARGAENDQGSIGITAFRYIDLQRATKNFSEKLGGGSFGSVFKGYLNESTPIAAKRLDGTCQGEKQFRAEVDSIGMIQHINLVKLIGLCCEGDKKLLVYEYMPNGSLDVQLFKDNDKVLDWNLRYQIAIGVARGLAYLHDSCRDCIIHCDIKPENILLNESFVPKIADFGMAKILGREFSHALTTMRGTIGYLAPEWISGTVVTAKVDVYSYGMVLFEILSGRRNSSQEYFKDGDHSAYFPMQVARQLINGGIGNLVDAKLHGDVNLEEAERVCKIACWCIQDSEFDRPTMGEVVQFLEGVLELKMPPLPRLLNAITGGSHSTPLSSLDLP >ORGLA10G0053600.1 pep chromosome:AGI1.1:10:7746017:7748205:1 gene:ORGLA10G0053600 transcript:ORGLA10G0053600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLYPQSPQFPQSQAPNFHNFHPFGPPANYQLYGNPPPSFHGFQQQGNWLQSAALSFQGFCPQESWMHSPNQVGGSASSHGYESTSPCPATSQAKSVVNIEESSGNNEEAWRKGTRVNWTEEENLRLLSSWLNNSVDPIDSNDKKSEYYWRAVAAESNNNTRRNDRKRTIVKSGGGTTCRGGGASARRDGEDQVPRCAPAGRATRRCAPAGRLTGRCAPASRPMRRCVSRRPSPATTSTTDNIELATERFFDGHKIGRWRGKATWSGKVAAQMAGGGGADGGERQRHVFTGGGAE >ORGLA10G0053500.1 pep chromosome:AGI1.1:10:7741733:7744135:-1 gene:ORGLA10G0053500 transcript:ORGLA10G0053500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QTK2] MSLLLCFLLFSLYPPRISAATDTVSPGHALTGSDRLVSNNSKFVLGFFKTESKNSSYASHNSYLCIWYSKLPMITPLWSANGENPVVDPASPELAISSDGNMVILDQVTKNIIWSTHVNTRTNHTIVVLLNNGNLVLQSSSNSSKVFWQSFDYPTDSLFAGAKIFRNKVTGQKNRLVSRKNSIDQAAGLYSVEFDINGTGHLLWNSTVVYWSTGDWNGHFFGLAPEMIGATIPNFTYVNNDREVYLSYTLTKEKITHAGIDVNGRGLAGIWLDSLQNWLINYRMPILHCDVYAICGPFSVCNDSNNPFCDCLKGFSIRSPKDWDLEDRSGGCMRNTPLNCGSTMNKKGFTDKFYCVQNIILPHNAMSVQTAGSKDQCSEVCLSNCSCTAYSYGKGGCSVWHDALYNVRQQSDGSADGNGETLYIRVAANEVQSVERKKKSGTVIGVTIAASMSALCLMIFVLVFWMRKQKWFSRGVENAQEGIGIRAFRYTDLQCATKNFSEKLGGGSFGSVFKGYLNDSIIIAVKRLDGACQGVKQFRAEVNSIGIIQHINLVKLIGLCCEDGKKLLVYEYMTNRSLDVHLFKDNNKVLEWNIRYQIAIGVAKGLAYLHDSCRDCIIHCDIKPENILLDASFVPKIADFGMAKVLGREFSHALTTVRGTIGYLAPEWISGTVVTSKVDVYSYGMVLFEIISGRRNSNQEYCRGHSAYFPMQVARQLINGGIENLVDAKLHGDVNLEEVERVCKVACWCIQDSEFDRPTMGEVVQFLEGLLELKMPPLPRLLNAITGGSHSTSLLPIDLQ >ORGLA10G0053400.1 pep chromosome:AGI1.1:10:7729524:7734640:1 gene:ORGLA10G0053400 transcript:ORGLA10G0053400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARGNKKLQERVPIRRTAWRLADLAILFLLLALLLHRVLHDSGAPWRRAALACEAWFTFMWLLNVNAKWSPVRFDTFPENLAERIDELPAVDMFVTTADPVLEPPLVTVNTVLSLLALDYPAAGEKLACYVSDDGCSPLTCYALREAARFARTWVPFCRRHGVAVRAPFRYFSSTPEFGSADGKFLEDWTFMKSEYEKLVHRIEDADEPSLLRHGGGEFAEFLDVERGNHPTIIKVLWDNNRSRTGDGFPRLIYVSREKSPNLHHHYKAGAMNALTRVSALMTNAPFMLNLDCDMFVNNPRVVLHAMCLLLGFDDEISCAFVQTPQKFYGALKDDPFGNQLEVSLMKVGRGVAGLQGIFYCGTGCFHRRKVIYGMRTGREGTTGYSSNKELHSKFGSSNNLKESARDVIYGNLSTEPIVDISSCVDVAKEVAACNYEIGTCWGQEVGWVYGSLTEDVLTGQRIHAAGWRSTLMEIEPPAFMGCAPNRGPACLTQLKRWASGFLEILISRNNPILTSTFKSLQFRQCLAYLHSYVWPVRAPFELCYALLGPYCLLSNQSFLPKTSEDGFYIALALFIAYNTYMFMEFIECGQSARACWNNHRMQRITSASAWLLAFLTVILKTLGFSETVFEVTRKDKSTSDGDSNTDEPEPGRFTFDESTVFIPVTALAMLSVIAIAVGAWRVVLVTTEGLPGGPGISEFISCGWLVLCFMPLLRGLVGSGRYGIPWSIKMKACLLVAIFLLFCKRN >ORGLA10G0053300.1 pep chromosome:AGI1.1:10:7725422:7725841:-1 gene:ORGLA10G0053300 transcript:ORGLA10G0053300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQYELNSDRDPNRSPTGLGGPSDGSYIFVRGVTGGAWEYGEENARGGEGRAGAIDYFLYIDARYFARVPSTSQRLLPLRSVSSGNDL >ORGLA10G0053200.1 pep chromosome:AGI1.1:10:7716545:7717551:1 gene:ORGLA10G0053200 transcript:ORGLA10G0053200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TELEVVYGELGKLEEGREELEGGIYWAGVSTGVAEGGGDAGDVGGKCGSGWWQNRRREWGGERGCGATQSRGQVWALGVARNRPQHGADSSAMAVASRLQIFHFRDRCLNCGETNHLSEGCPMPRLFKLSQLQHNVAKSRSGTMEKQRIRIDT >ORGLA10G0053100.1 pep chromosome:AGI1.1:10:7712868:7713299:1 gene:ORGLA10G0053100 transcript:ORGLA10G0053100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAAFPPLPPSLPHRSGWREGGPRPQRRRRRPQRRRRVFSTLRLATPRAAVAPSAIYLLPPAAVVAAVVASAVAAAVALAAAADGWCRRRPRMDDVAATTDGWRGNDRGRRWQRPRAAVAMANGGSGGRREKFCDVGLLFF >ORGLA10G0053000.1 pep chromosome:AGI1.1:10:7702007:7706980:-1 gene:ORGLA10G0053000 transcript:ORGLA10G0053000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:I1QTJ7] MAKAMCSLGACLAVMLVVLAAAVAGVGCSTVSYDGRSLILDGERRIVISGSIHYPRSTPEMWPDLIKKAKEGGLNAIETYVFWNGHEPRRREFNFEGNYDVVRFFKEIQNAGTYAILRIGPYICGEWNCGGLPVWLRDIPGIKFRLHNKPFENEMEAFTTLIVKKMKDANMFAGQGGPIILAQIENEYGYTMLQPENIQSAHEYIHWCADMANKQNVGVPWIMCQQDNDVPPNVVNTCNGFYCHEWFSNRTSIPKMWTENWTGWYRDWDQPEFRRPTEDIAFAVAMFFQMRGSLQNYYMYHGGTNFGRTAGGPYITTSYDYDAPLDEYGNLRQPKYGHLKELHSVLMSIEKILLHGDYIDTNYGDNVTVTKYTLNATSACFINNRFDDRDVNVTLDGTTHFLPAWSVSILPDCKTVAFNSAKIKMQTTVMVNKTSMVEQQTEHFKWSWMPENLRPFMTDEKGNFRKNELLEQIVTTTDQSDYLWYRTSLEHKGEGSYVLYVNTTGHELYAFVNGKLVGQQYSPNENFTFQLKSPVKLHDGKNYISLLSGTVGLRNYGGSFELLPAGIVGGPVKLIDSSGSAIDLSNNSWSYKAGLAGEYRKIYLDKPGNKWRSHNSTIPINRPFTWYKTTFQAPAGEDSVVVDLHGLNKGVAWVNGNSLGRYWPSYVAADMPGCHHCDYRGVFKAEVEAQKCLTGCGEPSQQLYHVPRSFLNKGEPNTLILFEEAGGDPSEVAVRTVVKGSVCASAEVGDTVTLSCGAHGRTISSVDVASFGVARGRCGSYDGGCESKVAYDAFAAACVGKESCTVLVTDAFANAGCVSGVLTVQATC >ORGLA10G0052900.1 pep chromosome:AGI1.1:10:7680005:7680445:-1 gene:ORGLA10G0052900 transcript:ORGLA10G0052900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSLNLCFLLVLVLLMSPAPTAVAFSPEDCLDDVGWILICTKPTCKFSCWTSRSVNKGRKMQDYWCSDSNTCHCVFCTGD >ORGLA10G0052800.1 pep chromosome:AGI1.1:10:7666674:7667092:-1 gene:ORGLA10G0052800 transcript:ORGLA10G0052800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRHATLCFLLALVLIGNASFAAGECWETTSYSPICLGLLCKATCWIGAKAINAKVMEATCKGSVVKWVCHCRYCDKK >ORGLA10G0052700.1 pep chromosome:AGI1.1:10:7664872:7665429:-1 gene:ORGLA10G0052700 transcript:ORGLA10G0052700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRHVILGLLIALVFVGNASFVIGECWETTSSSPICVGFMCKATCWIGAKATNGKVVEATCTGSVIKSECYCRYCDKN >ORGLA10G0052600.1 pep chromosome:AGI1.1:10:7661378:7662788:1 gene:ORGLA10G0052600 transcript:ORGLA10G0052600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRTGSLPVCSPFPSLPPFGLFLSPLILSHPGTDVHLLKVAHNGIGQRRMRKEEAATHGGGCVDLLHCAMHEEGGGSGFPIRAHTSPKFSLRMKLIMKDLSDKNIDIHNLNLQDDIHNSVPSLFFCIVKNHYLALPTPSSECAVML >ORGLA10G0052500.1 pep chromosome:AGI1.1:10:7633299:7633977:1 gene:ORGLA10G0052500 transcript:ORGLA10G0052500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRTAPLSFLIVLMLVTNSASADECNIVTVDVTWCTKILCKLGCIVYRASHGGGKIKQYWCEGGWKGGVCYCEMCHG >ORGLA10G0052400.1 pep chromosome:AGI1.1:10:7586281:7596073:-1 gene:ORGLA10G0052400 transcript:ORGLA10G0052400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-alpha-L-fucosidases [Source:Projected from Arabidopsis thaliana (AT4G34260) TAIR;Acc:AT4G34260] MDGDGEWVWVRRPAEAEAVAAAAGWPTALEEARPLEVVFASPSRYFTDAAPIGNGSLGALVWGGVASEKLQLNHDTLWTGGPGNYTNPKAPAVLSKVRDLVNRGQYAKATAVAYGLSGDQTQVYQPLGDIDLAFDEHVEDTNYKRNLDLRTATVNVSYTIGEVVHSREHFSSNPHQVIVTKISADKPGNVSFTVSLTTPLNHQIRVTNANEIIMEGYCPGERPTEYGNASDHPVGIKFSAILYLQMSGSNGTVEILNDKMLKLVGADSAVLLLAAATSFEGPFVNPSESKLDPTASALTTLTVARNMSYSQLKAYHVDDYQNLFQRVSLQLSRDANDALGGNGLVNLPENSLQETSVSDYAVQMVECSRFQGFNNSGKPTVDRILSFRDDEDPSLVELLFQFGRYLLISCSRPGTQISNLQGIWNDETSPPWDAAPHPNINLQMNYWPALPCNLSECQEPLFDFIGSLSVNGAKTAKVNYEASGWVSHQVTDLWAKTSPDAGDPMWALWPMGGPWLATHLWEHYSYTMDKQFLEKTAYPLLEGSASFLLDWLIEGNGDYLETNPSTSPEHYFIAPDGRKACVSYSTTMDMSIIREVFSAVLMSSDILGKSDSDMVQRIRKAIPRLPPIKVARDGTIMEWAQDFQDPEVHHRHVSHLFGLYPGHTMSLEKTPDLCKAVANSLYKRGDEGPGWSTSWKMALWAHLHNSEHAYKMILQLITLVDPKHEVEKEGGLYCNLFTAHPPFQIDANFGFPAALSEMLVQSTGSDLYLLPALPRDKWPQGCVKGLKARGGVTINIRWEEGSLHEALLWSSSSQNSRIKLHYGDQVRTISVSPCQVYRFSKDLKCLKTWAL >ORGLA10G0052300.1 pep chromosome:AGI1.1:10:7556976:7575832:1 gene:ORGLA10G0052300 transcript:ORGLA10G0052300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKGSKVWVEEKGEGWVEAEVVEVKDRAIVMLTSHRKKITVLAEKCLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKRRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNDSRSQSILVSGESGAGKTETTKFIMQYLTYVGGRAAIDDRTVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEMQFDANGRISGAAIRTYLLERSRVVQINDPERNFHCFYQLCASGKDAELYKLGHPGSFHYLNKSKTYELEGTNNEDEYWKTKRAMDIVGISRNDQDAIFRILAAILHLGNIEFSPGKEIDSSKIKDPTSNFHLQMAAKLFMCDPDLLVSTLCTRAINTLEGAIIKALDCSAAAANRDALAKTVYARLFDWLVENINKSIGQDVDSKVQIGILDIYGFESFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEKIDWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFATKMFRNFSSHHRLEKTKFSETDFTISHYAGKVTYQTESFLEKNRDYIVAEHCNLLSSSRCPLVSGLFGTLPEESLRSSYKFSSVASRFKQQLQALMETLNSTEPHYVRCVKPNSVNQPQIFENQSVLHQLRCGGVLEAVRISLAGYPTRRTYAEFVDRFGVLVPELMLGSYDERALTKGILEKMKLDNFQLGSTKVFLRAGQIAILDMRRAEVLENAARHIQGRFRTFITRKEFVKTREASISIQAYCRGCLARKKYMVKRETAAAIIVQKYVRRWRLHRTYQQSHSAALLIQSCIRGFIARRYFSVIREQKAALVIQSLWRKRKVIILFQQYRQATVAIQCAWRQKVARRELRRLKMAANEAGALREAKNKLEKKLDDLTLRLTLERRLRAAGEEAKSVEILKRDKLIESLSAECAAAKSAAQSEHDKNLLLQRQLDDSLREITMLRSSKIMTAEAERENSNLKNLVESLSKNNSSLEYELTSARKGSDATMKKLKDVEGKCNHLQQNLDKLQEKLTNMENENHVLRQKALNMSPLNNMPMTTKAFPQKFATPIGLPNGEQKHGYETPPPAKYLASLPQSLTRSRRTRMPVERQEENHEILLRCIKENLGFKDGKPVTACIIYSCLLHWRAFESERTAIFDHVIEAINNVLKGEEADGRLPYWLSNTSSLLCLLQKNLRSNGLFATPSRRSGGTLGIGDKIVQTLRSPSKLMGRSDNLGQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLSVCIQAPKSSRAQPGKATKSPGIGAQPPSNSHWDNIVKFLDLLMDTLHENYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWITDATDEVIHQKRKKKLEEIRNELCPNLSVRQIYRICSMYWDDKYNTQGISNEVVSAMREEVNKDTQNLVSNSFLLDDDLCIPFSTEDLSIAIPAIDYVDIELPESLHHYASVQLLLKHHDPQPV >ORGLA10G0052200.1 pep chromosome:AGI1.1:10:7541974:7542244:-1 gene:ORGLA10G0052200 transcript:ORGLA10G0052200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDDGERSSTGSTGIHDSGATETDSFFALFANDTSSEDVNGVVANPFGSSEEAQAQVV >ORGLA10G0052100.1 pep chromosome:AGI1.1:10:7527756:7530061:1 gene:ORGLA10G0052100 transcript:ORGLA10G0052100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGRACIGRPRLLCSRRTSRAFHACEPSPPSAPPQTPLQQITRILRPCSRXTHQLSSSAAAAATTTATPLYLYRNTSPACPPITACLSFPCGRGGMPRGSSASAATQASGTMARRPSSSTPTRARWYRDPSSCRRSSALSWYRWARGYNALAGMPCVTGDINFVPWFEVLDLSMARVIDDASGCRLLDCEWKPLPRPPFFPWDLTPTDYIFPPVVTVKSHVAVGSYILLSITGHGQKGTHMFDTETQQWAKLDDKDLPFIGRAIPLQGTLLFLGSSNTSDEITAYKIDVSVSSSVASPSTITAGHMYSLSIVEIQMLANLEDEEEIVTGCKLISFDYPAGNPGFCSLNWVNNDPHVSFDFPQHVGELVTIRAYSKVDYLESTRALVISSQWKQVYSIYDPLRRLSSPCLAGVISL >ORGLA10G0052000.1 pep chromosome:AGI1.1:10:7523147:7523539:1 gene:ORGLA10G0052000 transcript:ORGLA10G0052000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHELPRAGSASPPPPFSFAVWPPTRRTRDAVVRRLVAVLSGDTTTALRKRYRYGAVPAADAERAARAVEAQAFDAASASSSSSSSVEDGIETLQLYSREVSNRLLAFVRSRSSAAGAPPASAAAGEVA >ORGLA10G0051900.1 pep chromosome:AGI1.1:10:7516415:7519653:-1 gene:ORGLA10G0051900 transcript:ORGLA10G0051900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDLQKIGIGLTGFGVFFSFLGIIFFFDKGLLAMGNILFLSGLGLTIGLKSTLQFFTKPKNYKGTISFGAGLLLVLIGWPFFGMLLEAYGFIVLFSGFWPTLVVFLQKIPFIGWIFQQPFVASYLGSYRGKRVPV >ORGLA10G0051800.1 pep chromosome:AGI1.1:10:7510234:7515340:1 gene:ORGLA10G0051800 transcript:ORGLA10G0051800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QTI5] MRRHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGRSELQYVLMGLYTPLITCVVTLYIWCAATNPGDPGIFKAKKHPKLGKDGKQIQEISEHESCQGGKSFSDGCSIVNNSERLSNMFEGNDSSSRPGLHGVLCLICNPFFCLWKRFFHSDDQSSEQHMSEEGMFFCSLCEVEVLQHSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKRFFILMASAVLLLIMQWLVGILVLILCLLKRGEFSGQIISKLGSSFSTAAFVIVVMTCTLLAMLATIPLAQLFCFHVLLIKKGISTYDYIVALREQEEQQEVTEHQSPQMSIISSVTGFSTTSSFAPLQRGSWCTPPRLFLEDQHVIPPEMPQNSSKKAKHADVTKRKPAGPVKISPWTLARLNAEEVSKAAAEAKKKSKVLQPITRHKDPKHDKRRPNKRGQFLPELSVDHTTRTSDSCTDSNCSDMDMETCGSLAPLQHEARSVFQPSITSSIRNLTSSPQSSLDSPDLHPFRVSMSGADELRSFMSLAASESTAPKSIALSRSTSGGYEASGGEESDRIPSKIVHRSSNWANAILNSGRREMAADLNLPTSERFLTNTRFS >ORGLA10G0051700.1 pep chromosome:AGI1.1:10:7491820:7495155:1 gene:ORGLA10G0051700 transcript:ORGLA10G0051700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRLLLQLLALTSTVIFLFLAPASRSIDAGDDLHALLSFRSHIAKDHSGALSSWSVVSNGTSDGTNGFCSWRGVTCSSGARHRRVVSLRVQGLGLVGTISPLLGNLTGLRELDLSDNKLEGEIPPSLARCLALQRLNLSVNFLSGVIPPSIGQLSKLEVLNIRHNNISGYVPSTFANLTALTMFSIADNYVHGQIPSWLGNLTALESFNIAGNMMRGSVPEAISQLTNLEALTISGNGLEGEIPASLFNLSSLKVFNLGSNNISGSLPTDIGLTLPNLRYFIAFYNRLEGQIPASFSNISVLEKFILHGNRFRGRIPPNSGINGQLTVFEVGNNELQATEPRDWEFLTSLANCSNLIYINLQLNNLSGILPNTIANLSLELQSIRLGGNQISGILPKGIGRYAKLTSLEFADNLFTGTIPSDIGKLTNLHELLLFSNGFQGEIPSSIGNMTQLNQLLLSGNYLEGRIPATIGNLSKLTSMDLSSNLLSGQIPEEIIRISSLTEALNLSNNALSGPISPYIGNLVNVGIIDLSSNKLSGQIPSTLGNCLALQFLYLQANLLHGLIPKELNKLRGLEVLDLSNNKFAGPIPEFLENFQLLKNLNLSFNNLSGMVPDKGIFSNASAVSLVSNDMLCGGPMFFHFPPCPFQSSDKPAHRSVVHILIFLIVGAFVFVIVCIATCYCIKRLREKSSKVNQDQGSKFIDEMYQRISYNELNVATGSFSAENLIGRGSFGSVYRGNLTCGSNVITVAVKVLDLHQTRAARSFMSECNALKRIRHRNLVRIITVCDSLDNNGDEFKALVLEFISNGNLDTWLHPSTENTSYIPGKLSLMQRLNIALDVAEALEYLHHHISSSIAHCDIKPSNVLLDKDMTAHIGDFSLARIMSAEAEGQCLGESSSVGIKGTIGYLAPEYGMGTEISREGDIYSYGVLLLEMLTGRRPTDTMFHDDMSLPKYVEMAYPDNLLEIMDNAIPQDGNSQDIVDWFIAPISRIGLACCRDSASQRMRMNEVVKELSGIKEVCESKFEEFYLCSV >ORGLA10G0051600.1 pep chromosome:AGI1.1:10:7460820:7461314:1 gene:ORGLA10G0051600 transcript:ORGLA10G0051600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEVEGEGKGKHWMEDEKVGHVSYSPSSLTERHSALRSSSRTPRPSRCGGTPSISTSASPPALPSSEFLGFACENLNRIDESCAWLVSPPGRGQPRFLRRRYVLLPPGSLAEPPPLLDRICLAHGHSVGVALTSASLVEPFLVEEQRNSPSQVGFSFRISAI >ORGLA10G0051500.1 pep chromosome:AGI1.1:10:7452958:7455015:-1 gene:ORGLA10G0051500 transcript:ORGLA10G0051500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDMVLGLMAAEAIGDPIAKGLHGIATRNRANHKARVKSISWAAWFVGDELGRWCTASASSSTGRGGARRGPAPEGGGGHQRQGQQVLVSGKGSFPWASASSPARSPWWRRQQSSPARSRREAGGGVKATGVAAASLVAARSRRDLVYRTMLCIEKLLQQDRHVKSKRWLDRKTARWEFDLSSHCIGEGPRQQRVKIVEGVNGLFGSCLPGHVFSVNSSTGYIQHLRGLYDGDIWNLRH >ORGLA10G0051400.1 pep chromosome:AGI1.1:10:7443957:7444230:1 gene:ORGLA10G0051400 transcript:ORGLA10G0051400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLVEGADQELRLSCCLLRSSSNTVVWWCAKRQPSNRLTMAKSLAFELKRLFLGLALSALCFSFFR >ORGLA10G0051300.1 pep chromosome:AGI1.1:10:7435438:7438961:1 gene:ORGLA10G0051300 transcript:ORGLA10G0051300.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLLGAPMRPCLVSAMEGCNMQQPCPPRPRDHLAHARSWPCRCHLSAAQQPHLFPSPXSVKQXVTRXDPPXSWQLHRIACHQLECQLPVWPDPVVHRQPSKKLTVLNVRDNKISGNVPASLGNLTALTMLSIADNYINGRIPPWIGNMTNLTDLNIVGNVFHGYVPSNIAGLTNLSALSLSGNKLQGVFPPVLFNITSLEIMYIGLNMLLGSLPMDIGSKLPNLVVLSAIYNQFEGPILDSLSNISKLEYLQLHGNKFQGRIPPNIGSSGTITRLNLGNNILEAKTPNDWDFLTSLTNCSELVILDLQLNSLSGFIPNTLVNLSQELIWIGLGGNQIFGTIPAGIGRFRKLTVLELAECPSSFAHNSHSKHQVSLILIICVAGGFTIFVCLVTFCFIKDQXTIPKDIDHEEHITSLLSKKYPRISYAELYAATDSLSSENFIGRGSFGYVYKGNLTSGVNSATVAVKVLIFAKREQHRAFLLSAMPXGGYNIRNLLRLSRCVTAXTTMVTNLKQLCXNLFPTVAWTHGXKQAIRLGRXAXYRGXTLSWMLHKLXNIFTITLSHQLFIVTSNQAISFSMRIWLHMSVTLDXLRXXMLMHQGKVWVKTFRMELEAQLGTLHQSMGWEPRSHLEVMYTATECWFSKCXPGKNQLTQYSMGLQVFQNTSRWPIRTSYLQXSTQLLLLTVAAAKRRXICSLRQLQKLVXHAAEITLASEWTSVTXXKNWSRXTSCVKIISSLRGQVVATVVTSVSRC >ORGLA10G0051200.1 pep chromosome:AGI1.1:10:7421405:7424523:1 gene:ORGLA10G0051200 transcript:ORGLA10G0051200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G18680) TAIR;Acc:AT1G18680] MAPSSPRRDLDGEGTGGGSSAAREKERPRSFDEKTRSACWRKAAAVMGRHPERWRQDAAGNVVCRRFWSCHGCLCYEYDHIVPFSKGGESTVDNCQILQTRVNRSKSDKAWIEQAEMQGFSCDIKFTDKELDVIEMAVYGDVIRPGKQCRCRTVAEMLGKVKSKNQMAACELPYNDAS >ORGLA10G0051100.1 pep chromosome:AGI1.1:10:7383802:7385604:1 gene:ORGLA10G0051100 transcript:ORGLA10G0051100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLGEGSDGXGFSRDAIPPPMLAWSFPRSVDAHQLYPGMDDYGMDNRHMDGLEGDGDDPTEXSIIEANDDTVLSLPGGKAKPSAPSAWRADCWQHIEKIKLVMKEMFQDYCRAKNKLVTMFGIDVKHRWNTTYLMLHQLKGYEKIIYVFIDSLHFRSNDTDEDGDENRHNRVLAYVVQPMEEKFLKYFTAVPHLYFFALVLDPQKNWRLWRLLSYQXXCNGSXLFXSLSRCXRXVVQGFPSVPDXA >ORGLA10G0051000.1 pep chromosome:AGI1.1:10:7309206:7309976:-1 gene:ORGLA10G0051000 transcript:ORGLA10G0051000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QTH7] MASYSSSALFILFLLPTFSMAASTYYDICPVDCGCPDQNEVTMHLYLHQFVAGANHPNRNEEFVIASSYPNGFGTTLVDDWYLTATTNPNDNIVARAQGMHVQAGQSNANMWYTSHNIVFQDDRFKGSTLQVMGIIAASSGEWSVIGGTGEFSMAHGSIKFTTDPSSTSEDAVRELNIRAIYTADNPQAVSSFLFSPMFSTMCR >ORGLA10G0050900.1 pep chromosome:AGI1.1:10:7305936:7306460:1 gene:ORGLA10G0050900 transcript:ORGLA10G0050900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSLRSIARVDRCMQCMALFMWQGAGARQPAAMPACSREATSASASLRRRGFPRLAMVALGWGGDVAVRCAQPAESHVVAAASRARSRRRQRRCRGNCLGRGQCGVAYGAEAGARKPVQPAETAELDVDGHGRGCPSRGGCGIARGEEASMVEPTHVGRRVHAGERRRRSSTRR >ORGLA10G0050800.1 pep chromosome:AGI1.1:10:7295204:7296347:1 gene:ORGLA10G0050800 transcript:ORGLA10G0050800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QTH5] MAYYEIAPVECPLQQNELYMHLYLRQADRGPNRDQEVILNPKVRPNDFGLTATTAWTISDSLDPNAKIVARAEGFHMQTSYNNTSWYASFNIVFEDDRFKGSMLQVMGTTPAEGQWAISCGTGEFALAHGIIKQKVIQSTPGENVKELHVHAFYTPMNDPVVPGATDGKSWTLGA >ORGLA10G0050700.1 pep chromosome:AGI1.1:10:7272767:7275463:-1 gene:ORGLA10G0050700 transcript:ORGLA10G0050700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAPPPPARPHALVIPFPAQGHVIPLMEIAHALADRGVAITFVNTEFNHGRVVAAMPSPARRNGETENGGGGGGGSGKLGMERNRIRLVAVPDGMGPDEDRNNLVRLTVLMQEHMAPRVEELIRRSGEEEAAADGHGDGWGRITCVVTDYNVGTWALDVARRTGVKSAAVWPASAAVMASLLSIPELVRDKIIDAQDGSALTQEAFQLSPDMPVMHPAHLAWNCIGNDEGQELLFRYLLAGVRAVDECDYILCNSFRGAEAATFARFPKILPVGPLLTGERPGKPVGHFWRPEDGACMSWLDAQPARSVVYVAFGSFTVFDRRQFQELALGLELTGRPFLWVVRPDIVHGDVHEYPDGFLDRGRGKLVAWAPQQRVLAHPAVACFVSHCGWNSTMEGVRNGVPFVAWPYFADQFVNRAYICDIWRVGLPAVADEKSGVVTKEHIAGRVEEVMGDAGMRERVQAMMVVAHESVQEGGCSHGNFDMFVDSIMS >ORGLA10G0050600.1 pep chromosome:AGI1.1:10:7264515:7265069:-1 gene:ORGLA10G0050600 transcript:ORGLA10G0050600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPSQADEQDVDPTWQRQDAKHRQSQLRRNLNVEVPVAAPTGCFAGCFRPSPTSSRSSSPSCNSQADRPASPSLIRSPSAWIRARGQSFASSARHARRRSGDFQYDARSYARNFDEGTDGEASGDEQAGLAAGDTLKYRSFASRLPPSPTPALSPSAAPVCDGGNGAKDRQPAREKGRDFD >ORGLA10G0050500.1 pep chromosome:AGI1.1:10:7209211:7215034:1 gene:ORGLA10G0050500 transcript:ORGLA10G0050500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:I1QTH2] MKTTMAAVATCLVALLVVVLAEAAGVGGTTVAYNDRSLVIDGERRIIISGSIHYPRSTPEMWPDLIKKAKEGGLDAIETYVFWNGHEPHRRQYNFEGNYDIIRFFKDIQNAGMYAILRIGPYICGEWNYGGLPAWLRDIPRMQFRMHNAPFENEMENFTTLIINKMKDANMFAGQGGPIILAQIENEYGNVMGQLNNNQSASKYIHWCADMTNKQNVGVPWIMCXQDSDVPHNVVNTCNGFYCHDWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNLRQPKYGHLKDLHSVIKSIEKILVHGEYVDTNYSDNVTVTKYTLDSTSVCFINNRNDNKEVNVTLDGNTHLLPAWSVSILPDCKTVAFNSAKIKAQTTVMVKKANMVEKEPESLKWSWMRENLTLFMTDEKGSYRKNELLEQIVTSTDQSDYLWYRTSLDHKGEASYTLFLNTTGHELYAFVNGMLVGKNHSPNGDFVFQLESAIKLHDGKNYISLLSATIGLKNYGPLFEKMPAGIVGGPVKLIDNNGTGIDLSNSSWSYKLPPKRXVEHPKDLFLLIVEHSPYQQALYVVQDYLPSSSRSGHGGGGPPRAEQGRGMGEREQPWPLLAIIYSGGDGWLPSLRLPRSFSG >ORGLA10G0050400.1 pep chromosome:AGI1.1:10:7198109:7199101:1 gene:ORGLA10G0050400 transcript:ORGLA10G0050400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF179) [Source:Projected from Arabidopsis thaliana (AT3G29240) TAIR;Acc:AT3G29240] METSCFLTSNASPVKSMVMPSPAGVVKARPHVLFGGRRAASSSVTTCCSYNGEGAAPAIDPDWRSFRAQLYFNEQYAKSVNPAVAAVRATATTPEPVKIGDKWAHPLVEPEKGCLLIATEKLDGSHIFERTVVLLLSAGVLGPVGVILNRPSLMSIKEAQAVFAETDIAGAFSGRPLFFGGPLEECFFLLGPRAAAAGDVVGRTGLFDEVMPGVHYGTRESVGCAAELVKRGVVGVRDFRFFDGFCGWEREQLRDEVRAGLWRVAACSPAVLGLATVVKGGLWEEVQGLVGERRVW >ORGLA10G0050300.1 pep chromosome:AGI1.1:10:7192489:7195830:1 gene:ORGLA10G0050300 transcript:ORGLA10G0050300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTLLADIQLALPNRCYLLPSGMHKSNTSSCPTITCEEALKRELEYRQKIERSHPHLLVGLNGSPALLNEVGSGSSPDLSMRNSAHDSYMPSPQACFVGSTVQRPPANWYPSKKKLKVLQHPSQALQAPRPNLIPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNNARPATGNQCTGNRNPRPNGNAGSGSRNNEPNVFNSNIAQPSSDTFSGSQTNGTIGNPHKEISFDGDGISNSKLEQNC >ORGLA10G0050200.1 pep chromosome:AGI1.1:10:7177478:7177702:1 gene:ORGLA10G0050200 transcript:ORGLA10G0050200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLMKGTFIGVHDDIGAHGPLMGRLAIAGPIAPRLDGPERLLRPSRGRTCLGEVVFLRQIVFALHPSPEMPLA >ORGLA10G0050100.1 pep chromosome:AGI1.1:10:7175245:7175742:1 gene:ORGLA10G0050100 transcript:ORGLA10G0050100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENKSGSGDLMASGKVVAEATMSVFQQKSVEGVDKKEVAGAAADLLHSASTYGKLDDKPVGQYIDKAEGYLKDFSSGSGGAAPPPPAAGDAAAPKPAAEEPPKEPAPAEKEEGKPPSSSEGFGLDDVMKGAESLMEKKGGGEESAGSGGAAGGLFKMAQGFMK >ORGLA10G0050000.1 pep chromosome:AGI1.1:10:7164300:7164836:-1 gene:ORGLA10G0050000 transcript:ORGLA10G0050000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPTCQSLFLPFSAHLPPASPRCGAATRRGSCTRLRTRTTRSTSYTRRACRVPPLGAWRARPGRVVAQHLPRGAALRDIPGPHPACGDDERMSPASNHNLAQSVNWCCLRCASSGAMRTTWPSATSRGRCCATCTRFPTACTSSSTASTRHSSSRTALGRAFREDLTLLKGANLVLGVSC >ORGLA10G0049900.1 pep chromosome:AGI1.1:10:7159956:7161265:-1 gene:ORGLA10G0049900 transcript:ORGLA10G0049900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPTMLWSTLVLLAPLFSIPRHCRAQVIMNYSCNNGSSYAENSTYDSNVRAVLAALSASTPNATTRFATASAGRGADTVWGLALCRGDTDHAVCASCVAAVPAIAFHQCRGVRDVTVFYDRCIARFSYGDFTARPDNTEVLMVSPSKYQVTVNAGHFDALVTRLAGALADWAAYNSTLRYAAGVMASSDGFPSTTGYMVHNIYGLVQCAPDLAPPACRACLQALIVDMPQAFGGRIGGQFNAVWCNLRYETSVFYDGDPAVRLVASPSLEGPNINGSTTLIIGNRRRRPNAATIVVSVLAAVIIALLSVLSIYLWRKLQAKQSS >ORGLA10G0049800.1 pep chromosome:AGI1.1:10:7153583:7155205:-1 gene:ORGLA10G0049800 transcript:ORGLA10G0049800.1 gene_biotype:protein_coding transcript_biotype:protein_coding NACVPYMQILLTKMSKQVHFYLTWQHXGRQQLILQKLTSSGMVVLELYTRDSCEMERRXLSKGTTRPRDKALSSXEMNSYWLPNFGTTILQNFXVFASRGRRSCSCMSTFLIEASDTFLFDPEKRGQLIWETRYHIIHGTARGLVYLHEDSHIKIIHRDLKASNVLLDSSMNPKISDFGLARLFDGNKTASVTSHVVGTLGYMAPEYAVLGLLSVKLDVYSFGVLVLEVVTGRRNTDVFGAVEESNNLLSYVWDHWVKGTPLAIVDASLLGDGRGPPESEMLKCIQLGLLCVQENPADRPTMLHILVMLHDVDATSFAAPSKPAFTFVNGGHTTGSSSNVAALSLNEVSISEFHPR >ORGLA10G0049700.1 pep chromosome:AGI1.1:10:7150756:7151466:1 gene:ORGLA10G0049700 transcript:ORGLA10G0049700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARANAAGAEDSGELKGKGGGAVWRKRNGGEALPLPEWEGNESLAQVARLGWVLQGCRPGGVQQGNQAQWEHFQHEVETARTMRGELVDALKLTLQLLFLGFREEGGMWA >ORGLA10G0049600.1 pep chromosome:AGI1.1:10:7137934:7140749:-1 gene:ORGLA10G0049600 transcript:ORGLA10G0049600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQFIEDKQSQFVLLNQQANKQNKQPQTATGKKEAQILISKQSKKEIYLGKQRESLRTFESTWGRPCWHCKRRVADWKEIFGKRDEVTKLXREKTKTNAPCTYLQGKREKRDMEDGEQGSLGLTCGGEEWGCGSTAASTTGGLCRRLAHSQGSLDGGGGIRISIGGEDSDGGGGGDGERERAGRGEPTLKV >ORGLA10G0049500.1 pep chromosome:AGI1.1:10:7134162:7136327:1 gene:ORGLA10G0049500 transcript:ORGLA10G0049500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:I1QTG2] MEVCAARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLCLMPLADDEAAATLELDLKDPGLTFSWPCGRLREVLLTDEAAGAREARPCSPDRLASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGCRPGKVTVSSDLPMGSGLGSSAAFCVSMSGVLLTAAGVVTAVGGISGEGMGWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSSNPVKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFNAVNSISEEVSSIVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTMLSNLVLEKVIAELESHSFRCFKVEVGGQGLQVCQGGCSYFNGDVV >ORGLA10G0049400.1 pep chromosome:AGI1.1:10:7110335:7112466:1 gene:ORGLA10G0049400 transcript:ORGLA10G0049400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPRRVKNKAPAPVQLTAEHLIREARELHGDGSVTVCLPAESKKRRIVDADELAEHRLERRARFEAIVRRAGSGRGGCGDASSAWMRYARWEESPGGGGGDRARARSVYERALAGGAPAYRDHGVWIKYAQFEARGGRVGHACNVLDRAVAILPRADRIWSEYLRMEDLLGATDNARVVFDRWTSWRPGADAWAAYAAFELRHGEVDRARAVHERHVAALPCADAFILFAEFETKLKNLDHARRVYEHAGSLLAAAGDNDDTAVLLAAFADFEERCGEPDRARAIYQHALRGEPPEPRAEELREKQLSLEKRFGDRHGVEDSIVTKRRSQYERAVTTNPLCYDAWFDLIRLEESANAGDANRIRDLYRRAVANVPPAAAAAEKRHGRRYIYLWINYALFEELDAEDVARARGVYRECLRTIPHKKFSFSNICVMAAELEIRDKNLAAARRLLGNAIGVAPRPKLFRSSHVWRSYAALEKKLGETDRARSVYDLAVSQPALDAPELVWTDYIQFEIDAGELDRARQLYERLLGKTQHLNVWVSYAEFEATACSGGAAIAGNAAEKAERVRRCRAVFRRADEHFRGCADDPAMKEARAMLLQQWLVKEAAFGDLGEVEPVEKKTPRRVKRKRSLLADGNGGGGGCEEFFDYIFGDEEDATAAAGFKLMKAAYEWKNSGHVITY >ORGLA10G0049300.1 pep chromosome:AGI1.1:10:7081096:7088445:1 gene:ORGLA10G0049300 transcript:ORGLA10G0049300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHQLHTMQFTDPASRSPRPVGGGVHGQPPPTPMSSPFSSRKPRMQEGHPTCVNLTPIPHTDGHLWRKYGEKKIKNSSFPRLYYRCSYRDDRNCMATKVVQQENDADPPLYRVTYIHPHTCNPSPPAPTPAHVFTEPPPAKAEVHHAVLFRFSSTAGGHTANNAVHRQQWQPAAATMAAGAQAQLSMTMSDDEREQPPEAIRSAPPARRLSMFRAVVDGLRQMRSSAPPTPSSSMVVDDGWDTFSSFDLDTCEFSVDDELLCGDHMYFPDSMQQ >ORGLA10G0049200.1 pep chromosome:AGI1.1:10:7065919:7066240:1 gene:ORGLA10G0049200 transcript:ORGLA10G0049200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDEVLQQALQGVQVRAAAVAPIGQLGVCAERLGDRPARRASLITESDCLRD >ORGLA10G0049100.1 pep chromosome:AGI1.1:10:7053671:7059762:1 gene:ORGLA10G0049100 transcript:ORGLA10G0049100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSRSTLLGLVRRLRLSSPPSPAPQPRRLLLTASAPPPPPPPTTVCCSRPAAAPGRDARTMAVALAASPDRSMGRESRFRHGWRSLTSSSEEKGALKDVPAAAMLKNRNDNEKKRSRRRKPGITILKNSGHRDGSIFKGNRGWKIDFRIANPDETQFEAMMLSDPGDCKPDEIACVMHQPCPMLQIFSLKLAKTSIDRFPVELYGYIAVRDLMDPLRNYVVRRSRDDTIAVKPGSLIGMTGPKRGIKFCSSALIEYDMRIKTGEQEEDDIQLIDGVLGIFDDLSKPSCKPFRSRIDGVGGAVDITVGLLPSAVEATFEVAISEVQSCFDLTMCSYAGGLSQQFKIFQGTIGESCGLRRSVVAVMLDGMLHLRFIARRKGSKRDHEIACSIRAKKHGSSTHQLNTELASFLVKVNWSTLPM >ORGLA10G0049000.1 pep chromosome:AGI1.1:10:7033292:7034113:-1 gene:ORGLA10G0049000 transcript:ORGLA10G0049000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEAESAVREMVRSMGAEQLDEAISFATMELAGRDIPFEDMFRLCDEQELRRAKKPAMAVVSGSGEEVERIKSKLEIGEDGSPTSNSSEKTVFELLRALQTVPMTFQTLEASKIGKTISGLRKHSLEQVRDLAAALYKNWKALVDEHLTRKPPAPPTKTASALAAADRAKKANTPPAAQKPAPTAPPKKTASNKREEAPALVDEAKLAAAKRKLQEGYEDAASAKKQRMIQVIDAPRKKVKNWRPVAVVEPRRRIAPAVAAAPPLRMCRAA >ORGLA10G0048900.1 pep chromosome:AGI1.1:10:7016297:7021881:-1 gene:ORGLA10G0048900 transcript:ORGLA10G0048900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QTF6] MPHVRSAAERDNGSGGDVTPGTPSPTHGARVRQRKRSSDAPSDVNKTNGANLLLNDQNKYKSMLIRTYSSLWMMAGFVFLIYMGHLYIWAMVVVIQIFMASELFNLLRKANEDRQLPGFRLLNWHFFFTAMLFAYGRFLSRQLVNTVTSDKLLYKLVSGLIKYQMFICYFLYIAGFVWFILTLKKKAYKYQFSQYAWTHMILLMVFAQSSFTVANIYEGIFWFLLPASLIAINDVAAYFFGFFFGKTPLIKLSPKKTWEGFLGASVTTMLSAFVLANLMGHFQWLTCPRKDLSTGWLHCDPGPIFTPESYDLPGWIPWREVAIMPIQWHALALGLFASIIAPFGGFFASGFKRAFKFKDFGDSIPGHGGFTDRMDCQMVMAVFAYIYYQSFVMVQDLSVETILEQILRNLTFEEQHDLYEQLGKLLTRGN >ORGLA10G0048800.1 pep chromosome:AGI1.1:10:7007870:7011075:-1 gene:ORGLA10G0048800 transcript:ORGLA10G0048800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVVLWPTLLPLVLLANLLPLPRHGRALELMNWSCNNGSSYAANTTYHSNVRAVLTPLSAITPNSTARFATASAGRGGADAVWGLALCRGDTDRAGCASCLAAVPAVAFGDCRGDRDVAVFYDRCLARFSYADFTARPDNTEVLIGSPSENRVTVDAGRFDALVARLAGALADWAAYNSTWRYAAGVMASGDGFTSTTEDMVHNIYGVVQCTPDQAAAACRACLEALRVDMPKVFAGRIGGRFDAVWCNLRYETFLFYDGDPTVRLAASPSPGSSSSPLPSPSLSPPPLEGKRRNRPKNVAIVVVSVLASLVVLLSLLSFYLWRKLQAKQYTDENDIYSGSLLFDLATLRKATASFAEHNKLGHGGFGAVYKGFLPDGREIAVKRLDKTSGQGLEQLRNELLFVAKLRHNNLAKLLGVCIKGEEKLLIYEYLPNRSLDTFLFDPEKRGQLNWETRYQIIHGIARGLLYLHEDSQIKIIHRDLKASNVLLDANMNPKISDFGLARLFDGTKTASITNHVVGTLGYMAPEYAVLGHVSIKLDVYSFGILVLEIVTGRRNTDVSGEVEESNNLLSYVWDHWVKGTPLEITDASLLGDGHGLSDMELLKCVHFGLLCVQENPVDRPTMLDILVMLHDVDTNSFVAPSKPAFTFAHGGNTTSSSQGVAALSTNEVSISEFVPR >ORGLA10G0048700.1 pep chromosome:AGI1.1:10:6997079:7003180:-1 gene:ORGLA10G0048700 transcript:ORGLA10G0048700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLLSSKVILALVLASLFSLPRHGRALELMNWSCNNGSAYAANTTYDTNVHSILATLTARTPNTTTGFATATTGRGTDTEAWGLALCRGDTDRVGCASCLAAVPAVAFNECRGDMDVTVFYDRCLARFSYVDFTARPDNTEVLIGSPSADRITADAGHFDALVADLAGALADWAAYNSTLRYAAGVMTSGDGFMSTTEDMVHNIYGVVQCTPDQAAAACRACLEALRVDMPKVFAGKMGGRFNAVWCNLRYETFVFFDGDPSVKLVAPPVVPEDGKRRSSPENAAAVVGAVLGALVILLSLFIIYLWKKLQAKQYAADKDVDSGSLLFDLAIIRKATANFAEHNKLGHGGFGAVYKGFLPDVGEIAVKRLDRTSGQGLEQLRNELLLVAKLRHNNLAKLLGVCIKGDEKLLVYEFLPNRSLDTILFDPQKREQLSWETRYQIIHGTARGLLYLHEDSQIKIIHRDLKASNVLLDSNMNPKISDFGLARLFSGTKTTSITSQVVGTLGYMAPEYAVLGHLSVKVDVYSFGILVLEIVTGRRNTDVFDADEESSNLLSYVRPIDQLFYDFLKVWDHWQKGIPLEITDTLLLLSGSRGLQDMELLKCVHIGLLCVQENPADRPTMLSVLVMLQDIDTTNFAAPSKPAFTFANVRNTTSSSPSAAALSANEVSISEFHPR >ORGLA10G0048600.1 pep chromosome:AGI1.1:10:6986667:6988106:1 gene:ORGLA10G0048600 transcript:ORGLA10G0048600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPPPPCPAAACDDGWLSLSVSTVSGESNQKRLKRGGGGGGGGVGGGAVEDDGCPLHDEVLLLVFAECSLETDDLVRCAATCRRWRRLVAGDAEYICRRKPPSRRYVGALAVGFVQQRRQENSSSSSGAPPPPRFIPLPSYSSRFAGGGELDKVFDSGLLSNSRLIASRKGLLVLELRRSSRAAAVRLVVCNPMTGDMATLPILAGKDRPGHYACALITFDDHEGAPDRLGFVHDPAAFRLLLVYKRRNFTACRSYWSDTKTWDAEGKLSGAKIGGRRLGEMTGAVAVRGSVFWLLKNLLFVVRLGALKATTETFPSKWCSKLCFCYGSPVQNRQLAVTPDGRLCAVQVDRHVTSNNTVRINVISRHDGYGPPTWECDNARDVELNRVLPMANVRRVCLRGVCERSGVVFLAIGTDLYNQQPDLALYALDMDKKEARKVAAPPGHCRRLSSSFFGYEMDRVAYLASLSGGESIAS >ORGLA10G0048500.1 pep chromosome:AGI1.1:10:6970682:6972112:-1 gene:ORGLA10G0048500 transcript:ORGLA10G0048500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPLRSVAASGDGWLSLSVSTESTGSGESNKRLKRGGGGGSVEDDGFPLHDEVLLLVFAASSLDLHDLVRCTATCRRWRRLVTGNAEYICRSMLPSSRLIRDLAVGFFHQSHEDESSSSVVPPRFVPLPSASSRFGGGELDRVLDNPGLFKNSRLVASRKGRLVVELRRASRAAALRLVVCNPMTGDMSVLPVLSGKDRPGLYACALLTADDLQDSADPLPPGPAAFRLVVLYKRRSFTACRSYSSDTKAWSTERKLSGVKIGGKRLGDMAAGVTFRGRVFWLVNSVVFVLHLDTLVATTENIPWHWRWNGKPCFCLGDPVPNRRLTVSPDGRLCVVQVGRNLRTYNPVINVFARHDSGGCNGSTAQKIRWKVEEAHDVELSHLIPLANVKRVCLRGVCEKSGLIFLAIGADMYAKKPDLALYALDMEKKEARLVPAPPGRCCVRRSSWSFFGYELDRVDYLASLAGGDSTAR >ORGLA10G0048400.1 pep chromosome:AGI1.1:10:6964049:6965224:1 gene:ORGLA10G0048400 transcript:ORGLA10G0048400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTENHTIFVGITLGISFLIVGLLFILMMRQKRRMNEYFRKNGGSVLQKVENIKIFTKDELKKITKNNSEVLGQGGFGKVYKGILEDNTLVAVKASIEVNDARKEDFTNEVIIQSQMIHTNIIKLLGCCLEVDVPMLVYEFAANGNLQDILHGDNNRRVPLPLDLRMDIAVEAAEGLRYMHSSANRTIRHGDVKPANILLNDKFKPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPVFHKTGRLTQKSDVYSFGVVLLELITRKPTIYDANCSLLIDFQKAYEQENSGRAMFDKDFTIEEEIFVLEEIGRLAMECLKEKVEERPDMKEVAEQLVILRRSRKSRQGNYNISPQQFEEMSTEGTPLSLETAISVSSSVLSAPSTPANNDFSNA >ORGLA10G0048300.1 pep chromosome:AGI1.1:10:6960805:6961776:1 gene:ORGLA10G0048300 transcript:ORGLA10G0048300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLVFLLLAAMSAALESITSTAVKTGCQERCGGVDIPYPFGIGPGCSRHGFELSCVSNGSGAGPIAVLAGTSIQVTRLSVEPAESQVVLPVGWQCYNTSQPTRTYPDWSRAKTEMNRGGVYRISNTHNMLVVLGCNTVGYTESLRSEGGAYSSTYYIGCMSYCNNSASAQDGQCAGVGCCHVDIPRGSPTAPSTSGCTTTPVWWTTAPVTTPSSPTGPTTVSGEPTSSRWTRTGTFRCGLTGRSARTAPCPALRPRASQGTPVSASTASASTLLMVRVTTASVPQATRETPMLLMDVLVSNNFLRTIDHHICDMTSDGST >ORGLA10G0048200.1 pep chromosome:AGI1.1:10:6957413:6959186:-1 gene:ORGLA10G0048200 transcript:ORGLA10G0048200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAWGRGGGGRVGAEAKKAVLAKRRAEARWWSRGRGAGCGPDDDGGRQRQQPRWGDNEREATTTRRHMVNRGFFYYRAPRIRKEEQKDLYGIAPCISLCCTSRSGVYVICIQSRRHSPCRRRFSKPSVVVVAVAAVLVLVVAVGAYGWSVLMKNTRPGRSA >ORGLA10G0048100.1 pep chromosome:AGI1.1:10:6940421:6942032:1 gene:ORGLA10G0048100 transcript:ORGLA10G0048100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQQGRFFGREEMSNGVEYDAAYAATVAAVAYAIAAKEEEKQATEETRVKKKLTSEKKPVANDEPSTTPTLKLPPNRQGILKRPRQTEGSRITRRFSGKEIVPDEEDDGLEANVSVRRPVRTAQKIPEGGISGQNMVGKVLDSVPSIRKAPSFAKPLPEKKGSMKFEQEQAIPTVTPNVRPTALFPREKKESKKFDQDQAIPRVPPDVRPTASFSREKKESKKFEQDKANQMPSLASAPTSSYSSEAEAMADTWEKEKMAKIKKQYNMTMDTIVEWEAEKKAKAKRQMELKEGDNSERKREKALEEYNDEITRINKVAAASRLTAEEKRRSAERKVREKAERIRVTTGKLPGACGCF >ORGLA10G0048000.1 pep chromosome:AGI1.1:10:6936998:6938362:1 gene:ORGLA10G0048000 transcript:ORGLA10G0048000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDPTCHPLSPLLSLSSSSSSSALPRADRVGSSARRRHEEFDAIHSDKAKALLDTYRIGELITTGSGYSSDNSVHGASNLSQLAPIREAIKAPAPIALSSPGDKVPCQLIDKKELSRDVRLFRFALPSFDQVLGLPVGKHIFICASIEGKLCMRAYTPTSMVDEVGHFDLFIKVYFKNEHPKFPNGGLMTQYLDLLPVGAYIDVKGPLDHVEYTGRGEFVINDKPQNARAPAGGRRGDAGGGALRWAAARHSCGSGRGTRGGRCRRPRRRRRLRRNGNTISMVVQSVLISRQRRRRTCRGTRRNQLRGGSGVLLPPVLRVDRVGSTAARGCSGDWRGEEEGASFVGRATMAASISPVVISIGRPCSAGVPPGAPRRRGGRGGDGLPLPPATASMTETPLKAREELGVDGGGAGGGGGGGEGGGGGGVGSGSGARDVAVAGGGSGGGVGGGDAGER >ORGLA10G0047900.1 pep chromosome:AGI1.1:10:6931681:6935980:1 gene:ORGLA10G0047900 transcript:ORGLA10G0047900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKNHPDGEEEAPAAAGEEEAPVEMDEEGEMEEEEEEERGGGGGEERDEGEEEEEWEDAEEVEGGEESEQAAAEEEDSPLVVVEAEAAAPVVDGSPPKLAEGYYEIEDIRRRRLRKGKLQYLVKWRGWPESANTWEPLENLSACSDIIDAFEMRLQSPRPGRKRKRKITTTPVAGSNPSHGKRGRPRLDAKSQTRAPAPEPKQLPCRTSSRRATNCSSKTVAGLDASGSVVRNQLAQNIVQEGSSSVISRTPCQELPLSIRLTDQQNEHHLVNGSSNSENLVKVPPSQGGQVTGAKKRKSGNVRRFEQNKPTQGQGECGALVVAEDVGSTEGETGDKKKTEGCPNRVHITKIIKPVRFAAAVNNDVQQVSITFKALRSDGQEVMVDDKELKANNPLLLISYYEQCLRYNPTS >ORGLA10G0047800.1 pep chromosome:AGI1.1:10:6924428:6925415:-1 gene:ORGLA10G0047800 transcript:ORGLA10G0047800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPISEYKQKVSLEIEGIKEKHKMKENAGTISNAXSNENSNSMTQGTNIHQLQDIRGSLKEPEKDKTETKHIQERSSTITQNSGITATQIPQSPALLHDELNMLNSQATPVAQLSYTSLMHQVINSPRINIQP >ORGLA10G0047700.1 pep chromosome:AGI1.1:10:6919284:6923635:1 gene:ORGLA10G0047700 transcript:ORGLA10G0047700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGAAASHAAAAGPPPAADPHHPHYPHPYAGYPYPYAAYNPAAPASEPATAASSSYYYPTAVSAAASAGQYDPYAAYQYYADPAAAGPGSGGAGGLPGYYFGAGEAFQAPASSASQGAPAATAAAGKEAGKHFGFDPQRYAQAAAARSSNGVAPAIAAPGMHPAQWNAHFGHPVPKIVSRKHIKKKPKVVQPLTCEVCKIQCDTPEVLRIHKTGKKHKKNLERLQDSITPKPVKPPSTPNTVALAANMAPDPVTTSVTTSVMPAAQTKKKKSAAATPEELEVKRRRVLDAGAAQGEVKICTVCNVVVNSQKVYEFHIIGQKHKAMVQKQQAQPPIA >ORGLA10G0047600.1 pep chromosome:AGI1.1:10:6869731:6872305:-1 gene:ORGLA10G0047600 transcript:ORGLA10G0047600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEIEDWIDEAMHHLTKDDSNSGFISKIIFRLNRMRTQNRMTNQINKVKTRVVEMSHRHKRYKLDASISTSDYTAIDPRLCALYADAEALVGMDGPRDEITKWLMGADQQLMVVSVLGIGGLGKTALANEVYKKIGGQFDCHAFISISQKPDICALPKNNHASRVITTTRIEKVAMECCSYRCEFIYKMKPLNEHDSRRLFFNRIFGSENACPERFKGVSTGILQRCGGLPLAIVSVSSLLANPATSVDRQWEYVSNSLSDKFGIMPALDGMRNILHLSYKNLPYHLKTCFLYLGIYPEDYIIRKSDVVRQWITEGFVHKAQVQDAEDVAGSYFNELVNRSMILHTDIDYQNNVVSLFSDAVHQMSLDLTGIRQLFQLRDVKIEANIHVQIQLPAQIQELKLLESIDIEWGSVCIPPDIVHLPHLIHLVIPEGTGLPDGIGNLKSLITLRSFDLGENSLHNIRSIRELTNLRDLNLCYSGKNVVSNMETWIDVLRSSLEKLSNLKYLHLYWPGTCENGLCSLNPPSRHLQRLEMAYWWFSKVPKWIGGLHELHVLKLAVKEVSDDDITLLAQLPSLTNLGLRMRGAPKQKIIIYKKAFPVLRYFKFWCSTPCLVFEASVMSEELRN >ORGLA10G0047500.1 pep chromosome:AGI1.1:10:6867404:6868035:-1 gene:ORGLA10G0047500 transcript:ORGLA10G0047500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:I1QTE0] MSWQTYVDEHLMCEIEGHHLTSAAIVGHDGTVWAQSAAFPQFKPEEMTNIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGITVKKTGQALVVGIYDEPMTPGQCNMVVERLGDYLVEQGL >ORGLA10G0047400.1 pep chromosome:AGI1.1:10:6865068:6866659:-1 gene:ORGLA10G0047400 transcript:ORGLA10G0047400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEKSGIWVAEMICDYYEWGHIEVPKKLDQIGTIFRIGKKKSEPKGIKEVGEVVTGGLGPRGGRCWQRCGGGDIDANGGVDLMVTTVGGGSQVATRRNNSRSGVPRLKRTVTKLAVAPIDFPTFCGKMKPMSGHIELSAAKVAKNCRTGKICSSCRNKLRRPRAQDWEWKRSPCLASYVESEDYRIGTPKVKEQSRESLGAAFKLKS >ORGLA10G0047300.1 pep chromosome:AGI1.1:10:6857662:6858284:1 gene:ORGLA10G0047300 transcript:ORGLA10G0047300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:I1QTE0] MSWQTYVDEHLMCEIEGHHLTSAAIVGHDGTVWAQSAAFPQFKPEEMTNIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGITVKKTGQALVVGIYDEPMTPGQCNMVVERLGDYLVEQGL >ORGLA10G0047200.1 pep chromosome:AGI1.1:10:6849961:6855527:1 gene:ORGLA10G0047200 transcript:ORGLA10G0047200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGRCMVEVVILLMLMAMSQGCDAQNTTGGLTRKSFPNGFVFGTASSAYQYEGAVKEDGRGPTIWDKFAHTFGKIIDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWSRIFPNGTGEVNQAGIDHYNKLINALLAKGIEPYVTLYHWDLPQALEDKYTGWLDRQIINDYAVYAETCFKAFGDRVKHWITFNEPHTVAVQAYDSGMHAPGRCSVLLHLYCKKGNSGTEPYIVAHNMILSHATVSDIYRKKYKASQNGELGISFDVIWYEPMSNSTADIEAAKRAQEFQLGWFADPFFFGDYPATMRSRVGSRLPKFTEKEAALVNGSLDFMGINHYTTFYTKDDQSTVIEKLLNNTLADTATISVPFRNGQPIGDRANSIWLYIVPRSMRILMNYVKDRYNKPTVYITENGMDDGNSPFISLKNALKDDKRTKYHNDYLTNLADSIREDGCDVRGYFAWSLLDNWEWAAGYTSRFGLYYVDYKNRKRYPKNSVQWFKNLLASSS >ORGLA10G0047100.1 pep chromosome:AGI1.1:10:6837539:6839844:1 gene:ORGLA10G0047100 transcript:ORGLA10G0047100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDQDGELRHDVCSLSTSLRLTAHVTSSIVHEQSSNIRYGENRKHGQRYAYHEPLPPEIVARCNGHNGKHLTLVTRNSKPVNVRLEKRGQSFYISKGWKKFVELTDLRVGQCVRFSVSSPSTLDLLILDKHGTSLAIPPSKRDLKLKSKRSTHQDSKGHPSNTDPGPSRIINRRVTKSESSANTQLLVQYFSKRYSIDHLEQLMTGRTEDIEVQTLVGPSVNMVLHTSTDHRCNLKKGWTDFALSNGIKLNTVCIFHFYKTTHLGVIVDIF >ORGLA10G0047000.1 pep chromosome:AGI1.1:10:6829672:6829911:1 gene:ORGLA10G0047000 transcript:ORGLA10G0047000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYLEAVRSMEKCFIGITVEHLPRGQNEEADALAKSAACDGPHSPGIFFEVLYASSVPMDSLEVMAIDQVKLGEDPCD >ORGLA10G0046900.1 pep chromosome:AGI1.1:10:6821477:6822601:-1 gene:ORGLA10G0046900 transcript:ORGLA10G0046900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGGPRKIWRVGAARAVAEPAAQPRLMGGTAPGFVNEYFEIQSMIFKAEKPNHRDLHDRPQPPQISYGEHQAIEVTPCWLNSSDKHIAEGISIDHFFFFGIIVSEGAGTASHYRKGFSHRGKGRFEQEK >ORGLA10G0046800.1 pep chromosome:AGI1.1:10:6808937:6809269:-1 gene:ORGLA10G0046800 transcript:ORGLA10G0046800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVILNGLCEEHHMKKPRTVFREEREDDVTIATTDTNIAHIMDEQEDIKVKASKCWNPIRPPAALLSSNGRQISIRAPFSAREYLMESSRSPLPTDPASFRNSILLSRN >ORGLA10G0046700.1 pep chromosome:AGI1.1:10:6785547:6785822:-1 gene:ORGLA10G0046700 transcript:ORGLA10G0046700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLARLSTVVGADPLPLPRLALAWHHPLASASPCIGLLLRRQIPTPTYQGLRLAVIKVVEVVASGVPVMMATQLGHVDCLDAAQXMPGG >ORGLA10G0046600.1 pep chromosome:AGI1.1:10:6773911:6775128:1 gene:ORGLA10G0046600 transcript:ORGLA10G0046600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCDHISAPLKLENNSFRLSEFTPPMDATFLAWNFMGNRDAERMVFHYLTSSARAAAAKADILLCNSFVELEPAIFTLKSPATILPIGPLRTGQRFAHQVEVVGHFWQTNDDTCLSFLDEQPYGSVVYVAFGSLTIMSPGQLKELALGLEASGHPFLWVVRPGLAGNLPTSFLDATTGQGKGIVVEWAPQEQVLAHPAVGCFVTHCGWNSTVESIRNGVPMLCWPYFTDQFTNQIYICDIWRIGLKMVQTYGEGIVTKEIMVERLKELLLDEGIKERVQRLKEFAETNMSEEGESTRNLNAVVELMTRPMS >ORGLA10G0046500.1 pep chromosome:AGI1.1:10:6734382:6743158:-1 gene:ORGLA10G0046500 transcript:ORGLA10G0046500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRKVGNLVRQSAGSGSPLFQAVRCMSSSKLFIGGISYGTDDQSLKEAFANYGEVIEARVIVDRTTGRSRGFGFVTYTSTDEAAAAITGMDGKDLQGRIVRVSYAHDRGSRAGGYGGGGYGGQGTYGGGGGYGGGGYGGQDAYGGRGVGGYSEGGRGYVGGGYGDGNNYGGYNTSGGYNSEGGRGGYSVFEGGHGYGSGGTGYTGGSGGYNSAPGNYSSDNFNQGGAAPGAYEGANYGGGNNYMNNATSDDSTGKLDELLNDLKADGDGKQDGEGKADGAGLVNEDLKGDDGQDELLQNDFKDEDVSDDYANKRR >ORGLA10G0046400.1 pep chromosome:AGI1.1:10:6689348:6694239:1 gene:ORGLA10G0046400 transcript:ORGLA10G0046400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRREGRRVLLSSTPSPAAAMAARSPAAAHQEIAPLGARSVSTQVVRTRMKSVRNIQKITKAMKMVAASKLRAVQIRTENSRGLWQPFTALLGDVPSVDVKKNVIVAITSDKGLCGGINSTSVKVSKALHKLTSGPEKESKYVILGEKGKVQLIRDSKDNIEMTVSELQKNPINYTQIAVLADDILKNVEYDALRVVFNKFHSVISFKPTMTTILSPEVMEKESESGKVGDLDSYEIEGGETKSEILQNLTEFQFSCVMYNAALENACSELGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALEG >ORGLA10G0046300.1 pep chromosome:AGI1.1:10:6680134:6682632:-1 gene:ORGLA10G0046300 transcript:ORGLA10G0046300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDRFTGNPGRLTVPTTSGNGTVSISYSEKGRTVLYHVGFDISKFYLVTKMILGTNTYPLRDHHTNVPQYSQNTVYGYPPSTVTRQGGELGAQGFAKMTSSYSDNYICTNFGSGDPTRTPLRSLVRDIDKLRECMMVRSSGSDDMDACRLERHLAGGWRHVVPKQERVRKNMFKVQPDTMTRMLVWFRPLSPLHRTNLNRRRTKIVSTRGWNS >ORGLA10G0046200.1 pep chromosome:AGI1.1:10:6676702:6678375:1 gene:ORGLA10G0046200 transcript:ORGLA10G0046200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVPLPLLLGSLAVSAAVWYLVYFLRGGSGGDAARKRRPLPPGPRGWPVLGNLPQLGDKPHHTMCALARQYGPLFRLRFGCAEVVVAASAPVAAQFLRGHDANFSNRPPNSGAEHVAYNYQDLVFAPYGARWRALRKLCALHLFSAKALDDLRAVREGEVALMVRNLARQQAASVALGQEANVCATNTLARATIGHRVFAVDGGEGAREFKEMVVELMQLAGVFNVGDFVPALRWLDPQGVVAKMKRLHRRYDNMMNGFINERKAGAQPDGVAAGEHGNDLLSVLLARMQEEQKLDGDGEKITETDIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLKEAQHELDTVVGRGRLVSESDLPRLPYLTAVIKETFRLHPSTPLSLPREAAEECEVDGYRIPKGATLLVNVWAIARDPTQWPDPLQYQPSRFLPGRMHADVDVKGADFGLIPFGAGRRICAGLSWGLRMVTLMTATLVHGFDWTLANGATPDKLNMEEAYGLTLQRAVPLMVQPVPRLLPSAYGV >ORGLA10G0046100.1 pep chromosome:AGI1.1:10:6618380:6619730:-1 gene:ORGLA10G0046100 transcript:ORGLA10G0046100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRSAGGGDLHGAAHWTGAARERRRLQPISPVGRCPPLEDAATTAAACALSLGSRAAHPGSHQLDRKNSQEPQLTATGPSVRPDFREDECERLLTRAAEADGSGVEPGGDARRRLNVEHDERAVRAEAEVVGGRPLSSSPLVLTPACICVGGGGGAATATWTGRAEDEEQRTDDEDQDDDDRAEATAARCPGWAAAGRRSALRGGGIEGEEKVKGQERHFFYPAHMSELPNG >ORGLA10G0046000.1 pep chromosome:AGI1.1:10:6616607:6617067:-1 gene:ORGLA10G0046000 transcript:ORGLA10G0046000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSNREQSASATAALSNQCAPSPSHQFFFCGKISSHLQQQWFKATYLMAKDLLVLHRLLGFYFYQLQ >ORGLA10G0045900.1 pep chromosome:AGI1.1:10:6594763:6596220:1 gene:ORGLA10G0045900 transcript:ORGLA10G0045900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYLEAVWSMEKYFTGITVEHLPRGQNEEADALAKSAAYGGPHSPGIFFEVLYAPSVPMDSLEVMAIDQANLGEDPCDWRTPFVKYLETGWLPKDEVEAKRLQLRAARPTKFNPFMLLYGDEAMTPTELEANSPRVMFSGGEEGRKVSLELLEGVRVEALKHMRKYATGTSTTYNKKVRPTELLPGHLVLRKKANPIAIGKLESKWEGPYLIKHKSRTGSFRLVTLEGEEFDHSWNAASLKRFYV >ORGLA10G0045800.1 pep chromosome:AGI1.1:10:6580540:6581394:1 gene:ORGLA10G0045800 transcript:ORGLA10G0045800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGARSKENMENKSLRFYSYTLPSPVVAGQGLILAFSRRFGKEKIRRELSSWKNRQGRKKGEEDERVSCLPYEPELVARPGGGMGLGGEPRSVTGGAKSSWVHGTDKGRLET >ORGLA10G0045700.1 pep chromosome:AGI1.1:10:6576549:6576938:1 gene:ORGLA10G0045700 transcript:ORGLA10G0045700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDSGGDAQLRHPAAAAAAARLGQQQRRTAVAQXGGSAAAAVAAAARRQRAAVHGTTHSSGGALAGSGARVYRGDTTTRQTLGHAVRVNAKRSRQHLNKEGLEKISHRGKQRQRQGFGTAVTVTRQG >ORGLA10G0045600.1 pep chromosome:AGI1.1:10:6556720:6566289:1 gene:ORGLA10G0045600 transcript:ORGLA10G0045600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAMEISTSLLLTTVALSVIVCYALVFSRAGKARAPLPLPPGPRGWPVLGNLPQLGGKTHQTLHEMTKVYGPLIRLRFGSSDVVVAGSAPVAAQFLRTHDANFSSRPRNSGGEHMAYNGRDVVFGPYGPRWRAMRKICAVNLFSARALDDLRAFREREAVLMVRSLAEASAAPGSSSPAAVVLGKEVNVCTTNALSRAAVGRRVFAAGAGEGAREFKEIVLEVMEVGGVLNVGDFVPALRWLDPQGVVARMKKLHRRFDDMMNAIIAERRAGSLLKPTDSREEGKDLLGLLLAMVQEQEWLAAGEDDRITDTEIKALILNLFVAGTDTTSTIVEWTMAELIRHPDILKQAQEELDVVVGRDRLLSESDLSHLTFFHAIIKETFRLHPSTPLSLPRMASEECEIAGYRIPKGAELLVNVWGIARDPAIWPDPLEYKPSRFLPGGTHTDVDVKGNDFRLIPFGAGRRICAGLSWGLRMVTMTAATLVHAFDWQLPADQTPDKLNMDEAFTLLLQRAEPLVVHPVPRLLPSAYNIA >ORGLA10G0045500.1 pep chromosome:AGI1.1:10:6553109:6553588:1 gene:ORGLA10G0045500 transcript:ORGLA10G0045500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDNLTIRIAKAIVYTRTKTKTKFEIFKINSVSRTRTDEVSAIVIKTVGEAVHDLQLQGEIKSMTYDIEIAHLTIAIHLHRLGEVRDDLAVTDPHSYPAADAGYLDPLFEKSTGPQNSIPHHWTNTEEALILRNFYASTLLSYTPPAQTTMHWRIICR >ORGLA10G0045400.1 pep chromosome:AGI1.1:10:6535644:6537614:1 gene:ORGLA10G0045400 transcript:ORGLA10G0045400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYRLAAARRRGHKASSTLLLPGRCYTAFTVRLSRRWWCRCRQPPWPDVDRDRSQIIVAPVDEDAAASFNELLVFFYAKDGFSSHTNILASGVAVQQCHIFLQRWRQCYIIACDGWFGVVDGVDQ >ORGLA10G0045300.1 pep chromosome:AGI1.1:10:6511959:6515885:1 gene:ORGLA10G0045300 transcript:ORGLA10G0045300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKIFKPSTLTSTTRNYYPRPTYADLQFEEMPQIQNMTYYNGKEIVEWNLDGFTEYQIFTLCHQMIMYANACIANGNKEREAANMIVIGFSGQLKGWWNNYLNETQRQEILCAVKRDDEGRPLPDRDGNGNPTGNISDALATLIYNIIYHFAGNYHDIYEKNREQLINLKCKTMSDFRWYKDTFLSKLYTLPEPNQDFWKEKYISGLPPLFAEKVRNSLRKEGGGSINYHYLDIGKITQKIQLVGAELCNDLKIKDQLKKQRILGKREMGDFCYQFGFQDPYVYRKRKTHSKPMTKPNDKSKMSFQATKRKPKRIYNKNIRTQDTESKETICYKCGLKGHIANRCFKSKVKKEIQALLDSESEDVKEKLEAILNNIDNDSSSDAEINCCQDSGCSCYEPDNSEEESDENILVLTSLEEFVLDTFETIQDPEEKRRVLEKFLSRVKTDKDKLKKDIQKSKFLSIDEVFKRLDEQKKKNEKPDLISLFEDQKIMKQDLEKIRKRLYMLELKEGFHMEEKDEPIQEDDQVVGTIQKYMKQKWYTEVMYRFIDGSYFQHITLIDSGADVNCIREVCIKTTFLLVKNLKQDVILGTPFLSLIRPFLVTNEDIQFEIMGKQVSLRFSSNTDEILDQLVQTKREQVVNTIYLHNNSFPSYLPKSMDLQGKTSWEDRYLLKATKNFEYICREMTSQKSKWFTNMSSWRLSQLPRGHGERFNHNFRVTELQQGLLNLLWQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGLQDYFFDLLEGRERNPAIRVIIYMLPLLRLDDQAISDASYRFLVLKAEINLQRFRNLPTYNHEEISLQTIIDHGLVNSIYATLDQILQSDLGGAVKDVCRRLGHGRYRIIFSSIPPKFTPPVRPAIHYIYIMNGQFNFQEDGPSKLSDEEEEIYTTIANHENWRLFSEAAEIEEAITTDYEYQLVFQNKITRIFISKYYNQSYEYFKGAGRIIKPDFGNECPKKSYYRSLAQWFQKSEPSEIDTVQSAPMIINEDNE >ORGLA10G0045200.1 pep chromosome:AGI1.1:10:6506970:6507686:-1 gene:ORGLA10G0045200 transcript:ORGLA10G0045200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRLLRGSCRKPCGDQGRQQRGTSFLHPCPLRQGEGRHGDVPQGAEMAMSMMVGDDMVASELWPKKEMAAKTVVMEATARVGENGQIRLPYGHIQSPLGQIRGVGWLRRGSGSGEATPALAAERLLVSGEVAARRWYGGVGGDRRGRQWAWRCGDFGTGPRGGRRAGWTVIAQTLPSWRWQSSVLAGSVMEGLLHSCRQPPNLPVELLTSFCKELHWEVEGGILTSGIVLGCQGIAT >ORGLA10G0045100.1 pep chromosome:AGI1.1:10:6492434:6502914:1 gene:ORGLA10G0045100 transcript:ORGLA10G0045100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSRSPPSHRRRRSPSPRYGNRRSRRDRSRSPYTSTRRSPSPRWERSQSPTPRRRRSPTSPRRQRRRRSRSNTSSTVNNSCSPSHVSEQNNLIEKQKEGDKKSRRQKEAELKLLEEELARRVEESIRKNVEDRLNSEDIKNEIKRRVEEGIKQLFDEVDAQLQKEKETALREARHKAEQERREREELDRMLEENRRKVEEAQRKEALEQQQKELERFLELERIQKQREDAMRRKKIEEEEDRANQMKLLGKNNR >ORGLA10G0045000.1 pep chromosome:AGI1.1:10:6491812:6492106:1 gene:ORGLA10G0045000 transcript:ORGLA10G0045000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLASAVRLSALKMGLCMGGHSAATAGNGGRAQLHCGRKKEEDGRRKEEYGKGREWMENVTTVVRL >ORGLA10G0044900.1 pep chromosome:AGI1.1:10:6465443:6465949:1 gene:ORGLA10G0044900 transcript:ORGLA10G0044900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPACSGCPPRVRPHLAPPKAKAETRRRREPAFFAHGLHRLPFRCRLELLPTPSRLALADLLRVGELYGGANVLRPRRRPPFRLRPLAAARSPPSSTLVWQLIPHLQIYPRWRVHLRYRPETSPRLLRAPGINSTTHRICSLFLLSSFFFLSFLHTCCFHRDQNHG >ORGLA10G0044800.1 pep chromosome:AGI1.1:10:6459226:6459717:1 gene:ORGLA10G0044800 transcript:ORGLA10G0044800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLHPLFPATAPGVSTTSTPSRDILRRGTRRLTTTCKAEPSGGNSTLELAAGAAGLASSSVVAWSLYTLKTTGCGLPPGPGGALGAAEGVSYLVVAALIGWSLTTKVRTGSGLPAGPFGLLGAAEGVSYLAAAAIAVVFGFQFFEVGSLPGPLPSDQCFG >ORGLA10G0044700.1 pep chromosome:AGI1.1:10:6365656:6369194:-1 gene:ORGLA10G0044700 transcript:ORGLA10G0044700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1QTB4] MGLNGKWRKAMPYMAMVFLQFGFAGLFLISVASLRQGMSHYVLVVYRNAVAAVVMAPFALWFERKTRPKMTLSVFFKIMALGLLEPVLDQNFFYMGAKNTSASFSSALTNILPAVTFVNAIILRMERISIKERRSQAKIAGTLITVGGAMLMILFKGPVINFPWTKNANHNISDSSDHNNGHWLMGIFMILLSCFCWSAFFILQSYTLRSYPAELSLTTLICAMGVAQSGAVALVMERDIKAWLIGFDMRLFTAVYSGIMCSGVAYYVQGMVIKERGPVFVTAFSPLCMIIVTILGSFILCEVVTLGRVIGAIIIVVGLYALIWGKNKDHGNQVDQDDNFEKQKTFELPLSTTNVNEARSPNHI >ORGLA10G0044600.1 pep chromosome:AGI1.1:10:6336367:6338059:1 gene:ORGLA10G0044600 transcript:ORGLA10G0044600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEELKESVKEEQAEKKEEAAEEKPDEPQEIVLKVDMHCEGCAKKVEKSLLRFEGVENVKADSRSKTVVVKSRAADPSKVCERVQRKTKRRVELIFPLPPPPEEEKKEEAPAPPPEEKKEEPPKTITVILKVQMHCDACAQILQKRISRTEGVESVETDLLNGQVVVKGVMDPAVLIESIQRKTRRPAVIVEEVKPREEEKKAEEEEKKPDEDKKADGIEEVKKYDFWPPVQYYVEYVYPYPLPPPPTALVSEEFSDENPNACTVA >ORGLA10G0044500.1 pep chromosome:AGI1.1:10:6276728:6280941:-1 gene:ORGLA10G0044500 transcript:ORGLA10G0044500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEPIARLISHVILDLDGTLLNTYCVVSQVLKPFLVKNGKKWDSKKAHKLVGKTPYEAAAVVLEDYGLPYSTEEFLSMLTPMFNEQWCNIKALPGANRLIKHLKSNGVPAALASNSPGSNIEAKISCHQGWKESFSAIVGGDEVEKGKPSPDIFLEAAKRMNTNPPNCLVIEDSLPGVAAGKAAGMHVIAVPSVPKRTAEFSSADEVINSLLDVMPEKWGLRPFSDWIDDTLPIEPWFIGGPVIKGFGRGSKVLGIPTANLPAENFSDVLSEHTSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTIEPWLLHDFGEDFYGEELRLVIVGYIRPEANFPSLESLIERIHEDARIAGKALNLPLYAKYTDSPYLRNSLKEDNSANGKQSVIDSK >ORGLA10G0044400.1 pep chromosome:AGI1.1:10:6272987:6273412:1 gene:ORGLA10G0044400 transcript:ORGLA10G0044400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGRWLPTMSQVERPAAPINLAQAQPMEVVSRFPMTAQVERPVAPVDLSPTQPMEAGLRRSWQRDGGLAAENGGGEKEPARTPTMFCRRRSGTVRRRPTSRATVIWWHQRSKAWRRDGGMAMENDAGEEDFARARAVRV >ORGLA10G0044300.1 pep chromosome:AGI1.1:10:6233286:6233884:-1 gene:ORGLA10G0044300 transcript:ORGLA10G0044300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWECRDAKHLNGIFTLLLPCVQLMTNIQVLTALNWYLTAVNIHQVLATSVEGTHDMPPTPISQTIT >ORGLA10G0044200.1 pep chromosome:AGI1.1:10:6225799:6231833:-1 gene:ORGLA10G0044200 transcript:ORGLA10G0044200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLPGTGRVSSLSSSLLLLLLLGAAMAAAPEAAAAAVMPMEAYFSPAELVRIAGYGEELVSTVIVSGKVVCELSLRSPGSDLLSIELPGATVGVACETGGIKTMANSVFTVTDENGNFTIELPSRLHATPNLEKACSVKVLQLPLDCACWPRRSPSYYHGIQLSSSEDGIRSYTTGVIRLQHHDTKSDMSMHHVGTE >ORGLA10G0044100.1 pep chromosome:AGI1.1:10:6222308:6223803:1 gene:ORGLA10G0044100 transcript:ORGLA10G0044100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63290) TAIR;Acc:AT3G63290] MAETALATALDIAELPFSDLLLLISPDLPDDGRRGRLLTTVATSLGRGGSGLLAITNVPLAATLRRRLLPLARRLAVMDHPSRSQLLKKHGLGSDVPMKKLDRRVSSFARLLRHSGEFQLLESMKEIESIKNDPDYLEKALDGVVIGEPMGDGTEKLGELVEELGLCMMELGILVARACDIVTGGNQLEKSITDFGTAKARLIHYHSELDNIIIKNSSSKRKVPINKIAKATAYESCSRRSASSQGSCIRSECAMTDTLKDSNDKSIHGQGSVVSLTNLWQEWHYDYGVLTVLTAPLFLCSTMGEDCSISKECSPPDEHTYLQLFNRRKVFSVRCSPESFIVQVGETADILSGGKLRSTLHAVSRPYGSTNISRETFVVFLQPSWDKKLPYSGHCFAGDDEPSEGDDSTFSDGSDMFSSEHTLMQDILKKIPPLSSRVKEGMTFAEFSRQTTKQYYGGGGIQQNN >ORGLA10G0044000.1 pep chromosome:AGI1.1:10:6211088:6211375:1 gene:ORGLA10G0044000 transcript:ORGLA10G0044000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEEGSPANGHRSSARATYAVGDRLDDSARSNDGCMRRRGFNDGGHCGISGGAEEIDEAGVASDSLRERSEGINEAGNTATFAGNIGDLKFPKL >ORGLA10G0043900.1 pep chromosome:AGI1.1:10:6205276:6207249:-1 gene:ORGLA10G0043900 transcript:ORGLA10G0043900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog B1A [Source:Projected from Arabidopsis thaliana (AT4G17160) TAIR;Acc:AT4G17160] MSCTYAYRFKFVTIGDAGVGKSCLLLQFTDKRFREVEDLTTGVEYGACVVAVDGKNTKLQIWDTAGQEAFRCITRSYYRGNAACLLVYDITRRETFNHLASWLEDATQHASARMTIILIGNKCDLSHRRVVSYQEGEQFAKEHGLLFMEASAKTAHNVEKAFILAARTVHKKIEDGVINLSDESTEEEKHYSCWF >ORGLA10G0043800.1 pep chromosome:AGI1.1:10:6200405:6203742:-1 gene:ORGLA10G0043800 transcript:ORGLA10G0043800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSTIDRSWMYAKNLKRHSTEYRKGVINFMNATEEDRIRRNNDYMCCPCADCKNENMFDSGEDVHGHLIQRGFMEGYTCWVKHEEQESGSGAAADRSGAHNQEDENEHDMFIPSPLGGEIVDVDHDLLQDMLRDVEDPTQNERDGMKFSRLVSDSETPLYAGCKAKHTKLSVTLDLMKLKASSGWIDKSFTDLLGILKAMLPVENILPETTYEAKQVLCPLGLEVRRIHACPNDCILYHKQYADLDACPVCKASRYKRKKSADEGNKSKRGGLAKVVRYLPIIDRFKRIFANPNEAKLVCWHATERRNDDDKSTVQNSGVRIDAFQDQVGSNTYYGRIEEIWELNYVKFKVPLFRCRWVNLRTGVKADKEGFTLVDLSKVGYADEPFVLAKQDEQIFYIKDPSNKKMHIVRDGKRRIVGVDNVVDEEEYNHNLHARPHIDLDDDPQEPVPYARSDHTEGITL >ORGLA10G0043700.1 pep chromosome:AGI1.1:10:6198106:6198804:1 gene:ORGLA10G0043700 transcript:ORGLA10G0043700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPEDGRWGSVAAAPGLGGGRRRSVETPIGQVGIGRAASCSVGVGDVGRPVTDDSSVTGPKIMPDRDRLISIRLELRPVTDDYFSISQ >ORGLA10G0043600.1 pep chromosome:AGI1.1:10:6176353:6177236:-1 gene:ORGLA10G0043600 transcript:ORGLA10G0043600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKESSLVITVDLCCCRSLTKIRKTLCKLQESEDIRAIMYDDKAGTVAISGGFDPLVLPCKLRRKAGSVIKDIHLKKEREKNRVPPPPPPPPPQPAPAARAAFGTICGAGVAPGRACLCLGQCRCHCGGGYGCRCCGAAPPCYCVPIGGGGCSVVQFTYDEPSPACSIM >ORGLA10G0043500.1 pep chromosome:AGI1.1:10:6161457:6162162:-1 gene:ORGLA10G0043500 transcript:ORGLA10G0043500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCTTMRLASSVTIILLLLVASQALVVSGESSSSAMQSKTLNMNKLLNISEDHSPNGGRHWMQRMQPDSCSEQNVVVYQNNAEHLPSGIPTYSVEIINVCTACTVYDVHISCGEFASAELVDPSQFQRIGFNDCLVKGGGRLGPSEAVSFQYSNSFAYPLAVANVACE >ORGLA10G0043400.1 pep chromosome:AGI1.1:10:6158647:6158952:1 gene:ORGLA10G0043400 transcript:ORGLA10G0043400.1 gene_biotype:protein_coding transcript_biotype:protein_coding REKINKATTLKDVVGGVAEVLPVNKLATREDADKVAVAAAQNDRRHASGGRELTRSIQSRSESIRNPIPHRADVFFFSAGKAITDGRDKVCARTHSTKSRSV >ORGLA10G0043300.1 pep chromosome:AGI1.1:10:6147638:6151015:1 gene:ORGLA10G0043300 transcript:ORGLA10G0043300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFPECISAVVLISLSTMIAASSGLSMSNSSNTDLTALMAFKAQLSDPLGILGRNRTVGTPFCHWVGVSCRRHRQRVTAVELPDVPLQGELSPHIGNLSFLSVLNLSNTGLMGSVPDDIGRLHRLKILDLGHNDMLGGVPATIGNLTRLDVLDLEFNSLSGPIPVELRLSHNLRSINIQMNYLTGLIPNGLFNNTPSLKHLIMGNNSLSGPIPSCIGSLPLLERLVLQCNNLTGPVPPSIFNMSRLHVIALASNGLTGPIPGNKSFILPILQFFSLDYNYFTGQIPLGLAACRHLKVFSLLDNLFEGPFPSWLGKLTKLNVISLGENLLVVGPIRDALSNLTMLNFLDLAMCNLTGAIPADLGQIGHLSVLRLSTNQLTGPIPASLGNLSALSVLLLDDNHLDGLLPTTIGNMNLLTELIISENGLQGDLNFLSAVSNCRKLSVLCINSNRFTGILPDYLGNLSSTLESFLASRINALPESIMEMENLHMLDLSGNNLAGSIPSNTAMLKNVVMLFLQNNEFSGSIIEDIGNLTKLEHLRLSNNQLSSTVPPSLFHLDSLIELDLSRNLFSGALPVDIGHLKQIYKMDLSSNHFLGSLPDSIGQIQMITYLNLSINSFNDSIPNSFGNLTSLQTLDLSHNNISGTIPKYLSSFTMLASLNLSFNNLHGQIPGGGVFSNITLQSLVGNSGLCGVVRLGFAPCKTTYPKRNGHMLKFLLPTIIIVVGAVACCLYVMIRKKVKHQKISTGMVDTVSHQLLSYHELVRATDNFSNDNMLGSGSFGKVFKGQLSSGLVVAIKVIHQHLEHAVRSFNTECRVLRMARHRNLIKIVNTCSNLDFRALVLPYMPNGSLEALLHSEGRMQLGFLQRLDIMLDVSMAIEYLHHEHCEVILHCDLKPSNVLFDDDMTAHVSDFGIARLLLGDDSSMISASMPGTVGYIAPEYGALGKASRKSDVFSYGIMLLEVFTGKRPTDAMFVGELNIRLWVSQAFPAELVHVVDSQLLHDGSSSTTNLHLHGFLVHVFELGLHCSADYPEQRMAMRDVVVTLKTIRKDYVNWMVLMGSTAQQ >ORGLA10G0043200.1 pep chromosome:AGI1.1:10:6136096:6138320:-1 gene:ORGLA10G0043200 transcript:ORGLA10G0043200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSALSSLKFVNERNWERGAKERDLGEAEVAASDGGDRGRRPRMLLDEHILATLDVNKGDGLRHTSHEENEDDVNQVDGLRFTKNDQAYHGPIMRSHARKIQQEVNSLFAQLNPNFSENFILPKCSTFVLLRFTPEDIITTPRRIGYVEDDKGYVEEESVHAQPVAVYANKKMVYAAKAQLPRLVRPSQSKDGLHAYMVGKRDKSNFQCIKPHLNIIFQEKVMAFLLKPVQEL >ORGLA10G0043100.1 pep chromosome:AGI1.1:10:6129617:6135031:1 gene:ORGLA10G0043100 transcript:ORGLA10G0043100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G51340) TAIR;Acc:AT1G51340] MNGESLLDRSSSADAAMDDAVPGHRHHHPLSVFLRDARLAFRWDELGREIMGIAVPGALALMADPVASLVDTAFIGHIGPVELAAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDATSSDREKYEINGENEFNVSDSEMEELVSHEEASAAPSKLSFETDSSDVKIEHKRKNIPSVSTALLLGGVLGLLQALLLVICAKPLLGYMGVKQGSAMLMPALKYLVVRSLGAPAVLLSLAMQGVFRGLKDTKTPLYATVAGDATNIVLDPIFMFVFQYGVSGAAIAHVISQYFIASILLWRLRLHVDLLPPSFKHMQYSRFLKNGFLLLARVIAATCCVTLSASMAARLGSVPMAAFQICLQIWLASSLLADGLAFAGQAILASAFARQDHSKAAATASRILQLGLVLGLLLSIFLGIGLRLGSRLFTDDQDVLHHIYLGIPFVSLTQPINALAFVFDGINYGASDFGYAAYSMILVAIVSIIFIVTLASYNGFVGIWIALTVYMSLRMLAGFLRIGTARGPWTFYAAQRMHSHEVVGLC >ORGLA10G0043000.1 pep chromosome:AGI1.1:10:6115346:6122286:1 gene:ORGLA10G0043000 transcript:ORGLA10G0043000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLLLLAAVLVAAEPAAAISTMPMELYFSPGELARIAGYGEEPVSTVVVSGQVACELCLCPGSDLLTFELPGAKVEVACETEGPNTQANSVFTATDEFGNFTFHLPSRLHATPSLENACVVKVLQLPPDSACGLRHRPAASYRIRPSSSLSSSADGFRAYTAGVIRLQHGGTPSGECVQVEDRVDK >ORGLA10G0042900.1 pep chromosome:AGI1.1:10:6101574:6102504:-1 gene:ORGLA10G0042900 transcript:ORGLA10G0042900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VXQGVILSLFSKAPKNSDSRSAKPGSQCTSCRCEAALPVMVVLSASDFCFKNCNLHKASRVLMIPVLYQLLQATISDEFXLV >ORGLA10G0042800.1 pep chromosome:AGI1.1:10:6095274:6096649:-1 gene:ORGLA10G0042800 transcript:ORGLA10G0042800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQSTRGRSTGSGGGEEEESEAPAAAVHAGRISSEDAAARRQNIRGGGGASEEEKRSLRVWSDVLAGGGGAKYSAWRGWMDRVVDGWTPLHLAIQSRNRDITMILLVNGADETRRNKELSLAVTDVLTCAYAVLLSSLRRSWSRGIW >ORGLA10G0042700.1 pep chromosome:AGI1.1:10:6086794:6087734:-1 gene:ORGLA10G0042700 transcript:ORGLA10G0042700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTARMHAWQLLLVAVVISCFHCHLAAHHGGKNVRQPPSSVVVVVGSVHSGSDATKAAVSGAPVAVRCHDGNGRDVFRQQALTDRRGEFRVHLPPEAKSRLASVTSCSVKLLASNAARPCDDAAATSAATARGFRLAATKHNGGDGARVFNAGAFAVHPELCDDKGIFFPPLPLVPEPPNIGGVPIPPNPITPAPPSLVPPVFPTPSPPSILPPLTPQPPPSSLIPPVLPLPLLNPPPPPPPPPSLLPPVPFLPPLIPGVPPASASRSGRPVSTP >ORGLA10G0042600.1 pep chromosome:AGI1.1:10:6064940:6076101:1 gene:ORGLA10G0042600 transcript:ORGLA10G0042600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic drug resistance 3 [Source:Projected from Arabidopsis thaliana (AT2G29940) TAIR;Acc:AT2G29940] GIDHHVAVDVEGEEESRRRAVAEEADLLWAAFERLPSAKRRSHAVVLPDPDGLGGGDGGGRGEGQLVDVRKLDRPGLQRVLRHALATSELDNANLLHGIKARFDAVGLEVPRVEVRFQNLTVSTDVHVGRRALPTLVNFVHDIAERILISSHLLRPDKHKLVILDDVSGVIKPGRMTLLLGPPASGKSTLLLALADKLDSQLKKSGEVAYNGMALDQFCVQRTSAYISQTDNHIGELTVRETLDFAAKCQGASENWQECLKELVNLEKERGIRPSPEIDAFMKTASFRREKHNLVSDYVLRVLGLDICADTPVGSDMERGVSGGQKKRVTTGEMIIGPRKTLLMDEISTGLDSSTTFQIVNCMRNFVHEMEATVLMSLLQPAPETFELFDDLILLSEGKIIYQGPIKHVVDYFKSLGFSLPPRKGIADFLQEVTSKKDQAQYWSDQSKQHIFVSASEMAAVFKESQYGTYLEANLSSSCGNKDSALVLPRSKFAVPKFSLVRACFARELILISRNRFLYTFRTCQVAFVGIITSTLFLRTRLHPVDEQNGNLYLACLFFGLVHMMFNGFTEMTMTISRLPVFYKQRDNFFHPAWAFSLPNWILRIPYSFIEAVVWSCVVYYTVGFAPTVDRFFRFMLLLFSIHQMALGLFRMMGAIARDMTIASTFGSAVLLAIFLLGGFVVPKGFIKPWWDWAYWISPLMYAQRAVSVNEFSASRWSKVSVSGNMTVGTNILISHSLPTDDHWFWIGVGVLLAYSIFFNIMFTLALAFLNPLRKPQSMVPSDAGDGRDVHINTDSNKNTIGEIYENNDGFEGQTECKSKKGMILPFQPLTMTFHNVNYYVNMPKEMQAKGVPEKRLQLLSEVSGIFRPRVLTALVGASGSGKTTLMDVLAGRKTGGYIEGDIRISGHKKEQRTFARIAGYVEQNDIHSPQAFVEEVMALVELDQIRYALVGKQGLTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVNSVDMINYFQGIPRVVPITEGYNPATWMLEVTTQASEERLGIDFATVYKNSYQFRNVENLIVELSIPASGTEPLKFSSEFSQNGLTQFMVCLRKQSLVYWRSPEYNVVRLFFTSVAAIIFGSIFWNVGMKRESTEDILLIMGALYAACLFLGVNNASSVQPVVSVERTVYYRERAANMYSSFPYAAAQGLVEIPYIAVQTLIFGLITYFMVNYERNIRKLVLYLIYMFLTFTYFTFYGMVAVGLTPTQHMASVVSSAFYSLWNLLSGFLIPQSRIPGWWIWFYYICPVAWTLRGVITSQLGDVDTRIVGPGFDGTVHEFLQQNLGFEQGMTGATVAVLVAFSVFFFSIYAISIKMINFQRR >ORGLA10G0042500.1 pep chromosome:AGI1.1:10:6057615:6060341:-1 gene:ORGLA10G0042500 transcript:ORGLA10G0042500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:I1QT92] MAAPPCPPRRPISAPCFLLCFLLGFVAGLFPFAHRHLHLDLHLPLPPPATAILVREDPPSVVVDVDTPLPAAAEERKLLLVVTPTRARPLQAYYLRRLAHTLRLAPSPLLWLVVESGAATRDTAALLRGCGVMYRHLSSPVPDAPQDRPRRRGRRQDRPAVDSRARQRNTALDHIEHHRLHGIVYFADEDNVYSLDLFYHLRDIRSFGTWPVATLAPGKSKTILQGPVCEGSRVVGWHTTDRSKNQRRFHVDMSGFAFNSSKLWDAKNRGHQAWNYIRQLDTAKEGFQETAFIEQLVEDETHMEGVPPGCSKIMNFHLHLEDKNAIYLNGWQTTQNLDVIIPLKKEARPLL >ORGLA10G0042400.1 pep chromosome:AGI1.1:10:6053261:6053924:1 gene:ORGLA10G0042400 transcript:ORGLA10G0042400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-conjugating enzyme/RWD-like (InterPro:IPR016135), Ubiquitin-fold modifier-conjugating enzyme 1 (InterPro:IPR014806); Has 269 Blast hits to 269 proteins in 110 species: Archae - 0; Bacteria - 0; Metazoa - 175; Fu /.../0; Plants - 42; Viruses - 0; Other Eukaryotes - 52 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G27530) TAIR;Acc:AT1G27530] MEGWDKGTKSVVGEIPLLSTRAGPRDGEAWRQRLKEEYRALIAYTSVNKSKDNDWFRISAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGMVKHKDDEAAPADAAAAASGSAAAS >ORGLA10G0042300.1 pep chromosome:AGI1.1:10:5993627:5998226:-1 gene:ORGLA10G0042300 transcript:ORGLA10G0042300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAKDGQAWLGTNGYGSRREEDGVCHDDSATPVRANTVDELHSLQRKPQVVEDRHRLQLQSISASLASMTCGIGPKLVNGDPARKKEMAGKAVTHHQHHITVPTITVSDSDLKFTHVLYNLSPSELYEHAIKYEKGSFITSSGALATLSGAKTGRSPRDKRVVKDETTDDLWWGKGSPNIEMDEQTFLINRERAVDYLNSLDKVFVNDQFLNWDPNNRIKVRIISARAYHSLFMHNMCIRPTYEELENFGEPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLKRREMVILGTRYAGEMKKGLFSVMHYLMPKKQILSLHSGCNMGRGGDVALFFGLSGTGKTTLSTDRNRILIGDDEHCWSDNGISNIEGGCYAKCIDLSQEKEPDIWDAIKFGTVLENVVFDEHSREVDYTEKSVTENTRAAYPIEYIANAKIPCVGPHPKNVILLACDAFGVLPPVSKLSHAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTRYAAMLADKMNKHGATGWLVNTGWIGGR >ORGLA10G0042200.1 pep chromosome:AGI1.1:10:5967615:5971741:-1 gene:ORGLA10G0042200 transcript:ORGLA10G0042200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMGSSGGHRRRNNGHGRHHHHGQPTAPPPPPQQQQPEVAPNRYVFAAASPYPPQYPNPNPPQYYPQYGNFYPPPPPSMPGPLPAPYDHHHRGGGPAQPPPPPPPPQPIHAAGEFPPAMLQQHPHYHGWGGNFSYGPPTQPPAPAPPYVEHQKAVTIRNDVNLKKETLRVEPDDECPGRFLITFTFDATVAGSMTVYFFAKEELNCNLTATKEDLLKPVTVTFKEGLGQKFRQPSGTGIDFSLFEDAELFKEGEMDVYPLAVKAETTFSIGQFSEGEEQKSQTPNSQITQAVFERKENGDYHVRVVKQILWVNGTRYELQEIYGIGNSVEGDTEGNDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTNRCPICRQPVERLLEIKVNNKGEEQQQQQIPQPPPPPSTAPPHQQQESQA >ORGLA10G0042100.1 pep chromosome:AGI1.1:10:5965385:5966262:1 gene:ORGLA10G0042100 transcript:ORGLA10G0042100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDYDKACAVVSLIKSLYVDFVVPNTAGVLVDKVCAAGRLKGVAQFRIRHQVEGINSCMMVGRSAQNIVPILFSFIKNCVITVASALYDIPRSQTLEMAYPYKPLGSIWCFEIWSQESPSILRAGTWLYGRRRQMASSLCEQLAYSLLSVGRTACLLGRIIWKSLVPVRRKFFMFFAARSACLTADNLQCHGWHLALVYHLYSNDGETCQLIL >ORGLA10G0042000.1 pep chromosome:AGI1.1:10:5962003:5964471:1 gene:ORGLA10G0042000 transcript:ORGLA10G0042000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPGSEEVPSTGEGRPELHDGGGVGREQRGGRGEGEENGQKLINEEWREVVERFGRGYVRNLTTFDLDSIGMGMDIRINTGLLSGSLYVIPKIQGVTLTKDNLAKRKWKGGYYFSHPVDSHLGYPPQTGSSDTITVVIATFGPEAGVGSVGMYHLGVFG >ORGLA10G0041900.1 pep chromosome:AGI1.1:10:5939008:5947580:1 gene:ORGLA10G0041900 transcript:ORGLA10G0041900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKVLVRARAVPSAPPPPFILRQLSFPAAVPALDLDILCCRLSRRHRLACLPQPPSDVLVVYQRCQSCAAAEAVSGIAEAFEGAAVGEEEEVVCSGSLVAKAVECDLRSLMLEHGWRCLGESVYVLSTFADTKERTDQCTVNVEVKLGRNDDIEFAVSPDALWFTTPKFSDFVSSDKMETFENGKEVILDYCNFRTACTTLPTLQEGHVIGFSMTLPTGQCLDKFMQLCSLKHGLEADYSHYAAVRFGYESSHEIWLPCSFVLQGSGLQPAPKSSRASRAMCALQSFMGLLNAWNFFGQNHLVIKEQLLLNSTATLPTWDKAMSSARTNNSEDLRLVHTNILTNDQSLALDFRTPKPAVLCSTSIKPWNTKVQMTSLSLDNDDTGNRKGSINYDCQTQCIVRTNLCKSQVALLKPSFSRGKSEQGHKRKHSSEHSDADNSDKLRHTSLTNSTLVSGGHKRKHAESLDRNCQEVSKVHLQDYSQKENLDTSRKILKIVYLKSQMRLEQYQVSRMICLALR >ORGLA10G0041800.1 pep chromosome:AGI1.1:10:5923585:5923785:1 gene:ORGLA10G0041800 transcript:ORGLA10G0041800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTPKFGKPEVIIGLESVSALESWNRPMGVVMSPAVVFLMELDQLKEIYLEESEFKEDASWQVIY >ORGLA10G0041700.1 pep chromosome:AGI1.1:10:5896656:5897117:-1 gene:ORGLA10G0041700 transcript:ORGLA10G0041700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIEGTMRTTGSAGWRQDIEAAQDRCSVELDGXSIVLVEVAANRELNVDVGRATSSMASCGTLNPTQRFEITFHGGELDLT >ORGLA10G0041600.1 pep chromosome:AGI1.1:10:5889505:5893200:-1 gene:ORGLA10G0041600 transcript:ORGLA10G0041600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQRREAAARRRREQQQQGREQRHHHHHRRRPLLLQPRDERCVSCTTFNILAPIYKRMDSENCRESQYRAYWFSRNEKIIDRLLADCSSIICLQEVWLGNDELVDMYEKRLGDANYSLFKLARTNNRGDGLLTAVNKNYFHVLNYRELLFNDFGDRVAQLLHVESAMPFWQNRSSSCIQQQSLIVNTHLLFPHDHSLSIVRLKQVYKILQYIEAYQEEHKLGPMPIILCGDWNGSKRGQVYKFLRSQGFVSSYDTAHQYSDSEEDAHKWVSHRNHRGNICGVDFIWLLNPNKSRKPLKTSWNEAVFGIIKYLLLQVASLSEENAFALLKADSPDDQITYSSFCQALCQLGMVHPDRLNSEEIKDLWSEADHDGDDIVGYKEFQRCIWSPTCCSQEEEDDTEIDISDGSLVTFEANDEAFGFTVKEAVLFPPEVEKGMWPENYSLSDHAPLTVVFSPVRMPCSPRTPRTP >ORGLA10G0041500.1 pep chromosome:AGI1.1:10:5845527:5845877:-1 gene:ORGLA10G0041500 transcript:ORGLA10G0041500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLRRTPAMPVRSADLPCACAAGAPATSSGSVSATPLCSVSCRRSSVLGHLLLEDYMTGHDNDEFDDESISNTETTKLYGHEEVVTWFARPFKGKNIVESDHSPIPSLLDPIVTLFA >ORGLA10G0041400.1 pep chromosome:AGI1.1:10:5843860:5844524:-1 gene:ORGLA10G0041400 transcript:ORGLA10G0041400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAPSTPYRHMLETLHGLGRAFEARRIAGVREEPRLREQDFGNFQDVDKMRQQRRRRQCARRHVPQMQQPRGRALDVRGGGCAEHHHVDHRPVWAQPTRARRGGAGAIRPDDAVTQFEGLANLSNDGALVMQTGAGGRYSLLVHHSVEELRVFGLTDDMIEDQKFASTPSSSILLNRWR >ORGLA10G0041300.1 pep chromosome:AGI1.1:10:5825059:5825642:-1 gene:ORGLA10G0041300 transcript:ORGLA10G0041300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKARKPSARSALHPLHRAHQISRAAPACPRPFRRHVHLCGRWPSKCSGNGNHVWRSLGSGGRNADVVAVAAALAAQIEQIGGRREAEQLAVFFHFSQIGGGAVEGEPAIGSTRGGDRRGCGAVVGRRRRGRRKNHVAYGREAI >ORGLA10G0041200.1 pep chromosome:AGI1.1:10:5800379:5801329:-1 gene:ORGLA10G0041200 transcript:ORGLA10G0041200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEAAVWRGHGSSNITRQILKCTRWQLEETTDFITCPYHYYCDSAYPGDYSPAVGALVAAFAAYCLVSAAAFAVLDIGRAGGGGGRNRRKYMVPSGPFLLPVVLLALAKGQRINAVFPLAQLGPALLLTLQASALAFRNEADGDLRYAVLEASTVSGILHASLYLDAVVLPYYTGTDALRWSRFSGECATCLCRMDPLVVGGRTVLYRGLSKTALAIIFALCSRMVCRIYGEERVSAWTRSALEAASWVFVAGDAVYLAGWAFAEGAAASVAAYALVAGLVFLCIFGKVYKFLAWMETRQVQWKPSVSCHSNVV >ORGLA10G0041100.1 pep chromosome:AGI1.1:10:5788523:5788851:-1 gene:ORGLA10G0041100 transcript:ORGLA10G0041100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNEPTNVNENXRTNMNENKVNERERERCRTNVKEPTNVNVKCNYRQVNERERTNVEKTLSELSLKPEW >ORGLA10G0041000.1 pep chromosome:AGI1.1:10:5774228:5780978:-1 gene:ORGLA10G0041000 transcript:ORGLA10G0041000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMKLQHLKYITDQFSPGRELGKGGFGVVYKGILANGKPIAVKRLQVMPGIQDRQFNNEVHHLMGLKHQNIVQLIGYCDERQEKVIYDEYQKKNICAEVQERLLXYEYMANGSLDKLVYDQSHVLEWHDRYAIIKGICQGLCYLHXELENKPIIHLDLKPSNILLDDNLLPKIADFGLSRLFGEEQTRTCTTMVTGSIGYMAPEYCHKGEISTKSDIYSLGILILEIVTXEKNHQSSVDLSGQRFIHSVRNKWSRMSKITSRYPLLDTHSLQQVHSCFKIGLNCVEIDPKRRPPARKIVNMLPWECKKAEAMASMLLLNVSNGRFTSSVVDKESNVTGLPAHQVDSNMKEILSVNPLELWFPMKAQEEFSCLMLLKNKTHHYVAYKINAQKLNIYRIEPCSGLISPQFTCNISVRMQAQQGVSPNMQLMDRILVQSVVVSDDLIDIAKDLSCKQKGKLVLKGPDKIMSKIYVNIRKTEALDENKPICGTTEGIGNSHHDNKLSGLSIMKNSSCDDYAINGMRIYVKIPSIAKTIKLIVKNSNSVADVKVEIERKEGILLDNQMLMYAGRQLDDRQILSHFGLSDDQILHVLICLFEKLRIFVNISNRRTVRLDVESWYTVADVKLMIEALLGFPACTQLLMWTNPSVDIELMDTETLKDQNVKNNTVLLLHPNVQIFIKSWEGRTLTTLVSMFDTAEEIWKKIKNRSQIKAEKYYLCYRGHVLPPGVSPDMYKIESNSTISIRLRNSYRKEEPGRITLRNQFIXLHCKVVSWNGIRRENMPDKLKPLLKIPFSTH >ORGLA10G0040900.1 pep chromosome:AGI1.1:10:5726860:5734909:1 gene:ORGLA10G0040900 transcript:ORGLA10G0040900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1QT76] MDIVGAGTSPAAGWKAPASMVLVQLFNSGMILLSKVSISGGMFVFALLSYRSVFGAIFILPFALIFERGKWRDIDWSATGWIFFNAFIGYAVPMSLYYYGLKDTTPSYSVIFTNIIPLFTFVLSLVCRLETFKLRSKAGLLKIVGVLVSVGGTMLVSLYKGKSLHLWNSILQHQKEQQTKSATNQLRGTIFLAGSSLTFACWYLVQTAFVGIILRRDKNAWKLGWDLNLVTVVYSGALATAGKYSLNSWAVAKRGPAYPPMFNPLSVIFTVVFDSILMGDDLTVGSLIGTAMVIVGLYLFLSVKA >ORGLA10G0040800.1 pep chromosome:AGI1.1:10:5690625:5698110:1 gene:ORGLA10G0040800 transcript:ORGLA10G0040800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLQGCYAVSSWPRLPRLPPWPCASHAQRRRVLRLLPPRRRCAGAVRVVAEAGPALAIDRVAEEAGVRFPGDVEGVPGQQQQREEEEDAVDERERLRRMRISKANKGNTPWNKGRKHTPETLQRIRERTRIAMQDPKVKKKLMHLGHAQSEETRIKISMGVRRGWNLRLQKLMIQDGCFVEWRDMIADAARKGFAGGISLQWNSYKILTEQMRQEWLEKVQKRRSMPRPTGNRRAPKSPEQRRKIAEAIAAKWLDKEYRERVCSGIASYHGTSSGTKVPRKPRSAREPGSKRDAAKKKPIQSRSAGLEDTRGTTPTVKRKKSATPYKDPMAGEKLEMITKIRAQRAALEIEKKEAIKRARSLIAEAEKAANALETVASTSPFAQASLIEARKLVTEARLSLQHVDDEGPADSASDDASQDSGASDLHNHDVANQNDVIKQENKPVNGMELPPSNVNGRDFYFDVSTLTETDHLRDYQRIENSMERAYLLPSASSAIQDVNGNHRMKDFNAHQLMVNDESITIDQIASEVAEIYPDEPQEDDTLPVQKSKMRWVRGRLVEVEE >ORGLA10G0040700.1 pep chromosome:AGI1.1:10:5660978:5665545:-1 gene:ORGLA10G0040700 transcript:ORGLA10G0040700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRPPPLILLFVWSSPPLLDRRWHXESKXGHEGRVGQPRPVPTTRELRHTQTRPREAAVHVRGGAAGWFVCEINTISFTPAIRSQDAIGCGYSLTGIQRIKMNGALWIPILPPPVVDSRYLGLCLQCGRRIKGRSNLCSISCRLLRVHTGARRGMAQNLVEFAESVGQPIHQLDQLCGQCLRSFCGLSCPNHLVHPHPQGNHAAGPDIITIERLNGWLVIDQEQLPVEFGQDIHVMVGEDGRHMLPIKRLPAEHXDGHDGLVEPDWNLCARAGCNEMFNGNAMCCCMRCFHLL >ORGLA10G0040600.1 pep chromosome:AGI1.1:10:5650160:5651782:-1 gene:ORGLA10G0040600 transcript:ORGLA10G0040600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGCHQSPYCGGGRGWLSPRCRTSGGYQEYDTGGGGASLTDDVLAAIFTRLPNAADVVRCAATCRRWASVVAKEADALSRALPPLPGLALGLFNQDRQDTAGAATTNTRKRKRRSTGLECSAPPCFVPTASGARLLGFNLPSTTALRSGLQAGGQHGHGVLDLSHSRPIASRNGRLVLELQSEGHVDRSLRLCVCNPMMEDVAVLPTLLGNDRPKIYACTLLTGADLDLDRPRHASSDFFRVLIIYNRDRFTAFRSYSSDTCSWSMETKKTSGPKLTNWDEWVRECSVTSTRLKVKSAADINLRWFCENSGILLFTLGRGSSNPGTFAMSLATKEVEKLHDSVDCSSWRNFVGYEMDGVPESLSAISMQARRLHALLRATGRRIGVAVS >ORGLA10G0040500.1 pep chromosome:AGI1.1:10:5639903:5640322:1 gene:ORGLA10G0040500 transcript:ORGLA10G0040500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQYKLNSDRDPNRSPTGLGGSSDGSYIFVRGVTGGAWEYGEENARGEEGRAGAIDYFLYIDTRYFARVPSTSQRFLPLRSASSGNNL >ORGLA10G0040400.1 pep chromosome:AGI1.1:10:5618154:5622310:-1 gene:ORGLA10G0040400 transcript:ORGLA10G0040400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPNCPSGQRIYQPSFKRDYPLAQEVSEYRSRLLHKIHLSYCKALERLSLRVRPRMAASFLVGGGGFCLGLLDPVSNIVANTLFSYGRAVGETRSECDELVYIPEEKLRDLEHRSLDGMVTFLTRFFPYLADAEAVRFLLYAEADLLVAARIVAFDIGMRRFGSSGPDIVNEALEMALKCAALAAKHPNPDRLVADWLATITRLDDAVRHLADVHRRSPQSSLDKLAELLDEGSPPAVDDDRWGPWRLVDSRLPPPRSVPYWQSPALKATLQDAIHGFYLKKLPRLPAGELRRRFHRSLLEAGHCYGPFDPVSNIIINTIWYDAAFPPTFELELDVIGTMGLHRIENRSLYGIVSFLCTRYHHIDFNQAIKYLVNADGYLLLADLYLDDEAAGFTTTVDSPPLTGLEEAFMAAATAACHPDPDAQAKVLLLCSSGQMLEDASSLLHGGGQLSSEDVQLLVRLLCPEATCSKQPLRPFPRPEYLFAHTRMSKKEPMYELHTICGVNNCVSGPVGTDAKCFRSHVNFLATPKGTPFSTYSNPVLFFAEVSNDNKAEAGTQSFCCLVSVPLPCAERVRCLYCDDMGIKIVHPIGVDFHGRKLEFEKMVCGEDPCNDDFDPESMQPYYTNMSIIEHSSLTTDRVNGRVEEDRLYSDEYDSDDLSLMSDEYDSDLCSMTDEYDIIYPKIVSCCRHY >ORGLA10G0040300.1 pep chromosome:AGI1.1:10:5610685:5610948:-1 gene:ORGLA10G0040300 transcript:ORGLA10G0040300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGWGESGGGDLPRLQGDSSSRNKAKSRTTSLQPGSASEHVAASSAAAVETGLEFGKVDGEAHTPTPMRLARHQQLFCVHQAMMR >ORGLA10G0040200.1 pep chromosome:AGI1.1:10:5595377:5606337:1 gene:ORGLA10G0040200 transcript:ORGLA10G0040200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVCRHLAAADAALAVTVVVTEEWHALLQSAGVPAALPDRVGFATIPNVIPSEHDRGADHIGFIVAVHTRMAAAVERLLDRLLLEWKRRPDAVVAGTXLAWVVAVGARRGIPVCSLWTMAATFVXALYHFNLWPPVDGSESEQELSCRSLEQYVPGLSSVRLSDIKTFRASWERPMKIAEEALVNIRKAQCILFTSFHELEPEIINRIAETVPCPILPYTRSALRFRTCHGTATTQARLATMITVAGWMHGRRTPCCMSRSGATSRCRTRSWKRSPWRCATVEFSCFGWAETRLIACSSRLVVTMAWWCHGVSS >ORGLA10G0040100.1 pep chromosome:AGI1.1:10:5574348:5578273:1 gene:ORGLA10G0040100 transcript:ORGLA10G0040100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPALLPLALALVAIPIAILLFNRIRRGRLPPGPRAWPVVGNLFDIHPVRCRCFMEWAGKYGPIMTVWLGTSPTVVVSTSELAREVLKNNDQQLADRPRNRSSERFSRGGVDLIWADYGPHYIKVRKLCNLELFAPRRMEALRPIREDEVTAMVESIYRAITAPGEEGKPMVMRKHLSMVAFNNITRLTFGKRFIDAAGELDEQGSELKAIVNNGIKIGASLTIAEHIRVLRWLNPVDEELYNAHSARRDRFTRRIMDEHARELERHGAKQHFVDALFTLRDKYDLSDDTVIGLLWDMITAGTDTTVITVEWAMAELVRNPRVQMKAQEELDRVIGHGRVMLEADIPNLPYLQAVVKESFRLHPPTPLMLPHKASTSVKIAGYDVPKDASVVVNVWAVARDPGVWDNPLEYRPERFLEESIDIKGSDYRVLPFGAGRRVCPGAQLGISLVASMIGHLLHQFTWALPDGTRPEDLDMMESPGLVTFMATPLQVVAMPRLDKEELFKRVPVDMS >ORGLA10G0040000.1 pep chromosome:AGI1.1:10:5561938:5562120:1 gene:ORGLA10G0040000 transcript:ORGLA10G0040000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGHLLHQFTWALPDGTWPEDLDMMESPGLVTFMATPLQVVAMPRLDKEELFKRVPVDLS >ORGLA10G0039900.1 pep chromosome:AGI1.1:10:5527830:5530556:1 gene:ORGLA10G0039900 transcript:ORGLA10G0039900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTFTSRRSEPVLLRPARPTPRETKQLSDLDDQRTLRYYETVVGFFRRCDGGAAGAVGAPADPAKAIRAALAEALVYYYPVAGRLREVAEGGGAGNRLVVDCTAEGVVFVEADADVRLEDFGQPLLPPYPCVGELLCDAGDTRAVVGKPLLLMQVTQLKCGGFVLGFHICHNIADGFGMAQLIMAIADLARGEPAPTILPVWRRDLLTAARLGGGAVARTPFASAAAASASASSPALQNGARRAAAAADAMLSTPPDRMVVEYFLFGPREVSYLRGQLPAHLADSTTVFELLTAVMWRCRTAALGYGPDLRVRLMITMNARGRWNAHTPLPRGFYGNAHVSPVAEAAAGDLLGRPLADTVELVRRTKRGMTRERMSAMVETVARLREWPPSSMDRVYEVSDIKWTTVNLLKFGWAEFAGGGIPLAGDLTSKLGSDHTRCKNSAGEVSTVVSMLLPRVAMARFKKEMAVLLNKDDKKSLTIMSSL >ORGLA10G0039800.1 pep chromosome:AGI1.1:10:5490137:5490713:-1 gene:ORGLA10G0039800 transcript:ORGLA10G0039800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILFPLSFSFTQKGIEFPKFLQTAVVVGRSVAAGHRSSSPRATRLFQSRMNILSENQQPLRVRSTWGRNYLKTFLLFRHDNRHPTFLFFSLCLCSWFTVEEEQY >ORGLA10G0039700.1 pep chromosome:AGI1.1:10:5488347:5489713:-1 gene:ORGLA10G0039700 transcript:ORGLA10G0039700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHKAINPSPRRCMKGRNSTVLTSSCSRLICSQALPVVFLSYFVFGSFVCRECVWPVGP >ORGLA10G0039600.1 pep chromosome:AGI1.1:10:5478930:5482950:1 gene:ORGLA10G0039600 transcript:ORGLA10G0039600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1QT63] MEGQGLVSRSWQESKLLWRVAFPAVLVELLQFSIGFVTASFVGHLGVVELAAVTAVESILEGFAYGVLFGMGCALDTLCGQAVGAGRLDVLGLYVQQSWIVCGATAVALTPTYAFAEPILRSLLRQPADVAAVAGPYALWSLPRLFAHAANFPLQKFFQTQSRVWALAAISAAVLAVHAALTYAAVVRLRYGLRGAAVAGNLSYWLIDAAQFVYLVSGRFPDAWKGFTMTAFSNLAAFVKLSLVSAIMICLEFWYYAALLILVGLLKNARLQLDIMSICINYQFWTMMVAMGFSEAISVRVSNELGARRPKEAKFSVAVASLTSAMIGAIFMSIFFIWRTSLPSLFSDDKEVVDGAARLGYLLAVTVFFGNIGPVLSGVAVGAGWQILVAFVNIGCYYLVGIPFGVLFGFKLKLGALGIWMGMLTGTLLQMAILFFIIMRTKWEAQAILAEKRISEFGETTAND >ORGLA10G0039500.1 pep chromosome:AGI1.1:10:5450538:5450958:1 gene:ORGLA10G0039500 transcript:ORGLA10G0039500.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDPNRSPTGLGGPSDGSYIFVRGVTGGAWEYGEENARGGEGRAGAIDYFLYIDACYFARVPSTSQRLLPLRSASSGNDL >ORGLA10G0039400.1 pep chromosome:AGI1.1:10:5446009:5448597:-1 gene:ORGLA10G0039400 transcript:ORGLA10G0039400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSDDGGHPSFLPTPLLSRVTTLPPPAPSSPPHPIPPLSFRHLFPCARWSAWVAAALRDPAFAPLLRSAGIADAVGASAATVNPDRGALAALLSFWDPASHAFRLPAGAATFSLEDALLLAGLPPTGAPLDRPLTPEEDDLRIRLVIEKEKIRELHPCARDARRVSAELWLEWFDSSIRPGEDDELRRLGFLAYWLAFFVTPRLRPRSGELPDCTFALAARLSLGERIALGPAMVANLYADMDRIVASGVMEGVSGRVETWGPLLLLQVWIWERFDCLRPPPLKAPPFPVSNARVHLWSRRKRTTTSEEAQQVFQDEACFLWRPYQYNSLNWTQPEWFNEKTTTASSESKHKPKWLEDYSAMITQAVLTGWFGDGMANSVMYNPHLVARQFGYDQDFPVSIIHGSDSSGIEVWVPSIGRHGVASKDYAAWWNAHFERHQEGNQHGCGMMLNKENKASTLPLNTDLISVVQMAVDQFREGTKQENSKCMTKRQLTQLGNVAPDNEWNQVVLGLSAYDFDRSQNAVKRKDAIKKIRDKSTDVNRKKKKNKVFANEGGECPQFYDWVPLTVSDNENNSLQLDVQERSGPQENSNSSSKRCDELAQVDNDECIVLEPPAKNCEVINLDDEEEQSVPNSKHHDRQLVLELEEFVRSGLLSQREECSDEDEEDRRNREILKDNKDDPFSEAARREYPLFFEFIPQKPHYRGLLNNVEALGDLAYSGLWFLLVGLAKEVLKTSCDTDASEIVCLMKKVQELEQLGFNVKHLIARLKEPQSRLRLLQDSITRLEDARKKEHEANRLQSLSSHLSKLKHNIQTMEWHLDAKNQASSSSIFSLEKEVEAAEKYCQAMKDEVVALKMNHSNL >ORGLA10G0039300.1 pep chromosome:AGI1.1:10:5434142:5436284:1 gene:ORGLA10G0039300 transcript:ORGLA10G0039300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cinnamyl-alcohol dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G72680) TAIR;Acc:AT1G72680] MAAECGSGNCDAWAARDPSGILSPYKFNRRVVQSDDVSLRITHCGVCYADVAWTRNILNNSMYPLVPGHEIAGVVTEVGADVKSFKVGDHVGVGTYVNSCRDCENCNSSLENYCSQHVFTFNGVDTDGTVTKGGYSTHIVVHERYCFKIPDGYPLEKAAPLLCAGITVYSPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVISTSESKRKEAIDLLGADNFVVSWNENQMETLKSSLHFIIDTASGDHPFDPYLTLLKVGGVMALLSFPSEIKVHPANLNLGGRSLSGSVTGGTKDIQEMINFCAANKIYPDIEMIKIDYINEALQRLVDRDVRFRFVIDIENSFK >ORGLA10G0039200.1 pep chromosome:AGI1.1:10:5401697:5415346:1 gene:ORGLA10G0039200 transcript:ORGLA10G0039200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIGPFLLAAMAVAAAVAAESETATTVPVAALPPLPALPVTAAASTLQPGTASCMDDLMPCATVSDDPTMLTPCCEAVAEVLKSDPECLCKVAEMSRNNTRKLASVSNNLDSDQQLFAQCKITDVSSDVCHKDKGHQGGHNETDTPAGDSSTDSQAKNASPPSRLSEAFRILFLLQILFIFGV >ORGLA10G0039100.1 pep chromosome:AGI1.1:10:5376743:5379399:1 gene:ORGLA10G0039100 transcript:ORGLA10G0039100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIRSFLLAALAVVVAAATAAAAGLPPLPSTMPADVPQPEIPPCLNDLMPCASVYDDSSMLGPCCDALGKVFKSDRACLCQIWEMARNDTRQVGSNALDGDQQMFARCKIPGASSTICDNGQAGHGTSAGDSSTGSQARNASPHSRLTEAFRIFLLLQILFILGV >ORGLA10G0039000.1 pep chromosome:AGI1.1:10:5365208:5366215:1 gene:ORGLA10G0039000 transcript:ORGLA10G0039000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPKKDGVEDNQEDDTFSRLQLLAQQRHAMEKFWRMSQEQIEESAGNQELILPISRVKNIIRAKEGGMMLSADTPAFVTKLCELFVQELILRAWVCANSHNREIILGTDIAEAITTTESYHFLANVVRGHQALGSNIREIGVSAWKRHKLDEMTSLCHPPQAVQVTDLANHPPNIPVCPPIGQSGTQHTTSTHVLMMQGESLHKASKEKSPLNEVMVPTNKVGMTNSSYAVPNGGGATSSKVAIDSQKGETAQVFSSQHACPSLEDNYVIPISAGHGDSFRTLDEANIPQLHQEQKNFISQDAIVGENIPLNESLEKSKHMDEDLLFPDKDLRE >ORGLA10G0038900.1 pep chromosome:AGI1.1:10:5349565:5350095:1 gene:ORGLA10G0038900 transcript:ORGLA10G0038900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKVSLAAVLAVAISMAMAATTTTSAQNTPQDYVNLHNSARRADGVGPVSWDPKVASFAQSYAAKRAGDCRLQHSGGPYGENIFWGSAGRAWSAADAVASWVGEKKNYHYDTNTCDPGKVCGHYTQVVWRKSVRIGCARVVCAANRGVFITCNYDPPGNFNGERPFLTLDAAAK >ORGLA10G0038800.1 pep chromosome:AGI1.1:10:5333402:5333788:-1 gene:ORGLA10G0038800 transcript:ORGLA10G0038800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSLELRRRWPQALSLVLPTPISTLHTTILSSSCAPSATTPRASSSVAVASLATVLAGSGYARVRLHGRPRQQLCPLPDLPASVLAGGGYARARLRDLPRRWPCPSATVLVGGGKDRWLYVDWFS >ORGLA10G0038700.1 pep chromosome:AGI1.1:10:5328501:5328719:1 gene:ORGLA10G0038700 transcript:ORGLA10G0038700.1 gene_biotype:protein_coding transcript_biotype:protein_coding APSSPAGELEGPRTARRAPWPTGERAGVAPSSPAGAAPVPQPSVCSPCEAPLRACRLPRASFLARRRPPFHW >ORGLA10G0038600.1 pep chromosome:AGI1.1:10:5327911:5328489:1 gene:ORGLA10G0038600 transcript:ORGLA10G0038600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLLSSSLSLLSLLPFPLSLSLSQAEDGAGGRRSRRAPAAAAGVVGAAPPREGEQRGGALEDTIDAAIHVAAADHLDALRARWDGIAKRLYTALVILPPPLATAPQAVYAALSPPNAVLRLLASLPCAPNSFMLNTTLSALASSPDPASTLGLFSLSAVTAATAAAAAARTRLTPSRARAYGKGEEERKS >ORGLA10G0038500.1 pep chromosome:AGI1.1:10:5317627:5320343:-1 gene:ORGLA10G0038500 transcript:ORGLA10G0038500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVLVVALAAVVVVQAAMAQRPGMPPAVVPPSLPTTTPPAPAVVAPPLPTTPPPAVVAPAPPLPPLTPPPAIVPPALPPPPPLPAIVVPPALPPTPAIAVPPALPPIPAIVPPSLPPTPETNLPCVAELAPCSEFYRNSTAKPTGACCAPLKKAYESELGCLCSVLTNPTMAATVGVDTKKGLDLFGRCGVKVPADVCSSHAPAPVRAYNFVKYPSFRXFRKVLVRNCSSQQSSHSVSKLSSLCHHQQMRRRRRSLFDHAAXTLQQHAVLLSPVHPPWPYKCTIATYPLSSSLRSLRLTLSRGNKGILQEYSTAQSSITPSLISSTSCIQVWYCMHLFXCQRTXFGTQLMRIHT >ORGLA10G0038400.1 pep chromosome:AGI1.1:10:5311801:5314725:1 gene:ORGLA10G0038400 transcript:ORGLA10G0038400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1QT51] MKAAAMEEPLIAGSSGGGGAAEKNGGEEEGLVVTEVKKQVYLAGPLVVGMLLQNVVQMISVMFVGHLGELALSSASMATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHHMLGVYKQRAMLVLALVSVPIAAVWAFTGEILLLVGQDPEIAAGAGSYIRWMIPTLFVYGPLQCHVRFLQTQSAVVPVMLSAGATAANHVLVCWLLVHRLGLGAKGAALANAVSFLTNLSVLAIYVRLSPACRRTWTGFSGEAFRDVLGFLRLAVPSALMVCMEWWSFELLVLLSGLLANPKLETAVLSICLNTNSFAFMVPLGLGAAISTRVSNELGAGRPQAARLATRVVMLLAFLVGTSEGLVMVLVRNLWGYAYSNEEEVADYIAKMMPILAVSILFDAIQCVLSGVVRGCGRQQIGAFINLGAYYLAGIPVAFFFAFVCHLGGMGLWFGILCGLVVQMLLLLTITLCTNWDKEAMKAKDRVFSSSLPKDLAT >ORGLA10G0038300.1 pep chromosome:AGI1.1:10:5303903:5307033:1 gene:ORGLA10G0038300 transcript:ORGLA10G0038300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitroreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G02020) TAIR;Acc:AT1G02020] MLLLRHHPASLRCRVRLDSAIHRLAMSTAASASAPPASSAASDYHCRTKHSLTAGYARGPGRLDWANQPNPFLRFSPPSQIPLPNPPPVIAGIPYPSLFHSPPPPPSPQPLSVNSLSALLFHSLALSAWKSAGVSTWSLRVNPSSGNLHPTEAHLLFEHPRDPGRLVVSHYAPRDHLLEVRSAAPLADFPALLPPPATAVLALSSIFWRESWKYGERALRYCNHDVGHALAAVAVAAAALGWDVRLLDGLSDEDLGYLVGVEKGAPPPPDGLPDKMVRGKAPWVERQHPDCAVLLFPAGSEPKVDYGAMSAALRGFDRLEWVWKANALSKDHVVWDVIYHTAEAVKKHGPAPGESFSVNPWRRNAVLSDGLYKELTAQEVVRRRRSAVDMDGVHVMGRDTFYQIMLHCLPSGDVSPEELQGPPSALPFRVLPWDAEVHAALFVHRVSELPKGLYFLVRNEEHFDMLRRAMRQDFEWERPERCPDGLPLYRLMKGDCQQIAMQISCFQMPFMQDIASHGCFSLGMISRFELVLHEKGEWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCYFDDAVHEVLGLKDLEFQSLYHFTVGAPVLDKRIMSLPAYPGPGIDA >ORGLA10G0038200.1 pep chromosome:AGI1.1:10:5291736:5294470:-1 gene:ORGLA10G0038200 transcript:ORGLA10G0038200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGVLQLWNEWGVQMLVLASFALQVFLLIFGGIRRHSSSSVLMFFLWSAYLLADSTAIYTLGHLSVDGRSDEHELVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAVYVIYTYIVGSGTDLLMASVSMFIAGLLKYGERIWALKCGNISSIRSSISTRKFKTDPYELLALGTSEEELLLGAHSQFDICKGVFADIIMLPNPSLLSRSKRRSVISYLGMDLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFVSLFGTATTFLLFQLIISSSRGDGYSREDVVISYVLLVGALVLEIISVCRAVLSTWTCSLMHRRGRAWEWPLHIITSFSRRVHPASRRLWSGSIGQYNLFHLCARNTNEIGSRLATRLGLQDWWNMMHFSGTFSNTGSFSIQDLKKLVLQALEEKERALQYKDTDLNSRGSFILKSMKAYDDFARWSVNIDFDESILVWHIATELYIRRSKARHAKELVEATEVLSNYMMFLLVVKPNMLPGAARHNIHLPSCEQIEGHCRMGFGGEKDNPVAASPISWNPYCMLKELLHHDGPSCSSIPRREKLAEIVWSFCQFALGSVKAPNPHGESIRDSANMYSVLLANELLGIESRWCEQRDTLELILGVWVEMLLYAANHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGASRGADELYESNPSMRTVRSWSRSLS >ORGLA10G0038100.1 pep chromosome:AGI1.1:10:5278463:5279139:1 gene:ORGLA10G0038100 transcript:ORGLA10G0038100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAEQIASTLLNSRCRAPRRGGRKVTINTKLVKKRARRERLDISFPQPFGKVCGKHAKLFKSEVTVIVRNHVPLKAKKWKTIEKQHPGTMANIWKKLKDAFPELRNEDEDCAMKQVEEQYTNRRYRLHCLHRNKKPRPTHVSPEDWAWLIKHVWTDEDFQVLILF >ORGLA10G0038000.1 pep chromosome:AGI1.1:10:5271297:5273521:-1 gene:ORGLA10G0038000 transcript:ORGLA10G0038000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1QT47] MPLVSAAAGCQRGPVPFKDVAAVVAGDDGAAAAMAHRPLADPEDEDHDELIPTLPCKPPTPLMRRMRLYRGGWFPEKWLPAIMAFRRRFEARDGDVVVASLPKCGTTWLKALAFATAARGTYPPPPVAGGDDEGNRRHPLLRLNPHECVPFLESVYSTMEEESKLDATPSPRLLSTHLPYSVLPASITDSSRCKIIYVCRQPKDMLISFWHFINRDKSRDVSSSYVWESVRECTYFGSPIWDHILGYWNASKVKPDNVLILKYEDMKRNPTENVEKIAEFIGQPFSNSEKEASIVDNIVELCSFEKMKALGASMAGSQKVISSEFPNDSFFRKGAIGDWVNHITPEMAESLDKLLSEKFDGSGFSFM >ORGLA10G0037900.1 pep chromosome:AGI1.1:10:5265081:5268872:1 gene:ORGLA10G0037900 transcript:ORGLA10G0037900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLIKIKDKKKEQAASAAGKAPVKKQSAGELRLHKDISELNLPKSTSISFPNGKDDLMNFEIIVRPDEGYYLGGTFVFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAAVLRDNPKLFEANVKRAMAGGYVGQHYFPRCA >ORGLA10G0037800.1 pep chromosome:AGI1.1:10:5253063:5257163:-1 gene:ORGLA10G0037800 transcript:ORGLA10G0037800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMVLPVANATAAALARVSAVFNAPLARAVVFGIHIDGHLVVEGLLIAAILFQLSRKSYKPPKKPLTEREVDELCDDWQPEPLCPPIKEGARIDMPTLESAAGPHTTVDGKEVVNFASANYLGLIGNEKIIDSCVGSVEKYGVGSCGPRGFYGTIDVHLDCESKIANFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASILEKLTHGNKHTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPVEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAMSAVNHLEENPSVLANLRSNIALLHKELSDIPGLEIASNILSPIVFLKLKTPTGSAVADLELLEIIAEKVLMEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDIFKVSASLKRVAASVV >ORGLA10G0037700.1 pep chromosome:AGI1.1:10:5230587:5231790:-1 gene:ORGLA10G0037700 transcript:ORGLA10G0037700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKDEVELGAVLAEDAVERRRWRWVLVEGEVSSTRKISLDVGPGRFXVGLGGVGDGTSGDRDRCREVAHAEGKIKLRAAPTEDAVELGRWHRQRREVELGATPDGGHCGAKEVVRAKDVDELGRRQFVGH >ORGLA10G0037600.1 pep chromosome:AGI1.1:10:5219078:5226168:-1 gene:ORGLA10G0037600 transcript:ORGLA10G0037600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucomutase [Source:Projected from Arabidopsis thaliana (AT5G51820) TAIR;Acc:AT5G51820] MASHALRLHPLLFSAAAARPAPLAARPGGGVRRVHRRHSLAVVRCSSSAAQALKIKSIPTKPVEGQKTGTSGLRKKVKVFQQENYLANWIQALFNSLPPEDYVGGTLVLGGDGRYFNKDAAQIITKIAAGNGVGKILVGRNGLLSTPAVSAVIRKRQANGGFIMSASHNPGGPDNDWGIKFNYSSGQPAPETITDQIYGNTLSISEIKTADIPDVDLSSLGVVSYGDFTVEVIDPVLDYLELMENVFDFQLIKGLLSRPDFRFVFDAMHAVTGAYADPIFVEKLGADPDYILNGVPLEDFGNGHPDPNLTYAKELVFTMFGSGAPDFGAASDGDGDRNMILGRRFFVTPSDSVAIIAANAQAAIPYFQSGPKGLARSMPTSGALDRVADKLNVPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSILAHRNKDKKAGERLVSVEDVAREHWATYGRNFFSRYDYEECESESANKMMEHLRDVIAKSKPGEKYGNYTLQFADDFSYTDPVDGSTVSKQGLRFVFTDGSRIIFRLSGTGSAGATIRIYIEQFESDASKHDLDAQIALKPLIDLALSVSKLKDFTGRDKPTVIT >ORGLA10G0037500.1 pep chromosome:AGI1.1:10:5216799:5217707:1 gene:ORGLA10G0037500 transcript:ORGLA10G0037500.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEKEKKEREYHQCLGDWSGSEGVHVPETRNGXRGMENXRXMSSLXDIHSSYRWRLAXAIHVKDLLPHLPRVWSREECGAQTGHVFSRSIEVVRHGGRVLAPHRLRRSPALGPKRQKRRRGKGESLVAPATSSTRCRFAVANKRRQIRARRSSSPTRGSAGWPPTPSRLAEHVTAAGAPSTATDLVEPSRRQREDERHGPVPPRWAENSRSGTRSPPCRRHLLALGSSVAVPAAEACVRVAAGQLPDPVVKEGDEQYRRLVEETKSAAGRERRRESAVADYGRRRGSCSRCWLTVVCGGVRER >ORGLA10G0037400.1 pep chromosome:AGI1.1:10:5199593:5205172:1 gene:ORGLA10G0037400 transcript:ORGLA10G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductase, zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G28670) TAIR;Acc:AT3G28670] MVKTVVGEEAQLKALEETLSASASPAQVGLVVGKLSASSDRALAYSLIPTPPTDSGAPACSLLRAAPNPKAAKAASSDASSSLDFDVDWVAEHARQVSRMLLGGMTVIGIYIWASEASFKATSPAVLSQVLRAVSQVAPLYGTGVDERLLIHISYSPRRWACRICDMSSGRLRPCDFKYTKLLASLQTFRCTYNFEIRLPVVQAEPFKKVISKAISHLTKQVQNAKALIDGVLFLDDMDNTLEGPHNVEFLVPFKNNLPAEECSLEGVAGLLLFAGSVSALAYLGPKESIAEVISDLKLDIITSLRSRLDIILDEADDDSTTNNLENSLSQKATQVVFHELRVPYSFSFPRRILIPWLAGSYICDYLQQSETTEDAMERCKEVMSLEADMGNYSIVEPESASAATLGSFWDVVPGALSKAPSEPGLKEMNLGQNGSRKTHGSSFSILMAFVVLLIAVLVGCIITLSATLKT >ORGLA10G0037300.1 pep chromosome:AGI1.1:10:5172338:5175754:1 gene:ORGLA10G0037300 transcript:ORGLA10G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPALEPEIGPDGLARENPVIAYTEKVILEEQLQLKKYIQENYSKIRDVEKELENLSLEMKLTAGPKKAALEHLRKKIEISTERIRLARVKEEQAKKAWEAAAQNVKDEENAKQNLCDDLNRLVQESAASQFSRLEELKKRLESLNPSRASVDVSGMNTAQHATTSSVPQQAAAQNPQNAPSPANNANHDSSGLSQQQRPADAERKRRPSQMGRGRGGVMILPKGRGSSGSGWTGAGFDVDGRT >ORGLA10G0037200.1 pep chromosome:AGI1.1:10:5166544:5170607:1 gene:ORGLA10G0037200 transcript:ORGLA10G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFESLVPSDEEISKQKQLLATLSRLINKEWPNSKLYLYGSCANSFGFSNSDIDLCLSIDEKEMSKVDIILKLAHILHAGNLRNIQALTRARVPIVKLMDPNTGLSCDICVNNLLAVVNTKLLRDYSQIDKRLRPLAFIVKHWAKSRCVNETYQGTLSSYAYVIMCIHYLQSQRILPCLQEMEPTYYVTVDNNICAYFDQVDKLNGFGAQCKDTLSRLLWGFFRYWAYAHNYTKDVISIRTGRSISKNMKDWTRRIGNDRHLICIEDPFETSHDLGRVVDNRSIWALREEFERAAEILHLDPNPNITLFEPYVPSEAET >ORGLA10G0037100.1 pep chromosome:AGI1.1:10:5136826:5143997:-1 gene:ORGLA10G0037100 transcript:ORGLA10G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASNNDENERREQAEKALQRAEELFAAGNVRSAHLQAGRAKRLCPSLPGVASAAAAYEVHAAARPGKGGNNWRAVLGMRYGGAATLDTIKDQFQRLSLLLLHHPDDDNNNNTGRRAAVEGAGRARTPSRPSPPAPPWRTTTTARAGARTRRRRPTTTRCLRRRCSCTCRARPSSSTAPPARASSLARWGGWSSRNEVRPVHRVAEPAVAEEAVGEEGATRRAGAAGGVPVPGEVPGVWGAVRVH >ORGLA10G0037000.1 pep chromosome:AGI1.1:10:5123555:5126787:1 gene:ORGLA10G0037000 transcript:ORGLA10G0037000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIKDIAATIKHIETQHASSKTKNSGTKKSHGEGSDTSEYLPEDDEQGVQCDDDSYLEAEQPIPIMIEYPRQTRRKKCATKKKPTLKMPPGVRISKRVRAGAPTEMPPGVSTRSAKRQLIAEQGKGNGHEELPPETENNGDEDVGSGHLLQDNDVNRPPSPVMDWSYGHDANHEEQPAQQTNSDVEGKGTRKSRPPTAGIMLDKMTKAMGRMPIAVAEGKKRPDEPVQAAKFSSEAGVIIRTKVPVFPHWKQYKDDEGYINNFMGKLSVRLAINQKHQPTRDACADVLQKGIRQTRYNLKKAYFNGVPANEIRTTSPISSMTDEQWLELVAKWSNPKNMQISEQNKQNRLNVRFHQATGSRSYAAHLHAYKEKNKVVELDAVDAFEDCHTSRKKGLSDAAKDAISSMKAIMEEPVPDGETPRTSAEVVSKVLSRDNSNTTFLKNAGLQMSSKKSVTPTEAALQEELAAEKQSSAILHAEVVELKEQANLANEALAKTQKELAEFKQQQEENNLLLRRILSLSQGNLNLS >ORGLA10G0036900.1 pep chromosome:AGI1.1:10:5022574:5022786:-1 gene:ORGLA10G0036900 transcript:ORGLA10G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGSNATTRNMTFCDEFLMTSELFVISIFHFMTISMRWGGLVTKKRCSSLIPTRNRHKVFQWDIVTNINLS >ORGLA10G0036800.1 pep chromosome:AGI1.1:10:5018035:5020495:-1 gene:ORGLA10G0036800 transcript:ORGLA10G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGGSGGAALGRAGSAAIGSGYGGGGRRLGDLGSAAHHPLLTVADNDDDKATLPPPQHRGGGAARPPRADPVPPTIRVLVTGWYLLVLYVQFAMPMPMPVPQFAMQGFKALYGHMRWFKVLYGSGYKYCATNVCFSIGQV >ORGLA10G0036700.1 pep chromosome:AGI1.1:10:5009849:5011285:1 gene:ORGLA10G0036700 transcript:ORGLA10G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARSFEAMSNPYQLLEDRKMECRRSRGCGSPMNIKSKAKVGIDQGKGAVFENGSTRDRESHPEVLSGTSXRRGEVEKFPKCCLEVPGTFTRNLLAGFQFKLVSNE >ORGLA10G0036600.1 pep chromosome:AGI1.1:10:4989389:4989878:-1 gene:ORGLA10G0036600 transcript:ORGLA10G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTLMVIMCLVILSLTVNSAAECDCCISARAKACCFGCIAAGGSDTICKNTCCFPCILADSVVAKMDEMGVLAQMEEGQA >ORGLA10G0036500.1 pep chromosome:AGI1.1:10:4909497:4916967:-1 gene:ORGLA10G0036500 transcript:ORGLA10G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADLVLKAACEGCGSPSDLYGTSCKHTTLCSSCGKSMALSRARCLVCSAPITNLIREYNVRANATTDKSFSIGRFVTGLPPFSKKKSAENKWSLHKEGLQGRQIPENMREKYNRKPWILEDETGQYQYQGQMEGSQSSTATYYLLMMHGKEFHAYPAGSWYNFSKIAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAATNGPAAFGSDIKKLEPTNGTEKENARPKKGKNNEEGNNSDKGEEDEEEEAARKNRLALNKKSMDDDEEGGKDLDFDLDDEIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDEENEEEGGLSKSGKELKKLLGKAAGLNESDADEDDEDDDQEDESSPVLAPKQKDQPKDEPVDNSPAKPTPSGHARGTPPASKSKQKRKSGGGDDSKASGGAASKKAKVESDTKTSVAKDETLSSSKPASKATAASKTSANVSPVTEDEIRTVLLAVAPVTTQDLVSRFKSRLRGPEDKNAFAEILKKISKIQKTNGHNYVVLRDDKK >ORGLA10G0036400.1 pep chromosome:AGI1.1:10:4901043:4902149:1 gene:ORGLA10G0036400 transcript:ORGLA10G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRRRPTSPSPAQAQAQPLEDDDLLSEILLRLPAKSSSLPRASLVCKRWRRVVSDYVFLRRFRSHHGKPPLLGFFKVSYRNPIFIPTLDPPDRISAARFSLQLPLPGGGGGSPPVFGHFYHMFAFRHGRALIYDRSLLQITVWDPVTGDRRAVDIPEPFGRRPVYVSNWAMRCVDGHVHGGCHSSPFEVVVIGFNKYRRRLFTCVYSSDTGNWAQIDVLPEMHGDGGDQISPAEDGGLLFLAVRDFSLNLWKHKINSDSAAAGWVLEKTIELDRLLPFEPRPDTDTPAPMNILGFAEEHNVVFLATAIGVFMVNLESMQF >ORGLA10G0036300.1 pep chromosome:AGI1.1:10:4891140:4894506:1 gene:ORGLA10G0036300 transcript:ORGLA10G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVKRKLIVDKSPTHRLLHSPLSPITLVRRRGVPSDLESSEGSSTSLRSDAAYTATLKEDVQAVARAVNRIALKYPEAICQLMVAGHTIWNEAELKAFPEVCMEEIEARTITSTCPNHQGYINLEVKMLQKVQKVVNRHQLNNLWDTCRRQFQTWCXLIDXPIKLQIVDVVLSAVYSLHSADQRHKARCXDEERRTCIPEWSPSVYSRAPCGFQGXGLYPEPLWSSCQNTHLGSASAGRDPRHSGSHQARPTRTIXADSTAREEVTDXRYHLWRKLQEVQGLFCXQCSQPFGGPHGSVKRXQNQNGRDKASKECQGLHGASEGXWLHLEGSYLPHGSEGLSRWLPQGVFLGRLPHSGGEIVLHPIPIPRHGSVPAPSPLPPWIWRLHPVSSCRQSFFVHITAFCVDGFFGAAGDAVLGAIPINAVGDDAATGDGEGDGVGDDDDDAGDGEAYGDGDDEEGDGAGDGHDDYGDDGDGGAAGYAGNGYVGALYLGGDLEVMIYLVMQCFTLMPIGPAARSFRTMSVSELELFERVVCPNNFARLRLEQRATNNRMARSGSTVCKYFHRVLNAIYAMAADINKPANPNFRRCITVLCKMQISFLLPGPQVQLM >ORGLA10G0036200.1 pep chromosome:AGI1.1:10:4877803:4878663:1 gene:ORGLA10G0036200 transcript:ORGLA10G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIRSEIKKERPKNDWIAGAAITNPAADRKKPKRRAREWWREEYADELAKKQKRRALAKSRSEQAGLQWWERDIDDDLDAKGRSKWSMMKSWSRRSNGSTGNGNGNGNGSINWWVNGARSTRDWASGEFVPKSSGAVSSTPSMRGTVCYVAPEYGGGGPLSERCDIYSYGVLLLVLISGRRPLQVTASPMSEFEKASLISWAKHLARVSRLIDLVDPALQDVNRDEVLLCITVALLCIQRSPARRPSSEEVLRMLSGEGEPPHLPLEFSPSPPGGFPFKSRKKVR >ORGLA10G0036100.1 pep chromosome:AGI1.1:10:4848267:4848488:-1 gene:ORGLA10G0036100 transcript:ORGLA10G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRILSMSLVRRFRLPTSCINRGGA >ORGLA10G0036000.1 pep chromosome:AGI1.1:10:4829584:4830240:1 gene:ORGLA10G0036000 transcript:ORGLA10G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASATQAAAAEAAAPCSTTTTESTSSSSFLRARCATTRYPEVCYDSLLPYASTFQTSHVKLAVAAADVAAAHLRAFSARVKDMLLHRGGGSEEAAASSGGGAARVDAALHDCASTISAAANLAKRSSAELTRLDADTAASTETSTSGGSRQARWQLSNAKTWLSAAMTNEGTCSDGFEEAGAAATASPAGKEVAAGVASVTQHTSNALALVNGIPL >ORGLA10G0035900.1 pep chromosome:AGI1.1:10:4810235:4819014:-1 gene:ORGLA10G0035900 transcript:ORGLA10G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAAAAAAAGHSTASTAGRPMPSPPHYHVGQGTKLPSNGLWRWGDGRSGSDRIFFLVSLQRQVLASGFTTVKIQMMTMEQEREEEERKEREMLREDILKMITTQSVASEQQSDLAIDCPTENREPHDTTKKARSIQNNTVVKALFNSANLQGTTSTTRQNISSQHLRNVARNFVRSGTDQEEQSTLLLLNGWSKPRQNQTSDG >ORGLA10G0035800.1 pep chromosome:AGI1.1:10:4760414:4760665:-1 gene:ORGLA10G0035800 transcript:ORGLA10G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b559 subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1QT25] MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGILLITDRFDSLEQLDEFSRSF >ORGLA10G0035700.1 pep chromosome:AGI1.1:10:4748676:4751052:1 gene:ORGLA10G0035700 transcript:ORGLA10G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVHLRSLNFTPNPIVVLQPQNQTQPPYNSLLNLSLKPLCISTAKPATASSSRNNGASSHDRRLLRLRRRTVVGFSGDSQGDTGGTAMRGAAGGSGVPPRELRQHAVPADVLRRAHPLRVRVPDQHGEARPRRRRRQRGEPQEPDGAGQGARDPRRPRRGPRDRRRDPRLREHVVVGVRPRQGDGRRAREARCHGGRRQGEPGEVGGVQREDVAQRRDDQRGQLRRRALVHGRRRVAGREGVDRWCGDGQAVHQHRPLVCQYHTGFLRCNLHRLVLLCVLNYSLRVITLVVSDKDVHETTFDHYFLL >ORGLA10G0035600.1 pep chromosome:AGI1.1:10:4730609:4731274:-1 gene:ORGLA10G0035600 transcript:ORGLA10G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRAGPRHVVLVMLFFAAAVAARGADAASPVTTRPCGAAAAASFLCSRCATTVYPAVCYDSLLPYAGAFQDSRVRLARAAADVAAARLRDFSASLDELVHGSGDVGAVTTPPRVAAAVRDCMGTVSSAAGLARRSSAALGRLDAGAAAGGGGSRLARWEVSNAKTWLSAAMANLATCADGFADADSWSAAGIEEVVAGEAANVSKYTSNALALVNGIPL >ORGLA10G0035500.1 pep chromosome:AGI1.1:10:4722325:4722969:-1 gene:ORGLA10G0035500 transcript:ORGLA10G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPRAMSLVFLFLFLFFTAASATRRLDDAGGQPTTSSSATAFLRARCATTLYPDICYDSLLPYASAFQTSHVKLAVAAADVAAAKLRAFSARINDLLVQGGAARVDAALKDCKSTISNAGDLARQSSAELGQLDAGAAAAGVSSRQARWHVSNVQTWLSAAITDEGTCTDGFEEAGEAAAGSPAGKEVAAGVARVKQHTSIALALVNGIPL >ORGLA10G0035400.1 pep chromosome:AGI1.1:10:4682789:4683631:1 gene:ORGLA10G0035400 transcript:ORGLA10G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPLFSFLVLFQPVLPHHAARXRVHRLSPPPFRLHVQADVPQLKEMCSSLAMSKELVKALVGIWGPDDGLNLSMASLLSALCAELDLERAHARQLATEDRRRGDETARMRAQLVEEAREWRSRXREKVAAMVRVAAAELDGEQMSRRRAKRVNAKLGKALADAERELAASRRELERERRSRDRLEKICDELVRGGLACGVDGVRGGEEEVRREAQRGTGGAGEREGDATPRRRAPRAFPCAATKAFPSVAPLTATPSSRSRPRCCHLRRHGGIADQLW >ORGLA10G0035300.1 pep chromosome:AGI1.1:10:4668679:4670364:1 gene:ORGLA10G0035300 transcript:ORGLA10G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AXLASLHSRELSTAAPLLLEEEDEERQELMEDDEGDEQEEHHHYGAKLRTMAEAPPYGHGVAEDYWWRDVPVRLPGISCGVVPAVVGCEAAPAWWSSGAVLASLRAADGGWMRDDVG >ORGLA10G0035200.1 pep chromosome:AGI1.1:10:4661317:4662464:1 gene:ORGLA10G0035200 transcript:ORGLA10G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTFVYHALGLFSCVCLLCFASSLVSAADAGGAPVLQALECTSTAAGNYTQDGAYAANLGRLLAMLPNETVSKNGGFFNGTVGNGTATVYGLAMCAADFSRADCMDCLVAAGISAGGVVKRCPGSTTVSAMFDQCLLRYSDSSFFGTAHINITYVSDGEILTGRDSVSSVMKNALPFVTSQAASSPARFAVSETNPYTLAQCTWDLPPDACKGCLDALAANVSATFPATARGERKSYSCRVRYDVNTSFMVVPFNLSTGSAGTPTSSLAGPGSVNSAKNNGQYDVLSCLPMTFGIRLNICIFKNFI >ORGLA10G0035100.1 pep chromosome:AGI1.1:10:4656869:4657429:-1 gene:ORGLA10G0035100 transcript:ORGLA10G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKVPTTTPTFLPLHLHGRWRVVGRPRGESATAVVLGGSESSFSGRALGNEEEVSMGHTPGAANAYRSPTNDPFVRRTANTFSSASDERRMREGWKHGVEGQVLEGVSAFN >ORGLA10G0035000.1 pep chromosome:AGI1.1:10:4615567:4623642:1 gene:ORGLA10G0035000 transcript:ORGLA10G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:I1QT17] MSRGGGGCCPSMDLMRSEAMQLVQVIIPAESAHLAVSYLGDLGLLQFKDLNADKSPFQRTYASQIKRCGEMARKLRFFREQMSKAAISTSTQFSGTSLEIDDLEVKLGELEVELTEVNANNDKLQRTYNELVEYNIVLQKAGEFFYSAQRSATEQQREMSADQSGDSSLESPLLQQEMVTDPSKQVKLGSLSGLVPKEKAMAFERILFRATRGNMFLRQEPVDETVTDPLSGEKFYYSEVQLFFLSFECSYYIDRSLKNAFVIFYSGERAKSKIVKICDAFGANRYPFPEDLGKQLQTIQEVSGKISELKATIEIGLAHRDSILKNISSEFEQWNTLVKKEKAIYHTLNMLSLDVTTKCLVAEGWSPVFATSQIQDALQRATVDSKSQVGSIFQVLNTQESPPTFFQTNKFTSAFQEIVDAYGIAKYQEANPGVFTIVTFPFLFAIMFGDWGHGICLLLATLYLIIREKKLASQKLDDIMDMMFGGRYVILMMSLFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCGDATTEGLIKVRPAYSFGVDPVWHGSRSELPFLNSLKMKLSILIGVAQMNLGIVMSYFNAKFFRNAINVWYQFIPQLIFLNSLFGYLSLLIIIKWCTGSKADLYHVMIYMFLSPTDDLGENELFPGQKLVQLVLLLLALVSVPWMLIPKPFFLKKQHEQRHQGQQYTMLQATDESVTELEEHQDDPHHHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLVLSWGYNNIFILIIGAVIFLFATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFVPFAFASIIEEED >ORGLA10G0034900.1 pep chromosome:AGI1.1:10:4567817:4582490:1 gene:ORGLA10G0034900 transcript:ORGLA10G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPSFPALHGGSASPLLPALVANAQQFWNEWEIQCLVLASFSLQVFLHFFSSIRKGNTSRLLSLLLWLAYLLADYVATFTLGRLTLHVDDPRHQLVLFWTPLLLLHLGSQETISAFSIEDAMLWKRHLLGLVSQVALAIYIVAKSWRPDKQLLGPLVLMFISGTIKYAERTWALMTASSSMSPGSDSMADHVLGVQDDVILDAKSYFDELHSIFPGKNVQDIEGHNGGRRTDDDGYEGLVMAAGKGFRLCLDFLTDMTPFLVWSNTDTIIDSAIKKLRSSNPETQVQMAYKLVEIQLLLIYDYLYTKYGALQFRLGLVSSGIERLITFFSTLAALGLFVGANLKGPFNYSREDVMVSYVLLVGAITLDISSIFMLISSYWLQLHRRGGLFGCSFSLAKCVHAGSKPLWSEKIAQYNLIDACIQEERGGIICGWVMRRTGIVSDINMSNTVSPELKKLVLDKLFEVASTRSVSDYWDWDFSKYRGMWLQWWLQEGRIQADIAQGILTDGITDTDLYFPMTVIVWHIATEMCWFADENDYSPCRAPSMELSRYVMYLVAKWDVMSGSNGHFELGKARRQVKRILEGHRGISDERGLLKYARQATGQVTEPCFGRGRAISEHLLKISNRALRWELISMLWIEMLCYLGPNCGAQFHAKHLSTGGEFVTHVRILLVVLGIPFLSSNLVFLMLKLLSSCLIRLRSDSVADHVMDVQDDVIIDAKSYFQELHSIFPGKDVQDLDVRDGRIREADEAYQGLVMAAGEGLRICLGFLTDMTPFLVWSSKDDTIIERTVVEKLRSLDPDTQVEMAYKLVEIQLSLIYDYMYTKYGVLQFCLGLVYSVIAWLITFCSTSVALRLFVGTDLKGPFNYRREDAMVSYVLLVGAVTLDISSIFKLISSYWLQLHQTGGLFGCVFSLIRFVNPWSKPLWLEKIPQYNLIDACIQEERGSIICRWVVRKTGIMPDIDMSKTVSPKLKKLVLDKLIEVATTRSVSDYWDWDSSKYSGMWLQWWLQEGRIQDDIAQGILTNGITDTALYFPMTVIVWHITTEMCWFADEDDRSPCRVPSMELSRYVMYLVAKRDVMSGTNGHFRLGKARRLLKRILRASTVHDEKTLLRYVRQSPGVTEPCFSHGRVITDHLLKISNGAQRWELISMVWIEMLCYLGPNCGAQFHAKHLSTGGEFVTHVRILLVILGIPFLRLDMKPGNF >ORGLA10G0034800.1 pep chromosome:AGI1.1:10:4551697:4556786:1 gene:ORGLA10G0034800 transcript:ORGLA10G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA adenine dimethylase family protein [Source:Projected from Arabidopsis thaliana (AT2G47420) TAIR;Acc:AT2G47420] MAGGKIQKKRHGGGAGGGGGGGARLQGGIPFEKSKGQHILRNPALVDSIVEKAGLKPTDTVLEIGPGTGNLTKRLLQAGVKAVVAVELDPRMVLELNRRFQGDPLASRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDSLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRLCFNRKNKTLGAIFKQKRVLELLEKNYKTMQSLQLTSDAEKGEEKMSPDDVALLSSMVDDMNMESGYENDDDDEMEMDDADMVAESRACFKEKIMGILQQGDFAEKRSSKLSQVDFLYLLSLFNKAGIHFS >ORGLA10G0034700.1 pep chromosome:AGI1.1:10:4550139:4550612:-1 gene:ORGLA10G0034700 transcript:ORGLA10G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAATVHQEQPTARRRTRSRREREVHDCGGDGGAEREQDVAVREEAVERWPVLGLLRPPVAGFHQYTYTSMILGLAMHGRGEDALSLFAGMQRAGVTPNEVTLLGVLTACCHAGLVEEGLQQLDTMRRNEIDKVPGCSLIEIDGVVHEFKAIPANSIR >ORGLA10G0034600.1 pep chromosome:AGI1.1:10:4543340:4544683:-1 gene:ORGLA10G0034600 transcript:ORGLA10G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRGAPRGAAAARLAPYQKGWLSRRRRRRRGRTNRRARNDDRDGNGTFSAVPDSVLANVFTRFPDAADLVRCAATCRRWSRVIADAAALLCRSLPVLPRLALGFFYQEAAAGKRKRSAAAGQTCRFVPTAAGARLLGPSLSPFVDGGELFEYSRPVTSRNGRVVLELRREGHADGLKLCVWNPMTGDVATLPPLHGDDKPGAYACALLTADDLDDPPPSSPTFFRVLVVYNRRTFTALRSYWSDTGRWSAEARRSGPKLSSYTVHHLRQSVVHGGVAYWPLAHTAFAVRADTPEPEEMPMPPAVPKAPPHDHLLGISPDGKLSFIVTSRYFDGSAGVSSCYHLAFGSNGDCTREQVAICTWRVRLHELRVHRSDAMNLRWFCERSGLLFFTIDAKGSSTPGAYVLNVATKELEKVADDIDCSSWRNFVGYEMDHASYLASVACY >ORGLA10G0034500.1 pep chromosome:AGI1.1:10:4540183:4541445:-1 gene:ORGLA10G0034500 transcript:ORGLA10G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRKARAPPAADATAAAAAAAAAVLDYRLLAHILLRLPSPAALARAATVCRRWRLVASSPTFLRLFRQLHHPPPLLGLFICNNGSAVSRSIVDGELVGEVVDPTFLPRLTPRGFLGAVNRCIDFSLDSLPDDERWALADTHDGLLLLCTKFADRMDIPDNFAVCDPVSGRSVLLRVAPVTDSAYLGAALRTDDSDGGGVVCSFEFEVILVTYYNMWEPRLYVFSSRSGQWTVHAYIPMLPMLSAFSGDMHANGSVYWLIDDHGDGGAHLLALDARTKQFSNIKLLSSMRTRYDGNMRVIRSDDGELRVVAFAAAAARLEFWHLDKSRSSRGRWVLESRVELAHVDGVMELCVDADDDVTRIMDAGEGFVFLKHYGSEWVFALDLQAMVLFRLPHRRYYFGPALPYRMVLKPPLPALAG >ORGLA10G0034400.1 pep chromosome:AGI1.1:10:4536450:4537679:-1 gene:ORGLA10G0034400 transcript:ORGLA10G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAELDDRLLAVILLRLPSAAALARAATVCRRWRRVASSPAFLRLFRRLHHHAPPLLGFFVCNNGFAVSRKVGGELVGEVVDPAFIPTFHPVPREFEGAISRCGHFSLASLPDVDRWALADTRDGLLLLCSTFSDRMSIPRNFVVANPVSGRSVLVRDARFYRLDAESAYLGAALRIDDDNDGGAGGVLCFEIIVVTYFMPGPRLCVFSSRSGAWTVHPYSDAGTAIMPMLGAFSDEMHANGSVYWLIDDDDDDDDNPYLLALDARTKQFSNIKLPRAMRTRYRGNMCVMRSDDGELRVVAFAAAALDFWHLDKSRSSRGRWVQESRLDLARAHGAPLFFADADGYPTRIMDAGEGFVFFKHYGSGWVFALSLETMVFIDLPHRRFYSGPALPYRMALHPPLPALAD >ORGLA10G0034300.1 pep chromosome:AGI1.1:10:4527109:4529793:-1 gene:ORGLA10G0034300 transcript:ORGLA10G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASVVSSVLQRLGDLVIQEATFLSDVPRQVSSMKAELSQMQCFLNVVDAKCLEGNSMMKNLASNIQDVAYRVEEVIDNAHFIFRRRNTSVSKYTHIFGDSIDLREVGKNIQVIRKEISEIFERYNRYNAVNSSTSTEAQPIFREDEDFYAQRLVLPGLDQGMDIVGFDHEIAQIKSYLLDQNNMNLTVISIVGQAGAGKSTLAKLAYSSVITEGYFHKYGWVSISPKYSALEVLRDLVRQIRGTGKISERKSMHLNFYGETEVSKLLFDFLKEERYLIVLDDIWTTDTWDKIKSVFPDKGNGSRIILTTRDMEVGQHPKTKLQIHTPDLLDEDKSWELFQKKAFPHDVQFTELEVVGKKLSKKCNGLPLALVVLGCFLSRNHNIHTWEKMVASVDWEIMKKEGDVGRILALSYHNMSNNLKACFLYTASFPEDYPITVHVLKKMWIAEGFVPNIRGYTQEEVAYRYVEELAQRCMIQIEERSKNIGWIKKIKVHDVLREWGIGQARKEGFLKVCSSGTDVETYYADEQRCYRVAFHGYFDNEVGKSVLNLRSVLAFNPDGKRLFSFNGLHLLRVLHFCSSLKTCTLPEEINKLVHLRYLGLEGSTVFMFPSYMKGLRNLQILEASTATVKALPSSLWSIAALKHVHVYQVLHWKAQEIRTKRSLQTLYVFSIMQCDALTWKRTIRSLQKMSQHVSWCLGIASTKRVKEKETQEHEEYNLDIRVDALESKVDGLELSGCFKEHHVLNDVLPHHNLFPNFLLQLKISCPNILNDDPMPILERLPRLEVLEIVNSSYTGKSITCSSEGFLALRSLVLMDLGLEEWNLQQGSMAFLAVLTLKCTMLRSISNVLHQLDDLVELRLICMPQLSVDDHEAARGRGCRVMISVDEEQNSDN >ORGLA10G0034200.1 pep chromosome:AGI1.1:10:4502888:4503774:1 gene:ORGLA10G0034200 transcript:ORGLA10G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQMFTVRDVLYMYTDARTAYDRFVGIGSNPEQARNAVALLVWLDQCNVPAIQHLPGLSPTAVSLVAAEANSVLDCLRRPEPVVPAIPLISALCHDGDVDPRFFAFHQDLVVRGVADILDGVGSLIFDDHLNKMLRRYQTGLVGNPPELMATYSCLPVAVPEDCRSMFITFSRGAPIDREEIFDYFRQKWGDCVVRVLMEKTAGGSQPMYGRIIFRSEAFVQLVLNGERLVKVTIRHRQIWLRKYVPRPAATENQN >ORGLA10G0034100.1 pep chromosome:AGI1.1:10:4497142:4498023:-1 gene:ORGLA10G0034100 transcript:ORGLA10G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMFTVRDVLYMYSDARTAYDRFVGIGSNPEQARNAVALLVWLDQCNVRAIQHLPGLSPTAVSLVAAEANSVLDCLRGPEPVVPAIPLISALCKDGDVDPRFFTFHQDLVVRGVADILDGVGSLIFNNHLNKMLRRYQTGLVGNPPELMAAYSCLSVAVPEDCRSMFITFSRGAPIDREEIFDYFRQKWGDCVVRVLMEKTAGGSQPMYGRIIFRSEAFVQLVLNGERLVKISIRHRQIWLRKYVPRPAATQNQN >ORGLA10G0034000.1 pep chromosome:AGI1.1:10:4490136:4490489:1 gene:ORGLA10G0034000 transcript:ORGLA10G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAARHSSSQAKERREWKRRGAFSSSLSLRPPRSPHSATTAMRGGRRRWRRSKTATATSTAAEVEAVALGEDGGEGGGGRGEGGGGGGARRXGRRWRRREAEVVARGESGGGGGGGG >ORGLA10G0033900.1 pep chromosome:AGI1.1:10:4489250:4489660:-1 gene:ORGLA10G0033900 transcript:ORGLA10G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding YWNCVRLSLQSCDLSRLLLAVVIQRYPSCVLVDVLTSILLCYQFSKDVNGKGFRPNGSESSNRQPKDKGFS >ORGLA10G0033800.1 pep chromosome:AGI1.1:10:4485922:4486860:-1 gene:ORGLA10G0033800 transcript:ORGLA10G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLGEKNGENGNEDGASSKGTPSPKGATIPFDYSKLTIPPHNFVSMPYGRAPQFDGTHYAAWKHKMKLHLISLHPSIWKVVCTGVDVPHDDMELTSEQEQLIYRNAQASNAILFALSPEEFNKVDGLEEAKEIWDTLQLAHEGSPAVREANIELLEGRLGRFVMDDKETPQEIYDRMMILVNKIKGLGSEDMTNHFVVKRLLRAFGPRNPTLVSMIRERKDFKRLTPSDILERIVSHEMQEEEAREVRQMVKNAAMIKNQEVALKAKQEEESSCEESEDEEMAFIVMRFKYFLRKSGYGKGRKDDDKGKRQ >ORGLA10G0033700.1 pep chromosome:AGI1.1:10:4485348:4485647:-1 gene:ORGLA10G0033700 transcript:ORGLA10G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMTTTTPTTTLVSWPSRKVMTQKPSHTSLDVDSSDEESDNELDDVLKSFSKPAMQHLAKLMRALDSKEQSLERQEELLIVEKKRNLALEESLAKECAKN >ORGLA10G0033600.1 pep chromosome:AGI1.1:10:4478201:4479685:-1 gene:ORGLA10G0033600 transcript:ORGLA10G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPIHSRRAGRIVIHDAPRSPSPAASESDDGGNEDEPGSESNPLRLYKTRAVDPDPRWPRVNYLKNLLKCGKDRMVSPDTLPADSQDDRFRTLVQVDWYNSVIMGRSHPVVEMKWLDWQYMSSKNNIVFNEVRKVCERKHVADLLALHYSWNEEVIGQFYSTAFFGTTKKGLDFVKWTIQGQQYRVSMAQFAVALGLDDDDLSRPHIFVEDALSSTSTTFLYEKDAPKDCLGTTRGLRPYYRVLYSIFRWSLLPKVGDATALPGRHALLLNRMRYNKPDFSIMKLIWSKIYETVCEPRMGCIYAPYIMKMIKAKTGICYFKDKQHKPFRPHAPSTSATPTRATRASTSAAPSSSAAPQRSESSSPIKKALRAIFCMCAKTAKKVKKIERRQKEDWIAAGKDVSDISDDEEFVDPFAAYETARDIASSEGPSGTSRFFNEESSHSEESSDDEESDAPTENVPTDPDEAVDAAGQDIDSPHSGDTEIIPSDGDDDEA >ORGLA10G0033500.1 pep chromosome:AGI1.1:10:4470950:4474722:-1 gene:ORGLA10G0033500 transcript:ORGLA10G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECVLDEYSTKHRISINRFLQLKIFVKVHDRGCLHVKSGSQFPVLESNSVHGNEISLSCESEVHPWYLRYIMFQPLKESCIHSGDSNFLDLEWLST >ORGLA10G0033400.1 pep chromosome:AGI1.1:10:4469251:4470036:1 gene:ORGLA10G0033400 transcript:ORGLA10G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVREMVRSMGAEQLDEAIAFATMELAGRDIPFEDVFRLCDEQELRRAKKSSMAEEVERIKGKLVGGEDGGRPSSDSSEETVVELLRALRSTPMTFETLEASRIGKTISGLRRKHSSEKVRGLAAALYKNWKAIVDEHLTRSSSKPPAPAPTKTASASDHAKKTDMAAAHKPAPAPSPRKTASNKHEAAPARADDAKLAAARRKLQDGYKEAASAKKQRVIQVIDTPKKVNRRPVAVVERRRIMPGVATVAPLRMCRAV >ORGLA10G0033300.1 pep chromosome:AGI1.1:10:4467978:4468862:-1 gene:ORGLA10G0033300 transcript:ORGLA10G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEAIPEAWKELVRSLGTEQLVGAIYVALDDFNARERDTIPPELWRRLGDRSAAYRNPFVSDGGSSSGSGSGEVERIKVKLVAVGGEDGSGGGGGTSADSSEDAVVDLLRDLQAVPMTFETLEASKIGKTISGLRKHSSSEKVRRLAAALYKSWKAIVDEHLSRSSSKPPTPTKTASAPAAADHAKKANTAAAGHVKTPAAAPKTAACSKRKEAPAPPEMDEAKLEAARKKLRERYTEEETAKKQRKIQIINNAPGXAKQRPAVVEQRRRVVRDTVVAVASRAPVRSSLRM >ORGLA10G0033200.1 pep chromosome:AGI1.1:10:4455240:4465781:1 gene:ORGLA10G0033200 transcript:ORGLA10G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase [Source:Projected from Arabidopsis thaliana (AT1G50200) TAIR;Acc:AT1G50200] MLVVRRACCLLRLSSSSHPPATRVLPAAIYLTSSSSAAASAPAPPAMAASTEWPASRVRETFISFFESKSHTRWPSSPVVPVDDPTLLFANAGMNQFKPVFLGTAAPDAPLGRLRRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAIGFAWELLTQVYKLPTDRIYATYFGGDEKSGLAPDTESKNIWLKYLPKEKVLPFGCKDNFWEMGDTGPCGPCTEIHFDRVGNRDAASLVNNDDPTCIEIWNLVFIQFNREADGTLRPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPIFDAIHQLAGNGIQSYSGKVGPEDVDKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLKAKQGFFSSLVHVFVQLMGDVFPELKDNEKKIKDIIKDEEESFENTLAKGFEKFKKAADAVKESGGTVLSGQDAFVLWDTYGYPVDLTEVMGVDYGLSVDREGFDAAMEEARQKARNARFKAGENSIVLDANATAQLRNQGLASTDDSPKYGYKDNDSVVKAIYTGSEYVSAASGDEDFGLVLESTSFYAEQGGQIYDTGKIEGSFGSFNVTNVQVFAGYVLHMGSFTKGSKALSVGDKVTCKVDYARRALIAPNHTCTHMLNFALREILGDHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIESIVNQQIKDKLEVFAREIKLADAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLANPESKEWLSISTELCGGTHIKNTSEAEAFALLSEEGIAKGVRRITAVTAKHASDAIKDASSIDSEINEASKLEGAILEKTIASIKSKLDTALIPAARKADLKGRVSKLEDELRKAKKKMGEENIQKAVKFAIDAAQTALSEGKRFCVAHVDVGLDTSAIREAVIKVKDQKDLPIMLFSTDEATNKAVIYAGVPPSAANSLKVLDWLTPSIAPLKGKGGGGKNGIAQGQGTEASQLKEAMEVATQIASMKLV >ORGLA10G0033100.1 pep chromosome:AGI1.1:10:4416081:4428877:-1 gene:ORGLA10G0033100 transcript:ORGLA10G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMAGGTGGAGGGGSRALDCRSFWKAGAFESASAPPREFHDALEAGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEICNGATFIKVDKSVNLKDNSTMLVFQDDGGGMDPEGVRRCMSLGFSTKKSKKTIGQYGNGFKTSTMRLGADAIVFTRANRGSNVTLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGHIVPLVYGSQGDWDSSLKIILEWSPFSSKEELLQQFKDIVSHGTKVAIYNLWMNDDGLLELDFEDDDEDILLRDQDKASGGVTKAQKEIVQQHISHRLRFSLRAYTSILYLKKFENFQIILRGKPVEQIRIADELKFKKLVTYKPQVAHDSQVVSVKVDVGFAKEAPVLGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFIRLEAKLRQIILDYWKEKCHLIGYQPVDPQLRSQYKAALKDSGNPGPKSQQKASTSRRTGGHTSNLLPETYDDTEVIGLTANGSGSRVRSFGQAQENSMEPVGLDENSVDIGSEGALDPNIMEKLSEENIGLFTRREELRQRDTQLRQTVGELEQQLAETKKKCAQLADDLRIRKSQQQQQPPYI >ORGLA10G0033000.1 pep chromosome:AGI1.1:10:4411154:4413544:1 gene:ORGLA10G0033000 transcript:ORGLA10G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILARAGATAAGGLRSGGDVRRRRNMVAIVAATTTTGAGPAVPKEGSLERPAWSGETPLSRLVGALIAFKPLYSLMKLASREVIIRTAEKSNVPWREMTKQVLESDVYEVFDRIRDLNLVYPDYYLNPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPDAGSIEEANQIVRGNWLNAIEEHHLKNSGNCQINDILDIGCSVGVSTRYLAEKFPSARTVGLDLSPYFLAVAAHKEEKLSRQNPIRWVHANGEATGLPSNSFDLVSLAYVCHECPARAITGLVNEAFRLLRPGGTIALTDNSPKSKVLQELSPVLFTLMKSTEPFLDEYYMLDLEETMRQAGFVNVHSILTDPRHRTVTATVPF >ORGLA10G0032900.1 pep chromosome:AGI1.1:10:4404340:4404723:-1 gene:ORGLA10G0032900 transcript:ORGLA10G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSSSSTPHALTLLTSSPRFLHLPLCSPSPRRRHAGGGALLLRPPHRRRAEPGGVRHARRAPSCLLGGGGSAGAAVALGGSAVAAAAALIAAALQLVWLRWRGGDSPEEEEVSICFLSDYGFEK >ORGLA10G0032800.1 pep chromosome:AGI1.1:10:4395958:4403584:-1 gene:ORGLA10G0032800 transcript:ORGLA10G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34830) TAIR;Acc:AT4G34830] MTVDRTDCQTGVFSGVDTVNNTTQVGSVISTYRSLDTFEGVYGPANTSSIEKVQDILPQSRSVSDGQHQSRHFANRRAQLGGLPHQFLSLSKQNEQTKNGQGPPDNKTDSEGANLLGCHQSDQGDYVDFTSLSSFKRINEHPLNFIPEAHASNLFEPRKASEFTNSYAGGSYLRAGRLVPVACLRDGPVSKRKKAMNDHDDAKLIGWSISNLLSKENPENSTSANRAGLRGTKDVPDYLRRYNSLLIDGRLKDSVDLLESMEQKGLLDMNKIHHASFLNACKKQRAVLEAVRFCKLINNPKMSTFNMLLSVCANSQDFDGALQVMVLLKEAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVSAGIEPNVNTYSALIDGCAKAGQVAKAFGAYGIMSSKKVKPDRVVFNALISACGESGAVARAFDVLSEMTAEASESKGSKPILPDHVTVGALMKTCIQAGQADRAREVYKMLQEYNIKGTPEVYTIALRSCSLTGDLGFALKIYEDMNKIGVQPDEMFLSALVDVAGHARRADAAFEIMKDARAKGYQVGTIAYSSLMGACCNAKDWKKALQLFEEIKSIKLMPTVSMMNALITALCDGDQVLKSFEVLSEMKRLGVCPNMITYSVLFVACERNAEAQLGLDLFEQLKIDSIDLNPTIVGCLTGLCLQMFDNDLSLGNIVVTFNLGKPQIENKWTSSAIKVYREAISTGLLPSSDVLSQVLGCLRFPHDNTLTNTFIENMGISCDIPHHPNVNSLLEGFGEYDIRAFSILEEAASLGAVESISMKDTRILVDARKSKIYTAEVSVLTTLRSLKHRLAAGARLPNVTILLPTEKKQVGLDEREKTLKLAGRVGQAVGSLLRRLGIKYHGEESHGKMRINGLTLRRWFNPKLTSTSSTGTPADLLPLPSRLAKGIADQQRNIRNLSLE >ORGLA10G0032700.1 pep chromosome:AGI1.1:10:4392315:4395095:1 gene:ORGLA10G0032700 transcript:ORGLA10G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLGLTCCCQVDKDFMDQCFTSQDFVTFXVTMVIXQRDLKHLLVFSSITFXVDNAVTWWQRNHFRQAVALIIWDAIIPVLRMKIMNMXSGLKGIPVNGYILTPRHCALADVIFEHIQTLDLEGRLLIAIHRYNHGIIQGTMCPCSRIIALGFLYCSLECKVCMINFFLFKGHEQNESQISLLCFQGNHFWN >ORGLA10G0032600.1 pep chromosome:AGI1.1:10:4391130:4391843:-1 gene:ORGLA10G0032600 transcript:ORGLA10G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XTHQEISQNHLEHPPHFLSQRCLIRCKSSLGVERPALSSSSPSVSRAPPLVVSLFPMIRCVSDQAIAPPLSPRCKEAARGRNQAEELPITRSPSSSSSPAAGSPLRFLVLGTTVGWSYRRRHADFCRRRRHSSVTGACATFSKPPGAPXPYMHAPIRMKTSRSLTCLSMPRTTSACATVSADSDHRSPRDHHHQLRHLPLYIFLFSPRRLSRRRSPSPPPQRSPVASALSLLLLFLS >ORGLA10G0032500.1 pep chromosome:AGI1.1:10:4371360:4378148:-1 gene:ORGLA10G0032500 transcript:ORGLA10G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLLKILRQVQTSYLRESCISVARVQMGHLNIMLAFLSFVLLGLAEVEGTVALSQILSNSHLITPYREVTARKFERRSLQDHGTDDRRSSNASLPSAATLANCPKRCGNLSFDYPFGIGDGCFRHPDFSLTCNATTQPPKLLLHINESVEVIDNIEVVGTDIAEFFYFNFFMVAFNHLIPIKAGVDVYNLSWKAPGISFTISETMMITVVSCDLDVFLIGEDNTPNKLLCKVACPNKEIAEMVYMQDCEGPGCCTVLSETPVQAVQLQFVRHETSNAGKISNLSMLWDRINITIGAPLVWSIVDQTGCSRNMEDNFACVSNHSGCITSVFRDIGYACQCNSGYKGNPFILDGCKHDSGYNPRPEKHNCARQCGTITVPFPFGLEEGCSARKRFQLNCSDKTNSVLKFNDYFQVTYINVSEGLLGIKHNSSLEEQLFNMMMEMMTSDNEPDLFVDPLESVSVQWAVANLTCQEAQHNTSGYACVSTSSSCLDVLSSMDGYVGYRCSCLPGYRGNPYILDGCEDIDECQETPGICKGVCKNTVGNYSCTKCPDHTEYDILRMQCTPIRKKSFYLGIIIGLSSGFGMLLLGLSGIVLIRRWKRHAQKRLQRKYFRKNQGLLLEQLISSDENASEKTKIFSLEELKKATNNFDTTRILGRGGHGTVYKGILSNQHVVVIKKAKVIRECKINDFINEVSILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGLLHPDSSSTIYLSWGDCLRIAAEAAGALYYLHSAASISIFHRDVKSSNILLDANYTAKVSDFGASRSVPIDQTHIITNVQGTFGYLDPEYYQTRQLNEKSDVYSFGVVLLELLLRKQPIFTTNSGMKQNLCSYFLSEIKTRPITDMVDAQVLEEANEEDIKEVASLAEMCLKLKGEERPTMKKVEMTLQLLRTKTMNSSQVDPTIDQEIQTVLTEGANDPEIQPLVTNLDVDRANAASQRFQISCYSLEQEFLSSASLPRDISMDGLIKTKVLQNTTQYSVD >ORGLA10G0032400.1 pep chromosome:AGI1.1:10:4335483:4336691:-1 gene:ORGLA10G0032400 transcript:ORGLA10G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLFELSAPRGEFYEPPPSLEPILTPGYEIRPEFIKAFVSGREEPFFAKTEPKPSTSSSLTTESSMKPSPDSREIQAPGCAPKFRDGPHTDYVKTLTGILPDNTPIEEVMAVLLHESPESTLEHDDLHFIRKDSGETLELHSKKPSSQPPIEREPCPSGHQNVVLDICQETTLFLHDASLEKENLQAMDKLETSTLEDENSTNEHESFSFKVSSVVMLT >ORGLA10G0032300.1 pep chromosome:AGI1.1:10:4332958:4333317:1 gene:ORGLA10G0032300 transcript:ORGLA10G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSHYMKDCWLAHXEQDWGEHMRKEVWGMVHNGGTRESSDQVALPRPCKCPTARTDQYPTRAVTSSKWDLRTALLFSAXLAVGIVWVKLDSLCRGELNHSNVPYSWFWSCVIRVIPTPKV >ORGLA10G0032200.1 pep chromosome:AGI1.1:10:4330274:4330594:-1 gene:ORGLA10G0032200 transcript:ORGLA10G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQLWGATWAPSLRWKRRGSSVAAAGSAGGAKRRRHRWKRLGGGGAHGGAVVAAPTGGRGGGSAWLGRAKWAGSAGPAAREEGGREGKEKGNGPNEWEFGPN >ORGLA10G0032100.1 pep chromosome:AGI1.1:10:4328658:4329217:1 gene:ORGLA10G0032100 transcript:ORGLA10G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAKEEAASASATAHGDCVLAAACRVFDGEPVELSAKVLLLRLRSAEAIAGIHGGYWCMRMGAEFISPDHWPFVQLVRAMERPEEAHEDDLPAASAGGRLAVPSSGRAAEDATAKQVQTV >ORGLA10G0032000.1 pep chromosome:AGI1.1:10:4317593:4318526:1 gene:ORGLA10G0032000 transcript:ORGLA10G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLPCCRSGKKKGRRRKGKKEAEEIEVKMEMWGLITRCPWKLRIGGIELLIYAAFIGRLSVICLMLFGVGSQRRKRDATSECN >ORGLA10G0031900.1 pep chromosome:AGI1.1:10:4273126:4274718:1 gene:ORGLA10G0031900 transcript:ORGLA10G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QSY6] ELEAMAAKELHFLLVPLVAQGHIIPMVDLARLLAGRGARVTVVTTPVNAARNRAVVEGARREGLAVELAVIAFTGPEFGLPEGVENMDQLVDIAMYLAFFKAVWNMVAALEAYVRGLPRRPDCVVADACNPWTAAVCERLAIPRLVLHCPSVYFLLAIHCLAKHGVYDRVADQLEPFEVPGFPVRAVVNTATCRGFFQWPGAEKLARDVVDGEATADGLLLNTFRDIEGVFVDAYASALGLRAWAIGPTCAARLDDADASASRGNRAVVDAARIVSWLDARPPASVLYISFGSLTHLRATQAIELARGLEASGRPFVWAIKEAKAAAVSEWLDGEGYEERVSDRGLLVRGWAPQVTILSHPAAGGFLTHCGWNATLEAISHGVPALTWPNFSDQFSSEQLLVDVLRVGVRSGVTVPPMFLPAEAEGVQVTSAGVVKAVTELMDGGEEGTARRARAKELAAKARAAIEEGGSSHADLTDMIGYVLELSAKKRQERDAGETAQQPPPSPAELGDISGDGDKVEADPALSVQS >ORGLA10G0031800.1 pep chromosome:AGI1.1:10:4259816:4260528:1 gene:ORGLA10G0031800 transcript:ORGLA10G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESDEQSNGGGGGGHRGYEWKLPAALSANTTSVHVTALDGVVNVNSLFTVAVFVGLSLADPGELRSLAGDASCDAGQGVARSLLVLEVVAFSSFLFSSLVAQGLKLALNLINSKDPHDTLHAHIDARLLRLGMLASAVGSVVGCVFLMVSMVMVVQIRLGTLGCATNRAAAKAAAGLVGLVTTALAVYVGTVFYTFTH >ORGLA10G0031700.1 pep chromosome:AGI1.1:10:4231052:4231438:1 gene:ORGLA10G0031700 transcript:ORGLA10G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSAALFAVVITMSLLSVEVANGCGDTSCSNPSPPPPPAVPTPTGGTCPINVLNLAVCANVLSLNVPSSQCCTLLQGLADLDAALCLCAALKANILGVINVDALVDVTLILNSCNRTCPPGFTCPL >ORGLA10G0031600.1 pep chromosome:AGI1.1:10:4227372:4228193:1 gene:ORGLA10G0031600 transcript:ORGLA10G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVESSASIASGGLAAPAPPTNPRNAIPAANPAANPISGAAHSAPANATPPATECPVRPPPPRPRWSEVDDERVLEILRDHLRRHDDLPRGVDLLMAVYGRLTRTDYSLAELEELVTGLRRRFEEDDAVLCSGSGGPAPGHELRLYTLSLEVWGAAPTAVAPPKPAAAATPTTAPAKNAPGTSYPARQAGRPRQAAAPPAKKVRYEEMRVQYPRLAAKVDEMARKALEGVSDMTAWSLELRLQNQQLAGGSPAARTDDREKELTGLISTLI >ORGLA10G0031500.1 pep chromosome:AGI1.1:10:4193772:4199783:-1 gene:ORGLA10G0031500 transcript:ORGLA10G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEELSCLSNLVVLKGFVVGRAQSKVNPCRLAILARMPRLRKLKLCTGKHCAVAGDDELRHLEHCDNLRSLTIVWGTKGVSSMIALPARLEKLDLQRTPMNDLLQFIQPSTSASLRKLYIRGGRLRAMTGGAACWKNVEILRVRFLKNMECEWRDLQTSFPNVMVVENWGCDKLASWPCNHLGVWKRGETVTRSATSFVGVCYGMRGTDLPSPMEVVELYKSNNIRLMRLYHPNHQVLSALCGSGIGIILGVGDNSILESLGYDQAAATNWVRTNVQAYSPGVSFQYIAVDIRRCNPS >ORGLA10G0031400.1 pep chromosome:AGI1.1:10:4149074:4160337:-1 gene:ORGLA10G0031400 transcript:ORGLA10G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDFLSRVLLLAFGYAYPAYECYKTVELNKPEIEKLIFWCQYWILVALLTVLERFGDFAISWLPLYSEAKLMFFIYLWCPRTKGTSYVYETFFRPYISQYENDIDCSILDLRVRAGDMLVVYWQKVAIIGQTTFFNILKYASAQSPAHSSRSRSTQQQSYPQKQQQAQPQQPKQSLPQQQQKQSLPKQQQQQIPHKQPTTLRRAASAAARTAGIMQQSEDTKIAPSNPKTRRLLPTNSAPTASTRSTVAATKPVEDLKSSGMKLATEEAPSPSSNAAMPGSEPSAPPLLISAEDDMSIDEVDIPIENMDEPVATPEETPMEEAIRVTRGRLRKRIAAVSTADGGAANXLHAICHLFPQNMCFRALGRSPVWLQQISLFPHYVLRALWXSAVWLQAADILVGLIYCFEPASLSSVSSTCFANLLICLDLEDVNIAGHLYICCASESLFVXHXNCMSPYLLDQLVPIXAYXYFCLLLGEMYSLVLGKTLNFVHYPISRFLXKSYPISRFVYKSNVKICVKNXFLWDGGSTLVLAQSLCRTGIXLRSGNWNPXLILRXWCITNXALRGLISANSVPLFFFSFLEIHLLCYQWLSMSXXKNGYRVLCDXRINXERKKCILYLELNFYVCLKYTPELXIRVXYILTFDTGHFIPCNLFYCGFFTCRMPCQPFATFDERVLXHEPGFFSLPHISPSSSSSXSPTYIFQIQNPXATTHMKAANSLPRDWTVSSIGLYLNRPKRTSEFLTIXPFXKTLITHLVNQAXSXNIXEWRIRRLALILEHRFLLGSCGXXFEYEMKALLQSXFFDISKLNFGQHXQLDDHTLNDKMCYMIRLITSYTSXLLCRPRDDPYSLDYASTITMRKNNILVLISKLVYPIIXMIVSNXNIXRVQSGIFCRYLIWPRLRLLIIYDYFARFWSAXXRCGWADLTIXILEXTKKKHFTELFNYIFRVWKVCQLRLIHAYXCIYFSITPVRNKLFKRFYHIFKLGCANIQSYVLFFSLHDLRSSNYYLIEMCVCPFPYQVKNLVXRASTLMSSSSIPTHRSSINIFPPLKLMSPMSPMTGHSSFVTYYNYDPXQDLPSSHILNEIFFGLSEMTHHIXSNMXXLVSVEFAARKAIAEWGHSATDITHLIFSTYFGCRALSMDLQLVTLLGLHPSDSRIILSTHGCSGSSRALQLAKEITENIYTYRQPSIKALLDFSXTSQEXRIHQQRNXKSKKLYCXXDEYWLSKKIFRXVASSLHLSTRXLSIRKEKELQRIIERNYTLFVNYFYSSQYNLFFIIKYEVLDIPAALSKNDILPNRSNIGIINACSLIAXYLVPNRSHSIHSSHLGRSPLLTEDPLPAXLVNLTVTSWTNAHFSHMFISVNIIDICHEHVVDVAGYMNSRLLLLVVPCRQHEDGTECCSXFAATRARXHVCPSCHGHXVHTLTSXSTCRKVGPSLPARTPXGSSDQGVIDDNIQHRVLTIHISLREAMYHYEQRPNSLLLAPKPIGXVERIWVXHKLHQEGNTKREKFVXANRXSGWPWWAYRXWRLFYEPXSRRRSPWCQAAAXCAVGQRLXRCQPLXRCGGQRLNSGIGDAEIDGTPYGLXLDVAPKMHDLHYLIRKSFYSLVVRAQRSCVDILFLHLIFKDPKHLQAXHCNWHELINIIFILGPXFLWPIIRLSDAGFIALSSVNYFSSQSXFWTTLTAPRPQAKYENVLXDXIDLELYITICNLQSTTXSIYIFILGLLLLXLIXYGRLSLMLGQVRXXCTKNNLTIPRLCSDCIDSMMITSCLXKSTXGGTIQVVSNSVLDSTSVSXKXLIVFNXILQSKHYGCKSDVVQLYRIFCHYLKIINNYLRTTCRFNGNXRSCQVAAPNNIFRFSKACSSQSINAYXXXYSRTNNVFLFLNMIPRNPYCQYXFGIFHQXRYTNSVIPTGKGSRTVLNYKISYXTEINIYAKTNXEIXKLHKFYPVXNXLILIFLLCDLIQILVLYFAPVGYIIYVVYRKTLLVLNTRCNMXGYSRAKLXISFANSEIFSGXLDQVVDELVXNHTASRXSFHSXTHHIAISLSLSLSEGNALHPHTFFLYHRPRLEQLPPLLLTAAGAHGMRKALPRSSLLALQTRQTYVLRMSLPTITSVXPRASTLLSLRTRXREYVKNPAXRSATSTLMRSSYVPTXRSSTKTCPPLKLVXTLPLSRSQSSLSPLHGRPLPSGAIRPLTSPTSSQHLLRPL >ORGLA10G0031300.1 pep chromosome:AGI1.1:10:4140747:4142216:1 gene:ORGLA10G0031300 transcript:ORGLA10G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIHHLIGDLPHRSLRDLSRRYGPVMLLKFGQVPFIIVSSPEAAKDIMKTHDSIFATRPQSEIMKIITKRGQGLVFAPYDDQWRQLRKICIRELLCAKRVQSFCAIREEEAACLVKSISSDQAHLVNLSKKLADYATDAAIRIITGTRFENQEVRDKFQYYQDEGVHLAASFCTANLCPSLQLGNTLSRTARKAEIYREGMFAFIGGIIDEHQERRAQDMYHKEDLIDVLLRIQQEGSLESPVSMETIKFLIFDILAGGSETVTTVLQWAMTELMRNPTVMSKAQDEVREVFKWKKMETVRLHTPGPLFMRECQEQCQVMGYDVPKGTKFLLNLWSISRDPKYWDDPETFKPERFENDARDFKGNDFEFIPFGAGRRMCPGMLFGLANIELALANLLFYFDWSLPDGVLPSELDMTENFGVTVRKKEDLLLHASLYAQLSC >ORGLA10G0031200.1 pep chromosome:AGI1.1:10:4139538:4140224:-1 gene:ORGLA10G0031200 transcript:ORGLA10G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRSDPATTVGKKPDLAAAAEDVGVGSGSGEDAAAVRAGRSSGDGEDAAALHAGRRRSEQGGEAKSTRGGGGAKSSRVEKEERSLRVESCGGFAKVVHELPLAEGIGKTVLSQLKWLLISELFLFYVWLLLLVLTREFCRDTSLLFDISSADLW >ORGLA10G0031100.1 pep chromosome:AGI1.1:10:4106538:4107460:1 gene:ORGLA10G0031100 transcript:ORGLA10G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PCIHMIFEVWFPTQPPYYSRHEDLMKGKALQQVVTFCRFTACSNICDPNLQAALKRTGKLSSRTARWRWRRLVPRARRDGTPLQCRAVLRAGRQGGNGAGQLRELDGVEYRGGGAAEQPDSKAAMAPAGSARSTGWNAAAAPSSSASWTARWQWRRPAPRARRGGTPRRRCRVASXDGAPWGGSSAN >ORGLA10G0031000.1 pep chromosome:AGI1.1:10:4095329:4096806:-1 gene:ORGLA10G0031000 transcript:ORGLA10G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLPLAFSLFLAVISAYVLQLLADARRRLPPGPWPLPLIGNLHQLDHLPHRSLARLAARHGPLMSLRLGTVRAVVASSPEMAREVLQRHNADIAARSFGDSMRAGGHCENSVVCLPPRRRWRALRRLSTVGLFSPRRLDAMRALREEKVAELVRRVSGHAARGEAVDVGHAAHVAALGVLSRTMFSVDLDPEAAREVSDIVDEASVLGTGPNVSDFFPAIAPADLQGVRRRMARLVKRMYAIIDEQIERRMHGRTAGEPRKNDLLDVMLDKEGESKEDSNEINRDAIRGLFTDLFTGGETTSHTMECAMAELLQCPNSMRRVQEELKSVIGTKQQMDEHDITKLPYHHTRPKPR >ORGLA10G0030900.1 pep chromosome:AGI1.1:10:4085476:4085922:-1 gene:ORGLA10G0030900 transcript:ORGLA10G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFHLCISSLLLVFIISYIFQPLLDARRRFLPGPHRLPVISNLHNIGKNPHHAFARLAGRYGPLMSIRLGGVRAVVATSADAAREILQRNNADITGRGGMDSWHACGHHANSSITLSPRWKWRAMRMLCTEELLVRIMDTTYLIVVD >ORGLA10G0030800.1 pep chromosome:AGI1.1:10:4080383:4083020:-1 gene:ORGLA10G0030800 transcript:ORGLA10G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAATWAATLAATDGPDLPEGRSDGGGGVRPSARAGGLSRPGGPGARRPEAASGGDTARRRRGRRQRATATQLGGGTEARQLSSSSTRRRGRERGRRGGASPTVIDDGLREELQGVTRAMREEVARELARRVSDASAGGTLVSVAREAFAAVAGVLWRSMFSEDMDAATTRQLRDVIEEAVVVAGAPNLSDYFPVIAAADFMGVRRRMDNLVGWVYGIIDVQIDRRRRRRIVCEPRKNDLLDVAFDMEGEVEGEGWVMNQDTMRGMFMDLLFAGSGSTSSTIEWAMAELLQNPKSMIQLQEELKGLIGTKTHVAESDISQLPYLQAVIKETLRLHPTVPIAFNKAEATVEIQGYKIPQGTTVYVNIWAICRRAKIWDDLDKFMPYRFLGRDINFLGTNFEFIPFGAGRRICLGMPLAEGMLHACI >ORGLA10G0030700.1 pep chromosome:AGI1.1:10:4070136:4074091:1 gene:ORGLA10G0030700 transcript:ORGLA10G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEEEGEVPFEFAXMTPYESGHAVPRWRQRAGNKADDVPIFSLQQPSMLHDFAITEHYAIFPESQLVMCPMNMALRGGSLIGLDSAMVDMIHINLRTGTVLRTALSPESLEFGVIHQGYVGRYNRYGYFGVSAPLPRFSGIRKLDFAMVGADDCTVARRDFGPGCFVGEPFFVPSNDNGDGNEDNGYVVCYTHKEDTGESQFVVMDAMSPELEIVAAVQLPARVPYGFHGRFITQAELLSQQK >ORGLA10G0030600.1 pep chromosome:AGI1.1:10:4061370:4061822:-1 gene:ORGLA10G0030600 transcript:ORGLA10G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIRALHNMDDDEVCAYANRIAAPYDLVMQTKQLGCLPIVQFATGNVATPADATLMMQLGCDGVFVSSGIFKSGDPACRAHAIVQAVTHCRSSRPSTPPPRRPRWHTFAVELCPVCRDRERKEEMNGEKERKKNREREIDKWAHGQICL >ORGLA10G0030500.1 pep chromosome:AGI1.1:10:4056383:4056718:1 gene:ORGLA10G0030500 transcript:ORGLA10G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSKKPKAKPKQRGGAAAASGEERVAASAPLPPAPPSPPRCRRRAPLSPPRGHPPLAPFAEIASGSSAFGSRAVSPPAGRQIRRGRGTPAEGERGDGGVWE >ORGLA10G0030400.1 pep chromosome:AGI1.1:10:4049275:4055411:1 gene:ORGLA10G0030400 transcript:ORGLA10G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT5G17250) TAIR;Acc:AT5G17250] MAAPGSHARRRAWPLLFLAVLMFHSLAIYLFTRGFLLTRTELDVHSHRDDRTGISPGCSSWPPPAVDRLVIVVLDALRFDFVAPSTFFQERQPWMDKLQVLQKLAADEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQFAKNGKRVVMMGDDTWIQLYPEHFNKSYPYPSFNVKDLDTVDNGVIEHLLPSLHKNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNRILEDVIDTLKSLSTSGGPHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPNAVLSVLGKNLCNADLHGKEVCVSTMQQLDFAVTIAALLGIPFPFGSIGRVNPELYALSAGTWDNQQIGANDCTQQNDLEAWMRRYAEALCINCWQVKRYIDRYSATSVIGFRAEDLNHVADLYSKAQANWSSVLRSTCPLETSSQDELKECANKECTSSALRLQIDAYSDFLESFAKLARSAWTEFDLWLMGIGLSVMILSVSTQACMLVKLNINQISEKERASSSFIPKNFFAFALVAIRAASFLSNSYILAEGRVANFLLATSCIASVWHSATKGKFIIEEFVFLLLNIFIRFGIEFGMSKQISGSIISNDHPVSIICGLFGSSFCSDLMEIFPIISLTLVAYIILKCISYAISHRFLKYSVMSGSILSYIFIAIHWASESTLLSHTKAIRETGISLAPHLVYTIGGLSLAISAFYRLFGSTDHLKMNERITSLSAVMLCSWSPTILILLGRQGPFVALICMTIAWCLIKLQQKNQRELKLDKGIHAADSVSVTQWSFLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPVLSLPFIAICWYNSASKNSKVNDATVTRLIQVLLMYGLITSITTTLTIICVTIQRRHLMVWGLFAPKYVFDAIGLLLTDLLVVLASIYYS >ORGLA10G0030300.1 pep chromosome:AGI1.1:10:4045277:4048174:1 gene:ORGLA10G0030300 transcript:ORGLA10G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYGAGGAMKGGKLGMEEARELQLNRIRITLSSKNVKNLEKVCADLVKGAKDKQLRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADV >ORGLA10G0030200.1 pep chromosome:AGI1.1:10:4041365:4042897:1 gene:ORGLA10G0030200 transcript:ORGLA10G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding IETEDGSDEESDESDSEVNVETELSKVTEMRIIPSDPCQLDGLFEAFSHCAELNPDPNAESDEENGWAHRDEGDEDMTGGSDAECEFSDVNPIGQTDEHDITHAVVELQINDQRFEDAEEAEHETHGNGH >ORGLA10G0030100.1 pep chromosome:AGI1.1:10:4033482:4035262:-1 gene:ORGLA10G0030100 transcript:ORGLA10G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGAGAGGGGRPWPRLGKMPAAALFAKQVVTGRWFMMLACMVIMSASGGTNIFSIYSGALKSSLGYDQRTLNTLSFFKELGANAGIVSGLVAEVAPPSAVLAVGACMSLAGYLVVYLAVAGRVARPPLWLMCACISAGADSQAFANTGALVTCVKSFPESRGVVVGLLKGFAGLSGAVLPQLYLAIYGGGHDAGSLILLIAWLPAAISLVFLRVVRVMPHRPTNGRVGGGGSNGPIFSFLYISFAVASYLLVMIVLQKTISFSHAAYAATAIELRIRREADVQETLPAAAPPPQPVVETPPPPPASTCGVGSCLKRTFNPPAHGEDYTIPQVVLFVCVICGAGGSLTAIDNMGQISQSLGYPARSVNTFASLINIWMYAGRAGVGSLSELLLSRYRFPRPLMLTLVLVVSSAGYLLIALGVPHGLYAASVVVGFSFGGLYTLLFSIVSEVFGLKYYATLYNLGMVASPIGAYIFNVRVAGALYDAEAARQNGGGGAGHRACAGVRCFRASFLIVTAATFFAVIVSLVLVWRTRGFYRGDIYARFKAAAPAPAAEGHRGEVTPEEASGTKLHGST >ORGLA10G0030000.1 pep chromosome:AGI1.1:10:4026041:4031140:1 gene:ORGLA10G0030000 transcript:ORGLA10G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G12230) TAIR;Acc:AT4G12230] MKGGGGAAAASAAPGDYVYFKSVVPLHKISIGPKLWRYYDFGPKTVPPLVCIPGIAGTADVYYKQIMSLSMKGYRVMSIDVPQVWNHQEWIHSFEKFLDSMNIHHVHIYGTSLGGFLAQIFAQHRPRRVKSLVLSNTFLETHKFAAATPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVSQVETLSRDDLSSRLMLNVNVASVGSLMLPDSLITIMDTNDYSAVPQQLKDQVNERYPGARRAVLKTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRPDLVQGFTRNGSAGSSKDQKDGGNNFDNHPGDNGGPGSGGHDRETQNSGSESHDSDESIPTSTMLANTILGTVSSTLQASLCVLLIHHYYESALYISSRQPLDV >ORGLA10G0029900.1 pep chromosome:AGI1.1:10:4016379:4016576:1 gene:ORGLA10G0029900 transcript:ORGLA10G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLLESDEMEAELRELNHDLATLLDLLPVVELGAWASPTTCSTSSPSCRASAGGARRHRRRSRR >ORGLA10G0029800.1 pep chromosome:AGI1.1:10:4011601:4011924:1 gene:ORGLA10G0029800 transcript:ORGLA10G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAMRRKMAVVVMSVLMMAAAAAAANYAAEPEEDCATQTTYFTNCLRRGIREGCCGVVKNHWCLCQVKREAEVKCIPGRRCDVPKALKIADMDLPCMRNLRCSKHA >ORGLA10G0029700.1 pep chromosome:AGI1.1:10:4002943:4003215:-1 gene:ORGLA10G0029700 transcript:ORGLA10G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLLPFFLPLSLSSLSPPFSSDWEQRKRLAVRAEVRRRSPRSGKWSTGCPGEASSRPTTSVWQTNRLARARAWFAGEEDDVVGAEPRG >ORGLA10G0029600.1 pep chromosome:AGI1.1:10:3999948:4000456:1 gene:ORGLA10G0029600 transcript:ORGLA10G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIPKLTANELRRQRDXERYAALSVEEKAARVQKNRENRKRKKSASTSGTGVLGDIKNLSLHNGSQTVDCRPSFQPVPSSTPVSSCTPVCRNRQT >ORGLA10G0029500.1 pep chromosome:AGI1.1:10:3998967:3999305:-1 gene:ORGLA10G0029500 transcript:ORGLA10G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIAVPAEGEEIGSSEGIGGVWRRRRKGTTLRRRERGMRGGIGWRRRSIGGSTIGLRRGEENRGEEERESSMVSASSSREKEEADNPWRMDGHRWRMDGGYGSGQTQMDGKD >ORGLA10G0029400.1 pep chromosome:AGI1.1:10:3990470:3991864:-1 gene:ORGLA10G0029400 transcript:ORGLA10G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCVGRAVPTRLILGRAPVPGHAHAGPGWVAHLAIIVQGDLVREAKTLWEKFRDGIVGTNHEVMATVDFLREKKEGRSSQCRSGCLPLPSCPPQHAPQPPHDHQLLLMQDAHPEXVPPRKAQCPSPLSGRVSLCCMHREREWSIPCLRCLVPCLKWFLPRL >ORGLA10G0029300.1 pep chromosome:AGI1.1:10:3974138:3980055:1 gene:ORGLA10G0029300 transcript:ORGLA10G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATTAVVGSRRGTQHAEGPATIIAIGTANPANIVPQDEFADYYFGLTKSEHLTELKDKIKRICQKSGIEKRYNHPYEEIITAHPEIIDKHMPSLEARVDIVASEVPKLAESAARKAIAEWGRPATDITHLIFSTYSGCCAPSADLQLASLLGLRPTVSRTILNLHGCSGGARALQLAKELVENNRGARILVALSKLTLICFSAPDESKIVGHGLFGDGAGAIIVGAGPLADGERPLFEMVAASQTTIPGTENALGMQATSSGIDFHISIQLPTLIRDNIQQSLLHSFCSVGNTDPKWNDLFWAVHPGGRMILDNIEGELQLQPWKLAASRQVLREYGNMSGATIAFVLDELRQCREKEKQEPEQPEWGVMLAFGPGITIETMILRNPLPHGLMEN >ORGLA10G0029200.1 pep chromosome:AGI1.1:10:3966380:3967138:-1 gene:ORGLA10G0029200 transcript:ORGLA10G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELETEVTDLTAQQLQLEEEHQAWGSEIDSLKAQLQVHGDQFQQLSDQYNDRRGMMDTLEEQLRESQEHVSQLEEQLRAATISTTGASTSTAVGRDRYFFLTPPYPPAFHALLGEASMLATESAPYLVDPTVTQPPAPEIVHTPLIPTPSPQLGSSLETPIQVDSKTEGTDTELEIEPDITDPSEDETPVPRITFLGGPRTLSTARKSTRPPGKRPKPDPEATTSEPWGLRFARASDHPLPAPGSCGWLDD >ORGLA10G0029100.1 pep chromosome:AGI1.1:10:3955445:3956977:1 gene:ORGLA10G0029100 transcript:ORGLA10G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATTAVVDSRRGTQHAEGPATILAIGTANPTNIVPQDEFTDYYFGLTKCEHLTELKDKMKRICLKSGIEKRYIHLDEEIICAHPNIIDKQQPSLETRVEIAATEVPKLAESAARKAIAEWDRPATDITHLIFSTYSGCRAPSADLQLASLLGLRHSVSRTILSLHGCSGGGRALQLAKEIAENNHGARVLVALSELTLVCFSTPDESKIVGHGLFGDGAGAIIVGAGSLADGERPLFEMVAASQTTIPGTGHALGMQATGSGIDFHLSIQVPTLIKDNIQQTLLDTFRSVGNNNPNWNDLFWAVHPGGRAILDNIEGKLQLQPWKLAASRQVLHDYGNMSGATIAFVLDELRRRREKEEHELQQHEWGVMLAFGPGITIEAIVMRNPQLSGLKEN >ORGLA10G0029000.1 pep chromosome:AGI1.1:10:3944137:3944663:-1 gene:ORGLA10G0029000 transcript:ORGLA10G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLNQFSHLRCHLRPSHRPHRCWCGSATCVSPIAIVGEDPLPSSSSPDLRKGPLFITPSALLVLRELVHEQANDLNEDDMDTTEAGIGDENEAFMDDENENYMDFEYIHHQLLAQGQKHVIRTQYVNLKKITQKRTSKNTK >ORGLA10G0028900.1 pep chromosome:AGI1.1:10:3941467:3943422:1 gene:ORGLA10G0028900 transcript:ORGLA10G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATTAAVVDSRRSAQRAEGPATIIAIGTANPANIVPQDDFADYYFGLTKSEHLTELKDKMKRICKKSGIEKRYIHLDEEIIRAHPEIIDKHQPSLEARVEIAAAEVPKLAESAARKAIAKWGHPATDITHLIFSTYSGCRAPSTDIQLASLLGLRPSVSRTILSLHGCSGGGRALQLAKELAENNRGARVLVALSELTLVCFSTPDESKIVGHGLFGDGAGAIIVGAGPFSDGECPLFEMVAASQTMIPGTEHALGMQATSTGIDFHLSVQVPMLIKDNIQQSLLESFQSVGYTDPDWNNLFWAVHPGGRAILDNIEGKLQLQPWKLAASRQVLREFGNMSGATIAFVLDELCHRREKDEDESQQHEWGVMLAFGPGITIETIVMRNPLARGLKQN >ORGLA10G0028800.1 pep chromosome:AGI1.1:10:3935502:3938856:1 gene:ORGLA10G0028800 transcript:ORGLA10G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRRFLNLIVENGADPGIRSLSCIDLTRYLLFHPPLPTATTMEACSSSSIISQQQQTADAFNTKNKHADGAAFMVQEKFQLPDPIFSFKAQAADPDYGWNIDCLPLADRKVVCVDQSGRAFLFDADTDQVVTMPSLNKPKWRPFSLFVPGTDNDGGDGEGSSLYIMEKSPKSEAGCSARCSDQFEAFVYRKPTATASFMSWYCQLLPPPPYVRDYAYIKRRHRITSYAVVAGDDDGSRRILVSAEDAGTYCLDVASNMWSXVGEWTLPFLGKVEYVPELKLWFGLSAEDQLLAAADLSAMDSQPELVSSWKELEQNRVWQVTQDPQLVNLGSGRFCIARFIEKLELGGDFDNKLTWQDFVILTGVEVSESFDLPAEAPRNQRTSMGQSKERISKAKRSEPEQGNDG >ORGLA10G0028700.1 pep chromosome:AGI1.1:10:3932124:3932348:1 gene:ORGLA10G0028700 transcript:ORGLA10G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSWPPRGRRSGLGDGVSCAAGTHLSLAIFLASATTAPPRRTTSLMVAAQEQARVSRSLHPLPLPPTLARWRA >ORGLA10G0028600.1 pep chromosome:AGI1.1:10:3929423:3930787:-1 gene:ORGLA10G0028600 transcript:ORGLA10G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDVPSALTSPCVMNSNVPLINSIHFSLRFNYTIIFIAHMCSLRATKLGAEITRFAGREWLAERIDATRMVEFLVTNIVGKEREVANKLSYHSVHQGGSRRIRQGTPDAQVRHRLWLGLRYALPGQ >ORGLA10G0028500.1 pep chromosome:AGI1.1:10:3924039:3927622:-1 gene:ORGLA10G0028500 transcript:ORGLA10G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photolyase 1 [Source:Projected from Arabidopsis thaliana (AT1G12370) TAIR;Acc:AT1G12370] MPPTSVSPPRTAPGPANPSPAHPSRVRVIHPGGGKPGGPVVYWMLRDQRLADNWALLHAAGLAAASASPLAVAFALFSRPFLLSARRRQLGFLLRGLRRLAADAAARHLPFFLFTGGPAEIPALVRRLGASTLVADFSPLRPVREALDAVVGDLRREAPGVAVHQVDAHNVVPVWTASAKMEYSAKTFRGKVSKVMDEYLVEFPELPAVVPWDREQPEGVDWDALIARVCSEAENVPEIDWCEPGEEAAIEALLGSKDGFLTKRIKSYETDRNDPTKPRALSALSPYLHFGHISAQRCALEAKKCRHLSPKSVDAFLEELVVRRELADNFCYYQPQYDSLSGAWEWARKTLMDHAADKREHIYTREQLENAKTHDPLWNASQLEMVHHGKMHGFMRMYWAKKILEWTSGPEEALSTAIYLNDKYEIDGRDPSGYVGCMWSICGLHDQGWKERPVFGKIRYMNYAGCKRKFDVDAYISYVKRLAGQSKKRNAEESPNPVVKLSKSQH >ORGLA10G0028400.1 pep chromosome:AGI1.1:10:3916297:3922486:1 gene:ORGLA10G0028400 transcript:ORGLA10G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAEAEISPPPPAADGGAIADTAEAGVAAEKESDGMEVEDRGEVVSQEATAVKPDEAEEPEEDPEEVGEGAEEAPAAGPGDVAAAAAESGPVAMEAGDGEPALEVAITAHEPVEEEPKDVMETGEEAIEPEEDEEPEEAEAEEDEEPEELEMEEEEPEDADSEESMEEEAGAEVSEEEAAADDMKEIADKDSEEAQDEDKHGDNHKGEVADQLSNDEDVGGRENDESPNDELDTSLLVLDSVPDGNDKTLELFVGGLPKDCVEEDIRVVFSQCGEVESIRIVKKRKRIAFVRYADISATKKALTEFKDGIKVNGKSVRVSVAEPHRKSSEQKALTKVKTVYLEHFPRSWDERNIEECCEGYGNIQKVNILRSKKKVVSFVEFSSRKSALACVEGISKAKINDREVKLAASLARPQSKVKLANESSKGGFNVHSGATSKSPDKSKMKKDQTDETIVKKSQLKLLKGDESKLPSKDDVEVPQTSTHSKGKAKVGKRQNTSIDERPSKKSRESGESKLTSQDEGEVGKSKSKSVNERPLKKASKNRDDVKLPSQSGLEEPQTSNRSKRKRKVRKNRSTTVNERPVEKAWKNRNMKHPAGSRYATSNQAYPTVGATSRSKPHSHDLAPHAGFIPPSSRVQRTPARDHQRTAPYNIRQISGSPYARERVAPRPAYSVHTSNAAGYEAGYAYTYLPPPPPPPPSISYHPPPPASISYHPGSGPYIIRRYY >ORGLA10G0028300.1 pep chromosome:AGI1.1:10:3904956:3909242:-1 gene:ORGLA10G0028300 transcript:ORGLA10G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHRNPTLLAPTPSPSSIPPPLEPSRSSPPPMAATIVSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVDNVNSVIAPALIGKDPTSQAELDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAICKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGAASFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWEHYAKMTAEIGEQVQIVGDDLLVTNPTRVAKAIQEKSCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVEPY >ORGLA10G0028200.1 pep chromosome:AGI1.1:10:3897504:3900524:1 gene:ORGLA10G0028200 transcript:ORGLA10G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFLWLSWLVLSLLSVYLLDLLAQSRRRLPPGPHPLPLIGSLHLLGDQPHRSLAGLAKTYGPLMSLRLGAVTTVVVSSPDVAREFLQKHDAVFATRSAPDASGDHARNSVALLPNSPRWRELRKIMATELFSTSRLDALHELRQEKVVELVDHVARLAREGAAVDVGRVAFTTSLNLLSHTIFSRDLTSLDDHGASKEFQQVVTDIMGAAGSPNLSDFFPALAAADLQGWRRRLAGLFERLHRVFDAEIEHRRRVAGKEHGKVKDDFLRVLLRLAARDDDTAGLHDDALRSIFTDLFAAGSDTSSSTVEWAMAELLRNPLPMAKACDELQRVIGSTRRIEESDIGRLPYLQAVIKETFRLHPPVPFLLPRQATTTIQILGYTIPKGAKVFINVWAMGRDKDIWPEAEKFMPERFLERATDFKGADFELIPFGAGRRICPGLPLAVRMVHVVLASLLINFKWRLPVEVERDGVNMTEKFGVTLAKAIPLCAMATST >ORGLA10G0028100.1 pep chromosome:AGI1.1:10:3890095:3893122:1 gene:ORGLA10G0028100 transcript:ORGLA10G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVTVGIVGNTLQPSLGANPHRPEEALHPTATRALVRPNLGLAQPAHASVSWDWYYIKVYPGTGTISQDVWIGGLFGEVPQSSKTLGFLLMVACGGQYDGDGCGGGISWSRRWRLPLVPSFSPSWRCLIHSSRHRYSYDRAADGVLEIHCSHGPCNGVETTPATATMTPAAAAATTPATAAITPAALVRTPVGAALPERLRICVCLRPCGRAADRVDLHLDFFLPCSAAALDAGGEGKARDIEARTLFGPHAIAPHRTPQQSTAGWRLVPHGTSTACYKSEDGRKTLITYIVIIVCNIIIS >ORGLA10G0028000.1 pep chromosome:AGI1.1:10:3885202:3885702:-1 gene:ORGLA10G0028000 transcript:ORGLA10G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLGHDLWLSEQDGGMRHGPREFDDLYNRKGITDIRYFTNSKMQFADDFLMPTLNLCITNAYIYNDRATAATSMASSTAQV >ORGLA10G0027900.1 pep chromosome:AGI1.1:10:3879737:3879958:-1 gene:ORGLA10G0027900 transcript:ORGLA10G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGEDSIWHTMEVLCAYGMRSRIWKESKFGMIGYVKFVSCTRGFPKVFRTSSMSLVRGFRLPTSGINRGGV >ORGLA10G0027800.1 pep chromosome:AGI1.1:10:3809934:3824690:1 gene:ORGLA10G0027800 transcript:ORGLA10G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLWLSWLLLSLLSIYLLDLLAHSRRCLPPGPRPLPLIGSLHLLGDLPHRSLAGLAKTYGPLMSLRLGVVTTVVASSPEVAREFLQKHDAVFATRSTPDATGDHARNSVAWLPPRPRWRELRKIMATELFSTRRLDALHELRQEKVAELVDHVARLARDGTAVDIGRVAFTTSLNLVARTIFSHDLTSLDDHGASKEFQQVVTGIMEAVGSPNLSDFFPALAAVDLQGWRRRLSGLFARLHRLFDAEMDNRRLHGMKEKDGDFLEVLLRLAARDDDVAGLDGDTLRSLFTDLFTAGSDTSSSTVEWAMAELLQHPISMAKLCDELRRVVGSRRRIEESEIGQLPYLQAVIKETFRLHSPAPLLLPRQATRTIQILGYTIPKGTRVLINVWAMGRDEDIWLEAGKFMPERFLERTIDYKGGDLELIPFGAGRRICPGMPLAVRMVHVLLVSLLIHFKWRLPAEVEGNRIDMTEKFGVTLAKANHLCAMATPT >ORGLA10G0027700.1 pep chromosome:AGI1.1:10:3808560:3809340:-1 gene:ORGLA10G0027700 transcript:ORGLA10G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAVMKADTGGTSRSARHTTRLHLKTELKPICQTRWPRRTCPLASISITFVRVVTSMVGARAMAGGGGGGASQMQPSSSLHHSATARGSSSTSAGRRRAGRLGRAWGRRSQRGGGPEQDEDGGGRGGDSSGRRWRSEVLTGVVAKRXWHAGGARAGDVAARGGSGRAWXRLGAAAERXKRXLAAVGSTLTGGFFFLMWH >ORGLA10G0027600.1 pep chromosome:AGI1.1:10:3725499:3741097:1 gene:ORGLA10G0027600 transcript:ORGLA10G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWILGWLLWLPVFLISLYLVDILAHSCRRLPPGPRPLPLIGSLHLLGDQPHRSLAGLAKKYGPLMSLRLGAVTTVVVSSPDVVREFLQKHDAVFADRSIPDSIGDHTKNSVIWLNPGPRWRALRRILATELFSPHQLDALQQLRQEKVAELVGHVARLAREGAAVDVGRVAFATSLNLLSRTIFSRDLTSLDDRGASWEFKQVITDIMEAAGSPNLSDFYPAIAAVDLQGWRRRCARLFTQLHRLFDAEMDHRKLHGRHGGPGENGKEKDDFLEVLLRLGARDDDIAGLDGDTLRSLFIDLFAAGSDTSSSTIEWAMVELLKNTLSMGKACDELAQVVGSRRRIEESEIGQLPYLQAVIKETLRLHPPVPLLPHRAKMAMQIMGYTIPKGTKILINVWAMGRDKNIWTEPEKFMPERFLDRTIDFRGGDLELIPFGAGRRICPGMPLAIRMVHVVLASLLIHFKWRLPVEVERNGIDMTEKFGLTLVKAIPLCAMATPT >ORGLA10G0027500.1 pep chromosome:AGI1.1:10:3709650:3710864:1 gene:ORGLA10G0027500 transcript:ORGLA10G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKDTSKQLLPTRASSSSRFGGKVGSNIAVVLLLVSLGFVLGLTSSNAMFLKSFYPSSLMPSSMAPLRLVLSSTSTSPSPPSPPPPPPPPPPQQPAPMHSMGDEELFWRASMAPKSRRRLPDGVVPKKVAFMFLVRGELPLRPLWEKFFEGQRADHYSIYVHAHPSYSFTGSPESVFHGRYVPSKAAKWGDASLVEAERRLVANALLDAGNSRFVLLSEACIPVYDFATVHAYLTGANTSFVDSFENGGSRSRYREFFAGRNITLARWRKGAQWFEMDRALALEVAADDELCFPAFRDFCVGRRECLIDEHYLATLVTMLGWGRRNANRTLTYADWSRPVNRHPHTYTAEEVTEKVIGGIRADKRCSYNGASSGGICNLFARKFPPETLQPLLRLAPKVMGFG >ORGLA10G0027400.1 pep chromosome:AGI1.1:10:3682385:3683329:1 gene:ORGLA10G0027400 transcript:ORGLA10G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLPWLPWLLAALLSVYLLDLLAHSRRLLPPGPRPLPLIGSLHLLGDQPHRSLAGLAKMYGPLMSLRLGAVTTVVVSSPDVAREFLQRHDAAFASRSVPDATGDHATNSVAWLPNSPRWHALRRIMAAELFAPHRLDALRRLRREKVQELVDHVARLAEREGGAAAVDVGRVAFATSLNLLSSTIFSRNLTSLDDHGESMEFKEVVVEIMEAGGCPNVSDFFPAIAAADLQGWRRRMAGLFARLHRVFDAVVEERLSERDAGEARKGDFLDVLLDVAARDNPSAGLDRDTLRSLFTVTRTRACLLLSVPLIP >ORGLA10G0027300.1 pep chromosome:AGI1.1:10:3679608:3680218:-1 gene:ORGLA10G0027300 transcript:ORGLA10G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSRMRRSASPRSGQPQTKRTRKLCLADGLQDPGLHKVLNAGLGHHCDGDDALDVVDEHRVGHVGDAACARMSARMRSSAMTAQRPGRRDSRRGWCGKVVADTRTTWASVVVADCMGDGMVFGRRGEGGKDDGRRDSRKDGVGRPTLVGLGHRYVLGVQRVAREQL >ORGLA10G0027200.1 pep chromosome:AGI1.1:10:3647771:3648058:-1 gene:ORGLA10G0027200 transcript:ORGLA10G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTYYLSSCCSSSVVPLLPHRRQRPPTAPPRRRLLHHAVVDIHPIVLLPGNGCSKFDAELTKHYKPSPWAPVPRGRGRDGGSGCGRTALCSSFAHNQ >ORGLA10G0027100.1 pep chromosome:AGI1.1:10:3609531:3609950:-1 gene:ORGLA10G0027100 transcript:ORGLA10G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVLLALMCPVVNGSALLPLHDAAVDLHPIMLLPGNGCSQLDAELTEHYEPSPWAPASCGGAAAAGKGKGRRRWFRLWKNSTALGDPAVALCYADQLRVVYDRAVTDYRNIARVWTHVVSFGTTRGFGSDDDPSDPER >ORGLA10G0027000.1 pep chromosome:AGI1.1:10:3586115:3587491:-1 gene:ORGLA10G0027000 transcript:ORGLA10G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLPRLLPLLLFLPFFHIAASTSPSGLHPVVLLPDTTCSQLEARLTDAYVPPSPQCAAHHKDHDGRWFRLWKNTTELDDPAVAPCVADQLRLVFDHVAGDYRNVPGVETRVLDFGSTRGFLADEPANRNRCMGRLVEALEEVGYRDGETLFGAPYDFRQSPAALGQPCRAFSRYRQRLRALVEHASRTNGDKPVVLVSHSEGGYFALEFLNRSPLPWRRRHIKHFVMASTGAGGFVKFMEVVASCVSDVSPLARVRRSVPSKFTPLPSPKVFDRDAPLVVTRDKNYTAHDMPAFLAAAGLPEFEVTLYETRELPMAMNFRAPVVPTTCINGIGVPTAEKLVYWDGNFGEAPETVYGDGDGLVNSASILALDTVIGDDPMQQYYKSIKIAGMYHAGVISDGVALERLISEILRESFVQDSKKVDYRRVAQL >ORGLA10G0026900.1 pep chromosome:AGI1.1:10:3582674:3584188:-1 gene:ORGLA10G0026900 transcript:ORGLA10G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:I1QST6] MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENIEDNRRALRELLFCTPGALQYISGVILFDETLYQKTKDGKPFVNVLKEAGALPGIKVDKGTIEVAGTDKETTTQGHDDLGKQCAKYYEAGARFAKWRAVLKIGPNQPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIDRCAYVSEVVLAACYKALNDHHVLLEGTLLKPNMVTPGSDAKKVAPEVIAEYTVRTLQRTVPPAVPAIVFLSGGQSEEEATLNLNAMNKLSAKKPWSLSFSFGRALQQSTLKAWAGKTENVEKARAAFLVRCKANSEATLGTYKGDAVLGEGAAESLHVKDYKY >ORGLA10G0026800.1 pep chromosome:AGI1.1:10:3577812:3578924:-1 gene:ORGLA10G0026800 transcript:ORGLA10G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAPPRGRRPVIPAVEEHHGAGRPRRRARPASPTSSASSSTTPPVTTAASPAWRLVSWTSAPPVGSSPTCPPTVQPAETTVSHELRQQKHIDRDEKYCGLYTRAETSAWEGSSRRWRKSATATARPCSARRTTSGRGQRRRGSRAGRSRGSGVSSGRLSSTRAGRTGTNRSSSCRTARGATSRSSSSTGAPWRGAGGTSSTSSWRPPAPAGSCWDCSPWSPASATPPQWALPGGASPASSRRCRHPRCSTATRRWWSRGTRTTGAPDGSRSPGVRGDAIQDEGAAGGDEFQGAGGADDVHQRRRRADDGEAGVLGRRLQPGPGDRVRRRRWGRQFGEHTGSRHGDRRRSEAGVLQVRQDRRHVSRRRCL >ORGLA10G0026700.1 pep chromosome:AGI1.1:10:3565689:3571842:1 gene:ORGLA10G0026700 transcript:ORGLA10G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAEKLARADDTVREMGLTGALDIRIGGRSSKGISGGQQKRLSICLDILTCPRLLFLDEPTSGLDSAASFHVMSRITSLAAREGMTIVAVVHQPCSEVFELFHGLCLLASGSTIFFGPASTAAEFFASNGYPCPPMRNPSDHFLRTVNKDFDKESEEGLPCMPAEEAIDILVNSYKSSNTSEVANQEMRYVNEMDRAIIGRNRPGFVTKTLVLTRRSFVNMYRDIGYYWLRLAIYVCITVCLGTIFYHVGYGPDSIQARSHMLMFIATLLTFMAIGGFPSFVEDMKIFRRERLNGHYGVAAFVISNTLSSIPYLLLNAVVLGAIAYYLTGLQGKIEHFVYFALVLCACTMLVEALMMIVATIVPDFLMGIITGAGIXGIMMLTSGFFQIPNNLPKILWKYPMYYISFHKYALQGFYKNEFSGLVFQSNLGGQETVSGEKVIVELFQVETGHSRWVDLAVLCGMIVIYRLLFVVIIKVIDVVKPMLLGLTFRCNTKCICGIENLCSTS >ORGLA10G0026600.1 pep chromosome:AGI1.1:10:3555969:3556436:1 gene:ORGLA10G0026600 transcript:ORGLA10G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAPTPSPSLPLWRTSPGGGDGATVRGFLRSTFRTVLAALRGRRAAPRGDTAPPRPLHPAAAAATTEHAAASGFDSIGIDVVGAARGEKRLDDGDGGGVFLTWEDVWVTAVDSRGHAAAILNGVGGCARPGEVLAIMRQDHAARHPRR >ORGLA10G0026500.1 pep chromosome:AGI1.1:10:3540517:3544373:-1 gene:ORGLA10G0026500 transcript:ORGLA10G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1QST2] MAGEIIPDGGGGGAVVAAESVMLPASMVLVQLFSVVLVLLSKLALSTGMRPFALLAYRNLVGAVAVAPLAFIFERKNRKIPSIVEWCWISLNATFGVILSMGLYYYGLRSTSATYSVIFLNLIPIVTSIIAIIFGAEKLVFTNWPGKIKLFGIITCVGGTMVVSLYKGKLLHHPWPSHLLKFHTQKASGYAYHHNLLAGTLFLCGSCLSYAFWFIIQVRLASVFPYRYWATTLTCLSGSLQAFVIGILISPTKSAWTLKWDMQLLTVVYSGVFNTGISFVLMSLAVKHRGPIYPSMFNSLSLILMVIMDSVLLGTSIFLGSILGTAFIIVGLNAFLWGKGKELKQAVAQHTSHKQNTDHNEQVGDEIA >ORGLA10G0026400.1 pep chromosome:AGI1.1:10:3530750:3535504:-1 gene:ORGLA10G0026400 transcript:ORGLA10G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 [Source:UniProtKB/TrEMBL;Acc:I1QST1] MMSFLPKGKTTQTAFKWPWRGESQLSAHLLIDIPPEIELSDYRRLPSPGNESPSGLLHGEDFKEEVIPDLDIFFERLYEYFCAKGLRCIITKWIIEILNVTFMVCCIGFFFLFVDWPALGDLKCGVEALESGAKPCDLMKLIKYHPLDPFTFTKFITIGSMVILSTYGIINFVKFFVKLRSTLKVRDFYCNSLKVTDLEIQTISWPRVVEKVVLLQKSQRLCVVKDLTEHDIIMRIMRKQNYLIGMVNKGIIALPIPSWLPGVGPTVSSRMHGKKSYLMLPKALEWTLNWCIFQTMFDRKFCVRKDILTSPSLLKKRLVFMGIAMFLLSPCLVIFPLVYLFLRYAEEFYNHPSTASSRKWSNLSKWILREYNEVDHFFKHRLNNSSVNSLNYLKQFPTPLVSIIAKFISFVSGGLAGILLILGFLGESILEGHVFGRNLLWYTIVFGTIATVSRNVVVDELQVIDPEGAMSFVLQQTHYMPKRWRGKEGSELVRRDFESLFQYTITMLLEEMASIFITPYLLIFVVPKRVDDILCFISDFTVYVDGVGDVCSLSMFDLRRHGNRNYGSPHNAVKSMRSSQGKMEKSLLSFQSTYTSWEPNADGKKFICNLQKFKEKQIRQHTFQTTESSQLGLSSRGQTAVFHRLLPRNIYPGNGVIFNFDPLGLLDTDQRTCPYILDWYYTHQHTNREAGSSSHLNEASPEQQEEIWPPLSKPLTEIEGEQIWDSDLYRRARSYLEASTSSAFFRQATTFKRHGREQNSTSRQWWAQASRQQADPRNSFQGPPQDSFLEPPDFRNHLEASHDSSHQSDCRLTSRRSTDPQDSFVEPPDFGDYMSCHSSSYHGDETSDGNSELDQSNNSWRSPHALSKTRYMGDDDLDLEQGPSFHFTDAPQKDSGSEGDGHGVANIYSSTPASLPVRIIPRSSDPV >ORGLA10G0026300.1 pep chromosome:AGI1.1:10:3525410:3525727:-1 gene:ORGLA10G0026300 transcript:ORGLA10G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFVPPGKPPRHRDRCLHCYTKFLAKTKGTATNAIVKKLLSLYDGLWINRSTNFFQRLQNLKDRLGEAMVVQNAETTLRPYCHYLAAFHRLWLLPRRYPTQMNS >ORGLA10G0026200.1 pep chromosome:AGI1.1:10:3518299:3521071:1 gene:ORGLA10G0026200 transcript:ORGLA10G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVIGSLILKLGDALGNESCQLGSSLLVYEASALKGLFGEIRMIKEELESMQAFFCTSERFKDTDETTVAFVKQIRGLAFDIEDVIDEFTYKLGEDREGMFLLKAFRRIRQIKTWYRLANSLQDIKVSLKSAAERRCRYDLKGVRRERKLMRLGSLNQRSTESVHFKREADLVGIAENKQLLMDWLKDEEQQHMIITVWGMGGVGKTTLVAHVYSAIKTDFDTCAWITVSNSYEADDLLKQIVAEFRKNDRKKEFPKDVDVTDYRSLAFWKSENRSCPVELHPWAQRFVDKCKGLPIAIVCIGRLLSFKSANLLEWENVYRNLEMQFTNNYILDMNIILKVSLEDLPHNMKNCFLYCSMFPENYVMQRKWLVRLWIAEGFIEESEHKTLEEVAEDYLTELINRCLLVEVKRNESGYIDDFQMHDIFRVLALSKAREENFCFVLDYTKTHLIGKARRLSIQRGDISQIAENVPHLRSLLVFHNSLSFNSLRLFARSVKLLSVLNLQDSSIESLPNDVFDLFNLRFLGLRRTNIAYISRSIGRLQNLVVLDAWKSKIMNLPEEIIRLSKLTHLIVTVKPVITSMNFVPSVGIPAPTGLWSLGCLQTLLLMEASSEMVFYLGALVNLRSFRISKVQGRHCAKLFVAITNMFHLVRLGIHANDNQEVLQLEALKPSPLLQKLILQGALDKESLPQFFMSISKLKSLTILRLVWSKLDEEDFYYLEELQQLVKLQLYDAYNGKRLSFQATSFPKLRILKIWGAPHLSLIKIERGAMSSMVDLKLLLCPELKLLPRGIEHVTTLEEMTLDSTAEELVGRVRKKNEARISHVKRVYVGFIRNGELAAERIQ >ORGLA10G0026100.1 pep chromosome:AGI1.1:10:3514291:3515316:-1 gene:ORGLA10G0026100 transcript:ORGLA10G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVLPHLHDSEPAALVGGFGQHLCPRSCHGARVAEAAVDSKLHDVALLQRDAAAVEEDLAARRRSMRRFEWGSVKTNSAAARTMPRRAMWRLTWRRRSLMSSSDSEIDENSSFYVGGVNAHLSPNSLHFADRCPRSSWSFSTIKASRRLIKILICVFVKPMPSVEIMKKLAIPSLETI >ORGLA10G0026000.1 pep chromosome:AGI1.1:10:3506177:3507607:1 gene:ORGLA10G0026000 transcript:ORGLA10G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QSS7] MKRKTFVLFPSLGVGHLNPMVELAKHLRRHGLGVVVAVIDPRDDDATSADATARLAAANPSVTFRILPAPATASPDPGAHRVRRSLDTLRLANPVLLEFLRSLPFAVDALLLDMFCVDALDVAAELAIPAYFFFPSPASALAVFLHLPHYYANGTSFREMGKAALLRFPGIPPIRTVDMMATMQDKESETTKIRLYQFKRMMEGKGVLVNSFDWLEPKALKALAAGVCVPDKPTPSVYCVGPLVDTGNKVGSGAERRHACLVWLDAQPRRSVVFLSFGSQGALPAAQLKEIARGLESSGHRFLWVVRSPPEEQATSPEPDLERLLPAGFLERTKGTGMVAKNWAPQAEVVQHEAVGVFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNKVIMVEEMKIAVPLDGYEEGGLVKAEEVEAKVRLVMETEEGRKLREKLVETRDMALDAVKEGGSSEVAFDEFMRDLEKSSLENGVCS >ORGLA10G0025900.1 pep chromosome:AGI1.1:10:3481716:3483974:1 gene:ORGLA10G0025900 transcript:ORGLA10G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATTAAIVDSRRCTQHSEGPATVLAIGTANPENIILQDDFADYYFGLTKSEHLTELKDKMKRICHKSGIEKRYIHLNTEIIHAHPEIIDKHVPSLETRVDIVATEVPKLAESAARKAIAEWGRPATDITHLIFSTYSGCRAPSADLQLASLLGLRPSVSRTILSLHGCSGGGRALQLAKEIAENNRGARVLVACSELTLICFSTPDESKIVGHGLFGDGAGAVIVGADPSADGEHPLFEMVAASQTMIPGTEHALGMQATSSGIDFHLSIQVPTLIKDNIHQCLLDAFRSVGNTDPNWNDLFWAVHPGGRAILDNIEDKLQLQPWKLAASRQVLSEYGNMSGATIAFVLDELRRRREKEEDMQQQPEWGVLLAFGPGVTIETIVLRNPLSRGLKGN >ORGLA10G0025800.1 pep chromosome:AGI1.1:10:3413743:3419678:-1 gene:ORGLA10G0025800 transcript:ORGLA10G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAVVGETTMAGCPGNCGGVGIPYPFGIGDGCFRRGFEIICKNDAPFLAGSGNKLIPISDLSIDSSEARVTLPIGWQCFNSSDEVDSYDESMVDFKRDGMYRISHTRNHLVVLGCNTLAYVGSQRRAGVVNDYDHASYTGCLCYCNDSSSAVSSDCDGVGCCQVDIPPDISDNMVSFDSYPHERNLNYSPCDYAFLVEKTNYTFSTADLRMDKSRTMPVTLDWAIRDNLTCSQARKTAAQVGGYACVSDNSDCHDSTNGPGYVCKCNKGYEGNPYIHNGCIDIDECQLPNTCYGRCRNKPGSFECWCPKGHSSADPFKERCTPNFPLPAQIVIGVLGGLFIIAILVFIALLRREKRKTKEFFEKNGGPILEKVNNIKLFKKKDLKPILKNANVIGKGGFGEVYKGHIGNNNQLVAVKKPINVNLAKKDQFANEVIIQSRVIHKNIVKLIGCCLEVDIPILVYEFVSKGSLEDVLHGSNRLPLNLDKRLQIAAESAEGLAYMHSKTSTTILHGDVKPANILLNDDLLPKISDFGISRLLAMDNDHTMSVIGDMSYMDPVYFQTGLLTDKSDVYSFGVVLLELITRKKASHSDKNSLLRNFLDAYTSGKTVTEFVDEEIAAANDHELLVNLAGMIAQCLNLEVDQRPEMTDIAERLHYMAKRARSN >ORGLA10G0025700.1 pep chromosome:AGI1.1:10:3391367:3396340:-1 gene:ORGLA10G0025700 transcript:ORGLA10G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISTSTTPLIVLVLQLLLIASADSSRSATAGESVTMMAGCPGNCGGVGIPYPFGIGAGCFRKGFEIICNDDDAVPFLAGSGNSLIPVSDLSFDPPEARVMLPIGWQCFNSSDKVDGYRGPRVDFNRDGVYRVSHTRSHLVVLGCNTLAYVGSQHRPGVVDSDYDHAEYTGCLCYCNDSSSAVSGDCDGVGCCQVDIPPDITDNTVSFDGTYSHERNLDYSPCDYAFLVEKDNYTFSTADLRMNKNRTMPVRLDWAIRDNLTCSQARKTAAQVGGYACVSDNSDCHDSTNGPGYVCKCNNGYDGNPYLPNRCTGVVGGLFIAAVFIFIALLRREKRKMKEFFKKNGGPILEKVNNIKLYKKEDLKTILKNANVIGKGGFGEVYKGYIGDSNQLVAVKKPIHVSLEKRDQFANEVIIQSRVIHKNIVKLIGCCLEVDIPILVYEFVSKGSLEDILHGSNRVPLNLDQRLHIAAESAEGLAYMHSKTSTTILHGDVKPANILLNDDLLPKISDFGISRLLAVDHDHTMSIIGDTSYMDPVYCQTGLLTDKSDVYSFGVVLLELITRKKASHSDNNGLRQNFIDAYTSGKTVTEFVDEEIATTNDVDILVNLAGMVVQCLNREVDQRPEMTDIAERLHNMAKRVHSN >ORGLA10G0025600.1 pep chromosome:AGI1.1:10:3385150:3385509:1 gene:ORGLA10G0025600 transcript:ORGLA10G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMARWRASTSSRLPTLPFSAPAPPFQEATAPLAASDDDAAAMAVFELERISEEAAAGRLGSSGGGNGSPSSGSDGGEGCRKCSHPDDRRGGEKSDAICHCSMQRIFSFLISSKDFLS >ORGLA10G0025500.1 pep chromosome:AGI1.1:10:3379669:3382280:-1 gene:ORGLA10G0025500 transcript:ORGLA10G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMADGSFLDRMVSQLRSACRYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTHHLDKVLEEAAATFHPHVKFVRVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKAVDPNVTKYSVKVLPFNYDQSVYGFREYFKKHGFKYFETN >ORGLA10G0025400.1 pep chromosome:AGI1.1:10:3370372:3373128:1 gene:ORGLA10G0025400 transcript:ORGLA10G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGIIILKLGLALATDTSRVGRNWLCHEASALARIFSQIRDMKEELESMQSFLQGAERFKDTDNTTANFIKKIRCIAFEIEDVVDEFTSKMEVKQGGLASKIKQRICHIKTWHRLAFKFQDIKLKLENVDRRKVRYDMTGLVKNAEQSDANCRYTDHTSYFPTEEDLVGIDDNKKLLMNWLRCDSQLQSVITTVCGMGGVGKTTLVAHVYNNVKVDFDSAAWITVSKAYQVEELLRQIIKGFNSNDLKSELRVDIVDMEKRTLVEIIRDYLKRKRFLLVLDDVWGVDMWFKIREAFPANSIGRFVITSRVHDIALIATGNHKIELKPLEAHHSWELFCRLLSCKSPCYSEWENLYKELELQLSNNAILDVNIVLKLSLDDLPYILKNCFLHCTIFPEDYLIKRKRLIRHWVTAGFIAVTEHKTMEDVAEGYLYELVNRSLLQVVERNESGRVRSCRMHDIIRILALTKSNEESFCSVYDGSRTTSKQNTRRLSIQSSDIEKFTVSSEVHLRAIYAFNELVTSDSLKFFLKSFNLLSTLDLQGTQIRKLPKELFNLFNLHFLCLRDTFVEDIPETVGRLQKLEVLDAFNARLVSLPQSIANLHKLRYLYVATDPRKGTKGVVPWIGIQVPNGIRNLKSLQALQLVEANSETLCHLGALTELRTFAITQVRREQCSDLCNAIMNMNHLASLSIMAINETETLELDGLRLPPSLSKLELGGKLDKESMPRIVSSFSDLGNLTLLTLALSKLDENSFSCLLLLNGLRGIWLDKAYEGKKLHFNAMSLPSLRLLAISDAPELNDVVIEQSALQNLIRLTLIDCPELKTLPDGIEHLITLEELYMRGASKELTKKLKQKEDSNYSNTYLMKINHIRRVTVFP >ORGLA10G0025300.1 pep chromosome:AGI1.1:10:3352491:3353128:-1 gene:ORGLA10G0025300 transcript:ORGLA10G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGRNHNGAPSLAWKRWHGTQQAYELDETVAGGAPPAREVADLELPQLDPVPPRLDLTSPSRIGLGCLVCTVEGGGQRRAWMLTVPNCSDMGDDSRDGGTVSYRRRQCCRAGDPAKAGLG >ORGLA10G0025200.1 pep chromosome:AGI1.1:10:3336800:3338429:-1 gene:ORGLA10G0025200 transcript:ORGLA10G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVVVSASGSGSGGGGGGGGGGAGGGGGGGPCGACKFLRRKCVQGCIFAPYFDSEAGAAHFAAVHKVFGASNVSKLLQQIPAHRRLDAVVTICYEAQARLRDPVYGCVAHIFHLQHQVAGLQSELNYLQGHLSTMELPSPPPYVAGPTLAPPQPQPLMPMTAAANFNFSDLPSSSAANIPVTADLSTLFDPLPAAQPQWGLYQQQQHHHQQLHHHPYDRMGDGSSSSRGGDDDGSDCGDLQALARELLDRHGRSSSSSKLEPPPHTQ >ORGLA10G0025100.1 pep chromosome:AGI1.1:10:3329784:3330317:1 gene:ORGLA10G0025100 transcript:ORGLA10G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEAPQGTADAAGKARQLGDFSTRIGSSRVARSSPXARTLPPSSGPCQLRRRKDSTACERGPEKRLVVGAASSPSRDQHYVLSPPLRRRHEGALCGVGHVDNTIKDQQWWIHHGVCGVSSPHYPCYPPLPDTTYHRRV >ORGLA10G0025000.1 pep chromosome:AGI1.1:10:3323383:3327108:1 gene:ORGLA10G0025000 transcript:ORGLA10G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVRTVKEESEQKLQDVVFAKTKQWEMMKAELEAKLASFEHELIRAGAENDALSRSLEEREHLLMKVGGEKEQAESQIEVLKGTIQSGEKEISSLKYELHVLSKEFEIRNEEKNMSVRSADVATKQHVEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKLEVDSWGRDHADNRLRRSPSRSSNFHHPLSPSPDNSLENLQHMQKENEFLTARLLSMEDETKMLKEALSKRNNELQVSRNTCAKTAGKLRSMDVHMVSARQYKNPTNSNLDVHHDGALSLNGSNPPSLTSMSEDGVDDATSCAESWANALISELSHIKKDNGGKDSLTENSNQMVLMDDFLEMERLACLSPEGKECGSFIDKKKATKVHTTLTTITKRESDRDSWPSSQFPDTPSSSEHLPENSPLSKLHSRISSLLGSHSPQNNVGKVLDGIRNILRDIKEEAESVSAKKNQPDNMNGLADNGSLTKQSKNLRDVDHGLRHAILEILDFFQPFKRQLSEVQGKSSHQNTILEKIEKFSTIADKVVLNENALAEMVIALAEILAGNSAIKLTLLRDSITETESNNLDYVDKVTLLENKVHREPIKDSLADICSLVPHSSSDPDFEGSRDAFVVKTTVQMCSMEEYEQLKSEKRKLEMELAKCNETIECRKLEFSLMEKNMEELTSKLSACEKSNSLTETQLKCMAESYKSLELHKLKLESEIEVMHRQINTLRTELADERQNHQDDLAKYRDLKDKIERYENEKNTSSVDEDAGVKMKQDKEIAAAAEKLAECQETILLLGRQLQTLRPPPAEPLGSVLNQQPVGVFSEDQARTTQGLHFKKLSGQFDTDHTFSSAPGTGNVSPLNGYRTHKSPSNLDGNTYFASPNNSKRPKHRSRSSSSSSFTNQFTEKQSRGFSRLFSKSKSEY >ORGLA10G0024900.1 pep chromosome:AGI1.1:10:3307052:3312179:-1 gene:ORGLA10G0024900 transcript:ORGLA10G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSDEAALLQRELDVAGGGEEGEDGDEASWWWCSRSAQGKVVVVRVQGVRQEVPVAASHGRPLQLPHPXYCRRHEDHVREAAAATAAASGGCSNRATCGALLASYTCATQLLAAAAVADWSQVAAGIPLSKEQLVVAVAIVVADDGQGQAAEVFLLDLAAEELNLGPSMNSEATSIPKALPALSGQFIVDGATGLADDLRRVEAEGLAYVEGLEEVDGCSSRGSTNLCPVGRWISDARLHDAPRIIRLHFCRIWSRADIISSRDPAILSRSFSHSEVVSXYSSSAISPYENKCYNDYRLRWVTGVDCIGYSVSTSAISGIYGSSIVTRRTRVCAMYHRMYNAYVRRSYGGSGRTIVCPASGGPISMDTSRSVIAISPHGRWKVCPSGMSKWYIAQTHVRLVTIEDPDIPEIADVDTLYPMHSAPVTHLTGDIAEELYSDTTSLWEKLRDNIAGSLEEMMSALDRMRQKCKRIMRGHRTGMHQKSTVPQDTGLRTPYRNNRLPLLGLPHRQDPQLPHVLDVGKACGTVYDKTIGQCRXSLL >ORGLA10G0024800.1 pep chromosome:AGI1.1:10:3287901:3288209:-1 gene:ORGLA10G0024800 transcript:ORGLA10G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWAAAAAATASAAATAVASAATAAGTERCVTPCSNPTARSIPRPLFLVAGCGLLTLARVCLLLLQGSPASRGNGRRSAAEDRFAPRFDGLRFIETLVTAHR >ORGLA10G0024700.1 pep chromosome:AGI1.1:10:3280501:3280879:-1 gene:ORGLA10G0024700 transcript:ORGLA10G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGIAAAVASAAAVAAASGAELLACDCADQPPQQQEAAAAAVGRCDAFLVRHNQGSSLSRDAERAAAAAGEQRVEGKKFAPRFDGLRFIETLVTAHR >ORGLA10G0024600.1 pep chromosome:AGI1.1:10:3274390:3274713:1 gene:ORGLA10G0024600 transcript:ORGLA10G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKNTEQAGELLEDCCALEVHRLEIAGEKSRWVQMRSIGDRMLFVGLYQGFSLRAADFAGLEGNCVYFFKMDRASRSFIYRFRMEDGQIEELPGPSMHACTWFVPSLS >ORGLA10G0024500.1 pep chromosome:AGI1.1:10:3150367:3150840:1 gene:ORGLA10G0024500 transcript:ORGLA10G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLSFGTPSPTTTRRCPCRRRSRRRNSTSPPPSSATPWDATTSTATAARSAWCSSASATRRVRQPLPPSTTPPPPAPGPLRPPPPPPSPTRMTGDFACPHLASWWGGPRSTSGALGGFSGTGSATRWSICHTSTSRRSSLRRHAERCSCRRRTGG >ORGLA10G0024400.1 pep chromosome:AGI1.1:10:3113807:3115800:-1 gene:ORGLA10G0024400 transcript:ORGLA10G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACFCHGMVCLLELSGNNAIIVMDDADIQLAVRSMLFAAVGTAGQRCTTCCRLLLHESIYRTFLDQLVEVYKQVRIGDPLENGTLLGPLHTPASRDAFLKGIQTIRSQSEGNFVQPTIVEISLSAPVVREELFGPVLYVMKVQNLKEAVEINNSVPQGLSSSIFTKRPDIIFKWIDN >ORGLA10G0024300.1 pep chromosome:AGI1.1:10:3013444:3015018:1 gene:ORGLA10G0024300 transcript:ORGLA10G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 1 [Source:UniProtKB/TrEMBL;Acc:I1QSR0] MANLVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGFGNWFVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDLAIFSLHLSGVSSILGSINFITTIFNMRGPGMTMHRLPLFVWSVLVTAFLLLLSLPVLAGAITMLLTDRNFNTTFFDPAGGGDPILYQHLFWFFGHPEVYILILPGFGIISHIVSTFSRKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFYYWVGKIFGRTYPETLGQIHFWITFFGVNLTFFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGIRRFFVVVAITSSSGKNKRCAESPWAVEQNPTTLEWLVQSPPAFHTFGELPAIKETKS >ORGLA10G0024200.1 pep chromosome:AGI1.1:10:3012391:3013287:1 gene:ORGLA10G0024200 transcript:ORGLA10G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDRMRQSIKGRALCRAFSSRSAGGVIFKVVLKSLQERKKRISSEISSTIYFYMLCIPYLIFFLKISILVFLVFYRIVLPIVQMFSLLTCCSFLITLPPEVQDPQALAHLEGLNFYLSLYEQDPEWVAFIQQELNHNTPLEDIPGRLRLFLMEERTSNVRMDLIQEFLALYARNGVVLPVEPYLLEGALRSYLDSIRATDDFSILQAAYQDLRDHEEGSFFFRDVVSHNRDFLEAQSAKRTWIEVERNSLYSKIERAQARLERTEFQHTLLIFQLEDPKRGGGIICRIPLFFSKASIC >ORGLA10G0024100.1 pep chromosome:AGI1.1:10:3003209:3004311:1 gene:ORGLA10G0024100 transcript:ORGLA10G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGHKSGIEKRYIHLDAELISVHPEIIDKHLPSLETRVDIVATEVPKLAESAARKAIAEWGRPATDITHLIFSTYSGCRAPSADLQLASLLGLRPSVSRTILSLHGCSGGGRALQLAKEIAENNRGARVLVACSELTLICFSTPDESKIIGHGLFGDGAGAVIVGADPSADGECPLFEMVAASQTMIPGTEHTLGMQATSSGIDFHLSIQVPTLIKDNIHQCLLDAFRSVGNTDPNWNDLFWAVHPGGRAILDNIEDKLQLHPCKLAASRQVLSEYGNMSGATIAFVLDELRRRREKEQDIQQQPEWGVLLAFGPGVTIESIVLRNPL >ORGLA10G0024000.1 pep chromosome:AGI1.1:10:2996519:3002439:1 gene:ORGLA10G0024000 transcript:ORGLA10G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CYVRVSLMRCKRTEYHTPQPLRHRLLNAKKIVIGRATCNSPAPKSIPTLVRTSSNIIITEVADQPHPASDLSPDLTQRSPIPMDSAPIISDEVSAQHSAPDTVVEPPAIEASGVIGNVSGASTASQTEDAPRAVDQEQHRTELLAETNEDTDDVNSTPWSQPKRFIQKPARFVLPVVVGPSIMPSDVSLSVQLRDFLLNNGGRMDRSVFITTLIIYLCMKLMEIDSYVAYRNDVVNSFSTGNQTEGLFIDAFSSILFKDDRRNRPDTFGKRIFFSTSVSIMVPVLHHDHWSLYAINIAHRRVDIMDSNNYNLIGTLESDHHRALSKRIVKRLSDALHEGPSVPPHMVPTECMAFPTYDDAYKFYQTYACHAGFDIKKSHTHKAFREVCCTREGKHVSKVTDGDRQWRRPSKKMGCKAYVKLRHNYDDSKITSVVYDVVELQHNHPLTPSPSAVKHMRAHKNRDDTVMQFVDTMQESHVPQSCIMGVLSDLHGGQENIPFTSRDVGGQEIFRAFLHEDTNGSCKQDVPTXPMQESSQCLEGKCGXHQQVVGVFQRVHVAKHXVLLGR >ORGLA10G0023900.1 pep chromosome:AGI1.1:10:2980744:2981634:1 gene:ORGLA10G0023900 transcript:ORGLA10G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPAPAPAPPASRKRAAAPDDEPTATGSTTPAAKRPRRYALASVDDYEQLDVVGEGASGVVIMARHRRTGNKVALKHLPHGARDFDAVRVEAACQHACTGHPNIVQIKDVVADPKSGDVFLVMEFVGGSLRDELPRARPEKQVRFMMRQLIGAAKKMHASHVIHRDIKPENILNSFGDLKVCDFGSATFVNPAGKPYEECLVGTLPYTSPEQLAGNHCYGPGVDMWALGCIMGELLTGAPLFGGDMRRRSCSPTCPPTWTTSSTSSSMMSCRSSRRRRARSSPGCWRSTQRRG >ORGLA10G0023800.1 pep chromosome:AGI1.1:10:2969986:2970969:1 gene:ORGLA10G0023800 transcript:ORGLA10G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPAPAPPASRKRAAAPDHEPTASRSSTPAAAAGAKRPRRYALASVDDYEQLDVVGEGASGIVIMARHRRTGNKAACQHACTGHPNIVQIKDVVADAKSGDVFLVMEFVGGSLRDELPRARPEKQVRFMMRQLVGAAKKMHASHVIHRDIKPENILNSFGDLKVCDFGSATFVNPTGKPYEECLVGTLPYTSPEQLAGNHCYGPGVDMWPLGCIMGELLTGAPLFGGDMTEKELLADLSANLDDQLNELFYDVLPELSPAAREVLFGLLAFDPEKRMTAAEALEHRWFAEEPKKANFAGFAPLFG >ORGLA10G0023700.1 pep chromosome:AGI1.1:10:2968509:2969572:-1 gene:ORGLA10G0023700 transcript:ORGLA10G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IACKRPAPDGGDAFLAAASPCCKKPRPLFTSIFNYEYLHKLGAGSYGVVYKARDRRTGETVAVKWGDAPRRHGAPRHQAGQHPRRPGLCAQDLRLRDGHDGAAAVRAVHGRHAPLQLAGAAHRERAERQVRRQGRGHVGGGLRDGRAPHRRQSVHVGDGEGAPPGAGGAERLCSL >ORGLA10G0023600.1 pep chromosome:AGI1.1:10:2951001:2954480:1 gene:ORGLA10G0023600 transcript:ORGLA10G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAPRFLLFLLQLLAASVVARPPPPERADSAAVLRSFLASLPPPSRRVLRPSWRRGGGGGAPHCAFLGVTCDAAGAVAALNLSGAGLAGELAASAPRLCALPALAALDLSRNGFTGSVPAALAACSRVATLVLSFNSLSGAVPPELLSSRRLRKVDLNSNALTGEIPTTGLAAGSSVLEYLDLSVNSLSGAIPPELAAALPELTYLDLSSNNLSGPMPEFPPRCGLVYLSLYSNQLAGELPRSLTNCGNLTVLYLSYNKIGGEVPDFFASMANLQTLYLDDNAFVGELPASIGELVNLEELVVSENAFTGTIPEAIGRCRSLTMLYLNGNRFTGSIPKFIGDLTRLQLFSIADNGITGEIPPEIGKCRGLVGIELQNNSLSGMIPPDITELNQLQKLSLFDNILRGPVPLALWRLSNMAVLQLNNNSFSGEIHSDITQMRNLRNITLYNNNFTGELPQELGLNTTPGLLHIDLTRNHFRGAIPPGLCTGGQLAVLDLGYNQFDGGFPSEIAKCQSLYRVNLNNNQINGSLPADFGTNWGLSYIDMSSNLLEGIIPSALGSWSNLTKLDLSSNSFSGPIPRELGNLSNLGTLRMSSNRLTGPIPHELGNCKKLALLDLGNNFLSGSIPAEITTLGSLQNLLLAGNNLTGTIPDSFTATQALLELQLGDNSLEGAIPHSLGSLQYISKALNISNNQLSGQIPSSLGNLQDLEVLDLSNNSLSGIIPSQLINMISLSVVNLSFNKLSGELPAGWAKLAAQSPESFLGNPQLCVHSSDAPCLKSQSAKNRTWKTRIVVGLVISSFSVMVASLFAIRYILKRSQRLSTNRVSVRNMDSTEELPEELTYEDILRGTDNWSEKYVIGRGRHGTVYRTECKLGKQWAVKTVDLSQCKLPIEMKILNTVKHRNIVRMAGYCIRGSVGLILYEYMPEGTLFELLHRRKPHAALDWTVRHQIAFGVAQGLSYLHHDCVPMIVHRDVKSSNILMDTELVPKLTDFGMGKIVEDDDLDATVSVVVGTLGYIAPEHGYYTRLTEKSDVYSYGVVLLELLCRKMPVDPAFGDGVDIVTWMRSNLTQADRRVIMECLDEEIMYWPEDEQAKALDLLDLAMYCTQLACQSRPSMREVVNNLMRMDK >ORGLA10G0023500.1 pep chromosome:AGI1.1:10:2944187:2948195:1 gene:ORGLA10G0023500 transcript:ORGLA10G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFFAFYFSSCFDVSFKARSDNFSSKRKRTESTVVDFKNKLDSSLLEIYRYNVLIGMKRKLYCHKTKKNSMKKNTTYDTKLTRLSVKYFSQVLDECLVITNR >ORGLA10G0023400.1 pep chromosome:AGI1.1:10:2937729:2941282:1 gene:ORGLA10G0023400 transcript:ORGLA10G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLLLLLALLLLLLLSPLATSAQPPPPSNTSASTSAAAVLLSFLDSLPPASQRLLLPSWRRSRISSGSGNATAPPPHCAFLGVTCSDTGAVAALNLSGAGLTGALSASAPRLCALPASALPVLDLSGNGFTGAVPAALAACAGVATLLLGGNNLSGGVPPELLSSRQLVEVDLNGNALTGEIPAPAGSPVVLEYLDLSGNSLSGAVPPELAALPDLRYLDLSINRLTGPMPEFPVHCRLKFLGLYRNQIAGELPKSLGNCGNLTVLFLSYNNLTGEVPDFFASMPNLQKLYLDDNHFAGELPASIGELVSLEKLVVTANRFTGTIPETIGNFRCLIMLYLNSNNFTGSIPAFIGNLSRLEMFSMAENGITGSIPPEIGKCRQLVDLQLHKNSLTGTIPPEIGELSRLQKLYLYNNLLHGPVPQALWRLVDMVELFLNDNRLSGEVHEDITQMSNLREITLYNNNFTGELPQALGMNTTSGLLRVDFTRNRFRGAIPPGLCTRGQLAVLDLGNNQFDGGFPSGIAKCESLYRVNLNNNKLRGSLPADLSTNRGVTHLDISGNLLKGRIPGALGLWHNLTRLDVSGNKFSGPIPHELGALSILDTLLMSSNRLTGAIPHELGNCKRLAHLDLGNNLLNGSIPAEITTLSGLQNLLLGGNKLAGPIPDSFTATQSLLELQLGSNNLEGGIPQSIGNLQYISQGLNISNNRLSGPIPHSLGNLQKLEVLDLSNNSLSGPIPSQLSNMISLSVVNISFNELSGQLPDGWDKIATRLPQGFLGNPQLCVPSGNAPCTKYQSAKNKRRNTQIIVALLVSTLALMIASLVIIHFIVKRSQRLSANRVSMRNLDSTEELPEDLTYEDILRATDNWSEKYVIGRGRHGTVYRTELAVGKQWAVKTVDLSQCKFPIEMKILNTVKHRNIVRMAGYCIRSNIGLILYEYMPEGTLFELLHERTPQVSLDWNVRHQIALGVAESLSYLHHDCVPMIIHRDVKSSNILMDAELVPKLTDFGMGKIIDDDDADATVSVVVGTLGYIAPEHGYSTRLSEKSDVYSYGVVLLELLCRKMPVDPAFGDGVDIVTWMRSNLNQADHSNIMRFLDEEIIYWPEHEKAKVLDLLDLAMTCTQVSCQLRPSMREVVSILMRIERSNHVQFFEEAP >ORGLA10G0023300.1 pep chromosome:AGI1.1:10:2919651:2921995:-1 gene:ORGLA10G0023300 transcript:ORGLA10G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17940) TAIR;Acc:AT3G17940] MRCLIASSSRDKTESDFSESRQVRLAAMAAEEILELSNGRITAKIAAWGATITSLIVPDAHGNLADVVLGFDTLEPYMKGISPYFGCIVGRVANRIKEGKFTLNGVEYSLAINNGPNSLHGGLKGFDKVVWDVVERKSGECPSITFQYHSKDGEEGYPGDVTVRATYSLPDSTTLRLDMEAVAENKATPINLAQHTYWNLAGHNSGDTLDHSIQIWGKHITPVDENTIPTGEIMPVKGTPFDFTTEHKIGARINDVPGGYDHNYVLDSGEEKNGLKHAAKLKDSSNSRTLDLWTDAPGMQFYTANYVDGITGKGGAVYGKHSGVCLETQGFPNAINQPNFPSVVVQPGEKYKHTMLFEFSA >ORGLA10G0023200.1 pep chromosome:AGI1.1:10:2915966:2919000:1 gene:ORGLA10G0023200 transcript:ORGLA10G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSAAPARCLLLLLLAVAVAGAAFDLEEATVDSIRRAFADGELTSRGLVELYLRRAAALDPSLHAVVELDPDGALAAADRADDARRLFASAGGGALPPPPLNGIPVLVKDNIAAAGGGGALNATCGSLALVGSRPAGDAGVVERLRRAGAVVLGTASLSEWCNFRAPGIPAGWSPRAGQGKNPYVPSATPCASSSGSAIAAAANMVAVTIGTETDGSIMCPSSYNSVVGIKPTVGLTSRAGVIIISPRMDTVGTVSDAVHVLEAIVGYDPRDAEATRMALQYIPEDGYRQFLNIDGLRGKRLGILRKDFFRFPSGSVQQKMTMTTSTVQSYAYSSLKMNEIKFLSFLLIPGCYETESKMGAILVDNLEIPNMNIINDAVQSGERALMLAEFKLSLNSYLSELASSPVRSLSDIIDFNNKHPVEERMAEFGQSYLLQSEATDGIGPTEKKAIAKLNELCESGLEKIMRVNQLDAIVSPGASAHSLLAIGGYPAITVPAGYASNGVPFAICFGGLKGSEPRLIEIAYSFEQATKVRRPPTLQHSII >ORGLA10G0023100.1 pep chromosome:AGI1.1:10:2911869:2913008:1 gene:ORGLA10G0023100 transcript:ORGLA10G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEETLHHHHHHRRRRLPSTAPSPSPLDDDDLLQEILLRLPPDASTLPTASAVCKRWRRLAFEPGFRRRFVARHEPPFLGFFFPYDFDPVFSFNAGFRSTTAQHHLPAHRFLPEREIGLRWEIVNCCKGLALFRITFRGGCKCKEFMVVDPISGDRRLLPFPLVDGKFLSATVVPAAADRRSFRVVAVFAERNTFTSVFASVYSSDAGVWSDYVSRLSLPWEVWVLRPSVLAGNAVHWFLDGYNVLMFDLESQKLGFSELPLDAKDDEDFPHRCRCQIIPTGDGRLGLAVIVGSTMQLWEREIGDGSDATWLLRRTLQLNFLPLEAEGRKLIVGVAEENSSILLWTRVGLFMVHLKFLQVRKVYGEISVDNYYPYSSF >ORGLA10G0023000.1 pep chromosome:AGI1.1:10:2907737:2908081:-1 gene:ORGLA10G0023000 transcript:ORGLA10G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEMAVVVLRCFDGTTVAAPAGVVAGRSGLVAEAVGAGGGGGGRRVVVDVPGNVSGVDVAAVVAYMEARAAAADGDAFDGEFIGGLTHDARIDLIHAAHHLADKALFNLLA >ORGLA10G0022900.1 pep chromosome:AGI1.1:10:2906080:2907198:1 gene:ORGLA10G0022900 transcript:ORGLA10G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPCIAIAVLLSSSLVAAVTGPPGTIERVVKQQILASIPPGGHGAGDVHPPVLFLTSPSGKYAAYFVRSHTVPGAGGLGADFCYVEVVVNKGGEGDAAAGGGMSVWESECRPVSTVNTCTLLFSWHGLEVFDGSQEVWHGETNTDGTNFLQRLELVDDGDMRIRDKDGELAWRASDEPRHAQHCGAPGSPGLATAFPPFAEPIGAHSSDLPFGMFPGGNGRAAELPQAADAAAGALGGVGAVAPLPGAVGGAGAVAPLPGAAGGDAAAAPLPGDMGGDAALAPLPGALGGVDPAFSPLPGDLPDPAAAAAAGGAAAGVGGVGAGALGAGAVGAFGSQPLVDNSPYDSGAWKVDGHLAAIVVALGVVLGAI >ORGLA10G0022800.1 pep chromosome:AGI1.1:10:2886711:2887256:1 gene:ORGLA10G0022800 transcript:ORGLA10G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:I1QSP5] MAPAASSKSNPRVFLDISIGGEWVGRVVIELLADKVPDTAENFRRLCTGERTGRSGKSKLHYKGSAFHRVVPGFMCQGGDITAGNGTGGESALDGGGRHFADEGFAVKHDGPGVVSMANAGPNTNGSQFFITVDKAPWLDGRHVAFGRVVAGMDAVRAIDRTGTWSGKTVKPVVIADCGVL >ORGLA10G0022700.1 pep chromosome:AGI1.1:10:2882690:2882872:-1 gene:ORGLA10G0022700 transcript:ORGLA10G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEVLACKRAAKLAMEMGTDKVIIGIDSLEVVRTIVQLLEDRLKRFPGNQDQMGATISE >ORGLA10G0022600.1 pep chromosome:AGI1.1:10:2880335:2880605:1 gene:ORGLA10G0022600 transcript:ORGLA10G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLFVNYHRWNGSSHIIVDVAPDWVHIAEALGKAATPRTPLFVNFCANLFAKSVVPGMGIRKTGSSS >ORGLA10G0022500.1 pep chromosome:AGI1.1:10:2876545:2880304:1 gene:ORGLA10G0022500 transcript:ORGLA10G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIMHKANLMKCQVDAKLCALRSFITFLSVCTGTSSYKKFGLPGGGISITTTQSAVRCACKSLQSAVDSLPPEVDNSEVLFPPLSGQVELLLTITRILLDHAKQSKSSRHLYPVIVLLIKTSGASTSFLFNLMLSSPALKQPVKSLLVLLLSLFEFIYKKVDMKDGSEDANIFGELSLLSMSLLPVLCKLAESREYFDLAIASMDIILKGFLPSNVWVPILQKHFRLQVILQKCQSGALLCTQVILNFLLTMGRTKDGAKILQSANIFAFIKVLLSQMSLDDSCLRNSLSTQTKDVKIWGLGLAIVSSLNHCMDDDMSRNSVANSTISFLSGQVPLMSSYLSAQSVNPHQSKKRTLLQKSQTSLSALSLTENILTLLCILAKYHFPRDTGMKEVDSELREIIIHLLAFISRGSERTGDSPNWNSSFGCPPIIKEEMKLNEEPPLIRSKYGWFRFAASCTLSTPSVSGPPNAGLSLVIRDKNPADSDSMKQTRFTEMLAVQIYRIAFLIMKFLCSQAKEAVRRAEELEFLDLAHFPELPMPDILHGLQDQVVSIVTEVLEANVSTALNTETERVCQLLLVILETSLYMELCVSQSCGIRPVMGRFEDFSKGIKAMVHASEKHSSFKPLVRSLAQITTLLYPGIVQNNNLM >ORGLA10G0022400.1 pep chromosome:AGI1.1:10:2841015:2841992:-1 gene:ORGLA10G0022400 transcript:ORGLA10G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVASRKRVAADDPEPRSCCGSSPASGPKRRRYNFGSADDYERLDVVGQGAFGVVLRARDRRTGKVVALKRLIGTDEGGRFSRDFDALRVEAACQHACRGHPNIVQIKDVVADAKTGDLFLVLEFVGGSLRDEFPRARPEDIVRAMMRPLVDAAKKMHASRVIHRDIKPENILVSFSGELKICDFGAATLMKPAGKPYDLCRPGTLPYTSPEHLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMTEKELLADLSANLGDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRMTAAEALEHRWFAEEPKKAEFPGFVPLFG >ORGLA10G0022300.1 pep chromosome:AGI1.1:10:2832708:2835223:-1 gene:ORGLA10G0022300 transcript:ORGLA10G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vesicle-associated membrane protein 714 [Source:Projected from Arabidopsis thaliana (AT5G22360) TAIR;Acc:AT5G22360] MAIVYAVVARGTVVLAEFSAVSGNAGAVARRILEKLPPDAESRLCFAQDRYIFHVLRAPPPPAAAADGLTFLCMANDTFGRRIPFLYLEDIQMRFIKNYGRIAHNALAYAMNDEFSRVLHQQMEYFSSNPSADTLNRLRGEVSEIHTVMVDNIEKILDRGERISLLVDKTSTMQDSAFHFRKQSRRLRRALWMKNAKLLAVLTAVIVLLLYLIIAAFCGGLSLPSCRS >ORGLA10G0022200.1 pep chromosome:AGI1.1:10:2826969:2827946:1 gene:ORGLA10G0022200 transcript:ORGLA10G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVASRKRAAPEDPEPRACCGSSPASGPKRRRYNFGSADDYERLDVVGQGAFGVVLRARDRRTGKVVALKRLIGADEGGRFAPDFDALRVEAACQHACRGHPNIVQIKDVVADAKTGDLFLVLEFVGGSLRDEFPRARPEDIVRAMMRPLVDAAKKMHASRVIHRDIKPENILVSFSGELKICDFGAATLMKPAGKPYDLCRPGTLPYTSPEQLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMTEKELLADLSANLGDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRMTAAEALEHRWFAEEPKKAEFPGFVPLFG >ORGLA10G0022100.1 pep chromosome:AGI1.1:10:2815821:2816849:1 gene:ORGLA10G0022100 transcript:ORGLA10G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVASRKRVAADDPEPRACCGSSPANGPKRRRYNFGSADDYERLDVVGQGAFGVVLRARDRRTGKVVALKRLIGTDEGGRFSRDFDALRVEAACQHACRGHPNIVQIKDVVADAKTGDLFLVLEFVGGSLRDEFPRARPEDIVRAMMRPLVDAAKKMHASRVIHRDIKPENILVSFSGELKICDFGAATLMKPTGKPYDLCRPGTLPYTSPEQLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMTEKELLADLSANLGDQLNELFYDVLPELSPAALEVLSGLLAFDPEKRMTAAEALEHRWFAEESKKAEFPGFVPLFGEEDRECQFQFDSPPVT >ORGLA10G0022000.1 pep chromosome:AGI1.1:10:2814674:2815114:-1 gene:ORGLA10G0022000 transcript:ORGLA10G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVLSPSRHAHGRRYYPESILTCPRNPARVEAATILASPGIERIIRYPSALLRPSLSPPPSPRHPRALSRVWWWCRSSRTAWCADADTDAEVEVEVERAVLLSLLPNITASAATAAEPMGATGTCVAPGXRVGVVDVGIAGGT >ORGLA10G0021900.1 pep chromosome:AGI1.1:10:2747208:2755155:-1 gene:ORGLA10G0021900 transcript:ORGLA10G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCWLLASLLLLASAAGDESVVTGRPAGCQARCGDVDIPYPFGIGPNCSRGEGFEIACNTRNGSGDLVPTLAAANGSIHVQSLSVEQLPEVKVMLPVAYKCYDSGDNVTRRFYGEVDLNNNGVYRISDSRNMFVVIGCNTLSYTQNGNSGGSNTHYSGLFYTGCVSYCNDSRSAQDGRCAGVGCCHVDISPGLTDNVVSFGPWTRGFQVDFSPCDYSFLVDKNEYEFRSADLKMDLNRTMPVWLDWAIRDSVTCPPPEVQEKKPAGYACVSDNSECVNSTNGPGYYCKCKQGYEGNPYDKDQGCKDINECDVSNKKKYPCYGVCNNIPGDYECHCRVGYQWSGEGPKKQECSSKFPLAARLALGITLGFSFLIVAVLFTLMMHQKRKMNEYFKKNGGSVLQKVDNVKIFSKDELKKITKNNSEVLGQGGFGKVYKGTLEDNTTVAVKTSIEVNEARKDDFTNEVIIQSQMMHNNIIKLLGCCLEVDVPMLVYEFAAKGNLQDILHGDANIPLPLGLRLDIAIESAEGLRYMHSSTSRTIRHGDVKPANILLTDKFIPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPVFHKTGHLTQKSDVYSFGVVLLELICRKPTIYGENCSLIIEFQNAYDQENSGRIMFDKEIAKQEDILILEEIGRLAMECLKEKVEERPDMKEGGPRGGEDGREVSLELLEVVRVEALERMRKYAVGTSAHLDIGPFTGRVLEEAVLARPGPFWREAMKHDGPYGPSHF >ORGLA10G0021800.1 pep chromosome:AGI1.1:10:2742469:2746734:-1 gene:ORGLA10G0021800 transcript:ORGLA10G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRQQSEEPEEQVDLEGDDDIMDDDDGYRRHRREDSDDPEEEDPDERQGEGDGRREDAEGPGGAGGDPAAGGEGGADVMDKVGGDAGPEDEEEKRKWDELLALPPQGSEVFIGGLPRDTTEDDLHELCEAFGEISEVRLMKDKETKENKGFAFVTFTSKDGAQRAIEDLHDKEHKGRTLRCSLSQAKHRLFVGNVPKGLSEDELRNIIQGKGPGVVNIEMFKDLHDPSRNRGFLFVEYYNHACADYAKQKLSAPNFKVDGSQLTVSWAEPKGSSSSDSSSAAAQVKTIYVKNLPENASKEKIKEIFEIHGEVTKVVLPPAKAGNKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLGDKKPDHSFKPGGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQSLSLSTFLLQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGIPPPPPPRRGDRRDGGSRGGEGSHGRRYRPY >ORGLA10G0021700.1 pep chromosome:AGI1.1:10:2712471:2713022:-1 gene:ORGLA10G0021700 transcript:ORGLA10G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LARLRRWEKHYRCRFSLVLAIPVPIMLTCMHPFSSMFYMIIVEIYLPAIKGEAGKFEGSNSPQPSVTKPRGSSDSVGRMRSLGRP >ORGLA10G0021600.1 pep chromosome:AGI1.1:10:2701491:2701712:-1 gene:ORGLA10G0021600 transcript:ORGLA10G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFGTIGYVKFLSCTIGFPKVFRTLSMSLVRGFRLPTSCINRGVA >ORGLA10G0021500.1 pep chromosome:AGI1.1:10:2670840:2671648:1 gene:ORGLA10G0021500 transcript:ORGLA10G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLVGVCAVLMAVAVGGEAASVVVGTAKCADCTRKNMKAEDAFKNLQVAIKCKNGKGEYESKATGKLDGTGAFSVPLDADLHSSDCIAQLHSATNEPCPGQEPSKIVPMSEGTFIAVAGKTHYPSALCASTTICGPIKKNIIDHFHKEPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPAKN >ORGLA10G0021400.1 pep chromosome:AGI1.1:10:2661405:2662444:1 gene:ORGLA10G0021400 transcript:ORGLA10G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLGVCAVLMAVAVGGEAASVVVGTAKCADCTRKNMKAEDAFKNLQVAIKCKNINGEYESKAAGKLDGTGAFSVPLDADLDSSDCIAQLHSANNEPCPGQEPSKIVPMSKGTFVAIAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPAKK >ORGLA10G0021300.1 pep chromosome:AGI1.1:10:2657673:2658510:1 gene:ORGLA10G0021300 transcript:ORGLA10G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLGVCAVLMVLAVGGEAASVVIGTAKCADCTRKNMKAEDAFKNLQVAIKCKNGNGEYESKAAGKLDGTGAFSVPLDADLHSSDCIAQLHSATNEPCPGQEPSKIMPLSEGTFIAVAGKTSYPSALCASATICGPIKKKIIDHFHKKPVPPKPDPKPELPKPKPEPEHPILDHFHKKEKHFFDHFHKKPVPPKPEPKPEPKPQPKPQPAPEYHNPSPPAKR >ORGLA10G0021200.1 pep chromosome:AGI1.1:10:2653777:2654577:-1 gene:ORGLA10G0021200 transcript:ORGLA10G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLGVCAILMAVAVGGEAASVVVGTAKCADCTRKSMKAEAAFKNLQVAIKCKNGNGEYESKATGKLDGTGAFSVPLDADLHSSDCIAQLHSANNEPCPGQEPSKIVPMSEGTFVAVAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPQPKPQPAPEYHNPSPPAN >ORGLA10G0021100.1 pep chromosome:AGI1.1:10:2633565:2635133:-1 gene:ORGLA10G0021100 transcript:ORGLA10G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRVLALLAVLMAVAAHGEAASVVVGLAKCGDCTRKNMKAEAAFKGLRVAIKCKNGADGEYETKAAGKLDGAGAFRVPLAADLRGADCVAQLHSAAHNNAACPGQEPSRVMQLSERTFVAVAGKTHYVSPVCASATICEPIKKHFFDHFHHNKPAPAAPSTKPAPKPHPDQPPHPKPTPTPSYGTPSPYHPPARN >ORGLA10G0021000.1 pep chromosome:AGI1.1:10:2629952:2632257:1 gene:ORGLA10G0021000 transcript:ORGLA10G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACASHLRRLGAGAPARSFHAHPYQAKVGVVEFLNGVGKGVETHAAKVEEAVGGDLQSLLHARTLRLKKLGIPCKQRKLILSFAHKYRLGLWKPXAESKKTQ >ORGLA10G0020900.1 pep chromosome:AGI1.1:10:2626489:2627224:1 gene:ORGLA10G0020900 transcript:ORGLA10G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARALVFGAVVVCAAVVMAVTAAADGEAAAAVVVGLAKCGGCSRKNMKAQDAFKGLQVAIKCRNGDGEYESKAVGDLDGDDAFRVPLAADDLHGAADCFAQLHSAASSAPCPGQEPSKIVPLPSTTDNGRNKGSTFVAVAGKRMRYSSSAECTSAFLCPFFDYFHKRPQGPKPTPLPKPTPANGGGAANGGGAAAPAPSPPASAYHS >ORGLA10G0020800.1 pep chromosome:AGI1.1:10:2618797:2619532:-1 gene:ORGLA10G0020800 transcript:ORGLA10G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAWALLFGAVVSAVLVMAATAAADGEAAVAVVVGLAKCGGCSRKNMKAQDAFKGLQVAIKCKNSDGEYESKAVGDLDGDGAFSVPLAANDLHGAADCFAQLHSAASSTPCPGQEPSKIVPLSSTTDNGSNKTNTFVAVAGKRMHYSSSAECTSAFLCPFFDYFYNRPQGPKPTPANGGGAANGGGAAAPAPSPPAGISQLNF >ORGLA10G0020700.1 pep chromosome:AGI1.1:10:2602701:2603486:-1 gene:ORGLA10G0020700 transcript:ORGLA10G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARALLFAAIICAALVMAVTAAADGEAAVIVVGQAKCGECTRKNMKAQDAFKGLQVAIKCKNSDGEYESKAIGDLDGDGAFSVPLAADDLHGAADCFAQLHSAASSTPCPGQEPSKIVPLSSTTDNGVDKANTFVAVAGKRMYSSTSPAECTSAFLYDYFHKHPFFDYFHKKPQGPEPKPDPKPTPLPANGGGGGAGNGGGGAEGNSGGAAPSPSSPPVYH >ORGLA10G0020600.1 pep chromosome:AGI1.1:10:2595169:2595986:1 gene:ORGLA10G0020600 transcript:ORGLA10G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSPFRSTRSSGCSFQRTQAAVSSAPWLRCACGKAAAVNKSNTPRNLGRRWIQCGKEPKCCSLWIWEDLLNEYVEEMVAYSHVGEDDGLGDMLRQLAEEHKEERSRMQGLVEANHRQMQSIYQQLNDSKKKCEQLKKMLKEEKCSRSRQLYVMLFLLAIIMYFYDKSGSSRYKLILCVCSKS >ORGLA10G0020500.1 pep chromosome:AGI1.1:10:2586186:2594654:-1 gene:ORGLA10G0020500 transcript:ORGLA10G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGLVNAALPDDLLAEVFRRVAAAGGKADLDSCALVCRRWRGIERASRRAARVPVDGPDGDAVVRCVADRFPGLADVFLDHGLYIAAGASAAAAERSRAQGWDNENPKLDEQHMQCSTLSEDTQKENGSDGVNPTSFTDAGLLHLIEGCKGLEKLTLNWFLHISEKGLVGIANRCRNLQSLALSGGYVQNHGLITLAEGCNLSELKLCGVQELTDEGLVEFVKIRSKSLVSLDISFCNGCITDRSLYAIGTYCHNLEVLSVESKHVNENKGIISVAKGCQYLKSLTMVWLGVGDEALEAIGSSCSALENLSLDNLNKCSDRSLFSIANGCKQLKSLIIKSSVKFTDRSIERVSQNCKMLQHMEINMCHIMESAALEHIGQRCINLLGLTLNSLWIDNNAFLGFGRCCFLLKSVCLANCCKISDEAISHIAQGCKNLRELSIISCPQIGDEALLSVGENCKELRELTLHGLGRLNDTGLATVDQCRFLEKLDICGCNQITDYGLTTIIRECHDLVHLNISDTKKIGDTTLAKVGEGFRKLKHLMMLRCDAISDVGLEDIARGCLQLEACGVFRCSQVTPAGVAALAGGSSRLQRIIVEKCKVPEEATGKCRMINDPILISYY >ORGLA10G0020400.1 pep chromosome:AGI1.1:10:2582859:2584228:-1 gene:ORGLA10G0020400 transcript:ORGLA10G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASWLLLHGAALMILMAAAAAGETTATASVVVGAAKCAGCGRKNMDAETAFKGLKVAIKCKNGSSEEYESKAVGELDGAGAFAVPLAADLRGADCVAQLHSAATDAPCPGQEPSKIEPLSSEGETGTFVAVAGKTHLPSSTSSSPECSSVAICFPCHRRHRMFHRKPMPEYQPPPSPVYGTPAPGCSCSPPSTPPGYGQPAPECPPADPGYGQPAPECPPPPTPAPECGQPEPEYPPPTPAYGTPAPECPPSTPEYGTPATACPPPTAPGYGSPSPFWPPVSPAYGTPSPTPIYRPPGSH >ORGLA10G0020300.1 pep chromosome:AGI1.1:10:2573786:2575535:-1 gene:ORGLA10G0020300 transcript:ORGLA10G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGFLARCPEPCAAAADPDDGDARRGGWRAAFFLVVIGFLERIGFFGVQGNLILYLTGPMAMSTAAAATAANAWGGTVLVLTLAGGLAADSSGLGRYRAVLVASALYLLSLGMLTASSSSMAAQRATSPPSSSAGGAVVVVFYAALYLLALAQGFHTPCAEAFGADQFEREGDDDGGGGGDARRPASRSSYFNWYHFSISWGYVISTTLLSYVDENVGWTVGFAACWATLVLYLAVFLLGTGTYRRAERPAIDGAAAARRAWTARFFFFFSRNRKDAAEQLLEPQEEVVVVVDGHGDGGRGFFLVKLLPIWLSSIVFAVVVSQVSTLFTKQSSTMDRRVGGGGGLVLPSAGLQCLVSFTYIAVLPVYDRMVVPLARRLTGGGGGITMLQRIGAGMATACLAMAVAALVEARRLRVARDAGLVDRPGATVPMGVWWLVPQHVLVGVAEVLAVIGLEEFFYDQVAGELHSVGLAVSQGVMGVGSYASGALVAAIDWATAARSGGGESWFADDLNRAHLDYFYWLLAALAALEVAVFVYLAQRYDYKNKSKP >ORGLA10G0020200.1 pep chromosome:AGI1.1:10:2558685:2559789:-1 gene:ORGLA10G0020200 transcript:ORGLA10G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPRALVLGVCAAVLLVNVLAVAADGNAAAAASMVVGLAKCADCTRKNMKAEAVFKGVRVAIKCKNSNGEYETKATGEVGKSGAFAVPLAADLLGDDGELRQQCFAQLHSAASNQPCPGQEPSWIVNAAADKKKTFVAVAGDTHFPSSECASAFLCDPFHKKDFFFHYKNPSPPAPAAYHKPPPSYTHPAPPVYSYPTPAYSHPTPVYKQPLPTPSPPIYHPPAEEKKVAMQDDAEADPELFKKLLPLIKKNPFIKFPKLPPVEVEAKP >ORGLA10G0020100.1 pep chromosome:AGI1.1:10:2555182:2556819:-1 gene:ORGLA10G0020100 transcript:ORGLA10G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIPIPCEYRNRDIKRXQEGRSQRGSSCQVVCSLTSMSISLVVKVASTYPRSSTTSPSKIPEACVIHPTTTVPGIPTPERKKRRYERGSEVRKKIFTASRSGISARCLAPAIAQDFASSFIFAIGATVFISMMGSQATQVAMASXIFLVYLQSASRCRDVSSVHRQRGQTPLFFQPLIASRSEVQTQF >ORGLA10G0020000.1 pep chromosome:AGI1.1:10:2548266:2550233:-1 gene:ORGLA10G0020000 transcript:ORGLA10G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVKAMLARPIQLADEVAKQCGAARCFRAECGELKARADKLAALLRQAARADLYDRPAARIMAGAQQALLKASSLAARCASGHPRLRRLFTLSPAAGFPRTVALLDTALEDVAWLLRISSPRSGGGGGGDDDGDGDDGDLRGLPNIAQNEPILFLIWDHVARLHTGGLAARADSAANLASLARDSQHFAKLIIEEDGVPPLLRLLKEGTDDGQEAAARALGLLGCDDESIDKLVQAGVCSVFAAALKDPPMRVQAAVADAIGTLADRSATCQELFAQNNAVRYLVGHLASGTIQEHSRYSVGSSSSKNCAAAPQHMTSLHSVVLAKTLSMRHGGDRGTSSSTDEPPRVSNEQDTKRNQMQSVVQSAMAAKTKTNGSLVPPFRPQLGTSGSSGRGAVREVEDPETKARLKAMAARALWKLARSHLGVCKSITDSRALLCFAVLLEKGDGGMGTSVQYFSAMAIMEISRVAEHSLALRQSAFKPSSPAAKAVVDQLLHIVSKGDYDDLLLPCITALGCLARTFTASENRVIAPLVELLDEREPPVIKEAVLALTKFACNENHLHVNHCKAIVDSGGARHLVQLVYLGDEVQIEALILLCFIALHVPESEELAQAGVLAVLLWASKQAHMIQDMRVDALLPDAKGRLELFQSRASR >ORGLA10G0019900.1 pep chromosome:AGI1.1:10:2546097:2546444:1 gene:ORGLA10G0019900 transcript:ORGLA10G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLKSSSLAALLIFLLAVFTTAAAAAGTECQNDVEVLKTTCYKFVEKDGPKLQPSPDCCTSMKGVNVPCVCTYLGSPGVRGNISMDKVFYVTKQCGIAIPGNCGGEQASLDWPH >ORGLA10G0019800.1 pep chromosome:AGI1.1:10:2514388:2520124:-1 gene:ORGLA10G0019800 transcript:ORGLA10G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSGGGYADEKGPGAATMQALGLQQQHGGGGEVEEESSEMGEKTAARTRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMASGILFQLFYGLLGSWTAYLISILYLEYRTRKERDKVDFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIGCASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYIAVASLIHGQVEGVAHSGPTSIVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLLATVYVLTLTLPSASAAYWAFGDALLTHSNALALLPRTPWRDAAVVLMLIHQFITFGFACTPLYFVWEKLVGLHGCPSLCKRAAARLPVVLPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPSLAYMVTFRSPQSRQLDWIGLQNAVERPPRFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVHQVDTFGLFAKCYQCPPHPAAAALSPPGAIAPAPASMLPPFNSTAAGIFAAPVPSPAPAPAPMHFVLGHHHHHRHHRHGL >ORGLA10G0019700.1 pep chromosome:AGI1.1:10:2507504:2507968:1 gene:ORGLA10G0019700 transcript:ORGLA10G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVLTSSTVMASSTLWLRPRGEGGGGTRPSCRRCSPPPSPSTCGDGGGGGGGRADPAPDAGGSAVVPPPFPCGGSGEGTYVGGRAGRASAADALPSWRRRTGRRRRTAIRLPPSPRCLSVLSSAASQPFPSQLSTSPLYAEHHDRRRRGRRIP >ORGLA10G0019600.1 pep chromosome:AGI1.1:10:2493322:2494868:1 gene:ORGLA10G0019600 transcript:ORGLA10G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGTSSRSSSLLAMVFVAVAVLARAQGERAATFTITNNCAYTVWPGLLSSAGSAPLSTTGFALAPGASQAVPAPSGWSGRMWGRTLCAADGAGAKFSCATGDCGSGDVQCNGGGAAPPATLAEFTLDGSGGLDFFDVSLVDGYNLPMLITPSATSGSGKCAATGCVAELNGACPADLRVASASSASGPAVACRSACEAFGSAEYCCSGAYGNPNTCRPSAYSEFFKAACPRAYSYAYDDSTSTFTCAAGATDYAITFCPAAPTSVKSSGQNPQAAGLQQLNDTMVYFGGGGGSPQSSGATTTSAYSSIAAAVFSVAAVALAALL >ORGLA10G0019500.1 pep chromosome:AGI1.1:10:2480181:2482464:-1 gene:ORGLA10G0019500 transcript:ORGLA10G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYDISDADDDLYVNNVDVGVEDLSDCKAKFWKKAKGRQLKGKEIVVRNSDVEEVSTDDEDLQLPDSDDDGEVRLKFKAFMAEDVKNLVFKVGMVFPSVEVLRKAITEYSLKARVDIKMPRNEQKRLRAHCVEGCPWNLYASFDSRSKSMMVKTYLGEHKCQKEWVLKRCTAKWLSEKYIETFRANDKMTLGGFAKLVQYISKEESTEKCRYGKHMLCFVSRERDFSGGRXEFQVHCXPXCXTLXLQEMGSNRHPLXPCYLMLKEXENXCXVNSSTLLXFRSILQSICIXHLALQXYDXVGLGEWARSEASNIXEKGWQTTXVXEESTIXGTGKKWAXNVQAWCGDALLLLQRAWTXQERVPTEKGWTQTQTEDKXDPSCKFYKRVAXIXARTSRGVDPISVCL >ORGLA10G0019400.1 pep chromosome:AGI1.1:10:2478851:2479309:1 gene:ORGLA10G0019400 transcript:ORGLA10G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TEYVVARFFGGVGLGGLRRRRRWQARAPVGAQHDRRRKYPGKNGGCKLWQWYEPGTTPYLKQVLNDLVSTVREVKTENSEIRASLANSRAVIDGLVAHLLKWHVESRC >ORGLA10G0019300.1 pep chromosome:AGI1.1:10:2453870:2454278:1 gene:ORGLA10G0019300 transcript:ORGLA10G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTSIFFLLSLIPSLLLFLLSSPLFPIPSSATDDGKTAYGHREDRIRASPDILEVLGRLDAMGLGVRP >ORGLA10G0019200.1 pep chromosome:AGI1.1:10:2446926:2448591:-1 gene:ORGLA10G0019200 transcript:ORGLA10G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGWLPRSATAVLVLFLVLWRDWGVEAATFTFVNRCTDTVWPGVLSNAGSARLATTGFELPPGVARAVPAPAGWSGRMWARTGCAVVQDGGAGGGRMVCATGDCGSGGAECNGAGAAPPATLAEFTLDGSGGLDFYDVSLVDGYNLPVLVEPSSSGGGGGGGGSLTSAATCAAAGCAADLNAMCPAELRAGGGAACRSACDAFGRPEFCCSGAFANPSTCRPTAYSQVFKSACPRSYSYAFDDPTSTFTCSGGPDYTLTFCPASSPSGSQKSTTATPTPAAMMPGMGTPTTPTTATAMPGATMPGTATATTMPGTTFTDAVPDTSMPMPMGGDAGGGGEEGVVLSGSETWIANMATGELTAAAPLSRPSPAAALALFLVHALRLFVLR >ORGLA10G0019100.1 pep chromosome:AGI1.1:10:2424413:2425582:-1 gene:ORGLA10G0019100 transcript:ORGLA10G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATAVHSSAAAAAESAPDDVIAEILLRLPPHPSFLSRASLVCNRWRRLARDPGFLRRLRAFHRTPPVLGFFHNSPDLPRFVPAEGVPGRVAAEAASLRRDGDDGMWWFVDCRHGRALLRSRDWAELLVWDPMTGERRCITVSSQIQEGALDLNAAVFCAASGGGDQDCHSSPFHVVVVFTTGQCHGRVFACVYSSGIDAWGDPISTPVTSPCELYEEPPVLVGEALYWLLDGSRILEFEFGNQCLCLALIDHPVENHAILKRNIRLVRMEDDDVLGLAFVKDFSLHLWAREVADDGASQWIPRRAIELDMILPLEGYRCRAMPIWICGFAEDGDVVFIRTVAGVFLVWLDTLKFKKVSGSLLMKTVYSYASFYVPNGMKNYASVPLL >ORGLA10G0019000.1 pep chromosome:AGI1.1:10:2417742:2418998:-1 gene:ORGLA10G0019000 transcript:ORGLA10G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAEAAAVESLPDDVVAEILLCLPPHPSFVSGASLVCKRWLHLIRSPSFLRRVRAFHRTPPVLGFFHNYRDLPSFVPAEGVPGRNRMDGVDDGGDARMFIDCRHGRALLCRYDWADLVVWDPMTGERRRIAGPNQKMQGGGAGTSRRSAALFCSCDVSGGGGDQDCHSSPFHVVVVFTGGCRAFACVYSSLTDAWGDLISTPAPLPCELCDTPPALVGEASYWLSYGGLILEFQFGSQSLTLMKRPLEMLADVRLVRLEEYGLGLAFIKDSTLHLWAREVADDGAPKWKWIPRRAIELDKFLPMPRVLTGKWCGEMFVSISGFSEDGNVVFIRTLAGVFLVWLEALKFKKMSDPLYMMTVHPYSSFYVPNGMGSSLSFFILCCLVLFLANEKISSVFLLVLNPVLCVSLWFRSESK >ORGLA10G0018900.1 pep chromosome:AGI1.1:10:2409457:2411488:-1 gene:ORGLA10G0018900 transcript:ORGLA10G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVTGGHSSPPAAAAETLPDDVLAEILLRLPPHPSFLSSASLVSKRWLRHTRNPSFLRRFREFHRTAPVLGFFLNSSHGALFFPTDAPPGRIADQVASLRRNTGDGLWWLVGCRHGRVLLRSCDWANLLVWDTMTEGFVCFPAPIQMVQADADRDAAVFCAASAGDEDRRSGAFNVAVVFVSGDHVFGCVFSSAIGAWGDVISTPVTLPLLMIYDEPAALAGEALYWIVNGSSLLEFNCGSQSLALISRPSDMPATHRWNIRPVSLEDDLLGLAFFNDFCLHLWVREVADDGATNWVPRKSVEMDKLLSLPVATEDSRRRIVPAWICGFSGDGNVVFIGTPAGIFLVELDTLKFKKVTDGSLLIKTVHPFESFYYVPNEKGGKQESAIVGNQVSEAGGK >ORGLA10G0018800.1 pep chromosome:AGI1.1:10:2397782:2398135:1 gene:ORGLA10G0018800 transcript:ORGLA10G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEGHGERDSGGSVKRRRGQCENGRLKEEDPTRWRAMASETAMELGVVLREWRAIARTWDGSGQLEACEALVERWQDGLKVGEGGEQVLGPLQRLEGLLHDGHSNSNGERGNKWQRGG >ORGLA10G0018700.1 pep chromosome:AGI1.1:10:2393627:2396299:-1 gene:ORGLA10G0018700 transcript:ORGLA10G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRPLAAAAAATVPDELHLEIMVRLPALPQALARASAVCPEWRRVVRDAAFLRRHRELHGGVPATAGFFHNTVVVGGAPGGARFVCAGAGPLALFVPPSVSRQGVPCCHTHVNPGDAWTVLDCRGGRVLLGCCRFSCYFLVYNPITGKRCLVKAASHKRLHLHHSIRCNATLICDDDDADADGPFRVAAVYTTVTDGGRLFGAAFSSRTGRWTTAPQVFVDLPRGIDLRGEPSAVVGSTAYHSAYSYLVLAFDVEHWTMATFQRPPRCGNARLMKTRGDGVLGLVGALELTVRLWAREAGGWVLRSTVELSDMGLLRDLPSAPLPSSDARFPLLPPVKIIGIAEEGDAVFLWTMLGIFMFCPGFMELKKVCEETRDIEMVHPYASFYVPTRNSRRRQ >ORGLA10G0018600.1 pep chromosome:AGI1.1:10:2387607:2390358:-1 gene:ORGLA10G0018600 transcript:ORGLA10G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFIGCICSLALLLLCSHVFQLLSDARRRLPPGPRPLPVIGNLLDVAGELPHRSLARVTERYGPLVTLRLGTMLAVVASSPATARDVLHRHGASITDRGTPDAWRTDGHDGNSIFAFPTRHHRWRALRRLGAEQLFSPRRVEEQRPLRRDAVRGLLRHVAELAAASGGGGAAVVDVGRAAFAAMASLLFGALFSAGIDAATSCRFRDAAREFALLTMTPNVSEFFPVVAMADLQGLRRRTARHITWMYQLIDGHVERRMRGRETAGGCGAAHGEKEKDLLDVMLDMSEKEEQNDDSSLTMNRGVIRAFMADLLMAGSETSSAVIEWAMAELLQNPQTMTKLQEELKKVIGSKTCIDEEDIDKLPYLQAVIKETHRLHPAIPLLMYKAAVPVEIQGYKIPKETTVIVNTWAIHQNSEVWIEPDKFIPERFLQKEISLSSGSTNMELIPFSAGRRFCLGYPVANRMLHVMLASLVHQFQWTLPEVVKKNGGVDMAEKFGITLSMATPLHAIAKNIV >ORGLA10G0018500.1 pep chromosome:AGI1.1:10:2383975:2384795:1 gene:ORGLA10G0018500 transcript:ORGLA10G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGKAMLTHQDKNALMVPYALPDHYILFLVYPWDHLVVVLDPAHYAEKTFTEFLVLLNLAHKYYKDQSGRVKDASKSKLLVKTQWPNFQHSVASNYQAVSYVDTTCARCFKLMGDHVNSMGSKPVRQKKPFSTCVPIFVVTSATMFVTIWANSTIRRATLQRMTLSNA >ORGLA10G0018400.1 pep chromosome:AGI1.1:10:2366678:2366970:-1 gene:ORGLA10G0018400 transcript:ORGLA10G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVLKATAAVGVAGVFGMPFSDGTSIGLLLNTKGIIELVILNIARNKGIMSDQSFTVLVFVSTLNR >ORGLA10G0018300.1 pep chromosome:AGI1.1:10:2361566:2363622:1 gene:ORGLA10G0018300 transcript:ORGLA10G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGVLEWWQEWQLRTLVLSSTAIQLLLYLFANRRKHATSSQFRTIIWLSYLGSDAIAIYALATLFNRHKNQDSTSSSTAQGSRILEVVWAPILLIHLGGQDSITAYNIEDNELWKRNVVTMVSQVTVSIYVFCKSWPGGDKRLLQAAILLFVPGVLKCIEKPWALRSASINSLVSSNDLVPRTGKGNEQGGSISLESYVEELTKKLTETFYRLYTKSKMLGKQQNRYLLIGFLRVVSVYLPFAAIGLFHNSHRQAYNRYDIKVTYTILCCTAFVEAFAAHGWNTPMMDNVLPWFYKVSQCRLIGPMLVVAPSHVTQLSTSXNWYCNMXNLGGRIAXQIFPLIGRLVTIGVNGRFGATTVTKKTXLGVLEYHLMKVSSGTSPQISASXVKDVPMKXPLGAXKFPTIXCTFCWIIQRCXWLVPEGICSQLPFMSWRASLGMNHVRSRVLHRRXLSRWSPLMVTLVLSVTLVHFLRCRGYLHAKSLGTGWELLTFVWLLILYMGMEPLVEKLQRAEFSSAIGSGTTADAPSSSNETLAEREPKTEVPNGGGIGAAAPLSSDETLAEWKQKIKAPSGDEGNIGDVPSTSPDIIVIDIKEDNAS >ORGLA10G0018200.1 pep chromosome:AGI1.1:10:2333820:2335772:1 gene:ORGLA10G0018200 transcript:ORGLA10G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQENKHNESIEKPKWIEDSISNIKAFTKEEIKGITSNYSKRLGNGKLRKVYKGILDDNRAVVVKKYIHMDSEEEFAKEVIVHSQINHKNVVRLIGYCTEKNDLMMVMEYMSNGDLDYHLHVKNSLDSLDIRLNIAIDCADALGYMHSMCSPVLHGDAKPSNILLDNSFNAKISDFGISRLLSTDKTHTENMITCYMDPLYYQEGRHTSKSNVYSFGIVLLELITKKRATCLTQALAKGQEMTELLDPMIANESNMKVLLEIEKLVQECLAEDIDRRPDICDVAAYLRMLRKMRQPALQEKFGWHLFAETQNDFKKQSHQGTNIISSIKMVFPRMMGILNVNMAKSENKGTSLYVSGKRIFTALEIKKITGNYSRIIGKGMFTVVYSGILEDNTQVAVKTHDRFERGKRRCANELNSLSEVIHKNIINLLGFCYEMDAVILVYKLVERGHLCNILHGNGTKRFPLPLDLRLDIAIGLAEGLSYMHSRSKPILHGNIRTATVLLDDKFVPKISGFRSSKIGEDGKCRIVGSEMGYMDETFVNTRILTRKSDVYNFGVVLLELITRKRIYYNGKDNNTAINFAKIYEKESSGRAMFDNEISADKNIPTLEDIGILAMKCFNPDIDKRPQMKEVCEQLLMLKRSSKKGKGKI >ORGLA10G0018100.1 pep chromosome:AGI1.1:10:2323463:2325487:1 gene:ORGLA10G0018100 transcript:ORGLA10G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCSSTRSASVGAPVYIYHQQNPASTIVAIDKNLDTSTPDTYRAPPTPLPYDVGLVLKDNPDLEKTGIKRKIHDHKESLMMDDNESLQKCVSEDKPDEEDVCPICLEEYDEENPRSMTKCEHHFHLCCILEWMERSETCPVCDQITTINAMYE >ORGLA10G0018000.1 pep chromosome:AGI1.1:10:2315269:2319229:1 gene:ORGLA10G0018000 transcript:ORGLA10G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Queuine tRNA-ribosyltransferase accessory subunit 2 [Source:UniProtKB/TrEMBL;Acc:I1QSJ7] MRFAVTKVCGGGAKARAGMLQIAGSNIETPALLLSTRKGLPAFVSRDLLASLPLPDSLFLHVCPTHFMEGPPSKTISNIGGLHHMLGLPDHVLIAAAGDSIESLPTSEASNKFGASFETPAGRRLVKPSDYMELISCMKPNLWASLADEVPAWVTEKRNKVSVERTLRWLDACIALDEDSGANTLGVVVGGSSVEQRKLCATEVSKRNVSGFWIGGLGLGDSPEERCSILDAAVGCLPPEKPRVVSRLGLPEEVLEGVAAGIDLFDSTYIYQLTMGGFALIFPIDMVGKEMQNGSLNSSDGDFTKINLRATTYRKDTSRIVDSCSCFTCQNHTRAYLNHLLNVHEMLAQILLEIHNTHHYLCFFRLIRDTIKTGQFDRFRQQFVQDRRAYLAAAVI >ORGLA10G0017900.1 pep chromosome:AGI1.1:10:2305916:2307082:1 gene:ORGLA10G0017900 transcript:ORGLA10G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMLPDDALIEILLHLPKHPMCLLRASLVSKHWRYLIRDNKFIKRFRAFHQTPPVLGIFTNSTSIPRFLPIGNPPECVTAGAFSLPDPYWHVLGCRHSRVLLISSSWNSLQVWNPMTGNRYAVPVTPDVNPRINYGRVPESHAAVLCAAGHNDHGDCGSCPFFIVWVFTNIGYAYISRYSSEKDTWDMMASSPAPSEVDSRPSILVGNVIYWPLKSKHILAFELATSRLYHIECPSETHSVYRRNVHIMKAEDGGLGLAAMTGFNLQLWALEIDSGGVTGWVLCKTIELGAVLPLEVPSVPLTDSHLVRRPPVRILGLVEEDDLFFIWTAVGVFAVQLKSLQFKKVFEADVSATFYPYTAFYTTGADINMLLLQFVFCSLFNPAYAC >ORGLA10G0017800.1 pep chromosome:AGI1.1:10:2293472:2297513:-1 gene:ORGLA10G0017800 transcript:ORGLA10G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELEATVICVDDSEWMRNGDYPPTRLQAQEDAANLVAGTKMASNPENTVGVLAMAGDRVRVLLAPTSDPVKFLACMHGLEASGEANLTATLNIAELVLKNRPDKRLSQRIVVFVGSPVKDEKLETIGKKLKKYNVSLDVVEFGESDDEKPEKLEALVAAVGGSSHIVHIPPGEDLRAVLANTPIITGDEGGGAAAGGASRYEYNVDPNVDPEFAEAPRLSEIERQEAAAGGASRYEYADPELAEAFRLAAGEPSTSNTDTVLLESDSDTYVPFHEFIQNNPFVTGAESASDRPADDERATEEGFRMIREALARSANSAHAEISDNSSSGQELELGWQVVLLVFFKSFLC >ORGLA10G0017700.1 pep chromosome:AGI1.1:10:2288347:2288954:-1 gene:ORGLA10G0017700 transcript:ORGLA10G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVLMSFMSLVSPGDDGDPXPKRSQLARVARCTGLSERWVFRQIPRADDACDVAAATEADALMARTLLVMSCVARLDDEDIGAGGNVEKAWRRAGGQCPVPMQSTR >ORGLA10G0017600.1 pep chromosome:AGI1.1:10:2253320:2254194:1 gene:ORGLA10G0017600 transcript:ORGLA10G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVRLIRAPQDALKGAGEYIDHALGPAAAVHSLKPPLLAASAVADDLPGYLNVLSHFEEALHFLSNNYGIASQWLTDIVEYLEDRSLAAALAFSRLATVAATAYSSPASPRRPTNHEGGGCTCRTTVMEGAAIGAGPVGVLSEVASQLLLPLLTLFPRGSSRTVGPSGSDWVSELGVRLERDGRPANYVVRRGDGSPSPRRRDDAGRTRDGCRGXHAVVDEEAGEKGVDGGDGGGGGGGERGRVSLPPWRRFRWSDLGKGWR >ORGLA10G0017500.1 pep chromosome:AGI1.1:10:2235947:2248236:-1 gene:ORGLA10G0017500 transcript:ORGLA10G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein [Source:Projected from Arabidopsis thaliana (AT5G66150) TAIR;Acc:AT5G66150] MASSSSALVAVVVVAVLALAEAAVAFAGYNTSAGAVAGKLNVHLVPHSHDDVGWLKTIDQYFVGTNNSIQGACVMNTLDSVVDALIRDPARKFVFAEQAFFQRWWAEKSPKIQAIVHKLVDSGQLEFINGGWCMHDEAAVHYIDMIDQTTLGHRVIKKQFNKIPRAGWQIDPFGHSAVQGYLLGAELGFDSMHFARIDYQDRAKRKGDKGLEVIWRGSRTFGSSSQIFTNAFPVHYSPPEGFGFEIFDDFVPVQDDMLLFDYNLKERVNDFVAAALKQANVTRTNHIMWTMGDDFNYQYAESWFRNMDRLINYVNKDGRVHALYSTPSIYTDAKHASNESWPLKYDDYFPYADAKNAYWTGYFTSRPTFKRYIRMISGYYLAARQLEFLVGRSSLGLFTSSLEDPLGIAQHHDAVSGTAKQHTTDDYSKRLAIGVSQVEKGVNTALSCLTSSKGTCTATKFSQCQLLNISYCPSTEEGISSAKSLVIVVYNPLGWERSDFVRVPVNDANLIVKTSDGTSLESQLVEVDIVTARLRKLYIKAYLGITSDKPPKYWLVFQASVPPLGWNTYFISKSTGTGSNGMGYVSTMVSPSNDTIEIGPGPLKMSFSSKSGQLKRMFNSISAVDLPIQQSFLWYASSTGDSEDSQASGAYIFRPNRTTPTIVSVMAPLKVIHGPLVDEVHQQFSSWIYQVTRLYKNKEHAEVEYTIGPIPVDDDDDIGKEVVTRLTTNMATNKIFYTDSNGRDFLERVRNHRDDWDLNLTQPVAGNYYPVNQGIYVADGKYELSVLVDHAVGASSIQDGQIEVMLHRRLSADDGRGVGEPLNEVVCVDQKCDGLVARAIYYINVNKKGHGAHWRRTYSQQVYSPFLVAFAHEDERSWKSNNIAKASTVEGNYSLPDNVAIITLQSLDDGTTLLRLAHLFQAQEDTQYSVMAKVELRKLFGKRIIKDLTETSLSANQKKSEMKKLNWRVTGESKTDPAPLKGGPVDSHALVVELGPMEIRTFLLKF >ORGLA10G0017400.1 pep chromosome:AGI1.1:10:2234058:2234279:-1 gene:ORGLA10G0017400 transcript:ORGLA10G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGEDSIWHTMEVLCACGMRSRIWKESKFGTIGYVKFVSCTKGFPKVFRTSSMSLVRGFRLPTSGINREGA >ORGLA10G0017300.1 pep chromosome:AGI1.1:10:2228961:2232911:-1 gene:ORGLA10G0017300 transcript:ORGLA10G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIISYLLVLVLAGAVAVEAILGAPPAPGTSAAKVPAVLAFGDSIVDTGNNNYLPTIVRSNFPPYGRDFPGGKATGRFSDGKISIDLLASALGVKEMVPPYLNKSLSTEELKTGVSFASAGSGYDNATCRTMMTPLTVERQLQLFDEYKARLAGAAVPDRALYLLCWGTNDVIQHFTVSDGMTEPEYADFMAARAVAAVRGLVARGARLLVVVGAPPVGCVPAQRIIAGGVRRQCATPRNQVALLYNRKLGQEIGRLNAKLAGVKIVLVDLYNILADVMHRYQALGFKNGKDACCGYIGLAASVLCNFASPLCNDPPQYVFFDSYHPTERAYKLMVDEVIKRYLRFL >ORGLA10G0017200.1 pep chromosome:AGI1.1:10:2216516:2217097:-1 gene:ORGLA10G0017200 transcript:ORGLA10G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLLIGQVTGGGFDDYFGRRLAVAPPPPPRRSIQGSFTFAAPSPPPFQYTTYEAASLYSSLSLPLHLPYTYYAAAAAASAPATATPLLPRMLPPLPPSATVVRRRIKKPRTPRSGEGQARAPQRRRPLERAAPLPPPAAVAEALDDLEREVTRGFVEDLLHALAPPPSSLPLPTFSLVRAAAAKAAASCAV >ORGLA10G0017100.1 pep chromosome:AGI1.1:10:2214544:2214924:-1 gene:ORGLA10G0017100 transcript:ORGLA10G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEETPLAAAAADDGGGNELSALAILDDDAALGAHPDQRRRILLQRSKQLALVAVDDLQQRPTVAAS >ORGLA10G0017000.1 pep chromosome:AGI1.1:10:2213269:2213484:-1 gene:ORGLA10G0017000 transcript:ORGLA10G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSYTPTARLRRLVLFLAVLSLLSPKPWPHPHGLGGRGLQSLGYVPRVRQGEQGPAMPRATLPPGPGLRR >ORGLA10G0016900.1 pep chromosome:AGI1.1:10:2208715:2209264:1 gene:ORGLA10G0016900 transcript:ORGLA10G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGVSLPSSSSRVVATGILTMEHRRRAPPRIADSPPLESSQKEIQTATTVKGERPDVVRTLCRASVNTTTSPAHATHLQDQGGEVGEHEFNGGGGRAIARGRGKRRSLSSPVGLCMEEDEEPPFSHIATNDVEAEGLLGGGGGGCCARKVVAMKELYEEKDQI >ORGLA10G0016800.1 pep chromosome:AGI1.1:10:2205126:2206718:-1 gene:ORGLA10G0016800 transcript:ORGLA10G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTWLLLLATLLLSTTLLVFLFHGGSSATGGEKRRRLPPGPATVPVLGNLLWATNSGMDIMRAVRRLHARHGPMLGLRMGSRLEVIVADRRLAHAALVESGAAMADRPEFASRALLGLDTATISNSSYGPLWRLFRRNFVAEVAHPARLRQFAPARAAVLEELTDKLRRRQEGAGAGTILETFQYAMFFLLVAMCFGELLDERAVRDIAAAQRDLLLHSSKKLRVFAFLPAITTRLFAGRMKAMIAMRQRLKGMFMPLIDARRARKNLVDDHGDATAPPPPAASATTLPHSYVDTLLNLRINDNGGERALTDDEMVALCSEFLNGGTDTTSTALEWIMAELVKNPTIQDKLHGEIKGAITSNSGKVSEEDVQKMPYLKAVVMEGLRRHPPGHFVLPHAPAEDMELGGYTIPKGTLVNFTVADMGMDGAAWDRPREFLPERFMAGGDGEGVDITGTREIRMMPFGAGRRICPGLGVATLHLEYFVANMVAAFEWRAAEGEAVDVDGEKLEFTVVMEKPLRARLLPRAVTV >ORGLA10G0016700.1 pep chromosome:AGI1.1:10:2197037:2198667:1 gene:ORGLA10G0016700 transcript:ORGLA10G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDPLTGDRRAVDIPALFHRWDMVVYHGSVRCVDGDGCYSNPFEVAVVGTDTSGTVAFICVYSSKTGNWGNVVSAPISPGDYMSFSSILDGDFLYWLLGNHGCPILQFNLVKQTATLVNAPPDLRTNSYGGFHIAPAEDGGGLVILAVTHFSLNVWKGKTNRDGIAGWVLEKTIELDRLLSFGTGPETWAPVILCFAEEHDVVFLSTHVGFFMVNMQSMQFKNIPQILKGGLYYPFSSFYTKEAAELLPPCDTSKKPKVPFAGALPDIEEYGSNIGEKLVAT >ORGLA10G0016600.1 pep chromosome:AGI1.1:10:2190018:2190855:1 gene:ORGLA10G0016600 transcript:ORGLA10G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGWMLEKTIELDRLLSVQPGRRGAAPTILGFVEEHNEVLLCTDIGAFMVNLHSMQFKKLSQTMEPGFYHPFTSFYTKKMLPK >ORGLA10G0016500.1 pep chromosome:AGI1.1:10:2181173:2183740:1 gene:ORGLA10G0016500 transcript:ORGLA10G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSPPHSAPDLFGATCSLLLISTSSCSLRAREADAAVGPVPAVSRARGAPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXALLRSFDAVVNRLQAALDATDAAAASLLRDHAALDNGNTRLGARLDRALMSNLIVLIQAHAEKSKVKCQESQPSKAFNGCKQPLILRELTMDFQWVQGTIDSEGTDRGHVEGG >ORGLA10G0016400.1 pep chromosome:AGI1.1:10:2175506:2177540:-1 gene:ORGLA10G0016400 transcript:ORGLA10G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAISCCGCPPRRRAGAANDAAAGLTDDILAEIFLRLPPHPACLRRVSLVSRRFRRVVTSRRFLRRFSDLHGGAPGAPLVGFFSNHNHGPWADTRFIPVGVDGTGDCRRSRCRSRRATAARNPGGVLALGDDAEWHVIGCRGGRVLLLSPTRLRLLVLEPMLGRRQYIPAPPAPEYRPAYFSNAAVVSAAGGHDELRLRPHLFRVVFVSSNAATKRSTAFVYNSATFRWTKAAATEMSSVIDGRPSVLIGQTLYWHLISHGLVAFNLETHELHEILVPADAFDDVHDANLSIVVPRSGGGVVGLAAVSGYILQLWTLRDYTHGASTWDLRNIVVLDALLPLRNARLPPPPQLPASAKPMPLVWLMALDEDENVGYVWTAAGVFAVQLDTMNYHKVLGPVCRGMQFVFPYKSFFLPQGGSAAMIM >ORGLA10G0016300.1 pep chromosome:AGI1.1:10:2172079:2172378:-1 gene:ORGLA10G0016300 transcript:ORGLA10G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLHGWWSIMSPTLMKEEKKNKVMMMIMIMMSLGQCCQHCNEVSGSHLPQWSESWSDEDFDQEKDALILVISTNLNVIAFITEANAVLLHVAGDVETKHVK >ORGLA10G0016200.1 pep chromosome:AGI1.1:10:2131958:2134739:1 gene:ORGLA10G0016200 transcript:ORGLA10G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSVDAVDGEQEQQQRRQQEELQLADLPNDALRSILLRLPSEPWYLAVAAAVAKNWRRQVLGSNGSFLRAFRAAHGGVPPLLGFFCNRRNLPCPFFTSTVDAGVVDLSPPAGKQRPFVHDVRHGRVLLDDGEDGQLLVWDPLARRRDIIPTPICYFTNDDSCGAAIICGCDGLEHVVGASVGGGDCHLAPYRVIVAFNDRPNYRSDEWNHECICTRVWSSETKEWSEVYSMRGSCDFDFMPSALVAGAIHWLVGDTNGVLQFNLITKKLALIQTQLDISEFMLFPTKDGKLGFTGVLGSHIIFFHMDIAGDALTTVRTWSIQNVIQVDHFLPPYINILRTRRSLASLWVVDYYVSDSDEGEEEHGVDDDDEPREILPTMQHDNEASGGHSPQWSESWSDEDFDQEKDALIPTVSENVNVIGFVAEANAVLLYAAGIVYTIDVETKHTQRVAACANYSHVFPYTSFYTAAAKVVLSDPTLHDQLNRSTSGGGIDAAGDDGQHTDGNKSH >ORGLA10G0016100.1 pep chromosome:AGI1.1:10:2124164:2127056:1 gene:ORGLA10G0016100 transcript:ORGLA10G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPPVQIVAAKDDVPPPYLTDNVVHNLLLCLAPEPAYLAVATAVSTKWRSVVHSEACFGRRFRLDYDGPTPLLGFFSNNAAGPFFTATGAGVVGLAPPEEAVSAGDGSVQHIYDARHGRVLMDGREDKELLVWDPLSRRKDFIPMPPGYFVGEGYGGGALICEADHDAGDDCHNAPYRVVFVYCGSDRPPTTMASVYSSRTNTWGPVATMDARVTFELKQPAVLDYTVYWLVNGRTQIIEFEFDTNSLALFRTPVDLPDFVVFPMEDGRLGYTGMMGPIVRVFAIEDIYEDGDATWTKVTTLHLDAMRPSQSYQQVLDSDTDSDSDDEEEVVLLLAHQFGPKAKKDSKIIPSHPPTIKSDNDEYNHVVIRPRVIGFIEDPNSILVRTELGVFMVDIESNEYEQLSQRIYFTTVYPYESFYTTVGKANFNDPVLIDHENNDEQGLQQLEPLNDTILPDQENIGGGISASGDGDEQ >ORGLA10G0016000.1 pep chromosome:AGI1.1:10:2121679:2122473:-1 gene:ORGLA10G0016000 transcript:ORGLA10G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTGESVGSGYGRIHRMPWQWLPRLDPPNAPTATPAGGLGGSGGGGSHDGDGSRAWGSSRGNLHVPSKLILEISLPFAKVCLNTIEDQVISPTPEMPATDCQNFIKKG >ORGLA10G0015900.1 pep chromosome:AGI1.1:10:2107868:2116546:1 gene:ORGLA10G0015900 transcript:ORGLA10G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAVVAVNGERYEAVGVDPSMTLLEFLRTRTPFRGPKLGCGEGGCGACAVVVSKYDAAADEVTNFSASSCLTLLGSLHHCAVTTSEGIGNSRDGFHPVQRRLAGFHASQCGFCTPGMCVSIFSALANADRAASAAPPPGFSRLTAADAERAVSGNLCRCTGYRPILDACKSFAADVDLEDLGLNSFWKKGERADITKLPAYSCTADVATFPEFLKSEIRSSGGAPAVAVTGDGGWFHPRSIEEFHRLFECNLFDEMSVKIVASNTGSGVYKDQDLHDKYINISQIPELSAINRSSNGIEIGAAVSISKAIEILRSDGGDAVVFRKIADHLGKVASPFVRNTATIGGNIIMAQRMSFPSDIATVLLAAGSTVTIQQVASKRMCLTLEEFLKQPPCDSRTLLISISIPDWCSYDGITFETFRAAPRPFGNAVSYVNSAFLARSSLDAASGSHLIEDVRLAFGAFGSEHAIRASKVEEFLKGKLVSASVILEAVRLLKGVVSPAEGTTHPEYRVSLAVSYLFRFLSSLANGLDDKPENANNVPNGSCTTNGTTNGSAESTVDSFDLPIKSRQEMVFSDEYKPVGKPIKKVGAELQASGEAVYVDDIPAPKDCLYGAFIYSTRPHAHIKGVNFRSSLASQKVITVITAKDIPTGGENVGSCFPMLGDEALFADPVAEFAGQNIGVVIAETQKYAYMAARQAVIEYNTENLQPPILTVEDAVQHNSYFQVPPFLQPKPIGDFNQAMSEADHKIIDGEVKLGSQYYFYMETQTALAIPDEDNCITVYCSAQMPEVTQDIVARCLGVPFHNVRIITRRVGGGFGGKAMKATHVATACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKAKYSVGFKSDGKITALHLDLKINAGISPEFSPAIPYAIVGALKKYNWGALAFDIKVCKTNVSSKSAMRAPGDAQGSFIAEAIVEHVASTLSVATNTIRRKNLHDLESLKVFFGNSTTGEASTSSYSLVTIFDRLASTPEYQRRAAMVEQFNGSSRWKKRGISCVPITYSVTLRPSPGKVSILNDGSITVEVGGVEIGQGLWTKVKQMTAFALGQLCDDGGEGLLDNVRVIQADTLSMIQGGWTAGSTTSETSCEAVRKSCAALVERLKPIKEKAGTLPWKSFIAQQASMASVKLTEHAYWTPDPTFTSYMNYGAATSEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQLLILVVSIGNQVEGAFVQGVGFFTNEEYATNADGLVIHDGTWTYKIPTVDTIPKQFNVELINTARHHSRVLSSKASGEPPLLLASSVHCAMREAIRAARREFAAVGGGTGGSDQVTSFQMDVPATMPAVKELCGLDVVERYLESFSATTA >ORGLA10G0015800.1 pep chromosome:AGI1.1:10:2097817:2103413:-1 gene:ORGLA10G0015800 transcript:ORGLA10G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRRRRAAPSPTSALDGDDILREILVRLPTSSSSLPRASLVCKQWRRVVSDPAFLRRYRAHHGEPLLLGFFADHCGYPVFRSIHDAPDRIPPEHFLMPRDKGAGRCNXDVLGCRHGRVLVYNRTRNEITVWDPATGHRSCAAAPPELGDDKEKIVFNGAAGVWGDLITLECPPVYDTCLPSTLIGNSLYWLFSGEEEGILEFDLGRESLAIIEMPSEFLHYNSHRSFQIMPAEDGGICLAILSYQIMELWERKISSDGVGVAEWAMLKKIELGVILGLGRMGGSENLIVAYDEDYQLIFVRTINGVFMIHLESMQFKNLGKDNFDGILHAYSAFCTAVGDLPRAERRVGTISEIMGFDEDDSNSADPPKGAGAVEGNAAAPSCETIPDEGMVDNENLDYVWNHGERIGEGFKCKYCKMTRKSGRGTRLKEHLAGRRHNVIACSGVPPKVRKAMRISLNKVKQRTKAAKNRRAKMKKPNTQNMVRHGVHNNSKEQQMQMAKQLSLEEFHYRQKMEKRGSTFEYGGGSDSRSAPDACCNVAGSCVGGGVVLPQSSSKTRLKLHGMDADDVYRGASAQTEIGTSELRKAWAEWFHNNGIPGIKADCPYFRRAMELTQQLGFNVAVPTGAEIDGAYLDADEEEINVDAINAEKSCEAVLDMPLITWTKKHIGKNHKANKKYHEMANTLTQDLGSPGSKRKRVEVKQGKQPMNNKEEFMGSDDEMGIPSDMSNMPR >ORGLA10G0015700.1 pep chromosome:AGI1.1:10:2086064:2086355:1 gene:ORGLA10G0015700 transcript:ORGLA10G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLSISVQLLLVLFVLLAFASGILAQGGPSTCTDNPVQQDCPPIPGRGN >ORGLA10G0015600.1 pep chromosome:AGI1.1:10:2066118:2068448:-1 gene:ORGLA10G0015600 transcript:ORGLA10G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRHRRAISLAPPVTLPDDDDLLSEILLHLPPRPSSLPRASLVCKRWRRLVTDPAFHRRFRARHRNPPPLIGVFEDYLGYPFFRSVLDPPDLIPRERFRLRLAEDEGGQWHFYGCRHGRLLLFNRAKNEIVVWVPDTGDHRQVAVPPEIDGKEKIIWNGAVLSAATADDGPFRVVLVGVAGNNTQMFACVYCSESGKWSDLISVAAPFLVFFFRDPGILAGNALYWMAYGERWLTVLQFDLDKQTLSVIEWPYDSEPYSQTWLTEGDCLGAATLSRSSLQMWERKVCSGGVAKWVLQKTYELKNVLNPEFRLKIGYLTKLGYAQDIKVMFLWADHSVFMLQLDTLQAKKVWESCVITPIHPYASTYVAGI >ORGLA10G0015500.1 pep chromosome:AGI1.1:10:2057121:2060669:-1 gene:ORGLA10G0015500 transcript:ORGLA10G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPDLIPRERFSMRLGEDEVRKERMFCGCRHGRVLLLDRKQNEIVLWDPDTGDHRRGGIPPEIDGKEKIVWNGAALCAAAAAADDDGHVHGGFSCCPFNVALVGVASNNTQMFACFYTSETGRWSNLIFTPAPFLVFAFVDPGILVGHSLYWFPTGLGSAILQFDLDRQTLAVIEWPSNPNCYSHYMSQIFLAEGGYLGLVTLSYDSLQIWERKVCSEGVTRWVLQRTAELNKVLELGSGVKTSHLVRLGYAEDVKVMLLCADSSVFMLQIDSLQSRKLWETNIMSSLHPYASTYVAGI >ORGLA10G0015400.1 pep chromosome:AGI1.1:10:2047469:2048435:-1 gene:ORGLA10G0015400 transcript:ORGLA10G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRRRQRPTSPAPPLDNDDLLSEILLRLPPQPSSLPRASLVCKRWRRLVSDHGFLRRFRARHHFSLDLWKNKTNCDDATGWVLERTIQLEKLLSVEPGPRITSPCLGFVEEHNMLFVSTRIGAFLVHLESMQFKKLPQTIGMGYYYPFCSFDTK >ORGLA10G0015300.1 pep chromosome:AGI1.1:10:2026718:2031037:1 gene:ORGLA10G0015300 transcript:ORGLA10G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVVGVLLILGLMPMPFEVIMAAADDGGGVFCDNLKLVSATLPNKTSSSPHHYATAAAGQAPDVVYVLALCRGDLNDTACGESVAYTFARLINESCVANYTAGAYYGDCTGVYSFQNFLDPSDATEDEELFERWNINNITGDAENVLFVAGLIQQLLSETVETAAGAAGRFATGVVDTGRTFPLVYSLAQCTPDMSAGDCLACLRRLTSMINSTTAVRMGAQIHVTRCYFRYEAYVFYDSKPMLHLTGAPAPAIPKRHKSKLWVIPIVVIPVVAFFCFIVYCGWWRRHRKGIMGLQARRTDNLQGEEELVWDLEGKSPEFSVFEFDHVLEATSNFSEENKLGEGGFGAVYKGQFSDGTEIAVKRLASHSGQGFIEFKNEVQLIAKLQHRNLVRLLGCCSHGEEKILVYEFLPNKSLDLFIFDENKRALLDWYKRLEIIEGIAHGLLYLHKHSRLSVIHRDLKPSNILLDSEMNPKISDFGLARIFSSNNTEGNTTRRVVGTYGYMAPEYASVGLFSIKSDVFSFGVLFLEIISGKKNSGSHHSGDFINLLGFAWSLWGEVRWLELIDESLVSKYPPAENEIMRCINIALLCVQENAADRPTMSDVVAMLSSKTMVLAEPKHPGYFNVRVANEEQSVLTEPCSVNDMTISAISAR >ORGLA10G0015200.1 pep chromosome:AGI1.1:10:2018624:2021447:-1 gene:ORGLA10G0015200 transcript:ORGLA10G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLLLLSIMPLAAGELLAQLCGNGGNYTANGTYQSNLARLATALPSNASSSPDHFATATAGQAPDAAYALALCRGDVANATACGDCVAASFQDARRTCPSDKSATIYYDDCLLRFAGDDFLAAPNITENATLFQAWNQQNITGDAAVAAANVRELLTVTARTAAAAARRFATGFMDGSSESKQTLYSLAQCTPDLAAGDCLACLQRLIAMVNSTTSVRLGGRVLLLRCNLRFEAFVFYAGEPTRRVSPPGSTPAPDSIAPTKNRKKSKSWVIAAIAAPVAAVVLCLIVCYYCRWSRRFRKDRVRLREKRSRRFRGDELICEMEGEISEFSVFEFREVIKATDNFSEENKLGEGGFGPVYKGLFSEGLEIAVKRLASHSGQGFLAFKNEVQLIAKLQHRNLVRLLGCCSQGEEKILVYEYLPNKSLDFYIFDERKKDLLDWNKRLVIIEGIAQGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFGSNSNEGTTRRVVGTYGYMAPEYSSEGLFSPKSDVFSFGVIILEIISGKRNASLDQCEDFINLLGYAWKLWSEERWLELLDASLVTNWQSSCMLRCINIALLCVQENAVDRPTMSNVVAMLSSESMVIDEPKHPAYFHVRVTKNDESSTVGTCSTINDVTINY >ORGLA10G0015100.1 pep chromosome:AGI1.1:10:2017065:2017698:1 gene:ORGLA10G0015100 transcript:ORGLA10G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYKSVLVARDLLSKKLPYSRNASTWMPSLIQSSFDVDGIRHQGWGRQWMWRRRVAVCQSPVIEGASCKATIPELKQPRVMVVRLRETTXLCGGEEKRWWLLGRWRWAEKGPASGSRHALPPSATIVSSPTTFPQAAAESSPVTVPEGNVTEIFWPEQFCNGMDXINTKIY >ORGLA10G0015000.1 pep chromosome:AGI1.1:10:2013105:2015089:-1 gene:ORGLA10G0015000 transcript:ORGLA10G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHGDEMTCRRQRRRRRTSPAAPAPPPVPPPDNDDLIQEILLRLSSHPSSLPRASLVCKQWRRLVSDPAFLRRFRARHRDPPLLGVFKDELHHPVFRSVLDPPDLIPPDRFALRLDDYRAASLLGCRHGLVLIFNYNTCEFLVWDPVSGDRRRVAVPQELDGGERSVMNGAVLCAAGDDGHVHGGGFRSCHFKVVLIGASKMDGRIFASIYSSVTGEWGDAIFTGPVSTIYYFGSPAILVGNSLYWLLSVWGPHILEFNLETSTLAVIDGNWPQMHFSSDCHYCIMRGEDGNVGLAILSYRGFQMWERKVTLGGAAKWVLWKTVKLHDILGLSSAVQREKTDIVGYSEDPNAFILVVDTDFYMFQVDSMQSKKLFDCNVVTRCHPFTSFYTAAMEAIGPDE >ORGLA10G0014900.1 pep chromosome:AGI1.1:10:2009417:2010022:-1 gene:ORGLA10G0014900 transcript:ORGLA10G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRIFASVYSLVTGEWGDAIFTGPVSTIYHFGSPAILVGNALYWLLSVSGHHILEFNLETLTLAVTDGNWPETNFSSDCRYCIMRREDDNVGLAILSYSGFQMWERKVTLGGAAKWVLRKTVKLHGILGLSSAVQREKIDIVGYAVDLNAFILVVDMAFYMVQVDSMQFKKLFDCIVITRCHPFTSFYTAGSPRFNVTLA >ORGLA10G0014800.1 pep chromosome:AGI1.1:10:1993857:1994042:1 gene:ORGLA10G0014800 transcript:ORGLA10G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPTVRSARPRASHAQEKTAWAQATFDLLAVAASSPEGEKWRRPAREVAMCVGRGSDGNE >ORGLA10G0014700.1 pep chromosome:AGI1.1:10:1983572:1988251:1 gene:ORGLA10G0014700 transcript:ORGLA10G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16120) TAIR;Acc:AT5G16120] MPHVQSAPAAATAAGMSAASAPAPRRWEGVDQALERMVLRACLDQAPERRRVRDAFKDVQLSIDHCLFKGQYSDIGTKESYEKNSRGVEIFSKCWYPENHRIKAIVCLCHGYGDTCTFFLDGIARKIASAGYGVFALDYPGFGLSEGLHGFIPSFDTLVDDVAEHFTKVKENPEHRGLPSFLFGQSMGGAVALKIHFKQPNEWDGAILVAPMCKIADDVIPPWPVQQVLIFMARLLPKEKLVPQKDLAELAFKEKKKQEQCSYNVIAYKDKPRLRTALEMLRTTKEIESRLEEVSLPIIILHGEGDLVTDPAVSKALYDKAKSSDKTLRLYKDAYHAILEGEPDEAIFQVLDDIISWLDQHSTKKVPSS >ORGLA10G0014600.1 pep chromosome:AGI1.1:10:1979035:1980270:1 gene:ORGLA10G0014600 transcript:ORGLA10G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPPPPPRPLLLTVLPQELVVEILIRLDDLADLARAASACRALRRLITSRAFLRRVHALHPRPLLGLLHLEHHGSRCRFLPAEPPHPSAATAAAVARAFDSDSDSDSSFSFLPGRPGDWRLRDVRHGLAVLSTRHAVTDDGCFSFPDVVVCNPLRRRYARIPPISDDLAAPIRSLGIGVEDFDYLVAPAGREGLSFRVICRPQLPMGCDVTVFVFSSSAVIWRAATLHACAATAQLVSPQYAHGYAYWRLIRSATRLLLLDTRDMDFFFVDFEQRSVPWQAIGEAGEVGRLAMFNIAHANHTVELLSRAIRGSADEHWRHDKTIPLLPGYKWRILKLAEGYLLLQGRILGDGASQFTPGDQLQYFTLDINTFKLERLCASTPQGISYHPQFELYRCFPPPLSFSSI >ORGLA10G0014500.1 pep chromosome:AGI1.1:10:1974634:1975797:1 gene:ORGLA10G0014500 transcript:ORGLA10G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLLLTILPEELVVEILIRLTDLADLARAASACKPLRRLITSRAFLARLHALHAKPLLGLLLLERDRCGFLPAATAVAAAVARASDFAFSFLPDHAAGWRLRDVRHGLALLSSSSSSSSYLSPLGDRGFFPDVVVCDPLRRRHVRVPPIPDDLTAGVRRIAVEHFDYLLAPAGRDGSSFRVVCRPKLPKQCDVTIFVFSSGAAFWRAAVLDACAATEKLFLPQSVHGYVYWRTHSSGTLLMLDTRDMDFFFVNIQTNKCVIGEAEEVGRLAVFNTIVDVGVHKVEILSKAIRGGADEPWRHDRTIPLLPGYKWRTARMAEGYLLLHGIVGNNSWSTPGIQLQHFTLDLKTLKLESLCDSISRGRHHHPQFDLYRSFPPPLSLSSI >ORGLA10G0014400.1 pep chromosome:AGI1.1:10:1967993:1969213:1 gene:ORGLA10G0014400 transcript:ORGLA10G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRPRRLLLTLPDEVLEEIFLRLDALPDLARASAACATFRRLITARAFLRRLHSLHPRPLLGFFKREGPSCEFFPAAPPHSSSAAASAVARGAADLTFSFLPATPGGWRLRNIRRGLALLSTRDGGGGCFFPDVVVCDPLHRRYAQIPQIPDDLAAPIRRSGSLPKGFDYLLAPARREEEEEEEEDSSFKVVCRPRLTEECDITVFVFSSGAGIWRAATLGSSLATAISVTSRPRCVHRCVYWLTRFLDRLLILDTDEMELFMFDNFPPSTGFVLNHTTAAIAEAGEGRLGVFNLDVHNVNLLSRAIRGSANEQWRHDKTIPLLPGYSIWRFVNHADVDGYILLGGVLGSGLQSDPITVGLQYFSLDLKTFRLERLCPMTIYQARNSPTELYTSFPPPLSLSSI >ORGLA10G0014300.1 pep chromosome:AGI1.1:10:1961562:1965307:-1 gene:ORGLA10G0014300 transcript:ORGLA10G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWRDSGGGGSGGGRDLNGGGTPCGQVRVLVVGDSGVGKSSLVHLILKGSAIARPPQTIGCAVDVKHITYGSPGSSSNSINSIKGDAERNFFVELWDVSGHERYKECRSLFYSQINGVIFVYDLSQRKTKTNLNKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDGKRVSSGNLVDVARQWVEKQGLLPSSEELPLAESFPGNSGLLTAAKVARYDKEALVKFFRMLIRRRYFSNELPAPSPWSLTPREDTILPVETTNDDDLFQRKSYAGQSYKYSGVTPLPAQRNLTPPPTLYPQQPMSSSSENYRYHRFSSSAIPDASSSRTNRADINI >ORGLA10G0014200.1 pep chromosome:AGI1.1:10:1956766:1958208:1 gene:ORGLA10G0014200 transcript:ORGLA10G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVSAIAGDVVNRFISFLIKKYESQENLERKMERLQNLLLKVHMIVEEAEGRHITNSKMLLQFKKIVDAMYQGYHVLDIIKNSILCKSRPEEQVSSANTISAPTCYVNPFRTSQSSTIRHNQLKSTLDSLETIVSSMTEFVYLLGGCERMSPRPYDTYLYFDNFMFGRQVEKQQVINILLQENLPPFAPTVLPIIGPSRVGKRTLVAHVCNNEIVRSHFSSILHLNGENIMKMECETFTERRDLVVVEFTADTDDDNWKKFYASCTNMGRGSKIIIVSRIEKISRFGTVRPIHLNSLSHEEYSYLFKVLAFGSTNPEEHPQLVSIANELTVLLGGSFITANVFADIFRKNQNVHLWLHVLKKYRNTVLKNFSEFSEHPKLLLEKEHLIDITKLASSSSPLRLMPPHCEGYGSKRRLTKVMFSDLIADSIVVPKEDFELVAWESRIPPYRRFVNIVLYCDDEKNFQHADSLHKKRQKNT >ORGLA10G0014100.1 pep chromosome:AGI1.1:10:1949439:1950887:1 gene:ORGLA10G0014100 transcript:ORGLA10G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVSALTGELVSRFISFLLSKYSSHEISEEKQLERLQQLILRVSTVIEEADGRYITNSGMLMQLKGLADAMYRGHHVLDMFRCRNKIQENSIKEVSSPFPPLKRFRAIVDAAGHDKASRYLELHKTLGILETAVDHMAEFVVILGGCERMSRRPYDAYLHIDNFMFGRHTEKQRLLNFLLEYNSQGLPPVLPIIGSLAVGKKTLVAHVCADERVQSQFSSILHLNEDDLLRLAHSDTLLSGKMLVVVEFVSDLNEKNWEEFYTSLTQMNQGSKVITISRFRKSEKLGTVKPILLDIHSYEELSYLFKTLAFGSANPRDHPRLLQIAEEFAMQLQLKSSLVAANFLADVLRRNLDVNFWLCMLNRCITVAEKNFSLYGEHTRLLLEQGHRVDITNFDSSPAAPLHIVPCIGANSTGKDLPRVKFTELLLDPSVRPKGEFTLVSWESRLPPYTSFVHFVPNYSQDFPEDKPLSGRKRRGDPS >ORGLA10G0014000.1 pep chromosome:AGI1.1:10:1931522:1937043:1 gene:ORGLA10G0014000 transcript:ORGLA10G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIISSITGDLTSRLISFLMNKCFDTLYSDEKVKRLEQLLQRVHMVVQEADGRYITNHCMLTHLKTIVAAMYSGYHALDTIKYMKNNEGANDLKKLSMQLLGALQNIETVIGDINEFVILLAGCERMSYKPYDAYLYIDNFMFGRHVEKQHLINFLLENNIPGPPAVIPVIGGHKVGKRTLVTHVCNDERIRSHFSLIFHINGANLSRITENGNISARTLVIVEFISDVDDNDWITFYSSVANLNRGNKVIILTKIQKLERFGTVKPIAVDRLVYEEYRYLFKTLAFGSANPMNHPQLVPIVEEFAMLLRGRLIQANILADVLRKNLNVHFWLFALKGVQITVKKYLSMNGTHPHELFDQGHPVHLTDYVLYPADTTENAPNNDLPKLTFGDLITGRIFPPKGNFNLVSWESRIPPYTSFVHMARFSPSLAEDKPESHLSGRKRPRSWEALASAGIIVIIPALATTRRIKPPLLALVLASWAASS >ORGLA10G0013900.1 pep chromosome:AGI1.1:10:1924927:1926071:1 gene:ORGLA10G0013900 transcript:ORGLA10G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLPYLLLLLSTVLALLSVLQEKGYSVRISQKPYDTYIYIDNFMFGRHVEKQHLINFLLENNIAGPPPVLPIIGGRGVGKRTLVTHVCNDERVSSQFNFILHINGANVGQITENGNLSARTLVIVEFFSDVDDNDWKTFYSHVTNLSRENKVIILTKIEKLERFGTVKPMTLNRLVYEEYRYLFKTLAFGSTNPVDHPQLIPIVEEFAELLEGRLIPANILADVLRKNLNVHFWLCKLRQVQITAKKNMTMSGTHPHKLFDQGHPAHFTGYVLSPPTIITPNVPQNDLPQLRFGDLLTGHIFPPKGDFKLISWESRILPYTSFVHTARFCLSLSQDQPESPLSGRKHRRPFS >ORGLA10G0013800.1 pep chromosome:AGI1.1:10:1909716:1915194:-1 gene:ORGLA10G0013800 transcript:ORGLA10G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAISAIASDLTSRFMSFLIKKYTVTTVKDDKIKRMNKLLLRVHVVAEEADGRCITNPKMLTQFKILAEIMYRGYYMLDTINYKPPNDEEVKRLSIMSVSLKRSRTIFGTPRNPAIDNELETALNNLEAAVSNMNEFVVMLVGCERMCRRPYDTYLYTDNFMFARHAEKQQIINILLQNPCHHSAPLVLPIIGGCKVGKKALISHVCNDEHIRSYFSFILYISGDSMGRIEYAKLKKETSLIVCEYFTDIYEDDWINFYSTISQMTAGGSKVVIISRIENLARFGAVKAVRLNSLSKEVYNYLFKKLAFGSIDEKEDPKMALVANDLAIVLGVSLITANVIADLLRRNHDVHFWLHILQRFEGMVKNNLSKYGEHPKDIIEKEQPVDATRFVSSYPTHLHIMPPRVETDDLPNIGMPTIFFGDLIAGSVAIPNGDFEIVTCKSRIPPYTKYVSSGTSFADDKNGFRTMRKRRSSS >ORGLA10G0013700.1 pep chromosome:AGI1.1:10:1902419:1902532:-1 gene:ORGLA10G0013700 transcript:ORGLA10G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding NDPSCGYVAKKPEVMSQECCLAGDRHFSPAKPLNFMC >ORGLA10G0013600.1 pep chromosome:AGI1.1:10:1899538:1900971:-1 gene:ORGLA10G0013600 transcript:ORGLA10G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSAIASDLINRLTSFLMKKYMESTSIDDKMKRLKELLLRVHIVVEEADRRCITNPMMLMQLKMLAESMYRGYYMLDTIKYKSPKDEEQIINILLQNPCQQGGPLVLPIIGGCRVGKKTLVSHVCGDERIRSYFRSILYINGDNMWGMEHTKFKRERTLIVGEFFTDIDEDDWVKFYCTVSQMTDRGSKVIIISRIGKLARFGTVKTVCLNSLSQEEYSYLFKMLAFGSIDEKDHPKMAMVANDLAVVLGGSLITANVVADLLRRNHDFQLWNSVLQRFKEMVKSNLSKYGEHPKDIIEKEHPIDITRFGSSYRTRLHLMPPRVERDDSPNRKKPSLLFRDLIAGCAAIPDGDFELVTWESRIPPHTKYVQSAVAFVNGKNGCTTSTRKRRSNA >ORGLA10G0013500.1 pep chromosome:AGI1.1:10:1891511:1894940:1 gene:ORGLA10G0013500 transcript:ORGLA10G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFFTARRQKAELVAPARPTPHEHKPLSDIDSQRGLELYAAAVEFFHHRHAATTAPPVFSGGDDPVGIIRAALAEALVSFYPLAGRIRELPAAGGGGKLVVECTAEGVVFVEADADVRLQELGHGQPLGPPYPCVEELLCSNDLVGEPDVVVGKPLIFMQVTRFRNNEGFCIGYHYCHSITDAFGMAQLLHTVCRLARGDDDGESLNDPPVWERDLLAVAPRRASPRIRHVVEHPAYDPLPVSSAAAKDVVWTTPREQMVTRYFHLGPTEMAAMRAHVPSSATVFELVTAALWRCRAAALGYAAAQRVRVLVMSSARWSWKRHPPLPRGFYGNLLVPQVAEATAGELCSWPLAHAVELVRRRKFAVTDEYMRSMLDMLARRGRPFFNLDWTFVVADAGGLGRSLGVVGRWERAGGGLTPVGQATAASMYSYYERCKIGAGEEAAVVSMCLPAPAMERFVREITGCSNTRSAKSAM >ORGLA10G0013400.1 pep chromosome:AGI1.1:10:1881209:1882050:-1 gene:ORGLA10G0013400 transcript:ORGLA10G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEEQWLAKDDVRFADEVAAQELLHARVRRAQRLAVDELLQPHVGVGLHEHHPFRRALHHQLTPSSSSNCDDGELPEAAGQWVEAHQRLRQRCSDDTHRVTAIVVVTTGEDGRSVMAATEELDSGGVELQSTLGVNVGEGLVLVWGWPRRWHELRLSPVRREDGHYSLIDDRWYPCLLGAQTRRSYVPCPDRXAHHXNLK >ORGLA10G0013300.1 pep chromosome:AGI1.1:10:1862756:1864267:-1 gene:ORGLA10G0013300 transcript:ORGLA10G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKAFHIKLLVASILANTHLKIVQETSIFMDVVISALASDIISRFMSFLISKYGNNSCLKNKLERLQHLLLRVHMVVEEAEGRYITNAGMLMQLKMLTEAMYKGYHVFDTYGPLELIKEAGEVSDSYALDFHYVRRFHLSGGTIVSREVKSSLENLETVLDNLKEFVSLLNGCERIFRNPYSTYLYIDNFMFGRQVERQQIMSILMLDDHPKIPAVLPIIGGCRVGKKTLVWSVCSDERIRSYFSTILHFGGDDIKKFDERKVMPLKTLITVEFISDISDCEWLNFYSLVASSGNGSKVIIISRLEKLARFGTVNPIELRNFSHEEYSYLFNVLAFGSSNPLDHPRLAIIGKEIARTLQGSLVAINIYANVLRNNFSVPFWIRVLNLYRGMMESNLSLYGEHPKSLLQKDGTIIDITAFCPSLATNSLRITLLTGEKFKYDNKRELPIMEFGDIIAGSVTMPMKFQLVWESRLAPYTVISATCGAEELLSTTSARKKRKIVCTS >ORGLA10G0013200.1 pep chromosome:AGI1.1:10:1860103:1861092:-1 gene:ORGLA10G0013200 transcript:ORGLA10G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGRRGGIHCTMPSTPPGGTPSLPSAASSSSPTPPSSTPASSPTAPAAARQRLVVLSRMRSARRLRRSRSATQSRISCDRRRRKGAVAAPRPVLRLCAHGDHVVFYGYLTGDVNQVRTTTRHWACIDALSVAPVLLSGGLDATAHALANDAAGAGLWKKLAGGLGRRLFVDMCRKNSKHLPPRLTTLPADLQEDILRRLAVEDIAAVYFTCTGLRDLIAGSEVLNNDFQFGELWMNFAWSQGYLRRWLPSPARVVIGRRSRTTSFIAGDGEDRCRWRDPTKQMIERFVEKRSKVLAAGGDGGRRRPVMAARGRRRGDKGAASSRQKWMHR >ORGLA10G0013100.1 pep chromosome:AGI1.1:10:1855870:1858544:1 gene:ORGLA10G0013100 transcript:ORGLA10G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSVSHGALGPLLGKLSTLLADKYACLKGVRREIHSLRSELSNMQAALHKYASLEDPDIQVKAWITELRELAYDIEDCIDKFMHQLGANGEQHRTSNSIEDFFRKSIQRLKTLGPRHNIAGEIEELKARVISVRDQKNSYKLDDIFCSSSSNTNASVDPRLATLFAEENHLVGIDGPRDELVNWLDAESRLIKCRKVLSIVGFGGLGKTTLANEVYRRVKVYFDCHAFVSVSQKPDFKNIFKDIIYNMPTKDGFLKDIDTWNEKKFIEKLRELLVDKRYLVIIDDVWSISAWKAITVAFPENDCSSTIIVTTRVSDVGWSCCLNGIDRNYQMEPLSEVHSRRLFCKRIFSTNEDGCPDILQEVSTDILKKCGDHSTDDFLERWFPIPCFLRLFHMITDYYLLQLPKWVKPSLTKMAYLSINLREIKEEDMETLGDLPALLSLEIWLEPDPKEQLTVQSTGFLFLKEFVLACSDHNGGPYLTFEKGAMPKLEKLEIPFHVLMAEPHDFYFGINNLQHLKEVEVFIYCVGAEDSDAEAAVAAIRSEANANPNHPRLAIKEAYVEEISNKECDDNKDAEDQQGGVTDN >ORGLA10G0013000.1 pep chromosome:AGI1.1:10:1844299:1844487:-1 gene:ORGLA10G0013000 transcript:ORGLA10G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGTEGRSGGAGSEHPRAPVAGGKEREEEVASGLPTLSLPVEEVEKEVRGIEKGGGGRER >ORGLA10G0012900.1 pep chromosome:AGI1.1:10:1841308:1843711:-1 gene:ORGLA10G0012900 transcript:ORGLA10G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQRLENYTSSXCXWKQFTEKSWXMFSGISSWLTTYGLQKHGKQYQIICCXLIIKSAVEXXLLQDFKRLVRPAAGQKTRIYCIRLVSSVLVIPRSYSTEVFLNPKAPKIDTRYRTMSLVIYGKGVGVSLWPXLPWLVXWRATKTSQRVTGLDFTNYCQKRFLLLLGLRNKKLLSIWMGLQGYLIVATIICLDISEPAYCTWQYFQRVGKNXXEVSVQAMDCXRFCQCKARADGGGSCGIILQSYFKKKVDTSCGAQQQRETKNLSSSXHGSXLYCDQGKRTEFYYCGWWPSDDDSTQXXQSPSAFHAEQQFQAWRFNKRQNLSQVRSLTVFGSLTQLPFHAFNDRIIQVLDFQGLKGFKNRHMKHICKMFVLKYLSLRGTDITHVPPTIVKLEYLETLDIRETRVEELPKEVEQLKLISRILGGSKNKNPRKGLRLPQEKSKKQQHKSMLTQDKEKEGMKALRILSGIKIDETTAVAGLHQLTGLKKLTIYKLKLNPEEPGTRKILTELRSSIEYLCSCGLQTLAINEEGQSNFINSLGNMSAPPRYLVALELSGMLKKPPGWIKTLRTLSKLTLSLTVLRTDTLEHLRALPLFSLTFSFSFGEMEKDQDKKMKDIIEDNKSLSDGEIFVPGEGFKSLQLLRFFAPLVPKLGFCHNAMPALEMIEMQFRAFEGLFGIDTLGNLKGVRLREAKPREEDKQTAQINDLLVRDLKDSTEGLKVIIDHTFTS >ORGLA10G0012800.1 pep chromosome:AGI1.1:10:1828679:1829864:-1 gene:ORGLA10G0012800 transcript:ORGLA10G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDGGRVKEFVVFLARCECMWCRPYDAYLYTDNCVFARHVEKQEIINFLLQNPGNQGARLVLPIIGDCRVGKKSLISHVCNDERIRSYFSSILYINGDSSCGSITHAKFKMERTLIVREYFTDIDENDWVNFYSTVSQMTAGGSKVVIIIRIENLARFGTAKAVHLNSLSQEEYSYLFKMLATDQKDHPKMVSVANDLAVVLGGSLITANMISDMLRRNHNVHFWLRILRRFERMVKNNFLKYGEHPKDIIEKEQLVDSTEFMTSYPTHACILVMPPRVERDDIPNYKKPSISFKELYFMTRMDLQQLQGSAEAPVDLVTHSDIL >ORGLA10G0012700.1 pep chromosome:AGI1.1:10:1813749:1814594:1 gene:ORGLA10G0012700 transcript:ORGLA10G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVVSVSHGALGPLLGKLNTLLVDECARLKGVHHEIRSLRSELSNMHAALHKXTSLEDPDIQVKAWISELRELAYDIEDCIDKFMHQLGANDDXHHTSNGVKDFFGKSAKRLKTLGSRHNIAAEIEELKMRVISVRDQKNNYKLDDIFCSSSSNTNAFVDPRLAALFAEENHLVGIDSPRDELVNWLDADSRLIKHRKVLSIVGFGGLGKTTLASEVYRRVKIHFDCPAFTSVSQKPDMKKIFKDIIYHMPTKDAFLKDIDTWNEKKFIEKLRELLVDKR >ORGLA10G0012600.1 pep chromosome:AGI1.1:10:1811901:1812182:-1 gene:ORGLA10G0012600 transcript:ORGLA10G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQVEQPTRRXVAAPAASSGAAKDGGGDDTVVDSGGAALELRSRARTPPTPPAREGVASFGSSARKTAVDLGRLLERPYSGEPHAAVASLSPTQ >ORGLA10G0012500.1 pep chromosome:AGI1.1:10:1811238:1811606:-1 gene:ORGLA10G0012500 transcript:ORGLA10G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNLQKEVGGKKYLIVLDDVWNRDSDKWGKLKTCLKKGDMGSVVLTTTRDAEVARIMVTGEVQVHNLENIREDYLMEIVQSKAFSLAKSNEHFEVLRKIVQRCDGSPLAAKSFCSLLFNRTTV >ORGLA10G0012400.1 pep chromosome:AGI1.1:10:1771666:1776193:-1 gene:ORGLA10G0012400 transcript:ORGLA10G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLVGPLLSKVLEKASSFLVDMYKVMDGMEDQRETLERLLPAILGVIQDAEEKKNHRSCLVCAWLKSLKKVSYEAIDVFDEFNYESLRREAKKKGHHNHTMLGMDSVSLFPSRNPIVFRYRMGKKLRKIMEKIKELVSEMNSFGLVCQEETPKQWRKIDSIMVDSDKDIVSRSRDEEKKKIIKILLDKANSRDLTVLPIVGMGGLGKTTFAQLIYNDPEIEKHFPLRRWCCVSDVFDVVAIANSICMSRERDREKALQDLQKKVGGKRYLIALDDVRERNSDKWGKLKTCLKKGGMGSAVLTTTRDAEVARIMVAGEVEVHNLEKLGEIYVKEIIQSRALTLPNNDEYFEVLCKIVKRCDGSSLGAKAFGSMLSTRTTIQEWKDVLAKSNICNEGEDKIVPILRLSYDELPSYMKQCFSFCAIFPKDYEIDVETLIQLWLAHDFIPLQGGDHLETVAENIFKELAWRSFFQDVKRISQRGENVYRRQLRDRTTCKMHDLMHDISQSVMGKECVSIICSSNFRNLMLEHPLYHVFIPYTSIALPDDFMGNEAPALRTLLFREYYGNVSTSHLFKCNYLQLRALELPRVEELPIRPRHLQHLRYLNLSDNSNIHELPADISTMYNLQTLNLSDCYNLVRLPKDMKYMTSLRHLYTNGCSKLKCMPPDLGQLTSLQTLTYFIMGASASCSTLREVHSLNLSGKLELCGLENVSQEQAKAANLGSKEKLTHLSLEWNGEYHDEEPDYPEKVLDALKPHHGLQMLKVVSYKSTHFPTWMTDLSVLENLTELHLEGCTMCEEFPQLIHFKSLQVLYLIKLGKLQSLCPEDARDGKEQIFPALKEVKLIDLERFESWVETEGKQENKPTFPLLEVVEISNCPKLTSLPEAPKLKVLKLNENKAELSLPLLKSRSISQLSKLKLDVLDKEAILQLDQIHESSLSNMELRHCNFFFPTIPSEPIIGIWKWFRQLVYLEINSSDVLIYWPEEEFLCLVSLKMLTIFGCVNLIGRPTLVKGEPTHCATDQFLPCLTSSSICCCDNLRELFVLPPSVTHIHVSGCRNFEFIWGKGDIELESVHVEHHDTFTLPEHCNDLEYRSVPEQSPSAVNHPLPCLERIHVSLSDKIVELQNLPPSLTSLEFHSCPELRSVSGQLHDLKFLDIRRCNKLESLNCLGDLPSLERLCLVSCKLLASLPCGPESYSSLSTIAIRYCPAMNMKPLYERLRPRLDILKERDLSHAHAKCPYGGVIHFSLGTEHKRPTLWDPKSWKYAIPGCRWLQV >ORGLA10G0012300.1 pep chromosome:AGI1.1:10:1759779:1760506:1 gene:ORGLA10G0012300 transcript:ORGLA10G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSTIFSFCRRCSYQCRSLDRKIAFLDPAVVNFNNQLSKEKEIDDYLFNALVKQNGCDHILLPYLSHHHWILLVINIDDSKICVYDSLRKGTDNYQTIMNALNRAYVKYRRSKRTYGRCAIDATSFRIFENQYIYRQPALTNLCGMYVMWYMLYFVESGHLLPRNAEKLGLETSEMLPHVFTALTD >ORGLA10G0012200.1 pep chromosome:AGI1.1:10:1747686:1747907:-1 gene:ORGLA10G0012200 transcript:ORGLA10G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVCEDSIWHTMEVLCAYGMRSRIWKESKFGMIGYIKFVSCTRGFPKVFRTSSMSLVRGFRLPTSGINRGGA >ORGLA10G0012100.1 pep chromosome:AGI1.1:10:1743066:1744335:1 gene:ORGLA10G0012100 transcript:ORGLA10G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSPLHRIIGAAMWDAEPLLGRLVILAHAAFLDAGFVSTGAANDDGAQSSVRLPRQVGATASALSLRYTAPQLLHRHRQDAAAAAGATVALRVCAHGRRHVVFYVCVRFANPWLDTYWICLDAPAAAALLAGGLDDTAPALARRERGARLAALWSALADRLCRRVLVDLCAKNGVPVEPEHELMSLPDDVKVAILARLAAGEDLARVECTCVGLNLLVAEHDSTLWKPMYTKLRSQLRRRLRFLGVSYGEPTAVSWKARYVAVRRRRVLAAHDVFMGEILLPVMTEWMRVPWIRRYPFVPPPPPESPEEEETVVPRRRRRRRAMPRDAGHGRAAPXXXXXKKQWRGAGAVHSPSSRFRWKHR >ORGLA10G0012000.1 pep chromosome:AGI1.1:10:1740160:1741239:1 gene:ORGLA10G0012000 transcript:ORGLA10G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKISPLHRVIGAARWDAERPLGRLLILAHAAFLDAGFVPAAAADDDNSIRLPRKVGRTASSLPLRYAAPQLLHWPDDAAAVQLRLCAHGRHLVLYVSMARCSMFREWLDTYWVCLDALAAAALLGGALDDTARALRRDARLAALWGALADRLCRRVLVDVCARNGVTLEPTFMSLPDDVKAAILARLPDGDDLARAECTCAGLRRLVADRDRDAALWKPRYEKLPFLLQLIGGGDDDDGEPTTEVSWKKKYVAARLWPFGELFASMRETRRLPIYAPLLDLDFDSFTRFWVFDDKPSPLPEEITVPRRRRRRRRWRMMPRDAGHGLAARGHGGDKKPRHGAGAVHSPSSRFRWKHR >ORGLA10G0011900.1 pep chromosome:AGI1.1:10:1733668:1735215:-1 gene:ORGLA10G0011900 transcript:ORGLA10G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIIGVGGGGWTRLRSIGHGASGATVSLAADDASGELFVVKSAGDDAVATARQQLRREWSVMSGLSSPHVLRCLGFVQAAAAAGEHQLFLEYAPGGSLADVVARNGDRLDESAVRAYAADVLRGLDYLHGKLVVHGDVKGSNVLVGADGRAKLADFGCARVATPGGSKQPVLGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWSDMDNVLAALHKIGYTDAVPDLPPWLSPEAQDFLRRCLQRRAGDRPTTAQLLQHPFVSKSCGLKKEVIKATWVSPTSALDAAAALWESETSSSTDDEEDDDMSNSPTGRIIAMACSGGQTLPDWDSDDHGWIEVLGSVSISVANKTTAAVEDYEASESPAKRVRAMACSPSSVPDWDSDNHGWIDVLSASPADDNGGAGNAPEEFDVVAAADQIFGEAVDSIVVGVGSEQSVVVENQEDVFISLSSCSESVLLVAVHAADNNAASRKAGIKECSHDPRPSIPSRCAHNLFLSLIFIQIIRM >ORGLA10G0011800.1 pep chromosome:AGI1.1:10:1729319:1730899:-1 gene:ORGLA10G0011800 transcript:ORGLA10G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIIGGGGWTRLRGVGRGASGAVVSLAANDVSRELFVIKSAGEGAERQQLRREWSVMSGLSSPHVLKCLGFVQASGGCGGGEHQLFLEYAPGGSLADVVARNGGRLDEGAVRAYAADGSNVLVGADGRAKLADFGCARVAMPGGSKQPVLGGTPAFMAPEVARGEEQGLAADVWALGCTVIEMATGRAPWSDMDNVLPALHKIGYTDAVPDLPRWLSPEAKDFLRGCLQRRAGDRPTAAQLLQHPFISKSCGLNNKETVKATWVSPTSALDATLWESESSSTDGEEVDDMSSNSPTGRIRAMACSGQTLPDWDSDDHGCSWIDVLGSVSINVANKTAAIEQRVTSMACSPSSVPDWDSGNHGWIDVLSSVSISIANKLETTTAADNVSSECPAKWVRAMACSPSSVPDWDSDQGWIDVLGASPDVVAVEEFDVAAAADQISGQAVGSIVVGVGSSEQSVVVENQEDEFTSLSSCSERVLLVGVHAADNNAASRKARIKRCSNFSC >ORGLA10G0011700.1 pep chromosome:AGI1.1:10:1719381:1719929:1 gene:ORGLA10G0011700 transcript:ORGLA10G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIIIKDIASALLYLHEECHPYILHRDIKPDNILLDNNFNAKLADFGLSRIADPDNNIVKTTAHGTKGYIDPLCMRDATIEFDRSSDMYSFGMVLLVVACTQGTSREQVWQLYQDKSLLQAADDNLRGQYDETQMERVLILGLCCSRLDDATKRPTIRQALAFLEHGGPMPGLESLINPRSNL >ORGLA10G0011600.1 pep chromosome:AGI1.1:10:1707529:1707942:1 gene:ORGLA10G0011600 transcript:ORGLA10G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDGPTLLATFQSDLSGHAPRYRSYPLFLAFSIIPRFLALLLASAELISLPSSPIAPGHAFTADFTALATLAVAVALAWRAAAPWRDAVVAQTPPHQVAALLISTAPSAMEIKAAATGDKAVEEHLVIFFFVISI >ORGLA10G0011500.1 pep chromosome:AGI1.1:10:1703436:1704838:-1 gene:ORGLA10G0011500 transcript:ORGLA10G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVRPSASRLHIRRAPVKYMHDSNQQSMLCLDPLVSIGNVASRSISTVSVHTICFSNQLVALHNICQTKNNSEFRPHDHVRLKEKESDFHACLDNKFSFKLSIGLCSVEEFETGTRGDSIYNYCTMSLIAG >ORGLA10G0011400.1 pep chromosome:AGI1.1:10:1698822:1699706:1 gene:ORGLA10G0011400 transcript:ORGLA10G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGLPVREGVSSAKLTLIVACFLLPFLVEAESRAPAPLSFSFDFSNSASYRLEDLRFEGDASEPSNKLVDLTCNEFAETIHKCKGRMSYAHAVKFYDATTGEAASFSTRFTFAIAIRSDNSNPTDTKGDGLAFFLAAYPSTIPSNSDGGNLGLLATNHSKANGTDRFIAVEFDTYNNFWDPNKTYDHMGVDISAIESANTTSLPSYSLNGTMTASISFNSSTRMLLANLHFDDHPSFQPAEVSAILPDPVTLLPQEVSVGFSAATGGSGSELHQILSWSFNSTLGLALNSNSTA >ORGLA10G0011300.1 pep chromosome:AGI1.1:10:1675888:1676130:1 gene:ORGLA10G0011300 transcript:ORGLA10G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRGGRRVTGPAVESRTPVKLVERRHGGLGGAGRQGLAGSVVASERMPVETVGGEQRSPIIVEPASIDATARKRYRRCYRQ >ORGLA10G0011200.1 pep chromosome:AGI1.1:10:1566569:1570020:1 gene:ORGLA10G0011200 transcript:ORGLA10G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSRXESSLYCQNPGRRPSPHLVTRLRMHEELQRPSRTTAPCSGXKTGAARAAPPRPTHDVAAPVRLPRWCRSYANFSADTAESYGNMMKNKVLGTDGSDGDMPAAQMPAFAYLHLNHDYGDDDKMFFCDDDQRLVMRTDTYIVPSLFLVTRFQDELDALFPERGAVFHYLGRYLFPQANHTAVLQRVPRAGVAAAGRRPDCGSQALFCSSAAAAEDDTLTCAKPWRDIFQILISXLSTNYFLESYVSLNRAKAALKGSYSPRGQEGWIWWSIESSLNQVAKEPKRRKGKDTGCARRGNRSRRCMEIRGGEGGEGD >ORGLA10G0011100.1 pep chromosome:AGI1.1:10:1531891:1533836:-1 gene:ORGLA10G0011100 transcript:ORGLA10G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LMEPAHAPGRDRLSALPDNVLRRIMSFLNARQSVQTCVLSRRWRHLWRSLPRINADYTEFCFACLDEKKEKVQEARFKKFVSTLLLRRDPVPLLDKFWFRYQVSDGNNNEKASAEAGLWISHALQLQTPVVEVLTFQFPLMLDHAVFTSDYLRKLGLSNAYLRMGFFEQLSRGCPQLEDVFLNDCIILDDEISSTTLKTLNIYASRFSEDYRASISTPSLTSLTLYKPDASVPSLKDMKSLVSASIILDDNTDIHELLMSLSGVRNLDLECPQKMVTIAKNTQWCPEFKDLVNLSLGQFCLGSKLYALTEFLKNSPKLEKLTLDPPVVIIDKLEERSFECEHLKIVEVICSEDDSTLLKLVEDIFVTCGMSSLQINRKSSYKQYYSDDFFRFDYDSTTAQEHAE >ORGLA10G0011000.1 pep chromosome:AGI1.1:10:1530372:1531145:1 gene:ORGLA10G0011000 transcript:ORGLA10G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAATPPPASAAVSPNEAAEAALRLAENMFLRGDLAGARREAARARALCPAPSPLHPAAARAMAAYRVHVVAAAAAAAGNARGRGVDWHTLLGVRRGDGLDAAKKQFKLMRLLTHPDKNRSAAADGAFKLVTEAWEAISSGHAPFFSGDDVERDVPRPPRPPRRQSPAPPPQHGQRRATRDYGEEHVRHDGCCRENYRSTYRRGRRRPSPAAAAAAVSKMYFAFCPFCGAKAAQPKNAQWLDMDPLAFCSKFHRF >ORGLA10G0010900.1 pep chromosome:AGI1.1:10:1519889:1520095:1 gene:ORGLA10G0010900 transcript:ORGLA10G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREKTRAVATQRAVRGRRQGAHRWAGNDVRNGGWTMKGAQARALALPSAPSSTGATQPRPLPLGAD >ORGLA10G0010800.1 pep chromosome:AGI1.1:10:1508991:1512248:-1 gene:ORGLA10G0010800 transcript:ORGLA10G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAGAMRALLPKLAELLKDEYNLEKHVREGVKSLEIELTMMHAALRKVAEVPLDQLDDQVKIWASKVREISYDVEDAVDAIMVRVEDDSHSGPSTFKNRVKRSIKKISKLFRKAKELHQIADAIKEAQALAQQMAGLRERYSGLELQNSGVAATIDPRLTALYIDVTDLVGIDHAREELIKILTEGEDSSKQQLKIISIVGFGGLGKTILARVVHEKIEAQFDCSAFVSVSRNPDVRMIFKKMLHQLEKVKYANINESSRDETQLIDELREFLQDKSFDTRLPDKLGDMTSLEELSSISTSKCVDIVKELRQLTRLRVLAIEWEELGEKQDKALVECLGSLHRLQILNIYAFGGCLNLMQEGWMPPVSLRRFLSKGSTGSFSTLPTWINPLLLITCIDMWVDQVRPWDIQILGELPALCSVSLRATGSIEERVVEIFVVSTNAFQRARECTFFNFVTVPSMFPLGAMPRVRYLEFSLRAWDNDMAIGNGSSGDLDLAMGHLPSLERVSVMLWCTKASRAEVEGVEAALRRATDVHPNNPTLAVRRWDDRHIREQENNLADKEDDLLEEDDEKESSPPSVTTDM >ORGLA10G0010700.1 pep chromosome:AGI1.1:10:1407606:1408493:1 gene:ORGLA10G0010700 transcript:ORGLA10G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATQPAREVLIRRVTADNLAVEMLTIRSHLPYFPYITIHADYPVDNAAARHGRRRRRRGGGGRGNKRESEAEERFYRLAKARVDELHVLQLDITLCDHHGRLPATAIAGPGGAAVAVEMAWQVGFSDFDMSQSAVDVLRAAGVDLEHLRARGVPAAVFGQALRVFDIVSAANLGRLTWVAFGGLYDFGFLLKMLDGGRPLPETAEGFASRLRAHLGVVYDAKYVAARLPMDGVELRGGLVRVARVLGAPAAAVEEPRQAGEKSLVASQVFTRMTGLFFAYHDVAVHAGKIDGLQ >ORGLA10G0010600.1 pep chromosome:AGI1.1:10:1388584:1389408:1 gene:ORGLA10G0010600 transcript:ORGLA10G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPLFSFLVLFQPVLPHRFARQRVQRLSPPPFRLHVQGDVPQLKEMCSSLATSKEFVKALVGIWGLDDGLNPLTASLLSALCAELDLARAHAWQLAMEDRRRSDEMARMRAQLVEEAREWRSRQREQVAAMVRVAAAELDGEQRSRRRAERVNAKLGKALRGXARRELERERRSRERLEKVCDELVRGGLACGVDGVRGGEEEMRREAQRGAGGAGEREGDAAPRRRAPRAFPCTATKAFPPAASLTATPSSRSRPRCCHLRRHRGTADQLR >ORGLA10G0010500.1 pep chromosome:AGI1.1:10:1352026:1352926:1 gene:ORGLA10G0010500 transcript:ORGLA10G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRVLLGGEGAVGGGLEVAAHGLSASARLTGQEASANRGAGEEHAPGAVVTHEVAIPSNPVAEDLSHLV >ORGLA10G0010400.1 pep chromosome:AGI1.1:10:1293461:1293682:1 gene:ORGLA10G0010400 transcript:ORGLA10G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAHGMRSRIWKESKLGTIGYVKFLSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA10G0010300.1 pep chromosome:AGI1.1:10:1288211:1288432:1 gene:ORGLA10G0010300 transcript:ORGLA10G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSRWHTIEVLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA10G0010200.1 pep chromosome:AGI1.1:10:1282847:1283098:-1 gene:ORGLA10G0010200 transcript:ORGLA10G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAGAMIALLPKLAELLKDEYNLEKHVREGVKSLEIELTMMHAALRKVAEVPLDQLDDQVKIWAFKVREISYDMQDAVDVFM >ORGLA10G0010100.1 pep chromosome:AGI1.1:10:1277684:1278521:1 gene:ORGLA10G0010100 transcript:ORGLA10G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKLNEPEHTCFSVNKCGDTMASKGWIADRVVDWLKEKPTLGPKELQEKINKKYKMDVLYFRVFKAKEKAMDMIYGKWDESYDLLPTYKEALLKAIPGSVVELDTEEHNGDVCFTRFFVAQKPCIDGFLQGCRPYIAMDSTHLTGRSRGQLAATVAVDGHNWLFPVAYGVIETESKESWTWFIQNLKQAIGTPTGLVISTDAGKGIEGAVDDVYPGVEHRECMRHLWKNMKKKYHGTLFS >ORGLA10G0010000.1 pep chromosome:AGI1.1:10:1264213:1266753:-1 gene:ORGLA10G0010000 transcript:ORGLA10G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTTGAMRALLPKLTDLLKDEYSLEKHVREGVKSLEIELEMMHAALRKVAEAPLDQLDDQVKIWASKVREISYDMEDAVDAFMVRVEDDSHSGPSTFKNRVKRSVKKISKLFRKAKELHQIVDAIKEAQALAQQMAGLRERYSGLELQNSGMVIATIDPHLTALYIDATDLVGIDHTREELIKTSTEGEDSSKQQLKIISIIGFGGLGKTTLARAVHEKIEAQFDCSAFVSVSRNPDVRMIFKKMLHQLDKVKYANINESSRYETQLIDELREFLQDKRYFIIIDDLWDERVWDYIKCAFPKDNLGSRLIMTTRNVNVSKACCSANNDIIYKMRPLSDDDSKKLFYKRIFPHGNGCPCELEEVSNEILKKCGAISHNYYSKPFG >ORGLA10G0009900.1 pep chromosome:AGI1.1:10:1260217:1261104:1 gene:ORGLA10G0009900 transcript:ORGLA10G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATQPVSEVLIRRVTADNLAVEMLTIRSHLPYFPYITIHADYPVDNAAARHGRRRRRRRGGGRGNKRESEADERCYRLAKSRVDELDVLHLGITLCDHHGRLPATAIACPGGAAIAVEMAWQVGFSDFDVSQSAVDALRAAGVDLEHLRARGVPAAVFGQALRVFDIVSAANLGRLTWVAFGGLYDFGILLKMLDGGRPLPETAEGFASRLRGHLGVVYDAKYVAARLPVDGVELRGGLVRVARVLGAPAAAVEEPRQAGEKSLVASQVFMRMTGLFFAYHDVAVHAGKIDGLE >ORGLA10G0009800.1 pep chromosome:AGI1.1:10:1253591:1256638:1 gene:ORGLA10G0009800 transcript:ORGLA10G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCFPYTRNPVAESMSSAAAAAVEPGIDKRLIWWLKLQKEREMMQKKEKKERKKEKRRQKKAAQLGEKYETDDHHSKHGHKKRKHSGCEIVGEETRKVCNVTMEHLEKSSLSEEHEAPSYSQALRCTPESSLDSTKRLRTEVSSSPSQTRNGVNIRVKFTPTNQRRDPEATTGMSMKPRVTEQSPVKETGMDLSMANRKREFQPHVNTVSVVKQVVSQQKNMSIRNGNCLDESRKVSQQHDAKSMQRVNMVQRVRTKSTPIAAMQRVDPPSSEKAVMQRANPAPTKVMQGVEAAPVKSMQRANPTSTKVMQEVEATPVKAMQIAGHITLSKVFNRESTQVQLRKETGGPLLGGQLNTGRPTLLNKPKVCADPPILLSKPEMLCVEPPGLLNKPKAHVEPPVVKQQQQIVPEAQEEPCSVGSVLAAASPVTEAQQSSSDRKSRKAEKKGRKLADLFVNWKPSPTQMEDTDVGDQDWLFSCRATPKNNCRTFDGSARCQPTEQLFSLQPRAVHLPDLLMYQLPFVVPF >ORGLA10G0009700.1 pep chromosome:AGI1.1:10:1251271:1252590:1 gene:ORGLA10G0009700 transcript:ORGLA10G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNPKAYPLADSQLAQAIQELVSQAANYKQLKKGANEATKTLNRGIAEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVPSKQALGHACGVTRPVIACSVTSNEASNLRDPINNLKVAIEKLLI >ORGLA10G0009600.1 pep chromosome:AGI1.1:10:1246143:1246928:-1 gene:ORGLA10G0009600 transcript:ORGLA10G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAANLDSEMGLIGEMMVQYPYVTIDVEFAGVVHHPPYTGSRPTPDEIYAAVKSNVDEVPAVQIGITLSDAEGNLPTRSSSSPEQGIAWEVVFSDFDAGRDPHVVDSVEFLKNQGIDFDLARQIGVTSTAFGEKLLAILPPPSRRGELTWSAFGGAYDMGYLLKMFTGGQPLPETRQQFMQLVKSRLGGGRIFDSKYLVEHDRQDLRNAGLRHTADVLGVRQQEGVKMLAGHKSVVAAAIFATIRSQGVHLLHEGVIDGIL >ORGLA10G0009500.1 pep chromosome:AGI1.1:10:1244272:1245050:1 gene:ORGLA10G0009500 transcript:ORGLA10G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSEMNRAGRGRTSAEQSHQELGEDELKWPADGWGGGRQGKAGEEEGIGGQQRGDRRRRGGGGDAGKGLSQRVWWRALRLKWATDLEVACIVEEEILRLDAAIVHATRVVVPDSKDKLPKVVLREVLCHAAGHVEQGEQLVASGEVEDEVDLVAVVQPGENGRLPLDGYGMEILAAAEAMAGVGE >ORGLA10G0009400.1 pep chromosome:AGI1.1:10:1237093:1237485:1 gene:ORGLA10G0009400 transcript:ORGLA10G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRRCCLLPFIFFFFFSFFFFFLSGCLRAGAGDRGALDPVLLYGVDNAHSMVSSVHSLPAWLACVVLAAGHTPLQDVPPPSPLHADHALLFLPPSNGEKERNKEESKCDGKLDGSDKNGVMGLFRDIR >ORGLA10G0009300.1 pep chromosome:AGI1.1:10:1218828:1219745:-1 gene:ORGLA10G0009300 transcript:ORGLA10G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRNRKRSAPEQDDATTGGVKKRLRLGSIYDYRKLTVLGEGRDGVVFKAEHLRTGDMVAIKWVRAAADQRAFIREVGCLAACRGHRNIVVVRDVVEDASTGDMFIVTDFVGGRTLRLDLWMAHPDPEERARSVMRDLVAAAGALHAAGVMHRDIKPDNVLVANGGGLKLCDFGSATPVKPPGKPYEESRVGTLLYTSPEQLADSEFYDPAVDMWALGCIMAEILTGGPLFDDSSEERMLKEMADMRHRLESTGTCKLFDELPELSAAGREVLAGMLAFNPDERMTAAEALDHRWFTGKPERRS >ORGLA10G0009200.1 pep chromosome:AGI1.1:10:1211095:1212644:1 gene:ORGLA10G0009200 transcript:ORGLA10G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDSVARHRPHSCSPETSPPPPPRSPADDDDLLSEILLRLPPQPSSLLRASLVCKRWRRLVAGPVFLRRFRAHHHRSPPLLGFFIDDYGDALFTPTLDPPNRITAERLSLRQGPGERLSFLGCRHALALLLNRPRLEALVWDPVTGPAAAPWRSHRNSPSTKVISSAAAADDGHVHVHGNCPFKLALVFIDNGRTQISVCLYDSESGTWGDIASTTLVTQWTSSVGTSTMVGNVLCWLIHRPICILEFNLDKQILSVIGGLAHVPDNSRPSSSFIFPMEDSKLGIGILSGQRIRLWERMANSEWLLRRTLELEKILSLKPQAEPWRPVVLGFAEESNAVFVLTAIGVFMIQLDSLQFRNLFESNFVTSFYPYTSFYTAAFLNMAEGPGEASTTAEGRQKLEENEGQGGVGTET >ORGLA10G0009100.1 pep chromosome:AGI1.1:10:1200083:1201471:1 gene:ORGLA10G0009100 transcript:ORGLA10G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1QSA8] MSSSSPSLLSRRSTAELELPVPPEFRCPISLELMRDPVVGPTGITYDRAGIEAWLLAAGAGKTAAASSTCPVTKGDLRADDLVPNHALRRVIQAWCVANRCRGVERIPTPRVPVTPAQAGEVLGEVEAAARAGDAARCVAAVREVGRLARESDRDRRCLASAGAARALAAAVASFAAASDSASASASSVLLDDVLAALVLVMPLDEEAIVAIGSSAALVALLANVAKHGDLQRRLQAVVVIREIVALSSCCSRNGGAATAIDLSDNLDGIIEVLVNTIRDTISPQATKASLVAAYHLALADGHAAARLAEAGLVPSLVELLIDGDRSTAEKALAALDATLASEAGRARARADALAVPVLVKKMFRVSDTATELVVSALHRICKKWHDGDDDEVGSPAARRSAVVDAVQVGAFQKVMMLLQVGCRDATKEKATELLKLMIKYETRGGAHCIDAMDFRGLKRVS >ORGLA10G0009000.1 pep chromosome:AGI1.1:10:1196087:1197312:1 gene:ORGLA10G0009000 transcript:ORGLA10G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGRGSGGGGGGGHLCLRWGEMDLGWKLLGRRWRRHGLMVVRQRGVGEWLNASADMGFSCLVVSVRLIGGRARGYGQNGGSGFGSTRPPASMGFGDATGDILLAPSPLHHQQWMISAHIYSEICWALHWHIGCKLRYNSTGGAPVSFKQNLLISFKLQLIVFCA >ORGLA10G0008900.1 pep chromosome:AGI1.1:10:1187354:1188475:1 gene:ORGLA10G0008900 transcript:ORGLA10G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLFLLPLLSLSFSFCSLSYGRPRGARAGANKLHVGAKNRFDRRIPPDLTNCTSLQFLDMSTNTLGGNMQEILGKFVALKYLVLHHNDYTRSIVSSNVLRLPLLARLDLSFNQFSGELPLEVVDMKSLKYVMLLANNFSGGIPATYPPFNFVYTVMMRENCRSIWVRLLKGYGIIPICTNSSSPVRSNTISGYVQLSGNKLSGEIPSQIGAMRNLSLLHLDNNQLTGHLPPEISQLPLVVLNVSSNIISGRIITGMVMFIMANLRVRFPVDQDPNPESLSCENPKCGGGGKCGAFHMSATSSPPSGCSSSCVTGCSRLLPPRTRYSTAAGGSIHAGRTLQRLHASPPARRRGRCSGFRRCPCPLIAPRERR >ORGLA10G0008800.1 pep chromosome:AGI1.1:10:1178928:1182715:-1 gene:ORGLA10G0008800 transcript:ORGLA10G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLSRPLEHRLSSATLDGHYEEKRKSNVEYSEDEKKAKIMSLKKKAMSASQKLRHSMKKGRRSSKVMSISIADERDPEEVQAVDAFRQLLILEELLPSQHDDYHMMLRFLKARKFEVEKAKQMWADMLRWRKEFGADTILEDFEFEEAGKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFIKNHVREFEKNFAVKFPACSIAAKCHIDQSTTILDVQGVGMKQFSKAARDLIGQLQKIDGDNYPETLCRMFIINAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDDEVMKMVQSGAGWCGNLNLNHLEAEEKMMICEDDTMYTKKQESFKDEGHTLSRKISRARIEHPKLSPVREELPPMMLPTPGSPYSCDVPMVENAIDAICQSKGSRDENVAITKAIVNASNGSNPPLFGGVMALVMSIATMLRVSRNMPKKVLGATLGAQSTSKIQAQQLSKISMEAVSAAEYASSTKRLSDIEEKVIAILTKPAEMPADKEEMLKTAISRVSALEEELAATKKALKETLERQEEIMAYIEKKKKKKSKRLFRW >ORGLA10G0008700.1 pep chromosome:AGI1.1:10:1163461:1163682:-1 gene:ORGLA10G0008700 transcript:ORGLA10G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDYIWHTMELSCAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTPSMSLICGFRLPTSSINRGGA >ORGLA10G0008600.1 pep chromosome:AGI1.1:10:1160973:1162241:1 gene:ORGLA10G0008600 transcript:ORGLA10G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVVTKSPPEIVRPSEPVTTAAANGKIIFSPLDRPLVILPVVVLQVFEHPIHEPVETIRWGLSRALVHYYPLAGRLAAGEGNEDVHIDCTGEGVTFVAASANCTIKQLMRDIDGGLPDPSTAVQRELIVDYPAYGFGRADSLIQMQVTTFTCGGFVVGVTWNHGAADGFGIAQFLQSIGELARGLPSPSVIPVRCDESIQAMPLSPSLVMAAKQFMYGVKPTKLALHSIIIPWRMINGIRGPSCTVFEAVAAALWRCRTRVVMSDPDAPTVLAITVNSRKYMGVKDGYYGNCATVQMAVARSGAVADGDMMEVVRTIRRAKEEIPERLKKGDVIGDLTKEQVAGYEGVLLVTCWRNIGFEAVDYGGGRTARVMTTYEQSLVRPMCVVCLPSEREEEEGARVLSSCVTAHHADAFLREIATL >ORGLA10G0008500.1 pep chromosome:AGI1.1:10:1141010:1142275:1 gene:ORGLA10G0008500 transcript:ORGLA10G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVVTKSPPEIVRPSEPVTTTAATSKVIFSPLDRPLAIVPIVVLQVFEHPIHEPVETIRRGLSRALVHYYPLAGRLAGDDYDDVHIDCTGEGVTFVAANADCTVKELTRDIDRRSPDAAKAVLRELIVDYPANGFGRADPLVLMQVTAFACGGFVVGVTWNHGAADGFGIAQFLQAVGELARGLPTPAVTPVRWDEWAQAVAPSTVMASKRFMFGVKTPTKLALHSITIPARAINGIRGATACTVFEAVAAALWRCRTRVVMSDPDAPTVLAITVNSRRYVGVRDGYYGNCATVHMAVARSGAVAGGDMTEAVRAIRRAKEEIPERLKKGDVIGELSKEQLGGYESVLLVTCWRNIGFEAVDYGGGRTARVMTTYEQSGVRPMCVVCLPWQGEEDEGARVLSGCVTPHHADAFLREIATL >ORGLA10G0008400.1 pep chromosome:AGI1.1:10:1125077:1126555:1 gene:ORGLA10G0008400 transcript:ORGLA10G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QSA1] MDAGSKSSSSGPLHLVIFPWLAFGHLLPYLELAERVASRGHRVSFVSTPRNIARLPPVRPAAAARVDLVALPLPRVDGLPDGAECTNDVPSGKFDLLWKAFDALAAPFAEFLGAACRDAGDGERRPDWIIADTFHHWAPLVALQHKVPCAMLLPSASMMVGWAIRSSEPAGASMFEVLGAVEERRMDMPCYEWEQKAALFVADGASGMSIMKRCSLAMERCTVAAMRSCPEWEPEAFQQVAAGLKKKNKPLIPLGLVPPSPDGGRRRAGSMSTDNSTMQWLDAQPAKSVVYVALGSEVPLRLEQVHELALGLELAGTRFLWALRKPAGVDAADDVLPPGYRERTNGHGHVAMGWVPQIAILAHAAVGAFLTHCGRNSLVEGLMFGNPLIMLPIFTDQGPNARLMEGNKVGLQVRRDDTDGSFDRHGVAAAVRAVMVEEETRRVFVANALRMQKIVTDKELHERYIDEFIQQLVSHVADSSCNIATPVPSSSS >ORGLA10G0008300.1 pep chromosome:AGI1.1:10:1104608:1107109:1 gene:ORGLA10G0008300 transcript:ORGLA10G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSAKVVLFIGASFSFFLITHAQQQATRCRPQERDALLSFKQGITNDSVGLLSSWRRGHGDCCSWAGITCSSKTGHVVKLDVNSFLTDDSPMVGQISPSLLSLNYLQYLDLSSNLLAGPNGSVPEFLGSMNSLIHLDLSYIPFSGTLPPLLSNLTNLEYLDLSFTSFSGTLPPQLGNLSNLRYLDVSEMQNAVYSTDLSWLSRLHLLEYIDMSNTTLSKITNLPAVLNKIPTLKHVLLLNCSIPSANQSITHLNLTQLEELDLSLNYFGHPISSCWFWKVTSIKSLRLDETYLHGPFPDELGEMVSLQHLDFCFNGNAATMTVDLNNLCDLESIYLDKSLSSGNITDLMDKLQCSSKLYSLSSISNNMIGMLPSSIEHFTSLNHIDLTNNSVSGVMPRGFQNMANLEYLHLSSNRLSGQMPLLPTSLKILHAQMNFLSGHLPLEFRAPNLENLIISSNYITGQVPGSICESENMKHLDLSNNLFEGEVPHCRRMRNLRFLLLSNNSFSGKFPQWIQSFSSLVFLDLSWNMFYGSLPRWIGDLVTLRILHLGHNMFNGDIPVNITHLTQLQYLNLADNNISGLIPLSLSHFNEMTLKAVGDSISTLAFDESFDTFSLGMKHQILKYGSHGVVDMVGIDLSLNRITGGIPEEITSLDRLSNLNLSWNRLSGKIPENIGSMKSIESLDLSRNYLCGEVPSSLTDLTYLSYLDLSYNNLTGKVPSGRQLDTLYLENPSMYNGNIGLCGPPLQRNCSSNGYAQGHGDHKGQEKDSNSMFFYYGLASGFVVGYWVVFCALLFYKSWRVTYFCLVDKVYDKLYVYVVITWTRWMNTTN >ORGLA10G0008200.1 pep chromosome:AGI1.1:10:1101565:1102251:-1 gene:ORGLA10G0008200 transcript:ORGLA10G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFWGKNLFEGKNVSWNWRKFSLKRGEIPPSARPASRPPDLGGESWAAWEPNALVGLHPYRPAFSAQPLGFYIDDLFPKASMSPSRWLWVRKERGLDPELGFPASSSDIRRFGGSAREIXRVEEKKRDHRFYTKVVIDRRPLQPRVDQQLYKHRMMDLDAEKRTIASENGPLPAATHKTTVSENPFSLAASI >ORGLA10G0008100.1 pep chromosome:AGI1.1:10:1080352:1086665:-1 gene:ORGLA10G0008100 transcript:ORGLA10G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRMLFDLNELPTEAEEEEAAVVVSQPQKTLPVPTAGPSLFPQQEVTQLQGILNNNAFKHAPSGSGFQPFVRSKDSQITKEPIKAEDNLNASVASTSMLTNYSSDGVAKMIGSSNQVSQAVEREEGEWSDADVASDTAGSSVSNKEELAGTATTQVKRDSQESEPTAVKSGNMIKDEAAAEPSDTEMMDVPKDPVVRGPTGLESTKNLENKGNQLGDDSDLSNKSKDVRGVEANYALKFASNPSKRPKLDEHKEAMLGKKRARQTVFINVEDAKQAGTMKTSTPRRQSSFPAPIVTRTVKEAFRSGGGIAERAAERQSQPTIRDQRQSEMLGSERSNSADPIDQISESNGDAETGSQGRPKKMNAEEAPADGYQQPMPRQLKGRQISSQRSVVTGQNTVDQKPVNKRSLVSKKQTPANNMQYQDTSVERLIREVTSDKFWHNPEEAELQCVPKSFESAEEYIRVFEPLLFEECRAQLYSSYEESLESVSRDAHVMVRVKTVERRERGWYDVVVLPMHEYKWTFKEGEVAVLSFPRPGSASQSSRSNRRNVGSNEDTESECGRLVGTVRRHTPIDTRDPIGAIIHFYLGDSFDSSSSETNVLRKLQPRSTWYLTSLGSLATTQREYVALHAFRRLNVQMQNAILQPSPEHFPKYEEQPPAMPDCFTPNFADHLHRTFNGPQLSAIHWAAMHTAAGTSNGAVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVSGNTSNSSETVAAGSIDEVLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLQQLKCREQQLSQEIALLQRELTMVAAAGRSQGSVGVDPDVLAQRDRSRDFLLQKLAASVESRDKVLVEMSRLLILESRFRVGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQLSGCPTILLSVQYRMHPQIREFPSRHFYQGRLTDSESVVKLPDEAYYRDALMAPYIFYDISHGRESHRGGSSSYQNVHEAQFVLRLYENLQKFLRANGGKKASVGIITPYKLQLKCLQREFEEVMSTEDGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNAGALMQSEDWALLIADAKARKCFMDLDTIPKDFLAMKISNTPGRNTSNNIRNMRTGGPRPRHLEMLPDSRVSMRPDEDERSNSVPRNGSYRNLDDLGRPGDRSRDNLPFGMPRRPNSSNGSRREV >ORGLA10G0008000.1 pep chromosome:AGI1.1:10:1076226:1078166:-1 gene:ORGLA10G0008000 transcript:ORGLA10G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKSSASFRLTALPVVVVAQLLAAAVLTLTLVWVLHFRGGVSWSWRRSSTPQLVYTAHPLFMVIGLVICTGEAIMAYRIMLGSREVKKAVHLLLHLVALAFAAVGLYAAFKFHHDLRAPDIRSLHAWLGITTAALYAFQWLVGFVYFVFPGAVMTMRADYAPWHILLGIVIFLMAICTAETGLARFILPFHGYPSEAFVVNFTGLAILMFGVAVVVAAILPSRY >ORGLA10G0007900.1 pep chromosome:AGI1.1:10:1070222:1070712:1 gene:ORGLA10G0007900 transcript:ORGLA10G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSGGEERRGSGEVSEEEAVEGHRLGEERRGSVYKAALLLISQWKDDSQDDGHVKHEDGKTRKVDNKRFAHIAIDMEDEPC >ORGLA10G0007800.1 pep chromosome:AGI1.1:10:1049252:1050459:-1 gene:ORGLA10G0007800 transcript:ORGLA10G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRVQEEDEQMMSTDDLIQAQIKLYHHCFAFIKSTALWAAIDLRIADVIHRNGGAATLSDLALNVGLHPTKLSHLRRLMRVLTVTGVFAVEDRNGEAMYTLTRVSRLLLNGDGEGTHALSQMARVLANPLAVISHFSIHEWFTTEKATTMTPFEVAHGCTRWEMIANDAKDGSVFNAGMVEDSRVAMDIILKESCGIFQGISSLIDVGGGHGAAAAAIAMAFPNIKCTVLDLPHIVAEAPATHSNIQFVSGDLFKFIPAADVVLLKCLLHCWQDDDCVKILRLCKEAIPARDAGGKVIIIEVVVGIGSEEIVPKEMQLLFDVFMMYVDGIEREEYEWKKIFLEAGFSDYKITPVLGARSIIEVYP >ORGLA10G0007700.1 pep chromosome:AGI1.1:10:1045918:1047646:1 gene:ORGLA10G0007700 transcript:ORGLA10G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSQNNLSGDIPVYFGTFESLCTLNLSLNNLEGPVPEGGVFANSSDVFIQGNKKVCEDDTIVDNELKMNQIATMRIPALQ >ORGLA10G0007600.1 pep chromosome:AGI1.1:10:1011368:1012593:-1 gene:ORGLA10G0007600 transcript:ORGLA10G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRVQEEDEQMTSTDDLIQAQIELYHHCFAFIKSTALRAAIDLCISDAIHRNGGAATLSDLALNIGLHPTKLSHLRRLMRVLTVSGVFSVEDHNGEAMYTLTRVSRLLLNGDGERTHALSHLVRVLVNPLTVASHFSIHEWFTIEQAAAMTPFEVAHGCTRWEMIANDAKDGSVFNTAMVEDSRVAMDIILKESCGVFQGISSLVDVGGGHGAAAAAIATAFPNIKCTVLDLPHIVAEAPTTHSNIQFVGGDFFEFIPAADVVLLKYILHAWQDDDCVKILRRCKEAILARDAGGKVIIIEVVVGIGSKEIVPKEMQILFDVFMMYVDGIEREEHEWKKIFLEAGFSDYKITPVLGARSIIEVYP >ORGLA10G0007500.1 pep chromosome:AGI1.1:10:1007906:1008169:-1 gene:ORGLA10G0007500 transcript:ORGLA10G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRAVATSPCMLRAAATVCAALATRAAVRFGRRLAVGSVTGGITLSDILLYITMTEEDLSPDPEPTATYYDKLPTKRALAAGDVQA >ORGLA10G0007400.1 pep chromosome:AGI1.1:10:1000295:1002919:1 gene:ORGLA10G0007400 transcript:ORGLA10G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSNVYKYLSTLLEWRECFEDEALEEEKELGMSNHKGDENVTECELPTGEPKAVQSTSSLYSLNQRLMHFANWLLEIVALGNLDAFFPAATREYAPIVEEVWKDPAIQATYKRKNELHFLPDVASYFLDRVVEISSNEYEPTETDILYAEGVNQWNGLSTLEFSLDDRGPLSDSYADKAGNPAIQTKYQLIRMNSKGLTGGFKCLGMLEDIRAIIFCISLADYDQTWVQSSGEPCNKMIVSRDLFEDVIRHPSFEDTPCVLLLNKYDAFEEKISRVPLTVCEWFADFSPVRPHHTSQTSLASHAYYYVAVKFKDLYSSVADGRKLFVFQTKALERRTVDDAFRYIREVLRWDDVKNSDAGYCSADESSYSVDMTTSPS >ORGLA10G0007300.1 pep chromosome:AGI1.1:10:990974:991735:1 gene:ORGLA10G0007300 transcript:ORGLA10G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIMYSAWTRPQREHGHSDECARFHAWRSSWTRCSERIEKRPAARNLAPSPSPAEKSPAPSPSSAPSLRLAEQLRRGEVRWKQHLRVRQHLRQDQCYLHLRIWHHLHGFASVSASGVGERECERRAAGAASVVRLKNRNEVSGRNERRDGGSERDESGAGEHDESGGGGECDESSGGERDKSAAGERDASGSGGEVERRAACLHATLKELNEASAGVLRINCGSGYRIDEGNSVHIACCGSERRLNRKRGYG >ORGLA10G0007200.1 pep chromosome:AGI1.1:10:982784:983943:1 gene:ORGLA10G0007200 transcript:ORGLA10G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATLDLARADLRVEAGRSCAVIEAGGVQGARATPAGGRGGDRRRKGAGLAAAGGDGRXRGGVWAAVVKAAATEPATSGITGSASAIAGSGARCRRGGGWRRGCSGGGRWRGCWRGWRGCRRGSGGGGAWRIVEARPAVWRPVQPVEMRSAAWRGGRRWRKPCRAFGRFDDDDGVGAVSLLEGVIMALSHLPHKSPGENLAPVQTSGGGVTRRVLLGGVASGKFLYIDDY >ORGLA10G0007100.1 pep chromosome:AGI1.1:10:966289:969855:-1 gene:ORGLA10G0007100 transcript:ORGLA10G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1QS88] MAASRCFLLLLLLLLSPLLASAGEEEEEAVLAMAARLRRPAAASFREGYTQLFGDSNLALHGDGKRVRISLDERTGAGFASQDAYLHGFFSASIKLPPDYAAGVVVAFYMSNGDVYEKTHDELDFEFLGNIKGREWRVQTNVYGNGSTSVGREERFYIDETPIREVQRTKSMGVQFPSKPMSLYATIWDGSSWATSGGRYKVNYKYAPFVAEFSELMLHGCAMDTLTRAPMCTPDIANIHNAVAMSGRQRSAMERFRTKYMTYGYCYDRLRYPTPPSECNVGPEAELFLPTGEARSIDRHGRARRHRRGPADSAF >ORGLA10G0007000.1 pep chromosome:AGI1.1:10:958248:962059:-1 gene:ORGLA10G0007000 transcript:ORGLA10G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGPGWVVPPPPQPQPQQQQQAVVVDGRDGLVAWLRGEFAAANAIIDLLLAHARDAADPAGFDAVAAAVQRRRHHWAPVLHLQHYFPVTEVALALHHAAARQGPPPPPPPPRPPSGSAGAEGDDAAIASGGVKEVETSAEATQNSQLVSHISHATEAQPQKGLHVISNVVPVPTCFVVNEVIDGRMVNVLEGLKLYKGYVDLTEIGKVLSFVNEAKTMRRKPGLEAGQTVVVAKRPMKGHGREIIQLGLPITEGPPEDEHLREVKVDPIPGVLQNLFDSLVHQKVVPSSPDYCVIDIFNEGDYSHPHHHPPWYGRPICTLCLTDCDMVFGHVIAADSRGDHAGPLKLSLSTGSVLVFEGKSADIAKRALPATSKQRILLSFGKSVSRKHVQSESSLLITPPLTPPPMPWAAPLRPGNIAIHPSSPKQLVYNPSNRVPAVSTPGLHHIPSNGIQTVFVAPLPITPKAVPFASAVTLPSSTAAWIAEAAPRPASPRLPLQGTGVFLPPGSGNPPPAQKLGVKHADAKPFFPQESSASSSGVSARAHKANGSVSSKPTRKDDMAEAKPKCNGSSDGGSSVAHAKATGGLEEQNVVAK >ORGLA10G0006900.1 pep chromosome:AGI1.1:10:955572:957411:1 gene:ORGLA10G0006900 transcript:ORGLA10G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1QS86] MAVALALLAAMSALSSCTSPATAELTRLEHPVVDGAPLRLLVVGDWGRKGGYNQTRVAEQMGKVAEETEIDFVVSTGDNFLENGLAGVDDMAFHDSFMDVYTAKSLHKPWYLVLGNHDYRGNVLAQIDPALRKIDSRFICMRSFIVSAGIVDFFFVDTTPFQLQYWTDPGEDHYDWRGVAPRDAYIANLLEDVDAAMKKSTATWKIAVGHHTMRSVSAHGDTQELLELLLPVLKENGVDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGIFQQNEDKLQFFYDGQGFLSLELSENQARFAFYDVFGEALYHWSFSKANLQKVQSSASVTEE >ORGLA10G0006800.1 pep chromosome:AGI1.1:10:948575:950235:1 gene:ORGLA10G0006800 transcript:ORGLA10G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLHAFRGFYLRALARLPVDELRNRYHQVIVKAADCYRPMYPVSNIILNNVWDDAAFPAIATPPVLDMSGPHILTHIESXSFYSLVSFLQSRYHHLSEQDILQXLVTHFNDMSLTDPNLDADEAMVIKAEQQSPCAGVQEAYEATTTAAWHPIPMAQAAFLTSCKAKLQESPATMSLQQQDGDRILSPXDVRYLAGVLLAEQKPSPQPIRNPEYYCSKQEDYLSFAPCDYKYTHVNFLATEKVGCLSSFRRVXQXEGXGXTGCHVLQGXYAIAICXTCSVSVLXSXRSKGCTSSSGEISWWRQRIRGGDPWKTFSHKXLDYLPXRIRCPALVSTXRGFHVCXCSI >ORGLA10G0006700.1 pep chromosome:AGI1.1:10:887293:891182:-1 gene:ORGLA10G0006700 transcript:ORGLA10G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRAHASHCADLLTRLLRRGRLREARAVASRLALADAPDPDPAVSDALVACHSRLGDISSALSHFHRLVQSGAAPSPASTAALLRAMCSASMPTEAMNVLVLSMGNPSPLPVSEFALLIPGLCSQGAVDKARFLFDAMLRSGLTPPVRVYRSLAFAYCKARRSLDASDMCQLMLTKGMYLDRELSTALIRVFCREGRLEPALDVFRRMKGDEHVQLDAYAYTTMIWGLFEHGRVDHGLQMYHEMIDRGIQPDAATYNVMIKWYCKSKWVGAAMDIYKVMVRTGVAPDLRCYTILMASLCKDGKLGEAENLFDKMLESGLFPDHVMFISIARFFPKGWVVLFVRKALKAVAKLDCGAKLLELSSLAGGCSNMSLQKEADHLLDEIVTSNVLPVNIVFNLMIIAMCSEGRLDVSYYLLGKLVAYGCDPSVLTYNIVIKCLCEQNRMDDARALITLMQSRGVRPDMSTNSIMVTAYCKIGEIESALHLFGEMAKDGIEPSIAVYDSIIVCLCRMRRLKEAEATLRQMIREGLAPDEIIYTSLINGYSLTRQTRNVCRIFDEMLKRGLQPGPHAYGSLINGLVKGNKIRKALGYLERMLEEGIAPQTVIYTMLINQFFRKGDVRLGLDLVVLMMKTNVAPDLITYGALVAGICRNIARRGMRPSLAKKLKEARYMLFRMLPQIIDTRNGKQKDNQICTEEMIQVAQGIIQDLEENGMVPDLHIYNGMINGLCRANKMDDAYSLLSVMDQTGILPNHVTYTILMNNQIRLGDINHAIQLFNSLNSDGCVFDKITYNTFIKGLSLAGRMKEALSFLLMMHKRGFVPSKASYDKLMELLLAENAIDIVLQLFEDMLFQGYTPRYANYTSLLLVLAKDGRWSEADRIFTLMLKKRKVFINWWEITKTELRMLQETPTSQYLVFNWSFGSLATGQREYVALHAFCHLIVQIHD >ORGLA10G0006600.1 pep chromosome:AGI1.1:10:857672:862454:-1 gene:ORGLA10G0006600 transcript:ORGLA10G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1 small nuclear ribonucleoprotein-70K [Source:Projected from Arabidopsis thaliana (AT3G50670) TAIR;Acc:AT3G50670] MGDYGSGMMRGNPDGGGMQSRTKGQRVNVQQLKLMGQGHPTGLTPNLLKLFEPRPPLDFKPPVEKRKLPAYTGMAQFVSQFAEPGDPEYAPPVPMCETKAEKKDRIRKSKLDQGAAKVAEELQKYDPQSDPNATGDPYKTLFVARLNYETSEHRVKREFEAYGPIKRVRLVTDKETNKPRGYAFIEYMHTRDMKNAYKQADGRKVDNRRVLVDVERGRTVPNWRPRRLGGGLGSSRIGGENAEQKLSTRDQQHAGRPRSEEPRRDERRADRDREKSRERPRERDRDERTRERSHDRTRERDSKEDRHHHRDRDRTRDRERGRDRERDHGRDRDRDRERDRRDRDRDRDRGRDYERDRDRGHDRHRERGRDRERDYERASHERDRGHVHERDAEYANGEPKHDRNLAGYDQEYGYNYEQHKSHDAYETERSKRHEHEYYQMQPNNTEPEGPEEGEAYDEGDYQYHQAADEHNN >ORGLA10G0006500.1 pep chromosome:AGI1.1:10:853406:854879:1 gene:ORGLA10G0006500 transcript:ORGLA10G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated family protein [Source:Projected from Arabidopsis thaliana (AT2G30810) TAIR;Acc:AT2G30810] MDPASRSLSIIFFLVAVTFVVEVSGQKNEAVYHLFGGEGSLTKNECPGKCSYRCSATSHTKACMTYCKYCCERCLCVPSGTYGNKEECPCYNNMKTQEGKPNVCELGIEDKRNDTGE >ORGLA10G0006400.1 pep chromosome:AGI1.1:10:842298:843569:1 gene:ORGLA10G0006400 transcript:ORGLA10G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDAVAVLGDGGGSGGRCCGSARRRRKWRSEAEAFGNGDGGRRSSGLQDLCRQLKILQHNEEAQYDKTVDRTSIT >ORGLA10G0006300.1 pep chromosome:AGI1.1:10:817193:817489:-1 gene:ORGLA10G0006300 transcript:ORGLA10G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSYAVKKFPLIEHLGKSVLQRAFLALHACKMAFVHCRPVLCIDGIFLTGKYRGQILTTIGVDGNNQVLPLAFAFVESENTDSWYWFLKLVKTEVVGMR >ORGLA10G0006200.1 pep chromosome:AGI1.1:10:795399:798731:-1 gene:ORGLA10G0006200 transcript:ORGLA10G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRI1-like 2 [Source:Projected from Arabidopsis thaliana (AT2G01950) TAIR;Acc:AT2G01950] MDILIPLLLSSIYVSSSSAAAETDAAALLRFKAFVHKDPRGVLSSWVDPGPCRWRGVTCNGDGRVTELDLAAGGLAGRAELAALSGLDTLCRLNLSGNGELHVDAGDLVKLPRALLQLDLSDGGLAGRLPDGFLACYPNLTDVSLARNNLTGELPGMLLASNIRSFDVSGNNMSGDISGVSLPATLAVLDLSGNRFTGAIPPSLSGCAGLTTLNLSYNGLAGAIPEGIGAIAGLEVLDVSWNHLTGAIPPGLGRNACASLRVLRVSSNNISGSIPESLSSCHALRVLDVANNNVSGGIPAAVLGNLTAVESLLLSNNFISGSLPDTIAHCKNLRVADLSSNKISGALPAELCSPGAALEELRLPDNLVAGTIPAGLSNCSRLRVIDFSINYLRGPIPPELGRLRALEKLVMWFNGLDGRIPAELGQCRNLRTLILNNNFIGGDIPAELFNCTGLEWVSLTSNQITGTIRPEFGRLSRLAVLQLANNSLAGEIPVELGNCSSLMWLDLNSNRLTGEIPRRLGRQLGSTPLSGILSGNTLAFVRNVGNSCKGVGGLLEFAGIRPERLLQVPTLKSCDFTRLYSGAAVSGWTRYQTLEYLDLSYNSLDGEIPEELGDMVVLQVLDLARNNLTGEIPASLGRLRNLGVFDVSRNRLQGGIPDSFSNLSFLVQIDVSDNNLSGEIPQRGQLSTLPASQYAGNPGLCGMPLEPCGDRLPTATMSGLAAAASTDPPPRRAVATWANGVILAVLVSAGLACAAAIWAVAARARRREVRSAMMLSSLQDGTRTATTWKLGKAEKEALSINVATFQRQLRKLTFTQLIEATNGFSAASLIGSGGFGEVFKATLKDGSCVAIKKLIHLSYQGDREFMAEMETLGKIKHKNLVPLLGYCKIGEERLLVYEFMSHGSLEDTLHGDGGRSASPAMSWEQRKKVARGAARGLCFLHHNCIPHIIHRDMKSSNVLLDGDMEARVADFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTVKGDVYSFGVVLLELLTGRRPTDKDDFGDTNLVGWVKMKVGDGAGKEVLDPELVVEGADADEMARFMDMALQCVDDFPSKRPNMLQVVAMLRELDAPPPATAI >ORGLA10G0006100.1 pep chromosome:AGI1.1:10:792703:795034:1 gene:ORGLA10G0006100 transcript:ORGLA10G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVGASAAAAATIPEAALRSGKPMPLVGMGTASFPLDASQLPATVRDAVLRAIDAGYRHFDTAAAYGTEAPLGEAVLEAVRAGMVASRDDLYITSKLWISDTHPGRVLPALRRTLRNLQMVYIDLYLIHWPIRLRVEQETPSPVYDNDFVMMDMEGVWKDMEECQRLGLTKAIGVSNFTCKKLNTLLSFATIPPAANQVEINPYCRQNKLREFCKEKEIQLCAYSPLGASGTIWGSNAVLDCPVLKHIAVQKGKTVAQVCLRWLHEQGDCIIVKSFNERRMRENLEIFDWELTDADRQEISALPEFRGNRDFYVHESGPYKTTDEFWDGEITGPQLKTC >ORGLA10G0006000.1 pep chromosome:AGI1.1:10:781121:781438:-1 gene:ORGLA10G0006000 transcript:ORGLA10G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALELTGEVVQDPVRLFWDAVETGDPLHGGGGEGRGRMYLKKSFLEGSKRDFANRDIXRMIMSGGYIERSLISR >ORGLA10G0005900.1 pep chromosome:AGI1.1:10:780070:780303:1 gene:ORGLA10G0005900 transcript:ORGLA10G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCLRWVYEQGDCVIVKSFNKSRLRENLGIFDWELTNDDRHKISTLPEWRGTLDIFVHKTGPYKTVDEFWDGEITGDK >ORGLA10G0005800.1 pep chromosome:AGI1.1:10:775491:776065:1 gene:ORGLA10G0005800 transcript:ORGLA10G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMMPEAALSSGKPMPRVGMGTASFPLGATDPSTVKDVVLRAIEAGYRHFDTAAVYQTEAILGDAVAEAVRAGLVASRDELYITSKLWVAHAHPGHVLPSLRRALRKIKMK >ORGLA10G0005700.1 pep chromosome:AGI1.1:10:771309:773413:1 gene:ORGLA10G0005700 transcript:ORGLA10G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSRAEALPKNLIIRLPGADLRRSERLQVEVHPYCRQNKLREFCKGKGIQMCAYSPLGANGTSWCSNVMDCPLLKQIALERGKTVAQSFNERRLRENLGIFDWELTDVDHHKISTLPESRGCLDFFVHESGPYKTVDEFWDGEITGDN >ORGLA10G0005600.1 pep chromosome:AGI1.1:10:737302:739236:-1 gene:ORGLA10G0005600 transcript:ORGLA10G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNITQCYDKLKFKVDINQNIKVFTEDEIKRITSNFSIPIGQGGFGEVYKGTLDDDYDLVAVKRYISKDLRKEFMEEVSIHSQMSHRNVVELIGYCIGESTLMIVTKYISKGNLDDILHNSDISIPLDVRLGIAIGCADALSYMHSMHLSNGNLIYHGDIKPANILLDSNLTSKLSDFGVSRLLSGGVTQYTVHIKGSVSYMDPIYFHEGCLTPRSDVYSFGMVLLELIARKRVRKGDINLIGGGEIFDAEIANRSNMKILKEMRKLAIECLTLDIHKRPQMNVVAKRLRTLKKELKDLHGRYSEHILASHRSWRKNDNQGPSYNSRMQLKKSLSIFKRNLSNSSKILLGLGNMRIFTQEELNEITQNYSCLLSGGTSGKVYKGTLEDNTVVAVRIFSEVLEGFEEAFINGGMILSQIVHKNIIRLLGYCLNADCPAFVYEYAARGTLSDILDGREDFPLHLRVKIAVETAEALEYLHSSAAGMIRHGYVAPSKTLVDDSFTPKLTGFSWAQRLNNDDSAIHDHDKYCVSLKLKTDVYQFGVLVLTLISRKNFAFYADHEHLVLQFLAAYKADNSGRAFFDDDITTRSEDVALLEEIGKLLHKCICLEIDQRPTMKQVAQHLRIIRRCWKNNCTADGASLVVVT >ORGLA10G0005500.1 pep chromosome:AGI1.1:10:728818:732151:-1 gene:ORGLA10G0005500 transcript:ORGLA10G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:I1QS72] MAAMAAPKLLLGLVMLVGCAAADSHRYSPGDAVPLYANKVGPFHNPSETYRYFDLPFCAPEKVKDKIEALGEVLNGDRLVDAPYKLDFRVDFDAKSVCSRRLSKDDVVKFRHAVSKDYYFQMYYDDLPFWGFIGTKPEKADAGDKYYLYRHIIFDILYNNDRVIEINVHTDQNAVVDLTEDKELDVEFLYTAKWKETQIPFEKRMEKYSSSSVMPHHLEVHWFSIVNSCVTVLLLTGFLATILMRVLKNDFVKYSHDEEEPDDQEETGWKYIHGDVFRFPTNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYSATSFYCQLEGKNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYNSTAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKNEFQAPCRTTKFPREVPPLAWYRRTIPQMAMAGFLPFSAIYIELYYIFASIWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLTAEDHEWWWRSFLCGGSTGFFVFAYCLYYYRERSDMSGFMQTSFFFGYMACICYAFFLMLGMVGFRAALLFVRHIYKSIKCE >ORGLA10G0005400.1 pep chromosome:AGI1.1:10:725325:727328:1 gene:ORGLA10G0005400 transcript:ORGLA10G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGEDEEKRPLLLRPNGGGGWRACVLILGTELSDCLAFAGIARNLVSYLTGVVGESNVAAARDVSAWTGTCFLTPLVGAFIADSYLGRRTTILLFLSIYTMGMITLTVSASFATPHLDTSSDGVLRATVFLGLYLVALGVGGIKPCASPLGADQFDDDDAAPAARASFFNWYYFCINIGSLLAATVLVWVQERAGWWLGFAIPAAVMAAALAAFLFCSTLCGLRASQSPPGSPLTRLCQVVVAAFRNRGVELPGDSSLLHQLPDGDHRIIEHTDQFAFLDKAAVVASSPAVAMASPWMLCTVTQVEEVKMLLRLSAVWPTVVFFFAATAQMSSTFVEQGKAMDTRVGPLDVPPATLSTFEVVSILLCVPAYDAVLMPLARRVTGDRRGLSQLQRLGVGLALSALAMAYSALLEASRRRRRAATSIVWQAPSYMALGAAEVFTSVGLLEFFYDQAPDTMKSLCTAVSLVAVAAGSYLNSAIVAVVAWATAPEKGGGGWIPDDLNHGRLDCFFWLMFGLSCVNLLAFVYSSTRYSYKVAN >ORGLA10G0005300.1 pep chromosome:AGI1.1:10:716217:722380:-1 gene:ORGLA10G0005300 transcript:ORGLA10G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLLAGVLLILMSSAAVGIAGRPAGCQARCGDVDIPYPFGIGGGCFRSAGFEIACNTSNGGLVPTLAAANDTIQVQNLTVFPRPEVKVMLPVAYRCYNSGGNVTKQFYGDVELNNEGVYRISDERNMFVVIGCNTVAWNKHGDSEGKGLYTSLYYAGCVTYCSDSLSAKDGKCAGVGCCHVDIPPELTDNVVTFQQWPRGEQVDFSPCDYAFLIDKEEYQFQRSDLKMDLNRTMPVWLDWAIRDRDGNANSVASCPAPEVETRKNMPAGYACVSVNSKCVNSTNGPGYYCNCTKGYQGNPYDDDPNKGCKDIDECARPDEYPCYGVCRNTPGDYECRCHTGYQPSGDGPKKQECSSKFPFPARLAVGITLGLSFLIVVVLFTLMMLQKRKMNKYFKKNGGSVLQKVDNIMIFSKDEVKKILKNNSDIIGEGGFGKVYKGRLKDDTLVAVKTSIEVNEARKEDFTNEVIIQSQMMHNNIIKLLGCCLEVDVPMLVYEFAANGSLKDILHGDANRLVPLSLDLRLDIAVQSAEGLRYMHSSISHTIRHGDIKPANILLTDKFIAKISDFGTSKLLTADKEFTMVVAGSMGYIDPIFYMTGHLTQKSDVYSFGVVLLELISRKPTIYDKNYSLVIEFQKAYDRENSGRALFDKEIAIEEDVLILEEIGRLAMDCLKEKIEERPDMKEVAARLMMLLVATNSLRFSKSRLLVVYHEGPSAELAETKNLDNRLRPEASGEGREENHMGESHRAKAVARHYTGLARQGPRPRAARAETVRRKPRREGTGFAKYQTPP >ORGLA10G0005200.1 pep chromosome:AGI1.1:10:711563:714459:-1 gene:ORGLA10G0005200 transcript:ORGLA10G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAADEERAPLLQPQPQPSRGDADSEYTGDGSVDVNNQPALKRSTGNWRACFMILGVEFSENLAYYGISKNLVTYLTKILHESKVNAARNSSAWSGACYLTPLFGAFLADTYWGKYRTVLTFLPIYILGLLTLMASTSLPSSMTSSDAGHQLHSVAVYLGLYLVAFGNGGVKPCTSAFGADQFDGGDAAELRRKGSFFNWYTFMINSGSLLASTVLVWLQDNVGWGISFVIVVVVMAFFLAVFFAGSRVYRYRPVRGSPLTGVCQVVVAAVRKWHLELPDDSSLLYEAQVADHRIKHTDQFRFLDKAAIVVTPSSLSGEKGNVAAAAAPVSRWRQCTVTQVEEVKMLVRMCPIWACLVLFFSVSSQMSSTLVEQGTAMDNRVGPFTIPPASLATFHSIGVLLWIPVYDVALVPLARRATGKPKGITQLQRIGVGLAVAALIMAYSALVEERRLAAARAGAARTSILWQVPAQLMHGMAVVFTSIGKSEFFYDQAPRSMRSMCTALGQLAIAAGNYLSAFLLAVVASATTRGGDPGWIPDDLNKGHLDYFFWLMAALLLLDLLFFVFCAMRYKGSTAAS >ORGLA10G0005100.1 pep chromosome:AGI1.1:10:707475:708962:-1 gene:ORGLA10G0005100 transcript:ORGLA10G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METADDQERAPLLYPQPHAQEGAGSEGDGSVDILNQPALERNTANWRACFMILGDVLSECLAFYGISKNLVTCYLAAGGRGAYADGLPAAGMAAGGRRGAEAGAISSPLTDYLKKGDVEYEVNKMVLRFISPSCKTPPAKEHRALHPLDLFRKSLLSGQHHRPRGDQGRGGGGAARRDDRRHDDDDEEANGGIIRSAAELYEAGIRFRRPHHPVGGGALRGERRERRGRKMGRGV >ORGLA10G0005000.1 pep chromosome:AGI1.1:10:680073:683554:-1 gene:ORGLA10G0005000 transcript:ORGLA10G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAADEETPLIQHLPPEEQCSQYTCDGTVNSDKKPALKQSTGHWRACFYILGAQFAETLCFLMVSKNLVTYLTSALHESNIDAAQSVSIWIGTSFFTPLIGAFLADTYWGRYXTTVISLFIIIIGMLIVTVSSSPLFLNSSYCNWNICRATVYTGLYLTAVGSGCMKPCIPAFGADQFDSADPVERLAKGSFFNWYYFSMNVGSLLSTTLLVWVVANIGWSVGFAIPMLLSGFGLALFFAGRKVYRYKKQGGSPLTRVSQVVVAAVRNHRLKLPDDSSLLHEVSKVTEDDYRTQLTTQFRFFDKAAILSDEISPAQWSPWRLCTVSQVEELKMLLRMFPVWVSMVVFFVVTAQITSTLIEQGMAMDGRVGPFTLPAASIATFDVISVLVWVPVYDTVLVPLARRVTGKDRGISHLQRIGVGLALAAVAMAYSAAGDGAGAGEHHVAGAAVPGAGRAEAFSVIGMMEFFYEQSPESMKSLCTALGQLAIAVANYLNSGVLGVVAAATTRGGGAGWIPDNLDEGHLDYFWMMAVVSVLNLLHFLHCSIRYRANNNTLSS >ORGLA10G0004900.1 pep chromosome:AGI1.1:10:670261:673942:-1 gene:ORGLA10G0004900 transcript:ORGLA10G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNLREQKAYHMEGADEERPLIHHLPPQEQCSQYTCDGTVDIDRKPALKHSTGNWRACFFILGAEFTQCLCFSAVVKNLVRYLTSVLPESNVNAARSVSTWIGTCFFTPLIGAFLADTFWGRYRTIVICLSVYSIGMLILTTSASLPFLLHDSYNNGDDIRRVVAYLGLYLIALGAGGIKPCMWALGADQFDGADPVERVTKGSFFNYYYFSNNMGTLLSTTVLVWVQDNIGWGIGFATPMLLMGFGLSMFVAGRRVYRYRKLGRSPLTRVSQVVVAAARNHMLKLPDDISLLHELPSLTEGGYRIQHTTRFRFLDKAAIPSDSDDNSPVQPDPWRLCTVSQVEELKMLLRVFPVWASLLVFFVVTAQMSSTLIEQSAAMDGRVGPFTVPPASLATFNVVAVLIWVPVYDAVLVPLARRATGNDRGLSHLQRIGVGLALSAVAMAYSAQVERRRRRPAAEEEAMSIMWQAPCYLVLGMAEVFTSIGMLEFFYERSPGSMKSLGTSLAHLAVATANYLNSGVLGVVVAATTRGGGAGWIPDNLDEGHLDYFFWMMALVSVLNLLQFLHCSIRDRGQ >ORGLA10G0004800.1 pep chromosome:AGI1.1:10:618301:618522:-1 gene:ORGLA10G0004800 transcript:ORGLA10G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMKLLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTPSMSVVRGFRLPTSGINRGGA >ORGLA10G0004700.1 pep chromosome:AGI1.1:10:609672:615941:-1 gene:ORGLA10G0004700 transcript:ORGLA10G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSITDAKLPLLPLLNGTPSLQEEGPYTGNGSVDVKGNPASKTHTGKWKACYSILGGEFCGALAYYAVGTNLVSYLTKVQGQSNVTAASNIAAWQGNCYLTTILGAFLADSYWGRHRTIVVSLTTFTFGMVLLTLSAVVPPNMHRSMATFPQEALSSLGLYMTALGLGGIWPCVPTFGADQFDDTDVSEKAQKELFYNWYYFAVNGGFFVASTVIVWVQDNCGWGLGFGIPTLFSVIGVVGFLASMRFYRYQKPGGSALTRICQVVVAAFRKVHVDVPSDSSLLYEMPGKESAIVGSRKLMHTDGLRFFDRAATITASDEASASRPWKLCTVTQVEELKIFARMLPIFLTGVIFNTAEACFPLFVEQGGAMDNHFAAAFALPPASLTTFTCVCILVLAPTYDRVLMPAVSRITGVKRGLSELHRIGVGMVFAVLALAAAAAVETARLRSVEAEAPAVSILWQAPQYVLVGVAKVFGVVGYIEFAYEQSPDAMRSLCQACSLIMVTPGSYLLSAMLTIISSVTGGGGGHGGWIPKNLNEGHLDRFFWLMAALQLINLIAFVCCAATYKSKLPTT >ORGLA10G0004600.1 pep chromosome:AGI1.1:10:602003:604928:-1 gene:ORGLA10G0004600 transcript:ORGLA10G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSPCSEFLQMLHGTECLGQLAFFGVQYSLVTFLTTQLRQGNAEAARNFSMWQGTCYIGPLAGAIVADSCLGRYRTILAFFSIYIIGMGTMALSGASPAVISRSTQPAVFSLGLYLMAIGAGCIKSCVGPFGADQFDGGDAMERPKKSSYFNWFYFAMYVGALVSGSAVVWLQDNFGWLLGFGVPALCTVLAMASFLLGSAMYRYHQPRGSPVVRACQVVVAAVRKRNVVLPHDGFVLYDGPAEEGRRMAHTDQFRFLDKAAVAVAVPSSAAAQPWRLCTVTQVEELKAIVRMLPVWATGIVYCMVLVQQPLFPVQGRAMRRRLGVAFAVPAASLNSVYAAAMLVLVPLYDAAVVPAARRLTGSERGLTELQRIGAGMALSVAAMAAAATVEGRRLAAAGEVSIAWQVPQYVLLGASAVLAHIGQLEFFYNQAPDSMRSLCSALGHMTCSLGSYLSSVVVTVVSHATARGGSPGWIADDIDDGHLDRFFWLVAGLSSINLVVFICCAKRYKYKDSIH >ORGLA10G0004500.1 pep chromosome:AGI1.1:10:599251:600743:-1 gene:ORGLA10G0004500 transcript:ORGLA10G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QS62] MEYSYSYRFMLVCSVLVLCFNTRGARCQLSDDFYDYICPDVYTVVQQHVYAAMRTEMRMGASLLRLHFHDCFVNGCDGSILLDGDDGEKFALPNKNSVRGFEVIDAIKEDLENICPEVVSCADIVALAAGYGVLFSGGPYYDVLLGRRDGLVANQSGADNGLPSPFEPIKSIIQKFNDVGLDTTDVVVLSGGHTIGRARCTLFSNRLSTTSSSADPTLDATMAANLQSLCAGGDGNETTVLDITSAYVFDNRYYQNLLNQKGLLSSDQGLFSSDDGIANTKELVETYSANAHKFFWDFGRSMVKMGNISPLTGDDGQIRKNCRVVN >ORGLA10G0004400.1 pep chromosome:AGI1.1:10:586489:587384:-1 gene:ORGLA10G0004400 transcript:ORGLA10G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RARATHTRRRSIDTVVLKPILSCAPCMLHALQNIISKSGGPGWGVLLGRLDGKTSDFNGSLNLPAPTDNLTVLRQKFAALNLNDVDLVALSGTRITSPANSISVLPSCPPELTTTTIMRNAGGHTFGRVQCQFVTDRLYNFSNTGRPDPTMDAAYRSFLSQRCPPNGPPAALNDLDPTTPDTFDNHYYTNIEVNRGFLQSDQELKSAPEATGTTAPIVDRFATSQAAFFRSFAQSMINMGNLSPVTDPSLGEVRTNCRRVN >ORGLA10G0004300.1 pep chromosome:AGI1.1:10:576554:577734:1 gene:ORGLA10G0004300 transcript:ORGLA10G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASDHPAFRCRPRRNTSREVSLKHLSTTILSPAAFPATTTAAIFTSTAPARSSERRSNQPTDAETAVVQQLAFNCTPDDDHLPHCLLWVQVTTLSCGGFVVGVTWNHAVADGFGIAQFIQAVGELARGLPSAPSVTPVRLDDQNNAVSPFTVAFMQLADRHKVPDLTFNNVTVPSRLMDHIIRGRTTNVTVFEAVAAVLWQCRTRAVMTNPEAPAVLFFVVNARKYLGAKDGYYGNCTTGHMAVAKSGALVNADINDIVDIIRRAKERIPEQLKMTGGGDMTMLRELADDHRLDGYGSLLILSSWRNIGFEDVDFGSGKTARVMTYPQREVFSKKMPICFMLNNTPQGARVMSGCVKAHHADAFHQEIAKLNATT >ORGLA10G0004200.1 pep chromosome:AGI1.1:10:513299:514642:1 gene:ORGLA10G0004200 transcript:ORGLA10G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVSKSAPVVVRPSQPPVKTTSGSKIVLSPMDKPSSMMPTTVLLAFDHPIIQSDCTAETIKRGLAQALVPYYPIAGRLSCDDDGDFYIDCTGEELGVTFVAASANCTMEELMCCVDDQPPDAETAVVQQLAFNCTPDDLHHRLLWMQVTTLSCGGFVVGVTWNHGLADGFGMAQFIQAVGELTRGLPSPSVVPVRLDDDNNATQAIPPFAMAVYQFMSRSSHKASIDHTFNNITVPSSLIDHIRFRGRRTNDDVTVFEAVAAVLWQCRTRAVMKNPEAPAVLLFAVNARKYLGAKDGYYGNCSTMHVAVAKSGAVANADINDIVDIIRRAKERIPEQLKMTGGSDMTMLRELADDHRLDGYESLLYLTSWRNIGFEDVDFGSGKTARVMTYPQRVVLSMSMMVKAMPICVMLKATEQGARVMSACVTAHHVDAFHDEIAKLNATA >ORGLA10G0004100.1 pep chromosome:AGI1.1:10:505138:506442:1 gene:ORGLA10G0004100 transcript:ORGLA10G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVSKSAPVVVRPSEPATSTADKILLSTLDKPVATIPVTVLLAFDHPIHDATAETIKTALAQSLVHYYPIAGRISCDNDDGGDFYIDCTGEDLGVTFVAASANCTMEELMCLVDDQPPDDETAVVQQLAFNCTPDDLHHRLLWVQVTTLNCGGFVVGVTWSHGVADGPGIAQFIQAVGELARGLPSPSVVPVRLDDKIATQAVPPFTMAVHRFISGLKPVSNLDVRNVTVSSSLINHIIVGARRRATVFEAVAAVLWQCRTRVVMTDPEAPAVLLFAVNARKYLGAKDGYYGCCTAMHMAVSKSGTVANGDIMKLVGIIRRAKEQIPEQLKADDGEMMLRTMVGEKQVNGYESLLYLTSWRNIGFEDVDFGSGKTARVMTYPPRMLSMMPRIAPICFMLKATEEGVRVMSDCVTADHAGAFYQEIAKLKATT >ORGLA10G0004000.1 pep chromosome:AGI1.1:10:461135:463201:1 gene:ORGLA10G0004000 transcript:ORGLA10G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPNMKGDLWCRVWCQYKFTAPTLARGVRINCTRKRPRLAENTWMGTGVLHRYLTTSTASASRESLQTFGNSHSSLPKERVDVPPLHMSYEEPSISYSYDTSHFNAFSLQQIDCAYTGIMAEQNSDTKSIGGQFVEEFNVKIDSLTEKICRDVEEKFNSYILSKGSKPIFSQEQKPINPELLANEDKVSTWNTPQATQQICKCTRIPKKGII >ORGLA10G0003900.1 pep chromosome:AGI1.1:10:449416:449652:1 gene:ORGLA10G0003900 transcript:ORGLA10G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYDHKNICRVHQTSKKYMARSDSTFLREGIYEFNVKILSISRLAFLTYLGCFPIFCYIRTICMSPHQSYLYSHVIF >ORGLA10G0003800.1 pep chromosome:AGI1.1:10:448443:449010:1 gene:ORGLA10G0003800 transcript:ORGLA10G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTTAARRRWLSGHSCFPFSFVSRFPLPEILRRLLMVSWSLWPAAAFPSSSRSRSRCLPLKLAEPAVAAAVRSQWLPSLSSQRASGGCCPLLASSMSTVAAISSLSPSHENLVLQDLCHIERLQARGNQQAYMTGGNLYLIFLWCMVNQV >ORGLA10G0003700.1 pep chromosome:AGI1.1:10:447250:447653:1 gene:ORGLA10G0003700 transcript:ORGLA10G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYISTAKLGDGGELALEPPYHATMGGSGEPRLTKGVGVGMVMASVQQVF >ORGLA10G0003600.1 pep chromosome:AGI1.1:10:445081:446253:1 gene:ORGLA10G0003600 transcript:ORGLA10G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQALVHYYPIAGRLSCNDDEDGGGDFYIDCTSELGVMFVAASADCTMEELMRVADNQPTDDETAVVQQLAFNCTPDVGDDGPPPLLWVQVTTLSCGGFVVGVTWSHGLADGVGIAQFIQAVGELARGLPSPSIVPVRQDDIVATQVVPPFTMALLQFLPGLKPLDLTFNNVTVPTSLINHIRRFRGRRTNDDGGQHSTTTITAFEAVAAVLWKCRTRAVMASPEAPAILVFVVNARKYLAGVNDGYYGNCSMMHMAMAKSGAVANGDIMDVVEIIRRAKERIPEQFGEGSDRMVRELSDGQQVDGYESLLYLTSWRNIGLEEVDFGSGKTARVMTYPQRMLFSLLEKTTPICFMLMPIKKGARVMSGDHVDAFQQQILKLNANYTAT >ORGLA10G0003500.1 pep chromosome:AGI1.1:10:396439:398577:1 gene:ORGLA10G0003500 transcript:ORGLA10G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWWRGASHHQGVGPGLAVSFRVSQTDGAGVTAPRRRLPRFSITVPTSRXGRXPRYGNVNPRYFRWRIGPCVLXLPAHPRLDFHFLFHLEDXGKIGSXRLGARCIRPQQLLFSGKQLCSLFARWNAAPIRNKRCFFLAWSPLWYMTHGLEFAVLLACCGVKQQCSCSDKKIILRXGXHVRLSWAPPFFNYFLAHGFYYFLWDRIATXALRHGMKNXSNXPRRRHVSQYRLPNHRAISFAPVLIVQGPVVSGFWVQGQKSSSVXCXGISNELIPLIQLDYIXLLLLAVGLLLLASLLGCTHGPRPKACCWAASXLALFPFFYSNYLLGRYNSTTESLQFTHMSQDPAYPLNSFLKWTQIDLTTLYNQXQXKSCPIQXTPIQTLSPSSITLQGKKKQVPIGKADNLAQIYYVQIFLFHSKFNDEKHFRVGVDIDSAYLLFVVEYAGRVVEYTHFFSXKFTGEELPHLNILRKDGHETVHRIVLQIXRMDRGREERFPRGDYMTIIFFKPLCPQXSIFNTFREQATERDVFMSNDHVVVMIPQIPKAQQIENPRVFSWDHWWGKESRYPRYRWNAEMDIEARPELHSKRTVEAQIPLSREAISNQKPCKELDLQGSFVFPEKFPVRAGRQGATLSKVEILSCEEATRSMHVSFI >ORGLA10G0003400.1 pep chromosome:AGI1.1:10:391120:394936:1 gene:ORGLA10G0003400 transcript:ORGLA10G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRLVARRSKPELVAPSRPTPHETKLLSDLDDFRNHYEYTPLVAFFRSSGSGNDVPSPPTMTIRTAIGEALVYYYPLAGRLRELPCGKLVVDCTEEGVVFVAAEADLRLADLGEPLLLPFPCSGELLVCDNARSDSLHVAVVDKPLIFMQVTEFKCGGFAIAMQGNHCVADGFGASQFMNAIADLARGEPRPLVLPVWERHLVMARAPPSVAAAYPAFKPLIDGASSNDVMLSTPLDTMVTRHFLFGRREMAALRRLLPARLGRRCTDFQLLAATLWRCRTAALPYAPHRRVHAYLPLSMRGRRWLHIPEGYYGNALAYSIADASAGDLCGGTLGQTVEQVCEARLQVTGEYVRSTVDLMASLRGRRMVFDGVYVVSDLRRLFAELDFGCGEWVVSGMAQPMLATFLVRCRNADGEDAVAASMLLPPPVMERFAEELAGLMMSNPIFYITADKLSPAKILDRRNMKTNEFITVLPVFMCVILVVLQGMLNHELNKPKYQCSTAAPASSTRRWTSWLAAA >ORGLA10G0003300.1 pep chromosome:AGI1.1:10:383821:384328:1 gene:ORGLA10G0003300 transcript:ORGLA10G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNPRLSPPLSPLPSIAGSSHIYDVVSAASTSVGKSGTDGYFPTGTNSLFYSSGNITINTAFIC >ORGLA10G0003200.1 pep chromosome:AGI1.1:10:380406:380687:1 gene:ORGLA10G0003200 transcript:ORGLA10G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEYVRSTVDLMALLRGRGMVFDGVYVVSNLTRLDFGRGEWVVSGMAQPMLATFLVRCRNGDDEDAVAASMLLPPPVKLRFAEELAGLMMSSKHD >ORGLA10G0003100.1 pep chromosome:AGI1.1:10:377614:378990:1 gene:ORGLA10G0003100 transcript:ORGLA10G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTMASRSRLVARRSKPELVAPSRPTPQDTKLLSDLDDFRNHYEYTPLVAFFRTSSTGNIPSAPPPQMTIRRAIAEALVYYYPLAGRLRELPCGKLVVDCTEEGVVFVAAEADLRLADLGEPLLLPFPCSGELLVCDNVGDSQVAVVAKPLIFMQVTEFKCGGFAVAMQWNHCVADGSGASQFMNAIADLARGEPRPLVLPVWERHLVMARAPPSVAAAYPAFKPLIDGASSNDVMLSTPLDTMVTRHFLFGRREMAALRRLLPARLGRRCTDFQLLAAALWRCRTAALPYAPHRRVRAYLPLSTRGRRWRSQGLHIPEGYYGNALAYSIANASAGDLCGGTLGQTVELVCEARLQVREEYVRSTVDLMASLRGRGMVFDGVYVVSDLTRLFAELDFGRGDWVVSGMAQPMLATFLVRCRNTDGEDAVAASMLLPPPVMERFAEEIAGLMTTSSSSRL >ORGLA10G0003000.1 pep chromosome:AGI1.1:10:370564:374771:1 gene:ORGLA10G0003000 transcript:ORGLA10G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSARRSRAELVAPSRPTPRDTKILSDLDDFPNHHEYTPELFFRVSGDDDQPPPPDQTKWATTVFRTALAEALVYLYPMAGRLRMLPSGKLAVDCTEEGVVLVAAEADLRLADLGEPLLPPFPCVGELVCHNSIVGDIRVVLGKPLVFLQIMMLVYSIVGREEGSGREERHIILHISQKFLIKTNVTEFKCGGFAIGLHMNHCIADGFGLTLFVKAIADLARGEPRPLALPVWERHLLMVRAPPSVAAAYPAFKPLIDGGASSGNDDVMLTTPLDTMVTRHFLFGRREMAALRRHLPAHLSRRCTDFELLAAVLWRCRTAALFYAPHRQVCLDLPSNASGRRMRRRHGVHVPEGYYGNALAYTIVHASAGELCGGTLGHTVEVVCEAKLRMTEEYVRSTVDLLVSLRQRGRALVFDGVFVVSDATRLVGELDFGRGGEWVGAGVAQPMRATFLVRCRDADGEDAVAASMLLPPPAMDKFAEDIAEALLITSRL >ORGLA10G0002900.1 pep chromosome:AGI1.1:10:365613:366640:-1 gene:ORGLA10G0002900 transcript:ORGLA10G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNGSLPEESRLQPMETIPTPTVINEKNEVEVMDIAADMAGFVPRIKISARQRWRTLATTMVVDLMGCYTPRRGDDQLAI >ORGLA10G0002800.1 pep chromosome:AGI1.1:10:356474:358868:-1 gene:ORGLA10G0002800 transcript:ORGLA10G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPARPTPRETKTVSDMDDHPGHLVYIPLLEFFRCRCCHNSSSRAVPPARAVKAALAEALVWYYPVAGRLREIAGGKLVVDCTAEGVAFVEADADVRLEELGEPLLPPFPCVEELLCDAGDIGVVVGKPIVFLQVTEFKCGGFVMGFYISHCIADGFGMIQFIKAIVDIARGEQAPMVLPVWERHILTSRSPPPTIGATNTNTVKFSSVLKDSTSIDDDIMLSTPQESMVGNYFLFRPNHISALRSHVHEHGATTATRFELITAVIWRCRTVALGYKPDHRVHLLFAANARRHRGDGTLRIPEGYYGNALTYHVAAVTAGELCGTTLAHTVALIREAKLDGTTEERVRSTVDFLASLRLRRSGGRFPALAFDKAYAVSDFTRLGEDGLDFGWAERVGGGVATPSFVSFHSRWKLVSSDGDDGEEEEAVAASMLLPKPAMDRFDKELALWLDLDKPSVGGLSLSSKF >ORGLA10G0002700.1 pep chromosome:AGI1.1:10:352096:353612:-1 gene:ORGLA10G0002700 transcript:ORGLA10G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFKANRSDPELVPPALATPREMKALSDVDTQPALRFYATGVEFFRHRPIVDVGHDQPENQAKVVKDAVAKALTYFYPVAGRIRELPGGELVVECTGEGVVFVEADADVWLDEFGNPIMPPYPCVDEFLCDPGDTSVIIGKPLVFMQVTRLKCGGFVIGTYSCHNIVDAFGHTQFLKAIVDIARGDDHPTILAVWGRELMAARNPPNVSLLQHLTPSKLSPDHPVEPNSAAQHVSSSTDHMVGDYFFFGPREIAALQHHTQLQYSSTAFEVITAAMWKCRTVALGYVPDQNKKACLLMTMNARGKWKRDPPLPQGFYGNGFVYLVVETDASELCKQSLGHAVKLVQKAKLDMTEEFTKSMVDFIALHGGPPYVAGWTFVASDITRIGEDALDFGWAQRVAGGVPMVGDVKCKQVSYQMRCINDSGEDCVVASMFLPKSAMEIFAKEILVLSSKEIE >ORGLA10G0002600.1 pep chromosome:AGI1.1:10:347543:350520:1 gene:ORGLA10G0002600 transcript:ORGLA10G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLNSEEVSCNDHHQVDVVAAAGLQCSGDMLGDKQLVSQVILEGLEIEEPPADEMEAAEKKAGISRLMAGYVQHLQHRSAYHLGYPLNFDYDFSPLAPFLNFSLNNAGDPFAKVNNSVHSRQFEVAVLNWFANFWDVQRDQFWGYITSGGTEGNLYGLLVGRELFPDGILYASNDSHYSVFKAAKMYRVKCIRIATTVSGEMNYADLKSKLQHNTNSPAIINANIGTTFKGAVDDIDQIISTLEKCGFQNRYYIHCDSALSGMMTPFMKQAPKVSFKKPIGSISVSGHKFLGCPMPCGVVITRLEHAEVLSTDIEYIASRDSTITGSRNGHAPIFLWYTLSKKGYKGLLKEVHICMGNARYLEVLLKQVGISASCNTLSNIVVFERPKDERIVCRWQLACEGNLAHIVVMPNVTFEKLTVFVEELAEKRKDWYQDKGFDIPCLAVDIGKENCYCNLHAKKLRIPKV >ORGLA10G0002500.1 pep chromosome:AGI1.1:10:344946:345308:-1 gene:ORGLA10G0002500 transcript:ORGLA10G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQPSSAAATAPTHTRHCSHLSSPFPTAPPGVHHGFALLGCGGSGRRWERRPASLAGEGKRRAERGAERPAVEDRSRRELASRGVQDRDGGWWQSHESAAEADWLLGDGVGGGRCRRQGGQ >ORGLA10G0002400.1 pep chromosome:AGI1.1:10:328686:337641:-1 gene:ORGLA10G0002400 transcript:ORGLA10G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMLADAAAAAPCSSSSCSSNSSSSSSSAIWSRRRDEITFDRLDKFWSALSPQARHELLRIDKQTLIEHARRNLYCSRCNGLLLESFTQMVMHGKLLQQKGPGVVQDDSWGGLSTTKDGLLTLLDCFINTNSLHVLQNIFDNARAREREREMLYPDACGGGGRGWISPVIANYGRGHGTRDTCALHTARLSCDALVGYWFDLCEETRSSLLRMKEEDFIERLMHRFDSKRFCRDCRRNVIREFKELKELKRLRREHHCTSWFCITDTAFRCEVFEDAVLVDCRQSFLDQDKSYNRFEFAVGTEKGKSDILGFEAVGMNGQVHRKGLDLDQFEDYFVTLRAHYADNKNTDFYVKAHALKGQSCVHRRLIVGDGFVTITKGESIQSFFEHAEEAEEEDEDDAMDRDGNDTDVDGVHPQKHAKSPELAREFLLDAAAVIFKEQVEKSLREATAQQNAHSVFVSLALKLLEERVHVACKEIITLEKQTKLLEEEEKEKREEEERRERRRTKEREKKLRRKERLKEKEKEKEKIPVQLKPYIGTSSSPLSNSATPINDQSPDIAHSKYSASDDEDKDSIVVTESFSPDTCVDQSLTRESDGQSNEFHCSTTLEFIPSDCNGSFMCEQSTSSRRKLRFRRDSLQEQTTGFWYEDCQDDTGGVGNIHWQSRERARNAGRGCNSLFSANNRTRERYEYNACSCGQQEDYGYFSPTARSSREMKMSRKTMVEKPRLQYRRCYPLDSFIVSKGSRVGSTPNKNAAPKQVWEPMDARKKASLGSLNGSSETVSGVDRSNQVGCSKDIVNCSQILGSEHEELAEASSDRSEEACKSITDQPCESSENNQAACNSEPPVVNKPDSCFTKDGGQTANMTSSDSSSCLSEGDRDSSMSSMTSLSAQNPESSSTSDSEGSSERNNSNPGNPPTKNGSRSLLEMCAGNGFREYQPQNIHPSDGNQFGFGVTPFQEQLLHQQKIHAAPYPSTLMGFHNHHVSVPTNGYLAYPQPGHFYPNAVGYGVAGNQCVDFPMQYSNVHPYAGPEFGYVPAQPVHKAPVSFNAMVPTAALFRNGAPEVINPVIVKPDRQHRHTLPPEPKRVDPDPQNGCSEDNKKPQDGSVPFSLFHFNLPISSPAQASSEDEVSGGCLASRSPTPSAQKAQPCSREETNIKEYNLFSARTGVEFPFF >ORGLA10G0002300.1 pep chromosome:AGI1.1:10:299138:303937:-1 gene:ORGLA10G0002300 transcript:ORGLA10G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFMDIVAYLKPKYVLMENVVDILKFADGYLGRYALSRLVAMKYQARLGMMVAGCYGLPQFRMRVFLWGALPTMVLPKYPLPTHNVVVRGGAPNAFSQSIVAYDETQKPTLKNALLLGDAISDLPEVNNHQPNEVMEYGSSPKTEFQRYIRLSRKEMLDSSFEGKDGPDLGKLLDHQPLKLNKDDHERVQQIPVKKGANFRDLKGVRVGANNIVEWDPDVPRVYLSSGKPLVPDYAMSFIKGRSLKPFGRLWWDETVPTVVTRAEPHNQIILHPNQARVLTVRENARLQGFPDYYKMFGPIKEKYIQVGNAVAVPVARALGYSLGLAYQRESEGSSPLFVLPDSFTEVGRQAAPARASSVGIPVGEVVEQ >ORGLA10G0002200.1 pep chromosome:AGI1.1:10:293800:295524:-1 gene:ORGLA10G0002200 transcript:ORGLA10G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPESSPSSANSTTPSAPSPSSSLSKSPSPPSPSSPPPPASTNAPPKSAGGVSSSTQAQPSSESSSESTTTPSAPAERKSGGSSRGESGRSSEHIIDRGDVTAGVFVGLFVVAMVAVLAGVVAIVVCCCIKMTKKKKRPPPPNMPFFTDEKGNVYYATGGLPPMWQQHGSSNYSIPPPPPPGWHMSSSAGGFSGEMGMGYSSGPYGPALPPPSPNVALGFSKSSFSYEELAAATSGFSAANLLGQGGFGYVYKGVLAGSGKEVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAANQRMLVYEYVPNGTLEHHLYRGGNGDRVLDWSARHRIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDANYEAMVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVMLLELLTGRRPVDTSNYMEDSLVDWARPVLARLLAAGGEEGGLIRELVDSRLGGEYSAVEVERMAACAAASIRHSARQRPKMSQIVRALEGDASLSLDHHHDDDFSASSEISRHRQVAFDSGDYTDDYSTTSTSTHSSRLPPKR >ORGLA10G0002100.1 pep chromosome:AGI1.1:10:282583:285609:-1 gene:ORGLA10G0002100 transcript:ORGLA10G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSLVVAICQYGGEFTSGPNGNLIYKGGEAHAVDVTREMSLDNFKDEVSKVFHVEVADVSLKYFLPNNNRTLITISCDRDLQRMVDFTASSAQVDVFLISRVENRSITQTGASTAKPGSNARGDKRKTPTSKNKASKNKKKTPSATGTAVQANANNVKQPRQVVTENDDNRVFPLEFGSDIAFANTAGAGSTAPDILNQQKLALVDNTARESVGLFDDSVNPYVGSEITTEPTQGLNNPIVFWDDIIKGVGQEFDNVKDFRAQLCKYAIGKGFVYRFIKNETTRVTVKCVGEGCTWRLHASESSRNKKFVIKKMTDEHTCGGGSGEGQRRATRQWLTTVIKEKLHENPLFKPKDLVKEIYEEYGVMLTYSQVWRGREVAQKELYHAIRETYSHLPWYCERLLETNPGSIALLSPMVDTKFRRFFVAFHASLHGFTNGCRPLIFLDKVPLKATNEYKLLVAAGVDADDGVFPVAFNVVEDENYESWVWFLMQLRYALQNHNYPYNAMTFLSSGQKGLDAAVPQVFEESHHAFCLHHIMEEFKGELRKGPWSQQIRDGMVEDFTRAAQACSIEDFNASIESIRNISTEAADWIIASKPEHWSDAIFRGCRYDHFSSNIVDAFNNWIPTKKEGSIVLMIDSLRMKIMEVIEARRESCKSWSGPLTPSMEFKAQDEMSKAGKLTVLCSSETVFEVRGSAIYVVNLANWECTCRRWQLSGLPCMHAVAVFNRVGRSFYDYCSKFFRIESYHLAYSGAIFPIPDMDTVDFSAGANLIPPPKPRTSDKPRRKRFNPNKIPTVVRLCSRCKQAGHNKATCEAIL >ORGLA10G0002000.1 pep chromosome:AGI1.1:10:270178:279006:-1 gene:ORGLA10G0002000 transcript:ORGLA10G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPNQNQQQESSSGSSVMCQLVSPEGDHLGAALYLPHNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAIFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLSTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLTGHRKWITAVSWEPVHLQSPCRRFVSTSKDGDARIWDMTTRKCVIALTGHTNSVTCVKWGGDGLIYTGSEDCSIKVWETSQGKLVKTLQGHGHWVNSLALSTEYVLRTGAYDHTGKTYSTAEEMELLRDTRRWRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTRKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >ORGLA10G0001900.1 pep chromosome:AGI1.1:10:266157:268221:1 gene:ORGLA10G0001900 transcript:ORGLA10G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAKQWLAELENDDDPRGLEHLDPLSMQQLAESLTNELFNQPQEQQEEQHGYHNPSLRVLPFVGDINKPEGHTPAAAAIRDSFFSLTNGSSSSLNFSALEQQQDSGPMTKFCSPLSEMKRGGRRATSSMQEHVIAERKRREKMHQQFTTLASIVPEITKTDKVSVLGSTIEYVHHLRERVKVLQDIQSMGSTQPPISDARSRAGSGDDEDDDGNNNEVEIKVAANLQGTTVLLRVVCPEKKGVLIKLLTELEKLGLSTMNTNVVPFADSSLNITITAQIDNGSCTTVELVKNLKSTLRNF >ORGLA10G0001800.1 pep chromosome:AGI1.1:10:241516:241689:-1 gene:ORGLA10G0001800 transcript:ORGLA10G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVMALDMATGGDMMLMQLVLAEVAHLMSLLVWGWWRELRGALAVAGVEEEDGVWV >ORGLA10G0001700.1 pep chromosome:AGI1.1:10:223857:229691:-1 gene:ORGLA10G0001700 transcript:ORGLA10G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:I1QS34] MRVHEEASEDKEREVEEAPDLMPLSPPPTAAATAAVVAVAGQRLVVGYALTKKKVKSFLQPKLLSLARKKSIHFVSIDETRPLSEQGPFDIILHKLTDKEWQQVLEDYREEHPEVTVLDPPNAIQHLHNRQSMLQEVADLNLSNAYGEVCTPRQLVIMKDPLSIPSAVAKAGLTLPLVAKPLVVDGTSKSHELSLAYVETSLSMLDPPLVLQEFVNHGGILFKVYVVGETIRVVRRFSLPDVNIYDLENNDGIFRFPRVSCATNTAEDAEVDPSIAELPPKPLLEKLGRELRRRLGLRLFNFDMIREHGRKDRYYVIDINYFPGYGKMPGYEHIFIDFLLSLVQNKYKRRLSGS >ORGLA10G0001600.1 pep chromosome:AGI1.1:10:206413:208004:-1 gene:ORGLA10G0001600 transcript:ORGLA10G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDEDVGLALGLSLGSGGHRRQRESRDEAAASLLTLRLPAESGGQPQVVVKREVVRAEEEEYEYEYERALYSSSAAAADDDEGCNSRKKLRLSKEQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKRCCETLTEENRRLHRELQQLRALTHSTAAGFFMATTLPVPAATLSICPSCERLATAAAAGASPTAAADRTNKPTAPHLFSPFAKSAAC >ORGLA10G0001500.1 pep chromosome:AGI1.1:10:203268:203681:-1 gene:ORGLA10G0001500 transcript:ORGLA10G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAVPPEREKRPSERRSVPWAPTTLVAWQHSYPELRLQPPLPKENLTKTLARWREKLRAPGRGLPPADYSYIKIRDVCKENTAVLSRLWELGASEPACVYYGDVAGAQGADLLSLGRLSFSGGAAARHITVPSPS >ORGLA10G0001400.1 pep chromosome:AGI1.1:10:193883:194577:-1 gene:ORGLA10G0001400 transcript:ORGLA10G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEIAAAFVVLGHKVGLEQPEAAVAGEGPLAGHGVVHAYVGAALRPAPPVGVHAPEGHEAAVGRHGLVFLQDVGCDARHEGRQAVLHQRRRGGRRRVGEGEAAVGFVVMFSSSMEETDYAASGHNCVGSVMAHAAEELGCRGAPDECAGVRPSDDLQQEFRRQKQLRRGLRRRRRHGHARTSASFAWRHRLVLVAGSGRLLSSVLR >ORGLA10G0001300.1 pep chromosome:AGI1.1:10:191728:192272:-1 gene:ORGLA10G0001300 transcript:ORGLA10G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEIRSGFKSQVEIESYHDGLELLGTLDLLELGLEATSSPLLLDAALFAFCTREAARTGIEAAAPPLTSSSRSRMQAAELPLAVETTSLQEKLDREGGGGEKEGGGGWGYRRRTTSPPPCTAEPPPSVAAATRRRTTSRHRTAAAATHR >ORGLA10G0001200.1 pep chromosome:AGI1.1:10:167117:168119:1 gene:ORGLA10G0001200 transcript:ORGLA10G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VALQCSGAGMDKGRRRNAARRRREVARGDQATARFGEALAWWLCIEGAQLVVVADASRCIFGMAVGRLRVKTTSCPRTGDDGGILGVVSSMEASFEVLFP >ORGLA10G0001100.1 pep chromosome:AGI1.1:10:148532:153616:1 gene:ORGLA10G0001100 transcript:ORGLA10G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKHSHLIGWTVVDAEDDASDVGMDDRFWHEMLDLFFVRGRVSKRREEDDLVFFVNNNMKMHGHGFNDNMEDPPPFFVRRWAPMLEKISNINSAGVDWERSFYLNLIAHTSYTVTVAICSVRDLRSRAEKSKPLSPVYKVTKTVYASPSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPEDTESKNASSNLLNTGSNQEKPPKRTLFSGYVSYQNVREAYNAGRSQFGSLLSLGHDQTKLDKLYMRGPEGRGEVEVAVSGIADQSHERSKKDPGDSFRVFVHRAASAASKLAKHAYEAASTNKRFDHELLPLKCCLMSVSLPWDYIAHDLLHKVKTITTCK >ORGLA10G0001000.1 pep chromosome:AGI1.1:10:140115:140835:-1 gene:ORGLA10G0001000 transcript:ORGLA10G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFPDLQTTFYDNGTVDTEGNGRHGGSHEVEARSERWRRGRRRRGRSGGAADGVGARRGGAVDGVGEVEGRDGPRRRLGGEARWTASAWSGGEAWWMASTWSEWRRGGEAMDSAVSLSPPPFFFPSPSYL >ORGLA10G0000900.1 pep chromosome:AGI1.1:10:122965:124023:-1 gene:ORGLA10G0000900 transcript:ORGLA10G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALASRRHRLLLAAAAAAAGGYGLYRLYRHHRRRVAAVLSLADAVSQVGSDLADFLRSDSDHVPRTLLQLSKLAASDPISSAASSLSHSLASGLLRALSDSTSTSTTTTPAQIGLTDRILDRLLSPAGTGFASAVVGSFARNLVLSYHAAAAPRPPSAHPLPDWLCSDRGKDAAADLVRVFVSTAVAAYLDRTVSVPRTSHQLLAAFTDPKHEAKLKDLLVSVCNGAVETLVRTRRQVAVAPPPTPIVVVSEAQSPHGCVMDTVSSTLAVPSNRRFVLDITGRVTAETVRSLLDFLAQRVSDGARKSIATARNEGFLAIKHITSKSMAIFTICFALCMHISMGTRFLLPP >ORGLA10G0000800.1 pep chromosome:AGI1.1:10:75005:81052:-1 gene:ORGLA10G0000800 transcript:ORGLA10G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLASSSSIPMATTRATSTLLLLLLVSATWAASAPTTSRARNVITHVKGFQGRLPFHLETGYVEVDNTNTVELFYYFIQSERSPADDPLILWITGGPGCSALSGLLFEIGPLKFDVAGYTEGFPQLFYFQDSWTKVSNVIFLDAPVGTGFSYAREEQGYNVTLTQTGQQLVVFLTKWLGDHPEFASNPLYIGGDSYSGYTVPVTALQIANDDDARARLNLKGYLVGNAATDVKYDSGGKVPFMHGMGLISDEMYEAARSSCRGDYVSTPTNADCANALQAISMATFAINPVHILEPICGFALRGRAMPETTMDQRLRLGLPVECRDNGYRLSYLWADDPEVRATLGIHEGSIASWSRCTALPLFRHDVDSAIPYHADLTQRGYRALVYNGDHDLDMTFVGTQQWIRTLGYNVVTAWRPWYSNRQVAGFTTVYDHNLTFATVKGGGHTAPEYRPKECLDMLDRWTSPAGEL >ORGLA10G0000700.1 pep chromosome:AGI1.1:10:65500:67987:-1 gene:ORGLA10G0000700 transcript:ORGLA10G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCTEAAVTRRRLLLLLLLLVTCSCLSARERSNSSSSSSRRVVRHLPGFDGALPFELETGYVEVDRIAGVRLFYYFIRSESSPADDPLLLWLTGGPGCSAFSGLVYEVGPLTFDVHGHGHGQLPRLLYKPESWTKRTNVIFLDSPVGTGFSYADTDAGFRTGDTIAVHHILVFLNNWFQEVHPDFLSNPLYIAGDSYSGMIVPAVTFGIATSSPKPSLNLKGYLLGNPVTDHNFDAPSKIPFAHGMGLISDQLYQAYKKSCSVKHNTQQQSVQCTNTLDAIDECVKDIYGNHILEPYCTFASPHNPRIDKPFTSGTAEYTMSRIWANNDTVREALGIHQGTVPSWQRCNYDILYSYDIKSSVRYHLDLTTRGYRSLIYSGDHDMIIPFIGTQAWIRSLNFSVVDEWRPWFVDGQVAGYTRSYSNNLTFATVKGGGHTAPEYMPKQCLAMLARWVSGNPL >ORGLA10G0000600.1 pep chromosome:AGI1.1:10:59638:62073:1 gene:ORGLA10G0000600 transcript:ORGLA10G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QS23] MAAAVLLLLLLPALAAAQAQAQQMRTFSANDTNWSPAESNRTLVSNNGDFAAGFRPSPSSPAKFWFAVWVSANANESRPVVIWYALNNDHSAVEGDANSVLSIDAAGKLSWSDNGNSTTLWSRNSNSTSAPLSLNDSGSLDHGAWSSFEEPTDTLMASQAIPSNGTTTTTSITLQSQNGRFQLVNALTLQHGSSAYANITGNTALRNLTADGTLQLAGGNPSQLIASDQGSTRRLRRLTLDDDGNLRLYSLQSKKGQWRVVWQLVQELCTIRGACQGEANICVPQGADNTTCVCPPGYRPQGLGCAPKLNYSGKGNDDKFVRMDFVSFSGGADTGVSVPGKYMTSLTPQNLADCQSKCRANASCVAFGYKLGGDRTCLHYTRLVDGYWSPATEMSTYLRVVESNNDPNNFTGMTTMIDTVCPVRLALPVPPKQGRTTIRNIAIITALFAVELLAGVLSFWAFLRKYSQYREMARTLGLEYLPAGGPRRFSYAELKAATKEFSDLVGRGAYGKVYRGELPDRRAVAVKQLHGVGGGEAEFWAEVTIIARMHHLNLVRMWGFCADKEQRMLVYEYVPNGSLDKYLFAPGTGTQGDEEESNKRPLLDLHTRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLTSKKEKVTMSRIRGTRGYMAPEWVIHREPITAKADVYSFGMVLLEIVSGRRNYGFRQDSVGSEDWYFPKWAFEKVYVERRIDDIIDPRIVQAEAYDDDPASLATVERMVKTAMWCLQDRADMRPSMGKVAKMLEGTVEITEPVKPTIFCVQDD >ORGLA10G0000500.1 pep chromosome:AGI1.1:10:49276:50217:-1 gene:ORGLA10G0000500 transcript:ORGLA10G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGTDVVALYGGANGLSHKSGSFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRSVTIPVMAKARIGHLVEAQILEAIGVDYVDESEVLTLADDAHHINKNNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDIRALRSMDDDEVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPALRARAIVQAVTHYSDPKILAEVSSGLGEAMVGINLSDPKMHVERFAARSD >ORGLA10G0000400.1 pep chromosome:AGI1.1:10:43414:44308:-1 gene:ORGLA10G0000400 transcript:ORGLA10G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDDHEGPLEGALEAAVLEEVCKITRNDGGGGDGGEGGGGGGGGGGVIKSMAVAARVQAATATNLFVPLLFLMLPRFLSREADKEAWGPDLAPELGTSIAARRRSGEE >ORGLA10G0000300.1 pep chromosome:AGI1.1:10:34782:40666:1 gene:ORGLA10G0000300 transcript:ORGLA10G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSPPPSPGPASASASGSGSAMDSFIHRGAGWHFPRRDNVDARVHVAVGRSPEKTLGLLRWAFRRFACAQVVLVHVHQPSPLIPTLLGKIPAAQATEELVLSHRKSEKDEMNKILLTYLTFCHRAQVQASLLVTENEQIHDGIITLVKDHGITKLVMGSTPDTCFKLKASYGKASFMARNAPSFCEIWFVWRGRHIWTREAAAAIGNNISVYNEDDVMIRKRIRFSSTSNNAESILDEGYISYEAQTPADRYEITISDNGQPNDYESLVDANHFCNIIVPNLQHAQSAFNSTFQPGSSVDMESLVLYPQEILDKNFKQVILEAERSRKDAFVELLKRKDTESRVAGVIARAKASEFAQKQEMKMREELEALLTATKKQHEDLAENKEKATEGLDSSMRKLAILDARAKSIAFRMNEAVAELKLIQSSIGTLNQEIPKREKLELVHTDQVERCAYNHIMLPNCSSTVCADDLYNFRELTLSDIKAATCKFSDSLKVQPRGLGCVYKGEIMNRSVMIYKLHSCIIQSSMQFQQEVHLISKVRHPHLVTLIGACPDALCLVYEYVPNGSLHDRLWSKCGIPQLPWKIRARIVAEISSALFFLHSCKPQMIVHGDLKLENILLDANLHCKIADCGISQLFMEDAKDADPEYRRSKPLTPKSDIYSFGIVILQLLTGKQAAGLPSEVRRAMSSGKLWSLLDPTAGEWPLEVARRLAELGLKCSEAASPELLTPETVRDLEQLHLMRDNRQVPSFFLCPILKEVMHDPQVGADGLTYEGRAISELMDNGPPITPNHALRFAIHDWLSQRSTPF >ORGLA10G0000200.1 pep chromosome:AGI1.1:10:13408:25638:-1 gene:ORGLA10G0000200 transcript:ORGLA10G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18890) TAIR;Acc:AT3G18890] MEQAAKATISLSPPSYAGCCMAACPYRSTRHLRRGGGCSARSISSLRHAPSARVYAAAAAAATPEPKSTKENDLVFIAGATGKVGSRAVREFIKLGFRVRAGVRSAQRASSLVQSVEQLKVDDDATSPAERLEIVECDLEKQAQSDIVSAIGNAAIVVCSIGASEKDILDVTGPYRIDYMATNNLVQAATAAKVEHFILVTSLGTNRIGFPAFLLNLFWGVLCWKRRAEEALIGSGLPYTIVRPGGMERPTDAFKETHNLVVAVEDTYVGGLVSNLQVAELIACIASNRRTAYCKVVEAIAETTAPLQPTEDQLANIPSKRQPPPEPEVVQQGETPPKPIQQSQRPLSPYTAFVDLKPPSSPSPCPPSAAAPAPTSTDTAAAGSSSTLNSSATGTPISVDQPKQQQRPLSPYTRYEELKPPSSPSPTPPSAASSASVSASPDTPPAAAASSAALDSSANGTPITGDQLNQQQRPLSPYTRYEELKPPSSPTPSTPKL >ORGLA10G0000100.1 pep chromosome:AGI1.1:10:12456:12719:1 gene:ORGLA10G0000100 transcript:ORGLA10G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDLPPPAYPLSSSSSTCCTSPPRAHGFLPPPSPLPPLLPLPRVRTVPPSPSLKRRSVIPTGDRGRRGEQEEAATDMWGPTVIDLK >ORGLA09G0171300.1 pep chromosome:AGI1.1:9:17600482:17600811:-1 gene:ORGLA09G0171300 transcript:ORGLA09G0171300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFTMPRMLSISASMVASLNGMEKKSPTAMHPHHLFDDAQRTAPAPSSAPSAEPHSAPRRPRASSSPGGGSGVGGRAQEAMRRRRHLVAGHRTPTSPTGRLPCPLPN >ORGLA09G0171200.1 pep chromosome:AGI1.1:9:17596528:17596683:1 gene:ORGLA09G0171200 transcript:ORGLA09G0171200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGALLQVESRGRSYRDSIVSSRRRVLAQARRAARGEAAKKTTQVWRTG >ORGLA09G0171100.1 pep chromosome:AGI1.1:9:17592071:17593876:-1 gene:ORGLA09G0171100 transcript:ORGLA09G0171100.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSTQGGGKRITRVEVTLDGGETWLVCVLDLPEKATKYGKHWCWCFWSVEVEVLDLLGAKEIAVRAWDQSHNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHKGEIGLVFEHPTQPGNQTGGWMARQKHLETAEAAAPGLKRSTSTPFMNTTDGKQFTMSEVRKHSSQDSAWIVVHGHVYDCTAFLKDHPGGADSILINAGTDCTEEFDAIHSDKAKALLDTYRIGELITTGAGYSSDNSVHGASNLSQLAPIREAIKAPAPVALSSPRDKVPCQLVDKKELSRDVRLFRFALPSSDQVLGLPVGKHIFVCASIEGKLCMRAYTPTSMVDEVGHFDLLIKVYFKNEHPKFPDGGLMTQYLDSLPVGAYIDVKGPLGHVEYTGRGEFVINGKPRNARRLAMIAGGSGITPMYQVIQSVLRDQPEDTTEMHLVYANRTEDDILLRDELDRWAAEYPDRLKVWYVIDQVKRPEEGWKYSVGFVTEEVLREHVPEGGDDTLALACGPPPMIKFAVSPNLEKMKYDMANSFVVF >ORGLA09G0171000.1 pep chromosome:AGI1.1:9:17587010:17589400:-1 gene:ORGLA09G0171000 transcript:ORGLA09G0171000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRTLLLLVVVVVVAAAVGGVLGGGGEERTFIVRVDADAKPSAFPTHAHWYEAAVMAAEGGGGGGEWREGGPLIHTYSAAFHGFSARMSPAAATALAEAPGVAAVVPERVRQLATTRSPRFLGLLSSPPSALLADSDFGSDLVIAIIDTGISPTHRSFHDRGLGPVPSKWRGVCSSGPGFPPNSCNRKLVGARFFSAGYEATSGRMNETAEVRSPLDTDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWVGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYYLDAIAIGAFGATEAGIVVSASAGNGGPGGLTVTNVAPWMATVGAGSMDRAFPANVQLGNGQVLDGVSVYGGPALQSGKMYTLVYAGASSGAASSAADGYSASMCLDGSLDPAAVRGKIVVCDRGVNSRAAKGDVVHRAGGIGMVLANGVFDGEGLVADCHVLPATAVGAAAGDKLRKYIGSSTRQAPATGTILFEGTHLGVHPAPVVAAFSARGPNPQSPEILKPDLIAPGLNILAAWPSGVGPAGIPSDGRRTEFNILSGTSMACPHISGLAALLKAAHPTWSPAAIKSALMTTAYIKDNSNGTMVDESTGVVADVFDFGAGHVDPMRAMDPGLVYDITPVDYVNFLCNLNYTEQNIRAITRRPADCRGARRAGHAGNLNYPSMSATFAADGTRATMKTHFIRTVTNVGGGRAVYRATVRSPEGCAVTVQPRQLAFRRDGQKLSFTVRVEAAAPAKKMEPGSSQVRSGAVTWSDGRHAVNTPVVVTVQAPLQ >ORGLA09G0170900.1 pep chromosome:AGI1.1:9:17582253:17584581:-1 gene:ORGLA09G0170900 transcript:ORGLA09G0170900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRTSWKSMLCCVGGGGVAGVEDDVPPERRRVRGGGHHQRLLSSSSSASSASRVSLSSLSSTGTLTPEDLSLTLSGSNLYAFTYAEMRAVTGGFSRANYLGSGGFGPVYKGRADDGLRPGLAAQAVAVKYLDLDCGTQGHREWLAEVFFLGQLRHKNLVKLIGYCYEDEHRMLVYEYMSNGSLEKHLFKSLDGAMPWMRRMQTAVGAAKGLAFLHDADTPVIYRDFKASNILLDSDFNTKLSDFGLAKDGPQGDATHVTTRVMGTNGYAAPEYIMTGHLTDKSDVYSFGVVLLELLSGRHSVDRSRRHREQSLVDWTRKYLKKPDQLHRVVMDPAMEGQYSYKGAQEAALVAYKCLSPSPKSRPSMREVVKALEPILDMNDYLQIGTFVFTVVVEDNKKDDVTKTKQVDGENKVDMRIEATVEEKHQSHHDRYRQKNPNSAIHADIVLQRDGSIGSYTTSLRRHRRTSSYLKERGA >ORGLA09G0170800.1 pep chromosome:AGI1.1:9:17578453:17579228:1 gene:ORGLA09G0170800 transcript:ORGLA09G0170800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WQTAEGAERLSVAGGAGGGRPHPRASSGGHGGGGGWRKRSCGGGRREERHGGRREEKQAAASPTSEEQGRCLPISIPKNENADDDGTELSCLICLIGSV >ORGLA09G0170700.1 pep chromosome:AGI1.1:9:17566366:17568997:-1 gene:ORGLA09G0170700 transcript:ORGLA09G0170700.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGLPFNGDLYRAFLTVNTHFAERVFELLNPDEDLVFVHDYHLWAFPTFLRHKSPRARIGFFLHSPFPSSELFRAIPVREDLLRALLNADLVGFHTFDYARHFLSACSRVLGLSNRSRRGYIGIEYFGRTVVVKILSVGIDMGQLRAVLPLPETVAKANEIADKYRGRQLMLGVDDMDLFKGIGLKLLAMERLLESRADLRGQVVLVQINNPARSLGRDVDEVRAEVLAIRDRINARFGWAGYEPVVVIDGAMPMHDKVAFYTSADICIVNAVRDGLNRIPYFYTVCRQEGPVPTAPAGKPRQSAIIVSEFVGCSPSLSGAIRVNPWNVDDVADAMNTALRMSDGEKQLRQEKHYRYVSTHDVVYWAQSFDQDLQKACKDNSSMVILNFGLGMGFRVVALGPSFKKLSPELIDQAYRQTGNRLILLDYDGTVMPQGLINKAPSEEVIRTLNELCSDPMNTVFVVSGRGKDELAEWFAPCDEKLGISAEHGYFTRWSRDSPWESCKLVTHFNWKNIAGPVMKHYSDATDGSYIEVKETSLVWHYEEADPDFGSCQAKELQDHLQNVLANEPVFVKSGHQIVEVNPQGLI >ORGLA09G0170600.1 pep chromosome:AGI1.1:9:17564029:17565531:-1 gene:ORGLA09G0170600 transcript:ORGLA09G0170600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNQLWLLWATLAVSLLYYISNRRRRVGGRRRCPPGPMPLPLVGNLLNLRGHLPPALARLARTYGPVMMLKMGLTTTVVISSGDAAREAFTKHDRHLAARTVLDVTRSLGFADRSMIWLPSSDTVWKTLRGVTAASIFSPRGLAALRGVRESKVRDLVGYFRGRAGEVVDVRHAVYGCMLSLVSSAFFSVDVVDLSAESENEFRQSMTFLMEVVSKTNVSDFFPFLRPLDLQGWRRLTERYLGRVTCFLDDVIDRRFAADASANRHGDFLDSLLDLVSTGKIVRENVTTILLDVFIAGSDTITATVEWAMAELLRNPSEMAKVRAEMDGALGGKKTVDEPDIARLPYLQAVVKEAMRLHPAAPLLLPHRAVEDGVEVGGYCVPKGSMVIFNAWAIMRDPAAWERPEEFMPERFIRRGDDDEVDFWGKTFEFIPFGSGRRVCAGLPMAERVVPFMLASLLRAFEWRLPDGVSAEELDMSHRFTIANFRAVPLKAVPVVVS >ORGLA09G0170500.1 pep chromosome:AGI1.1:9:17560305:17560679:-1 gene:ORGLA09G0170500 transcript:ORGLA09G0170500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFSTRSSIESDVYSYGVILLELLTKKQAVDPSFPDNMDIVSWVTATLNGTDQIELVCDSTLMEEVYGTVEIEEVSKVLSLALRCAAKEASRRPPMADVVKELTDVRKSAGKLSKPEKTASRSSS >ORGLA09G0170400.1 pep chromosome:AGI1.1:9:17553655:17553999:-1 gene:ORGLA09G0170400 transcript:ORGLA09G0170400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSHPTEAASEAPSGSASPPARRRGGDQQPPPAVAVAVTSEGGVGPRRSFRISLRHRVRVVPWVKPPVARKPKDPAKPPRPSIEALAAEWAKEKAASGAPEEECVLPFLQKDAPKK >ORGLA09G0170300.1 pep chromosome:AGI1.1:9:17545260:17547397:1 gene:ORGLA09G0170300 transcript:ORGLA09G0170300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDVGRAAAREAAMPAACSSSSIGKDSDECSPPGKEEEEGEEVQSAFVGGGGGLAGLEALEEALPIRRSISKFYNGKSKSFACLKEAVSSCGSAKDIAKAESAYSRKRKNLLAYSIMYETSQETAAEVYETGPPKRLASLSRNSLVTLASSSSRSSSSISIEETELTEQLHSPPSPANDENSAVYNAPALQLGSCDPKTSSVPVRSFSMMDLRRLHRPRSSCRLKDQRTTD >ORGLA09G0170200.1 pep chromosome:AGI1.1:9:17533859:17536172:-1 gene:ORGLA09G0170200 transcript:ORGLA09G0170200.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQSSYKSQLQIYAQKKGKLLPSYQTIREGPGHASRFKSVVTVDGKAFESPEYFHTVKEAESAAAKLALMSLPQEASSSEQVPVQPLSYKNLLQELAQKHGFSLPVYSTTSDGSVQVPMFKSTVVFQDGSFQGEPANTKKQAEMNAARVAFQHFEDRRKNALSSTVLRGPHLGQGTVHISAGQVKIAEPVFSVPQASTATSHSATGATDRDYHSLGSTNPLPIAKSTNCADVHIQPCEFKDEKPAFPEPKTELEVMDSSPELTPLEDAYSAPVASTSTVSSSGCGSDPLASASTVNSTGCGSVPLASASTVSSTGCGCSLLTNRVQVYPRRPDLVLPEGATVLPFSDDVWVAVSLPTLNH >ORGLA09G0170100.1 pep chromosome:AGI1.1:9:17529489:17531664:-1 gene:ORGLA09G0170100 transcript:ORGLA09G0170100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICPKISLACVLLLLWFTSTGDAAYMKYLDPKQPTNTRIKDLISRMTLAEKIGQMTQIERGVASADVMKNYFIGSVLSGGGSVPAPQATPAVWVNMVNEFQKGALSTRLGIPMIYGIDAVHGNNNVYNATLFPHNIGLGATRDPDLVRRIGEATALEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHRVVQQMTDIILGLQGDIPINHTKGVPYIAGKDKVAACAKHFVGDGGTHNGINENNTITDEHGLLGIHMPPYYDSIIKGVATVMVSYSSLNGVKMHANHDLVTGYLKSKLHFRGFVISDWLGIDRITSPPDANYTYSVQAGINAGIDMVMVPFNYTQYIDDVTSLVKKGIINMSRIDDAVRRILRVKFI >ORGLA09G0170000.1 pep chromosome:AGI1.1:9:17527748:17528878:-1 gene:ORGLA09G0170000 transcript:ORGLA09G0170000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSPADVRLSPLSDQGHSLTVGIGTPPQPRKLIVDTGSDLIWTQCKLSSSTAAAARHGSPPVYDPGESSTFAFLPCSDRLCQEGQFSFKNCTSKNRCVYEDVYGSAAAVGVLASESFTFGARRAVSLRLGFGCGALSAGSLIGATGILGLSPESLSLITQLKIQRFSYCLTPFADKKTSPLLFGAMADLSRHKTTGPIQTTAIVSNPVETVYYYVPLVGISLGHKRLAVPAASLAMRPDGGGGTIVDSGSTVAYLVEAAFEAVKEAVMDVVRLPVANRTVEDYELCFVLPRRTAAAAMEAVQVPPLVLHFDGGAAMVLPRDNYFQEPRAGLMCLAVGKTTDGSGVSIIGNVQQQNMHVLFDVQHHKFSFAPTQCDQI >ORGLA09G0169900.1 pep chromosome:AGI1.1:9:17519323:17520253:-1 gene:ORGLA09G0169900 transcript:ORGLA09G0169900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAAAGEGPQLCVFDLRRGQQEGQELDKILFFHPTDCPILLQLSVIGLCEGIITFARIFSPDDDCEVIESEKHSHVFYQAEAD >ORGLA09G0169800.1 pep chromosome:AGI1.1:9:17513863:17514944:-1 gene:ORGLA09G0169800 transcript:ORGLA09G0169800.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFRAKHKKDMADEHPIISSDSMFLKAILPTLNVSPCNPLTITGGAGSSTVAAAAFAGGSSSPTPLQVLVFQQQSTGNGNMVISFNSNALPVAMWCPAAGCSPAEGAFSYQGPLPPSMRNHINLLPTALPLQIGIAMDKGKAPLIELPYGIPMDDFLVGQTAYGSAGPSIEAPHATAAAYPYTDALNNNVAAGSLMASPMEPTFSITEPTVLTQGEGSEMNAVATTRNNAAPLMVPDQVTANATMDAEEDIMFSLESLLGLDYDMLPMEDTSAAEAAAADDSAGMDIGWDLDLHDILVENANDFVFLDSIAGSE >ORGLA09G0169700.1 pep chromosome:AGI1.1:9:17510527:17510976:-1 gene:ORGLA09G0169700 transcript:ORGLA09G0169700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIWPGPPGAQPVGDLRVAQLALLVAGNGLIVSPSYLSSCPCDDGGRCFFQDGVAEQRCFDLANLVTAVLGLALLVGDMAFSTAVPGRSTQSQALATVARWLVGLAKVATVGTFQHWINSFYLCLKTWSITSYIIHPSLHDNPVLVFA >ORGLA09G0169600.1 pep chromosome:AGI1.1:9:17499868:17508487:-1 gene:ORGLA09G0169600 transcript:ORGLA09G0169600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPEGRLVELFGAVKSWMPRRGDHSPPPPLPPPPASQVGAGGGGGAASPQPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRAPGDAAREDGERIRVCNYCFRRWLEEEAAARRDVAQPSSPVLSPSASAVSIGSDKSSSTARSSAGTNGQMSSYTNVSYTDFPSMAVEGQGECCEREGCAEKQLPAMEHAGGVEPATYVDNTSDPYNFGINRSDDEDDDYAVFHSDSKTQQLQNSDEYFKTVCFDAHQVDCSHVKESVSPMQDTENFIGSVGVDKTGDHIMDNTEECNTRSSSLYSMEMLENEPVDFENNSSLWLPPEPEDEEDDHDGALCDEDDGEDATGEWGYLRSNSFGSGHCRSRDKSAEEHKRAMKDIVDGHFRALVAQLLQAEKVQLVDKSGKQSWLDIVTSLSWEAASILKPDTSKGGRMDPGGYVKVKCLACGRPSDSFVVKGVVCKKNVAHRRMASRKEKPRILILGGALEYQRISNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYVSSQKLGHCDLFHVEKYVEEHGTAGEGGKKMLKTLMFFEGCPKPLGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPILQMPTASSPNDSLQAFDVQTDGSTFNGFQTMDQTMVMCSPEYKSCKKLGAGSAQTESAHFNGQDKNIACLHGMVPWSSTDPLVQQSASSLCHCPSCSRDLVNKKHFEECQPETSGHTLDNDFNALSAHRTNLESVESGHLFAYNSENGDKIRAKLSVPLNVQISLDDDSSKDDSVIKKDEIPASPADNQSILVSLSSRCVWKETVCQRPHLLRIKYYGNFDKPLGRFLRDQLFDQNNNCISCELPPEAHVYCYVHPQGSLTISVRKLAVKLPGEHDGKIWMWHRCLRCPRVIGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPPKLDFTSQHQEWVEQEANEVVDSAELLFTEVLNALHQISEGRPITGSFDGNMKILELRRNIMELEEILQIEKADFTESLKNLLNKEIRKGKPFIDILEVNKLRRQLLFLCYLWDQRLIFIANSGGKYCDTLGGLRVGSRSSDSNDKSVDTNATTKLEKSSKGSEVLSNAKEGSLKQSQSPLHANDKEPNQPDQSNGNSSRIGAGLNGMEDAIAKINHSNSADVKDNLDHQESSIGVRRVLSDGQFPVNADISDTLDAKWRGENGTVPDTSILKPLALLEGSADLKNQAKAVPTNASLSVRSGDTVEDLSSWLKMPYMTFYDSLNTNSGTAPRFGALADYNPVYITLFRELSQQGGARLFLPTGANDVVIPVFDDEPTSIISYALVSPMYCHQMLDENSKNKDGPDSSLPLPVYDSGNFNPFHLFEDFGSADDLASSISGTRGSFVPDLVHLRVPFEDGGPLGKVKYTVTCYYAKSFEALRRSCCPSELDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGLDYFKYLSESISTGSPTSLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSSSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLA >ORGLA09G0169500.1 pep chromosome:AGI1.1:9:17489447:17489809:-1 gene:ORGLA09G0169500 transcript:ORGLA09G0169500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANLLAVFAPPPPLSLSGHLGALAGDPGCFPLDDEAYPPSSHWPTLTPVIFGSYLVFRVCLDLVPLAQPAPKQCFTPRCPVNCCASTHFGENQLALGSSGISPLTTTHPLILQHQSVRTSA >ORGLA09G0169400.1 pep chromosome:AGI1.1:9:17488533:17488898:1 gene:ORGLA09G0169400 transcript:ORGLA09G0169400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVRVRLSPARELSGYYDSTEFCQFGSSIYDFAFMDVDKILPFSSTLGWHSLNVNGEVQKRKGLRWIPRYPETRKGVASDEMLRGVENKHRSGDSQIGQPFELPAESMSRQETTWRTETS >ORGLA09G0169300.1 pep chromosome:AGI1.1:9:17486000:17488284:-1 gene:ORGLA09G0169300 transcript:ORGLA09G0169300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:I1QRL4] ENRCVNMIAHLFNAPIKEDETAIGVGTVGSSEAIMLAGLAFKRKWQNKRKEQGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDVKLLNNLLTEKNKETGWDVPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYPGVGWVIWRSKEDLPEELIFHINYLGTDQPTFTLNFSKGIQEHHAELHGEHSNTKGRHRGDWSIRNPLQGGRCALGGVLAQGQRQVHRVRHLREPEEVRLDRAGVHHAGQRRARRRPPRRHQGGLQPEPRRAARLGHRQDPARAGRPFGPGAEDLQRHREAAIGRRRRGHQEERPGDREGDLRVLEGPGEEEADRNLLVWLCEKCLNNVACSICAWDGRIGWD >ORGLA09G0169200.1 pep chromosome:AGI1.1:9:17481988:17484588:-1 gene:ORGLA09G0169200 transcript:ORGLA09G0169200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCAPTAVPPQDVSGAATEPFRSLQIATAGAAAKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPTCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACSRCSKAYAVHSDYKAHLKTCGTRGHTCDCGRVFSRVESFIEHQDACSAGRPRAAEASSSPGRGGGVVVGAPAAASSSQQQQQQQLFAVPASLSRTASSASPSSDLVVSPVAWPATGGGGPAMASPRAAAPAPAGGSIAAFHHRFTDPALSPPTPCGGRRGGCHTTHSLELQLMPPRTTTTCAGGSLGGTPVATAVGYYASSSPHSPAAALPSRQPVADAMRLQLSIGFGGARDDGNNNSSSSSGEVLSASATRLKEAAHEQLRLAMAEKAAADEARAQAKRQAELADQELATARRMRHQAQVELSRAHALRDHAIRQVDATQLQITCYSCRHKFRARAAAMISSDVASYVSSVVTEGGDAEVDNDGNLHRRRLNADDGMPRSHSRTMAMDLN >ORGLA09G0169100.1 pep chromosome:AGI1.1:9:17477878:17479321:-1 gene:ORGLA09G0169100 transcript:ORGLA09G0169100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIINEFRTKHQKKDMAIERPLISSDSIFLKAILPTLKISPCNPLTLTGGIGSSSVAAEAFAGGSSSAAPLQIPVFQQQSTGNGNTVISFSNNASPMAMRVPTDNTMISFNNVSTAPVANAVISFSNISRSAAMQAPAARGQHLSGDVQQLDFPQQKLYFGPFSYQGPPPPSMHNHINLLPPTSFPVTCSMDKGKAPIIELPYGMPVDDFLIGQTAYGGAGPSIGATDAAAAAYPYTDALSNNVATGCLMAPPMGPAFSITEPTVVAQGEGTDTGVDAGTSEKNAIVEAPNNPALLMVLDQVAADAAMDVEEDIMFSLESLLGPDYDLLPMEDVSAPDTAAAGDAAGGSLDGEEGDMNIGWDLDLDDILVENVNDFAFLDNLAGSE >ORGLA09G0169000.1 pep chromosome:AGI1.1:9:17474931:17475780:-1 gene:ORGLA09G0169000 transcript:ORGLA09G0169000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEARLCEEKGKRQRCARRCSGHRRRQENDEAAVLVKREHPSRAVAADAARREHLDRTAASDSAAAACHLWSAFDLMTRRKDPLDGLKLYSDDEHYWQGQFDGSTTATVEYMTGRGGERANVGHSGGDGVVEAERWSSLVTATRWWTSERNTARKGILVSPGV >ORGLA09G0168900.1 pep chromosome:AGI1.1:9:17470599:17470841:-1 gene:ORGLA09G0168900 transcript:ORGLA09G0168900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQYTWDKHLETWVKSSGILGGPKNAPPTVISPMQYKKRFRKAMSAYFIVIPEQWMPAIINPSKSSSNLCQEDPQNASQE >ORGLA09G0168800.1 pep chromosome:AGI1.1:9:17468827:17469414:-1 gene:ORGLA09G0168800 transcript:ORGLA09G0168800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGGRKTPWTQEEDEALRRAVREHRRQNWAEIALALPRRGPKSCRLRWCQHLSPELDSRVFTAEEDAIILAQQRVHGNKWATIARCLPGRSDNAVKNRWNSALRKLLQGQHARGAGSPPAAAAAAAGDDRDDAPVCLQLFPARAGGVKEAGLFAGEKDVEEEDVATSLTLGLPVLCEAELELRLGPAWPATA >ORGLA09G0168700.1 pep chromosome:AGI1.1:9:17457751:17467983:-1 gene:ORGLA09G0168700 transcript:ORGLA09G0168700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPETLPNSEAVSHAYKFASTWEKNAPLTEQQNAAIAALSRAVSERPFPANLEKSLVKDGGVVVPEKEAALEEGAMDAVLVNTHQFYKWFSELESAMKSETEEKYRLYESTLEERVKTCDGILQQVDDTLNLFEELQSLHLSVATKTKTLHDACDQLLLEKQRLIEFAEALRSRLNYFDELENVSTSFYSQTMNIGNEQFLPLLKRLDDCISYVENNPQYAESAVYLVKFRQLQSRALGMIRSHVLSILKAASSQVQAAIRGNGSAKNVVTEGVEASLIYVRFKAAASELKPILGEIESRSSRKEYTQILSECHSLFCEQRLYLIRGMVQQRISEFARKEALPSLTRSGCAYLMEACQFEHQLFAHFFPASASDVSSIAPLMDPLCTYLYDTLRPRLIYEGSIDSLCELVDILKVEVLGEQLSRRGESVAGLRPVLQRILADVHERLAFCARTHIREEIANFRPSDEDLDYPGKLERSVDATTSSNVSGNSDIYVTWYRPLEKTVSCLSKLYHRLEPTVFTGLAQEAVEVCSTSLQNASKVIAKKATAMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQVSLFDWSRSTSLARTFSPRVLENQIDARKELEKSLKSTCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDSVLAKPLKTQAFASPDKVAELVQKVGTAIQQDLPKVMTMMRLYLQNPSTRLILFKPIKTNIVEAHIQLHSLLKSEYTADEIQSIGMLPIPDLQSQLDALL >ORGLA09G0168600.1 pep chromosome:AGI1.1:9:17450003:17453082:-1 gene:ORGLA09G0168600 transcript:ORGLA09G0168600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDAAAASAKAPNGEASGGGGRPVEVEDTLPGVLRSFVDGVCSQGGGGGGGGGEPLLRRIRAASRETAPRLREASRNSARDLLEWTRRGSGLRAILVISVGTITLIALTGLLIFMFFLLVATTNAVVVSVLMSLAAAGGFLAMFFACLVAVYIGVVSVAVFVISATVISAIVGVMIATGWLGFFWMIWFAARKSMDLTKHSIGVTNSAIQSYSASRHAKQKPID >ORGLA09G0168500.1 pep chromosome:AGI1.1:9:17447724:17449326:1 gene:ORGLA09G0168500 transcript:ORGLA09G0168500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:I1QIS8] MASLSVPPVPTDPRRDAIDLHRAFKGFGCDATAVTAILAHRDASQRALIRRHYAAVYHQDLLHRLAAELSGHHKRAVLLWVLDPASRDAAVLHQALNGDVTDMRAATEVVCSRTPSQLLVVRQAYLARFGGGGGGGGLEHDVAVRASGDHQRLLLAYLRSPRYEGPEVVDMAAAARDARELYRAGERRLGTDERTFIRVFSERSAAHMAAVAAAYHHMYDRSLEKAVKSETSGNFGFGLLTILRCAESPAKYFAKVLHEAMKGLGTNDTTLIRVVTTRAEVDMQYIKAEYHRSYKRSLADAVHSETSGNYRTFLLSLVGRDR >ORGLA09G0168400.1 pep chromosome:AGI1.1:9:17438518:17439964:-1 gene:ORGLA09G0168400 transcript:ORGLA09G0168400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGDVDGAAGALRRFVREAGGCAVVDGGLATELEAHGADLHDELWSASCLVSAPHLIRKVHLDYLDTGANIITSASYQATIQGFQARGLSRERSEALLRRSVHIAQEARAIFAEGWSKGPYANHRSSPRRPVLVAASIGSYGAYLADGSEYTGDYGISVTKETLKSFHRRRLQVLADAGPDLIAFETIPNKLEAQAAASGDPITECAAVADACARVGAVGVNCTAPRLVHGLILSIRKVTSKPVVVYPNSGETYVAENKEWVESEGGASETDFVSCVGKWRQAGAALVGGCCRTSPATVRAISWALRESDDAVGGDGDRDDFPAVAVL >ORGLA09G0168300.1 pep chromosome:AGI1.1:9:17434399:17437907:1 gene:ORGLA09G0168300 transcript:ORGLA09G0168300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 54 kDa protein [Source:UniProtKB/TrEMBL;Acc:I1QE70] MVLAQLGGSISRALAQMSNATVIDEKVLSDCLNEISRALLQSDVQFKMVRDMQSNIKRIVNLETLAAGTNKRRIIQQAVFTELCNMLDPGKPSFTPKKGKPCVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVSVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGTFTLRLMYEQFQNILKMGPIGQVFSMLPGFSSELMPKGHEKESQAKIKRYMTMMDSMTDGELDSTNPKLMTESRILRIARGSGRPVRDVVDMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNVQHMSKVLPPQMLKQIGGMSGLQSLMKQMGSKEMGGMFGGMGGDK >ORGLA09G0168200.1 pep chromosome:AGI1.1:9:17412317:17414994:-1 gene:ORGLA09G0168200 transcript:ORGLA09G0168200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLFLLLLFFLVVSYAPFLAFSSEPLNPEVEALIAIRQGLVDPHGVLNNWDEDSVDPCSWAMVTCSAHNLVIGLGAPSQGLSGTLSGRIANLTNLEQVLLQNNNITGRLPPELGALPRLQTLDLSNNRFSGRVPDTLGRLSTLRYLRLNNNSLSGAFPSSLAKIPQLSFLDLSYNNLTGPVPHFPTRTFNVVGNPMICGSSSGSHAGNANAAECATVVAPVTVPFPLDSTPSSSSSSSRAAAAAVGRSKGGGGAARLPIGVGTSLGASALVLLAVSCFLWRRRRRHRCLLSGPSSVLGILEKRRDVEDGGGGEVMARLGNVRQFGLRELHAATDGFSARNILGKGGFGDVYRGRLSDGTVVAVKRLKDPTASGEAQFRTEVEMISLAVHRHLLRLVGFCAAASGERLLVYPYMPNGSVASRLRGATALTFCPLHRRARPSSLRGATTACGWFTGRRGCSSKLGKHDDE >ORGLA09G0168100.1 pep chromosome:AGI1.1:9:17410323:17411885:-1 gene:ORGLA09G0168100 transcript:ORGLA09G0168100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSALATLLSMALAAFLLLGLIKRKGSRRGYNLPPGPTPWPVIGNFNLIGALPHRSIHELSRKYGELMLLRFGSFPVVVGSSVAMARLVLKTHDAVFIDRPRTASRKHTTYGYADITWSPYGAYWRQARRICVTELFSARRVASFEHIRADEVRALVRGLFAAASSGRSGAVHLNRDHLSTLSMNVITRMVLGKRFFGEGADAAEGPVSTLSEFKWMLDELLLLNGVLNVGDWIPWVDWMDLQGYVRRMKKVGKMFDAFMEHVLDEHSERRRREGEAFVARDMVDVLMDLADDPSLEIKLGRVGVKAFTQDLIAGGTESSSVTVEWALSELFKNPAIFATATDELDRVVGRGRWVTEKDIPNLPYLNAIMKETMRMHPIVPLLIPRVARDDAAVAGYDIPKGARVLINVWTIGRDPELWDAAEEFMPERFIGSRIDVKGQDFELLPFGSGRRMCPGYNLGLKVMQLSLANLLHGFAWRLPEGMKEEELSMDEVFGLSTTRKYPLQVVVEPRLPVQLYSL >ORGLA09G0168000.1 pep chromosome:AGI1.1:9:17406037:17406662:-1 gene:ORGLA09G0168000 transcript:ORGLA09G0168000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGWRAHRRVAYDGEAKNLTVALSYGDAAAAQQIPCSGVLWFVSQWRKAGELADGDIFDEMGYDELADEEFFVESGPWRFRYSDARPHPTRAPAAATPSSSPARRSPPHLPPPLHSGRRHTFLVPSVSPPPETASAGAPGAHGGGG >ORGLA09G0167900.1 pep chromosome:AGI1.1:9:17393738:17394806:-1 gene:ORGLA09G0167900 transcript:ORGLA09G0167900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSSSNDAAADLTVLVDDFYFSVLSHGRNDDDDAADGDDGELFPISDEKYASELQLQEVIMSSSVAATTARSSSAPVIFIGECSSSHAASSSSRLTSIPAAAATTLVFCKICMDAVPPSAAHRASRGCDHAFCAACLAGYVGAKIQERIADVRCPEERCRGALDPELCQGILPREVFDRWGAALCEAMVLAAPRAYCPFKDWSAMMLDDAGEAVTESECPSCRRLFCAQCAVPWHAGVDCAAYKKLGKGDRGKEDLLLVEMAKGKKWKRCPKCKYFVEKSQGCLHITCRCGFEFCYGCGGQWGVTHANCSTA >ORGLA09G0167800.1 pep chromosome:AGI1.1:9:17376913:17379957:-1 gene:ORGLA09G0167800 transcript:ORGLA09G0167800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1QRJ9] MSSSHTVTVSMDVEAGQKNKDKKGISQDLILAYKTLGVVFGGLVTSPLYVYPSMNLTNPTEEDYLGIYSIMFWTLTLIGVVKYICIALNADDHGEGGTFAMYSLLCQHANIGILPSKKIYTEEENLISNQPVVAGRPGRLRRFIESSIIARRLLLLTAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKPAVEGLSAAILVGLFLLQKYGTSKVSFMFSPIMAAWTFATPVIGVYSIWRYYPGIFKAMSPHYIVRFFMTNQTRGWQLLGGTVLCITGAEAMFADLGHFSKRSIQIAFMSSIYPSLVLTYAGQTAYLINNVDDFSDGFYKFVPRPVYWPMFIIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSKDKEGEVYSPETNYMLMLLCVGVILGFGDGKDIGNAFGVVVILVMLITTILLTLVMLIIWGTHVVLVALYLVPFLLLEATYVSAVCTKILRGGWVPFAVSVALAVVMFGWYYGRQRKTEYEAANKVTLERLGELLSGPGVRRVPGLCFFYSNRQDGGWLTPVLAHYIRNMRSLHEVTVFLTLRYLLVAKVDGKDRVQAVRRLGPAGVYGCTIQYGYADAIDFEEDDIAGQVVGALRERVVDGEEEGERVEAARAAGVVHVRGKMRFHVGKDTRLFDRVLLGFYELLHGACRSALPALGIPLQQRVEIGMLYKA >ORGLA09G0167700.1 pep chromosome:AGI1.1:9:17373815:17375161:1 gene:ORGLA09G0167700 transcript:ORGLA09G0167700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVLVLALVAATLLPASHCSVSGVGFQLKLRHVDAHGSYTKLELVTRAIRRSRARVAALQAVAAAAATVAPVVDPIIAARILVAASQGEYLMDLAIGTPPLRYTAMVDTGSDLIWTQCAPCVLCADQPTPYFRPARSATYRLVPCRSPLCAALPYPACFQRSVCVYQYYYGDEASTAGVLASETFTFGAANSSKVMVSDVAFGCGNINSGQLANSSGMVGLGRGPLSLVSQLGPSRFSYCLTSFLSPEPSRLNFGVFATLNGTNASSSGSPVQSTPLVVNAALPSLYFMSLKGISLGQKRLPIDPLVFAINDDGTGGVFIDSGTSLTWLQQDAYDAVRRELVSVLRPLPPTNDTEIGLETCFPWPPPPSVAVTVPDMELHFDGGANMTVPPENYMLIDGATGFLCLAMIRSGDATIIGNYQQQNMHILYDIANSLLSFVPAPCNIV >ORGLA09G0167600.1 pep chromosome:AGI1.1:9:17370641:17371349:1 gene:ORGLA09G0167600 transcript:ORGLA09G0167600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSVAATDSDTAQPVQYSTFFASRYVRDPLPRFRMPEQSIPREAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMDSVNKNYVDMDEYPVTTELQ >ORGLA09G0167500.1 pep chromosome:AGI1.1:9:17361791:17362546:-1 gene:ORGLA09G0167500 transcript:ORGLA09G0167500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVPIDLSNAKITSGFFVENTVILAEGELLSNGIFQVNTCGFPPLEDREASLSMLMGLDFFGGGVTAAEETVSSMILFFM >ORGLA09G0167400.1 pep chromosome:AGI1.1:9:17351036:17351311:-1 gene:ORGLA09G0167400 transcript:ORGLA09G0167400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDRKGDLSVDFSTINPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYRIIRGALDAVAVKNRQQGRSSAL >ORGLA09G0167300.1 pep chromosome:AGI1.1:9:17349003:17349637:-1 gene:ORGLA09G0167300 transcript:ORGLA09G0167300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVRNLDLERYMGRWYEIACFPSRFQPRDGTNTRATYTLAGDGAVKVLNETWTDGRRGHIEGTAYRADPASDEAKLKVKFYVPPFLPIFPVVGDYWVLHVDDAYSYALVGQPSLNYLWILCRQPHMDEEVYGQLVERAKEEGYDVSKLKKTAHPDPPPETEQSAGDRGVWWIKSLFGR >ORGLA09G0167200.1 pep chromosome:AGI1.1:9:17318996:17319313:1 gene:ORGLA09G0167200 transcript:ORGLA09G0167200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEPVRDTAPMEAMGAGHPRQHLSFLEILEAHGALALPRLTRYRLLQRGGHGRARRGDSAGNPPPSSLYMLSMVPVPRLCCSMYIYDAEAAGRNPCPVLSSPL >ORGLA09G0167100.1 pep chromosome:AGI1.1:9:17317517:17318171:1 gene:ORGLA09G0167100 transcript:ORGLA09G0167100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLGKLESFSGDANHFLAAHGLRALARWLPAAVGTAAAAAVLASTCGAAAAAATPRPLHVVDLGVSHGVQRQRMASGAGECVDEVEAVEGVAVAEEDEVAAGAGEEGGVARDRVGGEGCRDDELGERYTRRDAGAEEPGQRRRSGGMAGAEEEEEEEQVAPGLARGGEDSV >ORGLA09G0167000.1 pep chromosome:AGI1.1:9:17304515:17305789:-1 gene:ORGLA09G0167000 transcript:ORGLA09G0167000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVQPRQFGHLEPGSAPVCGAASSNGAKAYPPANGIPRRADSPVRGCGFPPLVSPPSRKAPSDGSDDEEEEQEDWRELYGSHLQLEVEPSVRDARDEGTADAWIERNPLLIRLTGKHPLNCEAPLARLMHHGFITPAALHFVRNHGAVPRGDWSTWTVEVTGLVKRPMRLTMDELVNGFPAVEVPVTLACSGNRRKEQNMVQQTVGFNFGAAAVSTSVWRGARLRDVLRRCGIMPSKGGALNVCFEGAEDLPGGGGSKYGTSITRQWALDPSRDIMLAYMQNGEPLLPDHGFPVRAIIPGCTGGRMVKWVKRIIVTTAESDNYYHYKDNRVFPSHVDAELANADAWWYKPEYIINELNVNSVITAPGHDEILPINGITTQRGYTMKGYAYS >ORGLA09G0166900.1 pep chromosome:AGI1.1:9:17298709:17303408:-1 gene:ORGLA09G0166900 transcript:ORGLA09G0166900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGDVSSGADLADGGHEREDVSVGLSEEVLSLTVAAGQGDISGGLELLSMTVATSREMSAAASPAAGSASSPPDSSRMAMDDSAEGFVASSASAWGXGGTRQGFLLLRVFPPSSLSSAATTEPXPLXPLVTMAVAATATGLGKEGHLRGVGSATTCVRGGGDDGDEEHTGHGGCPFKLTRSALKAPGTPHSWPPLLSVLYWLTLLVNSSESGAGGDDSPAASNDLMLYITNSYSLFISGDDDSVASLDEEYSSKARAHAQAAVEASQALEKEALDLESKRTKLTSGPSRLEALQAEKEALTADVEKFEAVVKSWTVKIQEKEESSVHLEKELEAKLMDQQRIAAENEELMKKVDAQVVNVRDVDRMQREIQSVERDNVKLENGNATLEEKGWELEAAVVGKLEEIEGLVEQCNQALRKLKPGIDFQYMLNTKASSPVELLGTSYKTIMKPALNSLADEARRISILKHDESVELEKQSQRNAKILSEKKNHISFCQTKTDEMVARLDSLDVEIGNHVSRCKADARLMKDELEKKDHHLSTVEKESEEFLKISEKKLEDAKRETDEEIQMCARELLKLIDSVTEYKEFMETSISGMRKDLYETVDDISSLASKAASTRQTSAQFVM >ORGLA09G0166800.1 pep chromosome:AGI1.1:9:17295631:17296557:1 gene:ORGLA09G0166800 transcript:ORGLA09G0166800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLGHFVPGLAFAILGLWHALNTVRAYKLKGASGFRAAAWFPFPSPVPGLKHLELYLLLSFSVLAIVDQLVDFPLLSFTIQPDALEHATMYLHLAVYASVALAADGVAASSSHHGGAQAQLGEVVAALAASVFGQELFLLRFHSADHAGLEGHYHWLLQLVVTASLVSTSATVVLPRSFAVAVVRSASVLFQGLWFIVMGFALWVPALVPRGCHGAEAGGGAMRSGVACPTDEAARRAVVMANLQFSWVLAGVWAVTAYLCLRVGGSRSMEYRQIQAPSGGDVGALAGDGDATQSQKRVFPVSDNV >ORGLA09G0166700.1 pep chromosome:AGI1.1:9:17290117:17290512:-1 gene:ORGLA09G0166700 transcript:ORGLA09G0166700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQQQQQQEGELVAKYRGVRRRPWGKFAAEIRDSSRHGVRVWLGTFDTAEEAARAYDRSAYSMRGANAVLNFPADAHIYARQLHNNNAAAGSSSSSSAAAAAARPPPIEFEYLDDHVLQEMLRDHTTNK >ORGLA09G0166600.1 pep chromosome:AGI1.1:9:17288075:17288837:-1 gene:ORGLA09G0166600 transcript:ORGLA09G0166600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1QRI7] MASYGDDGVELTELTLGPPGASARRARRGRKNGHPPPSSSMIQAAYFVKVSMDGTPYLRKVDVAAYGDYIELVEALNDMFYCSTIGLMDGYGEWEHAVVYEDGDGDWMLVGDVPWEMFVSSCKRMRVMRACEARGLSSNA >ORGLA09G0166500.1 pep chromosome:AGI1.1:9:17285038:17285460:1 gene:ORGLA09G0166500 transcript:ORGLA09G0166500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEHARARHEDSHQRSDMAAIVAATVDHDASRDNMAHRGRKTIDEMMISSSLAPSPPSFTAMDRLPHRVPPLSYGGRDCKLKFTLLHSCCYRVRRQPAPLLQPCSTTEGLPHRNNKRSQKWRKEEKERGRIEEXDYMFH >ORGLA09G0166400.1 pep chromosome:AGI1.1:9:17278034:17280521:-1 gene:ORGLA09G0166400 transcript:ORGLA09G0166400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42450) TAIR;Acc:AT2G42450] GGEEAPPPLPLPPHCPPPFLPHPLPAACARPLPRLPIQPQPNPKNTSSMGTASSGEEEEDDEGKAFPWRRWRPDVAWLPKALEPALQLYNQYKPFLTSAPTDNIPASTRTFSEILSDLQRSKVSIKDWSLTDLTIGLYLIYLSQASAKDAQAFKGLHISCNNKVQQLIYHLELARGCYKGNATGLARHSMLRKRNVLKFVKDSSILRPGYYIAIDPRTKLVILGIRGTHTVYDLVTDLIALSDKKVSPKGFSTHFGTYEAARWYLRHELGLIRKCLEKHKDYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDIISAVGYGTPPCVSREIAQSCASYVSTVVLQDDIIPRLSAASLARLRAEILKTDWVSVLEKEDWKHIVDIVTNAKLVVSSIQDVARKLADYAKIVTVSTSSDAIKDQDRPLSASEVLSPDSKEDVFVPEDLFLPGTLYYLKRDIEDINGVEDESYTLWRGDAGENFQRILLSGNLISDHKCESIYYALRDVLKTLPPQESG >ORGLA09G0166300.1 pep chromosome:AGI1.1:9:17276788:17277327:1 gene:ORGLA09G0166300 transcript:ORGLA09G0166300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:I1QRI4] MASKNPKVFFDILIGKARAGRVVMELFADTVPKTAENFRCLCTGEKGLGASGKPLHYKGSAFHRIIPNFMCQGGDFTRGNGTGGESIYGDRFADENFKLRHTGPGVLSMANAGPNTNGSQFFICTTRTTWLDGKHVVFGKVVDGYTVVEKMEQVGSGSGGTAERVLIEDCGQLADDHAN >ORGLA09G0166200.1 pep chromosome:AGI1.1:9:17274010:17274882:-1 gene:ORGLA09G0166200 transcript:ORGLA09G0166200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRYEVEVTVASARDLKNVNWRNGDLKPYAVVWIDDGAKCSTRVDLDNADNPTWDDKLTVPLPPSTRLDDAVLYLDVVHANATDGVKPLVGSARLPLRDVLADTGIGARASRSLRLKRPSGRPHGRLEVRVAVREPKRYYDPSPAYPAPYHQQSSRDPYAYGNTTTGGYGYAYGGAPPAPYSAAPPAGYPSAYGGAAPTQPAYGSAAPPQPAAVSYGAPPVDAKKKSKMGMGGGLAVGAAAGVLGGLALAGGASYLENKFEDRVAERVEEDRYGGGGGGYDDYGDDDY >ORGLA09G0166100.1 pep chromosome:AGI1.1:9:17272646:17273866:1 gene:ORGLA09G0166100 transcript:ORGLA09G0166100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1QRI2] MNKCRSSSSSSRALYALLLTSLILTVIVLYLPPPPDPLSQSQFEFDQLKLDDHPPPPQLHRRRTKRCAEHLRWAASLASQHNATLLLTVDRNQAAGCANFTTIQKAVDAVPDYAATRTLVAVDSGIYREKVVLWSNKTALTLHGRGNLNTTVAWNATSNSTGGSTVYSATFSVLAPAFVAYNITFQNTSPPPEPGDAGGQAVALRVAGDEAAFHWCGVYSAQDTLLDESGRHLFRGCYIEGSIDFIFGNARSLYVGCTISSVAMASATGNKEVTGSVTAQGRASAAERTGFAFVRCSVVGTGQVWLGRAWGPYATVVFAETYLGDVVAAEGWDDWGDPGRRQQVWFAEYACWGPGSATAATGRVSYARQLDQRQAAPFMDVSYIDANQWALPPSTPELYGSRYTPS >ORGLA09G0166000.1 pep chromosome:AGI1.1:9:17271273:17271641:-1 gene:ORGLA09G0166000 transcript:ORGLA09G0166000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEESTASAEAEGSGARGCARWREIASPWLPSPFLRCCAALPHADAGLLRRLNDLLDLLESELGLLDGVRGTHEHRARVPRRRPPDLLSRLARTISSPPDGRLAQREREEEEGRGGGRGVR >ORGLA09G0165900.1 pep chromosome:AGI1.1:9:17268539:17270360:-1 gene:ORGLA09G0165900 transcript:ORGLA09G0165900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLSQAEAGGGGRSKKSAAGELTTTSEKKKTTRRRKVAVVYYLCRSRQGGLEHPHLMEVEVEVEAEVGDGEEQVHVQLRLRDVTRRLDALRGKGMAAMYSWSCKRSYRGGYVWHDLSHPDDLLLPTGPHDYVLKASLLHLHHLIDPPPRHHPLITSTSSSAHHSLPPHAAAAAHVSLVSSSSTDANANIVVVGDDQCTSSCRTQPHSSSSSSSSSSSSASSSGSSSSHNNNSKEKEDEKQVVGEARRSAAAVVVASAATQTDDDSSFTVTGSIAAPSAQKQGAAGGRGSSSSSSRSLESLIMAEYSGFRSMLEDDYDEDDEEESAGGADNSSSRRRSCSMSIYRVKPANLLMRLIACGCGSSIPIPAAAAAAAKQQLQQVESLPLSPVLSPLSHLVNKQQLPHEQDRFSGGTISTSNANAAGKLKVADDNAPPLVQSQIECSNAPRPEDFVSSAAAAAGNTTNELAHSRPVVVAFRLDKHDDKVIKIEERLASGARVTISSSTVHPAAGGLACSSNYQLHRRRHSGGLA >ORGLA09G0165800.1 pep chromosome:AGI1.1:9:17260982:17264936:-1 gene:ORGLA09G0165800 transcript:ORGLA09G0165800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36840) TAIR;Acc:AT2G36840] MGIPNPSDEVVQVRHGDVAGEPTVVTISCPDKTGLGCDLCRLVLLFGLNIVKGDMSTDGRWCYIVLWVVARPGRAMAMRWDLLKDRLIQLCPVAAPFGLDNHHLAAAGLHHHDHDAPAPSPNIFLLKFFCYDRMGLLHDVTRVLCELELTIRRVKVSTTPDGRVLDLFFITDARELLHTKSRREETYDKLQSVLGDSLTSCEIESATEEMSSCLQASTLLPHSAVEEMFNVEVVEEQSRSCGGLSVAMDNSLSPAHTLIQIQCGDHKGLIYDIMRTLKDCNIQISYGRFYASQNGSCEVDLFAVQSDGKKIVDQHKQRALCCRLRTELHRPLRVALVNRGPDTELLVANPVEVSGKGRPLVFYDITLALKKLHKRIFLAEIGRHVVGDREWEVYRVHLGEGDHSSLRSKIVDGVTNMLMGWDDTPPS >ORGLA09G0165700.1 pep chromosome:AGI1.1:9:17259587:17260183:-1 gene:ORGLA09G0165700 transcript:ORGLA09G0165700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQKEAANKKKKEVAVLVKTKTKVVQETVQVQLTTTTAELELEPTVTVQVDGNKTGAAADETPPVVPLQSQETQDPNEPKAAAAKKKKRAGHGRKRSRRRRGGALEYGGYKRYVWRVLKQVHPDLGASAQTMDVLDMMMADMFERLADEASRLSKLSGRLTLTSREVQSAVRLVLPADLANHAISEGTKAISNYLS >ORGLA09G0165600.1 pep chromosome:AGI1.1:9:17258020:17259156:1 gene:ORGLA09G0165600 transcript:ORGLA09G0165600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILERAEEANIGEGSGSSEWELGVRQLCDSGIITLPARYVLPPADRPARYVTPPALLPVVDLAALRARDPCQLAALHAACRDYGFFQLLNHGVPPDAMLYAARRFFFDLPLPARKRYMSADIRAAVRYGTSFNQLNDAVLSWRDFLKLLIRDTRRLADVLPSWPDAPDDLRPAAAAYATACQRLFRELMEAALDALGIVRCRRQLLEECDAGSQMMMVNCFPACPEPELTLGMPPHSDYGLLTILLQDEVRGLEVSYGDGGGWAVVEPLPGAVVVNVGDHLEILSNGLYRSVLHRVRVNGRRARVSVASLHSLAAERVIGPAAELVDEQRGRPRRYMDTDMAAFLAYLASAEGNHKSFLHSRRINTISSSGLTQPSN >ORGLA09G0165500.1 pep chromosome:AGI1.1:9:17246031:17249398:1 gene:ORGLA09G0165500 transcript:ORGLA09G0165500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEDTTRSPPPSPPAVFSMPSSSSESTESSDSEVSAWDPQVLRAHAECLESEELAALSVNRTLLPILDNLLLQVYTMLRPKPLDYEQRTTLVHVFNNIANQIFGNGIFCGVLPVVTARVPIVNVIDRGTGIECDITVENKDGMTRSMIFKFISSLDPRFQILSYLVKFWAKIHDVNSPRERTLSSMSIVSLVAFHLQTRDPPILPPLSALLKDGSDFESVERNTLAFKGFGRTNKETVAELFVSLISKLLSAESLWEHGLCASNFEASWISKTWKKGIGNLNVSWEKGDAEDLQMSSRLCFELVRFHEG >ORGLA09G0165400.1 pep chromosome:AGI1.1:9:17240696:17244176:1 gene:ORGLA09G0165400 transcript:ORGLA09G0165400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPPPPRLMTRRQSDSDWDWDGSSREGSPDPASASAVRRAARRWPDDPGPAQISLHMYMASRDRDRDDDPPPDHARLRIRGRQARLELVMRMAADRHAELHRLSHHRAVSDFPHRNRIHALLRGRFLRNGDDDRRPPSTAATELGQLRQRHSVSGLREEFRFRLENVVRGHAVSQSDDSSAQNVELSINGRTESSPSSSEYNLERHQRTRLNIGLQQIEGTATVSESGSNTPSIAEGLYEPHSQAESWQDDLEQERRDWEQFSHAITGEESEINWHENTYNGSSHEGTEVEGGQDAHIPESHDELASDNLPPQSHGEQQDNSHLPEENEELHDSDLQQSRGEWNEGSNPFIPTEVHNEWHSDDHFQGVNEEWHDDDESNDTADNWHDDNSDQPIDHDSALIRRANTFVPADDDNVYSTELRELLSRRSVSNLLHSAFRENLDRLIRSYVERQGRAPLSWDLEGAPPAPDSPEQSQEQHRDEEEQELHDNVVRPPLVIPPPPIPPRQPLWHSELHRNNWIRQNIHRSDIEWEAINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGEQGESKETIDDGSKWIHVRKGTCCICCDTPIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIIEVIRAYFIM >ORGLA09G0165300.1 pep chromosome:AGI1.1:9:17234570:17238061:1 gene:ORGLA09G0165300 transcript:ORGLA09G0165300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00370) TAIR;Acc:AT4G00370] MAMGAVLSSRTFASPLSSSGKQHPPQNNKCTCSSPPTRDKFSRLTTRTTIFQVSNYSRSTSMERFQLSARFHQPVVDSSTNYLTRWFYNANLKRRRIECFLTSDPINTGWLKPRRWDNFTSLDTACVQPDYKIPVRTRADCKAEQYEITGSPLSPSDVPAEAVLIGDTNEISPWWQQFPKRWTVVLLCFFSFLLCNMDRVNMSIAILPMSSEFGWSPATVGLIQSSFFWGYLLTQILGGIWADRFGGKVVLGFGVVWWSIATVLTPLAAKIGLPFLLVMRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLISRFGWPSVFYAFGSLGSVWFALWQRKAHSSPSEDPELSKAEKRYILGGSTLKEPVTSIPWKLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAIFANIGGWIADTLVGRGVSITNVRKIMQSIGFLGPALFLTLLSKVQTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFQVAVVLYIVGTVVWNVFSTGEKVLE >ORGLA09G0165200.1 pep chromosome:AGI1.1:9:17229926:17233706:1 gene:ORGLA09G0165200 transcript:ORGLA09G0165200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSWLFRRSGPSGFSWASTADQVTAGLSAAGLTAIVTGASSGIGAETARVLAIRGAHVVMAVRNLAAAQPVRDAILADAPAASLDLMELDLASMDSVRAFASDFAAKGLPLNILINNAGVMATPFSLSKDGIELQFATNHVGHFLLTHLLLETMKKTSRESNVEGRIVNVSSEGHRFAYREGIRFAKINDESEYNSIGAYGQSKLANILHANELARRFKDEGVNITANSLHPGSIITNLLRHHSILDVLHRTLGKLVLKNAQQGAATTCYVALHPQVKGVSGKYFSDSNVNEASEKGNDMELAKRLWEYSIELIT >ORGLA09G0165100.1 pep chromosome:AGI1.1:9:17226157:17228958:1 gene:ORGLA09G0165100 transcript:ORGLA09G0165100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein [Source:Projected from Arabidopsis thaliana (AT4G25610) TAIR;Acc:AT4G25610] MPNVRSSPVADLPGWPLFSPPKLQLQKCTKCPREFCSSINYRRHTRVHRRTLQIEKDFLKNRDNIAAFWDKLTLDQAKTILSLADVDIEGVTGPSILAALSTWMCKPGYASLPLPYARAGNQLLDLIETTASRLPVSSNELFSMLDEASENTFLSTNPTACIQKFIFNGEADKVAPELKNAVACTSYMLEQKLVEAWSADKAAEALRCQKLLVEEEEAAQKRQAELIERKRMKKLRQKEQRLKDLKDEDVTDRFPGSVDGTTDSSGILSLKEATSDPGLYEQEDAQLPTPVASEDNSSFADLPVEHDIQDPGHEVNPSVTLNQQVFSRHRVGRTENFAQNSFASGGSAIGSKHPASVRHSHYRGANAGAVSNRNKTWTWKVRTEIEEHSPKDELNIDDGQEIVLNKKSRVLIGSISVAIEDGNECLEDNQYSKEYPTPASQLNIGNHPVTKVMQPFNHGEEGNGYNAHNDVEVSITPTAQDHSSSGVMTDGNNCSSCCNAGLAEGGGLRGAIFSSKEAAAFLSQRWKEAINADHVKLVLCPEG >ORGLA09G0165000.1 pep chromosome:AGI1.1:9:17221742:17225345:1 gene:ORGLA09G0165000 transcript:ORGLA09G0165000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEIHIAVGKNFRKEKANILWAAARFPRATIVLVHVHWPSKWMPFMGGKVLYKFADEKEKEMHRAKETDAMVKMLSQYKNLCGSRKVRAHYLSHDDVLAGVVNLIKKLKIKRIIIGSRSMSKEAMLRKCCQVWVVINGKHMCTSNDHLEHTGSIGYGGSAESLASVHELSDDSNGYTTPPSDFADEIMYDDGVIQMDGADELATCDVQETETEDEESIETGELNSYEEEGEHSSGETAHRTDEIQSFRSTTERAEELMEEIDKLQRKLKELQEEDDRSILSPRQKAAAASLKKEKRLSTGRYPELQLPQHISRFSMSMISKATGNFCSGNLIGEGGYGPVYKGKLGGVAVAIKLLRPHGRQGFPEYKQEVVVLSRMEHPHIVRLMGVCPESCGLVYEHLPNGTLLDTLSNSKSLSWKDRVRILGEQRSALAYLHSCRPHAIIHADLKLTNILLDAANSSRLGDFGTARAVHVKPLQDQADTICRRTNPMGTTGYMDPVFFVTGELTAESDVYAFGVVVLQVLTGLLDLNIADQVREALKMDAVHSVLDASAGSWPEVQAEKLLRLALRCCSLERKRRPAITCDAEWRSLDIMLRMANSPSKSRKWTSISIHAT >ORGLA09G0164900.1 pep chromosome:AGI1.1:9:17215492:17219896:1 gene:ORGLA09G0164900 transcript:ORGLA09G0164900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSPSPYPGDSPEPSFSGEKVYVAVGEESSRGTLLWALHKFPQGTAFVLLHVYSPPNFLPILGAKIPAGQLREQELIAHKKMNLQRISDNLDQYQLICAQQKVQAEKLVVESDDVAYGLVDVISEHNVSMLVMGAADDKHYTKKAAPFGHDVMQDCRQSATSAQCSVERSSSLSEIWCVSNTWLHKLNLEPHIETTSSDRYSDKEKEDTKERGESDNELQHIPMQLERVRQEAYEEKCRREKAEQELFEALQKVQVSENLYFGELKQKNEIEVKLATTMEEVDRLARTADELAAKFQEQCEKILVLEKRSAHSDRIIKDLMLQRDKAVREAEAIRVKNGESTAIADRTIPITELSISEIKEATSNFDHSSKVGESVYGSVYKGLLRQTNVAVKKLNPESTESLSQFSHEVEILSRVRHPNLVTLIGACKDARALVYEYMPNGSLDDRLACKDNSKPLSWQLRTRIASNICSALIFLHSNKPHSIVHSDLKASNILLDGNNVAKLSGFGVCRMLTDEFKATTTLYRHTHPKGTFVYIDPEYAISGDLTPLSDVYSFGIILLRLLTGRSGFGLLKDVQRAVAKGCLQAILDSSAGDWPLMHAEQLSRVGLRCCEIRRKNRPDLQTEVWTVLEPMLRSASSMLCSLSFKSVSEDFGNVPSYFICPIQQDVMRDPLIAADGFTYEAEAIREWFDSGHYTSPMTNLDLPHRDLLPNHALRSAIQEWLQSNAD >ORGLA09G0164800.1 pep chromosome:AGI1.1:9:17210187:17210570:-1 gene:ORGLA09G0164800 transcript:ORGLA09G0164800.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRNLEESHEQIKFYFLKVRQGDPVSPMIFILAMDSLNSLFVKAEELGLLQPLGIPHRVSLYADDVVAFVKPTVGELQ >ORGLA09G0164700.1 pep chromosome:AGI1.1:9:17204621:17209204:1 gene:ORGLA09G0164700 transcript:ORGLA09G0164700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASARSSLPSSSSSPLPPLSPQPVVPAGVERMLVRAGGGTRSLREIDEEEDDDDDDGGKTYVSVGKDLKDGKANIQWAARKLQPQQGDVNKLLVLLHVHQPADRIMSGLCKVPAKQLEEKELRAYRTIEKDDMNKLLEQYLSYCRAFPKVQAEKLVIEKNSVANGIVELIDQHHITKLVMGTSSFSVKRQVPKSKVAAIVHQQAKPYCQILYICKEALACTREASQFADKGDSPRSSSGSSLSDKSEFPPRSVSLPSWYSGFLGSPDQQSLPRRSNSISHPFPFSRQLENGVENISPIRPNSVDVAPKGCSPNSSHQSKGSSPTLTDLDTVDGLSVPVSSSSSEEHQHFMVEANMQNEMFEQWQQVRNELERSRKEASEGRQKAEKELFEASKMFRARENSLCKEKIAVEERLTREKVSLEKEHLQIYNELQKANEQIMELERKLMHANSLMEELQTVQGELQRQKDNAVKEAEKMSQINCNNVSCSTGAVALTEFTYTEIKEATNDFDESKMIGHGGCGSVYKGFLRHTTVAIKKFNREGITGEKEFDDEVEILGRMRHPNLVTLIGVCREAKALVYEFLPNGSLEDRLQCKHQTDPLPWRMRIKIAADICTALIFLHSNKPKGIAHGDLKPDNILLGDNFVGKLGDFGISRPLNLTNTTITPYHRTNQIKGTLGYMDPGYIASGELTAQYDVYSFGVVLLRLLTGKSPLGLPSEVEAALNNEMLQQVVDASAGEWPPEYSKKLAILALRCCRYDRKERPDLAKEAWGVLQAMVNYPDNKCKIPSFFICPMTQEIMKDPHIAADGFTYEGEAIKDWLQRGHKTSPMTYLSFTHYELIPNNALRFAIQEWQMKQQL >ORGLA09G0164600.1 pep chromosome:AGI1.1:9:17201673:17202029:-1 gene:ORGLA09G0164600 transcript:ORGLA09G0164600.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFPLFSLSLLCKLTGARREGSAVTAAGGGGVRARRLLRFLRLLVPLGRRGRARRRTRRPCQRRRRRVRAVGRGGGVPGARGEVPAVVARPSGAAARQHVRGWLVGFFSVCSFLVVESE >ORGLA09G0164500.1 pep chromosome:AGI1.1:9:17201193:17201423:-1 gene:ORGLA09G0164500 transcript:ORGLA09G0164500.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGEESTERERRRQEKVGEGEAVEKSRNKNPITIEIGFVFHPPLRRCVFLGVLLCIVRFFFVWMESWKWNKDLHLYHL >ORGLA09G0164400.1 pep chromosome:AGI1.1:9:17200474:17201085:1 gene:ORGLA09G0164400 transcript:ORGLA09G0164400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVHVTLSLFPLLSLPRLSLYSASWPGRCGRAAPRRRPVEAAFSASSSRSADVGALAGEHVGPISGAGDAYARWDAAVGCRAIDPGCGPGRPCILTVPAAIAIAVLRARPLVLPDQEACQDHAGVDSDGQTAQSMNLQSSQCSGAVVSTAMADDGIAAVMRRSAARFIIQDITTQTMHVLLLQIRFLRQDKERNCSCRRREK >ORGLA09G0164300.1 pep chromosome:AGI1.1:9:17195465:17195920:-1 gene:ORGLA09G0164300 transcript:ORGLA09G0164300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VILIEFTMDKDWMKTSRSSAEYNIGVDKFIEFALSNLAHNNRIICPCKNCGNRYWLGEHKVREHLICDGFLAGYASWIHHGGSMSTSKPSVASSSHHEQNDDMDQMLLEGLGMYDSRTLGTDDGAEDDLDVDAEAYYKLVNDGSQELYPGCK >ORGLA09G0164200.1 pep chromosome:AGI1.1:9:17192465:17193545:1 gene:ORGLA09G0164200 transcript:ORGLA09G0164200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASGSGEPTANGEKKPDEQHFDPSRMIGIIKRKALIKELAAAYHAECVACCKELLQLQKKWEEEQYVEFKMSDEAPRTLTVKSSKRRKR >ORGLA09G0164100.1 pep chromosome:AGI1.1:9:17185383:17192044:-1 gene:ORGLA09G0164100 transcript:ORGLA09G0164100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 100 [Source:Projected from Arabidopsis thaliana (AT5G23880) TAIR;Acc:AT5G23880] MGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDLCDPSHLQPLAKVAPTIDAVLLSHADTMHLGALPYAMKHLGLSAPVYATEPVFRLGILTLYDYFISRRQVSDFDLFTLDDIDAAFQNVVRLKYSQNHLLNDKGEGIVIAPHVAGHDLGGTVWKITKDGEDVVYAVDFNHRKERHLNGTALGSFVRPAVLITDAYNALNNHVYKRQQDQDFIDALVKVLTGGGSVLLPIDTAGRVLEILLILEQYWAQQHLIYPIYFLTNVSTSTVDYVKSFLEWMNDSISKSFEHTRDNAFLLKCVTQIINKDELEKLGDAPKVVLASMASLEVGFSHDIFVDMANEAKNLVLFTEKGQFGTLARMLQVDPPPKAVKVTMSKRIPLVGDELKAYEEEQERIKKEEALKASLNKEEEKKASLGSNAKASDPMVIDASTSRKPSNAGSKFGGNVDILIDGFVPPSSSVAPMFPFFENTSEWDDFGEVINPEDYLMKQEEMDNTLMPGAGDGMDSMLDEGSARLLLDSTPSKVISNEMTVQVKCSLAYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCSKNSDLHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVISKKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGERERERACPDRLRDFLQVEFAGGALRCGEYITLRKIGDAGQKGSTGSQQIVIEGPLCEDYYKIRELLYSQFYLL >ORGLA09G0164000.1 pep chromosome:AGI1.1:9:17181756:17184522:-1 gene:ORGLA09G0164000 transcript:ORGLA09G0164000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACGLPLLECVYCLGCARWAWKRCLHSGDRDSATWGLASADDFAPVPRLCRLIMANYDHHPCHPLDARCVVRRRTYADTRGRVTPYLLYLDHPNSDIVLALRGLNLARETDYALLLDNRLGKRRFHGGYVHNGLLRAAAWVLDAECDLLRDLLADHPDYTLTFTGHSLGAGIAAMLTVVVLLNLDKLGTNLHRSRIRCYAMAPARCMSLNLAIRYADVINSVVLQDDFLPRTATPLEDIFKSILCLPCLLCIRCLRDTCIPEDAMLKDPRRLYAPGRIYHIVERKMFRCGRYPPVVKTAVPVDGRFEHIVLSCNATMDHAIIWIEREAQKALDLMLEREKTMEVPSEQRMERNESLQREHVEEHKAALRRAVTLSVPDARSPSAYGTFGEQPERSESFPPVSAMARQRMSWNDLIERVFDRDESGHIVLRSSPSP >ORGLA09G0163900.1 pep chromosome:AGI1.1:9:17178174:17180854:1 gene:ORGLA09G0163900 transcript:ORGLA09G0163900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:I1QRG0] MISSPALFFLLQPGRPSIAAPPLPSSPAPPLRGISISPVAARPISSLRSSSIRIISPHALPDPLLSLPSMDPQEVPDLLPPRPPERDFAGTPYVPVYVMLPLGVVNGNGEVVDADVLVGQLRVLKAAGVDGVMVDCWWGNVEAHRPQEYNWTGYKRLFHMIRELKLKLQVVMSFHECGGNVGDDVSIPLPHWVTEIGRSNPDIYFTDRAGRRNTECLSWGIDKERVLQGRTGVEVYFDYMRSFRVEFDEYFEDGIISEIEIGLGACGELRYPSYPAKHGWKYPGIGEFQCYDRYLQKSLRRAAEARGHTIWARAPDSAGHYNSEPNLTGFFSDGGDYDSYYGRFFLNWYSQVLVDHADRVLMLARLAFEGSDIAVKVSGVHWWYKTASHAAELTAGFYNPCNRDGYASIAAVLKKHGAALNFTCVELRTMDQHEVFPEAFADPEGLVWQVLNAAWDAGIPVASENALPCYDRDGFNKILENAKPLNDPDGRHLLGFTYLRLTKVLFERANFVEFERFVKRMHGEAVLDLQV >ORGLA09G0163800.1 pep chromosome:AGI1.1:9:17176933:17177547:1 gene:ORGLA09G0163800 transcript:ORGLA09G0163800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSSSSRRWRRMGVKEEEEEYYLEEEDEGEEETRPPLQQQQQVGLGRRGRAREEKERTKLRERQRRAITARILAGLRRHGNYNLRVRADINEVIAALAREAGWVVLPDGTTFPSSSSSVSQPPPTQQQLQAAEPELSELEAKSHPVL >ORGLA09G0163700.1 pep chromosome:AGI1.1:9:17173113:17174264:1 gene:ORGLA09G0163700 transcript:ORGLA09G0163700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVDTVSASTSLVAHHLFDQRSKANHHLRRTLHVVSCRPLPTHFAGRRLVARAPRQHQPRFADWTVKALAMGVTKEASPHREYRGIPGEGADMGDIGITNPKTTWPPRNRADDPKLHNPLLRLERMGCGWLGVIFEWEGVIVEDDAELERQAWFTLAQEEGKSPPPAFVLKRIEGMKSEQAISEVLCWSRDPSELRRLSSRKEEIRCNLRGTAFYQMRNGSREFMSTLANYKIPLAVATTRPRKVIEEAIDAVGVRSFFDAVVAAEDVYRGKPDPEMFLYAAQLLSFIPERCIVFGNSNSAVEAAHDARMKCVAVASKHPIYELNAADLVVKQLDELSVVDLKNLADIESPEFGMEPEPEMEEEEDENSSPSTSVGVDDLFW >ORGLA09G0163600.1 pep chromosome:AGI1.1:9:17168022:17169919:1 gene:ORGLA09G0163600 transcript:ORGLA09G0163600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARAPSRAAARFVQRRLFSSGGKVLGEEEKAAENVYIKKMEHEKLEKLAHKGPNPGEQASTAGAAANAVKTGSGSTESRSAGVSTDKNRNYAVLAGTVAALSGLGWYLLSKPKKSEDIVD >ORGLA09G0163500.1 pep chromosome:AGI1.1:9:17166493:17167604:-1 gene:ORGLA09G0163500 transcript:ORGLA09G0163500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMDRGLIRMVSVHCSQQIYTRATNT >ORGLA09G0163400.1 pep chromosome:AGI1.1:9:17164766:17165410:-1 gene:ORGLA09G0163400 transcript:ORGLA09G0163400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILLLVVLAVVSAPVALVMAGDPDILTDYVIPAGSNAENITGDFFTFTGFRNPLSMNMSMPMPNANFTVTKATMAEFPALNGQSVSYAVLMYPPATLNPPHTHPRSAELLLLVDGALSVGFVDTTNKLYTQDLAAGDMFVFPKGMVHFQFNSGNQPAMALSAFGSAAAGLVSVPVTVFGTNIDDAVLAKSFKTDVPTIQKLKAGLTPPKKA >ORGLA09G0163300.1 pep chromosome:AGI1.1:9:17162332:17162976:-1 gene:ORGLA09G0163300 transcript:ORGLA09G0163300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSYYSLLLLLLAVWAPALTLVMAGDPDILTDYVIPANGNPMNITGDFFAFTGFRKVFNTSSAPEPNSFTVTKATMAEFPALNGQSVSYATLVFPPSTVNPPHTHPRSAELLLVVDGALSVGFIDTTNKLYTQDLAAGDMFVFPKGMVHFQFNSGNQPAMALSAFGSAAPGVVPVPVTVFGTGIDDAVLAKSFKTDVPTILKLKANLTPPNKS >ORGLA09G0163200.1 pep chromosome:AGI1.1:9:17159501:17160253:-1 gene:ORGLA09G0163200 transcript:ORGLA09G0163200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMMMSSRSSVSLGVLLLLAVILSAGAADPDILTDFVVPSDTDPSGIDGAFFTYKNLVTGNSGDPAKLTVTKATHAEFPALLGQSVSYAALVFGAGTVNPPHIHPRASELLVVVQGPLLVGLVDAARNGTVYTQTLQTGDMFVFPKGMVHFQFNNGTDVVARAFSAFGSASPGTISLPAALFGSGIDDTILDKSMHTDQATVDQLKQDQAPPSPGPSPGSSSSAAAALLPSRWAITLLLCFAASYYFYF >ORGLA09G0163100.1 pep chromosome:AGI1.1:9:17156932:17158762:1 gene:ORGLA09G0163100 transcript:ORGLA09G0163100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >ORGLA09G0163000.1 pep chromosome:AGI1.1:9:17154630:17155985:-1 gene:ORGLA09G0163000 transcript:ORGLA09G0163000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETGEGGGSHSQPEKQPGQAAAAAASMAEAEVEELPKAIVRRLVKEKLAQVAAGGAEVIVNKDAMSAFAESARIFIHYLSATANDMCKESKRQTINADDVLKALDEMEFPEFVEPLNTSLQEFRNKNAARRSETTQKKAEKRRKIDKESLPQEQNDAADNGKTDED >ORGLA09G0162900.1 pep chromosome:AGI1.1:9:17152234:17153640:1 gene:ORGLA09G0162900 transcript:ORGLA09G0162900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPPPQLRAFRRDGDAPLFFAAGESSRATSRSRCGRNLVSVSYGGFARACCLFSAAGSRSRSKQDEARKAVENALGWKKTGLPKLGMRIERRQQRLPPSAGGGGWSGGGGWFRWFSSGGFWDAAKQTLLTIVGIIAAFFLIANFNVLVAAIVNSLLAVLRQIRRALSFVAQCILQGVQSSAPRRSSPSLDTGNQAAVVVKDRVGKSAKERVVRKWGNGV >ORGLA09G0162800.1 pep chromosome:AGI1.1:9:17146877:17149949:1 gene:ORGLA09G0162800 transcript:ORGLA09G0162800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPPPPDSWETADLDEPMSRLHLPSARRVSSSPDLADADADDPQHPSSGRPDSVDQLDQFLREALEKPRERLSVLRMEQDILKFIHDPTRTEFDFHGLPTSYLRLAAHRLAQHYFLQSIALQDNGLPDGSGSRIILRKTSAECRLPAVRLSDIQVNLPQDESNSIAKVAIKQRPQKHYHNNSSSGAHSSRANLQKSVEERKEEYNKARARIFNNNSSSGNAADGRPGDEVTLPNTLHRSSSLELSSGNRLGEGPEITLERSLTTASSSSRANRNKTEKDPAFNRNRQNNRVAIFRDRESDRKDPDYDRSYDRYMQRFDPGFGFNGGSYTIQPLYAPAVNYNTEFPQLGSHGSPVPVEQQPQSIAQHIPGPWSPAQSPNAIGYRPPDGSMPPPYSPGHAGAPIRSSVFMHTPQQFAMPSRPGVTYVHPQEPMRPFAQTHQQQPEASLRLARPR >ORGLA09G0162700.1 pep chromosome:AGI1.1:9:17145497:17146565:1 gene:ORGLA09G0162700 transcript:ORGLA09G0162700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGVGSKGELDNHELNLEDLEDAKMQQSRRPKISRPFAPSARPQPKAITGLGLTFTIRDAVLRLNCPWTERGDIENV >ORGLA09G0162600.1 pep chromosome:AGI1.1:9:17136966:17141108:1 gene:ORGLA09G0162600 transcript:ORGLA09G0162600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:I1QRE7] MVGTMDEARIIEYFKNKSILITGSTGFLGKILVEKILRVQPDVRKIYLPVRAVDAATAKQRMQTEVIGKELFGLLKEQHGKGFQSFIDEKIVPLAADMMHQNLGLEESTLQELAKDLNIIVNGAATTNFYERYDVALGVNVMGVKYLCQLAKKCANLEVFLHVSTAYVCGERSGVVQERALREGETLREGTYLDIETELRLVGEQRQQLEDAGDAKAERKAMKDLGLARARHFGWPNTYVFTKAMGEMMLQEQLVAGAGRRHGIPVVIARPSIITSVHRDPLPGWIEGTRTIDAIIIGYAKQSLSCFLADLDLIMDVVPGDLVVNAMMAAMVAHSRGSSSEMAVYHVTSSMRHPAAYAVLYRTGWRYFLENPRVGKDGVAVRTRPVYFFRTIASFRAFMAVAYALPLQLLRLLSLLCFGLLFARRYADLSRKYSFVMQLVDLYGPFALFKACFDDLNMEKLRLSMATPPSVFNLDPKNIDWDDYFYRIHIPGVMKYVLNK >ORGLA09G0162500.1 pep chromosome:AGI1.1:9:17130043:17132453:1 gene:ORGLA09G0162500 transcript:ORGLA09G0162500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRDQLTALLSSMFSQGLVDEQFQQLQMLQDEGGTPGFVSEVVTLFCDDADRIINEIATLLEQPVVNFDKVDAYVHQLKGSSASVGAQKVKFTCMQFRQFCQDKSRDGCLMALAVVRNDFYDLRNKFQTMLQLEQQIQAYDPKQQ >ORGLA09G0162400.1 pep chromosome:AGI1.1:9:17125102:17127752:1 gene:ORGLA09G0162400 transcript:ORGLA09G0162400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27680) TAIR;Acc:AT2G27680] MAAAAGLSPRLVVTPGRRSHSHGRRRMCCAAAAAAQGERKTTVRSKEGDAVELCRVVNGMWQVSGPSWGRYETPAAVDAMLRYADAGLSTFDMADHYGPAEDLYGLFINRVRRERPPELLEEIKGLTKWVPPPVKMTRSYVEDNINRSRKRMDVSALDMLQFHWWDYANPGYLDALKHITDLKEEGKIKTVALTNFDTDRLQIILENGIPIVSNQVQHSIVDMRPQRRMAELCQLTGVKLITYGTVMGGLLSEKFLDTNVSIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQALLQTLKKVSLKHGVSISTVAVRYILNQTSVAGSMVGVRLGLSEHIKDTNAIFSLELDEDDMNSITEASNKGRNLMDIIGDCGDEYRA >ORGLA09G0162300.1 pep chromosome:AGI1.1:9:17121323:17124912:1 gene:ORGLA09G0162300 transcript:ORGLA09G0162300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKHFKYVILGGGVAAGYAAREFSKQGVKPGELAIISKEAVLLLTGTIPVLVVAPYERPALSKGYLFPQNAARLPGFHVCVGSGGERLLPEWYSEKGIELILSTEIVKADLASKTLTSAVGATFTYEILIIATGSSVIKLSDFGTQGADSNNILYLREVDDADKLVAAIQAKKGGKAVIVGGGYIGLELSAALKINDFDVTMVFPEPWCMPRLFTADIAAFYESYYTNKGVKIVKGTVAVGFDADANGDVTAVNLKNGNVLEADIVVVGVGGRPLTTLFKGQVAEEKGGIKTNAFFETSVPGVYAVGDVATFPMKMYNELRRVEHVDHARKSAEQAVKAIKGKESGESVAEYDYLPYFYSRSFDLGWQFYGDNVGDTILFGDSDPTSAKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKTQPPVANIEELKKEGLQFASKI >ORGLA09G0162200.1 pep chromosome:AGI1.1:9:17105938:17108670:-1 gene:ORGLA09G0162200 transcript:ORGLA09G0162200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSCFGDGAVSLAASAAAAGAGAALDRSLQAATATVYKAALSSRKEILVRVMWTRTVAGAAPGGATGLAVAVDEASRSSPSPAAGSASAATPRRSAVALASSPQFLHKKRGTRSFVTEAGTVVAIYWDITDAKYPAAGSSSPEPTRDYYLAVVADGELAVLLGGGEAARELARRFAAAPRRALLSRREQLRAAPASPAAMAAAAVAHSTRCRFRADGAEHEVAVVCRGEEWGTRDGEVAVSIDGKKVVEARRVKWNFRGNRTAVLGDGAVVEVMWDVHDWWFGGGGGGGAQFMVKARDGDGDGDGGRVWMDEAATIADDIPPSLTRITHPGPMITFTNHEVAILHYIPEAQDINEPLISNKQHYIVTALSVNRFRPPGEYELHLYHSHTQQWSTTHFNLGATMPPLPGLSYFHHRTTNVINLTHQSPGLMAFVDLWRGLLLINVLQPAATPRYIPLPPPPKQGKVISGADPKDVRDIAVDLQGHINFVELEVDALRHKTDITGYISKDWTVAKWSCCINYESDDCCWHMDYKLNASDISHLMPPQLPNYCHPTKPSPTLERLHVGHPLLSLDNNGDDVYFMAKVDHRDYKAWVIHVDMRKRLVHEPTVFEGAPRTLGISHTYIQTAISNYLQPALGCK >ORGLA09G0162100.1 pep chromosome:AGI1.1:9:17094120:17104360:-1 gene:ORGLA09G0162100 transcript:ORGLA09G0162100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAVAGRRTTSYSLLSQFPDDAAVLQRQSSGSSYGAGSSLSASSDFPFHLPSAAAPAAGAPGGSPCKSWAQQAEETYQLQLALALRLCADAASAADPAFLDPGHSATATTGPFPLPPPTPSADSLSHRFWVNGSLSYSNTIPDGFYLIHGMDPFVWSLCTDLLEENRIPSIESLKSVRPDDSSIQAILIDRRTDFDLGMLENYASSFLSSSADMKDVINQLAKLVSSRMGGTTSNEESFLPRWKECSDAIKSSTGSIVLHLGKLPIGFCKHRSLLFKMLADKVNVPCRVVKGCKYCKSDDATSCLVRFGLEREYLVDLIGDPGQLSDPDSFVNGPYSLSVPSPLRPPKFRSLEITSNFSSVAKQYFSDCHSLNLLFNEASTGATSNAAVAMDQPYSTRKHDTRDDIMSSWVPVKGQAAVSSDAILPEAPREVLPLITSSNLKADKKKEFKLIEGNQYLRSTVSDLSLAVDDLIIPWNELILKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPDRFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRGSLYKLLHRSGAKEVLDERRRLNMAFDVAKGMNYLHKRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTMQQPWCNLNPAQVVAAVGFKGRRLDIPKDLNPQVAALIESCWAKIILSGCRLLAKLSSVQNGLFVATEMRVRARSGRRWIGTSWTNDAYVAFISNSNHHGNATTATSKTSTGHPIEVSFWTAPPPRVSHMCVHCPGLDPYKFATEPTIMATEADLVLVRVTIGPRGNCFNTKCSDLFMYQATTPTPSLRLIPHPAAICSFFDREVGLLRCCGSVAYIVAALCNTFQSGYLEYALHIYRAGADAWTSHPLSLHGLVDPTSFTHVNTNTITVGGEAGTMAWVDLHRAILFCDLLPCPHTPLLLRYFPLPPPLRLSAHTKLTGCPRFSRDIALVQGRFNFTQMRIHVKPGSITNGTYISQGWTLATWSAPATNPWKQGWRQDCNLSASDLSVDANTMNFQLLPKLSDHQQGTPQQTLERLHVGHPTLSLQSNDIVCLMAKVDQWDDHAWVLAVDMKNRRLKDVAQFGAERTLGISLAYIISSKISEYLRTAPGIKGNLKRQGVVFTVHSHKKQTRMVHLSTPSWKGGDQQNSGTSMDDGEDNMDLDLDMFFG >ORGLA09G0162000.1 pep chromosome:AGI1.1:9:17091226:17092539:-1 gene:ORGLA09G0162000 transcript:ORGLA09G0162000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWRRERXLVEEDDHGGIPAAAGPRRRGCGGDYTLGPPRHPFLHGQPPQRHHRHVRDQERPPHRGILVDGASAARLLHMRPLPWIGPQEVRHRAHHHRRGGRPPPHPRRHGAPEHPLQEQLPXLLRLPGHHPNPETHLAPAPSHRQVHRPRAWPPALLRLSXLHCCCTLXHLRIRILXVRPPHLPLRRXCLTCHPISLHGLVDPSFAHVNTKTITIGGTAGTMGWVDLYRGILFCDLLRDSTKFRYFPLPPPLSPNNSLTSSPRPHRDIALVQGRIKFIETRLHVRPGSIINGTFISQGWTAATWTAPHANPWKHGWRQDCKITASDISVDDSKMNFELLPKLFDDQGTPQPTLERLHVGHPTLSLHSDDIVCFMAKVDQWDDDAWVLAVDMKNKRLKDVAEFGAERTLGIGYAYMSSNISDYLRKAPGNYXLLVLLVH >ORGLA09G0161900.1 pep chromosome:AGI1.1:9:17087102:17089250:-1 gene:ORGLA09G0161900 transcript:ORGLA09G0161900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGDESDGWSDWEEKTIMDESLRPPTHVATAAMTTPPWVLLECDAYIATNHGNATTATSRTRSGHPIEPTIIATEADLVLLRLALGPRDDSFKTSRQDYFIYHASSTAPKLSLIPPPVLLDNRFIDKQVGILRCLDDGTYIVAALCSAFKRGDLDYVLHLYRSGADAWTCHPLSIHGLVFDPSFSHVTSKVITVGGEAGTMGWVDINDGILFCDLLRDTAELRYLPLPPPLEHKDDMIVGCPGPLRDFALVQGRIKYIEMQVHVRPGSTINGTYASQGWVAATWSAPPTNPWKQGWRHDCQISASHLAVDHNTMNFELLPKLGTPQQTLERLHVGLPKLSLHSDDIVCFMAKVDLWDDQNAWVLAVDMKNKRLKDVAEFGAGRTLGISSAYISTRISDYLPTAPGLKGNLKRRGVMLTVPSHKKQTRVVLSNPSWKGGDQQNSGTSMDDKEDNMDLDLDMFFG >ORGLA09G0161800.1 pep chromosome:AGI1.1:9:17077807:17083358:-1 gene:ORGLA09G0161800 transcript:ORGLA09G0161800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAAPMETSSSAAARRSAAPDPKKPRLAQHPAPRDPRSYPAAANGNAPAPPVDQAQVDELVAQYRTALGELTFNSKPIITNLTIIAGENLHAAKPIASLICANILEVPSEQKLPSLYLLDSIVKNIGKDYVKHFSARLPEVFCKAYKQVDSSIHNSMRHLFGTWKGVFSPTSLQVIEKELGFQSSTNGSSGAAPSKPDSQSNRPSHSIHVNPKYLEARQQLQQPNKGQGILGAGAKTTTISDSGDDIERTSRTAVDRGAGRRLDALNPRTNVQRAQRDPFSNPIHEKQDRDMRVLGFSNISQQAVVGTGLVRAKPKGQDGSGGPYYTAGVGSSEEQFDRRSNFYANKDVRPSGSVRLDSALLPTPVSNSDRIGRPSSNKSWKNSEEEEYMWDDVRSQGADYGGTSSTRKREWMPDDGNVGSFQRVKWAEAGGPLDPDLHKLDSFQRFGNATGQDRRIAPYMDHEEYLHGKHEVEPRIDREMLPEGQPFSSSRGSSLWLSHEKPLPDIVSDPRISAFSNQPADRPTIYAGTLSTSITSSVPVGLSGAYAGRSSLESAATRSTETIGQQKNRYWSTSSPPVQSPSASFARQSSPSPVELDYSSKPFSQLGQNSLEDYNQRTHALAQNLALSQGRPNLLGAPSHASQQIEKHPSLLQSKPHLRTLDQPQANFSPENSSSLFKSSIQLPISVGVGHRQPEEVSLSSDSTLMSSDHLSASNLLAGLIKSGFKPNDANDLASLRAQPPLPSGPPPHVSTSLSAASSSLHLPASDTLKSQAPNSLRPPLPPGLPLSSPFVCLTTQTSEKAAPLSSLLSSLVAKGLISSPSADSTVAIPQQPSKSELNTPDDTASAPSLPFVQPSVKKETSNQNSSAPSKALVHQPVQIKKEPAEIKMVDLIGFDFKPEMLRKYHAHVISTLFDGQSHQCNTCGLRFSLEEELSVHTACHGSKQTETRKTGIAPEKWYPSKNNWVDRSHEVQNSALESASSVADLSSEEEVCEFMVPADESQIICALCGESFDDIYSIEKDNWMYKDAVYFDSSKTEGSSGDSAESKERVPIVHARCMSISSNDGMEVD >ORGLA09G0161700.1 pep chromosome:AGI1.1:9:17053999:17054763:-1 gene:ORGLA09G0161700 transcript:ORGLA09G0161700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IANSLAAGMSSIQFVWLLVLRNSHREVSGQIVEVESLRCVLLSVAKSLERLGAAAPTEEFLQQTKYLLRLQEGLSIGLQSLDLMESAFLRLEQELVKNVARTANHVLEMQDKQDAAANFVARSDDNNANDVLRACRILREGCTTNKNAFDSMQEAIQHKIANAEGGENNLKDRINAAAAAIRGLAISMGYNMQTINGRGIPPTVKIAFNFCCEQILSVKTCADAPFVWLGFGGFSLRRRLNLRFDNVNWPDVPL >ORGLA09G0161600.1 pep chromosome:AGI1.1:9:17050004:17051016:1 gene:ORGLA09G0161600 transcript:ORGLA09G0161600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQGQIPFVQRLASVFRSVSKSLERLGAAPPTKSFWTRNYLLKLQNSLDLAWQSLSLMERTFHRLESKQADKVASLAAIAAAAIDAANRDRLESKQVDKAADKACVHSAAVFLAVSNERAAYGALSAYRSFLEICRANMIVFNDMQISVNDRLLDVAGAGEKLQVNIRLASGMTRILAIFLGPWIQRINGAEGIPPTMRIACSFCREHMWSIKTCADTPFLLLGCGAFNFNLRRRLKLSMTGMRGKRCLHSEEASETLYHAGLFKSYFTVL >ORGLA09G0161500.1 pep chromosome:AGI1.1:9:17047712:17048146:1 gene:ORGLA09G0161500 transcript:ORGLA09G0161500.1 gene_biotype:protein_coding transcript_biotype:protein_coding REHLILFSRRRRGVSSPPTATFLLLLSFHLHYPSPRLAARRRPFPPPTPRRARLASGDRRRMETSPAPRPAHIPRSTAVRPPCATPFSRAHWHTTCAAIRRVPRVNGDSNSSIKPALADHAAPPLDLDLLPVSNLPXXXXXXXXX >ORGLA09G0161400.1 pep chromosome:AGI1.1:9:17042221:17046104:1 gene:ORGLA09G0161400 transcript:ORGLA09G0161400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVAASLVPSWSAVLVLFSYLGYLAAAGAVLPGKLVPGAVLPDSSRLHYRCNGLLSLLLLLVLSALGVYMGWMSPTVIADRGIELLSTTFIFSVIVGGIVTFLLYYSGLRSHHKSSSLKPHITGNFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSANLSVILYQFFCAWYIVDYFVHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNKVELSLLAAVVNCFIFVIGYLVFRGANKQKHIFKKNPKALIWGKPPKLVGGKLLVSGYWGIARHCNYLGDILLALSFSLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWVEYCKLVPWRIFPYVY >ORGLA09G0161300.1 pep chromosome:AGI1.1:9:17033566:17040632:1 gene:ORGLA09G0161300 transcript:ORGLA09G0161300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTEDFHISAGTQDGQFFRDSQYKYMGIEVHLGDETLELINLHELLDGPKFSVKAAKIGTTSLYVTAKQYSGQRVLSQVVKVEVYKPLQIHPEYIYLTPGASFVLSVKGGPKVGVVIEYTSLNVETVEVQNSTGKLSAKTVGNSTMRAVAFSNEGTFICEAFGRVEVDIPVAMILSTQSDRLCVGCSMPIYPSLPKGDLFSFYETCQSYTWVIEDDKVAMFQLARSWQYGLDQGLYSEGKNYPWFSNGSSNAFINHVIGRSAGKTKISVSITCDFLMTGSSGSIAYSASKTILVVPDPPLALGLPITWLFPPFYTTTDLLPRSVDPDSDDLESTIGYSLLRNIGKSDLVLQNANIIDGSKIRTGESNAIDCIQAKDHSTGRTEIASCLRVAEVAQAQIAAAESSIHIAYLSVHDKVELDIKYSDELGYTFSEALGIVPVKIETNHPDVVSILMPKEGNGTHGTHERFVLQARSHGTALVRLQISHIPKKADFIMVSVGAQMYPRDVVLRSGQQLNFTIIGDRMDVRGSSQWLSSNEKVVHINRITGEAQARGEGIAEVIFKGPNTKLHTTVTVLKVNQIVVNAPAETLTNAAGPPGGYKFSVKLSDSTGHSADSSINHINVPFDCKVEPSFVGFVEPWSDDAAKKSYCLFHPYSPAQLLPVKLNPKEGFLHIVVHANLKEDPKVTGSAHALFVKGFYIKEPRKLNLTPSCNHSIITIGGNTDVELFWNAKDLLSASRVDTNGRGVPSQISYQVEALKRQSFYDKITIILPATGQTEEIEVIYDTGERREPSTSGLTTLAAIVTCIVVPIATIALFMKLLEKKPIREAPPRHATPAPVSAPAAAMADPASPATGELSPRTPQPFMEYVRRTIDDTPYYKRDARRRFNPQNTY >ORGLA09G0161200.1 pep chromosome:AGI1.1:9:17019487:17022790:-1 gene:ORGLA09G0161200 transcript:ORGLA09G0161200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGDAVLSDVDEEDPLPPPPPPSTSSSQKSPSPSQPQPQPHAQAQRLHDLAAELEEERRLRRKAEESLAEAEKRSERVKALAQNVLRKHDDLKTEASTASSMLTSGFERISAKASPSAAASPAPLPTSQKYSSGLPAIAYGVLKRANDIVDDLLSQIDAANRDRDRAREQMEHRNYQIAIEVSELEASLASRSAHCDSLSKSLSDKDAEISDLRNNLAFLETKLDAQRPMLADQIACASKVYDEIREVVKLVDADAASALSDSVFVWKETDVEESLKVSLEGTKMAYDLATTALHKVGAWVDKKESKVRDLEARVDELLREKEHIGVLLRSALQSNTSEVLKVAEDGLREAGIEVGLKKRREHRPGSMEKDEVYTLAGELENSMKESQVKIVELQHLVEALRAESGLLRTRLEGQEKEIAQLRKQIKHLEEKERVANESVEGLMMDVTAAEEEIKRWKMAAEEEAEAGKAIEQEFETQLSSLNKELDEAKQAMLELENKLKFKEETAAAAMAARDAAEKSLKLADMRSTRLRERLEEINRQLEESDNRRDSSNRNGHRYMCWPWQWLGLNYVRLPPTEADQTSNEMELSEPLI >ORGLA09G0161100.1 pep chromosome:AGI1.1:9:17013967:17019034:-1 gene:ORGLA09G0161100 transcript:ORGLA09G0161100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEPKKRTSARRARRCASAGLTKPSEEEEHVVVVVPPWADLPFDLLANISRRLHATADFARFHAVCKSWRRTLPPHPPTFLPWLLSPGDATGHRTARCVFSKSSRHPAAAPIRIPTKSQLAIGYDGEPCSLYYPLIMTGGAAATTPLPSCPDEMKTWADHFFFSVSGDGTVFVYALGETYWDQYDHAYRCHFHAAILRPGDEAWMLMDRHLIVNFPYLLRDVCRVLYTDGGKMLLHNGKDYWCVVTTGAATTAGEGKWSRWWPEEPGKEIQSSHLLEYRGELLWAFVLADSGYCSDVRGCRVAGRPLASALSVSVYALEAEGGGSWVWVRKDGRSMDDRALFLGRPVSLAIDAAQLGVGRGGGCAYFVHRWAWATAAGRERCRVLRYSFGDATSEVVELLPRAVAQWWSEGGDGCIWLASPPPPAIALAPTTIEIXEIKERGLQVVEPNVQLMRIHVGNLPRKVDSHGLRRFLMSKIKSKHGHGHDGFVVVTDARVMCERGSRGRFSRGFGFATMAIAADAEPADVVTMLNGQILDGRPLRVKFADKDQRGSSAHHATVKRLHLLAELFLHSLLFFLRESACSFLLSCCCSGPFILGLYAXIEMRSATXGSTTPGIRRDMIPWIRLRKLLKRSVSSRPILSLEWISPKATSGQGNIVSTGEACITFQRTPSTRTSKRLVSSARPYRRSTKTTGSHVLDLGTHRRTTEMSSASTRAGDSIAMVFLKFXGDTEKLRHMSGCQHQXXKLPXEXLRTPATSITSYXXXLMGRFQYVVVLTLRTIGMRTTWKKGLSRLLYRREKCLKPRRRNNLLXKRXRRYQASTQPXSARGSVIWLQRRLQGCLFLPLLQDLLFLP >ORGLA09G0161000.1 pep chromosome:AGI1.1:9:17011038:17013204:-1 gene:ORGLA09G0161000 transcript:ORGLA09G0161000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIAVSLRSLAATDAFLPKPSPSPSSPFLLLLSPSTPRLHLHLRSTRRLPLAPLAASDSFESSSSSSAAALDFAEPGAAEESDVPEESDQEEEGYAPEAEEEAEADEEVEEEAVEASAEVAEEAEDVEEVGEYVEPPEEAKVYVGNLPYDIDSERLAQLFEQAGIVEVSEVIYNRETDRSRGFGFVTMSTVEEAEKAVEMFHRYDVDGRLLTVNKAAPRGARVERPPRQFGPSFRIYVGNLPWQVDDSRLVQLFSEHGKVVDARVVYDRETGRSRGFGFVTMATQEELDDAIAALDGQSLDGRALRVNVAEERPPRRGF >ORGLA09G0160900.1 pep chromosome:AGI1.1:9:16983005:16985058:1 gene:ORGLA09G0160900 transcript:ORGLA09G0160900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AATVQADVPITDKDLETEESMWSLYERWRSVYSSSSSSGDLAEKVSRFEVFKKNARYIHDFNKKEGNTYKLGLNKFADLTLEEFTAKYTGAKPGTAGSSGARASIKPLEPVAGDAPPTWDWREHGAVTRVKDQGQCGSCWAFSVVGAVEGNNAIMTGNLLTLSEQQVLDCSGAGDCNGGYTYYAFDYAVSNGITLDQCYSPPPDPYFYYPKYEAAQDTCRFDPNKAPIVKIDSHSFVNPNDEEALKQAVYSYGPVSVLIEASNDFMYYQGGVFTGACGTALNHAVLLVGYGVTEDGTLYWIVKNSWSTEWGENGYVRMIRNIPAPEGICGIAMYPIYPTKSCPCPTAAASAAA >ORGLA09G0160800.1 pep chromosome:AGI1.1:9:16970206:16971902:1 gene:ORGLA09G0160800 transcript:ORGLA09G0160800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSDTDVLPPKPXNGMRSPCLRNGFYLKLLNLSLWINQKSNNSSYILNIEEVILPLEFGDSDLNIIKIMEKYFPQHQYFIPKYPGKDQNYYETILCETRSAQIFHTRNARTIYSTSCANKRYNYWDYXKAWERVLLVQNSQMKHSWFIRFKEGCEEIPLWFFSNWWLKAGAIPEILPQEIIKVITQESKKDLKEYPFILMQFCAETGMPWILKWDLNIQRMEFPATLKRNYYARWWDKFAITPVIEGRKFRAKNKKSHVAQLKEDITRELLKARPELTKGELQLQVYETMFKRLEESPKSSSTCRSLDEDMVQCSQIKPSSPIPPYYSIKQDNDSDEGISDRISHTTHLTQPFLSPLHQPSHSTPLHLSVSTIV >ORGLA09G0160700.1 pep chromosome:AGI1.1:9:16959662:16960982:-1 gene:ORGLA09G0160700 transcript:ORGLA09G0160700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKIPLAVGLLALLVAAMAVTTAAHDDDDVPFTDEDLESEQSMWNLYERWRAVHASSSSSHLGDIESRFEAFKANARYVSEFKKKEGMTYKLGLNKFADMTLQEFVAMYAGAKVDTGAFASISEAEEEEEVVGDVPTAWDWRQHGAVTPVKDQGGCGSCWAFSAVGVVESAYAIVTGKLYTLSEQQVLDCSGAGDCSGGYPSKVLTDFAVKKGIALSQYYPAYSATKQACRTAAGTPVVKMDGAASVAYANEAALKQSVYKQPVSVAIEANSNFQLYSKGVFNGPCGTSLNHAVIAVGYGVTTDNINYWIVKNSWGTRWGESGYIRMKRDIAAKEGLCGIAMSAIYPIKKTAAISSMVDAVASY >ORGLA09G0160600.1 pep chromosome:AGI1.1:9:16949225:16951020:-1 gene:ORGLA09G0160600 transcript:ORGLA09G0160600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVQDDDDDDVPFTDKDLESEESMWSLYQRWSRAHGLTSRDLAEKQGRFEAFKANARHVNEFNKKEGMTYKLALNRFADMTLQEFVAKYAGAKVDAAAAALASVAELEEEDLVVGDVPASWDWREHGAVTAVKDQDGCGSCWAFSAVGAVESINAIATGNLLTLSEQQVLDCSGDGDCDGGWPNLVLSGYAVEQGIALDNIGNPAYYPPYVAKKMACRTVAGKPVVKTDGTIQVASSETALKQSVYGQPVSVLIEADTNFQLYKSGVYSGPCGTKINHAVLAVGYNVTADNTKYWIVKNSWNTTWGESGYIRMKRDVGGNKGLCGIAMYGIYPTKTKTSTFSAAARAASVIADAALY >ORGLA09G0160500.1 pep chromosome:AGI1.1:9:16942605:16945681:-1 gene:ORGLA09G0160500 transcript:ORGLA09G0160500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTMRKPLILVVGLSAMLLAAAVDVVDVTVRDSDLESEETMWDLYERWRRVYASSPQDLPSSDTMKSRFEAFKANARQVNEFNKKEGMSYTLSLNKFSDMSYEDFAAKYTGGMPGSIADDRSSSAGAVTCKLREKNVPLTWDWRDSRAVTPVKDQGPCGSCWAFSVVGAVESINKIRTGNLLTLSEQQVLDCSGAGDCVFGYPKEAFNHIVNTGVSLDSRGKPPYYPPYEAQKKQCRFDLEKPPFVKIDGICFAQSGNETALKLAVLSQPVSVIIQISDKFQSYHGGVFDGPCGTEPKDNHVVLVVGYGVTTDNIKYWIIKNSWGEGWGESGYIRMKRDIMDTNGICGITTWAIYPVKKYIITHDEADVVAY >ORGLA09G0160400.1 pep chromosome:AGI1.1:9:16928605:16929942:-1 gene:ORGLA09G0160400 transcript:ORGLA09G0160400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADEEDVPLTDKDLETEESMWSLYQRWRAVYAPSRDLSDMESRFEVFKANARYISDFNKKKGMSYVLGLNKFSDLTYEEFAAKYTGGKVDASAFATATSSPDEELPVGVPPATWDWRLNGAVTDVKDQGQCGSCWVFSAVGAVEGINAIMTGNLLTLSEQQVLDCSNTGDCLKGGDPRAALQYIVKNGVTLDQCGKPPTPYYPGYEAKKLACRTVTGKPPIVKVDAVKPVANTEAALLLKVFQQPISVGIDASADLQHYKKGIFTGHCKTAPLNHGVVVVGYGVATTPDKTKYWILKNSWGKGWGEDGYIRMKRDVGTPGGLCGITTYATYVTKKCPCPANPPTIITSY >ORGLA09G0160300.1 pep chromosome:AGI1.1:9:16918626:16918874:-1 gene:ORGLA09G0160300 transcript:ORGLA09G0160300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVGSEGRHRRSETRQSVVKTSEDTSGINGSRKDTLNQMGRSPLIGEPQGGACISDATPEENFSLSLLSFSSLRLWGARCN >ORGLA09G0160200.1 pep chromosome:AGI1.1:9:16912253:16914079:-1 gene:ORGLA09G0160200 transcript:ORGLA09G0160200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKNPLAVGLLALLVAAMAVAAAAHDDDDVPFTDEDLESEQSMWNLYDRWRAVYASSSSHLGGDIESRFEAFKANARYVSEFNKKEGMTYELGLNKFADMTLEEFVAKYAGANVDAAAALASVPEAEEEMVGDVPAAWDWRQHGVVTPVKDQGSCGSCWAFSSVGAVESAYAIATKKLLRLSEQQVLDCSGGGDCGGGYTSTVLSEFAVKKGIALDASGNPPYYPPYQAKKLSCRTVAGKPVVKMDGAASVPSSNEVALKQSVYKQPVSVLIEANSNFQLYKQGVYSGPCGTSINHAVLAVGYGATPDNTKYWIIKNSWGTGWGEKGYIRMKRDIAAKSGLCGIALYGMYPIKKTVAISMVVDAVDSY >ORGLA09G0160100.1 pep chromosome:AGI1.1:9:16904847:16906022:-1 gene:ORGLA09G0160100 transcript:ORGLA09G0160100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKALVVGVLAVLMATAMAASAPQPHVPFTDEDLGSEESMWSLYQRWRGAMLPVHTSSSSHLGDMESRFEAFKANARYVSEFNKKEDMTYKLGLNKFADMTLEEFVAKYTGTKVDAAAMARAPEAEEELELELAGDVPASWDWRQHGAVTPAREQGTCESCWAFSAVGAVEGANAIATGKLVTLSEQQVLDCSGGGDCIGGGSYSPVLHGYAVKQGISPAGSYPPYEAKDRACRRNTPVAVVKMDGAVDVPASEAALKRSVYRAPVAVSIEATQSLQLYKEVINRI >ORGLA09G0160000.1 pep chromosome:AGI1.1:9:16901564:16902823:-1 gene:ORGLA09G0160000 transcript:ORGLA09G0160000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDATCVSRWPDLPADLIREISGRLREVADYVHFHAVCKPWRDAVVSPPPLFFPWLVRCLDESTPPWREPCWADEDKLLFRSVSGHKATFRVSRASCLGEKFAVRDTDGPGGRVLAVCRDGASLVNPLTGAATHLPRCFPENMAGYLGSVDGVVTGDGTVLLYYLSISSCTFYRAAILRAGDDAWTSVHMCIDSETMSFWQQWSATYHDGKVINAGRQFYRVGMLSIAPGDVFTGRLEKRSLPQLYDDPASYSYFFELGGELMWAYVHVAAAALFDHGHGHGPLKGGDLVGSGAVSLWVYSREKKSGRWVKREGRRLLGSSVLFLGWTSSFAVEAGQLAGEVDGGCAYLMIDSVGRGLGRRGFLLLDRCTVYRYRLEDDTITMLDELPPGWLASCCTWFLPRPTIHAGPEPKLSKPYA >ORGLA09G0159900.1 pep chromosome:AGI1.1:9:16886496:16895186:-1 gene:ORGLA09G0159900 transcript:ORGLA09G0159900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVMYPGMSFPLLVSKEKIGIFKVVGTLPMTTGQITSGTKIMIWKGSDEKTVGETLYPPILHPVIATPLQMKMMDDPALSNVVGRASKMIGNFMSYYTHLPHEATKGKMTFLLHGPCDKKAVVEGIGRTLGIXYYYNXLLNELXINTCIQRPESGDGPLLIFLDRFDELVPHNRCGSTVMTDITTTQLKLLLDDPWVIFGHRITILVASVRWINLICPTILSRIRTRFYCGNDEKEGDWDGVGMSLLKLLEINKSIDPYSRWVLTKAILYGNTSLSKSDVTKLFAATQSFDSIVIDAMQVLKDFKNTGSKAVSDLFLRATARRPCIILIQQLDAINNETLISLILHEMNNMDRDLHVFASTRWWQLIHHMFLESRLFTRRVFCGVDSKKDECDFVGQALFEIHKLLGWGGQ >ORGLA09G0159800.1 pep chromosome:AGI1.1:9:16881473:16884341:1 gene:ORGLA09G0159800 transcript:ORGLA09G0159800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQELVKRSFREDASMAGAIFMSNTATRELCFRSNIFGLPIEYQPFVRNIRQGMPLFLFDHTERKLYGVFEATSDGGFNIIRSAFSSIGCSYPAQVCFKIIWKCRPLTEDEFSPAIKENYYMPWKFYFDLSYQQVVRLYQLFDEKRVEHPIHNHSKSANLENDPFRKGTQERKSLSPNIPHFPADQPGLFMPASTPRFSTVEASYCASTSMHQAPHPNMSMPLGTNPFGVQIAPVHNSHHDQAELPYNNNMLFPGYLPSGHVAWDTTQELGLSANHSYPPSMGYAYGCLPPPGHRPQDAIAGDVNYAPPYPQFPLPNEQGSATNRRDYYDVHCKQCQFEDIYESEHQHFSKAKVLAPPILNQQDVPVYPAIAESAFDQRKECFTEEDSENARQKQSFNHTDMVSSGLGNSNRAYMPDHLNKNPDIRSESNTIAVGQHAQSSVFSRLSRIPPPLHQEIPGPSLNKLVLSLSQRAEHWGNQDKIITNDVCEQLVSEQVMDTPYPLAELNQQSGLIEEEIEGLPFMNFKRRSETRNLDANLGKEISGQVKRRKLVRPSFGEVNNAGSSGKELEAKGLEGEKHSNDEHDENKFSIDLNKPAAIDGDVAKEDDTTALPHPSVAIKMHKEKPSEENMSKPNSPNTTEEMKKQDPSLDSATHTEKISLELDVADLNTIDQSKLQAILSSSLLHALDKLRREKLNNSEEAEEVKIAT >ORGLA09G0159700.1 pep chromosome:AGI1.1:9:16876139:16877548:1 gene:ORGLA09G0159700 transcript:ORGLA09G0159700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPLHKRLRRSSESNGERRPPRGELLVDEILTRLPIAAAVRFRAVCRQWNAALPSDHFILAHRARAAAARHRHPELLFFAPGAAFAGGRATSFYACSLRDGDCEAPPPPAAARELLTVAGITAAHAVLSPTPCRGLTLIFDTYRSEYYLFNLSTGDHVALPPCQPAAAANLDSTLTLPTMNPTSYPPAPAPWIELSTTGLGYDTATGEHKVVRLFKRRDGGEYSCEVYTQGAGGWRRGVGRVPPCAANLLPALPPVFVDGYLYWLLRPDGPGEEPIHRVLSFSMGAEQFGWVYVPPRLSSRICHLADLDGSLCAVYDNRLFGRVYGLFTWSGRSSSPSPSWSVRCSINLQSLPEQVSEELAGERVIVPLCSAGGGSKILLATGGHKVFVYDVERNAVERVFRMQDMVDVPRGYLQAPLLLSVGLHDERIADVVHRRAGAGDGERRLKVKLGRRRDSTLVKASGGVL >ORGLA09G0159600.1 pep chromosome:AGI1.1:9:16871535:16872781:1 gene:ORGLA09G0159600 transcript:ORGLA09G0159600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDEGFPPPADTSRSSAPPLSAAPCSGSIPAAGEACHFRDIRPVDSRPVAWFFFADVIQAQLPALLAVSTSIALDDTRPNGFVIAGLEGDAFAVPVRRDAVLGSIPGGEARGISWRAVVCTTTTSSRGQDEDAAGRALSLPAGPYVVLTKRARGSWPALLRTVADDGSLVRPSGYAVDALGEYVASSLRGFLGTVAGGYRALAVPDGREGDGRTWRIFLESGQAAGADSWPAHRRHSSADLLGHRRLGAERTAAEGGTSRRRRRFQEQRQNRAVPVPGVVWSRSSAPSRHPGPGQMGRAAATSSDAAAPVLEL >ORGLA09G0159500.1 pep chromosome:AGI1.1:9:16868876:16870309:-1 gene:ORGLA09G0159500 transcript:ORGLA09G0159500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEALTPPRPGKRPRHADPVMLPDDVVVDHILARVPAAAVVRLRAVCRAWRAALTSDHFVRAHRALRAAAAGDGQPEIVFFAPAAGGSGAGTAFYTCKLASSDGSGLAEARELVTVGNLPADDLVVLTKPCHGLTLLFQAGSSEYYVCNLSTGEHVALPPCAPAAKPDPYGSAYVRSSTGLGFDTAAGEHKVVRLYEEEERGQQRCEMYSLVSGRGGGGWRPSAGRVPPGVTKCLEGRPPVFLDGCFYWHMDTGRLGVVEAGILLRSPERAILSLSVATEQFGWIRTPAQLAREVRHLAELDGSLCAVVDLRLVAEEYELWTWSSPSWSRRCRISLASLERPMRGELGLGGLRLLPLCTSPADGRILLATSRHKVYAYDAGSNRVDTVRRMHELVDVPAEPALMLNIALHEESVAVVVGGGDVGRRRRLKMEVGKSGEVVGKREGRLERHPSDVKPDAFEMMKRMIGLAQMMFHN >ORGLA09G0159400.1 pep chromosome:AGI1.1:9:16864613:16868110:1 gene:ORGLA09G0159400 transcript:ORGLA09G0159400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT5G18820) TAIR;Acc:AT5G18820] MSLTTVSFSYPAKPLPKWPCTLPKPPPRARCRFVVRADVKVISSGEACRRGLAAGIDKLADAVAVTLGPKGRNVVIDQDDVPKVINDGITIAKAIELPNAVEHAGAMLLQEIASKTNSSVGDGTTTAIILAREIINLGLLAVATGANPVALRKGIDKAVHELIGILKTKCIPVSTKEDIKAVASISAGNDEYVGDLIADALEKIGPDGIIKIESSSSIYTSVEVQEGMKIDKGYISPHFITNQDKAIVEFENARVLLTDQRVDDVQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFASDLGWCLQGATSDQLGMAQKITITSDTTTIIAHPSMRPEIEARIQQLKKDLEETTSAYLKERFSARIAKLSRGIAAIKVGAATEAELEDRKLRAEDAKNATFAAISEGITPGGGVTYVQLSKYIPSIMELVDDSEEKIGVNIVGKALLVPAMTIARNAGADGPAVVEKLLASEWRVGYNAMTDQFEDLVDAGVVDPCRVARCVLQNSASIAGLILMTQAMMFDKIKKKKSPIPQIPGIPPLQINQNA >ORGLA09G0159300.1 pep chromosome:AGI1.1:9:16859880:16863187:1 gene:ORGLA09G0159300 transcript:ORGLA09G0159300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QRB4] MYYGLQTAPNCTSIVAIVVFFLLVVAFYAFFAPFLGKQILEYVAIGVYTPVAFAVFILYIRCTSINPADPGIMSKFEDGFINVPANSDGLQGINLPQKGNITIGTQSPTSTCRSSLDGHSNQRGLSTRDANVNLSSQLPKKRSSCYFLGGLLCALFVMEDCRKPDESEQAANGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFISLMAISLFWLAIEFGVGIAVIVLCFVDKNALSNIQDKLGNGMTRAPFAVIVGLFTLLSLVACIPLGELFFFHMILIRKGITTYDYVVAMRAMSEAAPEDDEEAHITYSPSNSATTGFSVGSSLGLHHKGAWCTPPRIFIDQDEVIPHLDPGMVPSTVDPDAAGYAERANKSKKPVKISARSLAKLDRNEVMKAAAKARASSSVLRPVDARRGHEGDLSSSGNASVRSSMSVDYSGTKESRGEMRLSPLQNSYPQSLASQDDYETGTQTASSLSSPVHIHKLASHSQFHAPPHQPPPPERPVPGIVPGIVRPPVPTTQITNPMFQSATSYVRENRRASVVWDQEAGRYVSVPAQTRAVPGLDLPARTPRFLANPIGESSNHGKNLAPANASSSAISSGQPSERLTYTGQSIFFGGPILSTSGTNAQRSEAGTRARPDGSRDPPNAFQRDTRGERARTGSFPVFAPGTFQKNPPFDK >ORGLA09G0159200.1 pep chromosome:AGI1.1:9:16848790:16853338:-1 gene:ORGLA09G0159200 transcript:ORGLA09G0159200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1QRB3] METRTNEYSRKGAMWELERNLDQPMDAEAGRLRNMYREKTYPTILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGIEDTEQVIGALSLIIYSLTLIPLVKYVFIVLRANDNGQGGTFALYSLLCRHAKINIIPNQHRTDQDLTTYSHRTYEEKSLAAKIQRWLEGHQFRKNLILILVLFGTCMAVGDGILTPAISVLSATGGIQVEEGRMRNDVVVIISVVILIGLFSMQHYGTDKVSWLFAPIVFVWFILIGILGAVNICKYDHSVLKAFNPVYVYRYFKRGKTSWTSLGGIMLSITGTEALFADLSYFPVQAIQIAFTVVVFPCLLLQYTGQAAFIAANTNQVSHAFYISLPAPILWPAFAVATAAAIVASQATISATYSIIKQALALGCFPRVKIIHTSKKYLGQIYSPDINWILMVFCIAVTAGFKNQSQIANAYGTAVIMVMLVTTFLMIPIMLLVWRSHWTLVVAFTVLSLLVEIPYFSAVVRKIDQGGWVPLVFAAGFMIIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPPDERFLVKRIGPKNFHMFRCVARYGYKDIHKKDDDFEKMLFDSLLLFVRLESMMEEYSDSDEYSTLMMTLPNNPGISNGGVTATGTSNVMEVMSCTSSHDSIVPVNSKSNDTGSSQVMPASGQMAFQTVGDEIAFLNACRDAGVVHILGNTVIRARRDSGFVKKIAINYLYAFLRKICRENSAIFNVPHESLLNVGQVFYV >ORGLA09G0159100.1 pep chromosome:AGI1.1:9:16831508:16831633:-1 gene:ORGLA09G0159100 transcript:ORGLA09G0159100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDWDSTVEMVDFRVASTVPTDIRLPSTCEEHTSMTAILVW >ORGLA09G0159000.1 pep chromosome:AGI1.1:9:16822197:16824804:1 gene:ORGLA09G0159000 transcript:ORGLA09G0159000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQAGGFISMAWSMPPLALFAAVLALQQAVAGAAAAGDCPTTCGDVAVPFPFGIGAGCYHSPGFNLTCDRSRDPPRLLLGDAAAFQVLNVSIVNATVRAARVGGINITYGVRGGGNTSSADEGRGAWRGLGDGGPFALSEDRNELVVVWGCDVVALLTDGGGSGNSSNVTISGCASFCPGTDAGGQAIAAPAGSTMSLTEDRRCTGVGCCQMPISVGRDSYQVRLRRLNPSPPQPPPPQGAGDPTVVLIAEQGWVAEASKSTRGYPLPVSFDETAVPVFLGWMIASTRVGADGEVPVNSTCPADAARSACKSSHSSCRNVSSSARAGYICDCDAGFQGNPYLATGCQDINECERAEEHGCFGECINTAGSFLCRCPAGTQGNHTQRNGCFRPVPPLRARSSTGLSIGVGVSSAASLILIVIMAIFIIRKQKRRRAKKIRQKYFKQNRGQLLQQLVAQRADIAERMIIPLGELKKATNNFDRARELGGGGHGTVYKGILSDLHVVAIKKSKIAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFVSNGTLYSHLHVSGPRSLPWSDRLRIATETAKAIAYLHSSVSIPIIHRDIKSTNILLDDTLISKVSDFGASRCIPVDQTGVTTKVQGTLGYMDPAYYYTQRLTEKSDVYSFGVILVELLTRKKPFSHLTPEGEGLVAHFVTSFTEGNLVEVLDLQIMEEADMKVVEEVATLAVTCVNLRGEDRPTMRQVEMALEGIQASRENASGNLSAEKLGESNNVARDFMPSQEGRSMTEGTRQYSLEEEFLLSSRYPR >ORGLA09G0158900.1 pep chromosome:AGI1.1:9:16806647:16809516:1 gene:ORGLA09G0158900 transcript:ORGLA09G0158900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGVVLAFPVPLPVGAIFLSHNAPARCLSALPADQFPKSSSSSGEAENPRSSTTPMAPRILCRDAGLDDDSEDDLPAGFLGGGGGGGGGGGGAVEEFAAEEAAIPIHVAGSVQGQYTEVTCLADIMSVINFPRLYREFDRICVLEFPFVDAPHLLETILEVGKPGEEKTWLIGNLPELIEITRFRVAFLRVSSSLERLLSLWKTHGILEIVWDFIGAVEHAVAALHPLSDHQTEALRNGVLGLLERLKLLFPVQPDDADSTDVIASTKLVSDVELIVKDMSLLGLIPIKYPALRDQSAFLPVSVVKNQPEVQESPYIRIRSYNELLLTMDSQFPTDICIVSFKLSDATKVSHRVDKAIEKGWWVGNIDILHTTSHFRSIISRISESISNVQMTLLEGEIDWFEIKRIKQLKDRITALCDNQPMELCNITFEFFTNIDTVLSEFPPIPADIITNQMEYPNELVKGIPAKLDKLLFEANTIQGLKVQYPDPEGLYLHLKHMCILGVTFAHAPYMLEQVLKVGKEREVNRWLVGNLAELKEIASFRTAFLCLVSSLESLSEKHRILEDDWNMIAAVKDAVSRLPPLSDRQPEALRAEVLKLLERLEATLPVQPGGSDSAGANSLEVLSSTLTSDVGAILKDMYEVGLRHIEYTQVDLPAFMELEDEEENQP >ORGLA09G0158800.1 pep chromosome:AGI1.1:9:16802069:16802769:-1 gene:ORGLA09G0158800 transcript:ORGLA09G0158800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGITHCDDGGGGGGGHEFRDIAVVHGYIKYVEIQSYISDGWVAATWSRKITLDSWEGDWRKDCELHVSDISGSLPELLGDEEARTPQLNLQSVHTGNPTISLHDDDVVYFLAKVGLRDDKSYVLAVNMRSKTLQGAACFGAERVLDMNFTCTQSRISHYLRNTPGKS >ORGLA09G0158700.1 pep chromosome:AGI1.1:9:16798945:16801837:1 gene:ORGLA09G0158700 transcript:ORGLA09G0158700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIIQPQAMAMSLALLLLLLQLWSVEAQVAAPPPASCPDRCGDVSVPYPFGIRDGCHLPGFRLTCDATRAPPRLMLGNGTLQVIDISLANSTVRALDLAGAVNFTYDVSKVAPSGSGTWASLGTVAGAGPYVVSEQRNRLVVTGCNVQATLAGENTNIIGGCSSFCPVSEMFTSVAATTPVVPGASGDNATDGGFTCSGTGCCETPIAIGRPSYLVQFLSLDQNQELTGKLPIAVRIAERGWFEGVAGELLNSSSDSAAALRTPVPVVLEWVVSPTLEAVLQGVTGQFADDRNWSCPADAARSACRSSNSFCSNVTGNYRRGYVCRCRRGYGGNPYVAGGCQDIDECKLAGRCYGECTNTPGDYECRCPRGARGDPRIPNGCVKTNLGSSVGIGVGSGAGLLVMGLGAAFLKRKVKKQRERMLRRKYFKQNRGHLLQQLVSQKADIAERMIIPLLELEKATNNFDKSRELGGGGHGTVYKGILSDLHVVAIKKSKEAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEGPTSLPWEDRLRIATETARALAYLHSAVSFPIIHRDIKSQNILLDGSLTTKVSDFGASRCIPAEQTGVTTAVQGTLGYLDPMYYYTGRLTEKSDVFSFGVVLIELLTRKKPYSYRSSDDESLVAHFTARLTQGNLGDILDPQVKEEGGEEVKEVAVLAVACVKLKAEERPTMRQVEMTLESVRSSTLQQERVGAKKSRENHVSWSYPVSEGTSTQSTRQYSLEEEYLLSSRFPR >ORGLA09G0158600.1 pep chromosome:AGI1.1:9:16795422:16798125:1 gene:ORGLA09G0158600 transcript:ORGLA09G0158600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLFPSPATAALLVLVVVLLQLQLWSAEAQVAVGSGPPAGCPSPDRCGNVSVPFPFGIRAGCSLAGFDLTCDTTTNPPRLMIGNGTLQVVSISLADATVRALDLAGAVNITYDGNVSGNGTWRSLGATVGGPFVVADLRNQLVVTGCNIQVTLMGDRANGITGCSSFCSINDRWTSFVANVSGNASCSGIGCCKTPIPIGRTSYLVDYKTLESSHEHDNKLPNVVRVAEQGWFDGAVAADLLDNSKRDKSPLTPVPVVLDWVVAFTLETALFSAQTGQFADDGNWSCPTAGSGRKTACLSTFSVCRNITGNYRLGYVCQCDKGYDGNPYVTDGCQDIDECEQAEEHGCFGECTNTRGAFLCRCPRGARGNATIPNGCTKSNLGLTIGVGIGSGAALFILALGAVFLTRKIKQRRARTLRQKFFKQNRGHLLQQLVSQKADIAERMIIPLAELEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSNVTVQREIDEFINETEVPLLVYEFISNGTLYHHLHVEGPTSLPWEDRLRIATETARSLAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEQNGVTTAIQGTLGYLDPMYYYTGCLTEKSDIYSFGVVLMELLTRKKPHSYRSAEDESFVSHFTTLHAQGNLADILDAQVMEERKKEVNEVAVLAVACVKLKAEERPTMRQVEMTLESIRSSSLQQEVLHSVSTKNSKELHVSWSHAISEGTSLDSTRQYSLEEENLLSSRYPQ >ORGLA09G0158500.1 pep chromosome:AGI1.1:9:16791236:16793947:1 gene:ORGLA09G0158500 transcript:ORGLA09G0158500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMAVALAILLVGLAPATPSSSAQQLPGCPATCGNISIPYPFGIGAGCARDEGFQLECNHTSSPPRLIVSNSTGRRPRQQLLSLSLADGEARTFLTAKRRCYNSSTGDMVSENDQNTTEMSLSGTPYRFSRSRNRLVALGCPNLAYLVDGRGSYISSCTSICRTPESVAAGSTVGFTGEGCCQSSIPYSVDVYKPDIIGFKQGQAGDSVLLNSTAASSILQSSTVCRYMYLAEDRWIDAAYRDGAVDFNRTDDFAVHVVLDWAVRNAGNCSAARRNLAAANYACRSADSVCVDTGDGDGYRCNCSKGYEGNPYLDGGCKDINECERAKEYPCFGVCTNTLGSYHCSCPPGTSGNATIQTGCVKTNQALTTGSIIGIGVGSGAGILVMALGTTFLTRRIKDRRARMLRQKFFKQNRGHLLEQLVSQKADIAERMIIPLAELAKATNNFDESRKLGGGGHGTVYKGILSDLHVVAIKKSKVAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYDHLHVEGPASLPWEFRLRIATETARALAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEQNGVTTAIQGTLGYLDPMYYYTGRLTEKSDVFSFGIVLIELLTRKKPYSYRSPDDDSLVAHFTALLTHGNLGDILDPQVNEEGGKEVKEVAMLAVACVKLKADERPTMRQVEMTLETVQSLSLQQEAVPSVAAEESKEKHVSWSYPVCEGISIESSRQYSYEEENLLSSRYPR >ORGLA09G0158400.1 pep chromosome:AGI1.1:9:16781913:16784438:1 gene:ORGLA09G0158400 transcript:ORGLA09G0158400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLPATAAAMVVVVVQLMWSAEAQVAVGSGPPAGCPDRCGNVSVPFPFGIRDGCSLAGFGLTCDTTSNNPPRLMIGNSTLQVVNISLANSTLRAVDIAGSVNITYDVVSGTTGNGTWGGVAAAGPYVVSGELNQLLVTACNLQVTLVGSGGNVITGCSSFCSINDMYTGGVFRSPGNKCAGIGCCQTPISIGRPSYSVKVTVMDNEYRGEVPEAIRIAELGWFDGLAADLLKKPAANDTLRRNPVPVVLDWAVASTGLVVTLNAGLNKQAANNWSCPTPGDGEARKSACLSSNSLCVNVADNYRNGYVCRCDKGYDGNPYVAGGCQDINECERAKEYGCFGECINTPGFFVCQCPRGARGNATILNGCTKSNLGLTIGVGIGSGAGLFILALGAVFLTRKIKQRRARTLRQKFFKQNRGHLLQQLVSQKADIAERMIIPLEELEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSNVTIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHAEGPTSLPWEDRLRIATETARSLAYLHSAVSFPIIHRDIKSHNILLDGSLTAKVSDFGASRCIPAEQNGVTTAIQGTLGYLDPMYYYTGRLTEKSDIYSFGVVLMELLTRKKPYSYRSAEDESLVAHFSTLHAQGNLGDILDAQVMEEGKKEVNDVATLAVACAKLKAEERPTMRQVEMTLESIRSSSLQQEVLHSVSTKKSKEHHVSWNHAISEGTNLETTRQYSLEEEYLLSSRYPR >ORGLA09G0158300.1 pep chromosome:AGI1.1:9:16778266:16780759:-1 gene:ORGLA09G0158300 transcript:ORGLA09G0158300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MGKKKKRVEKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPDRESTEIEIFGMQGIPQDVLAAHYGEEEDPSLKVAKVEVPSLRPPVMPNPAGMVYPPRPAYGVAPPMYNPALNPLMARPPIWPAPPPQPWFTQPVVSVPQMASGLAPQQPLFPIQNMPAPMTSAPANLLQTSFPMAHVGVPSPVTPQVSQPLFPVNTSAGNGAVSSPYVASVAPGSIPTSSPSVAPAGVGYAGTNQGTGGPAAVPPPASNNKAPATQPGANEVYLVWDDEAMSMEERRLSLPKYQVHDETSQVSSDFYNFISVILMICEKNQV >ORGLA09G0158200.1 pep chromosome:AGI1.1:9:16773901:16774222:-1 gene:ORGLA09G0158200 transcript:ORGLA09G0158200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WCVAKPSVPEGIIQPAMDYACGSGADCDSIQPSGPCFRPDTMIAHASYAFNSYWQRAKSNGATCDFGGTAMLITKDPNNSSDFQ >ORGLA09G0158100.1 pep chromosome:AGI1.1:9:16770705:16773079:1 gene:ORGLA09G0158100 transcript:ORGLA09G0158100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAGGSGEAPPEPEPAAAATVKSIVVYPIKSCRGISVPQAAITFLGLRWDRQWLVMNSAGRAFTQRVEPKLALIEVEMPQEAFTEEWQPTPDSHMVIRAPGLDPLKIPLGAKRATVDDVSVWEWSGSAYDEGDEAAEWFSSYFGKPTRLVRFNEASEIRETNPDYAQGYKVLFADAFPFLLASQGSVDALNSILKEPVPMNRFRPNIIVDGCQPYSEDLWKTIKIDKLTFLGVKLCDRCKVPTINQDNGIPGEEPTEALQALRSDEVLRPSHKNKRRVYFGQNLVCKESLSEKDEGRIIKVGDPVYVLESFPSSDEVPA >ORGLA09G0158000.1 pep chromosome:AGI1.1:9:16767556:16770005:1 gene:ORGLA09G0158000 transcript:ORGLA09G0158000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAGFLSSLLGGGEEVPQEPAATVRSILIYPIKSCRGISVPQAPITSTGFRWDRQWVVVNSKGRAYTQRVKPKLALVEVEMPPEAFAEEWRPTADSYLVVRAPGMDPLKIPLSAEQAIINDVSVWEWSGSAYDEGAEAAEWFSSYFGNPSRLVRFKEGSEIRPTNPDYAQGYKIMFTDCFPFLMASQGSLDALNEVLKEPVPMNRFRPNILVDGCHPYSEDLWKTIKINKLTFQGVKLCNRCKVPTINQENGILGTEPTETLLTFRSDEVLRPSHKNKRQVYFGQNLVCKESLSAKGKGRIIKVSDPVYVLERFPSSDEAPA >ORGLA09G0157900.1 pep chromosome:AGI1.1:9:16764638:16766782:1 gene:ORGLA09G0157900 transcript:ORGLA09G0157900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVNSDGAGPSSGEDGSAAAAKKRNRPKYHRFTQQELQACKPILIPQTVILVLVFVGLIFIPIGLACIAASNKVVELVDRYDTKCVPRNMLRNKVAYIQNSSIDKTCTRVFKVPKDMKKPIYIYYQLDKFYQNHRRYVKSLNDIQLRNPKKVANTQYCSPEATANGRPIVPCGLIAWSLFNDTYSFTRGHGNETLRVNKDGISWKSERNRRFGKNVYPKNFQNGTLIGGGQLNPSKPLSEQEDLIVWMRIAALPTFRKLYGRIDMDLQAGDRVEVTMQNNYNSYSFNGKKSLVLSTAGWLGGKNAFLGRAYAIVGLACFLLALLLALLYFVFPMREEHLSLHYIPARLVR >ORGLA09G0157800.1 pep chromosome:AGI1.1:9:16762788:16763834:1 gene:ORGLA09G0157800 transcript:ORGLA09G0157800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7,8-dihydroneopterin aldolase [Source:UniProtKB/TrEMBL;Acc:I1QR99] MLSFARTSFGGQTAHLPESKSSGYKHFVSISSGLPCFLAIRRQSPGYSSVHTASFSSQHNQLSMDRSGTVMAERELIDKDKLVLRGLQFHGFHGVKQEEKTLGQKFVVDVDAWMDLSAAGETDSISDTVSYTDIYRIAKDVVEGPSRNLLEAVAHRIASATLLKFPQISAVRVKVGKPHVAVQGIVDYLGVEILRYRKDIGGDRQELH >ORGLA09G0157700.1 pep chromosome:AGI1.1:9:16758330:16761261:1 gene:ORGLA09G0157700 transcript:ORGLA09G0157700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G60870) TAIR;Acc:AT5G60870] MFRRLLLPLRRCLSTSTSSSATPTLYSSGTTPVSILSWGRGASGQLGGGKEERRLYPSPVAHLSLPDPAPVLSPTPGRLPDAAAAGTAAGGVEVGISCGLFHSAVVVDGGAWVWGKGDGGRLGLGDESSAFVPRHNPNLSELRVLALGGIHSAALTASGEVFTWGYGGFGALGHYVYHRELLPRKVNGPWEGKISHIATSGAHTAAITDSGELYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSKVNALPVSVAAVACGGFFTMALTSDGQLWSWGANSNFELGRGSNSSDWRPQLIPSLKNLHVIQVACGGYHSLALTDEGVVLSWGHGGHGQLGHPTLQNHRVPLAIKALSEERIVYIACGGSTSAAITEKGDLYMWGNARDCQLGVPGLPEIQPLPVKVNFLTDDDEDLGPHRVISVAIGASHAMCLVSRQQNEK >ORGLA09G0157600.1 pep chromosome:AGI1.1:9:16744996:16747953:-1 gene:ORGLA09G0157600 transcript:ORGLA09G0157600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAPATTSWRWRLLLLLLLAVAALCWIPPAIAMAAAAAAATTSSGGRRSLLGFVEAQGNSSYRCSPSGPCVPCQYSEKVMSNPCSWIGTTEEVCTLRNDRILIESDEKYCCSETGYRLPLKCVEVQNVTKEGNNTKQRKVLDDASTSGGSKHYTTYRSCVPLEDEEKLSVLGFEVMMAGMLLISGPFVYYRKRRTAIMQGASRIPTSPPRF >ORGLA09G0157500.1 pep chromosome:AGI1.1:9:16741642:16743394:-1 gene:ORGLA09G0157500 transcript:ORGLA09G0157500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGGHGHGGGDFRQKVWSMTGGPYCRPVHWRRNTAIAMFGVFLVCIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGKKEY >ORGLA09G0157400.1 pep chromosome:AGI1.1:9:16739701:16741047:1 gene:ORGLA09G0157400 transcript:ORGLA09G0157400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPPRPCPAGVQQRQLDVDGAGNAKTSPEQKLELLDLQEEDDELIFLDADDEEGRQLREKLESLEREFCLLDEQRDDALLQIHELEETVRFREELVRRLTAVTPLVAAQLDEVVDERHAVVTLSDGCERKMCVGVAGSLDRGLLKPSASVALNRRSHALVGVLPSDVAGCSAARFLVADADKPGVAYGDIGGCEAQKREVREAVELPLTHPELFAAAGVDPPRGVLLHGPPGTGKTMLAKAVARETSAAFFRVNAAELARHDGPRVVRDLFRLARDRAPAIVFIDEVDAIAAARQGGGGGGGGAERHVQRVLMELLTQMDGFDESTNVRVIMATNRADDLDPALLRPGRLDRKVEFTAAESPEEKRLVLQTCTAGMSLDGDVDLDALAARRDKLSAAEIAAVCREAGMQAVRDRRGTVTADDFDKGYLAVVGKRPGDVATEFHFYN >ORGLA09G0157300.1 pep chromosome:AGI1.1:9:16735091:16739016:1 gene:ORGLA09G0157300 transcript:ORGLA09G0157300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT1G78930) TAIR;Acc:AT1G78930] AAMATATAALALLSPPLPASPTPPPPPPLRAILLKQPFLRPIRQRRRLSPRPLPRRRDPVAACAPTADAETASTSRSYDALEAERAIAELLREHGASPADAASIAARAPGYAAMLADGVRELDELGLWESWSSGVGAGREVEMAGLGFGRKVYYMGKAKSKRDRGVVPLLESAGVRLSSAKLIAPYVSAAGLPVLIDRIKFLKEILFSSNGYETLISRNAKRMMMHLSIPADESLQSTLSFFEKMEARYGGLNMLGHGDVSFPYLIESFPMLLLCSENNHLKPLIDFLQYIGIPKPRIASVLLSFPPIILSDVENDIKPRINAWEKVGIEQEYIGRMLLKYPWILSTCMLENYGQMLMFFQRRKISSTVLGVAMRSWPHILGCSTKRMNSIVELFDDLGISKKMLVPVVTSSPQLLLRRPNEVMQIILFFKDMDLDKKTVAKILCRSPEIFASSVENTLKKKINFLINFGVPKHHLPRIIRKYPELLLLDINRTLLPSSCEHVTDFNSSMYSNVYRINYLLDMGLSKKNVCSMIYRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAAVEYPRYFSYSLEGRIKPRFCVLQSRKIDCSLTDMLAKNDELFAEEYLGIXRSLETDIRSSEAACFHMRKSTSAVLSIEKVWNAD >ORGLA09G0157200.1 pep chromosome:AGI1.1:9:16729217:16733309:-1 gene:ORGLA09G0157200 transcript:ORGLA09G0157200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G07170) TAIR;Acc:AT2G07170] MKSSAVTSKGKAVFELKHRLVQAINKIADRDTYQIGLDELEKATDTLPPDMVGPFLSCVVDTDAEQKSAVRKECIKVIGTLARSHGSLVAPHMTKMVTSIVKRLKDADSVVRDACVDTCGTLALCARDYGDGGAALVALVRPLFESLGEQNRYVQAGAASCLAKVIDESNYFPGPVLPQMLVRVVKLLKNPHFMAKPAVIELIRSIIQAEGASTEQSLTSALTSIMDALKSSDWTTRKAASIALSSIALSSGYLVASFRTSCLRSLERSKFDKVKPVRDAITQAIQLWKAIPGSDTPEPSEAGSSTKENFFGDHHDGRSINDGGSRDTSFRRVDPASSVSAISGNSITSSKKRSPLSINEIALNNAANQQRSKLSDWHVEISVPKQNMIPLVDFEEKVSGNGSMLKGSNRSPYEIVDNDSKYEYDPMDDKQDCSSMSEVASRSCETKHVTSAQECVEDCDSARDIEQFPRAQKSKSIDSTVTDITSHGTHTCCLSAMKELSHIRKQLQEMERKQANIFDMLQEFMSNSVENMSVLSMKVHNLENAVDKTVYTITQSESRYHLPGSKFIKNQSATSSPRVSTSTPRSSVDANYKPPPIPHLKQEKKWMQDQPSKGLNMGVKEGEFLKSHTHDKTRKPGVVRSESTLGRYVPSSARTRASGVKGNFPVSLTNSCEQPELQNALRASKEFDGNDDMESAYVEALNSGDCDYLIDVMDRTGPVLEKLSRETTSELLRVIAGQFLNKKMFDLALPWLQQVADLSMIYKPSQLFVSVRAQKEFLALLEEAATSGSTEPAIRIAIAQLAFKLTKVCEVAPCRKVLSRVCRGNETIMATAM >ORGLA09G0157100.1 pep chromosome:AGI1.1:9:16723901:16728559:1 gene:ORGLA09G0157100 transcript:ORGLA09G0157100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVGDDPLPSGRLRPCSPRCAAMARRWPPLVVGLALLLLLSVAASSVVAKTDQPDVAALNVMFESMNKPSELLGWKASGGDPCGDDDEWKGIECSDSSVTEINLSGLGLSGTLGYQLSSLKSVTKFDVSKNNLNGEIPYQLPPNVVQLNLRGNAFSGGVPYSISQMTDLETLNLGKNQLSGQLTDMFSQLPKLTTMDLSFNSFSGNLPPSFQYLKNLKTLDVESNQFSGHINVLAKLSLEDLNVKNNKFTGWIPSKLKSIDNLETGGNSWSSGPAPPGMEKESSAGSSNGRDDSGINGFAIGAMVIAVLLAALILLSVLRRNHSSPVSSHYYTDESAPSMGCKTPPAVPRKSMSDNEFENKLNHSRRSTDPISLMNHSSSDLQAATGNFSSNRQLGQGTTGCVFRAKYADGRVLAVKKFDPLSFSGSSDFMDTVNGIAKLRHTNISELVGYCSEPGHYMLVYDYHMNGSLYDFLHLSDAYSRPLTWDTRVRIAVCTAHALEYLHEVCSPPVLHKNIKSSNVLLDADLNPHLSDCGLSFFYEDASENLGPGYSAPECSRPSAYVMKSDVYSFGVIMLELLTGQKPYDSSKPRTEQCLVKYVAPQLHDSDALGSLADPALRGLYPPKALSRFADCIALCVQADPEFRPSMSEVVQSLLRCVQRTISNRGMAGYLSNSQRSDISDW >ORGLA09G0157000.1 pep chromosome:AGI1.1:9:16720410:16723248:1 gene:ORGLA09G0157000 transcript:ORGLA09G0157000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVSTYTVDEALISMGFGKFQAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFVGMLVGAYSWGIVSDNYGRRVGFNFTALVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLSSWFLEFIPAPNRGTWMVIFSAFWTIGTIMEASLAWAVMPSLGWRWLLAFSSLPSFALLLFYPLTLESPRYLCMKGRIADAVQVLETMARLNRVALPSGHLMSGHRMELHEMTDSSETSQLLSAKKTNPAAHSSKTEIGGRNAILKLLSPNLIRSSLLLWTVFLGHAFLYYGLVLLTSELSHGNKICGSEGIVTMQTNHSNDANLYRNVFITSFGEVPGLILSAAIVDKIGRKLSMSSMLYISCLCIAPLMVPQTESLTTIFLFGARICISASFIVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAILIFITVMLVSGVAVSYFPLETSGRKLSDHIAA >ORGLA09G0156900.1 pep chromosome:AGI1.1:9:16715453:16715942:1 gene:ORGLA09G0156900 transcript:ORGLA09G0156900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGAVKNIESWDEFTKHFVKSEYKLVVLVFMAPWSEPWKLMRPAVEKMASGLKSEEVEVCTISVDRFNTLGRLLRVEALPTFVLVKRHRAVARVVGVNRDDLHSSINKHLAPPSSSPQPINIS >ORGLA09G0156800.1 pep chromosome:AGI1.1:9:16710639:16712921:-1 gene:ORGLA09G0156800 transcript:ORGLA09G0156800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRMTNVSAAVQWWDEWQLRILVLCSLGIQWFLLLAAPMRKYTIPHWFRTFIWLAYISSDALAIYALATLFNRHANTTTAAKRHCVNGSALEVLWAPVLLIHLGGQQEMSAYTIEDNELWRRHTVILVSQVTVAIYAFYKSWPANGGDRKLLVSAVLLFVIGVFSFTEKPWALRRASINRLAAVSSMVQGRKEVSKWRYCFTELEKDKRDILDRCCQLKKEKETPPPGVGTDVVKRSQQHILTDRDKVLLILSDMSLLAADSDLKQEQRRHRQRQSLMGEDDSKEEVEGDLLGTLSPRAEKGSKRWLRRAFALIYTRANVVLTPAYLAYHILLAPFLHITAIVLFAASSKRHHNTIDVKITYVLLCLTAALDILAEPIRQLLFKLMSMADVAALCETVPQYNNLIRSALQRTQPAGVLLKCAAHVGYTQGFFVCQRKNLYHMLAGLIFSDLVEANAKGLDFTSYRSFAPGRRNWVLNENLRKVCGPEVQGSLRGSFDRGVILWHIATDLCMRRMMAENTIDEIDRKFLECTEAISDYMAHLLNLRPDMLMTGSRQHLFTQAMEEVELILKDIESQQQQPHSLKKLGRDILAKKIIDKAKAEVNAAIDIERVSEQGREIRVDEPPPPKYPLVHDACRLAEELMDKMGRRTRCQVMYRVWVGMLFYSASMCRGYLHAKSLGEGGEFLSFVWLILSLKGAKTLADKLQMPEPEPEPEPKPEPKSGPYSPGQEIVQGEPTATVATTADEGEDLSFLLPHSPRS >ORGLA09G0156700.1 pep chromosome:AGI1.1:9:16705865:16707231:-1 gene:ORGLA09G0156700 transcript:ORGLA09G0156700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSGLPTHRSQLTSLASRGAASIQDKQTRQIARRRRASGSETAPPPHRSIGKIGMPGGHNKQPAPPVATTGCSALASCLSFHRRAPRPPPARANVVDGATAATTRASAEQYRRRVQFLEEEVRRLGSRLAEHGRSANGGAMATRDRVSSACSGIGATAANKRVTVGGHGGVREMVRLEGGGYLHEIKRVVGMPWERLALQVSQPVVAENAATASEVLDKMTETSAENLCKLLSKMMPIKDIAGRKNPGKVIRRSARLSSGDDFLEALLFMEMDKMEGLVQQGLKIRMASTADSASSTAAGDDDGDRRHQATKDSMVSVILIQVRDPEQGYAAIGDPMIGVMEASLEKKDGRVKLEMQGMHVAGILFGASSKGRSNGRAMMWSACLGQCKGSHNGRRGGGAGDVCQCGFVRNTNRVFRR >ORGLA09G0156600.1 pep chromosome:AGI1.1:9:16703109:16705294:-1 gene:ORGLA09G0156600 transcript:ORGLA09G0156600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGEDDAQSYESYYTDDGDDYTDEVDSNVDDDGESWDLEEEEVDDDDDDEAKKKKAAAAVDLTKIDRRYRNLSEEQVRARQDADTANVGELFAIPPGFAAVLLRHYKWSLVELQDRLFSDGDRAGAATGVALGGAPVSRNGHPLVCAICFDEHLAGEMRSAGCSHFYCVGCWRGARYATFLLRSYVEEGTRIKWCPGPGCTLAIEFVGGGGGEEKQDDVECRYGHGFCFRCGEEAHRPVSCDTVRAWTEKNAMESETASWVLANTKHCPKCRLPIEKNRGCMHMTCRPPCLHEFCWLCLGPWSDHRSSEYYNCNVYDAAKANGEASDDKRRREQGMASLDRYMHFYERWAAHGKARQSAVDDMAGLDACAEKLSAAVAMPVTELCFLAEAYQQIAECRRLLRWTYAYGYYHLGTGLDGDEERRTMVECAQGEAERQLEKLHDCAEHEREELLAEVERTIKLNAILKDNDGEESKKKMEEKAGEMVDMVVAYRQKLAGLTGVCKIFFRNLVKTFQDGLSEVGPAVAAAAAAAVATAPPESSDDAVDPLQPLHQDDSS >ORGLA09G0156500.1 pep chromosome:AGI1.1:9:16700485:16702062:-1 gene:ORGLA09G0156500 transcript:ORGLA09G0156500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGYSDVDDDYFGDSDDYGDDGDYMDDDGDDYGGQVVEETEAPAMEKTYVVLTEDDVRARQDEDIAKVCEVLSLSPGAAAVLLRLYRWRAVLLQEEWFLDERRIRDAAGLLPADGGGGAVPARVNRRRLTCAICFDVFAAGGMRSAGCSHYYCVACWRGYVRAAVGDGARCLSLRCPDPSCPAAVVRELVDAVADGEDRERYGWFALRSYVEESAGMRWCPGPGCSRAVEFVGGGGDGEESSEVFCSCGHGLCWRCGEEAHRPVSCKTVAKWVEKNSSESETATWLLAHTKHCPKCRLPIEKNLGCMHMTCRPPCLHEFCWICLKPWRGHAACSRYQPNGTVVALAGANADDERRRQAKASLDRYLYHYERWDANLKSLRVALRDMESLERSELEAMASAAGVPATEMGFVTEAYEQVGEGRRVLGWAHAYGYYLDPDRDFTKRQLFEYLQEDANASLERLHGCAERERRELFAAGADDKAAVDFDKYRAYREKLAGLTRVTRQYFGNLVKAFETDLAEVSSSS >ORGLA09G0156400.1 pep chromosome:AGI1.1:9:16692832:16698163:-1 gene:ORGLA09G0156400 transcript:ORGLA09G0156400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase [Source:UniProtKB/TrEMBL;Acc:I1QR85] MDSGGGGGGGALRPSALDLVAALLTGRGRPEEEGWSPSLAENRHLLVLLTTSLAVLVGCGVALLVRRSSISAPAARAQEPQLRAPAPAKRKQEAEPDPDDGRQRVAVFFGTQTGTAEGFAKALAEEAKSRYDKAVFKVLDLDEYAVDDEEYEQKLKKEIIALFFVATYGDGEPTDNAARFYKWFGEGNERGEWLSNLRFGVFGLGNRQYEHFNKVGKVVDQLLAEQGGKRIVPLGLGDDDQCIEDDFNAWKELLWPELDKLLRVEDDKSAAPTPYTAAIPEYRVVLVKPEEAMHINKSFSLSNGHAVYDIQHPCRANVAVRRELHTPASDRSCIHLEFDISGTGLTYETGDHVGVYAENCTETVEEVENLLGYSPDTLFSIHADQEDGTPLFGGSLPPPFPSPCTVRTALARYADLLSFPKKSALIALASHASDPKDAERLRHLASPAGKKEYSQWIVSSQRSLLEVMTEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRMTPTRIHVTCALVYGQTPTGRIHKGVCSTWMKNSIPLEESQECSWAPIFVRQSNFKLPTDPTVPIIMIGPGTGLAPFRGFLQERLALKETGVELGHAVLFFGCRNRKMDFIYEDELNNFVETGALSELIVAFSREGPSKEYVQHKMAEKAPEIWSIISQGGYIYVCGDAKGMARDVHRTLHTIVQEQGSLDNSNTESYVKSLQMEGRYLRDVW >ORGLA09G0156300.1 pep chromosome:AGI1.1:9:16688007:16688504:-1 gene:ORGLA09G0156300 transcript:ORGLA09G0156300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPAQVHGGVNLNLRVLETSPRRRSANGGGGGAAPAAAAAVDPREAFSCNYCHRKFFSSQALGGHQNAHKLERTLAKRSRDIVMTAAVSPSPSSSSSSRAVHGFDGVAGGFFWTAPPYGHAAAAEAAEEDHDVAPPAPPPADGGCGGGRYGRNGEEIDLSLKL >ORGLA09G0156200.1 pep chromosome:AGI1.1:9:16678925:16683757:1 gene:ORGLA09G0156200 transcript:ORGLA09G0156200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRRLWKTKHLTLRPPCHGGGDGDGDGGHPDWILLDVQAYIADRRNATTATAMLSNGGHQIQVTICVAPPPLVSYICAWSPTTHPAELFDTEPTVEAVDADLLLLRIHVSLNHVHDLVYQASMSPSLTLMPSQDPYLHEPNCIALIPRSSHGFYISTLDTDLCSGIGRYNLCLFDSTNSKWSHESLSLDQLRNPPDKNEVLHITEKVITLKHPHLVAFVDLWRGIIICDILDSKIARCKNPPLLRCLLHAVYHLPIFRPFFSLNGNLKRPGKFPMPYPRKQQAVNEPFLPDAGRGLETEDRDTMDWE >ORGLA09G0156100.1 pep chromosome:AGI1.1:9:16673976:16678093:1 gene:ORGLA09G0156100 transcript:ORGLA09G0156100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRVQDEVEMQRRTTNRIFPDERQDQFKLPFQAARADRFGVNRIDAKTTEKIKVISEGNIPWHRRILDPGSSMVLMWNRVFLGSCLFALFIDPFFYYLPLVHVLDESTNRSCIAKDRRLSITITVLRTFADLFYMLNIMVKFHTAYVDPKSRVLGKGELVLDLKKIQRRYLRTDFFIDLLATIPLPQVTVWIIMPSIKNSDYNIRNTTFALVIMIQYIFRMYLIVPLSNQIIKAAGVVAKSAWLGAAYNLLYYMLASHITGAIYYLLSIERQITCWNQQCLNESCSFNFISCDNTGSSSYLTWGKNTSIFDNCDPNRNSSANPPPFNYGMFSTALSKGAVSAPFLEKYFFCLWWGLLQLSSSGNPLQTSAYIAENTFAIAIGALSLVLFAQLIGNMQTYLQSISKRLEEWRLRQRDMEEWMRHHQLPDELQDRVRRFVQVKWLATRGVEEESILQALPADIRRDVQRHLCLDLVRRVPFFSEMDYQLLDAICERLVSFLCPERTYISREGDPVNEMLFVIRGKLESSTTNGGRSNFFNSIILRPGDFAGEELLTWALLPKTNVNFPLSTRTVQSLTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRQHQRRKLAESLSRWESYSWWPEEHPPADKPKQEGTSSSTKTIAESAIAQMHKFASASRRFRADDTTIRRLQKPDEPDFSADHFD >ORGLA09G0156000.1 pep chromosome:AGI1.1:9:16670129:16672465:1 gene:ORGLA09G0156000 transcript:ORGLA09G0156000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT3G54390) TAIR;Acc:AT3G54390] MDALPDAADAAPLAAAAAPPQKRDEWSESGIVRLLEAYEAKWLLRNRAKLKWSDWVDIAHEVSAHCAMENAAAAAATGKPGSSTAKTPNQCKNKIESMKKRYRAESAAAARAGPAAAGAGPSWRFFARMDGLLKGPAGSGQPQAELSNSIDLRAPPPAKVEVDVDADFVSQLADAGPGALSELVSAYANGSIQEKLDKVENSGQVEGRAAESDVNVSSPRIKEANEDAEEVDKVWDMSKKRKNTEFDIAKSIELLASSFLKIERARMDLYRETERMRVEAEIKKGEMELKRTEIMAKTHLQIAKLFAKRLKECSSKTGGSSSVTAEVDNHAKKGENGSG >ORGLA09G0155900.1 pep chromosome:AGI1.1:9:16662597:16663691:1 gene:ORGLA09G0155900 transcript:ORGLA09G0155900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRCCTFLEILLAIILPPLGVFLRFGCCSMEFCICLLLTILGYVPGIIYAVYVLVALDSDQYQREYHTLA >ORGLA09G0155800.1 pep chromosome:AGI1.1:9:16654548:16658449:-1 gene:ORGLA09G0155800 transcript:ORGLA09G0155800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKYSGEGGNDRLRYGLASMQGWRTTMEDAHTALPRLDECTSFFGVYDGHGGKAVSKFCAKHLHLQVLKNEAYSSGDLATSVLKSFFRMDEMMKGQRGWRELAELGDKGQKFTGMLEGIIWSPKPGESDKPEDTWTEEGPHSHFPGPTSGSTACVAIIRNDELIVANAGDSRCVLSRKGQAYDLSKDHKPDLDAEKERILNAGGFIVAGRVNGSLNLARAIGDMELKQNEFLPAERQIVTAEPELNTVKLSEDDEFIVLACDGIWDCMSSQEVVDFVHKEMNTEDSLSAVCEKLLDHCLAPVSGGDGCDNMTVIIVKFKKPSKSAATSSTNQSVSSEEMRPNELDDGPSDPNK >ORGLA09G0155700.1 pep chromosome:AGI1.1:9:16653544:16654132:1 gene:ORGLA09G0155700 transcript:ORGLA09G0155700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLLLVAGFAVSLAGATDHIVGANHGWNPNIDYYLWSGNQTFYVGDLISFRYQKGTHNVFEVNQTGYDNCTMAGVAGNWTSGKDFIPLNDSRRYYFICGNGFCQAGMKVAITVHPLKHNATGDGAKNHGGDGAAQEAAAAAMPGAAVWMAVLAVAAAAVAILP >ORGLA09G0155600.1 pep chromosome:AGI1.1:9:16649123:16652640:1 gene:ORGLA09G0155600 transcript:ORGLA09G0155600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:I1QR77] MVSRRAMRAPEMLRWLAAAAALVALLAAAPAAGFYLPGVAPTDFGKGDSLPVKVNKLTSVKTQLPYAYYSLPFCKPETIVDSAENLGEVLRGDRIENSPYVFQMREPKMCQIVCKLTVGEKEAKELKEKIEDEYRVNMILDNLPLVVSVLRQDKNIAYQGGYHVGVKGQYTGSKEEKYFIHNHLSFVVKYHKDDDSELSRIVGFEVKPYSIKHQLDDKWDDVNTRLSTCDPHANKLVTSSDSPQEVEAGKEIIFTYDVRFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETQEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLVWVLMGLLAGYASSRLYKMFKGSEWKRITMRTAFLFPGIAFVIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPALEPPVKTNKIPRQIPEQAWYMNPIFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILIITCAEITVVLCYFQLCSEDYMWWWRSYLTSGSSALYLFLYAAFYFFTKLQITKLVSGILYFGYMLLASLAFFVLTGTIGFCACFWFTRLIYSSVKID >ORGLA09G0155500.1 pep chromosome:AGI1.1:9:16641430:16644105:-1 gene:ORGLA09G0155500 transcript:ORGLA09G0155500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRLAVIAVAVGALVSSSSSAAVCPHANHTAPFAADFTMLQHQLRGTVRLADDGSCALRLTRFDLLAASPSARFWAARGDSLADLAAGRAFSPHPLNSTFRNATLLLPFSAPLPPLLALFDPDTSSDLGHVFLPVSASASNATDDSGVASPSPSPEPVPTMFDNCLPLAENKYRLRWTLNASAGTVEIGLEAAVGSEYYMAFGWADPKANSPAMIHSDVVVAGFTEEGMPFAEDYYITDYSECTLGTDESPVSGVCPDKVYDEGKNDSMLVYGHRRDGVSFVRYQRKLDTGDTKYDVLVSATEEMAVVWAIGKLRPPDTLRPHYLPQNHGGPRDTTYGFARVNLSETVDSCNGPLDADNKEDQERIIADAKTPLVVTSAPAVRYPNPPNPDKVIYINKKEAPLLKVERGVPVKFSVQAGHDVALYITSDPIGGNATLRNKTEVIYAGSRDAHGVPATPTELLWLPDRNTPDLVYYQSLYEPKMGWKVQVVDGGLSDMYNSSVLLDDQQVTLFWTLSHDSISIAARGEKKSGYLAVGFGSGMLNSYAYVGWVGNDGVGRVKSYWIDGKSAAGIHPTSENLTYVRCRSENGIITFEFTRPLKPSCSGRVECKNIIDPTTPLKVVWAMGASWSGNSLTDSNMHSITSSRPIRVLLLRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGILAARYLKNLKGDGWYQIHVYLQYSGIAIMFLGVLFAAAELRGFYVSSVHVKFGVTALLLAGLQPLNAYFRPKRPANGEASSWNRVLWEYLHVITGRSAIIVGIVALFTGMKHLGHRYDSENVEELTWALMLWVLSAIVITLYLEYKEVKRRSGDTSSRGHWVLGNTEEDDSVDLLHPDSTDRNSESSPSGVMEVQLEPLAR >ORGLA09G0155400.1 pep chromosome:AGI1.1:9:16636067:16636917:-1 gene:ORGLA09G0155400 transcript:ORGLA09G0155400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVRRALSLAAAASSPAKPSFSTAVAPLRSPMDDRLLRLLRSEINYISERRPPYPPPKAFKSFGVEDRPGEQWVRLRATRGAQDEEVKVDATMFDGAAAPPPDAPLFRRVESLERGPRLHLSLIVEVSRADRVLGFICSAWHDELVVRHVLTLRDADGSSTSSGGRDFVKLEARERESVRKFLQEREVDDELAEFLHDYMANKEKMELLRWLKTVESFVEK >ORGLA09G0155300.1 pep chromosome:AGI1.1:9:16626114:16626455:1 gene:ORGLA09G0155300 transcript:ORGLA09G0155300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDDEWREDFMIESSDVSIDDSTWNACLLPKLDDGCPTTDTLQLAHPTLSLMDAHIVYIMGKVDVSDEKALVLTVDMANKRLQEVSVYDAERIVNDFDYAYTQSTISQYFTTAAA >ORGLA09G0155200.1 pep chromosome:AGI1.1:9:16625009:16626010:1 gene:ORGLA09G0155200 transcript:ORGLA09G0155200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDFPYSFTRTKHLTLSPPSSHGGDAADHRPLPWILLDVRAYIADYRNSTTATIVLSNGRKIQITFCIAPPPLVSYICAWSPTTDPAVFFAKEPAVGFVDADVVFLRVHSDQIYDLVYHASSHPSLKLIHNPYSPYNPYHYLRRIDNVAILPDRRRHAAGGADDDDDDNRGRFYVSSLDRDRRFDLGHFKLCLYDEDDSMDRKWSNTILLLDQLRNAPDKDTVLHLTEKVFILDDEQPLVAFVDLWRGIVICNVLDNSTPVGGNYMPLPPELIDVRRTYNSCVCRNIAIVNGRLTVVRLSLYLLDSNDDDSDDDDDDDYCTWDLTTWSKPVSS >ORGLA09G0155100.1 pep chromosome:AGI1.1:9:16619747:16620688:1 gene:ORGLA09G0155100 transcript:ORGLA09G0155100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFPYSFTRTKHLTLCPPSSQGGDAADHGPLPWILLDVRAYIANRRNSTTATIFLSNGRKIQITFCIAPPPLVSYICAWSPATDPAVFFAKEPAVGFVNDNLVFLRVHSDQIYDLIYHASSRPSLKLIHNPYNPYEYLRRIDNVVILPDRRHAAGADDDDNNNGHFYVSSLDRDRRFDIGHFKLCLYDEDDSMDCKWSNNILLLDQLRNAADKDIVLHLTEKVLILDDEQPLVAFVDPWRGMVICNVLDNSSTPGESSYMPMPSEIFNIHNTYTSSISRDIAIVNGRLTVVRLCLYLDSDDDSDDDDDHDCC >ORGLA09G0155000.1 pep chromosome:AGI1.1:9:16617360:16618661:1 gene:ORGLA09G0155000 transcript:ORGLA09G0155000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFPYRWTKTKHLTERPPTHGHPPDAHPWIILDVRAYIADRQNATTATIDLTSGRKLQITICAAPPPLVSYICAWSPTADPAIVFAKEPVVACVNADLVFLRVHSDQVYHLVYHAGGRRPSLSLVRHPDRPFHRCHYLSELGSIAILSRRRAGAGDDHDDDSTGFYVCSLDQELWYGLPGQRGHFKLCLYDSIDGEWSQETLRLDQLRNPQDKDTVFHYTEKVITLHDEQVVAFVDLWRGMVICNVNDATCNNVELLPKLNDLPAMDKLRIARPMLSLMDSHVVYIMGKVNLSGEKTVVLTVDMANKRLQGVSLRDAERIVYDDNGCSYKQSTISQYFTTSAGD >ORGLA09G0154900.1 pep chromosome:AGI1.1:9:16613869:16615758:-1 gene:ORGLA09G0154900 transcript:ORGLA09G0154900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTYTPTYYSGLHDTIASLCKSILPFGGFRSGRRLTADQAAARRHADALKWQQESFHRILHLTALHREGIVPTSDVEAFRADMLATLAAAPPPPAHPDQPAILRDKLLFLQELLYAKCISPAEYNSTKSPLVQRLAAFGVVVDCPDADVGDGAAASAAAAAAASSSSVEEWSEIDLQDPPPAAAASDKPKHKAFVPPWKSRGKKEQDASRPPLAPVDQNNSKNASSVLMAESSPSEAMPSAKTEKGKRRHLTAMFHNGGNGSENKEPAVASMEGADQEKDTSKSKKKSSWGFDGLKKWKKASNEDATAGGERPEHAAPRSSYSECRLEASLAVAKDAKRAKKKLNTATGDDDFASDLANDKVLVENTKKELSRIQAELSSTNRNLNFSDQQIEAISTRLPVDKSDLKTFFPKAWCDEHGDNVINVAKKEFKEHVEEMGKQRDITGGDGWVAFGDSHDENFNPRAFSQHQVHDSLSSSQHFTNPFYHEKNPFLNPSYD >ORGLA09G0154800.1 pep chromosome:AGI1.1:9:16579280:16581448:-1 gene:ORGLA09G0154800 transcript:ORGLA09G0154800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFPYSWTRTKHLTLCPPSSHGGDAADHPPLPWILLDVRAYIADRRNSTTATFDLSNGRKIQITFCIAPPPLVSYICAWSPTTDPAEFFAKEPAVGFVNANLVFLRVHSDQIYDFVYQASSSPSLKLIHNPYSPYNPYHYLRRIDNVVVLPVPDRHHAGAAADDDSGRFCVSALDRDRRFDLGHFKLCLYDDNSIDHKWSHTILLLDQLRNPPDKDTVLHLTEKVLILDDEQPLVAFVDLWRGMVICNVLDNSTPGGSSYMPLPPELIDKRRTYNSCVCRNIAIVNGRLTVVRLGIYLDPDDDDDFCAWDLSTWSKPVTCLDNEWREDFMIESSDVSVDDTTQNVCLLPKLDGCPTTETLQLAHPTLSLMDAHIVYIMGKVDISDEKALVLTVDMANKRLQEVSVYDGERIVNDFDYAYTQSTISQYFTEAAAGVKGSLKRPLKFHMQYPHKRLGETISRSDPMDLHEPLQLDAGSDMGTKDETEDSNNPMDLE >ORGLA09G0154700.1 pep chromosome:AGI1.1:9:16570876:16574779:1 gene:ORGLA09G0154700 transcript:ORGLA09G0154700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QR68] MTTLDRTKCQQQGSRLLVRKLPKYVSLNCIVNETNSEDACSGSASIASSLIATGITNDNRKSPKIVPLNLILKKAKRCHAIKPLSKTENIHFSEEKSSDGSADKSSSGDRSFSPQDELWSPKKNRYSSNVSRPHVKTDCQSPCCVLEEDEPLSLADMGTSQLSASRSRGIKNQRACISLNRMERCEEFTNESACSPCGDKHAAVQACEAKFERYIQRPSLDASCCVCGISNLEPSNRLIECSKCFIKVHQACYGVLKVPRGQWFCKPCKINTQDTVCVLCGYGGGAMTRALKAQNILKSLLRGIATAKRSDKYVYSSGNVNSECTSKLHGEYVRHDSFHGHRSRSFNAISSFGIKEASIGSARGDIISKLWTSNRNSSLLGPRTRQWVHVVCGLWTPGTKCPNTITMSAFDISGASPAKRNTECSMCNRTGGSFMGCRDVNCSVLFHPWCAHQKGLLQSEPEGEHNENVGFYGRCLDHAMFDPNHVNPKKECLRSNDWTCARTEGFRGRKGDSFGANRSRKPEEKFGECSVSQEQINAWIRINGSKSCMRGQKEYVHYKQLKGWKHLVVYKSGIHGLGLYTSEFIPRGSMVVQYVGEIVGQCVADKREVEYQSGKRQQYKSACYFFKIDKEHIIDATRKGGIARFINHSCQQSLSDQPNCVAKIISVRNEKKVVFFAERHINPGEEITYDYHFNREDEGQRIPCFCRSRSCRRYLN >ORGLA09G0154600.1 pep chromosome:AGI1.1:9:16555056:16560160:-1 gene:ORGLA09G0154600 transcript:ORGLA09G0154600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteinyl-tRNA synthetase, class Ia family protein [Source:Projected from Arabidopsis thaliana (AT2G31170) TAIR;Acc:AT2G31170] AAAAAARRAVGLLPLLLSSPSRARLPHCQALALTPPLLRPHRLYSHSPKPSSSAAFSASASASASNGAPAGRARELHLYNTKSRRKELFQPRVPGGEVGMYVCGVTPYDDSHIGHARAYVAFDVLYRYLRYLDHKVRYVRNFTDIDDKIIARANQLGEDPFSLSKRYSDDFLSDMANLHCLPPSVEPRVSDHIDQIINMIKQIIDNDCAYAIGGDVYFSVENFPEYGDLSGRKLDDNRAGERVAVDERKKNPADFALWKAAKDGEPCWDSPWGPGRPGWHIECSAMSAHYLGHSFDIHGGGEDLIFPHHENEIAQSRAACCDSSINYWIHNGFVNVNSQKMSKSLGNFVTIRKVTELYHPLALRMFLLGTHYRSPINYTIEQLNVASDRLYYTYQTLQDCEESCQQHQSKAGDPLPANTTNCIQKLHDEFETSMSDDLHTSVALAAISEPLKVMNDLLHTRKGKKQEKRLESLSAMEEKIRMVLSVLGLLPSSYYEALQQLREKALRRASMTEEQVLQKIEERTSARKAKQYEKSDEIRKELAAVGIALMDGPDGTTWRPSAPLSEQGVVAST >ORGLA09G0154500.1 pep chromosome:AGI1.1:9:16547360:16554174:-1 gene:ORGLA09G0154500 transcript:ORGLA09G0154500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;5 [Source:Projected from Arabidopsis thaliana (AT5G20380) TAIR;Acc:AT5G20380] AERCLLVGVGAGPRRHRLLPRMPPPLHAPPALLLLPHRRRRRWPPAVRASPGDGGGGGGGGGGLAGALEKRPVMGVVAEEEDEEEEKGAKVVEGEKGATAALEMRWPPWEGLAERYKLIGATSLAFVICNMDKVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRRVLEIGVVAWSLATAIIPAVAGFMPGLVLSRILVGIGEGVSPSAATDLIARSIPVQERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCLGFQSLKEQQLRGNEDIQVIQDLGQSPSGSSDLISSSVSPKSSESSLGELINSLKDVPWREFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELDLNLTEAAWVSVLPPLGSMIITSIAAPFADNLISNGVDTTKVRKICQTIAFLSPATFMMLSSVDLGVPPWEIVAFLTSGLALSSFALSGLYCTHQDISREYASILLGITNTVGAVPGIVGVALTGYLLDTTHSWSISLFAPSIFFYLTGTAVWLAFASSEPQEFSKSESES >ORGLA09G0154400.1 pep chromosome:AGI1.1:9:16544220:16546177:1 gene:ORGLA09G0154400 transcript:ORGLA09G0154400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAVCGTERVAEVSASASAVAFVAFAAAAAERFLAVLALAMEAVNGGADIFNEDQHAIYKLLGRIKSESTPENKDGSDDDDDDDEDDDEDDEGGDDDDAEEDFSGDEGGEDEDDDDDDPEANGDGGSDDEDDDDDDGGDEDGEDDDDEDDDDEEDDDDEDQPPSKKKK >ORGLA09G0154300.1 pep chromosome:AGI1.1:9:16538344:16540670:1 gene:ORGLA09G0154300 transcript:ORGLA09G0154300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSLKKKSSGGKSGEHGGGGKPALDRSGSKVLDGDETIFTDMAQELKEEGNKLFQRREHERALLNYEKAIKLLPRGHPDVAYLHSNLAACYMQMSPPDHYRAINECNLALDASPRYSKALLKRARCFEALGRLDLAYRDVAKVLAVEPNNLTAIDVGDRVKKAMDEKGIVMDDKEAMPTPEEVVAAAPKQKPRKKKGRKAAAKAAAAAVEEEEEAKAVEPVKEVEEPPRQVKLVFGEDIRWAQVPASCSMAQLREAVRSKFPGLKAVLVKYKDKEGDLVTITNQDELKWAEDLAEPGSSLRLYVTEANPEHEPYLDDTNSGPLERNVNSDNGSTRSNRQDEDRSTVTCIDDWIVQFARLFKNHVGVSSDEYLDLHEVSMKLYTEAIEDTITTEEAQEVFQLAESNFQEMTALAFFHWGNVHMSRARKRLLLPGDSPQELVLEQVKEGYEWAKGEYNKAGRRYEEAVKAKPNFFEGFLALAHQHFEQAKLSWYYAIGSSVDLDTWPSSEVLELFNKAEDNMERGTEMWEEMEEQRLKNRSKPSQENVVLEKMGLDEYIKDVSTDDAAEQASNMRSQINILWGMLLYERSVVEFKLGLPMWEDCLMAAIEKFKLGGASATDIAVLVKNHCANETAQDGLGFKIDEIVQAWNEMYDIKRWLRGVPSFRLEPLFRRRVPQLHTALEHI >ORGLA09G0154200.1 pep chromosome:AGI1.1:9:16535429:16536745:-1 gene:ORGLA09G0154200 transcript:ORGLA09G0154200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAKSYLLVTLLVLLTALPPNPVVAAASPAPLIRGIGAVRPKAVPRSYDARGRSRDYPDEGLNNSTGHYSNGTSGGRNLAAADGGAVQEDHVAPHVSLGIGSPAVNVTLVFDTTSDLLWTQCQPCLSCVAQAGDMYDPNKSETFANLTDSYNYTYSKQAFTSGYLATETFALGNVTVANITFGCGTRNQGYYDNVAGVFGVGRGGVSLLNQLGIDRFSYCFSSSGAPGSSAVFFGNYTELATDNPAASTPMVADPTLKSGYFVKLVGVTVGATRVNVAGASSAEGGGRALVIDSTSPVTFLDDATYAQVKRALIGQLTPLKEANANATAGAGLDLCFELAAGGATATTVPNVTMTLHFDGGGSGGATDLVVPPANYLAVTEDSAGGLLCLAMMPSSSNGVPVLGSWALLDTLVLYDLAKNVVSFQPLDCAAFLAATG >ORGLA09G0154100.1 pep chromosome:AGI1.1:9:16531608:16534221:-1 gene:ORGLA09G0154100 transcript:ORGLA09G0154100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGHRANAVATFAVTILAAMCFAASFSDHFNSPSPTASVKILNINWFQKEANGNDEVSMTLNISADLSSLFTWNTKQVFVFVAAEYETPQNALNQVSLWDGIIPSKEHAKFLIHTTNKYRFIDQGSNLKGKDFNLTMHWHIMPKTGKMFADKIVMTGYRLPEQYR >ORGLA09G0154000.1 pep chromosome:AGI1.1:9:16529478:16530566:1 gene:ORGLA09G0154000 transcript:ORGLA09G0154000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAANRRRRRGGVVYAVVLNDKEGSLVFRFRRKDLFDDDDDPPPAPRRRFPRPVAHFPRGYYRYVFHSFTVSGKQILWVSPFGATVVIEDDGRPGGSGAMRAGPELGTTTCQPILLPIRDDMVLVMAYLPQPAGRSNFAVMRRLPDGGGGGGGWRVVPVPEPPLGGPGDYYCRKPAPVVTAYMTIGRRAWVSIAGEGTFSLDAGGAAAWRKEGSWELPLHGQAMYVRELGAAIGLGRGPGPYGGVVLCLCACDVEARPPVIRRSWNETFPRELVAAHSQYDCPGNLVYVGDGRFCICWVAGVEHDRLETNGMVARTAVVTMAVRLRRSAGGELQLTECGKLRYHLMSPQGRRAYFVQPHIP >ORGLA09G0153900.1 pep chromosome:AGI1.1:9:16522726:16524783:-1 gene:ORGLA09G0153900 transcript:ORGLA09G0153900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPKRDANYVPLSPITFLHRAASVYADRTSVVYGATSFTWRQTHHRCLRLAAALQSLAVSKNDVVSVIAPNTPALYEMHFAVPMAGAVLNAINTRLDAANVAAIVRHAEPKVLFVDYQFIRVATDALKAVMGDGDGDGGEVRAPLPLLVVIDDADKPTGARVGELEYEQLVARGDPARYPPRPVEDEWDAVALNYTSGTTSAPKGVVYSHRGAYLNTMGLLLQWGVGHEPVYLWSLPMFHCNGWTFTWGVAARGGTNVCIRAPTADAMYAAFAAHGVTHMCAAPVLFNILLDGVCREPLRRPVEVLTGGAPPPAALLERVERLGFHVTHAYGMTEATGVVMVCEWREQWDALLPSERARLKARQGVSALTLADADVKDLKTMESVPRDGATMGEVVLRGSNVMKGYFKNPRATADAFRDGWFLTGDVGVVHPDGYVEIKDRSKDVIISGGENISSVEVEAALYQHPAVREAAVVAMPHPHWGETPCAFVSLKREFAGTGEVSEQEVVSFCRNRMAHYMVPRKVVFVDELPKNSTGKVQKLALRDMARRLRLRAPDKARPVAAPARHGPLTQTARL >ORGLA09G0153800.1 pep chromosome:AGI1.1:9:16504100:16508472:-1 gene:ORGLA09G0153800 transcript:ORGLA09G0153800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAPFFGLGDTQMPPPQNPTNPALHHHPNPSPAPVAAAAPAPKKKRNQPGNPNPDAEVIALSPRTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKEARRRVYLCPEPSCVHHDPSRALGDLTGIKKHYCRKHGEKKWRCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQENARMPPIGAGVYGGAGNMALGLTGMAAPQLPAGFPDQAGQPSASAGDVLRLGGGSNGASQFDHLMASSSGSSMFRSQGSSSSSFYLANGAAHHAPAQDFGPEDGQSQAGQGSLLHGKPAAFHDLMQLPVQHQQSGNGNLLNLGFFSGSNGGVDQFNGGAGNGGQGSIVTSSGLAGNHGGGGGGFPSLYNSSEPGGTLPQMSATALLQKAAQMGATTSSYNAGGAGGASSLLRGASSHGISAGEGPANERSSYQNLIMGSMASGGGGAGFAGSFSGASGFGGAVDDGKLSTRDFLGVGVVQGISGSAAMGPPRHGAAGLHVGSLDPANMN >ORGLA09G0153700.1 pep chromosome:AGI1.1:9:16488650:16496768:-1 gene:ORGLA09G0153700 transcript:ORGLA09G0153700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding [Source:Projected from Arabidopsis thaliana (AT1G75010) TAIR;Acc:AT1G75010] MAASLRGLALSPPPLVAPPTCAPSRRLVPSPRSRSGYGVRAAAAADGAPRPSDPVEVVGVGSRKDAVIDFCLGSRTLSSTPIRFWTMHVVDNCTVQLIQKSHGEDVVVRDLKTPLSLQPCPPAVILVASAGQDADHITAMELLSEVKSAGKLAASIFLKPFCFEGQRRQLEATDLIDKLQMCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGLNQTFRSSINAQIMEVHPDELGQLLRSYGEARIGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSITSSRIFSESDMVSTLHIFRRVTGFTEDIIFSRNCEPDLEPKLIVVSLLTVRNSTDENVASVKEGFLSGLALHFPFISSLMGGDIPEQKQATLKHSYSKLPSSGLSLAEQEFSRLSSAFTNVVVNNLFPEETDIXXXXXESKEKTQTQSQEAKIEPDGEISKDREREHLDSEQEHKFWSNSPGIGIAQLWAKVRMASDGGTQNNDINIITLPVGVKSPEVQCGADTRPEAHSGSSSTSAASGHAAFGVSFSDIGLEKVTEMYSSAMTFLKGGMDRSRKRGSVANRAALMLDAERELEKTWSPIVEIQFGGGIYRGRCQEGVPEGKGRITFSDGSFYDGLWRYGKRSGLGTLYYSNGDVFHGTWRDDLFHGKGWYYFHSGDRWFANFWKGRANGEGRFYAKDGSVFFGNFQNGWRHGEALLIDANGSRWIEVWDDGVLIGQTRLEK >ORGLA09G0153600.1 pep chromosome:AGI1.1:9:16481944:16484415:-1 gene:ORGLA09G0153600 transcript:ORGLA09G0153600.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRVHDVVLKQAALAAAAPEMRRPAQLAERERVAGGLNAAFDRCGEVCKEYAKTFYLATQLMTPERRRAIWAIYVWCRRTDELVDGPNASHMSALALDRWESRLDDIFAGRPYDMLDAALSHTVATFPVDIQPFRDMIEGMRLDLTKSRYRSFDELYLYCYYVAGTVGLMTVPVMGISPDSRANTETVYKGALALGLANQLTNILRDVGEDARRGRIYLPMDELEMAGLSEDDIFDGRVTDRWRCFMRDQITRARAFFRQAEEGASELNQESRWPVWASLLLYRQILDEIEANDYNNFTKRAYVPKAKKIVALPKAYYRSLMLPSSVRHCSSQTSS >ORGLA09G0153500.1 pep chromosome:AGI1.1:9:16478268:16480202:-1 gene:ORGLA09G0153500 transcript:ORGLA09G0153500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSAGGASSARHVRELLRRCGSVHRLNQLHAHLVVHGVDDVTSQILASYCALPAGGGVWYARQLFDRIPDPDRFVYNSLIRAYCNSHCPQEALPLLRGMIRRGILPNEFTLPFLLKACARVQAWEHVMVTHGVVVKLGFVGQVFVGNALLHSYASAGSLGDSRRFFDEMVDRNVVSWNSMINGYAQAGNTREACSLFEGMRRQGLLADEFTLVSLLFACSAEGNLEFGKLVHSHLLVRGCWIDLILANALVDMYGKCGDLLMAHTCFDMMPFKNAVSWTSMLCALAKRASIDAARDWFEQIPEKSIISWNAMISCYVQGGRFHEALDLYNRMKLLGLAPDEFTLAAVLSACGQLGDLASGKMIHDCIRDNFHNPGVALFNSLLDMYARCGQVDTAISLFSEMPSKNVISWNAIIGALAMHGRAQDALMFFRSMVSDAFPPAEITFVALLSACNHGGLLEAGQYYFQAMRHVYNVKPGVEHYACMVDLLGRGGQLAKAVDLIKDMPMRPDVVVWGALLGACRIHGHIQIGKQVIKQLLELEGMSGGLFVLISNMLYETHQWEDMKRLRKLMREWGMKKNMGVSSIETNSNIHESGAEGIGHESSDDMYVGDDRLPHHLVFPNALAVPPDQLNVEERKSILKTS >ORGLA09G0153400.1 pep chromosome:AGI1.1:9:16474120:16476026:1 gene:ORGLA09G0153400 transcript:ORGLA09G0153400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALQRSGSNSLAALLRADPPPNAAIADQDDAKRPGRRRRRRRRSCLRLPLGVGAGGCRVCACDEMDPATAAPRRRAPEEKDDDEEEEEAVPPAALQCFSWKKGAAAARTSGVGDGDRVMVEEVEAAAASLSVLPDDLMEMVLGRLPLASLLAARCACRRWRDLTVAPQFMRMRRVEARPHRTPWLFLFGVEGDGWGAAAAATAVHALDVDAQRWRRVGADGLRGRFLFSVAGVGDELYVVGGRSGDAGSVKTKTHKGVLVYSPLAGAWRKAASMRSARSRSVLGVFEMGTISRSILLARADKHVHRHANTGGGKFRLGGTSAVYEDPHRLSLRRLRLRDVLNDDADSSEFAATDAKVAGQEEERRAQQRLALIAVGGRGRWDEPLVSGEIYDPVTDKWFEIAGFPADVGLACSGAVCGQMFYVYCESDTLVAYHLDKGFWSVIQTSRPPPRLRDYAPTLLCCSSRLLMLCVSWCDRAGNGAASRRERVVRKLFELDLGSRRWGEASSHPDAPMDLNAAFAAGADTVYAVEMFRVFGKVLDFVTACRVSDTDDHRWRRLARNNAAADADAMSSKLKSMAVLHLQLLVQPFHCL >ORGLA09G0153300.1 pep chromosome:AGI1.1:9:16464676:16471798:1 gene:ORGLA09G0153300 transcript:ORGLA09G0153300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNALEDSPLMDLQEVLKCHKGTKSAVHTGLLFLSKYLKKYRYGFEYASLEVKDHLERVDFSDLVDTVMILYGSSDMQRTQGNPWITHFHLSEETMKIKRLRLGFIVRELIDQYNALRKKLNNTIPSVCISYSKCSVGNECVKNRSCCVTMVAQVESNSTSQLDIIKERVIPSILATLLKGFLEFENVKVECQQDSELVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSLRSTTDAIGRNIHRQHLLVVADCLSISGQFHGLSSQGLKQQRAWLSISSPFSEACFSRPAYSFINAAKRDSVDNLSGALDAIAWGKEPCAGTSGPFKVLYSGKSQKTKQNKNIYDFLHNPEVQALEKNFMDTYKQRTEKPSKQRSAFSSKGNATINGGTISVNQKFLDSKVGIWENIIDMRTCLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPNSRCFIVQRSDDTSADFSYNKCVLGAANSISPELGSYIEKILSNRAIRPHQL >ORGLA09G0153200.1 pep chromosome:AGI1.1:9:16452583:16453758:1 gene:ORGLA09G0153200 transcript:ORGLA09G0153200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1QR53] MVAAAAAAAEAKAAIEVATPTPTAAPCGSTTTPRDADVDDKMTIVGHRAASLPLETRWPPFPLRRLGGFWMPESLLPAVAALHTSFAPAPDGVLLASFPKSGTSWLKALAFAAANRAAHPPSDADHPLRRRNPHDCVEFFEMRPDEHTGATSDGIAVDAASPPPPPRVLATHLPYSLLPKRITAGDGCRIIYICRDPKDTLVSFWHFSKKMAATMAVDAGAFTFDEAFELFCDGNCTGGPQWRHVLEYWEASRRCPGKVLFLRYEDMLRRPASGLRKMAEFMGCPFAAAEEAAGVADAIVELCSLDELRSLEVNRNGTDVLGLKNESYFRKGVAGDWRNHMTPAMAARLDKIVDDATRGSGLSLANATPSPPMPENEIKGNLTIYHSQNDI >ORGLA09G0153100.1 pep chromosome:AGI1.1:9:16446328:16449184:1 gene:ORGLA09G0153100 transcript:ORGLA09G0153100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLALLLVASMPVVQVLLIGVVGAFLASGYSNILTSSALSDMNKVVFTVFTPSLMFASLARTVTFSDVISWWFMPINIGITFMAGGTLGWIACRILKPPQHFRGMIIAFCSAGNLGNLLLIVVPAVCDEDGNPFGKDSSHCRSLGLSYSSLSMALGGLYIWTHTYSLMKKKRDQMYHQPNSTQCLDDSDEEHHAKKFKANGEAAYADEEATLPVSAKLAQHNEENQMEAPLLSCESKVAKKCSWTTTNLKDTIHHVVEELMXXXXXXXXXXXXXXXXXXXXAPLRVIQDSIQLMGNGTIPCVTLILGGNLIKGLRKSELKRTVIIAIVCIRYVILPLVGIAVVHGAYWVGFLPHDPLYRYVLMMQFALPPAMTIGTMAQLFDVAQEECSVIFLWTYLVASISLTTWSTIFMSILS >ORGLA09G0153000.1 pep chromosome:AGI1.1:9:16416171:16418734:1 gene:ORGLA09G0153000 transcript:ORGLA09G0153000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLLLVASMPIVQVLLIGVIGAFLASGYSKILTSSALRDMNKVVFTVFTPSLMFASLAKTVTLSDVISWWFMPVNIGITFIVGGTLGWIACKILKPPQHFRGMIIAFCSAGNLGNLLLIIVPAVCDEDGNPFGKDRSLCRSRGLSYSSLSMALGGLFIWTHTYSLMQKAGKMYHKMQSKSIQCPADSDEEHHPAQGHDQVKLDGETAYADEEAALLVSAKLAPEHNEENQMEAPLLTCEREIANKGGFWTNLKETVHQVVEELMAPPTVSAILGFVVGLVPWLKSLVIGNGAPLRVIQESLQLMGNGTIPCITLILGGNLTQGLRKSVLKRTVIITIVCIRYVIQPLIGMAVVHAAYGVGFLPQDPLYRYVLMMQFALPPAMNIGTMAQLFDVGQEECSVIFLWTYLIAAIALTTWSTIFMSILS >ORGLA09G0152900.1 pep chromosome:AGI1.1:9:16407768:16408373:-1 gene:ORGLA09G0152900 transcript:ORGLA09G0152900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLQSSEHLTAATATAAASGGRGVHTDTFLILAAVLCFLLCVVGLALVARCSRLCNPSSFAVEAEEAMPPAPCKGLKRKALLSLPTVSFAEAAAAEEEEERPECAICLAEFARGDEVRVLPPCGHGFHAACVDVWLVSTSTCPSCRRAIVVLAAPSPAVTAAATDPPPPCCAADAAQASSQPPQPTGASDRGGCRTSVP >ORGLA09G0152800.1 pep chromosome:AGI1.1:9:16404900:16405349:-1 gene:ORGLA09G0152800 transcript:ORGLA09G0152800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALRLDGLAVSTLDAGGVLNGGRGADFSNGRSDISRCRFRLGDELLLGGAELVVLDAVIRGRQLHASLFHAGGRRGEKFTGAEMEMEREAASDETIEVDKTESAMRKYKNTLPPPHPNTVPSQGRVGTSRYPAMTAVGTYPLNGDDLVPF >ORGLA09G0152700.1 pep chromosome:AGI1.1:9:16400655:16403385:-1 gene:ORGLA09G0152700 transcript:ORGLA09G0152700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 3;3 [Source:Projected from Arabidopsis thaliana (AT2G17270) TAIR;Acc:AT2G17270] MPEMGARGGEAGAARVAKGGGGGGTGGMRLFSPEYYALCAGGGMLAAGATHLAITPLDVLKVNMQVNPMKYNSIFSGLNILVKEEGASSLWRGWAGKFFGYGFQGGCKFGLYEYFKKKYSDVLVDRNKSTIYFLSSASAQIIADVALCPFESVKVRVQTQPMFAKGLIDGFPRVYATEGLSGFYKGLLPLWGRNLPFSMLMFSTFEHTVDILYRNVIQKKKEDCSTMQQLGATCLAGYISGAVGTVVSNPADNIVSSLYNKKAKNIIDAVKSIGFRGLFTRSLPVRITLVGPVITMQWFFYDTIKILTGLPTSGGLPRELEEV >ORGLA09G0152600.1 pep chromosome:AGI1.1:9:16397226:16399877:1 gene:ORGLA09G0152600 transcript:ORGLA09G0152600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGKSSNQILQELDALSHTLYQAHTNRRTASLALPRSASEVNGGGADVVRAESRPRSRRLSLSPFRSRPKQDKNVIVVDDDDDDGDDDGDKGARRAPSKSQSFAAVTTPGGEAAAVAGEKKGIWGWKPIRALSHIGMNRLGCLFSVEVVAAQGLPPSMNGLRLAVAVRKKETRDGAMQTMPSRVQQGAADFEETLFVRCHLYCSGGAGTGKPLRFEPRPFLLSAVAVEAPELDFGRSAVDLSLLVKESTDKSQQGERVRQWDMALPLAGKAKGGELVVKLSFQIMDDGGVGLFNQTGAATKINSSSSSSSLFARKQSKLSFSITSPKVSRSEPKLTPTKGSPSPDLRGIDDFKLDEPSLPSLAEAKQEQKEPEPPEPEEKVDDSEFPEFDVVDKGVEGQEENVVEAKGAAEEEAKEEKAAAEEAPTSAAGDEVVKEVVHDSAHAWRINELDAITNQIKALESMMLGDAPAAGKTEDTRDGDAAALDTDEEEVTREFLQLLEQGDGKATLAKSVSSLKSGAKRDTGGAADASAACYISDLGKGLGPIVQTRDGGYLAATNPFDIPVERKELPKLAMQLSKPVILRDQRLPGGGAELFQQLCAGGCEALFEKLAALVGTDEVVGKTAEQIAFEGMATAIISARSAALGASSSAAQTVSLLRTMSSAMSDGRQERIDTSIWNAHETPVTVDEILAFSLQKIEAMAIKALKVQADMADEQSPFDVSPVSEKRGGGHLLDAAVPPEDWALACVGADTVTMLLVAQLRDPLRRYEAVGAPSIVIIQAVRIAGNDDDDEPKFKVANMHVGGLRLKSADRRNVWDGEKQRLTAMHWLVAYGLGKAGRKGRTAAAAGKSGHDVLWSMSSRVMADMWLKPLRNPDVKIPLK >ORGLA09G0152500.1 pep chromosome:AGI1.1:9:16394649:16396474:1 gene:ORGLA09G0152500 transcript:ORGLA09G0152500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKPDTQLFQLLSDLLQQVESMSNQEEVELRAKIEALGLEVTKVPEQTPKQLDELEIAAELDKLSARLDNVDKMISSAMASDPEVKSLLSTTADIWMPVITASADERRGFAGTSGESNQEEQESSKQ >ORGLA09G0152400.1 pep chromosome:AGI1.1:9:16391274:16392149:-1 gene:ORGLA09G0152400 transcript:ORGLA09G0152400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPMMRPPAQQPPLQYQMWPPPPPPPPVMELPVVFVGVKPVRPAWKRVARQPGWKQRKAAASAAAAAVGARWGGAAAPRNTTSYLIRAKRAGGVASLVSPCPVTPAVLPTPQLSPAREVVVEMAKEKWGVDGYGSMKGLIRLRSQAADAGGLPGEDSGSGESDVEEHVEVERRLDHDLSRFEMVQLPVAAGDCEDDEDDDDGDEARTARLEEENLTLRERLFLMERDMDDLRRRLLAVETLCRDRHRDGCVVDAAGVVAEETVLSESVAGADLAGVGDDDGDTAADAMKA >ORGLA09G0152300.1 pep chromosome:AGI1.1:9:16384967:16388293:1 gene:ORGLA09G0152300 transcript:ORGLA09G0152300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:quinolinate phoshoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT2G01350) TAIR;Acc:AT2G01350] MPAAAAAAAPPNPNVLQLAPRLRGLVSFPSSYSSSSPFSNRLRLRLPRAASMSAEARVPVAPPAHPTYDLKAVINLALSEDAGDRGDVSCLATIPSDVKAEATFIAKEDGVVAGISLADMIFKQVDPSLKVEWFESDGNYVHKGLQFGRVYGCARNIIVAERVVLNFMQRMSGIATMTKAMADAAHPACILETRKTAPGLRLVDKWAVLIGGGKNHRIGLFDMVMIKDNHISVAGGITNAMKFVDRFLAKEKLALPVEVETRTLQEVKDLLEYAAENNTSLTRIMLDNMVVPLGNGDIDVSMLKDAVELINGRFETEASGNVTIDTVKKIGETGVTYISSGALTHSVKALDISLKIDTELALQVGRRTNRA >ORGLA09G0152200.1 pep chromosome:AGI1.1:9:16382180:16383739:1 gene:ORGLA09G0152200 transcript:ORGLA09G0152200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLAAERRYKEEHRKLMAYGMADGWAYGMADVWARDLMDKATEARWRVDLASSVWVILLCVAACLALGVGFPLVDFLVLPSSENSNRIILLVWELVGALIMAYYAWSHYRKRAAAQDVLAKAQDVFNQAGVSWPLLVYCFKKPSTNLYPDNMGPITIRLTVPSVDTYNTSTVTSSVATDNTSTITSSTIVHPHTLPL >ORGLA09G0152100.1 pep chromosome:AGI1.1:9:16377060:16379757:-1 gene:ORGLA09G0152100 transcript:ORGLA09G0152100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 23 [Source:Projected from Arabidopsis thaliana (AT2G42070) TAIR;Acc:AT2G42070] MLLVRSHHLLLHRHHHTARLSPRFHRLLLRHPPPLPRAASAASRLRPPRMSSASSSNASSPAPSPPPPVVPKSKIRFCPSCGSPTKLAIPDGDEKMRAVCSSCGRVHYENPKMVVGCLVEHDNKVLLCRRKIEPAYGLWTLPAGYLEVGESAAEGASRETLEEACADVEILSPFAQLDIPLIGQSYIIFRARLKTPNFSPGPESLECALFTLDDIPFDSLAFSSIIVTLRMYLEDVKSGNIKFHYCTINKRIGTGASDLRSFDIDNHLAV >ORGLA09G0152000.1 pep chromosome:AGI1.1:9:16371184:16375945:1 gene:ORGLA09G0152000 transcript:ORGLA09G0152000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAADVKLEGLRAATDKLDQISENEKSGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLSAAPEDLNETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCNVPLLLMNSFNTHDDTQKIVEKYSNSNIEIHTFNQSQYPRIVTEDFLPLPSKGKTGKDGWYPPGHGDVFPSLNNSGKLDTLLAQGKEYVFVANSDNLGAIVDIKILNHLIHNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEAEALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFEKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNPARTNPSNPSIELGPEFKKVANFLARFKSIPSIVELDTLKVSGDVWFGSGVTLKGKVTITAKSGKLEIPDGAVLENKDINGPEDL >ORGLA09G0151900.1 pep chromosome:AGI1.1:9:16365706:16366017:-1 gene:ORGLA09G0151900 transcript:ORGLA09G0151900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:I1QBF3] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ORGLA09G0151800.1 pep chromosome:AGI1.1:9:16361061:16363371:1 gene:ORGLA09G0151800 transcript:ORGLA09G0151800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKVHGLSIDLDIIPEVDLYKCEPWELEEKSFLPSKDSEWYFFGPRDRKYPNGCRTNRATRAGYWKSTGKDRRINYQNRSIGMKKTLVYYKGRAPQGIRTSWVMHEYRIEESECENAMGIQLQDSYALCRIFKKNVVLGEFDKKGECSSSQAKGNEEQVTDFGDAGQSSGANENDKDNSWMQFIAEDLWCTNKLK >ORGLA09G0151700.1 pep chromosome:AGI1.1:9:16356152:16358485:1 gene:ORGLA09G0151700 transcript:ORGLA09G0151700.1 gene_biotype:protein_coding transcript_biotype:protein_coding WKRFKLLVRVIRESAKEEPKVAIRSTKILWEGXLCRPVSLLLCLLPQHXLPXKTYLXGWWQQQLGKASAAAQKKQGVFGQSCRRRRRRRRRPASSRRGRRRRIGGHRHRRLMAPVGLPPGFRFHPTDEELVNYYLKRKIHGLKIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVVHQHGGRAIGMKKTLVYYRGRAPQGVRTDWVMHEYRLDDKDCEDTMPIQDTYALCRVFKKNAICTEVEELQGQCSMALLEGACQQLLASGGGGSQEQYETPSPPDVPVGSTSGGADADAEAEDDPDKDDSWMQFISDDAWCSSTADGGAEESTSCVALAG >ORGLA09G0151600.1 pep chromosome:AGI1.1:9:16352294:16352920:-1 gene:ORGLA09G0151600 transcript:ORGLA09G0151600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGAAAATRRLGAAPGKAAAASAVPRPDPASPRPDPTPGWPGSLWPASPATRGRRVRKDGACATGGRRRRGRCVRRRAAGRRGSVGWRRRCLPPDLVDDRRWGLLPDGWLRCEGSDWDAGLHGGGGVVACRLCGSVAGVGRWRCRRIWGRARIQPHSTTITTGDDEGTPCGCLLISLELLPFLTAWLAGAPLRDGEGGCKVAPGCCR >ORGLA09G0151500.1 pep chromosome:AGI1.1:9:16347973:16348812:-1 gene:ORGLA09G0151500 transcript:ORGLA09G0151500.1 gene_biotype:protein_coding transcript_biotype:protein_coding YNDTDGEDVTIVFMGDTAGAVSPGDISYFVLAGPMGVLGGLDAGLLAKASGLTSPEQAATAFRSQPAALLTRLNGKLHGVRPREHDRHGLVVNAARVPADSNTGGAAAGTKTVTAAHLPVLAQLGFSVGLTRLDAGAAVRGPWVLRDAAAQAVYVARGSGRVQVAGAGGASTLLDAEVAAGSLLVVPRYGVSLAAADDAGGMELVSLIKSPRPATEHFTGKGSVIGGLTAEIVQAALNVSPEFVEQLRTKY >ORGLA09G0151400.1 pep chromosome:AGI1.1:9:16344335:16345482:-1 gene:ORGLA09G0151400 transcript:ORGLA09G0151400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPKAGKPLVENDAGSYLAWSGKNQPALAGEKLGCGLLVLKPLGFALPHYADSGKFGYVLGGSAVVGVLPVGLDARERVVRLEAGDVIAMRAGEVTWWYNDADGEDVTIVFMGDTARAASPGDISYFVLAGPMGVLGGLDAGLLATASGLTLPEQAATAFRSQPAVLLTRLSRKLQDVRPREHDRQGIVVNAARVPADSSTGGAAAGTKIVTAAHLPVLGQLGFSVGLTRLDAGAAVRGPWVLRDAAAQAVYVARGSGRVQVAGAGGASTLLDAEAAAGSLLVVPRYALALVGVDAGGMELVSLIKSPRPAMEQFTGKGSVIGGLTAEIVQAALNVSPELVEQLRMTK >ORGLA09G0151300.1 pep chromosome:AGI1.1:9:16339231:16340897:-1 gene:ORGLA09G0151300 transcript:ORGLA09G0151300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDMSPKAGKPLVQNDAGSYLAWSGKDQPALAGEKLGCGLLVLKPLGFALPHYADSGKFGYVLGGSAVVGVLPVGVDSRERVVRLEAGDVIAMRAGEVTWCPGDFSYFILDGPMGVLGGLDAGLLATASGLTSPEQAATAFRSQPAALLTRLSRKLHGVRPREHDRHGIVVNAARVPPDSTGGKTVTAAHLPALAQLGLSVGLALLDAGAAVRGPWVLRDAAAQAVYVARGSGGVQVASAGGASTLLDAEVAAGSLLVVPRYAVALVAADDAGGMELVSLIKSSRPAMEHFTGKGSVIGGLTPEIVQAALNVSPELVEQLRTK >ORGLA09G0151200.1 pep chromosome:AGI1.1:9:16333518:16336256:-1 gene:ORGLA09G0151200 transcript:ORGLA09G0151200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGNGYCSSGSEGEDEDEGMEGYRKGGYHAARPGDRFAGGRFVAQRKLGWGNFSTVWLAYDTLLNRFVALKIQKSARDYAQAALHEIELLSAIAKGDPTNSKNVVQLLDHFKHAGPNGHHVCLVTEFLGDSLLRLIRYNRNKGIGLSRVKEICRSVLVGLDYLHRELGIIHTDLKPENVLLVSTINPSKDPVRSGFTPILERTVSNQYSGSVISFSEKMLKMRARRAVAKISLRRESLGGVAAEMEKERSLDGISLKCKIVDFGNACWGSQQLAGEIQTRQYRAPEVIIGAGYSYSADMWSFACMAFELATGEVLFAPKTCQGCSEDEDHLALMMETLGKMPKKIASSGTRSKDYFDRHGDLKRIRRLKFWPLERLLVQRYNFTEPDAQGLADFLRPILDFTPENRPTAAACLKNPWLN >ORGLA09G0151100.1 pep chromosome:AGI1.1:9:16330964:16333422:1 gene:ORGLA09G0151100 transcript:ORGLA09G0151100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAKLFITGLSTVITCSSAVVFKGAAMAVVLLLMLVQLPGYLSCLLLCAIKAAVERAVAATFAAAGDAVSAAADAAMGWRDAASSNSIAAVAFVQAAMGRPKALLAEMLAIFGLVASLSCSPATRRRRTSLLGLPRAPPQPTVTFFSGAKTCTGQVTARITDKNCSSKQTATEHAGSEPMMRVSTVCSMKTELHQKNQALQASRKLAEGKEPRP >ORGLA09G0151000.1 pep chromosome:AGI1.1:9:16320385:16330437:-1 gene:ORGLA09G0151000 transcript:ORGLA09G0151000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWRAWRSAAATQMVRWSAEVPARALVGGGDADGGVDGVFQGAAVLLAFTRTGGAPVGGRRRPRPPLPPELACSTAHPRHPLPGKKREKGEGRREEGKLIVCWVRGGYSINMQTIKRLPKSVHSSLRSSIVLFDLSRVVEELVYNSIDANASKIDISVNARACYVKVEDDGCGITRDELVLVGEKYATSKFHNVMVDGEPSSRSFGLNGEALASLSDISVVEVRTKARGRPNSYCKIIKGSKCSHLGIDEQREVVGTTVIVRELFYNQPVRRKQMQSSYKRELHLVKKSVLRVALIHPQVSLRLFDIESEDELLYTIPSSSPLPLVSNILGKNVSSCLHEIATSDKHFALSGHISRPTDVFCNKDWQTVMYFIERTITDYWKKHAPQLPEVKAIGNDTCVPLERDVKSSQELLRRHGVQKKEDVAELYQTALQKNTVRDMNFDTAAPAEPKDNYLSLDMEPSTWRACYDQISDASHTDDVARNGRKFGHKQICSLQSYSYQWLEDGSSLLEDSDLSSANPTICKMQKTEDIFHGHAYSGKFGLLQDAEIEIGPEIKLQEYCFESPNKPNRMTCDFVQKQTNIQAHISGRDGFYVDFDKLNEDCLLNEISKTITDVSCPQMPHFNDGLCPEDVGSSKSSCSVRKKSSKRQNSANAIAQMKFHDMQAVCESDYMDRSFIKDTCGLHFFHPFSLADTPRSHSRARIDLELHGRSNESIKSWNCENIGTDFGFTSDRFNIDSSMIFEGSKHLNNFGNGTQSPSYFNHEYCSVGQFASKQDRIPLKSKHDARMSYDISPEKSSTGCHLNVSFSQVAKSSKLTEDQYGCSQRPRLSRGRYRSRSAPPFYRGKRKFPRLNEPLTKLTTEGGKYTTVNDSGDADITPVQEYTSHMNATQPIPETFSNDFSDLNFSLKGNVKMCEEKCSDELEDSTASDEITKWRDDSDHHAVEHFVPRVISLCTIFYAHILSLCVGFGVATCVLVPECIDKNCFEEARVLLQLDKKFIPVISGEVLLLVDQEEFISYVHILYEVVSVYMVTKHAADERIRLEELRRKVLSDDGRGITYLDSEEDLVLPETGFQLFQKYMQQIQSWGWIINSTNSCESFKKNMNILRRQSRRLTLAAVPCILGVTLTGKDLMDFIQQLDDTDGSSAIPPAVIRILNFKACRGAIMFGDPLLPSECSLIIEELKATSLCFQCAHGRPTTVPIVNVASLRGELARLGAVNGRQEETWHGLSHHGPSLERARTRLRELRKLRGGL >ORGLA09G0150900.1 pep chromosome:AGI1.1:9:16312053:16319764:-1 gene:ORGLA09G0150900 transcript:ORGLA09G0150900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAADEDFANPPPPARAPGGRVYQVGGVPVEFPYKPYGTQLAFMGRVIATLDRARRQGRSHALLESPTGTGKSLSLLCSALAWQRHYPLRAPPAAAAAAAPDPFLHGGGFVPDDTQKQATPGVPEKATRKKNVPTIYYATRTHAQITQVVREYRKTSYRVRMAILASRKHYCVNKNVCMSGNIDELCKSLLDDSAQGCPEYKNAQKLSRHPSLQIGGCYEVHDIEDLVRVGRKAKGCPYFAAQTMAEAAQLVFCPYNYLISPIVRRAMDIDIRGSIIVLDEAHNIEDIARDAGSFDVDEESLLFLRQELEGLVTDEAVAKIYEPLHEVIQGLNGWIDEQGNNLQKNEFEHPASFWTGEEAMKELKYAGITPVNFPVLQECATKAIKAASDAESEGVHLSGGSAMTLESLFSSLSYFFAENGRHSNDYQLALQRFAKCEGANMMSYDLIICNSVTSFIGWKCVMSLWCLNPAVVFRDIADLTLSVILTSGTLSPMGSFASELGVQFEACMEAPHVINADSQVFATVLSSGPTGRRLNASYRTANEYSFQDELGATLEEICRVVPGGALVFFPSYNLLEKLQRRWYQTEPRGSTEELEPVLKGYYNAILGKAPPKKGRGGAKQIVKNRVTKDSSQESAKGGAAFLAVCRGKVSEGIDFSDDKARVVVSFVFSYVFYAPERINDVQVKLKKRYNDSYKSSKDLLSGNEWYCHQAFRALNQAAGRCIRHKFDYGGIILIDERYQEERNIVYISKWLRNSIRNCGTFQETMDGLTRFFQNAEEQIKIKAQGVSPKDRLDACVLPSQGDKRKLPWPEPNFSNQTVLQNNKDVKSESHIDGAGVDRMKLSDTSSESWHISSKHSGLVKKQISPEPENVPMACQLPPSYKVQYNLEGEADNGTNYEVNINFIDLEECDTKPRYAKLTIFNPSEHITQQSTVMEETYAEVPIASPSNRNGENASTVMNEGDPVLDLPISLSAANRNISCVSTSAATPERSGSISHLEHESWTNRSVNSHCQKRRKLSSPMSCCTYTERSCSPSKPYLHGGCDVSMLPGDLRTDGVCCKSMKMSRCENVKVERNSKLAEFPSRESTQEKLFICCARCKTALGLQEDGFLVSCSSSLSSKFYLTYLWRHGPSADILPGKDFLASPPLKIKVMVCNVSSVNKMMLGNLSNEGSAHNSSFWSEKDGCVYKPVTCQTCSCKNACVTTLGAQVVATDSSNQQFCDKVLLFDNLLHVKHGPSKDQAASAPIGTVKPISPPPVIDLESFAYKPLKKDPVPVNTRRSKFFVVYVSSLGYLAQPNPGMVHNMKDSSAICSERTGKSSTLHKRMECQLLWVLFCVVFPLM >ORGLA09G0150800.1 pep chromosome:AGI1.1:9:16309012:16310498:1 gene:ORGLA09G0150800 transcript:ORGLA09G0150800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARSRSSNGGDSRLSVRKTKAEKDPNKPKRPPSAFFVFMEQFRKDYKEKHPNVKQVSVIGKAGGDKWKSMTDADKAPFVTKAEKLKAEYTKKIDAYNNKQAGGPATSGDSDKSKSEVNDEDEGSGDE >ORGLA09G0150700.1 pep chromosome:AGI1.1:9:16295990:16299668:-1 gene:ORGLA09G0150700 transcript:ORGLA09G0150700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QR28] MGFFSPSNSTPDKLYLGIWYNDIPVRTVVWVANQETPVTNGTTLSLTESSDLVVSDADGRVRWTANVTGGAAGAGNGNTTAVLMNTGNLVVRSPNGTALWQSFEHPTDSFLPGMKLRMTYSTRASDRLVSWRGPADPSPGSFSYGGDTDTLLQVFMWNGTRPVMRDGPWTGDVVDGQYQTNSTAINYLAILSRDDEVSIEFAVPAGAPHTRYALTYAGEYQLQRWSAASSAWSVLQEWPTGCGRYGHCGANGYCDNTAAPVPTCRCLAGFEPAASAGCRRTVAVRCGDGFLAVEGMKPPDKFVRVANVATLEACAAECSGNCSCVAYAYANLSSSRSRGDTTRCLVWSGDLIDTAKVGLGSGHSDTLYLRIAGLDTGGTAKSYAVKIVLPVLACILTVLCISFAWLKIKGKRRNRQKHRELILDVTSTSDDVGKRNLVQDFEFLSVKFEDIALATHNFSEAYKIGEGGFGKVYKAMISGQEVAVKRLSKDSQQGTEEFRNEVILIAKLQHRNLVRLLGCCVERDEKLLIYEYLPNKGLDATLFDGSRKPKLDWTMRFNIIKGVARGLLYLHQDSRLTIIHRDLKASNVLLDAEMRPKIADFGMARIFCDNQQNANTRRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEVITGIRRSSTSNIMDFPNLIIYAWNMWKEGKTKDLADSSIIDSCLLDEVLLCIHVALLCVQENPNDRPLMSSTVFILENGSSTALPAPSRPAYFAYRSDESEQSRENIQNSMNTFTLTNIEGR >ORGLA09G0150600.1 pep chromosome:AGI1.1:9:16290727:16294623:1 gene:ORGLA09G0150600 transcript:ORGLA09G0150600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QR27] MDRSDAFIYVIIMSVVVLLIPPPCSANDRLVPGKPLTSDGTVVSDGGAFAMGFFSPSNSTPDKLYLGIWYNDIPVRTVVWVANQETPVTNGTTLSLTESSNLVVSDADGRVRWATNVTGGAAGNGNTTAVLMNTGNLVVRSPNGTIFWQSFEHPTDSFLPGMKLGMMYETRAADRLVSWRGPGDPSPGSFSYGGDTDTFLQVILWNGTRPVMRDGPWTGYMVDSQYQTNTSAIVYLAIIDTDEEIYITFSVADDAPHTRYVLTYAGKYQLQRWSRGSSAWVVLQEWPAGCDPYDFCGPNGYCDSTAAEAPPLPSCRCLDGFEPASAAEWSSGRFSRGCRRKEAVRCGDGFLAVQGVQCPDKFVHVPNRTLEACAAECSGNCSCVAYAYANLSNSRSKADSTRCLVWSGELIDMAKVDAQGLGSDTLYLRLAGLQLHAGGKTKSKAVKIVLPVLASSILVILCISFAWLKMKACKKRNREKHRKQILFGMSAAEEVGEGNPVQDLEFPFVRFEDIALATNNFSEAHKIGQGGFGKVYKGMLGGQEVAIKRLGRNSQQGTEEFRNEVILIAKLQHRNLVRILGFCVEGDEKLLIYEYLPNKSLDATLFNGSRKLLLDWTARFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNILLDAEMKPKIADFGMARIFGDNQQNANTQRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEVITGMRRNSVSNIIGFPNLIVYAWNIWKEGKTENLADSSIMDSCLQDEVSLCIHLALLCVQENPDDRPLMPFVVFILENGSSTALPTPSCPAYFAQRSDKMEMDQLRHNIENSMYALTLTDVEGR >ORGLA09G0150500.1 pep chromosome:AGI1.1:9:16284969:16286295:1 gene:ORGLA09G0150500 transcript:ORGLA09G0150500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQKWAAAKAKALQDLETRFIQQTATILSCYDDLLPEHIRLDLQEQHCNDHKVPDDLWLKFINAAFDGNPETLDREGGEQLKVHARKEADKFWIEAAGAAKKAQALKEMEERFRQEFIKPGLDKILLXLVESLPEDIREDFFRVRYEIVDEVQEILNERVEQNFGVGDHEKRLKIRAWEESQRFRMDAAADKRAAKKLQALQDMKKGFILYRLDRFLRGSPKYVKQHLIREHTEYSVPANMQLRFIDDIERKFRKIDYQEVIKARIWEGYERSKMPLIKRSLATVGVMLSSFFVPNKVTELQSFRIDGSKERGRGTWLEFFLDGESTLFHVSMDGMPKSLKMVCWVTSVVIFFAAMLSLQSTTMEAQRNCFWLPPRSKRLILKILLQLLTNAI >ORGLA09G0150400.1 pep chromosome:AGI1.1:9:16278995:16283818:1 gene:ORGLA09G0150400 transcript:ORGLA09G0150400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGAPRRQQPAPAARQLAVAKKGKAPPKAAKRAPKKKQELLESSSDDSELEQQQERLQELEEDESGSDLDAPSDSGAEELSDSDDASLEGGDSGDEEEDDDEEEEEDDDDPLADDFLAGSSDDESGFVADGDDSGVDSDESDDLEAKSRAIDEAKGKAEEEAEEELKLNIRSESDEFRLPTKEELEEEALRPPNLPHLKRRISEIVRVLSNFSKLRQKDVPRKDYVDQLKTDVMSYYGYNDFLVEAFIEMFPAVELLELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFSEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKMSKGIEDIRDCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSLMIPENEAVIDYALKKRSVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVTSESPKVPGEAIEKTDPSTDDLQEQPVQSKKHKDVKKMNEETTILDGVTEDKQQTHDRTEKTLKNHKKGEKKRNGPDSGKTKGDEKETHNEQEEPTSEKKQPVSAKIKKTVPKRTSATKEKKPDTDQGGKRKRNWMVRREWEAYKKSRSKKV >ORGLA09G0150300.1 pep chromosome:AGI1.1:9:16256873:16260076:-1 gene:ORGLA09G0150300 transcript:ORGLA09G0150300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QR24] MDSTASTTIVVFLLLLPRLCSSAGDKIELGEQLLLGQTRASDGGAFVLGFFSPSNSTPERQYIGIWYNITDRTVVWVANREAPAIAAGRSIAPRLALTNDSNLVLSDADGRVLWSTNVTAGVADAAGRSTSPPVAELLNNGNLVIRSNGAILWQSFDHPTDTVIPEMKVQLDKRTRRGARLVSWKDAGGDPSPGSFSYGMDPETSLQLVMWNGSRPYWRTTVWTGYLTSGQYLAATGTTIYLDVVDNDDEIYVKLRVSDGASPTRYVMTSSGEFQLLGWDKSSSEWITFSSFPTHHCTTYGYCGPNGYCDITTGAAAACKCLDGFEPASGGEWSASRFSEGCRRKEAPPCGGGDGFLALPRMKVPDKFSTLVGNMTFDECAARCATNCSCEAYAHADLSSSSARGDIGRCLVWAGELIDMVMIGQTTWGRAGETLYLRVPAGSTSSRGRGNVVKIAVPILASALVLTCIFFVYFCKSRENRRKRESQKTLVPGSRNTSSELLEENPTQDLEFPSIRFSDIVAATDNFSKSCLIGRGGFGKVYKVTLENGQEVAIKRLSKDSDQGIEEFKNEAILIAKLQHRNLVRLLGCCTEGSEKLLIYEYLANKGLDAILFVMMMLMLFADGARKSLLDWPTRFGIIKGVARGLLYLHQDSRLTVIHRDLKASNILLDAEMRPKIADFGMAKIFGENQQKANTKRVVGTYGYIAPEYSTEGSFSVKSDVYSFGVLLLEIVSGIRISSTDIMEFPSLIVYAWSLWKEGKAKNLIDSSIAESSSLDEVQLCIHVGLLCVEDNPNSRPLMSSVVSILENGSTTFLAMPNQPAYFAQTTSEMDKMTDGSSRNTMTMTVLQGR >ORGLA09G0150200.1 pep chromosome:AGI1.1:9:16241702:16255246:-1 gene:ORGLA09G0150200 transcript:ORGLA09G0150200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GXWHVHANPVSAHIVGSTLVGIGSSPQVQQFWTPPTRLLPRVQPHRAARARYNERRKLASTELRRAGSRCPSVHPSAAHAPQHLSVILSLGVLLLFTRRHGRISHGPMEFMSLFLIPGISKPQQILLWQVAILSFLVGAGFIGLYLKFFYWSSERKIKKA >ORGLA09G0150100.1 pep chromosome:AGI1.1:9:16236310:16237891:-1 gene:ORGLA09G0150100 transcript:ORGLA09G0150100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWIAFNYLTTAILLLLPACVADDQLVPGKPLSIGSTVISNGGAFALGFFSPTNSTSSNLYLGIWYNDISPLTVVWVANRETPAKDGGHGSSSSAPSLTLSNSSGLVLADGDGRFLWTTDISIIEASSPAVAVLMNTGNLVVRSPNGATLWQSFDHPTDTYLPGMKIGINYRTRAGERLLSWNDGPGDPSPGSFSFGGDPDTFLQLFIWNQSRPYWRSPVWTGNPIPSQLMVNGTTVIYLSVVDADDEIYLSFGISDRAPRTRYVLTNSGKLQVLSWDGGDGASEWSKLGELPKYECEHYGYCGPYGYCYYSEVAPTCECLDGFEPRSKEEWSNGRFSRGCRRTEELPCGGDGGDAVFLEMQGMQLPDKFVRVRNKTFHECAAECAGDCSCTAYAYANLGGSGSARKDATRCLVWLGELIDTQKVGPDWVPWGIVGGETLYLKAAGFTGLKSRRISGRSLHVSFIIA >ORGLA09G0150000.1 pep chromosome:AGI1.1:9:16232261:16235740:-1 gene:ORGLA09G0150000 transcript:ORGLA09G0150000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WIGHHLLSPASPPSSSCRRRCAPPTTGLSPASHSPPAPLSSPMAATSPWASSPHLTQLRRSCTSAYGTTTSHGAPWFGSPTGQLRSSLTAALTPRCLLLPXPTHLTLSCPMPADRLFGPRTSPPXRAPCRCRRHRRRPCSXTRATSSFGRRTAPCCGRALASRRTRCSPAXKXGSATGRSPATGWFHGRAPRTPRRAASPTAATQTRSSSSSYGTVRARRGAPASGRGTWXRRASSRPTPERRSTWHWSTPTTTYPLCSPXQTAPRPRVSCXATPASYSSLAGTRKHPNGXCSPHGRPWIASRMSTAARAAPATPRPPSRRASAWTGSSQXARRSGTAACSPVAADERRRCDAAATGTSWRCRAXRCLTGSCTSGNRSLDECAAECGGDCICVAYAYATLNSSAKSRGDVTRCLVWAGDGELLDTGRLGPEQVWGTVGAGGDSRETLYLRVAGMPNSGKRKQGNAVKIAVPVLVIVTCISLSWFCIFRGKKRSVKEHKKSQVQGVLTATALELEEASTTHDHEFPFVKFDDIVAATNNFSKSFMVGQGGFGKVYKGMLQGCQEVAVKRLSRDSDQGIVEFRNEVTLIAKLQHRNLVRLLGCCVEGHEKLLIYEYLPNKSLDVAIFKSERSVTLDWPARFRIIKGVARGLVYLHHDSRLTIIHRDLKTSNVLLDSEMRPKIADFGMARIFGDNQQNANTRRVVGTYGYMAPEYAMEGMFSVKTDVYSFGVLLLEVISGVKISNIDRIMDFPNLIVYAWSLWMEGRAKELVDLNITESCTLDEALLCIHVGLLCVQENPDDRPLMSSVVSILENGSTTLPTPNHPAYFAPRKNGADQRRDNVFNSGNEMTLTVLEGR >ORGLA09G0149900.1 pep chromosome:AGI1.1:9:16229201:16231261:-1 gene:ORGLA09G0149900 transcript:ORGLA09G0149900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSPSNSSGLYLGIWYNNVPKLTVVWVADQLAPITDHPSSSKLAMADDSSNLVLSDAAGRVLWRTNVTAGGMNSSGVVAVLVNSGNLVLRLPDDTALWQTFEHPSDVFMAGMKLGIDYRSHSGMRIVSWKGAGDPSPGSFSFGVDPERPLQAKIWNGSRVHCRSSMWTGCMVDSNYQKGGSSAIYTAVVYTDDEIYASFTLSAGAPPMHYLMSYSGDLHLQSWSNVSSAWVTNARFPRRDCSLFGYCGAFGYCGNSTGGGVSTCHCLEGFEPASGADWSRGDFSLGCRRKEAARCGDGFAEFPDMKLPDGYALVGNMNAGECAAACRRNCSCVAYAYADLSSSTRRDPTRCLMWGGELLDMEKVNESWGDLGETLYLRMAGAGRGGQKQVQ >ORGLA09G0149800.1 pep chromosome:AGI1.1:9:16214030:16217751:-1 gene:ORGLA09G0149800 transcript:ORGLA09G0149800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QR19] MDWPASASTCIAFVFLISWPSLCASDDRLAIGKTLSPGATLVSDGGAFAMGFFSPSSNSTNATSSGLYLGIWYNNIPKLTVVWVADQAAPIADHPSSPASTLAVARDGNLVLSDGATGRVLWKTNVTAGVNSSASSGGGVGAVAVLANSGNLVLRLPDGTALWETFEHPGNAFLPGMKIGVTYRTRGGVRLVSWKGATDPSPGNFSFGGDPDRPLQVVIWKGSRVYWRTNPWKGYMVDSNYQKGGRSAIYTAVVSTDEEIYAAFTLSDGAPPMQYTLGYAGDLRLQSWSNETSSWATLAKYPTRACSVFGSCGPFGYCGDVTATASTCYCLPGFEPASAAGWSRGEFTLGCRRREAVRCGDGFVAVANLKLPDWYLHVGNRSYDECAAECRRNCSCVAYAYANLTGSSTRDATRCLVWGGDLVDMEKVVGTWGDFGETLYLRLAGAGRKPRTSALRFALPIVLASVLIPICILICAPKIKEIIKKKYGENNKRRALRVLSISDELGQEIPAKDLEFPFVEYDKILVATDNFSEASLIGKGGFGKVYKGVLDGREVAVKRLSSWSEQGIVEFRNEVVLIAKLQHRNLVRLVGCSIEGDEKLLIYEYMPNKSLDASLFKGKIKSVLDWSTRFKIVKGIARGLLYLHQDSRLTIIHRDLKASNILLDAEMNPKISDFGMARIFGNNQQKEVTKRVVGTYGYMAPEYAMGGIFSMKSDVYSFGILLLEIVSGSKISSIDLIEDSPNLPVYAWNLWNEGKAEIMIDSTITGNCLLDEVILCIHVALLCVQENLNDRPLMSDVVLILEKGSKSLPAPNRPAYFAQRNNNEVEQGRNGSQGAQNSNNTVTLTDLEGR >ORGLA09G0149700.1 pep chromosome:AGI1.1:9:16207258:16211593:-1 gene:ORGLA09G0149700 transcript:ORGLA09G0149700.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSFYLLLLLPQGEKTRKATDGSEEPSDSGPTTPLPDKKLLVFVLDRLQKKDTYGVFSDPVDPEELPDYHDIIKHPMDFSTIRKKLNKGAYGNLEQFEDDVFLLTSNAMCYNSPDTIYYRQARAIQELAKKDFENLRQDSDASGPEPELEIKPDPEPKPQPRRGRPPNKNTIKQKVGKPPVERATADFSGATLASVGNSGHRTQPPFDLQRQVMNGSFIADVLRASFASRNNGYNWSNERKLERIEDYSGSMGKWSAKSGKKPILTEESSRSTYCQPQPSSSIYELPVSSSYNETRKLLVPVGVQLQQSYPRSLARFAAQLGPVAWEIASKRIERALPPGTKFGRGWVGDGEAPNATQPPVLTTSSTALIHPSSTETSSEQPTHNGPPSSSHSAGPQPSSAPYASSTVTTHRVNCQSLPSQQHGSVPQVAAERGEHGAEVKGNHNNLHERPAIQHTVNGFSAVSGSNIFPSAAQMVANRMQTHTAD >ORGLA09G0149600.1 pep chromosome:AGI1.1:9:16203249:16203668:1 gene:ORGLA09G0149600 transcript:ORGLA09G0149600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRNNNIDAEIDAAFAAGKMPLEWLPRLQAAGMNDSDVSVTAGAISETHRVAGDTWXSNENVPFELFGLFGTLFMFALAIVYRGTKGLMLTLLAWGLLILVETSVLAVIDACERRRARLTRHVARKVLENFLLSPPV >ORGLA09G0149500.1 pep chromosome:AGI1.1:9:16201656:16202735:-1 gene:ORGLA09G0149500 transcript:ORGLA09G0149500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSAMNAIFCVEDQVVRRMIRWVLAMDAAITFTSFPFSPDASSSSRHSGGIAPAANAASISPSTTLLLLVVLILPRPNRRWINAPFCPYSFHCELLCFVRSMSIAKRYVLRLFISLKYVTANVVDRQSGRVVLTASSVEKPLRDGLECGRTCNAKAAAAVGEVLAMRLKVDGLAREPIHADATKEVEKKGFKNRTKVWAILNALREHGVNLRLDDDGDHRPHV >ORGLA09G0149400.1 pep chromosome:AGI1.1:9:16200253:16201367:1 gene:ORGLA09G0149400 transcript:ORGLA09G0149400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDGVKQFRFQIDMQCRCMGCIRKIEKAMGCIGSVTGVETSVADVDTGIVAVAGKVNPTMLCHWLKRRIRKDVKIVYPDQQVQNSKQKLIMVLGSSSNAKGAHNTPSALPIQDHMSWDSVPPIVQSNHQSLPLIEQKIRELEKVRDMLKIQNLETELGAVRCELKQSREAINGSKKAVMDSALNQLEAYHKLEALSHSPYESCYPIQ >ORGLA09G0149300.1 pep chromosome:AGI1.1:9:16198268:16199092:-1 gene:ORGLA09G0149300 transcript:ORGLA09G0149300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif and CCHC-type zinc finger domains containing protein [Source:Projected from Arabidopsis thaliana (AT3G10400) TAIR;Acc:AT3G10400] MASKRGEKKPQARMSRRRQQPGSDSDGEDDSFLYRYPLPSAAAPGASGPSSHGGKPGGGGGSGGLAPSKSTVYVSNLDFALTNSDLHTLFSRFGRVARVTVLKDRDSRRSRGVAFVLFVRREDAAAAAAEMHGKVLNGRTLSASIAEDNGRAAEFIRRRVYRDKSRCYECGEEGHLSYECPRNQLGPRERPPPSKKSRRGGGSGGGGGGGGGGRGASWQSDDEDSAAAFEDDRWASVVDTRGEEEKAAGKGEGKAMKKEKRKGYFSDESDEDED >ORGLA09G0149200.1 pep chromosome:AGI1.1:9:16191417:16195308:1 gene:ORGLA09G0149200 transcript:ORGLA09G0149200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDPQPSISLARTPSEGAAAAVDLDLLEQLLSADNAWLEVAANTSRSPNFFATPSNCLTDASVATTTPANSWWIQPSGASTSVRERFDQALAYIRETQSDADVLVQLWVPVKGNDGQLVLTTSGQPFTLDQRSNSLIQFREVSTKYQFSADVASGSSPGLPGRVFIGRLPEWSPDVRYFTSYEYPRISHAQYLDVHGTMGLPVFERGNYSCLGVIELIMTKQKLNFTSELNTICSALQAVNLTSTEVSSIPRTKLNSASYKDALPEILEVLRAACITHKLPLAQTWVTCAQQGKRGSRHSDENYKYCISTIDAACYVNEPRMQSFHEACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFNLKGAVAIRLRCTRTGIADFVLEFFLPTDCEVLEEQKAVLDSLSGTMRSVCQTLRVVTDKEMEDEAMREMNELNSFSPRGKNKVEELSFGDNTRGDREEASWTTLVGTSQKGSDLAELHTHGMLSHGGHGSSQAGDQTSKEGSKVKKRTKTEKTVSLQVLRQYFAGSLKDAAKSLGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQQIIDSVHGAETAFQLNTLYKDLTNTSVSSDNNLSGSVTVPLANQNNLDFEMHQHHRLSSNIPSTSLSHSSCSQSSDSSPSCSGGATKHSPQVGADQVRSGCLPQHSPVQTLQTEAASINEHFSGQEAPIDLLQDVAEKANGEQHMSQSPSSPKQTANVGMRVKVTFGSEKVRFRLKPECDFQELKQEISRRLSIADMNSLIVKYLDDDSEWVLMTCDADLHECFHVYKLADIQTIKISVHLAASPTTRITIGHTGFS >ORGLA09G0149100.1 pep chromosome:AGI1.1:9:16183869:16186146:1 gene:ORGLA09G0149100 transcript:ORGLA09G0149100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGDNPHSISEKKAALRESPKEPKNVGNQQPRTSPFPKDKAAGTVGIKRPQPNGPLNPTNPGTNGHLVYVRRRLETDHSKVSSYASADSISSLSSKKTVVDRPQEQGLKHQNSSLQTPLAPAAAAATSPASPSGGSPPQNSLRKQSLGKVVVQPSIIVTASPPPRNVVSTTSVPQNSIAAKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNVASSSVVAASPPRNLASTTKVSQNSIAANLASSSVSATSTASRGAAPACYPVDPQRSSNQDWKERFIRLQAFLRNNEQSGQEEYIHMLRSLSSVGRSKLAIELENRAVKLLIEEGKELQKMKVLNVLNKLSPTDALPLPTQPASVRHLAFPPR >ORGLA09G0149000.1 pep chromosome:AGI1.1:9:16179417:16181230:-1 gene:ORGLA09G0149000 transcript:ORGLA09G0149000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1QR11] MDHRTKRVAIVGAGTSGVAACKHLLARGFRPVVFDAGASVGGQWTRTLASTRLQSPHVAYRFSDFPWPDSVDWYPRHDQVVDYLAAYARRFAVDERVRFRSTVLAAEFVGDDAADGWERWNGNGEAFGDGSGAWRLTVHHDDTDTTQVYEFDFLILCIGRFSGVPNIPAFPPAGGPDVFRGRVIHSMEFSDMDDADAAALVKGKRVVVVGSGKSAFDIAAECAEANGVEQPCTMICRSPRWLLHDTNVWGKVDIGYIYFTRFAELMVRKPGAGVSSNLLATFLSPLGWLISKLTEAYYKKEIPMEEHGMSPEYGLSKSLSSCLIGMLPERFYDKVKEGSVVIKRSAKSFTFRDDGLVLDDDGGGGGERVVQADLVILATGFRGDEKLRRMFASRRVRDIVAGSPETAAPLYRECVHPRVPQMAVIGYSESATNIQTCEMLAKWVARLLDGAFRLPPVRRMEASVAEWGRYMRRSAGEEHFRRSCLGGVGIWYSDELCRDMGCDPRRKKGLLAEWFQPYGAVDYADIQ >ORGLA09G0148900.1 pep chromosome:AGI1.1:9:16165953:16166642:-1 gene:ORGLA09G0148900 transcript:ORGLA09G0148900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACLLPPPPFPPSLRLPVYLGGPSPTPCTASEVHLPPLWSSPSDRWSPHIGTPGSHIGAVQAPASSWYSFLRARRRRFLSSPRRRCPLLWRALSCHLGRRQHHPPRRHRRPPCHHRRQGRLPFQHQQMPMMMIRVEVLAKKLAAGMAKKKPAVVMTPMVMVMSKMVITPAMCSDGMSLDMSLLMVQRYMTSTMSILLEKNHLFRLFQGNHLLRLCAIEDRFPYSVPFR >ORGLA09G0148800.1 pep chromosome:AGI1.1:9:16153621:16156790:-1 gene:ORGLA09G0148800 transcript:ORGLA09G0148800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1QR09] MEKIKKRVAIVGAGVSGLAACKHALERGFRPVVFEADAAGAVGGVWARTIASTRLQTPRPYFEYSDFPWPPGVTDLYPDHDQVTAYLRSYAEHFGVLECVRFGCRVAGMEYAAAGGEEEVMAWEHWAGNGEAFGTGRGEWRLTVQSGHNIEASTNNYSYSVSYYLHVADFVVLCIGRFSGFPNMPRFPPGKGPEAFAGTAIHSMDYSNMGAAKAAQLVKGKRVAVVGYQKSAVDIAAECADANGASHPCTIVLRTKRWIVPDLYAWGVPVPVFYINRLSQILLHKPGDGLILSFLAILLSPLRWLFAKFVESYYKWALPMEKHGMVPDEDFMEAMCSCSVMKLPDKFYDKVEEGSIVLKKSKRFSFCKEGLVVEGDSSSETVKSDVVIFATGFNGDQKIREMFKSPLFREIVAGSPSSIVPHFRQCIHPRIPQLAIIGYAESWSNLCVSELLSKWLAHFLHGSFRLPSIKEMEEDIGEWDKYMKRYSPARFRRSCIGPVSVLCSDRLCQDMGVQRRRKKWLLADWLVPYGPADYADINLNS >ORGLA09G0148700.1 pep chromosome:AGI1.1:9:16152083:16153129:1 gene:ORGLA09G0148700 transcript:ORGLA09G0148700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMLMLLVGEAMAPLLTRLYYNSGGNSLWMVTLAQSAGAPLLVIPFLLTPRAAAVGEPRPAPAASKMVAICVALGLVVGCDNLMYSYAMLYLPVSTFSLLAATQLAFNAVTSRLINAQRFTPLVVNSVVVLTFSAALLGVDDPSSSSSVGGGAGGDAVQRGKHAAGVVLTLSASAVYALILSLFEATFDKVIGAATPRWVLKMQISTNAVAATVSATALFASGEWRTIGGEMAAFKGGKAAYAATVVGVAVGWQAATLGAVRLIARVSSLFANVTGTLALPMVPVLAVALFGDKMTGTKVVAMLMAVWGFLSYVYQHYLDGRRAAAREGRVHAAAGCGICTDQMNYS >ORGLA09G0148600.1 pep chromosome:AGI1.1:9:16146464:16148798:-1 gene:ORGLA09G0148600 transcript:ORGLA09G0148600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1QR07] MDRKRVGIIGAGVSGLAACKHSLDKGFNPIVFEADDTIGGVWAHTLESTRLQAPTTAFRFSDLAWPATVTEKYPSHRKVMEYLRSYASEFDLLKCIRFNSQVLGVEYLGATEGEIMQWEHWSGNGEAFGAQKDGVWRLTVKDLKIGNIEVFLVDFLIVCIGRHSGSPNIPEFPANSGLELFKGKILHSIDYSYMDNAAEFVKGKKVTIIGSGKSAFDIAAEVAKVNGETQPCTMIYRTRHWLVHKSSICGVDLSYFYLNRISQLLVHKPGEGFLYYVLATALSPLRWAISKVIETYFKRSIPLQKHGMVPDYSFSFAMSSCLIAMLPEGFYDKVDEGSIILKKSKRFSFFNDGIILEDGNEHIKSDIVILATGFRGDQKLRDIFTANWCKEKVAGSSATAVPLYRECIHPRIPQLAIVGYSESLTNIYASERMANWVTHFLAGRFKLPSIRCMEESVAEWAKYKDLYNGKYFRRSCISTVNVWFNDILCQDIGCNPKRKKGVLAEWFQPYGPADYASLY >ORGLA09G0148500.1 pep chromosome:AGI1.1:9:16141522:16145640:1 gene:ORGLA09G0148500 transcript:ORGLA09G0148500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT4G38380) TAIR;Acc:AT4G38380] MELAGGGTGVVRQRAEPLGAGLLLRGGGRSVGGGGIGCARRATLRGLALSPLARRAVSAAGGHFLPRRAVRAATAAGDGGFYGEEDAASDQPFPARASPSDDANDSTAVRSLGGDHPGEIKKELLNLALPAIVGQAIDPVAQLLETAYIGRLGPVELASAAVGVSVFNIISKLFNIPLLSITTSFVAEDVARHDSDQFTSEGNMSSESGGRKRLPSISSAILLAAAIGVIEASALILGSEILLSIMGVSHASTMHSPAKLFLSLRALGAPAVVVSLAIQGIFRGLKDTKTPLLYSGLGNISAVLLLPFLVYSLNLGLNGAALATIASQYLGMFLLLWSLSKRAVLLPPKIEDLDFVGYIKSGGMLLGRTLSVLITMTLGTAMAARQGTIAMAAHQICLQVWLAVSLLSDALAVSAQALIASSFAKLDYEKVKEVTYYVLKTGLLVGAALALLLFASFGRIAELFSKDPMVLQIVGSGVLFVSASQPINALAFIFDGLHFGVSDFSYSASSMITVGAISSLFLLYAPKVFGLPGVWAGLALFMGLRMTAGFLRLGSRAGPWWFLHQKEPTYKLVKISC >ORGLA09G0148400.1 pep chromosome:AGI1.1:9:16137664:16140164:1 gene:ORGLA09G0148400 transcript:ORGLA09G0148400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAMAPPQLVSVLVALLCVAAASPAGVGAARFVCNATAPRASTCQALVAYAPPNATTLAAVRALFQLRSHRALLASNGLPLSTPPSAPAPSPLRVRLPCLCSGGAGATFQRPTYRIRAGDTLDAIARGVFAGLVTYQDIAAANNVSDPNKIAVGQELWIPVPCSCDPVAGQPVVHYTYVVPPGASVAAIAQDFATTEATVLALNRMPDAKSLLAGQVLDVPLRACSSAISSTAIDRNLLVPNGSYILTANNCIMCGCSSYTWQLDCQPTQGISSSFCPASKCGDMFLGNTTTSPTSSCESTACSYAGYTNSTSFTILANLTTSSTCNAAAMSPMAQQAHSSAFRLASTWLRWTELIVCLHVIFLCVSFLNHV >ORGLA09G0148300.1 pep chromosome:AGI1.1:9:16112376:16114159:1 gene:ORGLA09G0148300 transcript:ORGLA09G0148300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTSDMASAAGGASGKDWFDCLPDDLVHHVLSFLPALDAVRTSVLSRRWRDFWASMPRLNVDVGDFRDDGQFENFTVHALPLLDSSVPLRSLRLRSSLHYLSALWVNHAVKRKVAVLEYSGRAELCSSVDASLSLASSYLTKVVLKHFDFDYGQFWPLIDACPALENLELLDVWTFYSVTISSSSLKYLRIVSCLFYNGFRINAPNLLTMCLDDVNVNGPLGHDSLVLENLSSLMTASVSVYHCFYPKHYVKTELHFFHGLSHARNLKLIAPLYEALFEEGLPTCPVFNNLKCLVLGDWCMAFDLYPLRCILRQSPMLEELCVELGEEECENCKNRKPAFSYGEISPFWCDRLKTVKIKCTEHDERFVALLQLFCKILVCIEEVDIDRQWVSAQPPDSSEL >ORGLA09G0148200.1 pep chromosome:AGI1.1:9:16111010:16111618:1 gene:ORGLA09G0148200 transcript:ORGLA09G0148200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPVRRHGCRRSRRPGRNALPTNRPARAAEAPSVVVLLPGGVGARGARRRVRPRRGARPPPLHHXQPPRRRRRAVEESRGGGGARRVRSPRTPSRPPNSTSARRRRPRDAAGTSLFASTEAQRLLRCLTKVTRNASNAKKKVVAGKRSLAAQNETPPAVKALSEAMAATVAVLRGVATSLYGRIVDTKKRRWFVVSRFLRND >ORGLA09G0148100.1 pep chromosome:AGI1.1:9:16103516:16105185:1 gene:ORGLA09G0148100 transcript:ORGLA09G0148100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lysine decarboxylase family protein [Source:Projected from Arabidopsis thaliana (AT4G35190) TAIR;Acc:AT4G35190] MYISSPHTSHFTSIDRSPAVVSESDRSMEEAAAAAAADMNGGVHQSRFRRVCVFCGSSSGKRRSYRDAAVELGKELVARKVDLVYGGGSLGLMGEVAEAVHNGGGHVIGVIPTTLMGKEVTGETVGEVREVGSMHERKAEMARRSDAFVALPGGYGTLEEVVEVIAWAQLGIHAKPVGLLNVDGYYDFLLAFVDKAVADGFIPLPTATSSSPPPTPPPSSTSSRGAKLPKNSITIHISIHQSSSSSSSASIEYVPVQQEGDPETPKLRWEIEQQAAVQVVGYSSSLHAQLAIAD >ORGLA09G0148000.1 pep chromosome:AGI1.1:9:16090468:16095037:1 gene:ORGLA09G0148000 transcript:ORGLA09G0148000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKMEEEYAVRHCRERSELLALAIRHRYALADTHRAYAESLAAVGAVLHDFLRGVQSLPPPPLEPTLRLPAHRKGDNLPTASPVPANPAIASSSAAQPLPPVAKQVRIAAAPDDGGGGGHIHFSDDDSDSEGGGHIKFHSDDEGDAPAHRRPEIVRSAAPPVAPPPQMGPPPPYGSGYAPPPPYGSGYGYGYGPAPDYGGGMAVANGGYDPGYGGMGGAGGGGGGGGGYAPGYGGMGVGDGGSGGGYEPAYGGMGSYGQSFFNISYARSQPPPPSVSYEHRLQATDARVHYYAGEGNPQAPPRGYGGGYGYPPQGSSSYNQYAYGGYYGGASPPPPADIPSTSRGEVTPPAPPSPPRVSTWDFLNPFETYESYYEQPTAAQASYTPSRSSKDVREEEGIPDLEDEDMEVVKEAYGDEKHAANGYSGKGKMAKEEGGRSSTGDELPHESKLSEASSSGSNQEHDVHVVEKSVVGEQVQRSEPRQHVAGLPPIGSEKTYFDDAEVVLEIRTQFERASKSAIEVSKMLEVGKMPYYPKSSGFKVSAMMICGIPTMEEEFLRFEEDKAMGCGNLSSTLQKLYMWEKKLLEEVKAEEKMRALYDRQREELKILDEKGAEADKLEATERSIRKLSTKISIAIQVVNTISDKISKLRDEELWPQTCELIQGLMRMWSTMLECHQIQLHAISQAKNIDSMIDGAKFGEAHMDLIKRLELQHLDWIASFASWVNAQKSYVGTLNDWLRKGVTYEPEVTDDGVPPFSPGRLGAPPIFVIYNNWAVGVGRISEKEVVEAMQAFASNVLGLWERHRSEQRQGLMANKGMDKDLRVMERDEQSMRKALEAQNKKLVLISNQSGVSLSAQAQALQDGGSHGDTGSLQLSLKNIFEAMENFTANSANTYKDLHLRAEEEKARVAQESCRVS >ORGLA09G0147900.1 pep chromosome:AGI1.1:9:16090068:16090331:-1 gene:ORGLA09G0147900 transcript:ORGLA09G0147900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERGGRRGDQWEWWWRESSPFVGVGASGVRLVALRHQLLLQLLPPRGESPPACPPQSSRGGTARRGNGWMDSGVGNGGSSNRVAN >ORGLA09G0147800.1 pep chromosome:AGI1.1:9:16067869:16070449:-1 gene:ORGLA09G0147800 transcript:ORGLA09G0147800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein [Source:Projected from Arabidopsis thaliana (AT4G35730) TAIR;Acc:AT4G35730] MATKGSKSPGRALRRIAGAAVAAVLLRGSFSASKCKTEARMAAARMKLLRNRREAQVRQMRRDIAALLRDRQEDTARIRVEHVIREQNIMAANEIIDLFCELIVTRLPIIAKQKECPADLKEGICSLIFAAPRCSELPELGRIRDLFEKKYGKDFVSAAVDLRPDACVNNLLIEKLSVKKPSGQTKLKILKEIAKEHQIDWDMTETEQELLKPSEELIQGPNTFVEATNFPVKTTMSAARAVQINPSNYSSGYADEYDDERTMQFKDAASAARAAAESANRAASAAKAAADLVNKKTHSSDEVEDRRTSFHESSHSSKRQSMSNSSRSSRKEDIVAFDESNPQGRRTSRTGSSIESNHVEDKEDTEQVELSARRMRKRNIRSTRKVHSEIKFDDSEGLNSETEDESDTEIQSIERPAPRSEPYPGSRHSEDEEKENHELPDLPKANLSSRVHPNMPLDYETLTARFEALKSGKLP >ORGLA09G0147700.1 pep chromosome:AGI1.1:9:16066849:16067301:1 gene:ORGLA09G0147700 transcript:ORGLA09G0147700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISAKRIAQLAKKWRRMAALGRKRLTMSSTAMATEEAQGCSTAVAGKGHCAIYTADGARFEVPLAYLGTAVLGELLTMSREEYGFSGDGKITLPCDAMVMEYVLCLLGRNASAEVEKAFISSMVMPCHYASCVTPSLGACQQVAVCSN >ORGLA09G0147600.1 pep chromosome:AGI1.1:9:16065400:16065828:1 gene:ORGLA09G0147600 transcript:ORGLA09G0147600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKLAQLARKLQRVKTATAREDDDAGCTSTTSPVADKGHCAVYTSDGARFEVPLPYLGTTVFVELLRMSQEEFGFAGGDGRITLPCDVAAMEYVMCLLRRNASEEVERAFLSSVVTMPCQNSGRMVPPVALHRQFAVCS >ORGLA09G0147500.1 pep chromosome:AGI1.1:9:16063264:16063617:-1 gene:ORGLA09G0147500 transcript:ORGLA09G0147500.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAVEAGSVREARSAEREAGVAREARPMEGGRTGARGASGGGGRLGARGAASGEGGDLGVRKSCRWVWRGLRRTKAGRRGAPVLGSHMSAELEWWWSIGAPAVDSQEGGCRAKQSWLL >ORGLA09G0147400.1 pep chromosome:AGI1.1:9:16059577:16059999:-1 gene:ORGLA09G0147400 transcript:ORGLA09G0147400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAKKLAQLAKKLQLRMTSAGGSGQKAVAADDCCSTASSSLAGKGHCAVYTADGARFEVPLPYLGTAVFGDLLTMSREEFGFAGDDGRITLPCDASVMEYVMCLISRDASEEVERAFLSSMARPCRNVGVISHRFAVCT >ORGLA09G0147300.1 pep chromosome:AGI1.1:9:16056563:16056979:-1 gene:ORGLA09G0147300 transcript:ORGLA09G0147300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAKKLAQLARKLQQKMVSARGGRHTSSATDDCCSTSSLAGKGHCTVYTADGARFEVPLPYLGTMVFGELLMMSQEEFGFAGDDGRITLPCDASVMEYVLCLLRRDASEEVERAFLSSMARPCHNVRVFSHQLAVCT >ORGLA09G0147200.1 pep chromosome:AGI1.1:9:16054620:16055045:-1 gene:ORGLA09G0147200 transcript:ORGLA09G0147200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSRKLAQLGKKWQRMVASSGRQTASIDGCCSTATAYVADKGHCVLYTTDGARFEVPLMYLNTAIFCELLRVSQEEFGFASNNKITLPCDASVMEYVMCLIRRDASEEIEKALLSSIVRPCLNTSVVPPMRLNQQFAVCS >ORGLA09G0147100.1 pep chromosome:AGI1.1:9:16052684:16053118:-1 gene:ORGLA09G0147100 transcript:ORGLA09G0147100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLAQMAKKWQRIAALGRKRITWTTPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRMSQEEFGFTTDGGITLPCDAEVMEYVLCLLRRNASEEVVRAFLSTIVKSCHYGNGFAQSLGSVQQVAASSF >ORGLA09G0147000.1 pep chromosome:AGI1.1:9:16050789:16051214:-1 gene:ORGLA09G0147000 transcript:ORGLA09G0147000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISARRVAQLAKKWQRMAALGRKRLTVRAKQDQECCSSMAGKGHCAMYTADGSRFEVPLAYLGTAVFSELLRMSQEEFGFSIDGRIMLPCDAAVMEYAMCLLRRNASVEVEKALLSSMVASCHYTGSMVPTVGVNQQISCL >ORGLA09G0146900.1 pep chromosome:AGI1.1:9:16049694:16050128:1 gene:ORGLA09G0146900 transcript:ORGLA09G0146900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKLAQLARKLQRIKTAASTREDDDAGCTSTSPSPVADKGHCAVYTSDGARFEVPLPYLGTTVFVELLRMSQEEFGFAGGDGRITLPCDAAAMEYVMCLLRRNASEEVERAFLSSVVTMPCQNSGCTMPPVALHHQFAVCS >ORGLA09G0146800.1 pep chromosome:AGI1.1:9:16048444:16048638:-1 gene:ORGLA09G0146800 transcript:ORGLA09G0146800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEFGFAGDDGKITLPCDASVMEYVLCLLRRDASEEVERAFLSSMSSSCHYAGSQQSVLVCT >ORGLA09G0146700.1 pep chromosome:AGI1.1:9:16046110:16046544:1 gene:ORGLA09G0146700 transcript:ORGLA09G0146700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTKRIAQLAKKWRRMAAKGRKRLTMMAPQEAEGCSTMVAGKGYCIVYTADGMRFEVPLRYLGTMVFGELLRMSQEEFGFTSDGKITLPCDAMVMEYVMCLLRRNASVDVEKAFLSSMAISCHYANSTTPSLGVNMQVAICSS >ORGLA09G0146600.1 pep chromosome:AGI1.1:9:16044238:16044923:1 gene:ORGLA09G0146600 transcript:ORGLA09G0146600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLVQLAKKWQRMAALGRKRVMAAAQETEECSTSVAVKGHCVMYTADGRRFEVPLVYLGMRVFIELLRMSQEEFGFTSDGRIMLPCDAAEMEYAMCLLKRNASADVVNALLSSMLTSCRYTATEVMHVEALILIPLCGLPGMVQSCRMHGKFL >ORGLA09G0146500.1 pep chromosome:AGI1.1:9:16042111:16042443:1 gene:ORGLA09G0146500 transcript:ORGLA09G0146500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLVQLAKKWQRIAALGRKRIMAKAQETEECSTSVAVKGHCVMYTADGRRFEVPLTYLGTAVFSELLRMSQEEFGFTSDGRIVLPFDAERWSMPCACSREIPQSRW >ORGLA09G0146400.1 pep chromosome:AGI1.1:9:16040197:16040619:1 gene:ORGLA09G0146400 transcript:ORGLA09G0146400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLVQLAKWQRMAALGRKRIMGKAQETEECSTSVAVKGHCVMYTADRRRFEVPLAYLGTVVFSELLRMSQEEFGFTSDGRIVLPCDAAEMEYAMCLLKRNPSVEVVDALLSSMLIRCHCTGSMVPTVGVNQQISCL >ORGLA09G0146300.1 pep chromosome:AGI1.1:9:16035880:16036305:1 gene:ORGLA09G0146300 transcript:ORGLA09G0146300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISARRLAQLAKKWQRMAALGRKRLTMKAKENEECCTSVAGKGHCVMYTADGSRFEVPLAYLGTAVFSELLRMSQEEFGFTSDGRIMLPCDAVVMEYAMCLLKRNASAEVEKALLSSMVAPCHYTGCMVPTVGVNQHISCL >ORGLA09G0146200.1 pep chromosome:AGI1.1:9:16033665:16034099:1 gene:ORGLA09G0146200 transcript:ORGLA09G0146200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLAQMVKKWQRMAAFGRKRLTWTAPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRISQEEFGFTSDGGITLPCDAEVMEYVMCLLKRNASEEVVRAFLSTIVKPCHYGSGFAQSLGFVQQVAASSF >ORGLA09G0146100.1 pep chromosome:AGI1.1:9:16031541:16031873:1 gene:ORGLA09G0146100 transcript:ORGLA09G0146100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSRKLAQLAKKWQMMVASSGRQTANIDGCCSTATVYVADKGHCVLYTTDGARFEVPLMYLNTVVFCELLRMSQEEFGFTSDYKITLPYDAAVMEYVMCLIRRDASEEV >ORGLA09G0146000.1 pep chromosome:AGI1.1:9:16029123:16029545:1 gene:ORGLA09G0146000 transcript:ORGLA09G0146000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAKKLAQLARKLQQKMVSASAGSGRHTAGTSHDCCSTASLAGKGHCAVYTVDGARFEVPLPYLGTAVFGELLTMSHEEFGFASEDGRITLTCDTSVMEYVMCLLRRDASKEVERAFLCSMAMPCHNVGVLNLQLAVCT >ORGLA09G0145900.1 pep chromosome:AGI1.1:9:16026755:16027180:1 gene:ORGLA09G0145900 transcript:ORGLA09G0145900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKKLAQLAKKLQMRMASAGGSRQKAVVAADDCCSTASLSLAGKGHCAVYTADGARFEVPLPYLGTPLFGELLTMSREEFGFARDDGRITLPCDASVMEYVMCLLSRDASEEVERAFLSSMARPCRNIGVISHQFAVCT >ORGLA09G0145800.1 pep chromosome:AGI1.1:9:16023371:16024467:-1 gene:ORGLA09G0145800 transcript:ORGLA09G0145800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1QQX9] MAILDSNPPPAADAVVAADGSGDYTTIAAAVAAAPSKSTKRYVIYIKKGTYNELITIGQNTWNLTLIGDGMDVTIITGNQSVGGGVSTTSKTGTVTVDGIGFVAIDLTIENTAGAENEQAVALLSNSDASALYRCGIRVYQDTLYAKSNRTDVSNVYYGEYENTGDGADVSGRVKWTSFHVIQDASEAAKYTVENFIQGDKWIPGTGVYFEPSH >ORGLA09G0145700.1 pep chromosome:AGI1.1:9:16019538:16019972:-1 gene:ORGLA09G0145700 transcript:ORGLA09G0145700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKLAQLARKLQRIKTAAAREDDDAGCSTSTSPSPVADKGHCAVYTADGARFEVPLPYLGTTVFVELMRMSQEEFGFAGGDGRITLPCDAAAMEYVMCLLRRNASEEVERAFLSSVATMPCQNSGCTMPPVALHRQFAVCS >ORGLA09G0145600.1 pep chromosome:AGI1.1:9:16014882:16015298:-1 gene:ORGLA09G0145600 transcript:ORGLA09G0145600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKRLAQLARRLQRVKTTAAREDDACCTTSPVADKGRCTMYTADGRRFKVPLPYLGTTVFGELLRMSQEEFGFAGDGRITLPCDAAVMEYVMCLLRRNASEDVERAFLSSVVMSCQDSSCGVPPVALHQQFSVCSS >ORGLA09G0145500.1 pep chromosome:AGI1.1:9:16012860:16013375:-1 gene:ORGLA09G0145500 transcript:ORGLA09G0145500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQTIHKLKHQYTHNKSSSHQKKHTMINPKRLVHLAKKWQHMAALGRRRLTITGATKEVNLRCSSAIADKGHCIIYTADGERFGVPLTYLSTTVFGELLRLSEDEFGFTGEEKITLPCEAAVMEYVMCLLRRKPSEEVEQAVVSSVVMPCNYKSSTSMVSVNLSQSLAIF >ORGLA09G0145400.1 pep chromosome:AGI1.1:9:15992328:15994880:-1 gene:ORGLA09G0145400 transcript:ORGLA09G0145400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAMNCAVQNVSLDTLFLIVIQGAAVIVLGKFIHLSLRRHNQPSAISQIVAGVAVGSLGLHDMVVHVEVQNVEDTYGWYVSEARIFYMFYVGLDADLAALWNDAHRCTVVTYASVATCLLLAAFVSGGIYGSMMHTPVRSPELLSAVLMLTLANTASVDVSRMAAELDLTATGGGRLAVSTAIATNIICIVGEGVFSCMKLASSRTPGYSASERLGMGVLALLKVGVTMALLRPVAAYMNRRNAGRHRIGNWELVLLLVAVSFVGNFPEHAGFDGVPASLLLGLAFPREGPVARSVMDAIAYPLHALALPFYFGAMGMRINFGAMSGAIVVPAVLLTLLGLFGKCAGTMAAARYLKMPLADAIRLGVLLNIKGHVNMIDMSFASSEGIWAEQALMAMVVGSIISTVVAGPVFAVLFRKEKEAYACSDQALEHMAPDKELRMLACVHGARGAPAMLSLLELLATTPRAQPTIHVLHLFDASRNHVGPKRYHQRVQDSDKHIDRRIDDATQVNWAVDVFTSVTGLAIRQFDVGDRGAAMKNAKNIHRRLEEVRAGLLLLPYHKEQRYDGKMVCRRDDRCELNRKVLELAPCTVGVFADRPFWRGGASFRLPTKISTSEETTAARNQGDQKAGTQIAAVFLGGPDDREAVAFACRLAKNDGAIRLTVIRLVLGVATNDDHRIPTTSAANHIGIYDDDDEDGGEEEVLSVVVQDDDPDERCVSELRREYVAKERAEYVERAVSGAVDVAAALRATAGAFALVVVGRGGRQPPELVVGLEGWVQMIECPEVGPVGEMLASEESLEMGSVLVVQQRTAPPPPFHLNIPPAI >ORGLA09G0145300.1 pep chromosome:AGI1.1:9:15991701:15992005:-1 gene:ORGLA09G0145300 transcript:ORGLA09G0145300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSPANALVAATVAASAINHRRGVLAKLPRGRVLLRRQVCFAAAQAPTTCSTERPFRVARSSCSESDQ >ORGLA09G0145200.1 pep chromosome:AGI1.1:9:15985675:15990646:-1 gene:ORGLA09G0145200 transcript:ORGLA09G0145200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:enoyl-CoA hydratase 2 [Source:Projected from Arabidopsis thaliana (AT1G76150) TAIR;Acc:AT1G76150] MAARSGPPAAAVDPEAVLSHSFPEVSFAYDERDVALYALGVGACGADAVDEKELHLVYHRDGQPHIKALPTFASLFPFKNSNGLGIVDVPGLNFDASLLLHGQHYIEIYKPIPSRANVVNKTKIAGLHDKGKATILEIETTTHVKDSGEVLCMNRSTIYLRGAGGFSDPSRPYSYASYPTNQVSRISIPNSAPSAVYEDQTQQSQALLYRLSGDYNPLHSDPMVAQVAGFTRPILHGLSSLGFAIRAVIKSFCNGDPTAVKSIFGRFLLHVYPGETLVTEMWLQGQRVLYQTKVKERNRAVLSGYVLLKHIPSSL >ORGLA09G0145100.1 pep chromosome:AGI1.1:9:15973960:15977165:-1 gene:ORGLA09G0145100 transcript:ORGLA09G0145100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rho guanyl-nucleotide exchange factor 1 [Source:Projected from Arabidopsis thaliana (AT4G38430) TAIR;Acc:AT4G38430] MASASEDDAGSERCCGSYSPSADVSESETSSDCSAPTTTTTTRRFASSSSRGVASSSSSSLLPTPPPSSAAAFFLSAKPAADLSEVDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLSATVFGELWRLEPMASARKAMWTREMDWLLSVADSIVELTPSIQELPDGGGQFEVMVPRPRSDLYMNLPALKKLDAMLLAMIDGFKETEFWYVDRGIVVDDSGGPFSSSSSSCGRPSVRQEEKWWLPCPRVPPKGLSEDARRKLQQDRDCANQILKAAMAINSDVLAEMEIPEVYLESLPKSGKSCLGEIIYRYITAEQFSPECLLDCLDLSSEHHTLEVANRIEAAIHVWRLKGQKKSTPQAKSKKSWGGKVKGLVGDTEKSHVLSQRADGLLQSLRLRYPGLPQTSLDMNKIQYNKDVGQSILESYSRVLESLAFNIIARIDDVIYVDDATKKSAAADSVSIFNRGIGVPVQKRISPSPFSIQHTPYASPFATPTFCSSTPVTGSPGRVQPPLNKNNLPTKQEVKVEKLFSGDIEKVWTYAGNLSARKDAGDAPERD >ORGLA09G0145000.1 pep chromosome:AGI1.1:9:15971753:15972950:-1 gene:ORGLA09G0145000 transcript:ORGLA09G0145000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAANDTTPAKAAGPQLKLLVDKRSRRVLYAEARKDAVDFLIGLLRVPAGLAARVLASHGVAAPGSLATLYAAARALDDAFFLASPPSPGPDRRDAVLAPAVLPSAALPLLGERPPPPPPPPPKRYYRCNAYAMPCRSNPLNVTDTAALACPGCRQPMTVEMKWAAGGGSKPAEEEEAAAGGEGGYVKEVVTYLVMDDLSIEPMSTISAVMLLKKFDVKDCSALDEMTVDLGPKECVKLLKASLESTTALTDVFGGGVSIDRLE >ORGLA09G0144900.1 pep chromosome:AGI1.1:9:15966625:15968385:-1 gene:ORGLA09G0144900 transcript:ORGLA09G0144900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG (high mobility group) box protein with ARID/BRIGHT DNA-binding domain [Source:Projected from Arabidopsis thaliana (AT1G76110) TAIR;Acc:AT1G76110] MAEKLLYPPPLLSHEEVANDRAAFMDTLRRFHSLMGTKFMIPVIGGKEMDLHALYVEVTSRGGLAKVMEERKWREVMARFSFPATTTSASYVLRRYYLSLLHHYEQVYFFRAHGALLRPAASALTKTPRRKMRGTSDQSPAAAEAGKRMALPERLGGEPCSFSVTGSIDGKFEHGYLVTVKIAAETLRGVLYRVAPPPPAAAPPPPPPPPARGRRRRDPAQPRPNRSAYNFFFKEKHPELKATHPHREREYSRMIGDAWNRLAADDKMVYYRHSAEDKERYKREMQEYNERLKLAPSTMAG >ORGLA09G0144800.1 pep chromosome:AGI1.1:9:15942665:15949309:1 gene:ORGLA09G0144800 transcript:ORGLA09G0144800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEAAESCGSHAAASAAGRGGGGGGGGGGATSSFSSASAGAAAAAARKQQQQQRHKLEVYAEVLRRLHDSGVPEARREGFDDELWNHFNRLPARYAMDVNVERAEDVLTHKRLLEQAKDPAQRPAFAVRTVQVSPILDGNQTDADSNTAGEEVASRLLNRQQSIHPPPAFGSSTNLEALALEASKSQGQDHDSTSDNANYRPMHEITFSTIDKPKLLSELTSLLGELGLNIQEAHAFSTNDGYSLDVFVVVGWHDEETEDLIESVRKEIGKIDETQGWSTTHSWSSPVENMQIGENSAADHVEIPRDGASEWEIDVKLLKFGNKVASGSYGDLYRGTYCSQDVAIKVLKPERINADMQREFAQEVYIMRKVRHKNVVQFIGACTKPPNLCIVTEYMSGGSVYDYLHKHKGVFKLPALLGVVMDVSKGMSYLHQNNIIHRDLKTANLLMDENGTVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGILMWELLTGKIPYEYLTPLQAAVGVVQKGLRPTIPKNAHAKLSELLQKCWQQEPAERPDFSEILETLQRIAEEVGDEHDGKHKEKILGGLFSALRGRGH >ORGLA09G0144700.1 pep chromosome:AGI1.1:9:15934918:15936276:1 gene:ORGLA09G0144700 transcript:ORGLA09G0144700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSRLVRPSYPASAAAPEEEFVPSSMFDKVTYDMQMAIIYAFRPPGPSVADIEKGLAAVLGVYRLFAGQVVRGGGGELRGVVLNDHGARLVEACVDGSLADIAPAKPSPVVLRLHPSLEGEIEEVVQVQLTRFACGSLAVGFTANHAVADGHATSDFLVAWGRAARGLAVAATAAAPPHHHPGMFRPRDPPLVEFEHRGVEYYRPPPPAAGVDGDVGGDHKQQHGHGGEEASHGIVIHKAHFTKDFIARLRAAASEGRGRPFSRFETILAHVWRTMTRARGLGNPLQSSTIRISVDGRQRLSAPAGYFGNLVLWAFPRATVGDLLGRPLKHAAQVIHDAVARADAAYFRSFVDFASSGAVEGEGLAPTAVLKDVLCPDLEVDSWLTFPFYELDFGGGCPTYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFNHNLEAFKESCYSIE >ORGLA09G0144600.1 pep chromosome:AGI1.1:9:15931103:15932734:-1 gene:ORGLA09G0144600 transcript:ORGLA09G0144600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G20300) TAIR;Acc:AT1G20300] MALLLKPKRHLFPSSARHLRRLCDAAPTLAPPPEPEPEPELEPEPALAPSLTTAETKLLDALHAALVDHRRAHPAAPVPATAPSEPPLPELSSALSGLLASPPSPQLPLGLLRRLLALRRGVPLPEAVAFFHHVLPSLPPDSLPALYAAMIDLLAKHHHFPLARHLLDEMRERSVPISAQLILALIRRYVRAEMPSEASDLFRRMEEYGAGAPDPATLASLLGALSKKRLASEAQAMFDSYKSVFTPDVVLYTTLVHAWCRSGRLDEAERVFAEMQQAGVTPNVYTYTAVIDAMYRAGQVPRAQELLCQMIDSGCPPNTATFNAIMRAHVKAGRSEHVLQVHNQMRQLGCEPDIITYNFLMETHCGKGQSNLDAAMKMLTRMIAKGCIPDCHTFNPMLKLVLVLGNVNAARKLYERMQELQCKPNVVTYNLLMRLFNLEKSMDMVLRIKKDMDAQGVEPNVNTYAALIEAFCGRGNWKRAHMTLREMVEEKALKPTKPVYDMVLALLRKAGQLRRHEELVEMMVDRGFISRPANDALWRAISA >ORGLA09G0144500.1 pep chromosome:AGI1.1:9:15925264:15927874:-1 gene:ORGLA09G0144500 transcript:ORGLA09G0144500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAATEEAVVVVRGAPPPPSSGKRRSTTLLHLFQLEKPDVVVGAMLLPPPSPEPEEDRLITKIESCSRVFTFVDGGGAAGGESGEERDAKTEALGEVLAAVAERALYVWNNERFVAMACAAGPAAMEERILPAFVASMEANLERHWSRCVQQVTASVRALLDRVAPGAYARCAAGLAARLAEAXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA09G0144400.1 pep chromosome:AGI1.1:9:15920193:15921656:-1 gene:ORGLA09G0144400 transcript:ORGLA09G0144400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLATRLRRVAGKLARCLATCVFATGNSVQAGMMLGAIAGVIAGFVSEDGLLQGTLIGAISGAFIAMEVVDSLAKIWCYEEYSIATRARLMLLVFWNLVIDRLTVRTSVFPTLTTVLDSQLNAVPSRHRRAEVSGDLTGRSYPVVMGMRLAAVDQLPVIKLTAAQTDTTGACPICLHDFKAGEIARRLPACCHIFHLGCIDNWLLWHALCPMCRRPVN >ORGLA09G0144300.1 pep chromosome:AGI1.1:9:15915376:15919053:1 gene:ORGLA09G0144300 transcript:ORGLA09G0144300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASRLAGGGGGGGCGDPVAVCRDRKRLIKAAADRRFALAGAHAAYAAALRSVADAVDVFVARHTAPAPILITLPTPTGSPPASPAPAPAPAALASVAQGEEEEEGKAEVDDSGGARTPDLGCPYYYAPPETATATPPPPPPAASAVGGWDFFNPFYGTEEVAAAAISDEEMRAVREREGIPELEEAEEEDDEGAKSAAAANAKTPKAAETSLGVTKQEEAKDVCEVASNNGGRGGGLEVAVSQPGRELLAALKEIEELFARAAEAGKEVTAMLEAASRVPELKENSSKIIHAITWHRSPSSVSSSYRSELGASSNSLSWTDKSETKSDIFDDYGGMKSGSHSQTLGRLYAWEKKLYEEVKAIDQIRQTYEKKCVQLRNQDAKGSELRCAEKTRTTVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLQGLTRTWKIMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEAELRNWRSCFMIYVSAQKAYIEALDGWLSKFILTDTIRYSRGISSIAPDRSSAPPLVVICHDWYTTLSKFQNKRVAFTMRNFIRSVRVLWLKQGEEQQQKRKVDSLAKEMDKKISAYKRAENKVIETKLLEHRPEQDAKQRMEHLSEKKEMLNVLRKRVEAEKAKHHACMRDTHDVTLNGFKIGLASIFESLTEFSKDSVKLYQDLLTHAEPKGSEDATEKRPCVEGPYSQISVDAT >ORGLA09G0144200.1 pep chromosome:AGI1.1:9:15903428:15905981:-1 gene:ORGLA09G0144200 transcript:ORGLA09G0144200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRAPLLRRMLLLCAVAASCSYYLLVLHAQASVPPRYDGFAYGDAATAAWKDTILVEAFLDPLCPDSRDAWAPLRLAVDRYAPRVSLIVHPFPLPYHTNSFLACRALYIANKLNSSSTYPLLELFFKSQGKFYNAATSSLSSTVISGEMSKLAARVVGNSVSEFQSGFSDIRTDLAARVSFKYGCTRGVAGAPFFFVNGFLQPGGGSPIDYSTWVSILDPLAGQHGDRLEMFTSIDEGRR >ORGLA09G0144100.1 pep chromosome:AGI1.1:9:15895843:15899082:-1 gene:ORGLA09G0144100 transcript:ORGLA09G0144100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGVVVVRAVLLLLAAVAAAEALSLDVHHRYSAAVRRWAAAAAPPHGTAEYYAALAGHDGLRRRSLGVGGGGGGAEFAFADGNDTYRLNDFGFLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCLKCAPLQSPNYGSLKFDVYSPAQSTTSRKVPCSSNLCDLQNACRSKSNSCPYSIQYLSDNTSSSGVLVEDVLYLTSDSAQSKIVTAPIMFGCGQVQTGSFLGSAAPNGLLGLGMDSKSVPSLLASKGLAANSFSMCFGDDGHGRINFGDTGSSDQKETPLNVYKQNPYYNITITGITVGSKSISTEFSAIVDSGTSFTALSDPMYTQITSSFDAQIRSSRNMLDSSMPFEFCYSVSANGIVHPNVSLTAKGGSIFPVNDPIITITDNAFNPVGYCLAIMKSEGVNLIGENFMSGLKVVFDRERMVLGWKNFNCYNFDESSRLPVNPSPSAVPPKPGLGPSSYTPEAAKGALPNGTQVNVMPSASSPLQPQSVFAAIVLLFLIVL >ORGLA09G0144000.1 pep chromosome:AGI1.1:9:15892105:15894690:-1 gene:ORGLA09G0144000 transcript:ORGLA09G0144000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREEAPIKENEIRITTQGRMRNYITYATTLLQDKGSDEVVFKAMGRAINKTVMIAELIKRRIVGLHQNTTTGSTDITDMWEPLEEGLLPLETTRHVSMITITLSKKELDTSSIGYQSPLPADKVKPLVEYENEEDAPSPAGRGRGRGGRGRGRGRGRGARGNGYMDYADGGWEDDHAPPAYAGNGYTRGRGRGFRGRGRRGGGYGAQPDYQQDGGYYDEAPVHAPPRGGRGRGRGRGRGPVRGRGRGGNVNGVMHATAVGA >ORGLA09G0143900.1 pep chromosome:AGI1.1:9:15887351:15890349:-1 gene:ORGLA09G0143900 transcript:ORGLA09G0143900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDVEMNDSQPQPAAAPPPAASTLQHLKEIASVIEAGSLTKEVRRISRAVRLTVALRRRLAARDVAAFLAFALPHSSEAFARLSSLLPKEDGSEMDVDSAAPAAQVSIKHGLPEIEIYCYLLVLIFLIDNKKYDEAKACASASITRLKNLNRRTVDVLASRVYTYYSYVHELTSSLAEIRGTLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTTARGFRVQCNKWAIIVRLLLGEIPERTVFMQKGMKAALAPYFELTNAVRVGDLELFRAVAEKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKALRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >ORGLA09G0143800.1 pep chromosome:AGI1.1:9:15884863:15886412:1 gene:ORGLA09G0143800 transcript:ORGLA09G0143800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSLLPAPPASVAPELASFLPPQAKRGAVSLAATRRRGARIGVRAEVNESGSALAADAFAQVKHVLLPVTDRNPYLSEGTRQAAATSASLAKKYGANITVVVIDDKPKEEFPEHDTQMSSIRWHLSEGGFTEFGLMERLGEGKKPTAIIGEVADELELDLVVLSMEAIHSKHVDGNLLAEFIPCPVLLLPL >ORGLA09G0143700.1 pep chromosome:AGI1.1:9:15882803:15883198:-1 gene:ORGLA09G0143700 transcript:ORGLA09G0143700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1279) [Source:Projected from Arabidopsis thaliana (AT2G20940) TAIR;Acc:AT2G20940] MTFAARMKELMRKYGKVAIGVHLSVSCASITGLYVAIDNNVDVDAIFRRIGISPSGGVAGDEAAETPTPSAAVPEEAPPRNRTRELVASSGGALALALMCNKALLPVRVPVTLALTPPVARFLARWKLVKT >ORGLA09G0143600.1 pep chromosome:AGI1.1:9:15879467:15880717:-1 gene:ORGLA09G0143600 transcript:ORGLA09G0143600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20930) TAIR;Acc:AT2G20930] MIVCVAVVGHQNNPLYLQSFTEADDALKLHHVVHCSLDVIDERVNNPKRNAPALNETFLGLLYPTENYKVYGYLTNTKVKFIMVTTDLDVKDADARNVSYLNRLILWF >ORGLA09G0143500.1 pep chromosome:AGI1.1:9:15875419:15875874:1 gene:ORGLA09G0143500 transcript:ORGLA09G0143500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKMKAMVKRKMKAMGKKKPKVPMKKTKAQRKKQPKASTKKLETPAPAPAPVVVGAFTARELSAAKRLVLLSGSNKSSSGGSRSAIFASSGSSVNAPPVIAQVMPRPAEDYLSDEELEDDSQEVPGIPRRTRLYRYIFEIYQVTQPMKK >ORGLA09G0143400.1 pep chromosome:AGI1.1:9:15868187:15868646:1 gene:ORGLA09G0143400 transcript:ORGLA09G0143400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLHREPRPPAPEEKEKAPAAWPAGWSFTPRELDAAEQLVLLSGSSTSTTGTTPSAAASGSSSTASSSRSVNAPXXXXXXXXXXPPLPRPAAAESTVVVREERREHPEEDWEQRPGRRYRLIAEIYAVTEEIE >ORGLA09G0143300.1 pep chromosome:AGI1.1:9:15863325:15863729:1 gene:ORGLA09G0143300 transcript:ORGLA09G0143300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCAPQQLACGLFGIGGVFTAAELAVADQLVQLSCSSGGDEAAASSSSSSSSTTSSPRSVNTCAATTAAGEEIEEFTGMAAEMELDRRARKRYRLLSELYAATAPKRAAAASSSSSSRKRKRDDESPEIAVSY >ORGLA09G0143200.1 pep chromosome:AGI1.1:9:15860706:15861152:-1 gene:ORGLA09G0143200 transcript:ORGLA09G0143200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SASSSTSGSIRSPDVVASCAVFSYVQRQHDVLRVSQDAFRTCDPANQTVQRWASGRDVVELAAPGSYYFICNVSGHCLGGMKFSVAVGEPLPPPSPPPPPPRAPFLAPPPPPPVGSGAAAASSTWRRRRVALMVQVSCLALIIIGMWN >ORGLA09G0143100.1 pep chromosome:AGI1.1:9:15857725:15858698:1 gene:ORGLA09G0143100 transcript:ORGLA09G0143100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEEVAVETVEGGGAAAKAPYWDPPPAPLLDTSELGKWSLYRALIAEFMATLIFLYVSIATVIGYKNQRATVDACTGVGYLGVAWSFGATIFVLVYCTGGVSGGHINPAVTLGLFFGRKLSLVRTVLYVVAQCLGAIAGAGIVKGIMKRPYDALGGGANTVSDGYSAAGALGAEIVGTFILVYTVFSATDPKRTARDSFIPVLVPLPIGFAVFVVHLATIPITGTGINPARSLGAAVLYNQHAAWKDHWIFWVGPVIGAFLAAVYHKLVLRGEAAKALSSFRSTSVTA >ORGLA09G0143000.1 pep chromosome:AGI1.1:9:15848014:15851726:-1 gene:ORGLA09G0143000 transcript:ORGLA09G0143000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAGGAAPTQLPPPPAAASPSPPPLAAAAAAAAIAGPEGDRAPPPQGNRRDPAGSAEGADPGNAAARKTAWNVPAPPLAAAAAAGAGVGVPGGGIMGGGPGSWPALAESAAARGSWPKSASSDSLKSLSDGSAPSASEDLIVPSVQPHPVANPISGGSNPTSSSPPPNATVVVTSEQNGNTDQSNPVRHSSGGHGAGSNSSRDGNTSDGGDGSWNDGGLGSGSGSNSSYGHGNSTNGAGGANMNNIIHSSGTSSSANDSSRRISGNNNWNNNGRSAGSNHNAAGSGDGSNRNLWNNNGRNGGGSSNGFVGRGGHRNRRDHERGGSFSPRNYPRHTPMPPQQQQPGIYQPGPFPRPPPPPPPGHFMVPQPFVPYVPHFAYPADVQGYPFYLPPMEQFQNMHLVRQQMQPLWVPQDQQNLQEDIRTQIEFYFSTNNLCHDTFLRRQMDDQGWVHIDVITKFNRMRRFTNLVDTNYILDAVRGSELVEVQGNTVRRRNNWAEWLLL >ORGLA09G0142900.1 pep chromosome:AGI1.1:9:15839802:15841708:1 gene:ORGLA09G0142900 transcript:ORGLA09G0142900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEDMWANTSSPSASPPRPRGFISTALSLNSTHLQGLLPSSFVDAAASPCHASGNNNGGGDGRNAAPMSSIFSASASYHQQQHHLPAPAPLDGAILPARRFGLDMCAAAAAPAGVPAAGDRRKRRMIKNRESAARSRARKQARVNNLETEVEQLKQENKMLRVKYEQVIHPWMQLARPSSIPIDRSACCCCCSITDNFASASPPRFDSSRRRRQLRKTVEVPVPVRRTLQRGHSGQWLEKPSLA >ORGLA09G0142800.1 pep chromosome:AGI1.1:9:15825025:15828907:1 gene:ORGLA09G0142800 transcript:ORGLA09G0142800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSTPASPPPAARSAAAEMEEHQNWKKNAPVLYDLVISQPLEWPSLTVQWLPSHSRSPGSARSHRLVLGTHTSDETPNHLLLADAALPLPPRLAAAAAAAGGAVPAPSVSISRSVPHKGEVNRARCMPQRPYTVATKTCVDEVHVYHLSDGGEKGGADVVLRGHEAEGYGLAWSPMKEGLLLSGSYDKKICLWDLAAGSGASSLDAHHVFEAHDDVVEDVAWHLKDENLFGSAGDDCKLMMWDLRTNKPGQSIVAHQKEVNSLSFNPFNEWILASASGDATIKLFDLRKLSRSLHVFDSHDSCRGEVFQVEWNPNLETVLASSAADKRVMIWDVSRIGDEQAEEDANDGPPELLFVHGGHTAKISELSWNPTQKWVMASVAEDNILQIWEMAESIYCDDNYLRDNDDDSCPAT >ORGLA09G0142700.1 pep chromosome:AGI1.1:9:15819340:15821045:-1 gene:ORGLA09G0142700 transcript:ORGLA09G0142700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSVKLLLLVVFCVSPWQVAATTTANGTGGGGRPRVPAVLVFGDSIVDTGNNNAVLTLTRSNFRPYGKDLNGGEPTGRFSNGRIPPDFLASRLGLKDLVPAYLGTDLTDGDLLTGVSFASAGSGYDPLTSTLVAVLPMQEQLNMFAEYKEKLAGIAGEAAAARIVSESLFLVCAGSDDIANNYYLAPVRPLQFDISSYVDFLANLASDFIKQLHRQGARRIAVLGMPPIGCVPSQRRSVAVDAAGGGRECDAAQNRAARLFNAKLEQEIGCLRETLQLQSIGYVDIYGVLDDMIADPGKYGFDVSTRGCCGTGEFEVTLLCNQLTATTCADDRKFVFWDSFHPTERAYSIMVDYLYQRYVDKLL >ORGLA09G0142600.1 pep chromosome:AGI1.1:9:15814060:15815469:-1 gene:ORGLA09G0142600 transcript:ORGLA09G0142600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDGQLLGRMEQGISDLXASPAARGGDDEAFTIVRLPSHVHAQNKSLYEPRVVSVGPYHLGSGSTRAMQGHKWRFLRDFLLRNAGDGGGGGGGGGHLDAYLREARAVEARARRCYGEPLEMGSDEFVQMLVLDGGFVLEFLLKWSESESGAAELDAYMRWIWIYVYYDLLLVENQIPFFVVAKLFNLAGGGGGAAAMGDDDDDAVDQRLLDLIYKFFSLHEPLCQVPAPSQLTVHHLLHLQYQRMVMPPERRSTTSWLSSRQSASPCNKYSIADGATAATPLAIPCVTELQEFGVAFREKASPASQFDVTFRGGTMEIPRLALSSGARILLANLLALEQTTGDWEGEGIVTSYLVLMNALVNTGADVAVLQRRGVLDNMLSNEEAAAAFFNRLGGCALFDPRGHHYARLFADANEYRNHRWNRYIAVLKRDHLRTPCSIISLLAAATLLCISVMSAGFIICHYRHACS >ORGLA09G0142500.1 pep chromosome:AGI1.1:9:15810353:15810622:-1 gene:ORGLA09G0142500 transcript:ORGLA09G0142500.1 gene_biotype:protein_coding transcript_biotype:protein_coding CARATDEGVEVRRGRGIDGERERRWQEKVGEGEAVEKSRNKNPVTVEIGFVFHPLLRRCVFLCVLLCIVRFFFVWMESWKWDKDLHLYHL >ORGLA09G0142400.1 pep chromosome:AGI1.1:9:15801473:15803745:-1 gene:ORGLA09G0142400 transcript:ORGLA09G0142400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:I1QQU5] MAAFTTTAAGSAVAFARPAKAINVSSVSFAGLMKNNVAFTLQPVTQRFAVLRAAKKETVEKVCDIVKKQLVLPEGTDVTGASKFTDLGADSLDTVEIVMGLEEAFKISVDESSAQSIATVEDAAELIDKIVSNAK >ORGLA09G0142300.1 pep chromosome:AGI1.1:9:15798974:15799618:-1 gene:ORGLA09G0142300 transcript:ORGLA09G0142300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKKARREEELVEAALAAAAAALFVSGVKKLVPAVLVARWWPAAMLATAPSPVLFLLLNVIIASIVVVSVQPRRAAAASATAAAAAAAAEHDAAKRCGEGAKKVKRRRSKRREESAEGSTLTTLAAVVPVAAADSCCMALAVVDDGADQTLPPATSTAPETQQEEEADGNAAAEEVNKRAEEFISAFRRHLRVDSFSSGSRRAGGARIEACF >ORGLA09G0142200.1 pep chromosome:AGI1.1:9:15791240:15793532:-1 gene:ORGLA09G0142200 transcript:ORGLA09G0142200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAETKAMITLRSCEGQVFEVAEAVAMESQTIRHMIEDKCADTGIPLPNVSAKILSKVIEYCSKHVEARGGAAAAADGDAPAPAAVEANKAVEDELKTFDSEFVKVDQSTLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >ORGLA09G0142100.1 pep chromosome:AGI1.1:9:15787504:15790134:1 gene:ORGLA09G0142100 transcript:ORGLA09G0142100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) TAIR;Acc:AT2G20980] MATAAAADDLDLLLSLDADGGEAVLETPPSSPRRDAATAAAFTPPRAVRPGGTDMSVFRDAVKDYLDATPAAVATSLPKGKRPPKSTETIVDAHSGLRIRSLTASPLEITNRFADIRFVRISAIRNLAGGDSFSGCWATAGVVLDKGAPRVSAQGKEYSIWKMGALDDADVSVFLFGDAHAHHSGAAVGAVFALFNGNVRMDNGGRGFSVSVASVGQMMKMGVSADFGICKGKRKDGMGCTMAINKRKGSYCKFHSSKSSQKYSTGRVELKGGNFKFASKLRSEGIYMVNPPERSNSRNPLQPVKVMSIDGLKRALSNADRVTTKSQSQGIRFLSHVTGNIESNLSSNGSTNPQTSRFSSNKRSTSCSTKSMPKQGLQKQEQDNKKMKMTCPPKKTIELDDVSSDDEISIVLRR >ORGLA09G0142000.1 pep chromosome:AGI1.1:9:15778068:15784666:1 gene:ORGLA09G0142000 transcript:ORGLA09G0142000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:I1QQU1] MAARVAAAVAAALLAAALLLPGAAAEWTLTKKGTVVSYDERSLMIDGKRDLFFSGAIHYPRSPPEMWDKLVKTAKMGGLNTIETYVFWNGHEPEPGKYYFEGRFDLIRFLNVIKDNDMYAIVRIGPFIQAEWNHGGLPYWLREIGHIIFRANNEPFKREMEKFVRFIVQKLKDAEMFAPQGGPIILSQIENEYGNIKKDRKVEGDKYLEWAAEMAISTGIGVPWVMCKQSIAPGEVIPTCNGRHCGDTWTLLDKNKPRLWTENWTAQFRTFGDQLAQRSAEDIAYAVLRFFAKGGTLVNYYMYHGGTNFGRTGASYVLTGYYDEAPMDEYGMCKEPKFGHLRDLHNVIKSYHKAFLWGKQSFEILGHGYEAHNYELPEDKLCLSFLSNNNTGEDGTVVFRGEKFYVPSRSVSILADCKTVVYNTKRVFVQHSERSFHTTDETSKNNVWEMYSEAIPKFRKTKVRTKQPLEQYNQTKDTSDYLWYTTSFRLESDDLPFRRDIRPVIQIKSTAHAMIGFANDAFVGTGRGSKREKSFVFEKPMDLRVGINHIAMLSSSMGMKDSGGELVEVKGGIQDCVVQGLNTGTLDLQGNGWGHKARLEGEDKEIYTEKGMAQFQWKPAENDLPITWYKRYFDEPDGDDPIVVDMSSMSKGMIYVNGEGIGRYWTSFITLAGHPSQSVYHIPRAFLKPKGNLLIIFEEELGKPGGILIQTVRRDDICVFISEHNPAQIKTWESDGGQIKLIAEDTSTRGTLNCPPQRTIQEVVFASFGNPEGACGNFTAGTCHTPDAKAVVEKKSGRSPSNQKQLHCXLGGKVPCTRQAPSFCLLLYLSDMLVTCNIVYFHXCLIFGMKRAGMPRQGVVRAAGGKHGVRRGHQLPRDDGHAGGAVATCXSCDEDCDLRAAHARNGIVTSVLAHILGHPEWDRKSLRVKMAGRQNPCPDFTPTKSYGYLFSKPLVALTVSLDSNLSP >ORGLA09G0141900.1 pep chromosome:AGI1.1:9:15773998:15777049:-1 gene:ORGLA09G0141900 transcript:ORGLA09G0141900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSLLAAASSSSRAAAVSARRAPSASPAAAASLPSPSRASCAPPLRASAARTLRSRVVASAAPAMQPPPASRVSTVVDVDLGDRSYPIYIGAGLLDEPDLLQRHVHGKRVLVVTNTTVAPLYLEKVTWALTHNNPNVSVESVILPDGEKYKDMGTLMKVFDKAVESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTLMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTETLNTLPDRELASGIAEVVKYGLIRDAPFFEWQEKNMPALLAREPSALAYAIKRSCENKAEVVAQDEKESGLRATLNLGHTFGHAIETGTGYGAWLHGEAVAAGTVMAADMSHRLGWIDESIKKRAIDILEKAKLPITPPEAMTVEKFKSIMAVDKKVADGLLRLILLKGPLGTCVFTGDYDRNALDETLRAFCDC >ORGLA09G0141800.1 pep chromosome:AGI1.1:9:15772653:15773195:1 gene:ORGLA09G0141800 transcript:ORGLA09G0141800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAFKSLSSSAKSPSFMASNKHYHGVVNHQPPFPQPPATPPQPPLLPLPARPHAPPPQQHAAWPAPQRSKKPSHATATAAAAAAALGPKKTAPVPIPVQAAPSKKRAAAASQQEAAEWTTTTDSLYSVSPPPSCVPMPTSLLVGAAAGRKAATACAVEVAGGGGVDVGATDELRRLLRL >ORGLA09G0141700.1 pep chromosome:AGI1.1:9:15762166:15765880:-1 gene:ORGLA09G0141700 transcript:ORGLA09G0141700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISTVLGFSGFGFGFSAGIVIGYYFFIYFQPTDVKDVKVRPLVEYDSISLDGILPEIPLWVKNPDYDRIDWLNRFLEMMWPYLNKAICRTALDIAKPIIEENRKTYKLESIEFESLTLGSLPPTFQGMKVYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATVQIVDLQVFASPRITLKPLVPTFPCFAKILVSLMEKPHVDFGLKLFGADLMAIPGLYRFVQETIKKQVASMYLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLNPEWNEDFKFVVTDPETQALEINVFDWEQVGKHEKMGMNNILLKELPADETKVMTVNLLKTMDPNDVQNEKSRGQLTLEVTYKPFKEEDMEKEGIDNADVVEKAPDGTPAGGGLLYVVVHEAQDLEGKHHTNPYAKIIFKGEEKKTKVIKKNRDPRWEDEFEFVCEEPPVNDKLHIEVLSKASKKGLIHGKETLGYIDISLADVISNKRINEKYHLIDSKNGQIQIEMQWRTS >ORGLA09G0141600.1 pep chromosome:AGI1.1:9:15757494:15761428:1 gene:ORGLA09G0141600 transcript:ORGLA09G0141600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630) ;Protein of unknown function (DUF632) [Source:Projected from Arabidopsis thaliana (AT3G51290) TAIR;Acc:AT3G51290] MGLCQSRLERQEAVSRCKARRRYTKQLVQARRDMAAAHALYLRALRATGAALLQFASAEADHPHPHHHVSAAPPPPQTPPFPPPPPPPPPPPPPPLSPTPTTTSWTTNSSSISASPILPPPPPPPMPSSWDFWDPFAPSSSRSATEDAEWDDAATTIVDAPNAPPVVTVAAAAAPPPSVVTATTTTSTASELTVVAVPRGGGGAGKKDLAEIATELDEYFLKAADAGARVAALLEAPNCEPPETNNSSFPGKVLNYGKNLRPMGWSWGGSGYSKGSNGFSRFGRGDEGMGNGGSSGILSHSSTVEKLYAWEKKLFLEVKSYEGLKQEHDKKIGLLRKQEVKGVDYLKMEKNKMEIESLDSKMLVATQSIETTTSEIMRLRESELFPQLLELVAGLMSMWRGMYECHQVQTHMVQQLEYLNNSLSTNPTSNVHRQAALQLEIEVDRWYSAFCSLVKSQRDYVYSLTGWLRLSLFQSYHDPHNKAHQNSDIYSLCEEWQLAIDRIPDKVASEGIKTLLTVIHAVVVQQAEEQKQKKRSESAFKELEKKAEELRSLESKYGPYSGAEGYGDMSRKSPVSDKRAKVEALRCRADEEKSKYEKSIGVTRAMTLNNLQTGFPNVFQAMTGFASVCMETFESVYNFKSSDRILDSKRLLT >ORGLA09G0141500.1 pep chromosome:AGI1.1:9:15748217:15751368:-1 gene:ORGLA09G0141500 transcript:ORGLA09G0141500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFISLLEWLQLKLLEVQPLTLFLEEGTHQFAREKDVFLMRRKGKAHPERSGFDGAWTKEPLKFDNSYFLELLREESEGLLKLPTDRALLEDPEFRRFVDHYAKDEDAFFKDYAESHKKLSELGFAPRSSAKSDGSTAAATLAQSAFGVAVAAAVVIAGYLYESSKKTK >ORGLA09G0141400.1 pep chromosome:AGI1.1:9:15745956:15747744:1 gene:ORGLA09G0141400 transcript:ORGLA09G0141400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51280) TAIR;Acc:AT3G51280] MMQQQQQQETWLAAAAAVGLRPTKSAPCSPIKPAASSASAAAAAAMLRTNSDSFHVAHKVPVGDTPYVRAKRVQLVDKDPEKAIALFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQISLLKHKLQLIHQGHAFNGKRTKTARSQGRKFQVTLEQEATRLLGNLGWALMQKENYTEAEGAYRRALLIGPDNNKMCNLGICLMKQGRVLEAKDVLKQVRPAGVDGLRGADSHLKAYERAQEMLRDLEAKLVGRRLPRAGDQLVDKSWLFDALLLGSSSSIWQPQPCIDHMLPPPPPPPRDQFADENAAAAAANKKAAAAALQPNILRVDAQPFYSLRMPPLATKPQNIQQKPPTPQPQVHDPMGNLKRTRSGNAMDKAAAAAAGPVEKEPINDENSGRRKSLSAEERWPELPDHSAFDEALVAAVLAPVLDDSAAAAAERNDNCCKPAPPASCDTSPAMKEKIGKRLRIFQDITQTLNTF >ORGLA09G0141300.1 pep chromosome:AGI1.1:9:15744680:15745509:-1 gene:ORGLA09G0141300 transcript:ORGLA09G0141300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSSLSCARERWWRMGDGPVWCLTKCRSAARHGEVGASSLYAPIILGSGQQAMSASYASTAAGLMGVWVESGCLSSCGANVSWFNCTGVRSRNPLSSFPFLKFWFLV >ORGLA09G0141200.1 pep chromosome:AGI1.1:9:15737765:15738677:-1 gene:ORGLA09G0141200 transcript:ORGLA09G0141200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDDRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLSRGIDPVTHRPINDSASNITISFEAAAAAARDDKAAVFRREDHPHQPKAVTVAQEQQAAADWGHGKPLKCPDLNLDLCISLPSQEEPMMMKPVKRETGVCFSCSLGLPKSTDCKCSSFLGLRTAMLDFRSLEMK >ORGLA09G0141100.1 pep chromosome:AGI1.1:9:15722432:15722860:1 gene:ORGLA09G0141100 transcript:ORGLA09G0141100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKVQLFLCLLLLLFMPIFFTPSCGNKEVCEEWTSDMYRMLFLCRSTRCNQYCVSEGATRGDAAFSSSDPSATALKNATECLNLVQACNRN >ORGLA09G0141000.1 pep chromosome:AGI1.1:9:15719416:15721455:1 gene:ORGLA09G0141000 transcript:ORGLA09G0141000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:I1QQT1] MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGSDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDAVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEIAVMTQKDGLRQLEEAEIDEYVAEIEAEKAAAEAAKKGAPKET >ORGLA09G0140900.1 pep chromosome:AGI1.1:9:15718649:15718903:-1 gene:ORGLA09G0140900 transcript:ORGLA09G0140900.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKKFTFLSEIILLQYELTCITRLNFDDERINSAEASTFMVRSHEDVQVQNLPSVRLHRPPSPRPSAGVGGRVRLRRGGVDGRAR >ORGLA09G0140800.1 pep chromosome:AGI1.1:9:15711810:15714006:-1 gene:ORGLA09G0140800 transcript:ORGLA09G0140800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRIALLCLLGLLVAASPAAIAAKDDKIFYQITFMWPGAYCAQTKAGCCMPKTDVAPASDFYVAGFTVYNATTNSSLSSCSNTPFDMNQIGDATRLMQYWNNIRCPSKNGQKGWKNAWETSGVCSDLTESAYFDTALALRDKINPLSRLVSNGIKPDFGLYSVKKIKEVIEEGIGAPALIQCSKGPFDKFQLYQIYVCVAEDAKTFVECPSPRKPYTCGDDILFHPFKKWMLKTNSTKSYAAASAIDQLLEAVMEI >ORGLA09G0140700.1 pep chromosome:AGI1.1:9:15705179:15707224:-1 gene:ORGLA09G0140700 transcript:ORGLA09G0140700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRKFLLCLILALLAASGPAKTVNADSPFDFYYLILMWPGAYCTDSEYGCCVPKYGYPSEDFFVKSFMTFDSSENTAVVRCNSDNPFDINKLDSIENNLNHYWSNIKCPRTDGVNSWKSEWNSYGVCSGLKELDYFKAGLQLRKSADILSALAEQGIKPDYQLYNTAFIKWAVNQKLGVTPGVQCRDGPFGKKQLYEIYLCVDKDAKSFIDCPVLPNLSCPAEVLFHPFHTWMLNTTSAANIVMPTETVLA >ORGLA09G0140600.1 pep chromosome:AGI1.1:9:15701108:15703114:1 gene:ORGLA09G0140600 transcript:ORGLA09G0140600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:I1QQS7] MLRKVSVAFLACAALYLAFAAYSRRESLGEVRLPAVTNRVYLDVEIDGQHIGRIVIGLYGDVVPKTVANFRALCTGEEGIGPKGKSLHYKGSRFHRIIPGFMIQGGDIVRGDGKGSESIYGGTFPDENFIVKHTHPGVIAMANSGPDSNGSQFYITTIKTSWLDGEHVVFGRVIQGMDYVYAIEGGAGTYNGKPRKKVVITDSGEIPKEKWAEEV >ORGLA09G0140500.1 pep chromosome:AGI1.1:9:15699069:15699687:-1 gene:ORGLA09G0140500 transcript:ORGLA09G0140500.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSSRWLGQFHFILSSVTQVKLGFGRTTLFWKDQWMPQRLEYTYPVLFSFAINKECTVESQFSHNTWQLQLHPNLSYQAETELQALLQNLQNLSPSPETADTRELLYPKPLISTANAYRLLTFHGSLWNPADYIWIYTIPQTARYSCG >ORGLA09G0140400.1 pep chromosome:AGI1.1:9:15695396:15696159:1 gene:ORGLA09G0140400 transcript:ORGLA09G0140400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDGVVMPSPAPTSPPSPPPPPRPSAPAATGGVAGRVGDSPSSPGPLLPASESELLRLPHLPDAGSRRRPRLAVDMSDAAGTNGRVIPFRGFSGRPRLSCHSASRKPAAAEGPPSPTPPSPGRGKGRHRRCQLAVAALLAASEPLHLPSLPNAALARGRGHHRRRQLAVAALLGGSGALHLAASEPLNLAASELLHLPSLPNAVAILGGSGELLHLSRLFISHSPSYRSSIQSNN >ORGLA09G0140300.1 pep chromosome:AGI1.1:9:15693604:15694452:-1 gene:ORGLA09G0140300 transcript:ORGLA09G0140300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRGGGRERRVAGGGRSHGRRGQERRAAGRGAAGGGAAGGGGSSCARPAGGGVTSGGGRSGTQPAGGDIPSIVTSCGEAEGVQELDALEHPRHVVRPDVHDLEVLDNGGKVDDDAGVEHLRVAAEQVRERVLADAELAEVVEDEDHVLADAAAQGERVRGEVFVGEAEGLQELDALAHDVDGGRELKAGELARVDAERGEERVDVGVAGQDGQAFHSIDDFYLRPLPGGRSGTRRQYGAVILDLPFLCRLSRTASTAAIGDAAAAAASALGPRPSARCSS >ORGLA09G0140200.1 pep chromosome:AGI1.1:9:15686152:15687417:1 gene:ORGLA09G0140200 transcript:ORGLA09G0140200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADNGKWALEKARALQDLEEEFNQQIARILSCYQLPYHIRLDLHEQHRNDYKVPDDLQLKFVNAVFEGKSGMLDQDEELRVQARKESEKFWVEAAGAAKKAQALQDMEERNRQLFFKHYPGVQDMPDHIREYCFRKFMEDARDEVEVRFGIRNHEMRLRIRAWEESQQFLIKTMADGRAAKKVQALQDEEKRYVQGVKKTFDSENISEYYQQAFLQQGLLDNIRLLFIDDIEEKFNMPDDEEEPKGYISEDYNRLKAQALQDLEYKFNQQTARILKRYDLPEHIRLDLQEQHYNNYKVPDNLRIKFINAVFNGNPRILDHKRELKVQARKEAEKFWIEAAATAKKAQALQDLEERYKQQFIKPSYDREDISEHMQEYFLRERKITDKAYLEYKNNVEDKFAIRNHEMQLKFLAWEKTQQ >ORGLA09G0140100.1 pep chromosome:AGI1.1:9:15684420:15684773:1 gene:ORGLA09G0140100 transcript:ORGLA09G0140100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEEEHPCFHMAGAADGEGLRPMPSRRSLPPSSSDLVPATTVSCFWLPASTSPTARSSTAPTPQAPTVPLPASSTLSTSPSTARSAPLLPRLHRLSSCRTSTMTRLRLSTPKPSPF >ORGLA09G0140000.1 pep chromosome:AGI1.1:9:15662549:15665286:-1 gene:ORGLA09G0140000 transcript:ORGLA09G0140000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAALDAMAGTRWGRWLGLVTAVWVQCISGNNYTFSNYSHSIKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAVGSLEGLLGYGAQWLVVSRAVAPLPYWQMCVFLCLGGNSTTWMNTAVLVTCIRNFRRSRGPVSGLLKGYVGLSTAIFTDVCSALFADDPASFLVMLAVVPAAVCAVAMVFLREGEAGGGADGREEEEDGRCFAAINTLAVAIALYLLAADLTGVGGGGGVVSAVFVAVLLVLLASPAAVPAHVAWKSWMKTRKFANADVEEAESAASAPLLVAKATAAAAAAEARGPGEKPVLGEEHTIAQALMSLDFWLMFASFLMGVGTGLAVMNNLGQMGVAMGYSDVSLFVSMTSIWGFFGRIASGTISEHFIKTRAIPRPLWNAASQILMAVGYVVMAVGMPGSLFVGSVVVGICYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAQATKVPGGGNTCVGAHCYRLVFVVMAIACIVGFGLDVLLCFRTKRVYAKIHESKRQSRSAVVQRVS >ORGLA09G0139900.1 pep chromosome:AGI1.1:9:15648473:15650711:1 gene:ORGLA09G0139900 transcript:ORGLA09G0139900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWIITRSSWIMSVFFVILSFFQGLVMVGGVTFTFTNRCGGTVWPGVLSNSGSSALGTTGFALGAGETRSLAAPAGWSGRFWARTGCTFDDDGKGTCATGDCDSGEVECRGAGAAPPATLVEFTLGSGGGGGKDYYDVSLVDGYNLPMVVEAAAAGCPATGCVVDLNQRCPAELKAGHGQACRSACEAFGTPEYCCSGDHGNPDTCHPSVYSQMFKSACPRSYSYAYDDATSTFTCTGTDYSITFCPRPGNPNSQKSSNDPSPRPKDPQLEDDSWLASLATSEVDGAAPASTSLLLQATLAVAVMALLVLH >ORGLA09G0139800.1 pep chromosome:AGI1.1:9:15638291:15640025:1 gene:ORGLA09G0139800 transcript:ORGLA09G0139800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARRLLPVTLLLISLSRALCTTFTLTNSCAYTVWPGLLSSAGSPPLATTGFALAPGESRAVDAPAAWSGRVWGRTLCGADPGGSGRFACATGDCGSGAVECGGGGAAPPATLAEFTLDGAGGNDFYDVSLVDGSNLPMVVVPQGGGAACGATGCLVDLNGPCPADLKVAGADGAGIACRSACEAFGTPEYCCNGAFGTPATCRPSAYSQFFKNACPRAYSYAYDDATSTFTCASGTASYLVVFCPIISSLKSSVGGGATNPSASGTGLPLINDTVSFLNRGGGNGGYYDASSSASLTAPSPLPVAGQAAAAVLAWLCTSRGRHWLPW >ORGLA09G0139700.1 pep chromosome:AGI1.1:9:15631603:15635176:1 gene:ORGLA09G0139700 transcript:ORGLA09G0139700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKQILARPIQLAEQVIKWSDEAYTFRQECMELKAKVERLAGQLRQAARADLYERPARRIFDDTEKALDKAMALVDKCRAHGVVRRVFTIIPAGSFKKMANQLDNSIGDLSWLLRVSSSASDDDDFDAHIGLPPIAQNEPILFLIWEQIAVLYTGNLDARADAAASLVSLARDNDRYSKLIIEEDGVPPLLRLVKEGKLEGQENAALAIGLLGRDPECVEQMVHAGACTAFAKVLKEGPMKVQATVAWAVSELAANHPKCQDAFASHNVIRLLVGHLAFETVQEHSKYAVTSSKMSIHSVVMDKKNSTRGALIPDLMDAGEHGGTRHPGGHVSQSKNEMYSLVHSTMAAKPNGSSGKVSNGGVVASKQHNVSLSGATTRGREFEDPETKASMKANAAKALWHLAKGNAAICKSITESRALLCFAVLLEKGEGDVQYNSAMALMEICSVAEQNSDLRRSAFKPTSPAARAVVDQLLRVVDKAEYDDLLIPCIISLGCLSRTFRATETRIIGPLVKLLDEREADVSREAALSLTKFACTENYLRVDHSKAIISAGGAKHLVQLVYFSEQVVQLAALALVCYIAHNVPDSEELAQAEILTVLEWASKQSFMMQDPLIENLLPEAKIRLELYQSRDSAVYLSSGDSGMAGSSNFSLILCAQPLPKPASVKARRINIGGAGRQKDGRACN >ORGLA09G0139600.1 pep chromosome:AGI1.1:9:15613490:15617542:-1 gene:ORGLA09G0139600 transcript:ORGLA09G0139600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease/exonuclease/phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT4G36050) TAIR;Acc:AT4G36050] MVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSGDVIMAEGYEAFISCNRSSKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQETAKNSETIGDFVLVTPVEEEGLGEVTKEELLKVDNEGRCVITDHGHFVLFNIYGPAVEEDDIERVRFKLLFYKILQRRWEHLLALGKRVFVVGDLNIAPSSIDRCDAQPGFEKQTFRKWLRSMLREHGGPFFDAFRSKHPERVGAYTCFNQKVGAEVYNYGSRIDHILISGACFHHCGSVDDHSIFPCHVEECEIMDHFRRGNSENMSMWKGGRSSKLEGSDHIPVYIVLNEIPELPVHNTPSSAARYLPEIRGRQQSIVSFLRKGMIYEHKDAMSMDRADESCCGGGLESKAIYKEEPPTDIAKFSKGNDLHSVIKRKTRDQLLNEGSSGNSHNSTAALLATQSRKASFSCSKAVSNKKNKHNLSSQPTIKSFFQQPKSKPGDSSTNSIVTPPDTLHGMDELHDPKNDCLPESIQCTTPATKDQGNSDVPCSLSTDKCNEATLEWQRIQQRMKMTLPLCKGHHEPCIPRSVKKGSNIGRLFYVCARAQGPASNQEANCGHFQWATVKSKEKRR >ORGLA09G0139500.1 pep chromosome:AGI1.1:9:15610470:15611483:-1 gene:ORGLA09G0139500 transcript:ORGLA09G0139500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNSDSGKKGGNPLEAMGAFFSSQVNRRKLVTSEKQALATRLSAGGEAFPGSEHRPADRKTWMAELGPERLRVHQVVWPGTHDSATNKIGIPFVTRPFAQCQSLSVYEQLAAGARVIDVRVQEERRVCHGVLATYSVDVVLDDVRRFLGETASEVVILEVRTEFGHDDPPEFGRYLVEQLGEHLIPQDEAVFHKTIAELLPRRLICVWKPRKSPAPKPGEPLWSAGYLRDNWIDTDLPETKFESNVKFLGEQPPVADRRFFYRVENTVTPQADNPVLCVRPVTRRIHGYARLFIAEVFAKGLGDKLQVFSTDFIDGDFVDACAGVTKARVDGAA >ORGLA09G0139400.1 pep chromosome:AGI1.1:9:15605619:15606278:-1 gene:ORGLA09G0139400 transcript:ORGLA09G0139400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCGRRLLGAGTASPPPLPGLGSASAHSMDRAQRAHMARALGVTTTVLFVASVSYIALTALYACFCVGGGRRRREDGGSSSVRPEPSEETKRALDGIPVHVVQMPPRDGGGGGGGADEEGGSGDCAVCLAEYAAGDEVRVLPACWHGFHRECVDRWLLTRAPTCPVCRAPVVARVEGPDDDAKEDYCGDGESVERHGGGGGDIGFLSVAGESRVLPAI >ORGLA09G0139300.1 pep chromosome:AGI1.1:9:15603439:15604731:1 gene:ORGLA09G0139300 transcript:ORGLA09G0139300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLAVLLLVMRLAAAMAASPTSYISRTTEQQVIATVAPAVDVGQSAQPFLTSPSGSYAAYLRRAVDSSAGGPGADACYVQIQQAGGGAGGGGSVWESECTLVGGADACDLAFSPVGLELFAGGHSLWDTGIDAEPGTLSLDDGGDMRIVSKDGVSVWQASGEPWTGQQCGAAAPVSPSPKMDVLPPPSTTTTAKLLTPPASTLAGAGSSDLSFGDQLAPPVDTSLPASPDQPPVDTMPDQPLPPPPPPADATPATPDLPLPPPPPADTYPVSPDQPLYSSPPPAPTAFVPHTPLPPVDVPALSPPLPHGKTPSGAPGGIALPPAASAGGGMPQQHGSPHHLPLGASPPPAAAAVPDALAPSAGHGAAAGGLPIGGQGQQQGAFGQHQVLNGAGQPLEDSSGERPRGAHAAVVVTSGLVSLLIALGFGF >ORGLA09G0139200.1 pep chromosome:AGI1.1:9:15599668:15602565:1 gene:ORGLA09G0139200 transcript:ORGLA09G0139200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XAP5 family protein [Source:Projected from Arabidopsis thaliana (AT2G21150) TAIR;Acc:AT2G21150] MSGFGDGYVGTAQDAVKIRRLEKQREAERRKIEELKNKSSDGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRTKIEEEEKEKLQKLQQEEEELQMQKRKKRRVRGDPRLSFCDEIENGSDEDEFENQEPQKKHGPVKLGKDPTVETSFLPDREREAEEQAERERLKKQWSREQELIKNEPLTITYSYWDGTGHRRVIQVRKGDSIGEFLRAVQQQLAPEFREVRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATKEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >ORGLA09G0139100.1 pep chromosome:AGI1.1:9:15589123:15592189:1 gene:ORGLA09G0139100 transcript:ORGLA09G0139100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GADASPATNPAPPAAAVAVAAAAADAGGDDDVAAAAEARRPFTALSQVDADLALARVLQEQERAYMMLRMGGGVGEGSDYGSSDAGSYEYDDEAEEDYEEELEHHLRVHHHEHAVGEGRGEGERDGEGAEGSELEEEGFDEEYDEEEVEPELDPAEYEDDEAYARALQDAEEREVAARLMALAGISDWRPVEPVEEHANDPQDAWQEVDPDEYSYEELVALGEAVGTGHRGLSAATLASLPSVTYKAEGVQDGNTEQCVICRVEFEDGESLIALPCKHSYHPECINQWLQINKVCPMCSAEVSTSDNNQA >ORGLA09G0139000.1 pep chromosome:AGI1.1:9:15585118:15588011:-1 gene:ORGLA09G0139000 transcript:ORGLA09G0139000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSLASSHLSRLADLRRAGVAAAAPAHPQQLRLGCSRRRAQRVVAMAGSGKFFVGGNWKCNGTKDSVSKLVTELNAATLEPDVDVVVAPPFIYIDQVKNSLTDRIEVSAQNVWIGKGGAYTGEISAEQLVDIGCQWVILGHSERRHVIGEDDQFIGKKAAYALSQNVKVIACIGELLEEREAGKTFDVCFKQMKAFADSITNWADVVIAYEPVWAIGTGKVATPEQAQEVHAAVRDWLKTNVSPEVASGIRIIYGGSVNAANCAELAKKEDIDGFLVGGASLKGPDFATIINSVTSKKVAA >ORGLA09G0138900.1 pep chromosome:AGI1.1:9:15580764:15583492:1 gene:ORGLA09G0138900 transcript:ORGLA09G0138900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFCPDCKKHTEVAFDHSAGDTVCTECGLVLEAHSVDETSEWRTFANESSDNDPVRVGGPTNPLLTDGGLSTVIAKPNGAQGEFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKDRANEIYKKVEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSTLGMNNQAVKAAQEAVQRSEELDIRRSPISIAAAVIYMITQLSDDKKPLKDISLATGVAEGTIRNSYKDLYPYASRLIPNTYAKEEDLKNLCTP >ORGLA09G0138800.1 pep chromosome:AGI1.1:9:15577880:15578499:-1 gene:ORGLA09G0138800 transcript:ORGLA09G0138800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSREGKKTTDRYKXPPDIIIHSSSLQFSSAASITMSSSSSSIKDEIDTAFAAGAMPPEWRPRLLASPRLGERDVDRIAASIAEIHWNLQLDGSTQLSVACVAFWFVVGVLVLCVAGLFFLENDYMSGAFAVVGAVPCVVITPIVTVAYERRRRRAKMLMVRTRTVLEHFLLPPI >ORGLA09G0138700.1 pep chromosome:AGI1.1:9:15569731:15574540:1 gene:ORGLA09G0138700 transcript:ORGLA09G0138700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGVAFYYTGVLYDIRFFVPLDRFIAYSSSGVSSDFRRHAEDWAITRRPGSTFAVTFVAKDTGKPSAAYHYEQVAAAEASGAAVVRIERRSRSRSRGGADRAAAVAGGEAQLVAEGSMALDGGGAADGSAASSRATSPPVILGLLLFLATATFIQQYGSIFGGTISIFQAAIPKIYGALKGRYLLTLELWNASITIINLVGNTTWMIYSAVNKGVELSMLMTNSVACGLNIYHLLSIYRHNKERKKWYSTLSLEIHVFILFYVPNILFAASELVLIVYKQYSMKIYVQLSYILNTIFYVIELPVMIRAMITNNPDSDNEAIDIENQAIVKIDGQKKHHNERQEDRAEKKTTFTEVYLLPAPPGLFGQGKRKHSDNDKEIHFSKRMRSHIYSRSIKRGSPPTRTLQLQMDSLSEDSYEPEYVEEHRLKDLVKNSEFISYPISLNEKKIEKEICDDEEVDAEEGKVEDVDEEKEKKGEKIKEVSHEWHSSNKQKPLSDSKEITSENEEAKEGKVEDVDEEKEEKKKQGKKIKEVCHECNLINKQEAIWMRKSEGQLEFKAILFVPKGAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVEGVVDYEDLPLNISFELLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYKAFSKNLKLGIHEDSTNRTKIAELLSKKAVENSPFLEKLKDYEVLYMVDAIDEYAVGQLMEFEGKKLISATKGLKLDEKFDNLSIVMKEVLVDTVERDVFSDRVVDSPCCPVTGEYSWVANMERIMKENAIMDELPNKSQVNKCAPKQKVDTLPVKENARECYQAPKQ >ORGLA09G0138600.1 pep chromosome:AGI1.1:9:15560012:15560365:-1 gene:ORGLA09G0138600 transcript:ORGLA09G0138600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSSGTSNIKDEIDAAFAAGAMPPEWRPRLLASQRLGEGDVDRIAAAIAEVHATYQYVGSTKGNIGYVAFLFVLGVLFLCVAGLFFRENNYLNGALAVLVAVAFIVDHSYDNLAL >ORGLA09G0138500.1 pep chromosome:AGI1.1:9:15553108:15559164:1 gene:ORGLA09G0138500 transcript:ORGLA09G0138500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAKRPLGAVMAWVRRQPPKVKAFLAVVTGMAALVFIRFIVHDHDNLFVAAEAAHALGIAVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTVLDTATLAATLFVIYMIRFKLRPTYMVDKDNFALYYVVVPCAVLALLIHPSTSHNIVNRISWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSLVGGQLVLRLPSGVVQNGERKSYDVLQRFGFHTICMVAFGHDLPCLADGGVMEDARSDFMHSFGEAQNLVVGRFFDLIEVSWKIKKWLNVGTIRRLRKAIADVHTFAMDIVRARRQSASVQDRDDVLSRFVASDEHSDEVLRDIVLSFLIVGRDTTASGLSWFFCLLSSRPDVVARIADEVRAVRKPTDTRLGEPFRFDALWEMHYLHAALTESMRLYPPASVVRGGRHTPRRHARPRRLVRDVQRTRHRARTAWSTGRSGGSARMACSSRRAREREMPASQRKRD >ORGLA09G0138400.1 pep chromosome:AGI1.1:9:15548521:15550938:-1 gene:ORGLA09G0138400 transcript:ORGLA09G0138400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEPAAAAASTSLDSDDSFFDALDSLPSPPSPPHTPSSSTLRRRRPRRGWSLKQHEDDTAASPTFSDSSTITVVDEAVKPDSEETSSHRPPPPPEEEDEEDAAEAAVEGEVEARDAKLNPAPAPAPTPTPPPPGILESLAMLVIKAVVFQVSALISCLTFPIRLLQWWFLLVTDPLGLVRRARGWALEVAGHATGAAAARLGGGEGVGRMVARLAWGSLWAVYVCVVLCSILVMAFLGGGLLVGKVVEEPIQVTETLNFDYTKPSPVAFVPVQRLVPPNQRMQLEVFLTLPESDYNRRLGVFQVRAEFLSADGKVISTSSQPCMLKFKSAHMHFIETFLRSVSLLSGYSSESQVIRLKMRGITEASEPVMGIRIILEQRAEFSPGAGIPEIYAASLKLEAELPLLKRILWNWRWTLFVWSSMGFFVFELLLALICCRPCIFPRSGHNAATP >ORGLA09G0138300.1 pep chromosome:AGI1.1:9:15541507:15545166:-1 gene:ORGLA09G0138300 transcript:ORGLA09G0138300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTKGCCGWLIVALVASLVATAAVVAIMKKKAGGGSGRKLKPLPVPGPPGAIDSKYGDALGVALQFFQVQKAGKLENNQIPWRGDSALDDGKPAGLDLSKGMYDAGDHIKFSFPMAFTATVLSWSILEYGDQMSAAKQLDPALDALRWITDFLVNAHPSDNVFYIQVGDPDLDHNCWERPETMSEKRPLTQINTKSPGSDVAAEAAAAMASASIVFKSRDTTYSDSLLQHAQKLFTFADTYKGLASDTYPKLQNYYNSTGYQDELLWAASWLYHATGDQTYLSYVTVENGKAFADWGRPTWFSWDDKLAGTQVLLSRLNFFGSKQTSNAENMGLKMYRDTAEAVICGLLPDSPSATASRTGGGLVWISGWNSLQHATNAAFLAVVYSDYMLTSQTAAVQCSGKYYSPTDIRNFAISQANYILGDNPMKLSYLVGYGSSYPQQVHHRGASIPADAKTGCKGFQYLHSTSPNPNVAMGALVGGPFQNDTFVDSRDNAVQTESSTYNSGTLVGLLSGLVTTSSVAQSFT >ORGLA09G0138200.1 pep chromosome:AGI1.1:9:15536475:15539839:-1 gene:ORGLA09G0138200 transcript:ORGLA09G0138200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:I1QQQ3] MAVLLITRLLTLVLGYAYPAYDCYKTLELNKPQIDQLRFWCQYWILLAFLTTLETITDFTVSWLPMYGEAKLALVLYLWYPKTRGAKHVYESYLQPVLARHEADIDRGLLQLRASAKDATASHLQAAVSLGRACFAEVAGRVSSQLQAARSSGGGGRAGQADQLQKRQKINPEEEEEDEDATVSKTRR >ORGLA09G0138100.1 pep chromosome:AGI1.1:9:15527064:15532049:-1 gene:ORGLA09G0138100 transcript:ORGLA09G0138100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGWFKKRRSSKSKESSGRRGSTTTTVSAVSTSRSDDSGAVRPASKSTGSTSSHRSISSLYEERGHGQLRDFDYDELQAATNGFSRAQKLGEGGFGSVYKGFVRSSPADGKAADRLAVAVKCLNQRGLQGHKQWLAEVQFLGVLEHPNLVKLLGYCAVDGERGPQRLLVYEYMPNKSLEDHLFVRAYPPLSWNRRLQIILGAAEGLAYLHEGQVQVIYRDFKASNILLDKDFRAKLSDFGLAREGPTGANTHVSTAVVGTHGYAAPDYIETGHLTVKSDVWSFGVVLYEILTGRRTLDRHRPQGEQKLLEWVAQFAPDSRNFRMIMDPRLRGEYSVKAARDIAKLAESCLLKNAKERPTMSEVVDVLRRAVQSQPDPPPPPAAAAAASGKGKRVDVAPQPVRRR >ORGLA09G0138000.1 pep chromosome:AGI1.1:9:15511651:15518011:-1 gene:ORGLA09G0138000 transcript:ORGLA09G0138000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog 2, peroxisomal [Source:UniProtKB/TrEMBL;Acc:I1QQQ1] MADAAVELPGRLAILPFRNKVLLPGAIVRIRCTNPSSVKLVEQELWQREEKGLIGVLPVHDSEAAGSLLSPGVGSDSGEGGSKAPGGSAGESTKQDTKNGKETIHWHSRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELSARGSYHVARVSRLDMTKTELEHAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLETVPVYRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAALERKMQNAGMPANIWKHAQRELRRLRKMQPQQPGYSSSRTYLELLAELPWQKVSEERELDLRAAKESLDRDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLKIAMKHLIPRVLEQHGLSSTYLQIPEAMVRLIIERYTREAGVRNLERNLAALARAAAVKVAEQDSALRLGKEIQPITTTLLDSRLADGGEVEMEVIPMGQDISNTYENPSPMIVDEAMLEKVLGPPRFDDSEAADRVASPGVSVGLVWTSFGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLNLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSHRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNMKDLAEVPAPILSGLEILLVKRIEEVLDHAFEGGCPLRPHSKL >ORGLA09G0137900.1 pep chromosome:AGI1.1:9:15507779:15510890:1 gene:ORGLA09G0137900 transcript:ORGLA09G0137900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAHRRLLLLLLHLLLLVAAAAEAAAAGAGRKEKGIGGGGGLRFRGGSGTFKVVQVADMHYADGRRTGCLDVLPSEAAGCSDLNTTAFLYRLFRDEDPDLVVFTGDNIYGFDATDAAKSMDAAIAPAINMNLPWAAVIGNHDQEGTLSREGVMRHLVGMKNTLSRFNPEGIEIDGYGNYNLEVGGVEGTLLANKSVLNLYFLDSGDYSTVPSIGGYGWIKASQQFWFQQTSSNLQTKYMKEEPKQKAAAPGLVYFHIPLPEFSSFTSSNFTGVKQEGISSPSINSGFFASMVEAGDVKAAFIGHDHVNDFCGKLNGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKTDGGEWRGVKSIKTWKRLDDPHLTTIDSEVLWNRGSNGRRKKNPDGKMR >ORGLA09G0137800.1 pep chromosome:AGI1.1:9:15503794:15504846:-1 gene:ORGLA09G0137800 transcript:ORGLA09G0137800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAALCWRHVAVFAAALLAAAAVVVAGDPGKIGICHGRVGSNLPPPAAAAALLRQNGITKARLFLPDPAVLPAFAAAGIDLMVGVPNENLTFLSAAGPDGALRWLQSAVLAHAPADRVRYLAVGNEVLYNNQFYAPHLVPAMHNLHAALVSLGLGDKVKVSSAHASSVLASSYPPSAGAFDAASLDVLRPMLRFIADTGAPFMVNTYPFISYVNDPVNVQLGYALFGAGAPAVSDGALVYTNMFDATVDALAAALDREGFGAVPIAVTETGWPTAGHPAATPQNAAAYNAKIVERVARGAGTPRRPGVPVEVFLFDLYDEDGKPGAEFERHFGIFRADGSKAYNINFA >ORGLA09G0137700.1 pep chromosome:AGI1.1:9:15493849:15503135:1 gene:ORGLA09G0137700 transcript:ORGLA09G0137700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNNSDPILDEGGGGGVKHEAVGEAGEGKGGGGGAAATQAPAAMLPRSGSRPQLDLSGAAIHGNLEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHAEHSSEDKRKLSTKRRLGMLNGGRRSYPVLGGRLHFVKFETGKLNECLDFISSKQLHRGGVDSPSWRSGAQPDNIVIKATGGGAFKYADLFKERLGVSLEKEDEMDCLVAGANFLLKSIRHEAFTHMDGQKEYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTKCKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISDDKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVNFWSKGEAKAMFLRHEGFLGALGAFMSYEKHGLDDLRIHHLVERFPMGAPYVGGKIHGPPLGDLNEKISWMEKFVQKGTQITAPVPVGFPVTTGMGGFERPTAKGDILRSDASAALNVGVLHLVPTLDVFPLLEDPKMYEPNTIDLDLNEYKYWFKILSDHLPDLVDKAVASEGGTDDAKRRGDAFAHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFVDAYVSIKQRENEASLAVLPDLLMELDSMNEEARLLALIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRKKMQRPWRIDDFDMFKKRMLADKKGQPYKRALLFVDNSGADVVLGMIPLARELLRNGTEVVLVANSLPALNDVTANELPGIVAEAAKHCGILRKAAEAGGLIFDAMAGIQDDLKDEPVSVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRSLHTNLNARFKCDTLKLAMVKNQRLAEKLFNGNIYDCICKFEPVP >ORGLA09G0137600.1 pep chromosome:AGI1.1:9:15486202:15486564:-1 gene:ORGLA09G0137600 transcript:ORGLA09G0137600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLAIFAPYLTSFLDTDTGTTVGRLSCLLITASQSVSNYRPEEGDTLTDRIGMADTRRCLAAAVVRRVFFPGPEVAVVQSMYPFRPSGHTAERGCLLLATVYDVDDDATCSEEVGWAAC >ORGLA09G0137500.1 pep chromosome:AGI1.1:9:15481042:15484827:-1 gene:ORGLA09G0137500 transcript:ORGLA09G0137500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKRGPWTAEEDKKLMSFILTNGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLTDAEEQLVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLDRKQESPATTSQSTVTAESSKSGEATRQQSRQLDDAVVRDMSVSAGGDSPPESSTNTASTAGGSSSSSSSHHQDPLVKWLLEEDLLPTGDEPWLNFTASNDVDEFSSIAATGATPALPWDVGMTTDWLLDYQDFGMGDSSLVVDASMVNSSNGSNF >ORGLA09G0137400.1 pep chromosome:AGI1.1:9:15470771:15471740:1 gene:ORGLA09G0137400 transcript:ORGLA09G0137400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSVLPVHGFGASLQHFCDNIGAIADQGCRVWTITLLGFRKSEKPNINYSELLWSELLRDFIVDVVKEPVHLVGNSIGGYICSITAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA09G0137300.1 pep chromosome:AGI1.1:9:15468640:15470037:1 gene:ORGLA09G0137300 transcript:ORGLA09G0137300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASAASAAVVPRPHPRLSSCRDGDLAILLSALRSPPASLPRALSSAFPSPSGSFLIGKLPSLLPRLPSPLRFLLWRLPPSSPLPSSYALSSLAASLPDLPSSVPLLLSSSPQPLPLRHYALLLTISAHAGLFPASLALLRHMRSFGLAPDAACFRSALRSAASPGDVCAVLGIMSACGVSPSVPLVVASVHKLATAGDFVGARQLIEKMPEFGCVTNVAVYTALLDGMCSFGDVDAALGLVEEMEGGSLGAGCVPNVVSYTCLVKCLCGKKRMGEALSLLDRMTGRGVMPNRVFVRTLVGRFCSEEMVADAYAVVERVVSDGSVSSEQCYNVLLVCLWRVGMDGEAEGLGQRMMKKGVRLSPLAASVMVRELCNRNRLLDACYWIGVMEENGVLCDTDVYNGLLLRLCVEGHVGEALALAKKVAERGILIEASCADCLTDLLKQYADEELAXKISELRRCSEVLSP >ORGLA09G0137200.1 pep chromosome:AGI1.1:9:15466091:15466999:1 gene:ORGLA09G0137200 transcript:ORGLA09G0137200.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSDTMLELLLFALEDLKMVLKSQESDLLIGLGNAEDVVLKLVNEVQAGLIFTEEEVEYRVRNVLASVESSLSNASFLSGNPPEIVVWSASLYDYKNPRELSTSHNQFLKEKLPMNTPLAAPSLPALNIEIETGSLPTLEELKGFLKESRTSENNWVPLKGTSARSILKKTLSQIKVKTAVALN >ORGLA09G0137100.1 pep chromosome:AGI1.1:9:15459668:15462946:1 gene:ORGLA09G0137100 transcript:ORGLA09G0137100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKTGVASSGSDGGEDTTAYYAMSGRKIQNSMFASESSTEVRGGTEITLDALAAYLKYLEGTGKASWQELHDKVRLTETKDGASFCTLFGPALQLGVISKRRVYHETIQYERDRNAGFISPFGYSTPTVTAAVDAICSMEWYWLLALKSQVCIEGNYPVRIWRWKGHLIQYTSVGHEGPSVLLVHGFGASLQHFRDNIGAIADQGCQVWAITLLGFGKSEKPNINYSELLWSELLRDFIVDVVKEPVHLVGNSIGGYICSITAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSYDPGAATVIESIFSFNLSIPLNFLFDSFGGKILIVQGMKDPLTKSESFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSLLCEWIKETGA >ORGLA09G0137000.1 pep chromosome:AGI1.1:9:15457080:15458887:1 gene:ORGLA09G0137000 transcript:ORGLA09G0137000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPPAPPPISPARLHKLVTSQTDPLLALELVTVTAPTTAPHPSTLHALALRLSRRREHLPHALALLRRLPSPPSPRILLPLLLSALRLRRQPHLFLSTFNSLFVSGPSPLPLHPELLLRLLSVLSSTASYFPCALHLLRDVSTRLPLPEPLVLASHNLLIEAAARSGHLAVSISLFHRLRSLHVSPNAETYRILTQSLCRRGQVRTAANLLDEMLHRGIPADPLAYTTVLNALCRKKQLREAYRLLCLMRGREVSPDIVHYNTVIVGMCREGRPLDACKVFRDMRESGCAPNAVAYTAVVNRLCVSGLYDKAEAYLDDMLGKGLLPHFSVFHSVIKGCCAVGKVNEAAGMMTRMLDLGMVPHAETWSSVIRSVCSDEDNVEVRRYCLTSTKQELTQLSEGSAIQHGDHLTANMGSSLDVG >ORGLA09G0136900.1 pep chromosome:AGI1.1:9:15447001:15450725:-1 gene:ORGLA09G0136900 transcript:ORGLA09G0136900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVEERKVVDLEDGDGEEGEDAAAVAAGSSRETRMLPRMPVRVLLAEGDDSTRHIICALLRKCGYRVAAASDGVKAWDILKEKSFNIDLVLTEVELPLMSGFLLLSTIMEHDACKNIPVIMMSSNDSVSMVFKCMLKGAADFLVKPIRKNELRNLWQHVWRKQLSSGVLDVQHTQQEDNLTERHEQKAGVTKAEHVTENVVHKNMECSEQESDAQSSCTRSELEADSRHTNNLLEYKQPMGRHFSKPDHKNTEKNGGTKIHASNDGNLIPRREEDASPRRMTCSNDINCEKASRDMELVHIIDNQQKNNTHMEMDVARANSRGNDDKCFSIPAHQLELSLRRSDYSRLESQEKNERRTLNHSTSSPFSLYNCRTASSTINAGDAQACSTSATHIDLENKNGDSKTPSQDKRETNQPPIRVVPFPVPVGGLTFDGQPFWNGAPVASLFYPQSAPPIWNSKTSTWQDATTQAISLQQNGPKDTDTKQVENVEEQTARSHLSANRKHLRIEIPTDEPRHVSPTTGESGSSTVLDSARKTLSGSVCDSSSNHMIAPTESSNVVPENPDGLRHLSQREAALNKFRLKRKDRCFEKKVRYQSRKLLAEQRPRVKGQFVRQDHGVQGS >ORGLA09G0136800.1 pep chromosome:AGI1.1:9:15442228:15442881:-1 gene:ORGLA09G0136800 transcript:ORGLA09G0136800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRKARFCEAHHRARRLRHLATAALAVAALAAAAAALVLYLVYRPVMPQASVPRAAVYRLALANASSSAHALAASVQFTLVLHNPSDRASLLYDGLVAYASYRGEPVMPPAPLPPVAQDRGADVAMSPLLGGAAVPVSPDAARALAADCAARRVQLRLVVMGRVKYRSGPFRSGWRDLYVRCNVVVGLSTEAAVAGDGGGGDVPLLEYPRCAVDA >ORGLA09G0136700.1 pep chromosome:AGI1.1:9:15430133:15431781:-1 gene:ORGLA09G0136700 transcript:ORGLA09G0136700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSTMSLLPPITQQQRWHAADSLVVLASRRHDSRRRRRCRYVVPRARLFGPAIFEASKLKVLFLGVDEEKHQHPGKLPRTYTLTHSDVTARLTLAVSHTINRAQLQGWYNKLQRDEVVAEWKKVQGHMSLHVHCHISGGHVLLDLIAGLRYYIFRKELPVVLKAFVHGDGNLFSRHPELEEATVWVYFHSNLPRFNRVECWGPLRDAGAPPEEDDAVAAAAAEEAAAEQMPAAGEWPRRCPGQCDCCFPPYSLIPWPHQHDVAAADGQPQQ >ORGLA09G0136600.1 pep chromosome:AGI1.1:9:15423808:15427617:-1 gene:ORGLA09G0136600 transcript:ORGLA09G0136600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QQN7] MANSKRLPYSTAGGGGGGGGGGGRRGGASGSGVVAPLVVLVFLFVLAPSIFFVARNGGHVHVASDPKDREGNQETDWQKQLATNNMKSILSKEXXDALASSQQEAGTLSVDFFRKRASPSWKTDDLVNDLSNASLDVDDKVKSENSSAEHELSLTDKTPKDDTAEHQVDAAAKNARRKLREKRREKRAMDLVRKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYDCKAVTQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPELYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQSMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYRPYWTRYIKYDHPYIRGCNLAE >ORGLA09G0136500.1 pep chromosome:AGI1.1:9:15418865:15420476:-1 gene:ORGLA09G0136500 transcript:ORGLA09G0136500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRCFCCVTGGDSDPEPAATSSRRRTNPARASKNRTSVDYPWETYTLKELLQATGNFSESNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAVEVEILGRVRHRNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGTPSSHHHVPLEWPRRVAIAVGAAEGLSYLHHEASPHIIHRDIKASNVLLDAEFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLAERRRWERLADPRLAGRFDAAQLRAVVETAMLCTQSSAESRPAMAEVVDMLRFSGGERRTKEIVPVAVTVAGSSDEITTTTDLDDVTAGSSEPLDRRNWKLTRLR >ORGLA09G0136400.1 pep chromosome:AGI1.1:9:15410904:15411574:-1 gene:ORGLA09G0136400 transcript:ORGLA09G0136400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDGTWGARERRAHRQEETGGTWHSGGGKGSGSTRLARYGCKSPEQSGGSACTAPAFAYSGL >ORGLA09G0136300.1 pep chromosome:AGI1.1:9:15405715:15406738:-1 gene:ORGLA09G0136300 transcript:ORGLA09G0136300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGSHSRDNPAPPVPPVHPADAASFLYATRGGSFQLWQQQEQQPFYASNIIRFADDAPPAPSLAGASSSSSSRGMRSSGGGGGGGGGGISCQDCGNQAKKDCTHMRCRTCCKSRGFACATHVKSTWVPAAKRRERQQQLAALAASAAATAGGAGPSRDPTKRPRARPSAATPTTSSGDQQMVTVAERFPREVSSEAVFRCVRLGPVDQAEAEVAYQTAVSIGGHVFKGILHDVGPEALAVAGGGGASEYHFRLTGDGSSPSTAAAGEAGSGGGGNIIVSSAVVMDPYPTPGPYGAFPAGTPFFHGHPRP >ORGLA09G0136200.1 pep chromosome:AGI1.1:9:15389511:15390793:-1 gene:ORGLA09G0136200 transcript:ORGLA09G0136200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSRLNASAAPWEPPVARAMAVEQYCPPPQSLLPPPPLPPVAVPTTCGCAACLQGCFVPVGVQAAFPHAAAGWAPPPPVMPVMIVYRVVQPPPPAAHATRCQITEIEDGGGVETAKSVDGDEQQPFIRTVRSTRRRKAAAIRLPKAFRAALLPPPPPPCALGFTATTTSLMIRNIPNKFLKARLMAILDQHCADENGKCHRRGGGGGRSVVKSEYDFFYVPIDFKTGFNKGYAFVNMTTATAARRLRAFLQDHRWDAAMSGKVCDVVPAAIQGLDAFVAHFSASCFPCRTKEFLPVWFEPPRDGEQQTKAHVVGRLVVRPR >ORGLA09G0136100.1 pep chromosome:AGI1.1:9:15375586:15379044:-1 gene:ORGLA09G0136100 transcript:ORGLA09G0136100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAAAASTSLRPASQSALRLAGSPRRWCWGAPALSPARRAFHADTRRRKTLLYATDKGPEESLKKTIEVDRLIEMLRDANPRELDQIVVENVLAFDEGFWVRLAARIDLCKSDDDKKDYEELAENVMNIVDRLVHKTDEKIEQSTDVLKAIISPVMHEGENATWPPRDPEALKLMEKEISNREKEGQLDEGFLSEVNAQLRQAKQDGDKPGLQAMLQKVLQLFASNFLQKRSYAYKXXEVIVPESFLESVIKAPENEWNKLLLDGLTVGKGNVSPEEFYAVIKKRIERVLIRTEGGSYQQRILVEYLKEIQARAEEVVKVLQGPTI >ORGLA09G0136000.1 pep chromosome:AGI1.1:9:15374106:15374453:-1 gene:ORGLA09G0136000 transcript:ORGLA09G0136000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAALRVPAPIPSSLPSPARPLLRRRSSHRLPPPPPPAASMADAGGATTNKPAPAPAPEPPEKPLPGDCCGSGCVRCVWDVYYDELDAYNKALAAHSSSASSGSKPATSDGAKS >ORGLA09G0135900.1 pep chromosome:AGI1.1:9:15370389:15373699:1 gene:ORGLA09G0135900 transcript:ORGLA09G0135900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPLLFIVFLLMLLEPCSSSRSNVYIVYMGERHHGLRPELVQEAHHGMLAAVLGSEQAAMDAILYSYRHGFSGFAAVLTGGQAARLSDWPGVVRVVRNRVLDLHTTRSWDFMGVNPSPSGGGILLESRFGEDSIIGVLDTGIWPESASFRDDGIGEVPRRWKGRCVAGEKFNASNCNRKIIGAKWYVKGYEAEYGKMNTSDIYEFMSARDAVGHGTHTASTAAGALVANASFRGLAKGVARGGAQRARLAVYKVCWATGDCTAADILAAFDDAIHDGVDVISVSLGQAPPLPAYVDDVLSIGSFHAVAKGVVVVCSAGNSGPYSETVINSAPWIVTVAAGTIDRIFLAKIILGNNSTYVGQTLYSGKHPSKSVRIVYAEDISSDNADDTDARSCTAGSLNATLVKGNVVLCFQTRAQRSASVAVETVKKARGVGVIFAQFLTKDIASSLDIPCVQVDYQVGTAILAYTTSMRNPVAQFSFPKTIVGELVAPEVAYFSSRGPSSLSPSILKPDIAAPGVNILAAWSPAAAISSAIGSVNFKIDSGTSMSCPHISGVVALLKSMHPSWSPAAVKSALVTTANVHDAYGFEMVSEAAPYNDANPFDYGGGHVNPNRAAHPGLVYDMGVSDYMRFLCSMGYNTSAISSMTQQQTTCQHTPKSQLNLNVPSITIPELRGKLTVSRTVTNVGPALSKYRARVEAPPGVDVTVSPSLLTFNSTVRKLPFKVTFQAKLKVQGRYTFGSLTWEDGTHTVRIPLVVRIIISKFYVNA >ORGLA09G0135800.1 pep chromosome:AGI1.1:9:15363513:15365901:1 gene:ORGLA09G0135800 transcript:ORGLA09G0135800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESPAAGGGAAAAAAGKPSGTALPRRGKSCKGCLYYSSMLRSRGFNPVCVGIPRSIPQVPSYVVDEPREEAAAQGHDLRQFKYACAGYSMFVVDNKDGRSGEKEGKTLLPYCQGLELLVDSRLVEKKSPNNEPATASYRKEAATSSRQQGQRPGQLTGQDFYARFRKSAGLVASGVAKNLNKTAIYIKENVADILYPDRRPPK >ORGLA09G0135700.1 pep chromosome:AGI1.1:9:15354404:15362461:1 gene:ORGLA09G0135700 transcript:ORGLA09G0135700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIAEEETVGVAEDPGLADVGKADETKEEGGGAGVHLDETRVAVDDPTEVRASQIDDGTAMVSVLGGDGDSFVEQDGQDTSGGGACDEGNEPEEDARVQVRLDDTSAAVDEAGASLIDDVMNTLPVGGVASFDDGPQTDKNAQDEGALIDVVSSTVLNDASIDLVKASDSVSEEGTGMDILAQPGEDIKEMVTIACDAATDDEGRKVDAVSSSSDRNEEVVGEAGLDETDEDMRLGTVGLSGDDNVANEAAAAAAGGADDEDLKMDGVITAGDKDVENGKADNADGVPEVNVVAISRDNSAENEAAAAGDDGADEEGIQMNVLNTTRGEGEEDDEAAQNVVEYDVDGTEELETIGLTGDDKAEKEAAPAGNNSADEEGMQMDVVTTTGAEDEDGKADENVVEDDDGVSEEAVADTVGEDIPEEDAVQIDEDEDDDDVPPPLTRKGGGRRKRGRASSKAQVVVKPPVRKKDDEEVCFICFDGGDLVVCDRRGCPKAYHPSCVNRDDEFFKSKGRWNCGWHICSNCQKPAFHMCYTCTYSLCKKCIKETKFVSVRGNKGFCETCMNTVMLIENKEEATEQMDVDFDDKASWWYLFKDYWLNLKTKLPLTFEEISAAKSQKNGSSLVIRDNDLSEPHDTNDEEEGNSDSSSVRHLEGNSKRKGRKRSKQAANDDSSVVKDSTRKSTKRGLTGGRDTKSSTGRKVRKLSKRALSSDHRPRESESVGTSTSSAEETSWASKELLDFVANMKNGDKSVLSQFEVQSLLLDYIKRENLRDPRRKSQIICDSMLKSLFGKARVGHFEMLKLLESHFLMSEVSPVEIDDNHGGVVDPDPSLDADGNSEASMVMSSEKRKKSRKYDQKALQTNLDDYAAIDNHNISLMYLRRNLLEELISEVDTFDEKVLGSFVRIRISGTGQRQDIYRLVQIVGTGIAPEQYKCGKKSTDITLEILNLDKREVITIDITSNQEFTEEECKRLRQSIKCGFIPRLTVGEVYEKAKVLQSLKVNDWIESEKMRLGHLRDRASDMGRRKELRECVEKLKLLSTPEERVRRLNEEPEVHADHTMDPDYESPEEQEQDTGRSSFNKSRGSFSKKDNNPVSPGKGEGRSPAQRDLKTNWESNRNTWGESSTHIESPLGRRPAFSSHGESAGYTSKSDSPNIGTHAVKVGATAGNAPHGLSGVSSETLGANIGSGGTHASQSVINESEKIWQYMDPTGKIQGPFSIVQLRKWNGSGYFPPNLKIWKSTEKQDDSILLTDALLGRFEKDLPPWEPPVGSSSDVDGRPRNDSLLEEGTRAGEQPSKSAVLSSTQSFSGRAGQGNDAANLGPATIQSSTQGYYGMQNSQAAYAVQQSLSGSWNASSQFGTAINPVTLSQPAMGSFVVGQNAALGSAGQLTPVPGPATVSAEVVNSQLQSQNQIASFLSQSDGRLADGNDSKLGEDASRERMRSSGEDLGLAGAQPGGVQSNTQQLEDARNQLQTDASNSVKPSQLISTPSAEAVQPSSTAMAGGDNQNTAWAQLASTSGQSQPQAAGNMTWGATLQGNANMGWGMVGQNNMNMSWGGTAQSATGYNMGLAMQAQPNAVPNMGWVTPNPGNTNMNMMWATQGQGTPNAAAMVGTQMQGVAMAPWGAIAQGNTNSYPGWGGQVGNMNQNVGWGAPMQVNPGPSTGNGTGQDNNNMNWNSPSGNPNWNNQQRDNGGRHSGHGGDFNGGDSGGRSWRSQSGGDGGSWRPKRGVCYSILDKGYCKNGEHCNFSHSIPNDGYPSRNSRHFDRQNSGNERRYDRQNERTDRQFDRQSSGNERRDDRHNGRDSDRHDDRLTDTRSQSRERQ >ORGLA09G0135600.1 pep chromosome:AGI1.1:9:15350265:15351886:1 gene:ORGLA09G0135600 transcript:ORGLA09G0135600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHYVFLAAVALLAVVGYGVKNRRRRSAKLPPSPPSVPFLGHLHLLGPLLHRSLHELHLRYGTDGGLLLLQLGRRRTLVVSTAAAAADLYRNHDLAFASRPLVAAAHKLSYGSKNITFAPFGEQWRRAKKTAVVHALSPRRVEAFAPVRAAEAAALVAATRRAADAAADGGAVELRDLLYSYTNAVVTRAATGAAGTTAEKLKQLLGNATSLVAGVQADDLLPGMAAKAVRWATGLEKQYDASMEEWDKFLSPIMAEHAEKKKKKREDIGAGEEDFIDVLLRLKEEDTELTDTHVKSRVVDLIAAATETTSVTLEWTMAELAANPRVMAKLQDEIARAAGGKPAITEAEVGGMEYMKAVVKEVLRLHPPAPILVPHESTAAAAVQGYEIPARTSLFVNAWAIGRDPAAWGSPEEFRPERFLAGGPAVDFRGNDYQLVPFGAGRRICPGISFAVPVLEMALVALLHHFDWELPAGMRAGELDMSEAPGLTTPLRVPLRLVPKRKAPLA >ORGLA09G0135500.1 pep chromosome:AGI1.1:9:15344226:15346728:1 gene:ORGLA09G0135500 transcript:ORGLA09G0135500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVVVAAALLVFVVVVVAAIKNLGKGKLPPSPPSLPFVGHLHLVGELPHRSLDALHRRYGSDGGLMFLRLGRAGALVVSTAAAAADLYRGHDLAFASRPPSHSAERLFYGGRNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVQLRELLYAYTNGVITRVAAGGSGATAERFRKMMADTSELLAGFQWVDRLPEAAGWAARKLTGLNKKLDDMADESDRFLGEILAAHDDEKAEGEEEDFVDVLLRLRRQGAAAAGGLELAEDNVKAIIKDIMGAATDTSFVTLEWIMTELIRNTQVMSKLQNEIIQVTGSKPTVTEEDLTKLDYLKAVIKEVLRLHPPAPLLIPHHSTVPTTIQGYHIPAKTIAFINVWAIGRDPAAWDTPDEFRPERFMGSAVDFRGNDYKFIPFGAGRRLCPGIILALPGLEMAIASLLYHFDWELPDGMDVQDLDMAEAPGLTTPPMNPVWLIPRCRTI >ORGLA09G0135400.1 pep chromosome:AGI1.1:9:15339811:15343439:1 gene:ORGLA09G0135400 transcript:ORGLA09G0135400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:I1QQM5] MALLSAPVRRRRSRVRVLLVCCCLLVALVAPSAAGHDYGDALAKSILFFEGQRSGRLPAAGQRAAWRGDSAVSDGGAAGVDLEGGYYDAGDNVKFGFPMAFTATMLAWGVVEFGDAMPPAERAHAADAVRWATDYLLKTISHPGVIFIQVGDPTKDHGCWERPEDMDTARTVYNISAARPGSDVAGETAAALAAASMVFRDDDPAYAARLLAGARRGFEFADEHKGAYSDDPELRAGGCPFYCDFDGYQDELLWGAAWLRRASKEGTYLDYIQNNGKTLGAEDSTNEFGWDNKHAGINVLVSKEFIDGEVLSLQSYKEFADGFICTLIPESSSPHITYTPGGMIYKPGGSNMQHVTSISFLLLTYAKYLSNSSRTVNCGNVSVGPATLQQLARKQTLNIILYLFTYLQADYILGDNPMKMSYMVGYGDRYPQRIHHRGSSLPSIKSHPQRIACNDGTPYYNSSSPNPNPLIGAVVGGPGEDDVYEDDRADFRKSEPTTYINAPLVGVLAYLVGNPDPGQGHVRH >ORGLA09G0135300.1 pep chromosome:AGI1.1:9:15332518:15332958:1 gene:ORGLA09G0135300 transcript:ORGLA09G0135300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNIFEDDEIEAAFAAGAMPPEWRRRLVASGQLDERGVDKIAADIAAAGTTSRPSSGFAWSKGAMAFAAFDVVVGALLLCLGVAGILSAGEHHHGDGKNAVVGGLLVLAMTAVVAMVCEYERRRGKMRRLQARIVLERSLLPPV >ORGLA09G0135200.1 pep chromosome:AGI1.1:9:15327168:15329841:1 gene:ORGLA09G0135200 transcript:ORGLA09G0135200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G27700) TAIR;Acc:AT4G27700] MTTMLAASSVVNSNLPCSSRISSCSDFTSGYSWRPIEAAKLCRTRAVRSLQITCTATKPAKSPAEEEWKIKRQVLVEKRVRSVDVKEALRLQKENNFAILDVRPVADFKEAHPPGAVNVQIYRLIKEWTAWDIARRAAFAFFGIFSGTEENPEFIQSVDEKLGKDAKIIVACSTGGTLKPTQNFPDGKQSRSLIAAYLLVLNGYKNVFHLDGGLYTWFKEGLPAVEGEE >ORGLA09G0135100.1 pep chromosome:AGI1.1:9:15325489:15326535:1 gene:ORGLA09G0135100 transcript:ORGLA09G0135100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAMAASASATASLSHLLLARKPDPAPLPSRRAPALLPLPRRRGQRPISAAAAASDLLSAAPSLKSRLAAGETLYGLFLLSFSPTLAELAALAGYDYVVVDMEHGPGGVPEALACLRALDATRTPAVIRLPEAGPIWAKKALDLGPAGLMVPAVESPAAAAAAVSHCRYPPRGVRGAAHPIVRASAYGLDDSYLSRCEDETLIICQVETAAGIAEVDAIAAVDGVDVVQMGPLDLSASMGYLWDPGNRKVRARLREAEKKVLDARKKNVTASDGNVAYLGGFAMPNDPAEQLKLRGYHMVSGAVDIGMFRKAALEDVKRFKEAVMEIGEEEGEEDDEKKDKEDDGYWSE >ORGLA09G0135000.1 pep chromosome:AGI1.1:9:15314447:15316696:-1 gene:ORGLA09G0135000 transcript:ORGLA09G0135000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein [Source:UniProtKB/TrEMBL;Acc:I1QQM1] MRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRTQLKEFARKHKNDIRKNPLFRQQFHEMCAKVGVDPLASNKGAWAELLGIGDFYYELGVQIVDICIATRATNGGLIDLLDLRKLLCQKRKADLGSLTSDDCLRAISKLKVLGSGFEVISVGKKKLVRSVPTELNKDHNGILELAQAEGFVTVEQVERKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCVTLSSDSTGADAKS >ORGLA09G0134900.1 pep chromosome:AGI1.1:9:15312607:15313360:1 gene:ORGLA09G0134900 transcript:ORGLA09G0134900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSFFPLFSPFLFSLSSLALGRPAPGRGGRGGPAAGDVAAVAAATTTREQVRGVGGGEGSKAELVGGIETVDTGGDGAVVTPGSCGGEGSIGLRLTGGGTADETGASGGGKGAVVRGDGFDGDDKVGEYSIQAFTILPLESGGGSAGFVGFLAWTSSPPPSCQENLRFLHGFGVLELDVGFQAGGCSGVDRDERDGLAGADGEVSDLGRRP >ORGLA09G0134800.1 pep chromosome:AGI1.1:9:15311111:15312226:-1 gene:ORGLA09G0134800 transcript:ORGLA09G0134800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEAKRRRHHSPPASTAAGGDSSTPTSAAPADSSSPSLDLIPDIARRLTSLEDFFSLRASCRAYRALLPASRRLLASQSPLLLVSLYPSFAEALFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTATTAANNYPPRLLLLRLFTGEQLRLPRVFAPFSRVILTADLLVVIFLPGRATVQHCRPGDALWRVASAPAPHVFDDLISVNGTLYALVGLRLATLQLSESSLELSFLGGEHDDANRPEGDRFMLGECGGEVLLISVEHEERVVYRVFRWASEKRKWEMITNLGGRSLFLGLDGFAACVDQDHPGVRGDCLYAAGRRLGEWHEYSLADGTCDVCNADYPGAPPLNNSSLIRPSVWIFPSLC >ORGLA09G0134700.1 pep chromosome:AGI1.1:9:15306649:15309104:-1 gene:ORGLA09G0134700 transcript:ORGLA09G0134700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLALLRPLIHPSPLPAASPLAARCRGGRGRGRGRGVRWRCATGGGAGGGEVEEGEGEGKREAAAWLSSAVGEKVDELLLREENRALVEGVEAAERRVERARAALADIERQEAAARLASEEVRRLERRRDEIICSLVFPMCYFLLIMLFTMLHYINKITESQRELLQAREMIDEAQRSLSSSLQDQSFGDVPSGDIDEDSERLESVKAAAVSSVVGVLASLPISFYEAHDFPQLFVQLSVIFISCALFGVTFRYAIRRDLDNVQLKTGAAAAFAFVRGLAMVESGRPFELSTDALISLALDGAVSVVENILTFLPAAIALDYCFKMRFLSPFPTRKQ >ORGLA09G0134600.1 pep chromosome:AGI1.1:9:15302854:15304132:-1 gene:ORGLA09G0134600 transcript:ORGLA09G0134600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVFNWLNRKKHSNVEYCTINENKAMEEKEDSLRASVTEQDTEALLLRDVLINGILAIGTLGHNVNSLCPESCIEQDEPIIMCDEKVEQEKCEEEKAEAKQDTPVTAPSEPASALEPAKMHSSSMKEDNFMCFVKEEILMHGMEVEDVPNIQERPLLMLEKVEKVRTTLADLFAAEAFSSSDAEDKCYPKIVIVAGASTSKPTSCMEKMHHKKPTKPTSKPLKATRKLSRVMRKMLGKKIHPEQLNGRSNAEGPVTA >ORGLA09G0134500.1 pep chromosome:AGI1.1:9:15294662:15297409:-1 gene:ORGLA09G0134500 transcript:ORGLA09G0134500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSAAVAAASTSRTLVLARRRSPPASRVAATSRGRPFSSGPHPLAVSPATRAPAMATDGAAAAAAAGTKKKEVLIFDAEEDLAVSLAKYTAELSAKLAAERGAFTVVLSGGSLIKNIRKLAEPPYLDSVDWSKWHVFWVDERVVPKDHEDSNYKLALDGFLSKVPIPTGQVYAINDALSAEGAADDYETCLKQLVKNGVIAMSQSTGFPRFDVMLLGMGPDGHIASLFPGHPLVNENKKWVTYIKDSPKPPPERITFTFPVINSSAYVAMVVTGAGKAGAVQKALSDKQTSSDLLPVEMAVLQDGEFTWFTDKPAVSMLQNK >ORGLA09G0134400.1 pep chromosome:AGI1.1:9:15286124:15290447:-1 gene:ORGLA09G0134400 transcript:ORGLA09G0134400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSSTSSSPSSSSSSPTAASSPPSSSWIHLRSLLVAAASSSSSASSAAAAAGSSVAMVSASAPAASSSPSPSPAPAPAPSSPHSDRGGIKSPWSRRKRKRVLSRQQWEGLFSANGKLRDRGKKFLKKVRSGGIEPGIRAEVWPFLLGVYDLNSTEDERNTIKIKKRKEYEKLRRQCQQILNCYKGNGLKVINENNEECSGIEFSAEGSESPCFEDVNIARASVSHEELKPESGPEQPDNSMCAVTECMEEDTGELICLDPCIAESESSDSESSDEDDPGRISMSGEENCDPDPKFTRTTSFKADFFKSSKTSEDFATWQRIIRLDAIRANTEWILFSRNQAEISRERALQCAESVGLRDYDHLDPSMIYHAARLVGLLEAYAVYDPEIGYCQGMSDLLSPIIAVMEEDDEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYKHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWAKIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNFHDKI >ORGLA09G0134300.1 pep chromosome:AGI1.1:9:15272605:15274352:1 gene:ORGLA09G0134300 transcript:ORGLA09G0134300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEDTGSWLLYLSLAAKCSGDGDGQPHRLLGFVVVCAVAGLVTCLLHWSFPGGPAWGRWWWTRRRRRGSPCGVAAVPGLRGLPVIGSMWLMTGLAHRKLAAAAEAAGAGRLMALSLGETRVVVAAHPDVAREILHGAAFADRPVKESAYGLLFHRAIGFAPHGAYWRALRRVASTHLFSPWQVAASAPQRAVIARQMVRAIKLQQRSRSGDSAAGAVVEVRRVLRRASLHNVMWSVFGRRYELQLDPGKESDEVRELRALVDEGYDLLGQLNWSDHLPWLARFDLQSTRARCSRLVPRVNRFVTRIIDEHRSSAPVAAAIDFTDVLLSLQGSDKLADSDMVAVLWEMVFRGTDTVAVLIEWVLARLVLHQDVQARVHDELGRVVGLDRDVTESDTASLVYLHAVIKETLRLHPPGPLLSWARLATSDVHVDGYLIPAGTTAMVNMWAIAHDPDVWAEPMEFRPERFIGKAAEFSVMGSDLRLAPFGSGRRSCPGKSLAMATVAFWLATLLHEFTLLPSPDPAHGVDLSELLRLSCEMATPLAVTAWPRRVV >ORGLA09G0134200.1 pep chromosome:AGI1.1:9:15261588:15263811:-1 gene:ORGLA09G0134200 transcript:ORGLA09G0134200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAATNASGVAAAAAAAGNGVQAGGGGERAEDASKQNLALMMASIQRTLGLLHQLNLNVSSFSSASQLPLLQRLNSLVAELDTMQKHAEGCNIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQVTKGKTDAFKSLRKHLLEELEQAFPEDVEAYREIRATAAAESKQLAQSQSALPNGDVKVKPEH >ORGLA09G0134100.1 pep chromosome:AGI1.1:9:15253199:15255132:-1 gene:ORGLA09G0134100 transcript:ORGLA09G0134100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSSAGGGGGGETVLGDLPESCVAEVLRRLDPPEICRMARLSRTFRGAASGDGVWEAKLPRNYARLLAAAADGEAAALEAVEAIPKKEVYARLCRRNRLDGGTKEFWLDKGGGGVCMTISSRALSITGIDDRRYWNFIPNDESRFHAVAYLSQIWWFEVRGEVEFCFPEGTYSLFFRLHLGRPLKRLGRRVYSSEHIHGWDIKPVRFQLSTSDGQQAQSKCYLTDPGVWINHHVGDFVVKSSNEPVKIQFAMVQIDCTHTKGGLCVDSVAVKPQYLAKKKASRIYV >ORGLA09G0134000.1 pep chromosome:AGI1.1:9:15249441:15252515:1 gene:ORGLA09G0134000 transcript:ORGLA09G0134000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSSRGHAAASTSSRREAEDEDPATASDESGDDEEVSSSSGSESESDGDAERERERELERALADVPFGELQRARADGSLGGRGFSAAAAAQKKARRASKKRPMEISTKVRPPRFREIIQVPKKVVRDPRFEPVYGPVDKEGFRKRYNFLFDDELPAEKEKLQKSIKKSKDPNAIEEMKSRITWIDKQLRSHPKKNVESEILREHIKKEREAAKTGKRPYYLKKSEIRERKLMNKYNELKEAGKLDAFMEKRRRKNASKDHRYMPYRRNGDGA >ORGLA09G0133900.1 pep chromosome:AGI1.1:9:15240561:15241859:-1 gene:ORGLA09G0133900 transcript:ORGLA09G0133900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAKAKGTFPPAAAAMKESSAPPPPAAAAAAAAAAREDEWEVRPGGMLVQKRSPDGDAPAAPVPTIRVKVKFNGVYHEIYINSQASFGELKKQLSAPTGLHPEDQKIVYKDKERDSKAFLDMAGVKDRSKMVLLEDPTAQAKRLLEQRRTDKAERAAKSISRISLDVDKLATKVTALEAIVGKGGRVVDADVVTLTEALMNELVKLDAIAAEGEVKVQRRMQEKRVQKYVESLDAIRAKNAASHNKASGNGHAKPRAPHLPPRSPPVSQRRQFQAPPPAAPTTTKTAAAPAPPPTASWESFDLLSSMPSTSSSTVTTTMAAATTTTTTSPIPRFDWELF >ORGLA09G0133800.1 pep chromosome:AGI1.1:9:15230609:15232120:-1 gene:ORGLA09G0133800 transcript:ORGLA09G0133800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36730) TAIR;Acc:AT2G36730] MGALHLHALIAGGGAATTAPHLRQIHGHLLTSGRFPSLGPVLLRRLISLPNPHLHLAHRLLLSLPSPSLDLFNLLLPPLASSPDPSTAAALFLRLRRGGLRPDAHTLPHVLKALARLAPGSLPVVGSVHSEAVKDGLASAVVYVPNALMAAYSACGQLERAVQVFDEMPRRTVVSWNTALTACAGNGRHELCVELFAEMLEAGSVPDPTTFVVMLSAAAELGNLALGKWAHGQVVSRRLDMTLQLGTAAVNMYSKCGAVSYALRLFERMPTRNVWTWTAMIIGFAQNGLAQEALELFDRMKLSKIIPNYVTFLGLLCACSHAGLVDEGRRFFHEMQHVYGIVPMMTHYSAMVDVLGRNGLLQEAYDFVVGMPVEADPVVWRTLLAACQLHSSKDCIEIIDKVQGKLLELEPRRSGNYVMVSNIYCEIGSWDEAAKARRVMREGGMKKVAGESCVEVGGRVHRFVFGDDSCPEFHGACRILHELNLNMRKCEPIDPILFTDDAD >ORGLA09G0133700.1 pep chromosome:AGI1.1:9:15209084:15210709:-1 gene:ORGLA09G0133700 transcript:ORGLA09G0133700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1QQK8] MASRHSDEATQCHQQLLVMPAATASYPKLHDRPRLAGAAAGVLGEVASILCLAGPMVGAGILLYLRSLVSMVFLGRLGQLPLAGGSLALGFANITGYSVLSGLAGGMDPVCGQAFGAGRTDLLRAALRRTVVLLLAASVPISALWVAMHRVLVATGQDPDIAATAYAYILCSLPDLAVQCFLHPIRIYLRAQSVTLPLTYAAAAALLLHVPINVVLVDRLGLGIRGVALGAVCTNLNCLLFLAAYVCLSGMYGGRAKACASAAAPAAGEEDDDGGVREWWSLVRLSVHSCMSVCLEWWWYEIMVLLCGVLADPKAAVAAMGVLIQTTSLIYIFPHSLGCAVSTRVGHELGAGRPERARLVARVGVGLGAALGIVAFGFAVSVRAAWARMFTAEDAILRLAAAALPLLGAAELGNCPQTAGCGVLRGSARPERAARINVAAFYGVGMPVALALAFWPAGLDFRGMWGGMLAAQLVCAWLMLRAVLGTDWAEQAERARELTGGGDGYAAVAAVIVDDDKAKQHAEMDKPQQVDNTLLMAIDCV >ORGLA09G0133600.1 pep chromosome:AGI1.1:9:15196019:15196423:1 gene:ORGLA09G0133600 transcript:ORGLA09G0133600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMRLARWVAGPCTPTYFLSTNHYGSDIPTANPSTVLLQPRGSAYTLTVHRYYWSAISHSPLYPPLPPPNPEILILRFFIGIAICHSNEIWGRNLTDFEPLDPCQDLCIGFSPPTPVGSPSSPAPATPKTPAG >ORGLA09G0133500.1 pep chromosome:AGI1.1:9:15189731:15190229:1 gene:ORGLA09G0133500 transcript:ORGLA09G0133500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGSREVRLLAAPASDAREGEGIVSSGRWTEEEVAGERGADAAELGRATAAPMRRGAAGGSSARAWLCGGAGPGAGRDRGGAAGVVVIPPSCGHRSQFKLYALDDEVIKDKLMDAIEGHVLGEAQLMAVF >ORGLA09G0133400.1 pep chromosome:AGI1.1:9:15176476:15179154:-1 gene:ORGLA09G0133400 transcript:ORGLA09G0133400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKDTALPSPSSNINTVTSNTMNSTIQCERESKTKSIHNIYVDFLHFKEKVHVSDHSATVRVAIDNALQLRNCTNLDYYAVYDNKLVNNELSLESAGVCNNSTISTISVRPRIRGGLLSFDSYLAAIKDHLLVTRVLPQKLWHWDQGYDHFVVELSPVGLRALRLLFELIERMHLNGMSLDGKFGLGDIMYNSEFDRLQFSSSVNFVQYRGPELFNAEFCQNDMFNIASILLEHFRWKHPTDGNEYLPVYMDQLVKYIYNMDSNCGRTRKGRSVIFNHCCMMTATERAALIQSLRDYERGLESFAWFALRTALPNEKEEWFKQMKIGYSTYQVLYYSRINQSGERVLLKQYLPLCPLSHLDFSRCFIVHAIKSGEDSMEQAENYLPIVDPLFLPYLLERITNMYNQTQLAADALDIDNILGWRRKFDHKDADA >ORGLA09G0133300.1 pep chromosome:AGI1.1:9:15174370:15174609:-1 gene:ORGLA09G0133300 transcript:ORGLA09G0133300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRPTTPPPPSSPSPPPNTDDDHWHRDSGNWRYKGRSREDEAAPSPLGEDLLLAVMGQPTRCSFLNPSWMFSRMPRLEYE >ORGLA09G0133200.1 pep chromosome:AGI1.1:9:15171487:15172966:1 gene:ORGLA09G0133200 transcript:ORGLA09G0133200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLANLLERSSLTPIEEKEHLIANANALLSGPHQEHVIDGDGGHGVDPLGVDCRAPRPWQGWGQAAAAASILYGS >ORGLA09G0133100.1 pep chromosome:AGI1.1:9:15163537:15164277:-1 gene:ORGLA09G0133100 transcript:ORGLA09G0133100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAGHEVNSSSSSSGAESSSSSSGRQQYKKRPAGRTKFRETRHPVYRGVRRRGGAGRWVCEVRVPGKRGARLWLGTYVTAEAAARAHDAAMIALRGGAGGGGAACLNFQDSAWLLAVPPAAPSDLAGVRRAATEAVAGFLQRNKTTNGASVAEAMDEATSGVSAPPPLANNAGSSETPGPSSIDGTADTAAGAALDMFELDFFGEMDYDTYYASLAEGLLMEPPPPATALWDNGDEGADIALWSY >ORGLA09G0133000.1 pep chromosome:AGI1.1:9:15159598:15160254:-1 gene:ORGLA09G0133000 transcript:ORGLA09G0133000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEAVYRTVWSEPPKRPAGRTKFRETRHPVYRGVRRRGGRPGAAGRWVCEVRVPGARGSRLWLGTFATAEAAARAHDAAALALRGRAACLNFADSAWRMPPVPASAALAGARGVRDAVAVAVEAFQRQSAAPSSPAETFADDGDEEEDNKDVLPVAAAEVFDAGAFELDDGFRFGGMDAGSYYASLAQGLLVEPPAAGAWWEDGELAGSDMPLWSY >ORGLA09G0132900.1 pep chromosome:AGI1.1:9:15154081:15157709:1 gene:ORGLA09G0132900 transcript:ORGLA09G0132900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G01880) TAIR;Acc:AT1G01880] MGVGGSFWDLLKPYARHEGAGYLRGRRVAVDLSFWVVSHSAAIRARSPHARLPHLRTLFFRTLSLFSKMGAFPVFVVDGQPSPLKSQVRAARFFRGSGMDLTALPSTEAEASADAPVQPRNAKFTRYVEDCVELLEYLGMPVLRAKGEGEALCAQLNNQGHVDACITSDSDAFLFGAKTVIKVLRSNCKEPFECYNMADIESGLGLKRKQMVAMALLVGSDHDLHGVPGFGPETALRFVQLFDEDNVLAKLYEIGKGVYPFIEGVSAPNIDDLPSPSTKSLPRARSPHCSHCGHPGNKKNHIKDGCNFCLVDSLENCVEKPAGFICECPSCDKARDLKVQRRNENWQIKVCKRIAAETNFPNEDIINLYLSDDNLDNENGVPLLTWNKPDMEILVYFLSFKQNWEPAYIRQRMLPMLSTIYLREMASSQSKSFLLYDQYKFHSIQRIKIRYGHPYYLVKWERVTRSMISNDSPSKQTELEGKNDKVEVLDGDDEVVDEEEEEPTMISETTELLDEPDVPQVLDDDKDCFLLTDEDIELVNAAFPDEAQRFQEEQRLKEAKSRARKSKLNVAGFETPKGPRPSGVQLSIKEFYRSKKGLSGDSGKDGSRKSSDVDLSKNLPKSVRRRLLFD >ORGLA09G0132800.1 pep chromosome:AGI1.1:9:15148101:15151307:-1 gene:ORGLA09G0132800 transcript:ORGLA09G0132800.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYFNYKMLKGRVNEYTEQTKEGTQYRRRVLKDFSKLLDDEIEKIVLFMIEQQGLIAARLEDLGKRRARLQDIPLLQEITELREDYRSVGLDLVTLLKFVELNANAVRKILKKFDERLGYKFTDYYVRSRSNHPYSQLQQVFRHVLLTTIIDLVISELLKKDPIIDLITATADKLTNSTNFLRFLGQHALIAQADSTAGTEDEQHVGEDKYHLMSLVLNLANTFLYMVNTYIVVPTADGYATSLGAAATACGAVIGSMAVAQVFSSVYFSAWSNRSYFRPLLFSSVVLLLGNVMYAMAFDLGSLTILLLGRVLCGMGSARAVNRRYISDCVPPRIRMQASAAFVSASALGMACGPALAGLLQTNFSLYGLTINQITLPGWIMAFGWLVYLIWLWISFQEPDLGPDAKNFYEGSSSSTSTRYMEQEKMEQGFTEHLLPSEQDEEDDNGDEEHNETLSSSTTTLRPASSVASAYTLLTPSVKVQLLIYFMLKYAMEILLAESSVVTGYYFGWDIGTVSVFLAVLGLSVLPVNAIVGTYISNMFEDRYGDQETHLR >ORGLA09G0132700.1 pep chromosome:AGI1.1:9:15135980:15139014:-1 gene:ORGLA09G0132700 transcript:ORGLA09G0132700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01910) TAIR;Acc:AT1G01910] MADGGGDGAMPDPTVRNLLEQESLKWVFVGGKGGVGKTTCSSILSILLASARQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFNNLYAMEIDPKVENDDFANEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMALKNKFGGLLNQATRLFGLGDELNEDAMLGRLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDAHNIIINQVLFDEEAVESKLLKARIKMQQKYIDQFHMLYDDFNITKLPLLPEEVCGVQALQNFSRHFLTPYKAALKRGTVEEVEQRVSLLKSALQEAESELDRLRKGKQVA >ORGLA09G0132600.1 pep chromosome:AGI1.1:9:15131604:15134879:1 gene:ORGLA09G0132600 transcript:ORGLA09G0132600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1QQJ7] MDGRKDVGILAMDIYFPPTCVLQESLEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSMTVVTSLLKKYKVDPKLIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALLNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPIAFESKYKASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQFCKKYEKLAGKQFSISDADYFVFHSPYNKLVQKSFARLYYNDFTRDCSSVDNDAKEKLQPFSNLTGEESYQSRDLEKASQQVAKPLYDIKVQPSTLLPKQIGNMYTASLYAALASVLYNKHASLDGQRIVMFSYGSGLTSTMFSLKLNNGQDPFSLSIIASVLNATEKLESRHMTLPEKFVETLKLMEHRYGAKDFETSKDTSLLPPGTFYLTRVDSMYRRFYERKADEEIAAAKAKYSNGHATNGYANGH >ORGLA09G0132500.1 pep chromosome:AGI1.1:9:15127947:15129095:-1 gene:ORGLA09G0132500 transcript:ORGLA09G0132500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLQGKGAETAAAGERVAPGTNAAAFAVLGYPPIQSPVALQEEEGPRDAAFAGYAPIRSPVVSRLQEKGEGEGEEEEVDKREEAGMAADGSAFAAGMALVPKPEPVAVEFLRGLAVAKPPPRNRDRHVKVEGRGRRIRMPVNCAARIGQLTRELGHKSDGETIRWLMQQSEPAIVAATGTGTVPAIATTVDGVLRIPTESPSAAARGDEPAPKRRRKLQPTRAAAGGPVEALAAAPPPAVYYPIVADPLLQANGGGSISISSGLAPASSATPPTATGGGAIPFIAMPATSDGGKQAMSPATVWMVPPGGAGAVNQPIQYWAFQPNPDHANFAGASSYNVGQNPGVHEASAADHAASTGGGGGGGEDDEYEGMTDSSSDEE >ORGLA09G0132400.1 pep chromosome:AGI1.1:9:15123128:15123322:1 gene:ORGLA09G0132400 transcript:ORGLA09G0132400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPTVDSYSRTDYEMATAPLELWGWKLAMGKVDGGGNNGMGKMDSGGHIYRCATWKRGEGGGRE >ORGLA09G0132300.1 pep chromosome:AGI1.1:9:15121121:15122584:1 gene:ORGLA09G0132300 transcript:ORGLA09G0132300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1QQJ4] MAMDMAHRDHLLAAAHGALAAATLVACVLAEAAVLALRRGDGAAGMLCYYLVPVSAMLLLYRSRRRAAAARVGLVDFACLRPPPRLRIPVAGLLEHFKLIGCFDDGSVEFMTKVIEASGMGNETYFPPSLHHIPPAATHGEAIREAHMLFFPALDDLFAKTGVPPSSVGAVVVNCSGFCAAPSLSAIIANRYGMPSDVRTCNLSGMGCAAGAIGVDVAAGLLRAHAAMSYAVVVSAEIVTVGWYSGKDQSKLLLNCYFRTGCSAALVTTKRGGGGVKYRLVSVTRTNQTANDRSYRSGYRDEDDEGITGFTLGHGVGRMVSELLRAHLLTLSLSILPWREKLRYVAALLRHRRHDKKAGSGGGIPMPDFRAAAEHFCLPSSGRPMIWRLGQGLGLGEGEMEAALMAFHRFGNQSAASLWYQLAYMEAKGRVRRGDTVWQLAVGSGLKANSLVWERVADDDHFATERHGRTTLGPWADCIHKYPVTEG >ORGLA09G0132200.1 pep chromosome:AGI1.1:9:15112566:15114218:-1 gene:ORGLA09G0132200 transcript:ORGLA09G0132200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRCASPRCALAAALIAAVVAATSSSLAEATATPPLPVLPVPTAAQLRWQRREVIMFFHFGMNTFTDSEWGTGREPPAAFRPAALDASQWMDAAAAAGASLVVLVAKHHDGFCLWPSAHTAHSVRASPWRGGRGDVVREFTDAARARGLDVGIYLSPWDRHDKRYGREVAYNEYYLAQLHELLTGYGSVSEIWFDGAKGKNATNMTYHFQEWFQTVRQLQSSINIFSDDGPDLRWVGDENGSAGSTCWSTINRSKITIGEAGIEKYLNTGDPRGKDWVPPECDVSIRPGWFWHKNETAKPLPELLEVYYNSVGRNCVLLLNAPPNTTGLVDAADIARLREFRAAVTAIFGTDLAAGSAARASSERGGRFAAANVLDGRDDTYWAPAAAEAEDGGGYWIELRRPASAAARKFNVVRIQENVAMGQRVERHEVYVDGGGAAVASGTTVGHKRLHRLGAPVAGRTVRVWLASRRGPPLLSAVGLHLDPFAAGGGTM >ORGLA09G0132100.1 pep chromosome:AGI1.1:9:15105861:15109925:1 gene:ORGLA09G0132100 transcript:ORGLA09G0132100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD box RNA helicase (PRH75) [Source:Projected from Arabidopsis thaliana (AT5G62190) TAIR;Acc:AT5G62190] MPSLPVAAAEPMAVDESASKKSKRKLKAAEVEVEASSRKKEKKEKKRKAKEPSPSSSSSSEEEERSSTSSDEPAPAAKKAKKEKTKEKVVVEEEDDDDDEGELTASGDEDPADPNALANFRISEPLREKLKSKGIKALFPIQATTFDLVLDGHDLVGRARTGQGKTLAFVLPILESLVNGTHKASRRTDYGRPPTVLVLLPTRELAKQVHTDFAFYGATFGLSACCVYGGSDYRSQEMAIRKGVDIVVGTPGRVKDFVEKGTLNFRSLKFRVLDEADEMLNMGFVDDVELILGKVEDVTKVQTLLFSATIPEWVKKLSLRFLKSGKKTVDLVGDEKLKASASVRHLALPCNRAARAQVIPDIIRCYSRGGRTIIFTETKESASDLSGLIAGSRALHGDVAQAQREVILAGFRSGKFLVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLFEPRYKFNVNRIERESGVKFEHISAPQPTDVAQSAGTEAAEAISSVSDSVIPVFREQAEQLLNSSGMSAVDLLAKALAKAVGYTDIKKRSLLSSMDNHTTLLLQTGRSVYAAGFVLSTLKRFVPEERLADVKGITITADGTGAVFDVPSAEVEDYIQGAQNAAMVTVEEVKQLPPLQEREQSGGSRGGGRFGNRRFSGGGGGRGGGGRGFGGGRGRGGGGGNRFNKRY >ORGLA09G0132000.1 pep chromosome:AGI1.1:9:15100957:15103791:-1 gene:ORGLA09G0132000 transcript:ORGLA09G0132000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNAAVLVRPHIAGVHHLPTGRRLPRLAPPQAVSPPLRFSRQKGSVVAASGRVWASASGSFEKDRIGDDDVLASPQIVEESKVDLLKILKSANTIIPHVVLGSTILALVYPPSFTWFTTRYYAPALGFLMFAVGVNSSVKDFIEAIQRPDAIAAGYVGQFIIKPFLGFLFGTLAVTIFNLPTALGAGIMLVSCVSGAQLSNYATFLTDPHMAPLSIVMTSLSTATAVFVTPTLSYFLIGKKLPVDVKGMMSSIVQIVVAPIAAGLLLNRYLPRLCSAIQPFLPPLSVFVTALCVGSPLAINIKAVLSPFGLATVLLLFAFHTSSFIAGYHLAGTWFRESADVKALQRTVSFETGMQSSLLALALANRFFPDPLVGVPPAISVVLMSLMGFALVMVWSKRTKE >ORGLA09G0131900.1 pep chromosome:AGI1.1:9:15097520:15099689:-1 gene:ORGLA09G0131900 transcript:ORGLA09G0131900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLTSLCCVXCCVRTPSSPSHQWRLSASSPRSPAPPPPPRPRRVAAASPPVLAALAAAQPANALSLPTWAVHVSSVAEWVTAMTLVWSYGERTGLKGWKDLSXGMVPLLGGAMCACTWHFFYNSESLEVLVALQGALTVIGNITMCIAAFRIFKASQESSKSS >ORGLA09G0131800.1 pep chromosome:AGI1.1:9:15094402:15095453:-1 gene:ORGLA09G0131800 transcript:ORGLA09G0131800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSWNSKNKIYLSLVVFRQDNQQQQQRKQPAHAPMALRRKRLRLRRRRETMRRSDGMEMEMVNLKLYLENRCILEENERLREKASALHRENLALRADLRNTSSPATTAAAASSC >ORGLA09G0131700.1 pep chromosome:AGI1.1:9:15089853:15093291:1 gene:ORGLA09G0131700 transcript:ORGLA09G0131700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDPTRRLSLLGGSSGEHQPPMVSPCISALVDLASRRRSLPSSVLLPPFHPMPVCFCSSRGPGFFHYHMPPTLTPTVGGVGDGSHHIYPFSDFPFFSMDSIALPTNAHLGGSTVIPLTSSLIGFNEGLPGQPPLWEGYRRLQSDLNVGFPQPNLQMLPLAPVKLEPVTEEHQSRGKSVIIADNLVDSNMTFYQGSNMLLGSSSNANQDQIHQLGSQDRILQEHRRIETTENEANDSMCGSSSMPHRRRNSSVGSSRMTRNLDPGAGSSSSHGGNGPVVGDTAAAANLGDGEFSEADKKTIMASEYLSQLVLSDPKKVKRVLCNRRSAARSKERRLNYKLELESKVLVLKIEIEKLSEKLATAQRTFNELLAQNNELKIKIQETGRERQMKEAIFKSIGYESLQVVVDGEFVMPNGTHEETVARLIELLEPETQDGPSQIQGYQP >ORGLA09G0131600.1 pep chromosome:AGI1.1:9:15079975:15084138:1 gene:ORGLA09G0131600 transcript:ORGLA09G0131600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24160) TAIR;Acc:AT4G24160] MRRAAAAAVTVTTTTRMAAEGMSTAAAAAEATATAAPAAGSRWGRAWPSALRWIPTSTDRIIAAEKRLLSIVKTGYVQEQVNIGSSPPGSKVRWFRSSSDEPRFINTVTFDSEENVPTLVMVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALQHPEHVQHLILVGPAGFSSETEHSSEWLTKFRATWKGMLVNHLWESNFTPQRIVRGLGPWGPGLVQRYTSARFGSHSTGELLTEQESTLLTDYIYHTLAAKASGELCLKHIFSFGAFARKPLLQSASDWKVPTTFIYGQQDWMNYQGAQQARKEMKVPCEIIRVPQGGHFVFIDNPSGFHSAVFHACRKFLSGDGEEGLSLPEGLTSA >ORGLA09G0131500.1 pep chromosome:AGI1.1:9:15076937:15077416:-1 gene:ORGLA09G0131500 transcript:ORGLA09G0131500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGMKDFYRQKKKGGPTKASSSSKKKTQHYTGGASVGASDTAQTSALISHGNLDLKDDFSEQEEQLRLFDMDMKFGPCIGVTRLQRWERASAMGLHPPPHLRDLLLNNTHAGNHNNNGPSLECLWEGKV >ORGLA09G0131400.1 pep chromosome:AGI1.1:9:15030779:15031633:-1 gene:ORGLA09G0131400 transcript:ORGLA09G0131400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLSPCSSFSPPSPSSMFSTGAAAAAAHAVLEFTSCEVPDEWLMGDVVMAKNEEDVGGGELWPVFAGGSLSPDSELSELPRSFEAAAAQRPAKRRGRKPGPRPDGPTVSHVEAERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRARVARLESDARQAAAARFEPSSCGGGGNASYHGGGGGGGAAPGLDEAVEVRKMGRDAAAVRVTTTGARHAPARLMGALRSLELPVQHACVMRVHGATTVQEVLVDVPAALQDGDALRAALLQRLQDS >ORGLA09G0131300.1 pep chromosome:AGI1.1:9:15022068:15023102:-1 gene:ORGLA09G0131300 transcript:ORGLA09G0131300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01180) TAIR;Acc:AT1G01180] MKPRPSPAAASSGGVPARLRPHLTRLTVFLIVFSAGYSVGIMSSSIRPPASKPSQTVIRPRAAHLTGTASSTDVPASNGSAAAAANYPRSPPHDLFRFREECGEAIPSDAVVRTLLDKLFDGESPYESFPPPHTAALLHPAAARPRGWGSTGAVFAELIEEVRPDVIVELGAFLGASALHMAAVSKNLSLSPAILCVDDFRGWPAFRDRFRRDVPPPRHGDALLLPQFMSNVAAAGADATARVLPLPFSTASALAALCHWGVYADLIEVDAGHDFHSAWADINLAWAVLRPGGVMFGHDYFTAADDRGVRRAVTLFARVKGLTVRPHGQHWVLSPKPPLRRDGR >ORGLA09G0131200.1 pep chromosome:AGI1.1:9:15015015:15015361:1 gene:ORGLA09G0131200 transcript:ORGLA09G0131200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; CONTAINS InterPro DOMAIN/s: Cytochrome c oxidase assembly protein PET191, N-terminal (InterPro:IPR018793); Has 241 Blast hits /.../ proteins in 124 species: Archae - 0; Bacteria - 0; Metazoa - 100; Fungi - 94; Plants - 38; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G10865) TAIR;Acc:AT1G10865] MAKSCKGLAMELVKCLSETDCVKVQKRPYKECAGEKVPNITSECVGLRETYFNCKRGQACVPPPALNHCRNYQLC >ORGLA09G0131100.1 pep chromosome:AGI1.1:9:15006543:15009452:-1 gene:ORGLA09G0131100 transcript:ORGLA09G0131100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) TAIR;Acc:AT2G43980] VHEGLLSELQNHNWEVIAMGNEDVIKNSGVIHISMLQELLITLATSIKKEIGNSSAFVVGYVMKQSREEDFAKRGAFPIYPSKNDLIFVPLSFELPLASQLQEVDLVLHKITDEIINIDPNSSISFPKGISFSPGMSEIIRFVEEHCDFCVIDPFKNIYPLLDRIQIQEILIRLEGLSAEGRPKLRAPCFLKIESFCGSELQKQLAEAKLSFPLIVKPQVACGVADAHNMALIFKIEEFSNLSVPLPAILQEYIDHGSKIFKFYAIGDKIFHAIKNSMPNASHLKSSSGGKPLTFNSLKTLPVATKEQLLQNEVQDSKLLDINLVEEAAKLLKELLGLTIFGFDVVVQESSGDHVIVDLNYLPSFKEVPDNVAMPAFWDAIKQSYESRKQMTQT >ORGLA09G0131000.1 pep chromosome:AGI1.1:9:15004025:15005608:-1 gene:ORGLA09G0131000 transcript:ORGLA09G0131000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLSAVPARKNEDESLVLFGELYRHEKEKDVNLLEPMYSVEFEAIQGTSRMFKLPSGKKDYLLPDGGKHDYDWLKTPPATPLFPSLEMEANSSQMVFQRELPILQPVKTSRFSIKPEPTSTSTRTESPTSSSSKSATPTARSSSSSSKKNFTKGDPALSEVNTAYKMDKRSSYTPLKNIQQLAAPTTKSTAASKAAKKTSASKKPEFPGSTNAVNKMAKLGIPDKPLKKTTATAPKARSKDPAIGMKDLKMDAGTARRIPCPPAATVGSNNELNKVAGKGRRRTGGEPAPGNGSRATEATTNGRRRAVAEKEHGQRLGSLAKK >ORGLA09G0130900.1 pep chromosome:AGI1.1:9:14999998:15002722:1 gene:ORGLA09G0130900 transcript:ORGLA09G0130900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSSRLGALEKLKSFRGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTELHLAARAGSVPHVQKIFAASDPELVGELAARQNQDGETALYVSAEKGHTEVVSEILKFCDLQSAGLKATNSFDAFHIAAKQGHLDVLKELLQAFPALAMTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVTALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDLSVIHVEDNKGNRALHVATRKGNTVIVQTLISVKEIVINAVNRAGETAFAIAEKLGNEELSNILREVGGETAKEQVNPPNSAKQLKKTVSDIRHDVQSGIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFTIPGNFLEDMKDPHDPKMTLGQAFVASNPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVMNKLMWMACLCISAAFIALTYVVVGRDDRWLAWCTMAIGTAIMLATLGSMCYCIIAHRMEEKNMKKIRRSSTSQSWSISVDSDTELLNNEYKKIYAL >ORGLA09G0130800.1 pep chromosome:AGI1.1:9:14990691:14995308:1 gene:ORGLA09G0130800 transcript:ORGLA09G0130800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDGSVHVLLLSYPAQGHVNPLLQFGKRLAAHRRVRCTLAVTRSLLNSCCRAPPSPGGGGGVHVATYSDGCDARGYDELGDEGAYLSRLESAGSATLDELLRGESGEGRPVRAVVYDAFLPWAAPVARRHGASCAAFFTQACAVNVAYAHAWAGRVELPLPTSAPAPPLPGVPPELEPADFPTFLTAPAAGRSAYLDLLLRQCQGLEVADHVLVNSFHEVQPKALSLAXDQLGRKRSTWRRRGAPRRSGRPCRRRTSMAVSRAMRRTASTSTRRWQRRARRGWTSGRRAPWSTSPSAASPRRARRRWPSSRTGSATAAGPSSGWXGHRRPASSLTASPARRRRRRTRASSCHGARSWRCWRTAPWGASXRTAGGTRRWRRXAPACRWWRWRSGRTSRRTRGTWRRCGASACGRGRTARGWXGRRRWRGAWRRXWTGRGAGSSGRTPRDGAPWRGPPXAKGKRTRSSALESVPRKXKNIDLPVAVRKNSAGKKDDLAVKKPG >ORGLA09G0130700.1 pep chromosome:AGI1.1:9:14986195:14987486:-1 gene:ORGLA09G0130700 transcript:ORGLA09G0130700.1 gene_biotype:protein_coding transcript_biotype:protein_coding WQGIGLGLTGEGEIEDGARRPELEKMMPISKIATPLDGGCGGKWRRGRGKADGVMARPTTVHCTRNGDDVEAATRRARSKVGDDTTTFPLIRCTREDGIKMKNLRHVKCCCQCHRQWRWQRRPPELERR >ORGLA09G0130600.1 pep chromosome:AGI1.1:9:14984186:14985691:1 gene:ORGLA09G0130600 transcript:ORGLA09G0130600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QQH7] MASSERGGGGGGSIHVVLLPYPSQGHINPILQFGKRLAGHGGVRCTLTVTRFILRQGEPLSTGAVHVAAYSDGYDAGGFHEAGSAGEYLSRLESRGSDTMDALLRAEAEQGRPVDAVVYDSFLSWAPRVAAHHGAATASFFTQACAVNAAYESVFTGRVELPLAADGEEPLRLPGISVGLTLDDVPTFMANTEDSPAYLDLLVNQFKGLDMADHVLVNSFYELQPQEAEHMASAWRAKTVGLTVPSAYLDNRLPDDTSYGFHLFSPTTETKAWLEARPPRTVAYVSFGSVATPSPAQMAEVAEGLYNTGKPFLWVVRASETSKIPEGFAAKAAKQERGLIVTWCPQLEVLAHPAVGCFVTHCGWNSTTEGLSAGVPMVAVPQWSDQTMNAKYIEDVWRVGVRVRPDGEGVVRKEELERCVREVMEGERSKEFMENANGWKEKARNAMCEGGSSDKNIVEFIAKIGVDRKFK >ORGLA09G0130500.1 pep chromosome:AGI1.1:9:14980841:14982357:1 gene:ORGLA09G0130500 transcript:ORGLA09G0130500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPIVADSCGGDAERNQRRLFRAERLRFXLGAGQTDLTNIPLKLLYDNCHPLAFLLNYCSEEAQMSLVTKIASPMTSLAPYLALNWSRKFIDTRNKNMEIRQCYCYPICDSSRFSNGNKSMIQLYQFLAYL >ORGLA09G0130400.1 pep chromosome:AGI1.1:9:14976464:14977966:1 gene:ORGLA09G0130400 transcript:ORGLA09G0130400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QQH5] MVVQSGEGSVHVVLVPYPSQGHINPVLQFGKRLAGHGGVRCTVAVTRFVVGSTTKPCSLGSSPVRFAVFSDGCDEGGPAELGGHRGPYFQRLEEAGSVSLDELLRGEAERGTPATVVVYDTFMPWVPRLARRHGAACAAFLTQTCAVDVVYTHARAGRLPVPVGEADGPLRLPGLPVELDAGDVPTFLAAHDTHHPSMRALLMNQFVGLDNVDHVFVNSFYELEPQVRPKLVANGVCSPSPRVPDILFVAQEAEYMAATWGARTIGPTVPSAYLDNRLPDDASYGFHLHTPMAAACREWLDARPAGSVVYASFGSIAAPGPETMAEVAEGLYSSGSPFLWVVRATETGKLPAGFAARAKNTGLIVPWCPQLEVLAHAAVGCFVTHCGWNSTVEALSAGVPMVAVPQWSDQTTNARYIEDVWRVGVRVRGGGGGDGGAVVRREEVERKVREVMEGERSKEFMRNAASWSSKARSAMGEGGSSDKNIAEFLSKYRLPPHGTK >ORGLA09G0130300.1 pep chromosome:AGI1.1:9:14961674:14968471:1 gene:ORGLA09G0130300 transcript:ORGLA09G0130300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QQH4] MGSHGDDGGGGGAHVLLLPYPSQGHVHPMLQFAKRLAFHGMRPTLAVTRYILATCAPPDAAAAGAVRFATISDGCDAGGFGECCDDDGGGGVTAYLSRLESAGAATLDELLRDEASDSGGRRPVRVLVYDAFLPWARPVAARHGAAAVAFFTQPCAVNVVYGHVWCGRLRVPVEAGDGEDGGGGAVALPGLPALSPEGLPWFIKVGPGPYPAYFDLVMKQFDGLELADDVLVNSFYELEPEEAAYMASAWRAKTIGPTVPAAYLGDGRMPGDTKYGFHLFELTTAPCVAWLGAHPPRSVVFASFGSLSDLDPAEMREVALALLDAGAPFLWVVRSSESHKLPAGYAAAAAAANGMVVSWCPQLEVLAHPAVGCFLTHCGWNSTAEALVAGVPMVALPQWTDQPMNAEYVEAVWGAGVRVRPAAAGGLAARAEVARGIEEVMRGERSGEYQRNAAAWMEKARAASREGGSSDRNIAEFVAKYG >ORGLA09G0130200.1 pep chromosome:AGI1.1:9:14951729:14956322:1 gene:ORGLA09G0130200 transcript:ORGLA09G0130200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKAKSNKRKKLECIISRLPRDLIEQVFLSLPVKTLLNCIGVCKQSRSIIRDPKFVTSHLQLAPHCALLFFPRELVSSCGLYPSEAILIDEAWSQSTWDVPVIGPDDFLCGSSNGLVCLYTHTTTIKIANLATGECLHLAKPAKNLTDDHFSFYSFGFHPLTKEYKVTHFLASSHETRIRAKVDSFDGVQVYTLGDEKWKYIGAPEALSLNCVKNSGVVNVDGTMYWLTEDQGASWHHAVMSFDLNKESFGRIQLPTAALEDSAFYGPRRYWIKEIDGKVCIATCQTSDNQPILLRGEIHIWALDINLEQKWIQKYIIQPSAQHIPGPNIVHRDKIVLQHDARNLCSYELLGKNVEVKLSNMEKLLDFSPRKPGSMQVYTFVKSLVRLDSYKKASIVRRPKRKVTTKNLRTTINRLMQRLPDDEALKCIGMKIDQMLHYLPEDCPNQACDDVFRTARSWLSDQGTSISTADASFWFDLQHFLLGGGDRASGFAISSGWEADLGGSRQLGGSDVAVPVPRRFPRTVGGRAGKRAGERTCGRNGXRCRRRRLRAAATRSGDDITVGGTNKRRRRCRGLRLLAPAREAAGEXVDLTVAAEIGICGRNRRXRRRXGSSAVAHAIEMWRRRRWWIISYIPVHTTAPRPTRRQSRRHKSVWGPPFG >ORGLA09G0130100.1 pep chromosome:AGI1.1:9:14948118:14950832:-1 gene:ORGLA09G0130100 transcript:ORGLA09G0130100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRSTATAALALSRTLARRPAASSSSRRISLELSAPRGTNPFQSAAFSSTTTGDPPPPTMDSPIKVVSHIGGSGGDGGGGAIDAGRSARKPLSLWPGMYHSPVTNALWEARSSIFERMIDAGAAGKQQQQPPQTELLTKTPAGSRTSIVYKFATDDILREQYRDPWNEVRIGKLLEDLDALAGTIAVKHCSDEDSTTRPLLLVTASVDKMELKKPICVDTDLKIAGAVTYVGRSSIDIQIEVTQVDQDSDMQSDPIALTANFTFVARDSMTGKSAPVNRLSPETEKEKQLFAEREARDKLRKRKREEQKGVFENGINKLHVEAERLNSLLAEGRVFSDLPALADRDSILLKDTRLENSLICQPQQRNLHGRIFGGFLMHRAFELAFSTAYAFVGQRPCFLEVDHVDFLKPVDVGDFLRFKSCVLYTQLDNAEQPLVNVEVVAHVTRPELRKSEVSNTFHFTFTVCSDALKNGLKIRHVVPSTEEEARRILERMDAEGLFD >ORGLA09G0130000.1 pep chromosome:AGI1.1:9:14944539:14947305:1 gene:ORGLA09G0130000 transcript:ORGLA09G0130000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:I1QQH1] MLARWLLVLLLLLPVSWCHQDRHGRRHYPRRWRSSGSRRELHEPLFPLENAPALPPPPPPPPAPFFPFLPDSAPPQLPPPVTTPAPAGGAGDGGTDAGAAATPPPPPPPPPPPPMPPRTDNANTQAAPAPPPPLPRAGNGSGWLPRRYTERAAPTVIRASAGAVHPEESPARASPEEKAADAAARPKLKPLHWDKVRPASSGRPTVWDQLKASSFRVNEEMIETLFVSNSTRRASKNGVKEANAACCNQENKVLDPKKSQNIAIMLRALDATKEEVCKALLDGQAESLGTELLETLLKMAPSREEEIKLKEFREDAVSKLGPAESFLKAVLAIPFAFKRVEAMLYIANFDSEVDYLKTSFKTLEAACEELRGSRLFHKILDAVLKTGNRMNTGTNRGNASAFKLDALLKLVDVKGADGKTTLLHFVIEEIVKSEGASILATGQTSNQGSAIADDFQCKKVGLRIVASLGGELGNVKKAAGMDSDTLASCVAKLSAGVSKISEALQLNQQLGSDDHCKRFRASIGEFLQKAEAEITAVQAQESLALSLVRETTEFFHGDSVKEEGHPLRIFMVVRDFLTVLDHVCKDVGRMNERTAIGSSRRLENAPVLARFNAVQPSSSEEESSSS >ORGLA09G0129900.1 pep chromosome:AGI1.1:9:14927113:14932218:-1 gene:ORGLA09G0129900 transcript:ORGLA09G0129900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDGVLNRAGSAVADEAALLLGVRREVEFIRDELDMMRSFLKVATANPDADDTVRTWVKQVRDLAYDVEDSLLDFALFADTSSSSSSSSWWLPWRIAERHRVAARIRELKASVEELNQRFLRYRIVVEHPRASRGGGASDDQQQLHDHDGQYYSAELAFQESDIIGRAREKAEVTALVLSGCGGGDVVGGGALGVVSVWGMGGMGKSSLVRMVYNDPELLDAFDCGAWVTVPHPLDSADEFVRRLRRHLAVGKDQDVHSYLREKRYVIIVDDLHSREEWEHIWPVLHVDGGKGSRVVVTTRREDVARHCAGLVREGHGHVYELRPLGREESKDLFCQKVYKSTEYILEKEMEDLAGPILKRCRGLPLAISTIGGLLANRPKTGIEWIKLDEHLGAELESSDLRNITKVIVSSYDGLPYYLKSIFLYLSIFPENHEIRCTRLLRRWMAEGYIAKNRDMPVEEVGQRFYNELINRSMIQPSKKRISPSVSVDRCRVHSMVLQIILSKSIEENQLFIIKKHCNEVPQSKIRHLVVSRWKRRDERLENINFSYVRSLTVFGDCPASLISPKMRLLRVLDLEDSLNLKNEDLRHVGELHHLRYLCLRGTEISKLPSLQNLRYLETLDIQDTKVTQLPDGIAKLEKLRYLLAGVNFSKELLHKVEQPETDNSKANQLGNMLSCLYCNSSDYCGISSLDRVSVRAPEGVEKLRDLHMLGVINVGHGNGVVGKIKKLTNLRRLGVSGVLKEEGQDLCKSIEKLSRLQRLELRSDSLKFLAESEFAAPKHLLSLRLYGNLVRLPKWIGSLNDLAKLKLLGTQLKQGEIMHLGKLRNLAFLGLWDNSYVGYSLHFGPGTFPKLKFLDIDGLKNIETVAIENGAMPELEQLWVNDCKELLDSKDGLSGVPHLTNLNELLVKKCGEKENLMEILQTQVSEHSKRPKFLIEYFVWLVTEESKYPTVKNNNRQAKAKI >ORGLA09G0129800.1 pep chromosome:AGI1.1:9:14923313:14926595:-1 gene:ORGLA09G0129800 transcript:ORGLA09G0129800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARTVLDGVLGGAGSAVADEAALLLGVPREVDFIRSELEMMQSFLRATSGCAGDTARTWVKQVRDLAYDVEDCLLDFALHAHAHASSSSCAPPLWLRPWRLAERHRVAARIRELKASVEELNQRNHRYHVVPVLAAGDQQQPQHEPPAAPPPARGEHHHLRFRDWQVIGRGEEESELAKLISSGGDDDAETRRRVVSVWGMGGMGKSSVARSVYNDPAIVDGFDCRAWVTVPHPLDSAGEFKRRLVAQLETEVDGGGGGDDVSAWLRQKRYLIVVDDVRSLEEWEHIEPCLVESDAGGGRVIVTTRQVDVAQRCVRGMEHAYELKTLAAPHDMRLLCQKVYKDPEYTLQLHMLEEANKILGRCRGLPLAIATIGGLLANRPKTSAEWKNLRIHLGSELEFDQDINSINRVITSSYDGLPYHLKSCFLYLSIFPENHEIRCTRLVRRWIAEGYIAKRRDMTVEEVGQKHYNDLMNRSMIRPMKKKIGASMAVERCQVHGMVLQIILSKSIEENQLFIIDKHCNEVPQSKIRHLVVTRWKRSEEKMATNINLSLVRSLTVFGECPASLISPKLRLLRVLDLENAVDLENDDLRHIGDLHHLRYLGLRGTNISRLPSSLQNLKCLETLDVQDTKVTHLPDGTAKLEKLRYLLAGVNFAEDLAEKMQTNAKNKATSV >ORGLA09G0129700.1 pep chromosome:AGI1.1:9:14921011:14921472:1 gene:ORGLA09G0129700 transcript:ORGLA09G0129700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTSQSTATAAAMIAMKPPAPAKNIATLLPPGAKCRRAFLRGVIAAGAGGSLLVAGDGGGIASAASKRRAPPAAAAPEERKDPSVSGVQAKVLASKKRKEAMKEFVAKMREKGKPVSQ >ORGLA09G0129600.1 pep chromosome:AGI1.1:9:14911936:14918628:-1 gene:ORGLA09G0129600 transcript:ORGLA09G0129600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVQAGAAPASPSAAAALGFLLPTCWEIEVTCAAAMILVALYAAYELLAPRPASAAGGSSAAGDDLLLVRDLDGADKYKGGSSGPSAYVVKLELLAAKNLIAANLNGTSDPYALITCGEEKRFSSMVPGSRNPMWGEEFNFFVDSLPVKINVTIYDWDIVWKSTVLGSVIVPVESEGQSGPVWYTLDSTSGQVCLHIKAIKVHESSSRALNSSAEAGARRRISLDKQGPTVVHQKPSHLQTIFGLPPDEVVEHSYSCALERSFLYHGRMYVSSWHICFHSNVFSKQIKVMLPLRDIDEIRRSQHAVINPAITIFLRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHTFRALQRAVKNFHTMIEAEKQERAQSALRAHSSSRKSSMKEINVPEDCADLTGQLQPFVKEEVLVTVFNGSFPCTAEEFFNTLLSDDSSYITEFRTARKDKDINLGQWHHADEYDGQVRELNCKSICHSPMCPPYSAMTEWQHMVLSADKTDLVFETVQQVHDVPFGSFFEVHCRWSVKTTSSDSCSLNISAGAHFKKWCIMQSKIKSGAVDEYKKEVQEMLEFAESYMRKVRTSNQDNGTPTEQDNGAVTEQENVAPDNTPNDE >ORGLA09G0129500.1 pep chromosome:AGI1.1:9:14910302:14910811:1 gene:ORGLA09G0129500 transcript:ORGLA09G0129500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKENPGGGGGGGPAPPRPDCVKCFDALWFCYSPYYQLQNYYRHGEFDNCFGKWGDLVDCLWLKTRRAAEAEEILAAREKARPHIWTYRTVDEASDNWLRMYGHLVGLGGEDGGGGLVRVITPPSATAVPRPATFPGLAAAATAAVPRPPPFTGAGAAPSPPNSGGS >ORGLA09G0129400.1 pep chromosome:AGI1.1:9:14894647:14898760:-1 gene:ORGLA09G0129400 transcript:ORGLA09G0129400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEDQHDLILSDKIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGNPEDLVVTSSSNFLGNKKAQPTNGLKTTNGLKTTNGLKDTSSLSLEKEKGKLGKINASHKTIGAENKKPMLTKSNSSLSKQALNGLGDKKEAIKSKAKPADTRSTPSSPTSVYSLPASFDRFSNDLKQRNKVKGAEKASSSRLSLLEKAASVLKVTTAGRKSSAGISSSILSIGSGPKALRRSWEGKVDTKGKTNSDSKMTKLEKKPENRGTMATIPRRKPPVDEKVQHKDDSKLQNPAKKTTANAPSDDADKAMKKHPPTVKRTSGISNPNVTNLVKVPPNSKKLTDASNSWTTLPPSLAKLGKELLKYRESAQMAAVEAIQEASAAESLLRCLSSYAEVSSTAEEQNPQPAVERFLALHTALSRAAVITDTLARSSAASVSSPDRSAASDAGTVVSVTDEETATVAAERRRRATSWVSAALATDLSAFGLYNLKPVPATISSPLAVLVVDESAKPAASAAANAVKSSPAKSRMSPAKGKARTGPAATAAATAAPPPLPEWEKGVGAEERVELARRLGDESRGWFLGFVERFLDADVAAAAAPWDRERAARMLPQLKRVNDWLGEIGKRGEATPPPPPEADGEAAAATIAAAPANGGSAVPEETIERLRKKIYEYLLTNVDSAAAVLGGGASAPAPAAPANAKKG >ORGLA09G0129300.1 pep chromosome:AGI1.1:9:14888946:14893354:-1 gene:ORGLA09G0129300 transcript:ORGLA09G0129300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLSKACSLVASSLPRCSSSAAPTIRGQSSLLPSVRKEWLGKPLLYGIGTLLVMPLRTLHGVGRMFGAGRFLCNMTSVSSSLQIELVPCLQDNYAYILHDVDTGTVGVVDPSEATPIINALEKRNQNLTYILNTHHHYDHTGGNLELKAKYGAKVIGSAKDRDRIPGIDITLSEGDTWMFAGHQVLVMETPGHTSGHVCYHFPGSGAIFTGDTLFSLSCGKLFEGTPQQMYSSLQKIIALPDETRVYCGHEYTLSNSKFALSIEPGNKDLQEYAANAADLRKRNTPTVPTTIGREKQCNPFLRTSSPEIKNTLSIPDHFDDARVLEVVRRAKDNF >ORGLA09G0129200.1 pep chromosome:AGI1.1:9:14884003:14887780:-1 gene:ORGLA09G0129200 transcript:ORGLA09G0129200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase family protein [Source:Projected from Arabidopsis thaliana (AT2G43420) TAIR;Acc:AT2G43420] MATAEPGPGPGPRKPACCAVTFGRSTLLGRHLAAALAASGRWSTVAVLDPSPPTTTTTTSPPPGSPLAHHHLAVDLCDPASLARALAGVEAVFHVDPTGDGSSFLQLHRLAVEGTRRLLAACCRSGVRTVVYTGSADVVVAGARDVVDADEDALPYPDKFGNAAIELRAQVEMMVLSADGKNGMRTCVLRPSNMFGPGDSSLVRFVAGYARSSLGKFVVGSGANMCDFTYVENVAHANICAEQALCSNASSVAGKPFFVTNDEPIETWEFMSCLMEAMGCQRPKFNLPAKILSSAALFSNMMYHKLGLQILSSPLLHPDMVYFLSCTRTLSISRARKLLGYHPIVSLEDGIMRTVGSLSELPDKLDLSRKRGSFGSSKAEKLLGSGITADILLWRDEKKTFSYVTVLFLLFYWFLLSDRTFVSSAAKILLVISLALFIHGVLPPQVFGFTVEKVTSDYFEVSQETLKNTLVWMASLWNGGIYKLRVLAEGDDWTTFLKAFAFLYCVKVMLNLQFRMLMGLVLAFMFVVFIVYEQCEEEIDSLVAFASVKVKSLVGKVIGNLPDALKAYIS >ORGLA09G0129100.1 pep chromosome:AGI1.1:9:14882167:14882721:-1 gene:ORGLA09G0129100 transcript:ORGLA09G0129100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLCWPHVWHSAPLALVDAHLSPEGRGGGSGVVAIVSCVLAVHLGPFCLHAPLHHLHGDAPRRDRALARGPHRQGCPGASLWLDLRLPAALFGCSSLTRLHVGVWCLPDTRDILRGAAFPHLHEMVLSCIVMEYRDLAFLLDRSNALEVLTIITCQTNMVELVCVRLASCILRIFQVCLTIVN >ORGLA09G0129000.1 pep chromosome:AGI1.1:9:14881778:14882107:1 gene:ORGLA09G0129000 transcript:ORGLA09G0129000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVVAAKPCLLPTAVPALDVAIVSSRHCRLQPPSSPPPAAAPMPRPPHLLPPRPARTFPPLDALARTRAHPVRFRNRGIMLTIIHPAPPMPLLGRLPTCTRPLPLFL >ORGLA09G0128900.1 pep chromosome:AGI1.1:9:14876634:14880596:-1 gene:ORGLA09G0128900 transcript:ORGLA09G0128900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding [Source:Projected from Arabidopsis thaliana (AT2G43410) TAIR;Acc:AT2G43410] MSSEPPPPQPQPQEAAGREASSSLSPAKESAAGGGGGGGSGAPETNTLWVGNLPAQAAEDDVMAAFSPHGALDCVMARAGPRSYAFVLFRSVPEARAALDALQGSKVKGSVVRLEFARPARAVKNLWVGGISSSISKEELEEEFKKFGKVDGIAFSRDQTSAYIDFDKLEDAISAHRALNGRVLGGQELCVDFQRSRGRAEWLETGSFNGRTGPAKGYGVRNRESNPTNVLWVGFPNTAKINEEALRQAMAVHGAVTNTKVFPTRQYAFVEFATVGEASNAKKNLDGRLFNDQRIQILFSNSELAPNKLDNPTAVSGFPKSEMYYDDGQYGASDYFDPRRGRSRYFEYGGVPVSGGILPSPESGNPLLTGRSAQSTFDPREAKRLRLDAAADPYDTRAGSEGLYSAGYSQRESARSERSSSPAIRIHGTVHRTSYLEHFWRGSIAKGGSPVCRARCLPIRKGVEIPLPDVVNCSARTGLDMLAKHYRDASGFDIVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDGGTTLFLVPPSDFLRNVLQVDGPERLYGVVLHIPQMSAAAPASAPTPAVQRPQLTAPESQPYYDEREIPLQRRYSMITPSNNHHRDADHHGSLREDSLHQLGQILARPRVDEGQVLQPNLAGIPTNAGLQVQPSLQPDMIATLAKLLPSGQSSALVTGQLPLSSTDRPALTQMNDASTLAKVWRPENQAMASTSSLEQIGNFQHSGQQFSKQAGAVHLPNYGNLARAQEHPTQHSAYNPEMTLNLPPPPPPPTLPPSCAILSSQVGHSLPTQMSQQQYQPEQYYMTQSNYGQLATVSSSNLQAHHQQIVATPAAQAPVAAQFPPAMQAPAAAQAPVAAQASADEAERNRKYQATLQLAQRLLGQLQQKPGNQP >ORGLA09G0128800.1 pep chromosome:AGI1.1:9:14861655:14865228:-1 gene:ORGLA09G0128800 transcript:ORGLA09G0128800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREKSPIPGDGGDGLPPQATRRAGPPAAAAAEYDISRMPDFPTRNPGHRRAHSEILSLPEDLDLCAAGGGDGPSLSDENDEELFSMFLDVEKLNSTCGASSEAEGESSSAGAAAAAAAAAAAAAHGARPKHQHSLSMDESMSIKAEELVGASPGTEGMSSAEAKKAVSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLALLQRDTSGLTTENSELKLRLQTMEQQVHLQDALNDTLKSEVQRLKVATGQMANGGGMMMNFGGMPHQFGGNQQMFQNNQAMQSMLAAHQLQQLQLHPQAQQQQVLHPQHQQQQPLHPLQAQQLQQAARDLKMKSPMGGQSQWGDGKSGSSGN >ORGLA09G0128700.1 pep chromosome:AGI1.1:9:14859632:14860399:-1 gene:ORGLA09G0128700 transcript:ORGLA09G0128700.1 gene_biotype:protein_coding transcript_biotype:protein_coding APCTPPPLAMPAPASSRYVPLAAAPEQRHDGEAEEECCYAATTTRYVPLRRRRGEQDQAEYAARRALFLQSYRFTTAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARWWVGGGVGVARAWPRVGWWWRPPSPRARLGCFGGGGGHGRSKLHYLHHFA >ORGLA09G0128600.1 pep chromosome:AGI1.1:9:14858445:14858870:1 gene:ORGLA09G0128600 transcript:ORGLA09G0128600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSVASSTNSSSTHAPRSNRLGLAGRASRRAWTENAEEECGGGVSFGAAGEDGHGGDGDGDGDGVNAYLRFPGPTLTGSGDGGVVGGEVDGDATLLLMAGLI >ORGLA09G0128500.1 pep chromosome:AGI1.1:9:14852494:14855822:-1 gene:ORGLA09G0128500 transcript:ORGLA09G0128500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G30710) TAIR;Acc:AT2G30710] MSGGGGGVAGGGGGSPNNTEWRFNQTLRNVQGMLKGRSFPGKVLLTRRSEPLSPPEYSPRYENDRDEYEQNEGSQEGKGQASGNTADSMSAKKSNPPSTSSTNSLPDAQGLVSGARATDSARIAKFTNELSRPAVILDKLRELSWSGVPPYMRPNIWRLLLGYAPPNADRREGVLTRKRLEYVECVSQYYDIPDTERSDEEINMLRQIAVDCPRTVPDVTFFQHPQIQKSLERILYTWAIRHPASGYVQGINDLLTPFLVVFLSEHLEGNMDTWSMEKLSPQDVSNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLVFRLKELVHRIDEPVSKHMEEQGLDFLQFAFRWFNCLMIREIPFHLVTRLWDTYLAEGDYLPDFLVYISASFLLTWSDKLKKLDFQEMVMFLQHLPTRNWAHHELEMVLSRAYMWHTMFKSSPSHLAS >ORGLA09G0128400.1 pep chromosome:AGI1.1:9:14850099:14850576:1 gene:ORGLA09G0128400 transcript:ORGLA09G0128400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREIGNERNNEHFSSESLSDLMLALIQQREKKDRTDDLNVQVQDEIGMVREDSKVRILAVEMILWHKRWHMV >ORGLA09G0128300.1 pep chromosome:AGI1.1:9:14848765:14849013:-1 gene:ORGLA09G0128300 transcript:ORGLA09G0128300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKSVRVILLAVVLAVFAVLLAGAAAARPAPAAERSGGEAAAAAYVAVNPAAAVVEKARETVEMLMARLPAGPSPKGPGH >ORGLA09G0128200.1 pep chromosome:AGI1.1:9:14844080:14847955:1 gene:ORGLA09G0128200 transcript:ORGLA09G0128200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 2, small GTP-binding protein [Source:Projected from Arabidopsis thaliana (AT4G11160) TAIR;Acc:AT4G11160] MAWRMLRRKDFHTGLVNLASRVDHGGAKNFSSGTFGKLAGFVLSDTHTPIVKGAANCTAYKHCTIRNFHAGVYMLAWSRKREEVAGLKAPKKEKRVKRETRTQPPVEAPYVAPKQKIAIKSSPDKTVDIFDGMTLLDLSKRTGASIGALQDILTDLGEKVESEFDAISIDLAELVAMELGVNIRRMHTGEGTLEPRPAVVTVMGHVDHGKTSLLDSLRQTSVAAKEAGGITQHIGAFVVEMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAVNKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVTKLGLDKLEEALLLQAEIMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKAGTLVSGQHIVVGAEWGRIRSLRDTAGKITESAKPAMPVEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEDMTEEAEIGEETPERVEMPIIVKADVQGSVQAVTDALRSLNSPQVFVNIVHVGVGPISQHDIDLAQACRAYIVGFNIRTPPSAITLAATQANIKILLHKVIYHLLEEMGREIVEKAPGTPETQVSGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHLSKTGTMRLLRSGDVVFEGPCASLKREKQDAETVDKGNDCGLVIQDCNDFQVGDIVQCLEQVIRKPKFISTQSGAVRIEC >ORGLA09G0128100.1 pep chromosome:AGI1.1:9:14839567:14842094:1 gene:ORGLA09G0128100 transcript:ORGLA09G0128100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: protein folding, protein transport; LOCATED IN: chloroplast stroma, chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Trigger factor /.../some-binding, bacterial (InterPro:IPR008881); Has 253 Blast hits to 253 proteins in 72 species: Archae - 0; Bacteria - 138; Metazoa - 0; Fungi - 0; Plants - 40; Viruses - 0; Other Eukaryotes - 75 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G30695) TAIR;Acc:AT2G30695] MELSIAPAAMAMAMGLLAKNPKMINHRYASEMQLQHRLSPACSVMFNKQCSYRITRKACSVLGAVSPIQCTETSTESLVSFKDFLVSVQTEEDGLIKLRVTVADTMTESIFEKVFSKNVAAAQPLPGFRRMKGGKTRDIPKEIALHLIGPSKVKKETIKNIISLTIAEYVQKEDLDASKNLKVLQTYEELEAAFEPGKEFCFDATFHLQ >ORGLA09G0128000.1 pep chromosome:AGI1.1:9:14837865:14839336:-1 gene:ORGLA09G0128000 transcript:ORGLA09G0128000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATAEEYEEMLRVVEAIATRIRWRLRPHSKRRLLNDILFLCSGLRPVVLMDYGGTMPELQDNLCSLLHHARQESSMLSPLRLMVIKDMLYLIHAKGLAEHASPSARSQHKLAFVDLEKSCSKVFAFSDPVFLFSLSYVLFFFPLLYY >ORGLA09G0127900.1 pep chromosome:AGI1.1:9:14832914:14835105:-1 gene:ORGLA09G0127900 transcript:ORGLA09G0127900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta [Source:UniProtKB/TrEMBL;Acc:I1QQF0] MAWQQPMDGSHASGSKAAAAVADGPGTQRTQYPYVTGSSIIALKYKDGVIMASDTGASYGSTLRYKSVERIKAVGKHSLIGASGEFSDFQEILRYLDELTLSDHMWDDGNSLGPKEVHSYLTRVMYNRRNKFDPLWNSLVIGGVKKGPKGEEKYLGMVNMIGTHFEENHIATGFGNHMAIPILRTEWREDMTFEEAVKLVEKCLLVLLYRDRSSINKFQIAKITTEGATIYPPYSLKTYWGFAAFENPAQGAVGSW >ORGLA09G0127800.1 pep chromosome:AGI1.1:9:14825976:14832045:1 gene:ORGLA09G0127800 transcript:ORGLA09G0127800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle protein 48-related / CDC48-related [Source:Projected from Arabidopsis thaliana (AT1G05910) TAIR;Acc:AT1G05910] MVGMEGKGDASVTPVRTSDRLRKRPKYFGRNYMYYNPAIRKKMKSKKRAAASQIAKKLLRKSAARAPPADSIAANLRRSTRKRRMSVNLEDYDTDSSSMEDDDLMRPRYRSSKNKVDDEVSARPKRKKLSNSSSIPRREGLRPRRSIRGQRLHPYQESEDDQESSEEQPAQDRRENGNDIEEDGNEEEEVDGGDEAEADGDDEDGEEEQEGRRRYDLRDRSEVRRPSPRKEGKHRTQSPRRVLVHGIGPKNSKYLKKGGSRMHKRPRFSLPDDSDDSLLVDEPDESPSMPWMRGGRGGMPWFLGGLDMHSPGAWGLNVGASGWGHQGDNTVSTSSLMPGIQTAGPSSKGGADIQPLQVDGSVSFNDIGGLSDYIDALKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQKNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFFFPLPGYEARAEILDIHTRKWKDPPPKELRTELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVRVEKYHFLEAMSTITPAAHRGSIVHSRPLSPVIAPCLKRHLEKIMERIADIFPFLSSVDVSKFSALSYGSSIPLVYRPRLLMCGGVSVGLDHVGPAVLHELEKFSVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFHLWWDTAHEQLRAVLLTLLNELPSNLPVLLLGTSSVAFGDLEEECASIFSSRNVYEVDQPSDDDRMRYLHALFESLLSFQMEESRSKSKDQKSSVDLPKAPKEVDGPKLSELKAKAEAEQHAVRRMRMCLRDICNRILYNKRFNVFHFPVSEEEVPDYRSVVHNPMDMATVLQQVDSGQYLTRASFMKDIDLIVSNAKTYNGSDYNGSRIVSRACELRDVVQGMLSQMDPSLVSFCDKIAEQGGPLQVTDDGDSSILQAAPVAQLVSGTRMSARLRNVQPEVNLSRSYEALKRQKKSTETEQGMVKESTTRDDKSLGDVDLSKPISPEEAPKEPDSNGVLKETDNPPTELPELPELNPEPMVTDNGENAAMPASDDIPEQLEVVKRRFMELTTGYGVPQLERLCTRVMKGMIELSGKESNEDHRRLVVRYLLTFVENSDNF >ORGLA09G0127700.1 pep chromosome:AGI1.1:9:14819726:14823140:1 gene:ORGLA09G0127700 transcript:ORGLA09G0127700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Basic helix-loop-helix, Nulp1-type (InterPro:IPR006994); Has 2929 Blast hits to 2464 proteins in 333 species: Archae - 2; Bacteria - 151; Metazoa - 913; Fungi - 372; Plants - 141; Viruses - 47; Other Eukaryotes - 1303 (so /.../NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G46900) TAIR;Acc:AT2G46900] MSARLLRRVLQERETAPQDPAAAAAAAAEVEREEEEEASPPRVAARNPFDLLDEEEEEEEEKEDEVYSEQPVSYNEQKHSVNKKPGNAVPETNKKSKKKKKKSKADKQVSTKSRDEKSLDSILEDLSIEKKPMQQRVNQNERASGKEIEIDETTPGTSSILSIDPKHLKAENEMRRIFGSKVVDSLENQRNVPSSSTSRLRGVRRVAHNPRKTLLVTPSSYWPPWDKSMSMDIVETKSGFNYFRYIYDPSVSHVQDLFEVAKSANDLNAIAAILAKYPYHPESLLTFAELFKYSGEHQSSADAVEKCLFALECAWHPLFSPLHSNCQLKYSHDTNKPFFTALFSHMKNLDRRGCHRSALEVCKFLLSLDSDDPKGALFCIDYFALRSQQYKWLEQFAEEYQCDNSLWLFPNFSFSLAIARFYVERDATNGEASDHSDKSTSVDLMKQALMLHPLVLRKIVDKAPLKDSSWTQILRNVFFGSAKPGSPSLEHMISIYVERHYIMWRFPELQNLLKDAALLVIESLKQDNREAQDWACVRKEAFSSDKNEYSHLLVSDFSDTTPSLPPEELRPFMVAPGMAHEMPPAEQELGIEVRPRAPREVAGRNPALVFLESLLPWVDYGDNHHDENDQNNDD >ORGLA09G0127600.1 pep chromosome:AGI1.1:9:14809880:14812161:1 gene:ORGLA09G0127600 transcript:ORGLA09G0127600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEESQKHLLGLNYHELQSLCKQYNLPANKSHSQLASSLALFLEKGRINPSPEKKAAASLVALPSLLSNAKEVSTRCQGSHKRGPQSERDDGDRPLLHVKHHKGPQTPTDETLKKNDSGTRVSSTPVSINNGKVDCFSHSPPGQVIASNVHSQSADGIGKNLGTQEHPIHLDSTAKVDDEISPETSYQAPNVVETVTDIGSGSSHKISENAKSSFEFFVMSDEGLDLVVDLNSTPSMLLDSLKKEVFIPSSTCRSEPGNFSHFISSLTTKDDSNNSISSSGNITVDIQNKGDDSIAPCTNSSLGSTGGDNSHSEPYLPDATAVNSMSFASTLPGTSLEISGSQEGVPVVSSSCLTSMTANALNNEVLPQESVVLSKCPERNHAPLAYDSTHPTGNKDAVNPVKIGCTQNVVADTDRAGAFSSGGVVRSASNENFCPTSEEKHETLNVPGVQLTPNGNTHEVILENEPVEAVPVDEDRGCHDRLSMSCQLARQTVTKLPVTDAQSEASSADHCIAGSFKPTSPTPSPAASVISRASY >ORGLA09G0127500.1 pep chromosome:AGI1.1:9:14805319:14805795:-1 gene:ORGLA09G0127500 transcript:ORGLA09G0127500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07480) TAIR;Acc:AT3G07480] MAIAARALRCIPLHLAPSLSRAFCALSPAAPAPAAASAKVADRIVRLLAIDPDGARREVVGLSGQTVLRALANAGLIEPESHRLEEIDACSAECEVHIAQEWLDKLPPPSYEERYVLTRASRNRELNKHARLGCQVVLTPELQGMVVAVPEPKPWDIP >ORGLA09G0127400.1 pep chromosome:AGI1.1:9:14797478:14802795:1 gene:ORGLA09G0127400 transcript:ORGLA09G0127400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERRGGGEVNGVVEMEDAVGILVDYLVRPALRKGSRMTPENQADVARQVHMAVILYNYYHRKQFPQLAFADAMRFFKCASLTLGDSLLAYSNMVHQHEKSSGSPGEGVNLSVTDKAVVDACGIAEALDANQDSPDMAMWPISKVAVLLLDSTRKRCLLESGSVGKNVRSLLEKEIDTSSTSEHGSNKPEGQDLENEETGPYVLQKLAFSEVERRTEIKRSSLRLLDEHLAYSLTKKGTTTKLFILEYEQTAKGNFAEMPIEELIKSYLCMKEVCCRKWHFDSSLSMPKEETRRNGKSSSHSEIDENSKEQEYNIKGSTQKKIKRDIKVLGSSDKNYSCTSRNKRSSNVNSKRKSEVFRATAADGAEGLDSEIPRVKNALPPVVDVSTMKFVSCSVNAKETAAANAGFVDMEADFHQGGSAKDNKDLKFASFKSYLKKRDDLHRKQRMIEDETVQFDMDIQSVFAGGEWTPEAMSLLEKYGILVDSLDMVEVNGSSYSGDGYETLTIERKKLTVERLLRNKCQLMQELDEVCRENNWILPRYKVMPSLTDGMYVANVDIACLEFSQMTFGDPKTNPRDARESAAANLLAELLKKADANREHT >ORGLA09G0127300.1 pep chromosome:AGI1.1:9:14789948:14792328:1 gene:ORGLA09G0127300 transcript:ORGLA09G0127300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:farnesyltransferase A [Source:Projected from Arabidopsis thaliana (AT3G59380) TAIR;Acc:AT3G59380] MAPSSTSSEASDEWLPPSRRPELADVVPVTQDDGPHPVVAIAYRDEFREVMDYFRALYFAGERSVRALHLTAEVIDLNPGNYTVWHFRRLVLEALDADLREEMDFVDRIAECNPKNYQIWHHKRWLAEKLGPDIANKEHEFTRKILSMDAKNYHAWSHRQWVLQALGGWETELQYCNQLLEEDVFNNSAWNQRYLVITSSPLLGGLAAMRDSEVDYTVGAILAIPQNESPWRYLKGLYKGENNLLMADERISDVCLKVLKHDSTCVFALSLLLDLLQIGLQPSDELKGTIEAIKNSDPEADEAVDADLATAICSILQRCDPLRINYWSWYRTTISSQT >ORGLA09G0127200.1 pep chromosome:AGI1.1:9:14785534:14788790:1 gene:ORGLA09G0127200 transcript:ORGLA09G0127200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMRSPSILSQCLAGFLSHEKAAAHCVNVVPERESHPPSPAVEIVPSKLFCVLTLFQGKVSVVDIVGLSGSEVITPKGEGPLKCCESSIDLVNVLKNEIRDGLLTFRSKQVLELGCGYGLPGIFACLKGASTVHFQDPSAEIIRCKTIPNVLANLEHAQDKHGQQQGSPLTPSRQQLPQDIHFYAGEWEELHTVLSVIQEDEVDTSSGVALEFCEDDFLDGCSSQDASNICHETSSRRSRKLSGSRAWERGNETTTGDGGYDILLVNEIPYSASSLQNLYLLVKKCLRPPYGVMYLAARKNYIGSSSAVRQLRSLVDEEGAFGAHLVSEPPEREIWKFFFK >ORGLA09G0127100.1 pep chromosome:AGI1.1:9:14781142:14784511:-1 gene:ORGLA09G0127100 transcript:ORGLA09G0127100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCSSAFAVSTRMIRFSRGRAPAAILPVTSNDEPCCSCSPENNNKNNDGGGGGCDGGEHQKGKSWRRWQYRRCGGGGGGGGGGGGRKNAILGDAADVKTAAGFAERYRLGAELGRGEFGVTRRCSDAATGEALACKTIRRKRLRRCGGDAEDVRREVEILRRISALGTGADSVVRLRDACEDSDGVHLVMELCEGGELFDRIFARGHYTERAAAKLARTIVGVVQLCHENGVMHRDLKPENFLFANKSEDSPLKAIDFGLSVFFKPGERFTQVVGSTYYMAPEVLNRSYGPEADVWSAGVILYILLCGVPPFWGDNDEKTVTAILQGGINFQREPWPKVSPHAKDLVSKMLDPDPSTRLTAKEVLEHPWLKNADRAPNVSLGEIVRSRLMQFSAMNKFKKKALGVVAKNLPVEEMDKYTQMFHKMDKDNSGNLTLEDLKLGLQINGHPVPETEIEMLLEAGDIDGNGTLDCEEFVTVLLHIKKMSNEEYLPKAFKFFDKDGNGFIEMEELMDALGDELGPTEQVVKDIIRDIDTDKDGRISYQEFESMMISGSDWRNASRRYSKANFSSLSRKLCKGNS >ORGLA09G0127000.1 pep chromosome:AGI1.1:9:14776824:14779997:1 gene:ORGLA09G0127000 transcript:ORGLA09G0127000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G48440) TAIR;Acc:AT5G48440] MDATAFASAPNPSHAPSSSSSSLSASYSRVLRFSVRDPWRRRRGQRLPLHALRSQRPEPAPASASHHDVVVVGAGIIGLSIARHLLLHTPLSVAVADAAVPCTGATGAGQGYLWMSHRTPGSDTWELAVRSKQLWEELAAEVDGLGGGGARERLGWMRTGSLLVGRTSEEMATLEERTKALSQAGIRAECLSAASLHALEPELYVGHDGGAMFLPEDCQIDAFQAVSLIEKTNGSYSSEGRYMEIYNDPAMSLVRSETTGTVQGVQTSKHILYGRKAIVIASGAWTRTLLRSFLEPNPALDIPVMPRKGHLLVLDKFDKLKLNHGLMELGYVGHQVAKSSGTPLSSESSEDEHGALSISMTATMNTKGNLILGSSREFKGFSREVDMSILKCIWDRAAEFFPTLKNVHLDIDENTEIRIGHRPFMPDGKPVIGSVPDLPNVLIATGHEGSGLALALGTAEMVTDMILGNPGKVDFSPFSIKDRFSGNSG >ORGLA09G0126900.1 pep chromosome:AGI1.1:9:14775262:14776023:-1 gene:ORGLA09G0126900 transcript:ORGLA09G0126900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSQRFQNLIVDSSYAGAQWLRSIDLTRHLFGNTAAAAPAADSYNPKNKRGLRIQMERIRFPRPMLRLKCTYMPYHQRNIDCFPLADRKVVMVDHIGITRLCDVDARSVMAMPNIHKPKSDPISLFVPSSSGGGSLYVMERYPDSEDGTQLRYSNQFEAFVWGKSFCHCHLLPPPPFVHFNSSGV >ORGLA09G0126800.1 pep chromosome:AGI1.1:9:14772436:14772711:1 gene:ORGLA09G0126800 transcript:ORGLA09G0126800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALANGGYLLGGQPARCSGGHSTGSNGEGFGGHPATSPPSSSWVSLPREHRYDFQMMGGAGQGHTAHSTCRTMAPCAFFLTNRQTANFIE >ORGLA09G0126700.1 pep chromosome:AGI1.1:9:14771994:14772215:1 gene:ORGLA09G0126700 transcript:ORGLA09G0126700.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLTLGVFGSALFERSACSRSHGGGGFRAPGLRRCGSIADRAGGGGSRRRRQRWRRGSITDRGGGGGSRRRRRR >ORGLA09G0126600.1 pep chromosome:AGI1.1:9:14766037:14771221:1 gene:ORGLA09G0126600 transcript:ORGLA09G0126600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEDELLDDEEYYYYCSDGECSGGGSGSDEDEEFGGGGSDEGCEADEVVSTREQRYVVLTEDDIRERQEEMISRVSAIFSVPRESACVLLRHYKWSISKLSDDWFADEENVRRSVGLPSNVVHVPDCPELTCGICFEGCAANAMSCAGCSHFYCHECWEGYISAAVNDGPGCLVLQCPEPSCDAIVLEDMINSLTKDEDKVKYARFVLWSYIGVNKKIKWCPAPDCTCAVEFLGDGNYDVSCKCKFSFCWNCAEEAHRPVSCDTVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGYGTGGFYACNRYQSAKMGGMYDEAEARRERAKNSLERYMHYYERWASNQTSRQKAQADLQKVENEDLTKLSDVVGIPETQLKFIPEAWSQIIECRRVLKWTYAYGYYLHNKAKSDFFVYLQGEAESGLERLHKCAEKDMREFLPTADSTQPSLSLQDFGEFRVKLSGLTSVTRNYFENLVQTLEAGLQDVRATDQSASVSTSSSKKPPTNTKGKSGRSKVARTSQERSGDRWPCDRCTFINPSSTNSCNMCGRNKPRRR >ORGLA09G0126500.1 pep chromosome:AGI1.1:9:14761982:14764435:1 gene:ORGLA09G0126500 transcript:ORGLA09G0126500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G43370) TAIR;Acc:AT2G43370] MSGGGGGAASAVFYAEKYHPIQAGSIDGTDVAPHDNAVLRALLCSTAGLYDPFGDPKATGDPYCTVFVGRLSRYTDDETLRKEMSRYGRVKSMRLVRDIVTGASRGYAFVEYETDREMRRAYEDAHHSIIDGSEVLVDYYRQQLMPGWIPRRSGGGLGGKKESGQLRFGGRERPFRAPLRPIPYDELKRLGIPPPPEGRYMTCYQVPPPPRRKSGDIYREESPPKRRSKDRVDSSHYRRYCSPTQDDDSTVSTHRRKGSHDRREETERRTRAYISREAGSYSKRSPTEDDGDRRKRRRSREPGELSPYKEDDDNSKRGRSSVEPGFSPYQSHHHRHHRERHDSSSHSRHGERRHQDDRGNHSRNRRSESRDYSH >ORGLA09G0126400.1 pep chromosome:AGI1.1:9:14757615:14760254:1 gene:ORGLA09G0126400 transcript:ORGLA09G0126400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWFCCTQFHAPYREHENEFPDIPEEKEGNGFAPKSDDPTKAPPPIEVPELSFDELKEKTDNFGSKALVGEGSYGRVYYATLDNGKQVAVKKLDASTEPEVDNDFLTQVSIVSRLRHENFVEMLGYCVEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVRIAIDAAKGLEYLHEKVQPSIVHRDIRSSNVLLFEDYKAKIADFNPSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPPAPPAVAPET >ORGLA09G0126300.1 pep chromosome:AGI1.1:9:14745703:14750829:-1 gene:ORGLA09G0126300 transcript:ORGLA09G0126300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQVIGDVLDPFIKSAAMRINYGEKEITNGTGVRSSAVFTAPHVEIEGRDQTKLYTLVMVDPDAPSPSKPEYREYLHWLVTDIPEAIDARFGNEIVPYEAPRPPAGIHRLVFVLFKQEARQTVYAPGWRQNFNVRDFSAFYNLGPPVAALYFNCQKESGVGGRR >ORGLA09G0126200.1 pep chromosome:AGI1.1:9:14734996:14739640:-1 gene:ORGLA09G0126200 transcript:ORGLA09G0126200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAARWLRREVFVGLALGQFVSLLITSTGFSSSELARRGVNAPTSQSLLNYVLLALVYGGILIYRGQHLTIKWYYFLILGIVDVEANYIVVKAYQYTSLTSVMLIDCWAIPCVILLTWVFLKTKYGLRKFIGVVICVAGIILVVFSDVHASDRAKGPNPLKGDLFVIAGAMLYAVSNVTEEYFVKKSSRIEVMAMLGVFGAVISARKYASISHFLNTATAAYLNDKNFGQPNGMLILPFIGFAVAMFLFYSTVPIILKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFAGTAAGLVIYSYKGSKKVAEETAQVAGATDEEAATRVAGAGDDEPASTNKEVSSLAATTSSVIAGSLVHMGKRDFYGGSDVQSISGSQFQHCASQSIEWAWLVKRVEVIQNITNIKLASAKNLEDKAAQAFFALPGWKPGPSPTNTRS >ORGLA09G0126100.1 pep chromosome:AGI1.1:9:14729797:14734334:1 gene:ORGLA09G0126100 transcript:ORGLA09G0126100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lecithin:cholesterol acyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G03310) TAIR;Acc:AT3G03310] MAVLGVGAAVRLRLRVLGRHLRLRGRRRTRRRRGGGGVEDEEEGGREAVVLVSGMGGSVLHARRRSNPRFDLRVWVRILRANADFRKYLWSLYNPDTGYVEPLDDDVEIVVPEDDHGLFAIDILDPSWFVEILHLSMVYHFHDMIDMLVDCGYKKGTTLFGYGYDFRQSNRIDKVMVGLRAKLETAYKASGGKKVNIISHSMGGLLVSCFMSMNRDIFAKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWVMHQLLVECPSIYEMLPNPHFKWKKAPVVQVWRKNPEKDGIAELVLYEATDCLSLFQEALRNNELKYNGKTIALPFNMSVFKWATETCRILEDAELPDTVSFYNIYGTSYDTPYDVCYGSESSPIGDLSEVCHTMPVYTYVDGDGTVPIESTMADGFAAKERVGIEADHRGLLCDENVFELLKKWLGVKEESTRRRRLSKSKVTDFAPS >ORGLA09G0126000.1 pep chromosome:AGI1.1:9:14725796:14728369:1 gene:ORGLA09G0126000 transcript:ORGLA09G0126000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMMMIRSRLGDLPPRRPSPARRFHQKKNAKPEGSSGSQRGAPPAPDAGLPNPFDFSQFSNLLNDPSIKEMAEQIASDPVFTQMAEQLQKSAHVTGEQGGPALDPQQYMETMTQVMQNPQFMSMAERLGNTLMQDPGMSSMLESLTSPSHKELLEERMSRIKEDPSLKGILDEIESGGPSAMVKYWNDPEVLQKIGQAMSINFPGDAATSTTLSGPEETEEDGGDDDESIVHHTASVGDAEASTSLYNIMTQQGLKKALEDGADMDEEDAEGRRALHFACGYGELKCAEILLEAGAAVNALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTPQNLDGKTPIEVAKLNNQDEVLKVLEMDAFL >ORGLA09G0125900.1 pep chromosome:AGI1.1:9:14720012:14721495:1 gene:ORGLA09G0125900 transcript:ORGLA09G0125900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cytosol, plasma membrane; EXPRESSED IN: 26 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: WW-domain-binding protein (In /.../:IPR018826); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G11680) TAIR;Acc:AT5G11680] MAENPQLFGNGMPVPFYGEMFVLARDGVEFHVDKIPSAPGGHAKTKGTIYLSNIRMVFVASKPVGNFFAFDMPLLYVHGEKFNQPIFHCNNISGFVEPVVPENQNRALYSTHTFKILFKEGGCGTFVPLFLNLVASVRRYNQFEAQSAASMAPRVDPLQAVQTPVDDMMRHAYVDPNDPTKIFLQQPAPESQLRRRNYHGPADNAY >ORGLA09G0125800.1 pep chromosome:AGI1.1:9:14714577:14717674:1 gene:ORGLA09G0125800 transcript:ORGLA09G0125800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRLSGPAAPPFVPAARVAAAWGARRRGASRSSSSVVAKMEGGGGGKGVPTTNYVVPLDKATGMTRPLVEILRDLNKRVPDKIIDPDTNTVPWYHANRMLSFYAPGWCGEVRNVIYSDNGTVTVVYRVILRGTDGEAYREATGTAPVHEGRNDDAVAAAEEAAFCKACARFGFGLYLYHQDEIP >ORGLA09G0125700.1 pep chromosome:AGI1.1:9:14707167:14712057:1 gene:ORGLA09G0125700 transcript:ORGLA09G0125700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51980) TAIR;Acc:AT3G51980] MAMAARARCSRQPNSHRLLAVVVLAAILLLPVASAAAAVVAAVAEGDGVENRSAARQWATGKDEGELVSGEESRGGGSVVEDEFAGGFGSLDSMLQWAIGNSDPDKLKEQAEGVQKLSADELLKRRMEIKELMEKLKMPSDADLMKIAIADLNNSSISLEDRQRALQELLILVEPIDNANDLDKLGGLVAVIQDLNNANEEIRTTSAWVLGKASQNNALVQNQILGYGALARLVKMGYATSAEEATKALYAISALVRDNINGQEAFHSENGSAMLQHILASNSVDVRLQKKAVFLVTDLADFQLNSGNSGLPFLSDRIFLKSLVDMLSRFDLDLQEKVLLAIKSLLKLSSTEATDFESCDLSSVLYRLGVQLEELPSEEQKEYAGEVDDLRREVQILFQDKLKEGTKTAL >ORGLA09G0125600.1 pep chromosome:AGI1.1:9:14703896:14704537:1 gene:ORGLA09G0125600 transcript:ORGLA09G0125600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLATCVVLLATVFLEGFRVMLPLRSRDARGRQLVLPIKLLYTSTMPVVLHSAAVSSLYTVSQLLHYSRFAGSMLGTWKKTGYAAVPVPVGGVAYYVMPPAGLSHAATYAASLLASCALFSGAWVEVSRSSAQDVARQLSAQCLALHGARERDAALRSHLSRYISTAAALGGLCVGALTILADMTGAIGSGTGILLAATVVYNLVDAFQKEE >ORGLA09G0125500.1 pep chromosome:AGI1.1:9:14682381:14682866:-1 gene:ORGLA09G0125500 transcript:ORGLA09G0125500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDIAARDAAGFVEVDHGAGAGSGILRWAVGSVFAVFWVALIIGLVGGEKDPVPAVRAVTRSPHDITHLGLYIWCVIRT >ORGLA09G0125400.1 pep chromosome:AGI1.1:9:14679400:14681405:1 gene:ORGLA09G0125400 transcript:ORGLA09G0125400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSKFWRLAALVPEVQCPDQPISPRQKFKYTAIVLFIFVTASQVLLYGIQHQPRTIEPDPLHWLHLILASSRSTLLSHGIVAILVPEVLVKIWVYLKIITLDTSAPETGVLMNRAQRLLGILVAILGAVNFYVRSQHFTVNTVLIMLQILCSDIIVIYLDDVLRKGYGLLSGISLFTATNICVNILWKAFSPMSVVYPEQSPEFEGAVIAWVHLLMTRTDKLSAMSKAFYRQNLPNIINFLATCLFVPLAIFFQGFYIVLPVRTRRNFQAYCHIKLSHFLYGPVVLHRLLLPLPYVASKVLYKKYSGNTLVNLLGKWDGLNHFGQSIPVGGIVYYLTTPPILADLHRDPFHAFIYVAFVLISCVFISMGLMVCASSKGVFNGFVVLNMQEERRLRLAQPDSIHANEIRRHVMRAACVGGFCAGVLIIFADLIGVFCSGTGIMLAVTASYPYVDGRASEVGSFGF >ORGLA09G0125300.1 pep chromosome:AGI1.1:9:14671892:14677225:-1 gene:ORGLA09G0125300 transcript:ORGLA09G0125300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGELALVSSLFIVVVFLLLGAVAREASALTRHDFPEGFVFGAGSSAFQVEGAAAEDGRKPSIWDTFIHQGYMPDGSNADVSADQYHHYKEDVKLMYDMGLDAYRFSIAWPRLIPDGRGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDEYGGILSPRFIEDYSAYAEVCFKNFGDRVKHWATFNQPNIEPIGGFDAGDRPPRRCSYPFGTNCTGGDSSTEPYIVAHHLLLAHASAVSIYRQKYQAIQGGQIGITLMVRWHEPYTDKTADAAAAFRMNEFHIGWFLHPLVHGDYPPVMRSRVGVRLPSITASDSEKIRGSFDFIGINHYYVIFVQSIDANEQKLRDYYIDAGVQGEDDKENIQCHSWSLGKVLNHLKLEYGNPPVMIHENGYSDSPDIFGKINYNDDFRSKFLQGYLEALYLSVRNGSNTRGYFVWSMFDTFEFLYGYRLRFGLCGVDFTAAARTRYLKNSARWYSGFLRGGELRPEKPYATL >ORGLA09G0125200.1 pep chromosome:AGI1.1:9:14670571:14670813:-1 gene:ORGLA09G0125200 transcript:ORGLA09G0125200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRIFSFSPGDVATAPPWPRDELRRACGAAFVWRARPRALEIPAGEDEQTDVGERVEHGEAATDVRCGGGGPPSATGAE >ORGLA09G0125100.1 pep chromosome:AGI1.1:9:14663291:14666677:-1 gene:ORGLA09G0125100 transcript:ORGLA09G0125100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARALVPSPFIVVVLLLLAAAARDASALTRHDFPEGFVFGAGTSAFQVEGAAAEDGRKPSIWDTFTHQVLVTGYSPGGAIADVSADQYHHYKEDVKLMYDMGLDAYRFSIAWPRLIPDGRGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDEYGGILSPRFIEDYTAYAEVCFKNFGDRVKHWVTVNEPNIEPIGGYDAGVQPPRRCSYPFGTNCTGGDSSTEPYIVAHHLLLAHASAVSIYRQKYQAIQGGQIGITLLGWWYEPYTDAVADAAAAIRMNEFHIGWFMNPLVHGDYPPVMRSRVGARLPSITASDSEKIRGSFDFIGINHYFVIFVQSSDANHDQKLRDYYVDAGVQENGGGGFDKEHYQLHPWALGKMLHHLKLKYGNPPVMIHENGDADSPETPGKIDYDDDFRSDFLQSYLEVLHLSIRCAHSPCLLLSIPYCKERIEHAGILRVVTARRVRVPLRLRQPLWPVRRRLHRAGEDEVRQELGTVVLRLPQRRRAPAGETLRRIMNREDINPET >ORGLA09G0125000.1 pep chromosome:AGI1.1:9:14651771:14656574:-1 gene:ORGLA09G0125000 transcript:ORGLA09G0125000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARVVFICCAVLLAAAAAASSSSTAAGITRADFPPEFIFGAGSSAYQVEGAFAEDGRKPSIWDTFSHSGYSVDGATGDVTADQYHKYKEDVKLLQEMGVDAYRMSISWSRLIPDGRGAVNPKGLEYYNNLIDELLSHGIQPHVTIYHFDFPQALQDEYNGILSPRFVEDFTAYADVCFKNFGDRVKHWSTVNEPNIEPIGGYDQGILPPRRCSFPFGVLSCDNGNSTTEPYIVAHHLLLAHSSAVSLYREKYQATQGGQIGLTLLGWWYEPGTQDPEDVAAAARMNDFHIGWYMHPLVYGDYPPVMRKNVGSRLPSFTAEESKRVLGSYDFVGFNHYVAIFVRADLSKLDQSLRDYMGDAAVKYDLPFLKSNNEFPLGLRSDFMTSTPWALKKMLNHLQEKYKNPIVMIHENGAAGQPDPSGGNTYDDDFRSQYLQDYIEATLQSIRNGSNVQGYFVWSFLDVFEYLFGYRLRFGLYGVDFASPERTRYQRHSARWYAGFLRGSELRPAAAALAGGGAYSQ >ORGLA09G0124900.1 pep chromosome:AGI1.1:9:14647215:14650494:1 gene:ORGLA09G0124900 transcript:ORGLA09G0124900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAEPMDLEVSPDSSPAAAAAAVCSICLDAVACGDGVAARSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRNIEKGHWLYGNESQPCSHSDTGDWLNGETFDYPFSFEFGWCPFNTPLTSVFGESESEPNPFLEYIGSLHGFHHPMYAPSSSTASTESIPFHQRPTGTEGHATTDLRNTQVFNESEPRNHEREQQYLGSVQMPGTLNHSTAPFGIGMPRYDGGNQQRLRPHMHDNSLFHRPTARRASNLAHLRSLTAASETRGHGHGMTSHAVQQTIPSSMASNPQPPATRRVRPRALSITSFIAASSSAEIRAPHDFPLTETASTTNGNIRNGVGAPRHANQSYSWSSETFWPQTGEPHWWSPMAPVHNRSYENFSGRSATELLSIYGAQNGLPTPRFL >ORGLA09G0124800.1 pep chromosome:AGI1.1:9:14643106:14643666:1 gene:ORGLA09G0124800 transcript:ORGLA09G0124800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKAPELLKKAATIVRSKASTVRARLLIVASLRRRMAMIGAISHRIHALIVEKEKARVDYYHKNKNHDGNKALVMRKVVVHDEMVIADDHDRHLSELAMFDQEDHHGYSTDHWTHSLFNDDDTCYSDDQDDCGDDDGDDDEPSVIDIIRSNREDEGLEFNIDDEIDQAADMFIRRIRSRMSRSV >ORGLA09G0124700.1 pep chromosome:AGI1.1:9:14637216:14637809:1 gene:ORGLA09G0124700 transcript:ORGLA09G0124700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKAPELLKKAATIVRSKASTVRARLLIVASLRRRMAMIGAMSHRIHALMVEKEKARVDYYIKNKNKNKNQHALRKVVVHDEMVITDHDRHLSELAMFDQEDHHGYSTDHWTHSLFNDDDACYSDDQDDCGDDDDDDVHGVLFGAFDDGDDEPSVIDVIRSNREDEGLEFNIDDEIDQAADMFIRRIRNRMNRSV >ORGLA09G0124600.1 pep chromosome:AGI1.1:9:14632007:14634766:1 gene:ORGLA09G0124600 transcript:ORGLA09G0124600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKIGQGGFGSVYYAELRGELLCCLQKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKVADFGLAKLTEVGSMSQSLSTRVAGTFGYMPPEARYGEVSPKVDVYAFGVVLYELLSAKQAIVRSSESVSESKGLVFLFEEALSAPNPTEALDELIDPSLQGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ORGLA09G0124500.1 pep chromosome:AGI1.1:9:14630401:14631536:1 gene:ORGLA09G0124500 transcript:ORGLA09G0124500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREGLAAVRAVPHLPALGRGDARIGGRAVRVLVAGGDGADQEVQPRDGRGLREGDCVHPGERSKWKLPPSEIRVE >ORGLA09G0124400.1 pep chromosome:AGI1.1:9:14623006:14625681:-1 gene:ORGLA09G0124400 transcript:ORGLA09G0124400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGISIHSNTRPIVADESIASPEKRKGSCYQQDDSPRSGKKMRYSGPYLPEEMWQHIHSLMPMKDAARAACLSSAFLYSWRNRPKLSLSTETMGIVEGTTDFIRKIDRVLEKHSGIGVKALTIEFNGLFSTKARSYLERWLQIAVTPRIEELSLSMSKGKAYYDFPCSLLSDGSGSSIRLLDLYCCTFHPTAEIGCFQSLTRLHLEYVRITGDELGCVFSTSFALEWLKLRLCRHIKYMKLPCVLQRLTYVEVRGCSRLRVIENKAPNLHSLHIFYQAYHPIQLSFGESSLVKNLSIGYSSVLNHACAELPYIFPNLETLTIRSLGEMVSTPMVPNTFLHLKYLCITLSAVTLSPSYDYLSLVSFLDACPSLDTFIVDVSTKHPENDSIFENPSHLRQLPEQRHDNLRNVKITGFCSAKSLLELTYHILENTSVECLTLDTSFESFRCSPGKPGRCSRMPKDDLTEASKALFAIRTYIEGKVPSTVRLNVVEPCSRCHVMEPFTVETRK >ORGLA09G0124300.1 pep chromosome:AGI1.1:9:14618445:14619770:1 gene:ORGLA09G0124300 transcript:ORGLA09G0124300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHRRNHPKLAGGAGARTTTMTPRLPPSHRQASERDTAEEREGETAVRGRRTWRRGDAGAGGVGAVQGSYFVSPADRTYNALGFVKQINVQTAAALAEAREVLVSGGQSENINSGKENLESPNAKKEPGATTKLQAKIKRRS >ORGLA09G0124200.1 pep chromosome:AGI1.1:9:14598879:14605735:-1 gene:ORGLA09G0124200 transcript:ORGLA09G0124200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTRLDDSPMFRKQIQSLEEGSELLRERCLRFHKGCRKYTEGLGEAYDGDIAFASSLEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVENMLNDKLLQFVDIDLHDVKDARKRFDKASLLYDQARERYLSLKKGTRTDVATAVEDELHSARSSFEQARFNLVTALSNIEAKKRFEFLEAVSGTMDAHLHYFKQGYELLHQMEPYINQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGINDSPNGDGIQAIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNSRPSSGYTNQRSSAPSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLSSPKGSGHNRTASESSSFSSSTELDHSISEDCMLERNSGSGYFDHSGRAIQHHRTSMKPDKPIDLLRKVVGNNICADCGAAEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNTFANTIWEDMLPSSSSVDHGDNSRADGLENTSHNLIFSKPKHSDHIAVKEKFIHAKYAERDYVRKLNMDDSLVAQQMWENVSSNNKKGVYSLIVGSNADVNLTYGQTSFNSALTLGKALLLQEQPTSPSDGSSRCFDRSSLERISPRDSLSLASTSARIDELDDCVEGLSLLHLACRVADVGMVELLLQYGANVNSADPRGRTPLHHSILKGRHMFAKLLLSRGADSQATDRDGRTALQYAIDSGTIDDEEILVLLEDPSR >ORGLA09G0124100.1 pep chromosome:AGI1.1:9:14587815:14589351:-1 gene:ORGLA09G0124100 transcript:ORGLA09G0124100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSHLPQQMEHGLITNNGFLFCHGSHGGAATTTAPAIPEDASMETSSVVLDTSPQDKKRKPREEDTASLNSAHSKEAKENGRKRGGKKHSRDQMEEEAPQGFIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGIDSDAFSDHSQKMEGMFHEAVAIPASVLNRGSSPAQSHAIMDTSNTSPTPYTLQVQGGSNNNSLSQDNGSYIMQTVGEPRQELFNQVVLNNYMCSFQ >ORGLA09G0124000.1 pep chromosome:AGI1.1:9:14573861:14575906:1 gene:ORGLA09G0124000 transcript:ORGLA09G0124000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGTKPLQIWDKEVVDGHIKRPQDEDIQSNVLEIIGTNVQSTYITCPADPAATLGIKLPFLALIVKNLKKYFTFEIQVLDDKNSVTRVKPYICTMPLKLEDGWNNIQLNLSDLTKRAYGTNYVETLRVQVHANCRLRRIYFADRLYSEEELPPEFKLYLPIQKA >ORGLA09G0123900.1 pep chromosome:AGI1.1:9:14570110:14572316:1 gene:ORGLA09G0123900 transcript:ORGLA09G0123900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QDGYPPPGYSQPYPPPPSGGQYPPTQYYPPPNQPPPGYQGYFSEGQQPPYYYPPPHDPHHHHGHHHHHEDHHHHGHHHHGHHDDCCLGFLRGWLAILCCCCVLEECCCCCC >ORGLA09G0123800.1 pep chromosome:AGI1.1:9:14554631:14557375:-1 gene:ORGLA09G0123800 transcript:ORGLA09G0123800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWRCCDYCGEAAAALHCRADAARLCVACDRHVHGANALSRRHVRAPLCAGCEARPAAARVAAVAGAGGCGGACGEARFLCAGCADDDGAEAARVPVVGFSGCPAAAELAASWGLDLGGGRDDEFEEDPFFPEAGYPMLAADRVLRDMYVPCDPPPEAAAGGRGRRLKGESLRHQLAELARREVESAPKQANSGSISPSARRSSAAAIRHEAAAQRATLPYKSAPAANAAGCGDVGNGEQFTDGNELVWQRTAPSDPPCQIWDFNLGKSRDHDEHSALELHFGSKDGGFMIKSYNDMIEEVSSSSRKDLQYIYDSTYSFAPEDIVSANIYQLTPKQLSTATSGNKRHKNEPHGLTNDGPSSSRIVDVDRTLNSSPEEVAAVLAGENFITDQTVTGADQRNSLKIDSKTIAMNRDNAMQRYREKRKTRRYDKHIRYESRKMRADTRTRVKGRFVRATNIFNVGGGDGG >ORGLA09G0123700.1 pep chromosome:AGI1.1:9:14552866:14553153:1 gene:ORGLA09G0123700 transcript:ORGLA09G0123700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFITDAVADPLAAGHGGCRRNHTPFWLHHTPLPLSLSLIALAAELLAVAASLAAAAAGSSEQWATATGRVAVPSNLGEKSEVRGGDGEACNAV >ORGLA09G0123600.1 pep chromosome:AGI1.1:9:14546216:14550324:-1 gene:ORGLA09G0123600 transcript:ORGLA09G0123600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKSEFRLRIIGQEKLKMGNRFSVFGLAGIDGVGLGLIRHHERLAKMFDMWCLHIPVEDRTSFEGLVEYVESAVKSEGQRARDRPVYLVGESVGACIALAVAARNPDIDLVLILVNSGTSFHKSQLQSLSVFLDLVPEPFHLTTPQLLNFLTGNFMKIPSTIVGRGFSFQEAGQALSEITTSLLPSLMSLLDVLPKESIVWKLKMMRTASSFVNSRLHAVKAQTLVLASWNDELLPSREEAERLRDALEKCRIRNFKDNGHKILLEAEFDLATAIKGAGYYRRSLETDFVSDYLPLTPDEFQKATDHIRMLQYIANPVMLSTLPDGKIVRGLSGLPKQGPAVIVGYHMLLGFELGPLVTGVLRSSGIHIRGLAHPFMFDKKKEKIMPDPSYYDMHRIMGAVPVAAGNFYKLLAEKHFVLLYPGGAREALHRKGEEYKLFWPEQSEFVRMASRFGATIIPFGVVGEDDICDMLLDYDDLMKIPFYDILDRMLNEDGVKLRTDSTGELKYQRIHPVVAAPKIPGRFYFIFGKPIETRGREKELRDKENAQHLYLNVKSEVESCMKYLKEKREKDPYRNILARLLYQMVHGLDAEVPTFEP >ORGLA09G0123500.1 pep chromosome:AGI1.1:9:14541659:14545645:1 gene:ORGLA09G0123500 transcript:ORGLA09G0123500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVPAIKEEVLPTMSASLIVRPHFAGNSTCHLGKLPAESSSNRASPCSSISDFKRNDVLDSLNGFDGNFRASHAAYGPAGFQGLKPDTGDVGSRSGPKLGSNVQMPAMRIVGFESGFANSTGGPDTMVADNTDSPLVIDNCHSLIEQHGPHARKRVLSPLNNALPGHFRGDALNIGSGDAKIQHSDCARRLYTSGFQDRKKANTAILDSFEAPTWPASRYSNWSTEQGVDKFSGSTFTDGPLLESRESFPCSDHLEALESVAVPLAKLAHPPLLNLSPLGPTWMHGTNTVGSHGESLRETEGSTCEGYSEGHGRSRIRDAFEKTNILHDDFDMRIPKKSSDRKSQNWGLESASVSPRIGCIRSIGLLPVRRSLIGSFEESLLSGRYSCGKENQNIDGFLAVLNVTGGNFSPSTQKLPFSATSIDENSSLLYYSSIDLVGRLPMSSSKSPKLKRSLSNHDSRSAKSRLRIPVKGRVQLVVSNPEKTPLHTFFCNYDLSDMPAGTKTFMRQKVTLFPVSPSNQKKEGSKANETKVESVQFGSELRECGTLFSECCRPGQNCNLNDDSEKGGRKNMTCCSMECDIRESNDSSSLETSENGSSTNVCCCQSDTFPLGEKKYCCRSSKINDPAGGALRYALHLRFLSPFAKKPSRSMQRSKSDVSSEPYNHSSGPEEHRRFYLYNDVRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >ORGLA09G0123400.1 pep chromosome:AGI1.1:9:14532653:14537662:1 gene:ORGLA09G0123400 transcript:ORGLA09G0123400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFALQRHEQRVDRRGGHSPAAAAAANELLAASQDMADMRSCYDNLLSVAAAIANSAYEFSEALQEMGTCLLKRVTPNKDGINDKVLLLLGKAQFELRKLVDSYRVHVLNTITTPSQSLLNELQTVEEMKHQCDEKRELFEFLLNAQKEKGRSKNAKSDIGASEQLKQAQDDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGLKSLEAVEPHVRLAAEQQHIDHQFSALDEEDYSVDEENEDDYNDSHEDLSFDYGENKEGTEAGHASRSPTEELLDRSKAEYSSFPGERQRSGSQSAPLFPEKKLEAAERIKELRRSATRKLNTYVLPTPNDVRDTSQTVTANPTSGSPLGNKGAFYSSPLQPSTNVGDLRDNKLPSPTRLSNAHSVLKESNTNTTDTRTMLVLPLGDLSLPGYHDSKASDNKKVKRGSFSGPIVPRSRSTENIDVVSVPPRHSSSHQPSIHVRVSPNTSPPLLSSPKIKELHELPRPPANASKHTTFPSLVAHSAPLVPNSAPLAPRGQDHFRPRQTPPSAPQTASPLPTPPGPISRSFSIPSRGMRTSGISDGKETEDLQDKGPARMSLSGLPSAQTSLEDHRPLSGATESVSKT >ORGLA09G0123300.1 pep chromosome:AGI1.1:9:14524716:14530479:1 gene:ORGLA09G0123300 transcript:ORGLA09G0123300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta [Source:UniProtKB/TrEMBL;Acc:I1QQA4] MLGAARRQLGSGPMLGQVLRRLRPATAAAADAARAYSAAAKEMTVREALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIAVGAAYQGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPISAEVLDSSFALPIGKAKIEREGKDVTITAYSKMVGYALQAADILSKEGISAEVINLRSIRPLDRATINASVRKTNRLVTIEESFPQHGIGAEICMSVVEESFEYLDAPVERIAGADVPMPYAANLERMAVPQVDDIVRAAKRACYRAVPMAATA >ORGLA09G0123200.1 pep chromosome:AGI1.1:9:14521144:14522501:1 gene:ORGLA09G0123200 transcript:ORGLA09G0123200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGQQLPPGFRFHPTDEELVVQYLRRRALSRPLPAAVIPDVHDAAVLDPWDLPGAGDGEAYFFSFRQLAAASGGGGWRRRRAGSGYWKATGAEKPVFLRGFGCGGGGGGGQHLVGVKTTLLFLRAKPPSRTHWVMHEYRLAAAGAVAVAAAGQTKRGNHSCMAQPGEWVVCRIFLKNNRSSRRRAGDADGETPVTGVHGHRRRQPSPSPSSSSCVTAEVSDGEGEEEVSSGSINGAPSASQREA >ORGLA09G0123100.1 pep chromosome:AGI1.1:9:14509237:14512060:-1 gene:ORGLA09G0123100 transcript:ORGLA09G0123100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRFLLLSRLPAAAASSTSRLLRPLAAAGSLLPAALAPSAPRAAAAAARCFATQAATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVVEPPPGDPSNPEPTRDEIIDGYIKTLAQVVGSEEEARHKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVRNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANERSRRNDRPRNFDRSRNFERRRENMQNFQNRDVPPGQGFNSPPPPGQGPVPPRDAPPMHHAQGNVPPPPPPNAGPPNYQPHAPNPQGYTNYQQGGAPGYQGGPPGYQGSNQGYQGPPPPPPSAYQGNNPGYQGGGPGYQGGNPPPYQGGNPGYAPGYHGQGGNPSYQQGGDNYNAGAPAYERDGQGRNYQ >ORGLA09G0123000.1 pep chromosome:AGI1.1:9:14500332:14504657:-1 gene:ORGLA09G0123000 transcript:ORGLA09G0123000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRMSSAAVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSAKKKKANGAAIANTSTLFQMLQLFQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVEDLAVARGKKGLGDGTVGMFSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAEKKIMESKKELLLKDVARANSIEVSILKDRLYKLDSEKPRPYGTIQAVREVYRESGIRGFWKGLIPTLIMVCNPSIQFMIYETLAKRLRSKRSGKELPKKNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTGTIDAIIKMIRYEGLHGFYKGMGTKIVQSVFAASVLFMVKEELVKFVVMLIARSRTVLGPSSKKR >ORGLA09G0122900.1 pep chromosome:AGI1.1:9:14486018:14487316:1 gene:ORGLA09G0122900 transcript:ORGLA09G0122900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFSLPSYVCTREGPDHAPRFKATVTFNGETFDGPSNCTTLRQAEHAAAEVALARLSLRGPSSSLTARVLDETGVYKNLLQETAHRAGLKLPVYTTVRSGPGHSPVFSSTVELAGMSFAGDPAKTKKHAEKNAAMAAWSSLKQSNIRTTVSPLVFDLVWIVCHGGDVFVVVWCSAGGAQGARRRRRRGAGACGRRQGARRAEAAGRLRRRRWRREGGGGVSNXEASRQRVLFVRHVAVQTSMGASVAAAGGGRTQDTASPAPAAAAASGGVRLPSRRRRAGARAEEGRRAGAHAARRHAARQGGRRNAAADAVLLRAVLPPRRRRRPDEALRRRRRVPRAAGGERPFSDPGLRRATVAAAAGAQGGRSSDLIQEGVVVVKTSIQSIAQPAPI >ORGLA09G0122800.1 pep chromosome:AGI1.1:9:14477883:14481692:-1 gene:ORGLA09G0122800 transcript:ORGLA09G0122800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QQ99] MYVPPSRGGGGGAAGGGEQPRVYQVWRGSNEFFLQGRFIFGPDVRSLFLTIFLILAPVLVFCIFVARHLINDFPDHWGVSVMVVVVVFTIYDLTLLLLTSGRDPGIIPRNTHPPEPESIDGGSDMGNGQTPQQLRLPRTKDVFVNGVIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGRRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRDAENSTIWKAMLKTPASIVLIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGMVNNFLEIFCTAIPPSKNNFRARVPVDQGLQQTRTPARGFMSPNMGKPVGDLELGRKPVSWDEPRSAADIRDLEVGLGGLLDEKEGRIAHASPDLSLPGELVEGRAGMHSRRSSWGHRSGTSESMDSIAVQMGTEANWGSISSGHGTTSSTH >ORGLA09G0122700.1 pep chromosome:AGI1.1:9:14472383:14472805:-1 gene:ORGLA09G0122700 transcript:ORGLA09G0122700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQADVPEGVQEEVDGGVQLGAVRGGALQLHAVDTVRHGEDQLQPPPHHQRLRLRRRGRLHRRLPRLRPQAGQAQGARLLPPPQRRRLLPRRRRHRRRRRPAPPRQGARLHLPRLLHGRLRRPHERHRKKLSPHRSALFF >ORGLA09G0122600.1 pep chromosome:AGI1.1:9:14468534:14470413:-1 gene:ORGLA09G0122600 transcript:ORGLA09G0122600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMASSGAAAAAMAVFLAMALVLSGTEARFLSNNITVVGSVYCDACSNNTFSKHSFFLKGARVLIQCSFKVNSTMAEELSLEAERTTDQNGVYKLDVPAAGGFDCREGHDLRSACRATLVRSSSAACNVPGLRGSTQHIALRSRATNACFLNLNALNFRPAKRDAALCHGGDGGGAAFGSSLFFWPFLPLFWPPYRLPGGGGGTVSFPWPFPVPDWLVPFLRPPFLPFTLYQPAPAGSAPPPFYRFPPSQEASPSQP >ORGLA09G0122500.1 pep chromosome:AGI1.1:9:14462189:14466797:-1 gene:ORGLA09G0122500 transcript:ORGLA09G0122500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKLAMAERGRRGLIMKTLDRCRPPAWRRPAEGCLSVYVGAARQRFVVRTASVNHPLFRPLLEEAEEAFGYAAAGPLQLPCDAAVFARVLEQIEEEEEETAAAGDVAARRHTKTAVAWFLLYKDIAITEVLVKKHKGFSSLNDALISLLPKKDEAVDVRDFRPISLIHSFGKLFSKILASHLSPLLDGLVEANQSAFVKGRSLHDNFCYVQLAARALHARRTPRLLLKVDIAKAFDTVSWPFLLEVLAHLGFSQRWRDWISLIISASSSRVLINGVPGPRFLHRRGLRQGDPLSPMLFILVMEVLNAMLRKASDSGGFLPLNDRALRHRASLYADDLVLFLSPVRQDLEFIQGILSVFGAASELRTNFAKCSITPIRSSDEDLELVHSCFPCSISDFPCTYLGIPLSIRKLPKAALQPLVDRVAHRLPPWKGRLTTLAGRSVLVQSVLSSIPVHVSMAIGLPAWVVKAIDKKRRAFLWTGIPNLRLAGFALRIRWLWLQRSGHPYWDGLKAPVEQSVSDMFEASTFFVPEDGESMLFWTDHWIDGGRSVASLAPDLLFAVPQRLRSRTVASGLANNSWVSDIRGALTVPVISQFLLIWDAALRIQLLPGVRDRLVWRWTSDQRYSARSAYQAFFFGQHSFACADLL >ORGLA09G0122400.1 pep chromosome:AGI1.1:9:14458735:14460646:-1 gene:ORGLA09G0122400 transcript:ORGLA09G0122400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGRAPVPAKKKTEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKAREKLLAKEAAQRMT >ORGLA09G0122300.1 pep chromosome:AGI1.1:9:14453661:14455046:-1 gene:ORGLA09G0122300 transcript:ORGLA09G0122300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLRLGFLLVLCILAFLRPAAAIRFVIDREECFSHNVDYEGDTVHVSFVVIKAETPWHYTEDGVDLVVRDPNGNQIHDSRDKISDKFEFIVHRRGVHRFCFTNKSPYHETVDFDVLVGHFSYYDQHAKDEHFSALFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKAFFESAALIAASVVQVYLLRRLFERKLGTSRV >ORGLA09G0122200.1 pep chromosome:AGI1.1:9:14449475:14451718:-1 gene:ORGLA09G0122200 transcript:ORGLA09G0122200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QQ93] MERRGSPYGVAAAAAVVVVAMAAAAGGEAARLSPEHYRSTCPGVESVVRSVVARKVKETFVTVPATLRLFFHDCFVEQGCDASVMIASRGNDAEKDSPDNLSLAGDGFDTVVRAKAAVEKKCPGVVSCADILAIAARDVVAMSSGPRWTVELGRLDGLVSKSGGVAGKLPGPDMRVKDLAAIFAKNNLTVLDMVALSGAHTVGFAHCTRFAGRLYGRVGGGVDPSYDPAYARQLMAACPRDVAPTIAVNMDPITPAAFDNAYYANLAGGLGLFTSDQELYADAASRPAVTGFAKNQTLFFEAFKEAMVKLGRVGVKSGKHGEIRRDCTAFN >ORGLA09G0122100.1 pep chromosome:AGI1.1:9:14446254:14447913:1 gene:ORGLA09G0122100 transcript:ORGLA09G0122100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSATAAAEAAEADQSRAMYELCALLLTVLRAWPEEGGGRGAAAWPRQVTAAGVASMLLGASVALMLCGSVTFMLGFFLMPWVIGLACVFLLVGFVTNLSVIWRAILWPASCSSSPKVASTWYIFSKPPFMSYM >ORGLA09G0122000.1 pep chromosome:AGI1.1:9:14440846:14442986:1 gene:ORGLA09G0122000 transcript:ORGLA09G0122000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWRLAVLACLCAAAAAAPAEAKTHHHTWNITYQYKSPDCFRKLAVTINGESPGPTIRAAQGDTLVVTVHNMLDTENTAIHWHGIRQIGSPWADGTAGVTQCPILPGETFTYRFVVDRPGTYMYHAHYGMQRVAGLDGMLVVSVPDGVAEPFAYDGEHTVLLMDWWHQSVYEQAVGLASVPMVFVGEPQSLLINGRGVFNCSPPAASNGGGAACNAFGGECGWPTLFTASPGKTYRLRIGSLTSLASLSFEIEGHTMTVVEADGYYVTPVVVKNLFIYSGETYSVLVTADQDPSRSYWAASHVVSRDPTKTAPGRAVVRYASAAVDHPRTPPPTGPRWNDTASRVAQSRSFAALPGHVEPPPARPDRVLLLLNTQSKIDNHTKWAINGVSLSFPATPYLVAMKHGLRGEFDQRPPPDSYDHGSLNLSSPPASLAVRHAAYRLALGSVVDVVLQNTAIPPPNGRSETHPWHLHGHDFWVLGYGEGKFVPEVDGPGLNAASARGGAVMKNTVALHPMGWTAVRFRASNPGVWLFHCHLEAHVYMGMGVVFEEGVDVLPRLPASIMGCGRTKGHHY >ORGLA09G0121900.1 pep chromosome:AGI1.1:9:14431228:14437785:1 gene:ORGLA09G0121900 transcript:ORGLA09G0121900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSGQSMTRTLERYQKCSYGGPDTAIQNKENELVQSSRNEYLKLKARVENLQRTQRHSICLISSLISRGGNKCCVKQISASEEKFTPEQMNNSCVTAFMPTWLP >ORGLA09G0121800.1 pep chromosome:AGI1.1:9:14423900:14425529:1 gene:ORGLA09G0121800 transcript:ORGLA09G0121800.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKRSCRKRLDGHNRRRRKPQADSMSSGSFMTSQQGTRFASFTPPRPEPSWPGIIKSEETPYYSHHHHPHPVMTSRQPHFVGSPSSATTAAFSPKEGRRFPFLHEGDQISFGGGGGAAAAATLEISVCQPLLKTTVVAPPPPESSSSNKMFSSDGLTTATTTTTTAHHHHQVLDSDCALSLLSSPANSSSVDVSRMVQPSPAAAAGAEHHHHHHHHQIPMAQPLVPNLQQQFGGSSPWFASSPAAAAVAGGGFACPSMDSEQQQQQQLNAVLVPGSNENEMNYHGMFHVGGEGSSDGTSPSLPFSWQ >ORGLA09G0121700.1 pep chromosome:AGI1.1:9:14420790:14421290:-1 gene:ORGLA09G0121700 transcript:ORGLA09G0121700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVLAITSLAEAEAVARELGGPHSPLVDVRVESVVLSEAPAMAAIMYALFDDYGWRVGNLDRLLDLAGVDEHLSVVADVNLPRLARDVHDPNALARLRDSAATIIRLARRVGGPSTAAYTNFGNRITKLAHHIQDPNRSVLELRGRLGEAATRVNLLRSSHFDF >ORGLA09G0121600.1 pep chromosome:AGI1.1:9:14401834:14412465:1 gene:ORGLA09G0121600 transcript:ORGLA09G0121600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XGGRQATTKPCLAACRRLAHAAAAPDRRHPLVKRRRWRLRTVTARPSARTWGRXAFSTRWWKVWRWWKMVRLIQRLKERDHSVNKLQILKATKKTRYSGLDLPECLLESVFSLMAFKDAARAACVSRAFHRSWQCHPNLIFCIGILGSDFVNKFDRIVKNHSGIGIKSMKTLSLQYYCNAVYYARVESIPNVPNLETLTICSYHEIPKDSMEDDLIFGDSSNMRQMPENRHDNLQSVEITCFCSAKSLIELTCCILACTTSLEYLTLDTTRGVYSCSTGKHSQCFPMDKAMIPKANRAMLAIET >ORGLA09G0121500.1 pep chromosome:AGI1.1:9:14398565:14400072:1 gene:ORGLA09G0121500 transcript:ORGLA09G0121500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKDAARAACVSRAFHHSWRCHPNLICCIGILGSDFINKFDRIMKNHSGIGIKSVKFQYNSFYNTRRSTSISHHFDSWHQIAITPWIEELTISLSLSSFNMEYSFPCSLLADGRASSMRHLYLGNCGFHPTINLDLRNLTRLHLINCLSHLEVFDCRILQVVENKAPNLCSFDFGGRQMVDTPILPSKFLHLKCLTIDHTIISSSPAYNYLYLVYFLDACPSLETFLLGISQTHMEHDSIIGDSSHMRQMPGHRHDNLRSVEITGFYSAKSLIELTCYILDNTVALKYLTLDTTRGFFSCSTGEHDRCFPMDKIMITEANRAVLAIQTYIERKVPSTVKLNVVKPCSRCHAVESYL >ORGLA09G0121400.1 pep chromosome:AGI1.1:9:14395024:14395224:-1 gene:ORGLA09G0121400 transcript:ORGLA09G0121400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGGGGGAAWLAWLLVVVAAIAAVGHGGGVQPLSRVAIHRARVALDASAAVRASPSLLGAQVRT >ORGLA09G0121300.1 pep chromosome:AGI1.1:9:14388984:14393302:-1 gene:ORGLA09G0121300 transcript:ORGLA09G0121300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1QQ84] MAMPLGGILLLFLVLLAAAAAGGGGGVWAFSSSSSSSSYSRIGEQPLSLIGIHRATVGIDAAASVQASPRLLGVKGEDTAWVTVDFAAPHASDGDWIGVFSPSNFNASTCPGPSGSDSGPVICSAPIKYQLANYSSDYGKTGKGTLKFQLINQRQDFSFALFTGGLSNPKLIAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAYPFVEWGMKWSPPTRTAAGTITFDRESLCGEPARTVGWRDPGFIHTAFLTDLWPNKEYYYKIGHMLPDGKIVWGKFYSFKAPPFPGQKSLQRVVIFGDMGKAERDGSNEYSNYQPGSLNTTDTLIKDLDNIDIVFHIGDITYANGYISQWDQFTQQVEPITARVPYMIASGNHERDWPNSGSFFNGTDSGGECGVLAETMYYTPTENRANYWYKTDYGMFRFCVADSEHDWREGTEQYAFIESCLATVDRKKQPWLVFIAHRVLGYSSGFFYGAGGAFAEPTARQSLQRLWQRHRVDLAFYGHVHNYERTCPVYDGRCASPERSRYSGAVGGTIHAVVGGGGSHLSNFTAEAPPWSVYREMDYGFVKLTAFNYTSLLYEYRRSSDGEVHDSFTVHREYRDVLACVADSCPPTIPPAT >ORGLA09G0121200.1 pep chromosome:AGI1.1:9:14383950:14388032:1 gene:ORGLA09G0121200 transcript:ORGLA09G0121200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1QQ83] MGAARGVLQAALLLAAAFLLVSPAAAAAVNSTSATLDNIQPLSTLNMAAARVAMDAGSAIRASPELLGTNVSITQPRAIQAYEISESHLFSSLAGGRQGEDSAWVTVNFTTPAPTDGHWIALFSPADFDLIMSSSRINAAGEDEAPAGLPVAPIKYKFANISPSFMSSGSGDTSFLLINQRYDYAFGLFSGGKDNPKLVAVSNKISFANPKAPVFPRLSQGKGWNEMAVTWTSGYNVDEAYPFVEWRMNGKENARARRSPADTLTFTRNHLCGKPANAEGYRDPGFIHTAFLKNLWPNREYSYQMGHELLDGTIVWGKSSTFRASPSPGQASLQRIVVFGDMGLGQSDGSNELAGFQPGAQVTTERLIKDLPNYDAVFHIGDLSYANGFLAQWDQFTAQISPVASRVPYMVASGNHERTSRDTGGFYGGDDSHGECGVPAETYFRAPAAANRGKPWYAADHGMFRFCVGDTEHDWRPGTAQHAFLDGCFAAADRKHQPWLVFAAHRPLGYSSNEYYAREGSFSEPMGRTLQPLWQKHRVDLAVYGHVHNYERTCPVYENTCTAAPAAAGGGGNGSSPAAAYTGALGGTIHVVAGTGGARLRGYAGGEWPQWSAARSESYGYVKLTARDHSRLELEFIRSDDGEVLDAFSITRGYKDVLACAVDACDPHTLAN >ORGLA09G0121100.1 pep chromosome:AGI1.1:9:14376699:14381728:1 gene:ORGLA09G0121100 transcript:ORGLA09G0121100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:I1QQ82] MPEKAVDDVMDSAVGAHFSGLRLEALRLSSPSAPSSPSSAKAAAAAAAHSNGAVYANGVAADAAELVSPSALRQPFVIASVCEDFSWIFQGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEESAHAQDYNFDHPDAFDTEQLLECMGQLKRAQPVNVPIYDFKNHRRSSESFRKVNASDVIILEGILVFHDQRVRNLMDMKIFVDTDADIRLARRIRRDTVERGRDVSSVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPHVYVVQTTFQIRGMHTLIRDRDITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQIITPTGSIYMGVEFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLIYHKLPMDIAERHVLLLDPVLGTGNSANQAIELLIRKGVPEERIIFLNLISAPEGIQCVCKRFPRLKIVTSEIDTGLSEEYRVIPGLGEYGDRYFGTDN >ORGLA09G0121000.1 pep chromosome:AGI1.1:9:14372845:14375195:1 gene:ORGLA09G0121000 transcript:ORGLA09G0121000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-phosphate 3-epimerase [Source:UniProtKB/TrEMBL;Acc:I1QQ81] MAAAAAKIAPSMLSSDFANLAAEADRMVRLGADWLHMDIMDGHFVPNLTIGAPVIQSLRKHTKAYLDCHLMVTNPSDYVEPLAKAGASGFTFHIEVSRDNWQELIQSIKAKGMRPGVSLRPGTPVEEVFPLVEAENPVELVLVMTVEPGFGGQKFMPEMMEKVRALRKKYPSLDIEVDGGLGPSTIDVAASAGANCIVAGSSIFGAAEPGEVISALRKSVEGSQNKS >ORGLA09G0120900.1 pep chromosome:AGI1.1:9:14368970:14372038:1 gene:ORGLA09G0120900 transcript:ORGLA09G0120900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:I1QQ80] MSRRGDWVYENNGGTCVAIAGADYCVVAADTRLSVGYNILTRDHSKICELADKCALASSGFQGDIKALHKNLAARELLYQHQHNKRMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGSALIMPVLDNQLKSPSPLLLPARDAVTPLSETEAVDLVKDVFASATERDIYTGDKLEIVVINKAGTKREYIDLRKD >ORGLA09G0120800.1 pep chromosome:AGI1.1:9:14362983:14365482:-1 gene:ORGLA09G0120800 transcript:ORGLA09G0120800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYFALGLGYGSVRWWRLFTADQCDAVNRLVACFAVPFFAFDFAARIDPFALSYRVLAADALSKLAVALALAACAAAASTRCCGSGGGKRGGGGGGGFSWCITGFSLATLNNTLVVGVPLLDAMYGKWARDLIVQISVVQTIVYFPLLLLAFEVRRATTAAAAPPPPPPTGTDDDDVEDGAAAAATAAAARRSLWPLVRAVWLKVARNPNVYAGVLGVAWACVTNRWHVETPSIIEGSVLIMSKTGVGLSMFSMGLFMALQDKIIVCGAGLTVLGMALRFVAGPAATAVGAFALGLRGDFLRLAIIQAYTHLHEIHSPSSFFLLSPEICLNSNGCMHDTSPHDETARDEQAALPQSITTFVFAKEYGLHAEILSTAVIFGTLASLPVLIVYYIVLGFIR >ORGLA09G0120700.1 pep chromosome:AGI1.1:9:14355024:14358298:-1 gene:ORGLA09G0120700 transcript:ORGLA09G0120700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:I1QQ78] MLRCHTPPQCRLGAGGAGAGVLLRQRSEVAVRCRARQVSGVEAAAGTPAARAAVEGGERTSLAERLRLGSLLEDGLSYKESFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTTTMRKLGLIWVTNRMHIEIYKYPAWGDVVEIETWCQEDGKIGTRRDWILKDLANGEVIGRATSKWVMMNQNTRRLQRVSDDVRDEVFVHCPKTPRLAFPEENNGSLKKIPVLTDPAQHSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQHDDIVDSLTYIEEGEEKSSNGSAFAAPHPEEQRQFLHCLRFAGNGNEINRGRTVWRKLAR >ORGLA09G0120600.1 pep chromosome:AGI1.1:9:14350054:14353851:1 gene:ORGLA09G0120600 transcript:ORGLA09G0120600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISVMASAGRNRGVGFAKLQGEDFEYFMQTYSIILGRDSKREKVDLDVSGGDLSISRHHAHIFYDFECKRFSLLVLGKCGCTVEGVLHLPGGSPIKLDSQDLLQIGHKKFYFLLPTRSIFGTSSNQHGPSASAAFQPANNGTADDEHNLTASAAAQPAHIGTAAPPPHIGTAQPGQIGIVTLPPAHIQNNAENENIAGIETQEEFMNQNKMPFGELDTCSSHHITIEPTLAPGGQPVNNLAIRPADNNKEEYVLASIGIVISDLCGLKKMIPIEKLHSELVACYSATWPQRQVQMHLAPEAGSSAAGTECKPWLKLMYLLRKYPERFTVMNSSCDEEGRQHRCVCTLYGDGTDCVFLKRT >ORGLA09G0120500.1 pep chromosome:AGI1.1:9:14338182:14340962:-1 gene:ORGLA09G0120500 transcript:ORGLA09G0120500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:I1QQ76] MGKRVKAKAKNPRKAQQQQEPTAAAPSDAGSGDAAAAAAQDSGNSTEEAAAAAAAAASASGREQCGHYGGDSARLDKVLLEIMTSKHFASCEHCRDDAPRKKGGGKEKGGKQQQKKKGGGTKGSAAKAKVEKSDMWVCLDCGRHFCGGEVDVTKPYGHARRHAKQDRHWWAARFDDPTVAFCLSCEKEVSIEMPRIETVAAVPTEVAGAADRDLGLVNSHGSVIRGLPNLGNTCFFNAVMQSLLALDRLRSKMLGPDVPTGALLMSLKKLFMETSASNDVGGALSPKNLFSNICSKYPQFRGFQMQDSHELLRCFLDGLHTEENEARKLADKASSATIPTIVDSIFGGQLSSTVSSTECTHSSVKHDQFLDLSLPVPSRRPPAKSVSSPPAKRNKQSLRDRNKNRRYGKISTRVTPTIEVSNKEKIQTVAEGNNSLIPGSESGQVVSEKEPEPSECSESCASVPNLEQTGTSNVEDGTCWLDYIDDADEAKSEILDSADSIEAGQIWEDKGVTYGPFLPQDDALSKEQVLGSEHSGENPIDDATSSQPVILLPYKEFGSTADEMDGTTENSQKPEDAVAPPAVSPLPEDNAQPASVGDGDQDDYVGLGDMFNEPEVTSEVKKETGTVEDIDVMAWSSNSAEDEVDDSNAPVSVEGCLALFTEPELLSEPWHCELCSDSIACPNTNDGKDDEMATSVNERKDGEEMMAGGDETQDGDKLIANCTEKEGIDQIMATDGCSDNLNSDMNSKEGGCANSSLVGADNSVDANFPENGKVALLKTGSSLVDTTEQADSKTYRREIRDLNNSAVEYTSSSKQPHDSAQHKDEHNVDVASEETTAPECSCDNESASCSTTNKNEAECGVGAEEIVTSSLPSETQRILPGEKDNEDVVTRNHGRRKRMKMVGKAHKGKITKMNRKRMEKRFSDLQ >ORGLA09G0120400.1 pep chromosome:AGI1.1:9:14333097:14333747:-1 gene:ORGLA09G0120400 transcript:ORGLA09G0120400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAMPPPTRTRRGVTLAEQMAASSNLRDLLKLRDNDDDDDDDGGGQGGRRQPRPLPDAVVVAAGRRRTLLDVIRGVDDDDGHDHPPTGVLEGHRPATSTRTAAAAGGARGGRVSLMALLEQAERQWTTAAAGDASRRRVADDHAAAAEAGTNKGFAGAAAGVGGRCCVCMARGKAAAFIPCGHTFCRACARELRAGRGRCPLCNAAIHDVLNLF >ORGLA09G0120300.1 pep chromosome:AGI1.1:9:14321986:14324870:-1 gene:ORGLA09G0120300 transcript:ORGLA09G0120300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKEGEGKVVVVEEEEEERRLRGALRSLQQEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDVRLLLAAGLGDVLTAVFPVLASRKPANTILAVNRQSKKKPGANHCHHERLLGVARLLSEMAEPVMMGAVQISFLLARSFFVDLCTAILALLARVRALVQQMLLDVVSVYNKASDLIDRKQSVKISIGGAQAFREYYPSSNDARTFLECVWVKDKFVLHENTKGNCEKTQAEDQKSCASESAVLYETLGQVSEDMENAEGLNSPMKLPDATLANQPEKTHCHRDEDSQSRRQLVNDNNSNSLSDAVATHVHSTPCPDVKPETKKRVAFIAVGNLKATASSSGTTSTKKQRLEVIPRATAEPEDLYGKFSEDTDKSIF >ORGLA09G0120200.1 pep chromosome:AGI1.1:9:14319614:14321399:1 gene:ORGLA09G0120200 transcript:ORGLA09G0120200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPTRAVDHRRRRSGRAPAIAAVAVAAEDDGEEHHLNPFLDAAPSSSSSRVQFRKVASRAVWVEEAGAAEVVDSKGKLWLTTGVNRDGKLYYNVEEIGFLAERGALVLLDYEGETIGMEEIYGKIAGGKYGCSWDAFQAYKHLKLLGYIIGRYGVPWTVKRSHTYSVTDASTSVVETDQIQSLNRVGGASNDITKLLKEMCIDDMHPSFEVYLPNSKFKKTSPGDPSFVLCLLSNKPPSREELETVENKFEGIPLKFCHVDNGRVSFLSFNKAALPSLP >ORGLA09G0120100.1 pep chromosome:AGI1.1:9:14316372:14318495:-1 gene:ORGLA09G0120100 transcript:ORGLA09G0120100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSGTRRAPRRQSQDGPGDKVVVNLDAISSPVVGSRRAVPTSTGARASPIDVEALDDEVQTLSASQVPPPRRNRRTRRQPVAVVDLEVDASREGNKRQRVAPVIHCLSPERGEGSSLKTSNEPPKAKEPVFNCPVCWNKLEEPSTTICGHIFCTTCIKQAIQIQKKCPTCRKSLRANNFHRIYLPNSDS >ORGLA09G0120000.1 pep chromosome:AGI1.1:9:14311528:14315234:1 gene:ORGLA09G0120000 transcript:ORGLA09G0120000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHCKQLLDQEDVDKVPQADSDRGITPEEFRLVKIHMSFHIWRLAQQVKVRQRVIATAVTYFRRVYTRKSMTEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCASDEKYRFEIKDILEMEMKLLEALDYYLVVYHPYRPLLQLLQDAGITDLTQFAWGIVNDTYKMDLILIHPPYMIALACIYIASVLKDKDITLWFEELRVDMNIVKNISMEILDFYDTYKIDPQRGLPEDKIAPVMNKLPSKA >ORGLA09G0119900.1 pep chromosome:AGI1.1:9:14299037:14300533:-1 gene:ORGLA09G0119900 transcript:ORGLA09G0119900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADAAAKGMKLERYASGAGAMLLLRRAASGKVVSASSHLLFRATVLATMALVFLFTFHYPSLLSRSFTLSSGAGAGEGGAAAHASHRSLLMSSSSASASAASVYGGAAWEKEVRRSAKPRKDGGIAVLVTGAAGFVGTHCSLALRARGDGVLGLDNFNAYYDPELKRARQRLLAGRGVLVLDADINDALLLEKLFDLVPFTHVLHLAAQAGVRYAMEAPQTYVASNVAGLVTVLEVAAKHADPQPAIVWASSSSVYGLNTDAPFSEEHRTDRPASLYAATKKAGEAIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFAKSIVSGEPITLFRAADGADARRDFTYIDDVVKGCLGALDTSGKSTGSSKSGKKSGPAPLRVYNLGNTSPVPVTRMVAILEKLLGKKANKRIVAMPSNGDVPFTHANVTHAAHDFGYRPTTSLDAGLRHFVDWFADYYKLKLDVPKIAAKVAGAGKPSSSSASKKKKKAAAMSASS >ORGLA09G0119800.1 pep chromosome:AGI1.1:9:14292614:14294635:-1 gene:ORGLA09G0119800 transcript:ORGLA09G0119800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTTDGLTESVAKRKGSPCQQDDDCQDDKRIRSGADLPEDIFWYIHSLMPLRDAARAACVSHSFLRSWRCYPYLMFSEELLRLQESAFSDDERTRNLISKVNHILQNHSGIGVKKLELVFLDSTDVDLSYIDSWLHKAVTRGIEELTLILPINSNAEYSFPCSLLSDGNGNSIQYLHLSRCAIRPTADLGCLRTLLHLYSVRITGFELEYLLSNSPALEWLIMMDCKEIVQLKIPSLL >ORGLA09G0119700.1 pep chromosome:AGI1.1:9:14291657:14292577:-1 gene:ORGLA09G0119700 transcript:ORGLA09G0119700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVVESYAPNIATFHFRGHVHAVRMLGLLQVKDLEMSCSDHSSILCYALTNLLSIVPNVEKLRISSQTQIVSTQTVPGKYLRLKHLHISLNRSPNFDYLSLVSFLDASPSLETFILHIWDIYIPLGHMGHPWTLGDSEQLRQMPGHRHDSLKKFEVVGSCYAKSLVELTCHILQTTSSLDRIKLDTCGYVGLCASVFSYDNHLCCNPHRVHSIPLKQLCFKMNCF >ORGLA09G0119600.1 pep chromosome:AGI1.1:9:14287480:14289769:-1 gene:ORGLA09G0119600 transcript:ORGLA09G0119600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1QQ67] MAKPQLLLVVAAAALLLVVAASAKKSGDVTELQIGVKHKPESCSIQAHKGDRVKVHYRGKLTDGTVFDSSYERGDPIEFELGTGQVIKGWDQGILGMCVGEKRKLKIPSKLGYGAQGSPPTIPGGATLIFDTELVAVNGEPASKSDEDDDDSEL >ORGLA09G0119500.1 pep chromosome:AGI1.1:9:14285218:14286405:-1 gene:ORGLA09G0119500 transcript:ORGLA09G0119500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRMYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >ORGLA09G0119400.1 pep chromosome:AGI1.1:9:14278365:14280100:-1 gene:ORGLA09G0119400 transcript:ORGLA09G0119400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYVAGLLMSAAAAGLDLGVLDGGGGAFLETLCGGPGFAERAARLCGGGGGGGAGLFGLPAAGNAERGGCSREGSSVSDPAWAHATGGGGDNARKRKAPASAAAGKDKDAVVGGGSSPCEVGEAKAPDSKKCKAEVNPKVEEAASDGSVGDRVQKQGKGKNSSKPAAEPPKDYVHVRARRGQATDSHSLAERVRREKISQRMKVLQDLVPGCNKVVGKALMLDEIINYVQSLQQQVEFLSMKLATVNPQLDFGNLSTLLQKDMFQSCGPSVNSVFPLESAGTAFPFCDQADFFQSFGLGAMENQCSLDLANTALPHTGSTQYAFQKQVIAALKNLQSTEQMVLTCIYDDNSVCLWFSFQQRDLWEDNAFQYNDEQSQEDAVSAPNFDGQLQAADHTEIEF >ORGLA09G0119300.1 pep chromosome:AGI1.1:9:14270598:14272247:1 gene:ORGLA09G0119300 transcript:ORGLA09G0119300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKLARLRSQEDE >ORGLA09G0119200.1 pep chromosome:AGI1.1:9:14267512:14268303:1 gene:ORGLA09G0119200 transcript:ORGLA09G0119200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATHLRAVLLAVALLVASPAAAAASVCEGEKFPAGRSYATCADLPALGATLHWTYDGKASTLSLAFVAKPPASGGGGWVSWAINPTGDGMKGAQALVAFKGGAGAAAYVVNTYNVTGYKPFPAASTPIAFNATDLAADESAATGKLRLYGKLQLPRGMETVNHIWQVGSTVTGGVPMKHAFAQENLDAKGRLSLAGHGAAVAQEPAPAPAAGGPSSAEAENAVTAASPSPSGKNAAANTHAPAPAALAAALALAGFLAFV >ORGLA09G0119100.1 pep chromosome:AGI1.1:9:14256425:14258426:-1 gene:ORGLA09G0119100 transcript:ORGLA09G0119100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVVLALLLLLAAMAAASVAAAGGCAGEAFSANRAYAACSDLPRLGASLHWTYDRGAGGELSVAFVAAPAAPGGWVAWGLNPAGDGMAGAQALVAVPSSSGAWEVRTYNISGYALGEPGPIAFPASDLAAELGADGRVRVFGTLSLAAYGGAGVLNQVWQVGPAVTGGVPAPHAMGGANLAAKAKLDLLTQTTTAASSSDAIAKKRNIHGLLNAVSWGILLPMGAILARYLKTFRSADPAWFYLHVSCQLIGYGVGVAGWATGINLGNMSNGITYTLHRNIGIIVFALGTLQIFALFLRPKKENKYRVYWNMYHHSIGYTVIILGITNIFKGMTILGVEQRWKTAYVAVLCLLGVAAVILEVVTWGMVVKRRNAESKTFNSASNGHLPRHV >ORGLA09G0119000.1 pep chromosome:AGI1.1:9:14246181:14254070:1 gene:ORGLA09G0119000 transcript:ORGLA09G0119000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:I1QQ61] MAPTVLMVAEKPSIALSIASALSGGRMSTRKGSTDVHEFDGMFQGSHAFFKVTSVIGHVLSVDFPPAYQNWEGTDPMDLFVAPVLRSECNPKAHIRRHLAQEARGCTYLVLWLDCDREGENICYEVIDCTGIPKSEVGRRIFRAKFSSVTEKDIMDAMNNLVLPSKDEALAVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYQQITTFKPEKFWSLKTYVIKDGNEIQLEWDRKKLFDFDVTVMFQKMVTSDGILKVTDISVKEECKARPPGLNTVNLLKVASSALGIGPQTAMHLAERLYTQGFISYPRTESTAYPSSFDFRSALAALAHNPLWSNDVRTLLDTGFVKPKQGHDAGDHPPITPMRLATEEALGTDAWRLYQYICQHFIGTVSPDCRYTRTSIEFTSGGETFHCVGNRVTSKGFTSIMPWLAVSENNIPAYKKGDAVSIHKVDIYEGSTTPPDYLSESELISLMEKNGIGTDASIPVHVNNICERNYVQVNSGRRLVPTPLGTTLIRGYQCIDADLCLPDIRRFIEQQITLIAKGEADHLQVVQHVLQQFMKKYSYFVKKIENMDALFEAQFSPLADSGRLLSKCGKCARYMKYISTQPMRLYCVTCEEVYYLPQNGSIKLYKEIICPLDGFELLLFSMVGPDAKSFPLCPFCYNSPPFEGIDKLFGALKLDDTGKVGKGAGMPCFLCLHPTCKQSMITQGVCACPECTGTLILDPVSAPKWRLYCNRCNCIVLLPHAAHKISTTDKKCPTCESTIIEVDFNKKTTPLKDGATLHEGCILCDELLHSLIEMKHGKSFFMRRGRGRGRGRGRGRGSTRGRRGSSRHDDPKMSFRDF >ORGLA09G0118900.1 pep chromosome:AGI1.1:9:14240182:14245388:1 gene:ORGLA09G0118900 transcript:ORGLA09G0118900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDEALREVAAAVARAQPPQRRGISYSQPLSRDAASARRAALRNHSLDDDHILPASHSLNYVHHDPSAGVPNPGGGGYQPPLPPHGHQPQHHHHPSASYSTGSRRSVGGASDGSMTLERAMSEYGGGHGTLPEYVGAGGGKGIFRVPLRAAMHPARPPPLEVRPHPLRETQAGSFLRTLAAEPERRQLWAGAESGIRVWALDEVFAGWGAGARRGDEESAPFREGMPAPPALCVAVDRANRLLWTGHKDGRIRSWRMDLDAAATAPAPPPGGAGDGGGSVGGSNHGGPSNAPVFKEALTWQAYGRTPVLSMVVTSYGEIWSGSEGGVIKAWPYDAIAKSLSLSPEERHMAALLVERAYIDLRNHCTVGNVCSLPASDVKHMLADYSRAKVWTVTSMTFAIWDARTRELLKVFGMDGQVESARLETPVMPEQPIEEEVKVKPSKKDKSQGSLNFFQKSRNALIGAADAVRRVATKGTFVEDNRRTGAVAQAMDGTIWSGCTNGSIILWDGNGNRVQEFQHHTSSVQCIKALGERVWAGYASGIVQVMDVEGNLLAGWTGHSCPVIRMAIGGSYIYTLAHHGGIRGWPLTSPGPLDDILRTELTNKELSYTRMEKINIMVGSWNVAQGKASAESLKSWLGSVSSDVGLVVVGLQEVEMGAGFLAISAAKETVGLEGSANGQWWIDNIGKALDEGTSFHRVGSRQLAALLIAAWARKSLKPYVGDVEAAAVPCGFGRAIGNKGGVGLRIRVYDRKMCFVSNHFAAHLEAVSRRNADFDHIYRTMSFNKPHGSTASATSVQLHRGVNVNGNQVDEVRPDLAEADMIVFLGDFNYRLYGITYDEARDMVSQRSFDWLREKDQLRAEMKAGKVFQGMREGLIKFPPTYKFQKHAPGLGGYDSGEKKRIPAWCDRVLYRDSRPISVADCSLECPVVASITSYVACMDVTESDHKPVRCTFSVDIARVDELIRRQEYGEIIETNEKVRSMLEESSFVPDTTVSTSEIILENQENIVFRITNKCETSKAAFEITCEGQSSKKEDATKSEILPRASFGFPLWLEVQPAVGLIKPGETAEITIHHEDFYTQEEFVDGIPQNWWCEDTRDKECVLTVNIRGSTSTETKSHAISIRHRCPATSAPPPIISNPLSSSAAPPINALASEGPPSKRSSKKRESNHHKREQREQQQQDYAQFGSSEVHDLCRMRCP >ORGLA09G0118800.1 pep chromosome:AGI1.1:9:14235052:14237603:-1 gene:ORGLA09G0118800 transcript:ORGLA09G0118800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 17.2kDa subunit [Source:Projected from Arabidopsis thaliana (AT4G26965) TAIR;Acc:AT4G26965] MSKQLVSRLLGMFRSRAQVGADKFGNRYFTRVEEVDGVMKEKRWVEFKGSDQDPTTVPVEWICWLNGQRKKAPTPEGVNVSSKILNCSKKKEEEEKKTGVRPVKTIGKFESPNLKSFIQQFPDTSLDQRKGHDEVSRSKDGTDTEDATIDTDRSSEPTGTGATFKPGTWQPPT >ORGLA09G0118700.1 pep chromosome:AGI1.1:9:14230269:14232896:-1 gene:ORGLA09G0118700 transcript:ORGLA09G0118700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLALTRLMATQPPRRRRRRNDAQAQARNGVIISMAKRKGTLLQGGGSSQGGKRLRYSGPDLPEDIWRHIHFLMPLRDAARAACISQAFLRSWRHHPNLILRKKTMGLEHKAYRRVGMARDFTSTVHSILKNHSGIGVKRLKLDIIYDHRNLNICYLNNWLQIAITPGIEEITLLLPSKYTFPCSLLSGGNGRSLQYLKLVRCAFRPTASLGFLSSLTKLHLCEVRIKDDELTCLISKSLALKQLELLNCRQIICLKIPCLLEQLSCLNVSLCENLQMIESKAPNLSTFSYISNLVVELSLKQSSQVKTLDIDCYDESNFLCHVITKFPNIVPNLETLTLHSIDERINTPMVASKFLHVKHLEIYFESLDPDKAFPP >ORGLA09G0118600.1 pep chromosome:AGI1.1:9:14220642:14223845:-1 gene:ORGLA09G0118600 transcript:ORGLA09G0118600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGFSPDSAQSPPGFPLSELAEQICRLESGEHKEEEAADADADAVGLYHLMVDERTEAVGGSDTIMASPPASASRPLDPAWVHAKVIGNSKNALACLHCGKKIGGGGITRFKYHLAGITGQVQACKKVPNDVRRQMKQLVNEHRSRHDTRDGHYSGFEEDSSDAVLSNGGSGVQLRPSRKRRTGCVSPQAAAGFERTGYVSPQAAAGFERIGYISPLAAAGCERTAYVSPQAAAGFEPSNDALLVSRDLVQHSMDGVEVPNDLLHNARVAMARWWYDANIPFSAAKSPFYQPMLDAIASAGAGLKGPLYHDLRGPLLKHLTDDIRKYLHDMKKEWNACGCSLIADRKKNHGESSIINFFVYCRRGTMFLKSVDTSAEKANLLEIFDQVVREVGPENIVQFITDLDPRYKTTVKVLEERYKTFVWSPCAARCIDLMLENLADPRYFPMIDETLNKAKKITQFIYNHAWVLSLMRKEFTGGRDLCRPAISRFATHFLSLQCILKFEKELCQMVTSNKWVKSTYAKGGVGKEVAAMILNAHFWAQCKHVVKVTEPLLRVLRLVDSNEKPSMGYLYEAMEKAKELIRARMMHKVSLYGPYVRVIDARMEKQLNSPLQAAGLFFNPGIFFSPTFKMQSYAHRGLIKTISCLVPDDDIQDKIFLQLEEYKKGTGDFGLPIAIRQREKLDPVAWWDNFGNGTLELQGLAKRVLGQCCSATGCERNWDIFHHIHSRKISRLERSRLSDVVFLQYNQKLRERNLHKHRDAIDPISIDNIDVLDEWVSEEPSLLCRDDLNWERIDAPFAEPTSEDEEFVAIDDEEAPTASLSWPAAAAEDSYCPPPDQDPYQYVTQEDGILPF >ORGLA09G0118500.1 pep chromosome:AGI1.1:9:14217598:14219727:1 gene:ORGLA09G0118500 transcript:ORGLA09G0118500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAATVAAARLLGPPVIRAARPPHDAADAASHPFLQLLDANFNPPPPGPPAAFGSKTKATPRKARTENDSATYANSGNPCLDLFFQVVPDTPADRVRGLVAAAWAHDPLTALKLVCNLRGVRGTGKSDKEGFYAAALWMHEHHPRTLACNVAALAEFGYLKDFPELLFRLIHGNDVRKLSKAKAAAHKMRKAREKKAATLAGRKRSRGYGGGSILDAMTPSKPLLSDFVSAELSKSKTKSKSKVKPETSSSNPGAAMEIEKPQEAAQPVAMEVDGKPEKEKGVGGKPEKKEVSKKARKAGKFAVQSLERYYGDRAYRFLFDCVAEFFAELLASDLEQLAPGGKRRKIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSSPDYAQLSDEHYAYSVLRRLRREALVPLRDVLQLPEVYMSARRWSELPYTRVASVAMRRYKALFKKHDEDRFAQYLAAVEEGKAKIAAGALLPHEIASAAMRGEEDDVSELQWRRMVDDLRAKGSLRNCISVCDVSGSMSGTPMDVCVALGVLTSELSEEPWAGRVITFSARPQLHMIKGKTLAEKLRFVQRMDWCMNTNFQAVFDQILRTAVDGRLPPDKMIRTVFVFSDMEFDEASTNHWETDYEAICRKFGSAGYGDAVPQIVFWNLRDSTSTPVTSTQPGVAMVSGFSKNLLKIFLQNDGVVNPEAVMAAAIAGEEYQKLVVFD >ORGLA09G0118400.1 pep chromosome:AGI1.1:9:14214687:14216471:-1 gene:ORGLA09G0118400 transcript:ORGLA09G0118400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLRTPILLLGPPIAVPDGGGAAAAVQVTWLSGRPLTSGDPCLDFFFQIVPGDTEVARVGDLLDAAWARDPLTALKLVCHLRAVRGLGKADREGFYAAALWMHDHHPRTLAANLAAFAEFGCFKDLLEIVYRVLHGPRDEHKEEEEEGDDQTESEDGRRRRPRLRFKRRCIDHAEAAKARLQKEAQLAQAVLSRYGSDVSFRFLYDGVADTFAELLKSDVEHMRAGENAKIGLAAKWCPSLRSSYDRATLLCEAIARRMFPRESSQEYLFLSDEHYAYRVRNRLRREVLVPLRKVLELPEVYMTAGKWEQMPYARVPSVAMRQYKGAFEKHDKSGVAGFLDEVRTGHARLHVGAAMPHELVAAALKGEHDEAAELQWRRMVSALAAGGRLSNCIAVCGLSSGGDVAKPPGAAAVALGLLISELSQDPWKGRVITFDATQQLHKVCGATLVEKLRSLAAPVRAAPKGSSLNLQGVFDRILTVATYGGLAKDMMVRRVFVLSDMELNASAWRVQDELKTIRSKFTAEGFTAPEVVFWNVGAPASAPVVATEANAAVVSGYSKNLVRLFLEWDGQLTPAAVMADAISGPEYDSLEVVD >ORGLA09G0118300.1 pep chromosome:AGI1.1:9:14205684:14207514:1 gene:ORGLA09G0118300 transcript:ORGLA09G0118300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPADDALPALPPIRTALSTPSPPPTTVEVEVSASPSPPKEEVVAEADAEEEEPSTPTSEESRLRPPAVCPPAPRKPLPPRRLAAAAAGKRKSSPVVFVDVPRDLAAVFRSLPPKKRIRACSSVLMASSHDLVDFYVFKNDQGNLGRILVLIVLKNFCKKIVLNGLVVATWNSIASKARAVIFRIQFSKHGLVSERAEREGP >ORGLA09G0118200.1 pep chromosome:AGI1.1:9:14186331:14187841:-1 gene:ORGLA09G0118200 transcript:ORGLA09G0118200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVADVPPAAAYGFPGSAKRGKPEEVVVLMGKRRNEGFFIEEEEEEEEEVLTESSSIGAPSPASSSIGENSGEEEGGDDEEEVESKLKAEDEQVGLGCLDALEESLPIKRGLSNFYAGKSKSFTSLAEATASPAAAANELAKPENPFNKRRRILATWSRRASCSSLATATYLPPLLAPDHAVAEGDEGEEEDDDSDDDERQHRGKNGGRRESAAPPLPLPPPRLTLHTQMGGMVRRNGTFRSPRSLSLSDLQNSGGSC >ORGLA09G0118100.1 pep chromosome:AGI1.1:9:14176726:14179600:-1 gene:ORGLA09G0118100 transcript:ORGLA09G0118100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRHVVAGFLTLSMFVMLGNMIKHDHFTPVGAGQEELGLEATGIESNEIKIADTTEMTKVNKAGVDLPKETAEEIRPCWSKPRSNVQESKGFVTFSLTMGPEYHISQITDAVVIARYLGATLVLPEIRGNELGKRRKFEDMYDVDKFMTILDGVVKVVHSLPNAVSSKKPAVVRVPNRVTEEFITGTIEPIFQRNNYLRLATIFSSVSLKQKESGNKDLDSTACLAMFSGLQLKPEFSAVAKHMLDKLKEISEKSDGMVIAIDLQTELLEKKICKTNGGARRRGCYYPQEVVHFLKKVGFSADTTIYLTETWWHKSLDTLKEAFPNTYTKDDIMPAANKGEFLKSGDSYLARALDLKICSESDVFVPAIPGLFYGHVAGKRIAAGLTNIIVPAPVSSSSALASEFVSTYVSKKSHLAYSCYC >ORGLA09G0118000.1 pep chromosome:AGI1.1:9:14172027:14173632:1 gene:ORGLA09G0118000 transcript:ORGLA09G0118000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSFFLSEDPSYLCSVGHAVGAIVDAGKTDLLEFSLWSDVGKLNLEHCQLLRQRFMSFSHSCPVAFRWLTNLALRNLAFQESDVSHILNTCHNLKFLALCSCVSDFVVLKIDAPHSELLTLEIVTCGFDRVDLIHLPNLRRVVCWDWCLPNPPIRFGNVTRLHNMSLSCSATYDQMPFRLTELISSATNLTILYLDFQDQMIWIEPQGPKLLYPVFSNVRDVYLCNIFYECDLNWTVFVLEAAPRLSNFYLKLCQHPCERNRCEDSAEKVNLLWDQMSSDFKHRHLNLLEITGFAMDDKMINYTRLIMERAVNLKRIRLLDQVPCDKGNAMNGMGSTSSNKWRFPVDQGEKSLIKQKLIDGFSSSAEITIG >ORGLA09G0117900.1 pep chromosome:AGI1.1:9:14163703:14168678:1 gene:ORGLA09G0117900 transcript:ORGLA09G0117900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G51580) TAIR;Acc:AT1G51580] MEISPNSAAAAAAAAAVAAAPASSSTSSPSAPKRLTTTLRILCPSSRASVLRGASRDLHVDQPPVGDEAVLSISGPDAPAVAVRAWERVVGHRVGGDEAAGEEEREVPGVVGCRMLAASGQVGCVLGKGGKTVERMRQESGAQIRVFRNRDQLPPWAAPVDELIHISGNFSAVRKALLLVTTCLQDNPRPDASNFPPGRFGPPGPVGIDPHSQRGYLPPSMPDYHARNYSSNMAAPGSRFFVEQEIVFRMICLNEMVGSIIGKGGSTIRALQSETGASIKIIEPNSDSEERVIVMSAHENSEMMHSPAQDAVLRVHSRISESSMDKSSAVTARLLVPSQHIGCLLGKGGSIIAEMRKITGAGIRIFGNEQIPRCAQRNDELVQVTGSFQSIQDALLHITGRIRDVIIPMKPHPGGGMPPYPPGGNAPPHHPRQEPAPPHPTGGMPPYPMPSFRADRPMGPFDMVDHRPPPPHSMEHMGADRMPYSYGCEQGGGPRPFLDQPSPSAWAPEPDLVQAPNSEAPRNMPETVPPADFRKGAVAGTNQVATPSNATEVIIPRKYIGFICGANGSDLAEIKKMSGATITVHHPKPGDANALVIICGDPDQTKKAQSLLHAFIFCGLYQT >ORGLA09G0117800.1 pep chromosome:AGI1.1:9:14156396:14159652:-1 gene:ORGLA09G0117800 transcript:ORGLA09G0117800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANNGGEGGDGFDVIVVGAGIMGSCAAYAASTRGGARVLLLERFDLLHHRGSSHGESRTIRATYPQAHYPPMVRLAARLWDDAQRDAGYRVLTPTPHLDMGPRADPALRASIANGAATEVASDAASDAAAPWPWSGVFRLPEGWTAATSEIGGVMKATKAVAMFQSLAAKNGAVVRDRTEVVGIAKQGDGSIVVKTSSGEEFHGAKCIITVGAWASKLVRSVAGVDLPVQPLHTLICYWRARPGREHELTPESGFPTFASYGDPYIYSTPSMEFPGLIKVAAHGGPPCDPDRRDWLAGAGAGLVEPVARWIDEVMPGHVDTAGGPVIRQPCMYSMTPDEDFIIDFVGGELGKDVVVGAGFSGHGFKMGPAVGRILAEMALDGEARTAAEAGVELRHFRIGRFEDNPEGNLAENKVKN >ORGLA09G0117700.1 pep chromosome:AGI1.1:9:14152551:14154314:1 gene:ORGLA09G0117700 transcript:ORGLA09G0117700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPRACKLWLLVVAMAASWSCSSMAMTFTIANYCSHPIWPGTLAGAGTPQLSTTGFRLDPGQTAQLAAPAGWSGRIWARTGCVFDADGAGVCQTGDCGGRVECRGAGAAPPATLFEVTLGRGGGEDFYDVSLVDGYNLPVVAIPRAAAACNATGCMADLNRSCPRELQVECGGGGAIACRSACEAFGQDRYCCAGEYGTPAACRPTAYSAIFKTACPRAYSYAYDDSTSTFTCKAAYDYTIAFCLPTSGIKKSDAVFLGAQIIDGDGGNAPPAYRGGGGGGGSRPPIYYNGGGGAHEPETMTASSASTRCTQPCLLLLLLLLLLLVFLF >ORGLA09G0117600.1 pep chromosome:AGI1.1:9:14149915:14151252:1 gene:ORGLA09G0117600 transcript:ORGLA09G0117600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVQRILFRAGLLPPPPMLAMARKGGPRDRRPIHPMTGRPLDLEGVTVVDDSNVPEGGAEEPVSEE >ORGLA09G0117500.1 pep chromosome:AGI1.1:9:14148190:14148402:1 gene:ORGLA09G0117500 transcript:ORGLA09G0117500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIDFTGSLLKFQRTYIFYGISFYSYSWCIICVLSLCILRHSRKTCEYIYFFISSSSIVESTQYGHCWQHK >ORGLA09G0117400.1 pep chromosome:AGI1.1:9:14137052:14139210:-1 gene:ORGLA09G0117400 transcript:ORGLA09G0117400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 38 [Source:Projected from Arabidopsis thaliana (AT2G24430) TAIR;Acc:AT2G24430] MAMGMEGSGGGGSAKKKEESLPPGFRFHPTDEELITYYLRQKIADGGFTARAIAEVDLNKCEPWDLPEKAKMGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIFTGQPPATPELVGMKKTLVFYKGRAPRGEKTNWVMHEYRLHSKSIPKSNKDEWVVCRIFAKTAGVKKYPSNNAHSRSHHPYTLDMVPPLLPALLQQDPFGRGHHPYINPVDMAELSRFARGTPGLHPHIQPHPGYINPAAPFTLSGLNLNLGSSPAMPPPPPPPPQSILQAMSMPMNQPSTTNQVMVTEQMIPGLANGVIPQGTDGGFTTDVVVGGTGIRYQNLDVEQLVERYWPGSYQM >ORGLA09G0117300.1 pep chromosome:AGI1.1:9:14128687:14133222:1 gene:ORGLA09G0117300 transcript:ORGLA09G0117300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIARLLLLLALVAGAAVAQDAGLGDVAAEETTAARAKEEAALAAELGQLRAKISALESSITKQTQELKSKDDGIQKLEKLIEEKSKKIATLQSEITSLETKRSLAAEEQAGKANARAIELEKQIEKLKKDIEAQNSKKSTVEARAGDADKKVQELNAKLEKLQKTSDEQKRRIQKTEHALKVAEEELMRVQLETTTQLNQLKEVHGAWLPPWLVTHTARAKEMMLSHWNEHGKPAVNCLLQKASEKSVQAKKWAKPHVEAAKTKWIPVIKEKWVTMKTNAEPYVQKVSAKSIELYQASKDVVLPHVVKAHKIADPYFQEAKKVSKPYIDQVAKATKPHVEKIRIYLKPYTKRAVHIYGNFLEKATAYHQQAQATVLDYLHQHELTKEFATEELAWYLASALLIMPIYVFYNVLVETFCSKKKKKATRNVNANHGHRKHKRRHADK >ORGLA09G0117200.1 pep chromosome:AGI1.1:9:14124952:14126892:-1 gene:ORGLA09G0117200 transcript:ORGLA09G0117200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRNGPFGRLPEHLLVEIFIRLPTCEWVQISCVSKHWASIFQGECMWQTAIARNWPSAGLRKRWPGPIPRGSARRRFQALYVSQNLVSSGGDIDELVGHTYLYLKEQLERPVVAPSSILHGTIIDQFIACGRTGEKAHELASKIWLAVIDNLEENQQTFLLLKHLSQEGEFFLPFPYSRSYKVLWRVFDKLFTDFRDCFSRVDYHDALAGAKSRFQPVPSAWLGH >ORGLA09G0117100.1 pep chromosome:AGI1.1:9:14123093:14124313:-1 gene:ORGLA09G0117100 transcript:ORGLA09G0117100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSLLLLLLPLLIFSIGAALARADLMLDRFEQWMIRHGRAYTDAGEKQRRFEVYRRNVELVETFNSMSNGYKLADNKFADLTNEEFRAKMLGFRPHVTIPQISNTCSADIAMPGESSDDILPKSVDWRKKGAVVEVKNQGDCGSCWAFSAVAAIEGINQIKNGELVSLSEQELVDCDDEAVGCSGGYMSWAFEFVVGNHGLTTEASYPYHAANGACQAAKLNQSAVAIAGYRNVTPSSEPDLARAAAAQPVSVAVDGGSFMFQLYGSGVYTGPCTADVNHGVTVVGYGESEPKTDGGGAAKGGEKYWIVKNSWGAEWGDAGYILMQRDVAGLASGLCGIALLPSYPVM >ORGLA09G0117000.1 pep chromosome:AGI1.1:9:14118965:14122066:1 gene:ORGLA09G0117000 transcript:ORGLA09G0117000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF106, transmembrane [Source:Projected from Arabidopsis thaliana (AT4G12590) TAIR;Acc:AT4G12590] MAEELVLDTAIRDWVLVPLSVVMVLIGVLRYFVAKLMRSPSASPSPDPKLVKEGQVVIRARNLRINSQYIPAKAFKSRKVYYTNEENGLLHVPKEEAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTPRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENANDDAQKMMQMGGGFGGNPTMGLAAEKDNLDIIQHDWALPKMEHHAEEVLRKLLKK >ORGLA09G0116900.1 pep chromosome:AGI1.1:9:14105255:14110777:-1 gene:ORGLA09G0116900 transcript:ORGLA09G0116900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESARPLSGGTGAGKWPPSKDTGSYDSIPASLSEDELAELAFMPNSGGIFGKWRGSVLERSGSAPPTMEGSLVALGHLTGQPSGNLGAILPNLGTEANNSESKENIYYDSACVKYYMSKVNLNPRFPPPLVSRNQFGKSEERKPFSLDDSSSRSLLLGHPTLPTHKEEPEDEKSPSLDSSSADDAQCDSAQSTSNLGGHSPNLVDSIKENFHRSNGLYDNSSDLLNANSGDGGSIYSGISSSKNSSLYVVQSSDLNGFPPDVHQRSPRPIRTPVSTKLTSDSLPASSPPTSSCSDYSTITEACQQRNPSMAVKPGEPVGTMLASSDFSLKNLNISPDILSSSYVMQQWQKNAPVWNGLSNVVHGDHVPMIPPGINLPQVPFVDNSGFGHMKFPGDVQLMSQIGMATPFCTPNSFGIPCYPNLQSPSVWVPPFGIGGYGLPGPFVPPVITNFTPQLPGFPSAVNLAAATDLFHPYKMYEHLGVPMPSPVPDQSLTHYFQQPPIHPYGVGNPYDTMVSSNNFVGNPAGVFGSPIIDPSEQKFQIPVTTVAANASTPIKGGKPIGNYETASPYFGVPMPYPAGPTLHGQPASGTSPRDKRNDVKGFQPPRKNMPVSSEIQGQKGREKFDDPKAHFSVEELISSRTHRVELSDIKGQIVKYSSDQNGSRFIQQKLENCTIEEKDLLFAEVLPHALELMTDVFGNYVIQKFFEKGSPQQKREIANKLAGHVFSLSLQMYGCRVIQKALEVIDLEQKIVLVGELDGHVLRCVHDQNGNHVIQKCIECIPLEHIGFLVSSFQFQVAKLSMHTYGCRVIQRILERCSNNSECLCIIDEILQSACILAQDQYGNYVVQHVLEKGNEHERGQIITKLAGQVVPMSQNKFASNVIERCFEHGGSAERELLVKEILKQTEGNNYLLVIMKDQYANYVVQKMLTTCNEQHKEILLSRVKIHLPLLKKYTYAKHIVSLVERLCGDGAVQSESKKTVTKGC >ORGLA09G0116800.1 pep chromosome:AGI1.1:9:14100250:14103854:1 gene:ORGLA09G0116800 transcript:ORGLA09G0116800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHDAPSRGGAGGGKLSLLASVGLAGAGGGGSGGVGGGGGGGGGYKELLVMLPKDDDLDAGKVADVLGFRLPDVEGAVRAFFRSREVREFASGALAGAMSKAVLAPLETIRTRMVVGVGSRHIGGSFVEIIEQNGWQGLWAGNTINMIRIIPTQAIELGTFECVKRTMAEAQEKWKEDGCPKIQIGKVKIEFPLQFLSPVAVAGAAAGIAGTLVCHPLEVIKDRLTINREVYPSISVAFSKIYRTDGIRGLYAGLCPTLIGMLPYSTCYYFMYDTIKTSYCRLHKKTSLTRPELLVIGALSGLTASTISFPLEVARKRLMVGALQGKCPPHMIAALAEVIQEEGLPGLYRGWGASCLKVMPNSGITWMFYEACKDILLADKDKRKA >ORGLA09G0116700.1 pep chromosome:AGI1.1:9:14094053:14096786:-1 gene:ORGLA09G0116700 transcript:ORGLA09G0116700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARRVQLADRWRGIQEAEEADDDGGGGEPSAARQRRLNQAKEEWFSHCFNFLGSLPKEEHIWCGYADIMGPFLETFLGYFDDQEENSPPRTIWKRISEELNVCAQCVCEHHQAQKDFDSEYRSGVDALLKVLRLLDEERVTEHLRQMNAKAQLKEYKPSCHDAEVSIMFEVLMYPILLDDLSLANQFQTFIERIDEIFEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLR >ORGLA09G0116600.1 pep chromosome:AGI1.1:9:14080748:14092001:-1 gene:ORGLA09G0116600 transcript:ORGLA09G0116600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16800) TAIR;Acc:AT1G16800] KAVDLDPLQPLLQKYIIFLEAEVLPSTSESPRPRVQLKRADIWLGFKSLYSLEALQDGDHEKQRRNILYFLLYQVTRSSNFSSLMRKTATKIALLIVQRGYTMNPPCPASECAHMWGPSLIGSLKDKSLHNSLRQPALDLINILIISDASALISFKMKYESFTKGDVINSVIFVDDDDELPVFCDAEEMDYGCWNDFNVLYKLTCRECKDWRCVPLLWYLIMVQLEPSELPMAFSKAVFWALSHISVLEPGVSTESSVPVNDWLSSHAGEVLPTFSWQVPNGADDGGVGKECINTLKVSQSCTLLLKIFKRFAIHVIMQIEQRGLLKQWAWESMMAESLILTLVDHNDNLRQVGRAVLELASQGRGLTSGLQFLCSRASSLTATFLGLRYAVQSVETKSVLADFPSLHHLFFVICKLLKDVVVQQPSVALQAKPFEGGFLRQSFSNVSVNLPQHSVDIISWEKFSTLLSISCVRLLELVPLVYERVSSYSSAKSCGVPTMVLDPTDITWLFHLINWGKSSLLVIIRHWKQCMLSLIKILKGSLGGTVQHYIEDLGSIISHDAVNIDELSEKISDLKLALSKEASAKSERRVVAGVSMFTEPIAGIPSPATQTAQERNTGRDNVETMKSSRSTCTEHIILLSDSEENSLTADVSGEDVLSSVKDSDGSGTSDMQKEVEHSEPRMPTEDRHVSLKQQICSPASDIVASSKPVSKDRSIIAAKEGLGRAKIPTVPVNTNDTSLLPKKIKPPASTISQPSRSNLSSGAEKFKSIFRDLSDDEDDPLEHALDSCRKPQIRLTKSCLLVPKRQVVQLPLSAEKRHTSGRPDANSRRLKPPKLDSWFKNILEMDYFAVVGLPSSEIIKKLALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNAYVETPAEDMNCGSISILSVERVDEFLVVRGRPDKNDCLKSKNCMENDLILLSKDPLNSSGQQVHVLGKVDRRESDKTKALILVIKFFLSNENARLNKVKRLLVERSKWFLNRIMSMTPQVREFSALSSLNDIPVLPVILNPVSCKSIHHGSGKVHLDKLSHPMRKVLKSSYNDSQLEAGPPGTGKTRTIVAIVSALLSLHAANSSQRNESFASAEFNKPRPRLSQSVAVTRAWQDAALAKQLINDSQREVPTDRLSKGRVLVCAQSNAAVDELVSRLSEGLYDTDGKLYKPYIVRVGNAKTVHSNSVPFFIDTLVEQRLADELKKNNDSKSLSDTESSSSLRANLEKIVDRIRYYELRRKLSEADKTENDSLVPSEYETDEVSDDAIGAKLNFLYAQKRKVSAELATAHAREKKIADENRFLKHKVRKSILGEAEIVVTTLSGCGGDIYSVCSETASANKFVNFSEHALFDVVVIDEAAQVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQAADKSAPFHGHDCLGPYMFFDVADGREQCGKNAATQSLCNQFEAEAALEILGFLKNRYPSEFSCRKIGIITPYRSQLSLLRSRFNSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSDSRHHTGEARSIGFVADVRRMNVALTRARFSLWIVGNAKTLQTNSHWASLLQNAKERNLFISVNRPYRSLFEKVRSHSEDIHGSRHTYYTSHCKKKESGKNSMTNSQKIDARPHKEHARHTVRTVETANERLPNDQAKRASRWDRKSPKAHEPFHRKSSKEKEPVIQDTDQDNVVRKEKEGEGLTIHNDNSLELANVIRQRELNKPVKPNIHTDAGKTLCNQDSLQNSEVRKDVNKKYDNGNVKGSQNHDTKATVMKIDASPPAQDMQKLIQKAKGARKFSEKPRFGNSSQVDSSVKHEATLESANKNGGACTPTNSEMKKMAGKAKKARRFSEHPRSGNSNKVDHSLPSFDEESSQMPELKENNLTATRQNHLTASRKRQREDIESLLSSALISSKKPSSKCPSKKQK >ORGLA09G0116500.1 pep chromosome:AGI1.1:9:14070399:14071645:1 gene:ORGLA09G0116500 transcript:ORGLA09G0116500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELAEVRKLMPPWLPSIEEVMEFDTTDFSPAAMRARFRRESAEAAAALRAAAAAAVRPLLELARDVRGLASVFHVEEFHVGMPFGAAMTCLALWQLWRAAPSVCLDAALAYTFYKLSVMAADLRRQGFCPDLLIRLKLVIMVVMYFKDINKNIIPLDYIRSV >ORGLA09G0116400.1 pep chromosome:AGI1.1:9:14067663:14068252:-1 gene:ORGLA09G0116400 transcript:ORGLA09G0116400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGIRSGVLRSVAGVDGLAAAAAARPTPLLPRVHLAGDGTGRMATTIGFCPRLRAAPPSCKKAEADPAPSLQDAVVAVDAVLRAPPPPQEHGGKMAAILEFLKKHSLNLLILALCLFVGALAFKGEEKVIVAVTDEEKAIIAVKVCDKANKIYNILSKLYD >ORGLA09G0116300.1 pep chromosome:AGI1.1:9:14065337:14065882:-1 gene:ORGLA09G0116300 transcript:ORGLA09G0116300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQVGISSPGVAAAAAALLCAAPRPRVSTPPAHARLIHPPHSARTHRPLRLPGTASVAARTATAAVPRRPAADEVMPPWGPSQEVVDEFYATDFSKAAVRERFARESREAAAAPLSAAAGVFRPLADNFGDMRGFEYAHDTEEYHLGMPFGALMTCIAVYQLWKAAPWLCLDAALAFAFY >ORGLA09G0116200.1 pep chromosome:AGI1.1:9:14060507:14063331:1 gene:ORGLA09G0116200 transcript:ORGLA09G0116200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARRAGAGGAAGKTSPARTCNPGYPRRSSVPMTGCDATRPAVARALRLPGSGGGAGFQRREPHRWLRPCSSSRSGDGAAAVLASRSGSSDMGLGVSSFSPCSLPRRVDAPRRRPVWCSPAAPPPPPPPRVEVQSVRKRRMSEEWEALKAAIADMFRPLLRNLADICSLRSAYDFEDYQIGMLFGAFLGYVGCYQLWKAAPSVFVDAALAFVFYKLSVVSSELHRQRKTNSLITRLKFGTILIMVMKDIKKNYVLLDIIRMPVFFLYICAFVFDVAGMKKYARRSLISLFNLLKSRGGIQEIYRIMWYPGYISPYDDSADW >ORGLA09G0116100.1 pep chromosome:AGI1.1:9:14046347:14047256:1 gene:ORGLA09G0116100 transcript:ORGLA09G0116100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRDSFLDLILIPLSLLVPMAYHVWLWRAVRLTPLRTAAGINSATRRLWAISMTKDNEKKAVLVVQSLRNVIMGSTLVATTAILFCTGIAAVLSSTYTIKKPLSDAVFGAHGEYMMALKYVALLLLFLVAFLSHSLAICFLNEASFLINTSPTLLAGGDGAGDDGGRRLLGLPSTRDYMEEALEKGFTLNFVGNRIFFAGVPLLLWIFGPLLAFLSSLVMIPILYNLDVVNVKSHRGGDCGCGCGNGKSSVDKNGAAAAMDCTLV >ORGLA09G0116000.1 pep chromosome:AGI1.1:9:14037554:14038788:-1 gene:ORGLA09G0116000 transcript:ORGLA09G0116000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAAPALKRKDADPPELWMGGGAAAAASGFPVSSRATKIRRLDAEVPPVVPGVCVPPAPPTQQQPVAGLGAGDVRVFGDQVPVGMAPAAAAKRKGEDAPELWLDDGGAASGFPVSSRATKIRRLDAEVPPPVVPELCAPPPPPQPVAEVQMRGEEVPVIAVPAPNEERAIVLYKPDDAARNLLLGPLRPEFPLRVSPDWIHGLKSTALREASEHRALFEELAMDETSNLAMVPWVPVPSNSQEASTSAAATATTTTEMMDAEDTSMEVEQDGGSGGSHLAAAGEAPYYQWPQHCMAPPPQPPLPAASYQPSPVTWSW >ORGLA09G0115900.1 pep chromosome:AGI1.1:9:14030158:14033827:-1 gene:ORGLA09G0115900 transcript:ORGLA09G0115900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKTPQPSKKSRIMLSDTDGHQLDNDEFSSESASNQMVLFNPETVAKGQDELGENHSPSLQKSANNPNRGMPSIGAFTVQCAKCFKWRLIPTKEKYEEIRECIIQEPFECERAREWRPDVTCNDPEDISQDGSRLWAIDRPNIALPPPGWERQIRIRGEGGTKFADVYYTSPTGRKLRSLVEIDRYLLENPDYVAQGVTLTQFSFQIPRPLRQDYVKKRPKIVNPNDEASVVTTKSVKPEEVSPIAWAAPSVHQEGEAGERASHADEPPEAEELELTRKRKAESPLFEEAHSNHVSDEPKTKLEDTQNGGPSA >ORGLA09G0115800.1 pep chromosome:AGI1.1:9:14027637:14028776:1 gene:ORGLA09G0115800 transcript:ORGLA09G0115800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTRNKIILTTLLVSAAAILIGGTVALILTAGTWKVKMKESREKICDKGWECSGSKYCCNDTITDFFKVYQFENLFSKRNSPVAHAVGFWDYQSFITAAALFEPLGFCTTGGKQMQMMELCAFLGHVGSKTSCGFGVATGGPTAWGLCYNHEMSPKEDYCDKTNLQYPCVEGAEYYGRGAIPVFWNYNYGAAGDGIHEDLLHHPEYLEQNATMAFMAAMWRWMTPMKKKQPSAHDVFVGNWKPTKNDTLAKRLPGFGATMNVLYGDQICGKGYIDDMNVIISHYQYYLDLMGVGREHSGDNRDCAEQAAFNPSYKKPDDQQQQS >ORGLA09G0115700.1 pep chromosome:AGI1.1:9:14004562:14008438:-1 gene:ORGLA09G0115700 transcript:ORGLA09G0115700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETGYYDVLGVSPTATEVEIKKAYYMKARKVHPDKNPNDPLAAAKFQELGEAYQVLSDPTQRQAYDSYGKSGISTEAIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDIFGDEEEIDARMLQEKMRVVQKEREEKLAETLKNKLHLYVQGNKEEFVQFAEAEVSRLSNAAYGVDMLSTIGYVYSRQAAKELGKKAIYLGVPFIAEWFRNKGHYIKSQVTAATGAIALMQLQEDLKKHLSAECHYTEEELEAYMETHKSVMVDSLWKLNVADIEGTLSHVCQMVLQDSTIRREELRARAKGLKTLGKIFQRVKLGSSEGEVTTINNTINNSDDNDGSSPDSSPMSPREHPYDPNPPYYQSPYVEAPQFVGGYPSLNFPMPTAPPGAQRDPIP >ORGLA09G0115600.1 pep chromosome:AGI1.1:9:14001075:14003739:-1 gene:ORGLA09G0115600 transcript:ORGLA09G0115600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMENPPLRWPPGFRFSPTDEELVLYFLKRRIATGRPTPYIADVDVYKSHPSHLPERSALRTGDKQWFFFSRMDRKYPNGSRASRTTGEGYWKTTGKDRSICNGGGGGAASGRAVGSKKTLVYHHGRAPRGERTDWVMHEYTLLADALPPAARDREAYALYKLFHKSGAGPKNGEQYGAPFREEDWLDDDDHHHDQLPSEAALPAPATTSGRAATTEEHADFELPGGDLDVLLAQIENDQDIIEAQLDFSTHVTSQVQIQHRVHQGWLSDDGGKSDVADATTSGSALLMAENTCAELPIDGLEQLLMQISDDQQTVEMLSGFSASVPQSQLQHDDHQGCLGVHREEVGVADSTTVSSAVVTEECTVRELQDIEGLLMQIENDLENAESLPDFSTPVHLHDCHQAAFGDFQGSQRATFNIANLSTMVQESPNFDLQTGPSNQITESILTTEPMNGETNAVEETSPLRSMSVLGSYDRQDGDDEFLEINDFFDPEDLEQILGSTTSQNLIPADDGVFDSLQYSDAPMFLPGSFDTTGVVAENHYVEFGASGIQNQGFQHTTELWAHNQVALNVRNHMKDNHVIFSHSSDATIIHTVNEQPPNRSSYASQSWFNGALSALLDSVPSSPAMAAENIGLNRTLQRISSFRSQQPAREEVSSTLINTRRRGGGLIFISLMVLLVAIMWTFSNGSAVKLSKGLWKFPST >ORGLA09G0115500.1 pep chromosome:AGI1.1:9:13998448:13999809:-1 gene:ORGLA09G0115500 transcript:ORGLA09G0115500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAIQTHALVCAPPGAAAAALAPWPRRAAGGGGGGPLLHHHRLRSDLPHTRSLPCRARSPSSSSSSNVNSGRGDDADNLLEDLLSKHGEVVYNAGGAPGIDADDDAECLSFAVSLAKVASEVKAADIRVLFVKPLVYWTRFFIILTAFSNAQIDAISSKMRDIGEKQFSIVASGDTKPNSWTLLDFGDVVVHIFLPQQRAFYNLEEFYGNATSIELPFEKQLQ >ORGLA09G0115400.1 pep chromosome:AGI1.1:9:13993673:13996704:-1 gene:ORGLA09G0115400 transcript:ORGLA09G0115400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRILHGYGGHGGRGFEQTYRCYSAAAFNKPQLEGGDKVIMPASALHRLASLHIDYPMLFELSHGDAAAAHRVTHCGVLEFVADEGTVIMPRWMMRGMRLDDGGLVVVRSASLPKGSYAKLQPHTGDFLDTANPKAVLEKTLRSFTCLTTGDTIMVAYNNKEFLIDIVETKPASAVCIIETDCEVDFAPPLDYKEPEKVQQKPSVPSSKAASEDQDQIKDEPEFRAFTGSGNRLDGKASKPLAAGISSNPAAASSAISDSNKKVNQETAASGVSNSTRQKKGKLVFGSNKSSSSSKEPEKAPPVKVDELAKKEEPKFQAFSGTSYSLKRNREKVSHLWRLPSAKERLQLEEMLVPAINGTLNVLKSCKKNPFLKRVVLTSSSSTVRIRDESKHPEISLDETIWSSVALCEKLQLWYALAKISAEKAAWEFAKENNIDLVTVLPSFVIGPSLSHELSVTASDILGLLQGDTDRFISYGRMGYVHIDDVASCHILVYEAPQATGRYLCNSVVLDNNELVALLAKQFPIFPIPRSLRNPYEKQSYELNTSKIQQLGFKFKGVQEMFGDCVESLKDQGHLLECPL >ORGLA09G0115300.1 pep chromosome:AGI1.1:9:13983900:13987545:1 gene:ORGLA09G0115300 transcript:ORGLA09G0115300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASRSGVLSETASFADTPRSIYSSCNLQHLQSQSIRKIHEGALDISPRFSYCKPNASQDKILHRRFSLNLPEHMPGHYSRTVTERNQKAASKSITDLVVEIAALEQEVVRKELHLLSLYRKAFDQYLSESGSVTSEVDQELLKNIDEGALRLKDIKQSAAFNLPTVSDTKSEVSRSPSRHSSLVNFLSASISEYVPKISCKLSEDILSCIAAVYCKLGSVPLQDSEYITSPSPSVSSSSTFSPRNRNDSWSPRYNFDITPSPRQYGYEKENNEQKNIGMIIVPRIRIDADKFDYASKMLETIRSLIQRLEKIDPTKMTHEEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYNVGGQSVNAQIIQNSILGCQSHRPSLWVRALFAPTKRSMAGTARHPYALQHPEPVAHFALSTGAFSDPPVRLYSAKKIHQQLEVARTEFIQANVVARRQALMLPKVLHYYAKDAALELRHVVELVCESISEAQQREIQLCLRRRIDKCVEWLPYKSSFRYVVHRDLAE >ORGLA09G0115200.1 pep chromosome:AGI1.1:9:13973105:13973710:1 gene:ORGLA09G0115200 transcript:ORGLA09G0115200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSRSTAAAASAITTTTAAKVVFRDGSMAQFAAPGSTVRDALGGECASSSASTCFVCCSDELRFDAPPRAMAAHDALRPGQLYFVLPVSALRRPLSGQDMAALAVKAIAALGASATAAGSSSGVSSRGKNARPAGKQRPQATARVAPLVAAGADHVYGGYDSQKTVRGDRTARINGGGSIARQRTGLQRLSAISEGDE >ORGLA09G0115100.1 pep chromosome:AGI1.1:9:13968829:13969299:1 gene:ORGLA09G0115100 transcript:ORGLA09G0115100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDLDGTMARLEAPVAARVALGGDAYSCFVCGADELDYGAPARAMGDDEALQPGQLYFVLPVSALRRPLSGHDMAALAVKASAALSSIGVPTSSATRRKDDRDGAAASGKRRRTSRVAPLAVVSGIDAHATPLMAKTRKCGRRRACVRRLSVASE >ORGLA09G0115000.1 pep chromosome:AGI1.1:9:13962416:13964683:-1 gene:ORGLA09G0115000 transcript:ORGLA09G0115000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein [Source:Projected from Arabidopsis thaliana (AT1G20990) TAIR;Acc:AT1G20990] MSLKSREFSGRLPPPPSSSSDQQEQYYCSGQHQQRGEDGGGGGDGEMVHFSHPEHRLARFDFPYLFMCMGCKEYGAGKRFMCQLCGFQLHEFCALAPPSLHDHPFHPKHQHLLFFVKPGGFLRCKCDICGKSVKGFSFRCASCSFAMHPCCAAMSWRMDLPVAHEHPLMLAPSPPTPPMATPTSDVVGVGDGGVGTSFVCQMCRRCRRPAGQYVYQCMPCGYYLHARCAKDVVNGLYVHGVAPPEKGSALAAVARVTINALFSVIGGLIEGIGEGIGEAFVDNIGRSRGRSSFR >ORGLA09G0114900.1 pep chromosome:AGI1.1:9:13954340:13957727:1 gene:ORGLA09G0114900 transcript:ORGLA09G0114900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWREKIRTSTPLHVVGLAEILAICGLVASLIYLLSFFGIAFVQSVVSNSDDEEEEEDFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNFCSDKKSAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCITMLEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLAAVVAGAVLAGELSLISAQAAGHLVQSHMKYNRSSKDMSKVAS >ORGLA09G0114800.1 pep chromosome:AGI1.1:9:13937885:13940523:-1 gene:ORGLA09G0114800 transcript:ORGLA09G0114800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVTQVRASSEMAGGREPFVLPKSPPAAAPAPPPPSSGGMQSVRMAYTADGTPIFAPVNSAPAPAPAATYPPAGGNGAAALDAGEPVVKKKRGRPRKYGPDGSMSLALVPVSTAAVAASGPFSPAAAAKSPDAVSSAPPPGAKKRGRPKGSTNKKHVPSFGIGDIGSAGAGFTPHVIFVKAGEDVSAKIMSFSQHGTRGVCVLSANGAISNVTLRQAATSGGTVTYEGRFEILSLSGSFLLSENGGHRSRTGGLSVSLAGPDGRVLGGGVAGLLTAASPVQIVVGSFNTEGKKGPKLHAPSDPMSAPLKMVPMSGTGPSSPPSRGTLSESSGGPGSPLNQGVTASNHGQPGLPSLSWK >ORGLA09G0114700.1 pep chromosome:AGI1.1:9:13935171:13936701:-1 gene:ORGLA09G0114700 transcript:ORGLA09G0114700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELQEALDADIQDRVMKEREMQSYIQEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >ORGLA09G0114600.1 pep chromosome:AGI1.1:9:13933288:13934077:-1 gene:ORGLA09G0114600 transcript:ORGLA09G0114600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIAYRRLTACESRRRGGGGTAVAVAVAAAAAAARAWAWWAAVRRAAAAGLYCAAGRRRRAARRRLAAPARYEYDSDSYARNFDDGVWKAEEGVVWSAGAAAAAVASSSLAACRHAAIVPCPVNSELLIPL >ORGLA09G0114500.1 pep chromosome:AGI1.1:9:13930577:13931680:-1 gene:ORGLA09G0114500 transcript:ORGLA09G0114500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDDDRPLVRAKVPVGVLGLPFLTGVSAGGGGDSRDLRFDLSTAFASGPALRLSYRPNDPLQPFALSVRTGLGPLGSPARAPFAISAEFNLLSSSPPAFSLLFKPRIGDFSLANSVISPPASASPAPPLPPPPPSHKLTDLANGGDDHRAFSFSGNGFAANVAAAGKSGGGVGALLSGMRLTTRSVLPLWSKASLRFQWGLRVPPELKAALADDGYGRKAGNLAINKLPLLVMNKITIEHTPRNPPHSDADKGKKKDAPEFQTEGFSLVKRQLEVLNAESIMLRRTVEDLRAEIGGNRAASMPGKGDARRMPSSLAPPPPQPFLAKPDRHGNGKEMVDSGPKPVSNEASEELKKALEARRK >ORGLA09G0114400.1 pep chromosome:AGI1.1:9:13926384:13928912:-1 gene:ORGLA09G0114400 transcript:ORGLA09G0114400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQTRSPLAARPGLTPTSCRLRFRHLHRRFSVGGAAEEEDDDEEAEEGGPDASAADGWMDELRRLRVAELRREVERCDLSIGESNSTDLKPAARAGDHSVKAEEEDEDAAAAKQQASGESVAASKESSDLRSSASLRRRRRHKPGADEDADGEEASALRPPSQSPSSSSSSQPLAALLDTFAARFGPLLERLHESQFYRDLLLLCANALVFFPRAGPERGAAAEARALVYSSLRLREPKQEPGTAAAAAVAAAAGSPPAEDTRRAEGVVSVGGGGGGAGIVGSLIEKGGKPLIVCRKRSSIAKAAAAAKKEESAEKGEAAEEGEGSDDGEKKVSVSASASKDKAWGLRTKKGRGPGKNSASVGGRKMAKLSEATEAATDGSKKPDKKIAADAATPAKKRNAVDFLKRLNQGSSPSKKKKKGSPMGTRKRAAAATSPEQPQKTRKGPGRKDAGRGGSKKGGKSATPKRSVGRPPSKRGAAAATATPPSKRAKVNRSEKTAATATAAKRGGRR >ORGLA09G0114300.1 pep chromosome:AGI1.1:9:13922662:13923690:-1 gene:ORGLA09G0114300 transcript:ORGLA09G0114300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATVVASSWIPLLCLVVVVLSACTAVSSAVECNGDDRAALLRVKAQLGDPVRLSSWRPSTNCCAWEPAVFCSGEPGRVTGLALFSLAGVAAPVPPALGELTGLAVLQIASVRGMSGPIPPSFANLSLLEDLDITGTSISGPVPASYLAGATNLRTLVIADSRLAGPIPPSLAGDHPNLRYLDLSGNFLTGAIPPGLVHGSFRFLILSHNQLTGEIPRCYGDVDTVDLSHNRLTGDPSPHLFGIAAPAAKIDLSWNELAFDMTGVRFPHHLRYLDLSHNRITGKVAKSLMDVRLEHLNVSDNELCGEIPAGRFMAAHGADCYARNRCLCGAPLPPCCDGGL >ORGLA09G0114200.1 pep chromosome:AGI1.1:9:13917402:13917944:-1 gene:ORGLA09G0114200 transcript:ORGLA09G0114200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGGKGRKSTEAAKADDGSSSGGGGEEVIPAYKRRGRPQQQKHHHLKDDHAAGDDEDEDDDSVAKTEEEEEEEEHDDIAKIDDDAAKTTAAAAAAPQASKAGSSKAAAQNPGRKRRRQLKRGSDNSNSNSASAIERCRRKDGGEPSSSRQQNGFRQHGSRRKNSTPRRAAEAGVECK >ORGLA09G0114100.1 pep chromosome:AGI1.1:9:13915094:13916649:-1 gene:ORGLA09G0114100 transcript:ORGLA09G0114100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRSVRESGVSVVVAAPPLQCRRRCTRGTMIPVMILSRIMIHLMYHMILLRFYDTEKDRETSQVSHESTNVSSMKPAGTMIAVKILTVLMVLLSFTDFYCLTSRFLNRAFSACCPPTGVKFIDASFLCQTLYYFDCKIISLQNTILRMFHQEAEEPADDEGAAGKKQGMARDSNEVSPGCRGADGRCSRRWKEARNHALSRRIRHDGEKPVDGARAGGRKRVIARGGERFRGGFAEMPGSRRLASAGRHSHFAAMHAGG >ORGLA09G0114000.1 pep chromosome:AGI1.1:9:13896184:13899303:-1 gene:ORGLA09G0114000 transcript:ORGLA09G0114000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSGGGGGGGGGGDDVHGLKFGKKIYFEQDAAASASAAAVESSSTSSGGGGKKGKGVAAAAAPPPPPPPRCQVEGCGVDLSGVKPYYCRHKVCYMHAKEPIVVVAGLEQRFCQQCSRFHQLPEFDQEKKSCRRRLAGHNERRRKPTPGPLSSRYGRLAASFHEEPGRSRSFVVDFSYPRVPSSVRDAWPAIQPGDRMSGSIQWQGGHELHPHRSAVAGYGDHHAFSSHGGSAAGAPMLHHPAFELTSGGCLAGVATDSSCALSLLSTQPWDTTQSTSSHNRSPPMSSTASAFGGGNNPVSPSVMASNYMAASPGWNSSSRGHDGARNVHLPPPHGVALNEVPPGSVHHGHFSGELELALQGGAPSNRPEAEHGSGSGAFSHSPNAMNWSL >ORGLA09G0113900.1 pep chromosome:AGI1.1:9:13885420:13888182:-1 gene:ORGLA09G0113900 transcript:ORGLA09G0113900.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGIRMGRRLLFTLFLGALFCNGVYAKFTRYSFPKDFIFGTGSAAYQYEGAYKEGGKGPSVWDNFTHIPGKILNNDNGDVANDFYHRYKEDVSLLKDMNMDAFRFSIAWTRILPNGSLSGGINKEGVAFYNSLIDDVIAKGMIPFVTIFHWDTPLALESKYGGFLSEDIVKDYVDFAEVCFREFGDRVKYWTTFNEPFTYSAYGYGKGVFAPGRCSSYVSKSCGAGDSSREPYLVAHHIHLSHAAAVQLYRTKYQPTQKGQIGMVVVTHWFVPYDNTDADRGAVQRSLDFIYGWFMDPIVHGDYPGTMRGWLGSRLPEFTPEQSAMVKGSYDFIGVNYYTTYYAKSIPPPNSNELSYDLDNRANTTGFRNGKPIGPQEFTPIFFNYPPGLRELLLYTKRRYNNPTIYVTENGIDEGNNSTLPEALKDGHRIEFHSKHLQFVNHAIRNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIYVDRKTLKRYRKESSYWIEDFLKRH >ORGLA09G0113800.1 pep chromosome:AGI1.1:9:13882620:13883338:-1 gene:ORGLA09G0113800 transcript:ORGLA09G0113800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQRVAASAAYLLAVLVLSLVVSDLSSAGVAPTPPEVLSSPAAAGEAEALLAVKAAPHDTANVLADWNAGFGVGDGGPCNWSMVACSKAGHMDGSTGMPRWASARFLGYDIDLSHAGSDDLRALRRGAGDGVHDARRQGGRGRSCNAAGSARPDLVG >ORGLA09G0113700.1 pep chromosome:AGI1.1:9:13863136:13866939:-1 gene:ORGLA09G0113700 transcript:ORGLA09G0113700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLGIGMGRQIVPVLVFVAVLCSGVDASFNRYSFPKDFIFGTGSAAYQYEGAAKEGGRGPSVWDTFSHIPGKILNGDTGDVADDFYHRYKEDVNLLKDMNMDAFRFSISWSRILPNGTLSGGVNKEGVAFYNNLINEIIAKGMKPFVTIFHWDTPQALESKYGGFLSENIIKDYVDFAEVCFREFGDRVKFWATFNEPWTYCSQGYGTGIHAPGRCSPYVSTSCAGGDSSREPYLAAHHVILAHATAVHLYRTKYQPTQHGQIGITAVSHWFVPYNNTAADRRAVQRSLDFMYGWFLDPIVHGDYPGTMRGWLGARLPAFTAEQAAAVRGSYDFIGVNYYTTYYAKSVPLPSSNRLSYDTDIRANTTGFRNGKPIGPQEFTPIFFNYPPGLRELLLYTKRRYNNPIIYVTENGIAEGNNKSLPITEALKDGHRIEFHSKHLQFVNHAIKNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIYIDRLNNLKRYRKQSSYWIANFLKRKKY >ORGLA09G0113600.1 pep chromosome:AGI1.1:9:13857211:13859046:-1 gene:ORGLA09G0113600 transcript:ORGLA09G0113600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETHYLENE-INSENSITIVE3-like 3 [Source:Projected from Arabidopsis thaliana (AT1G73730) TAIR;Acc:AT1G73730] MDHLAIIATELGDSSDFEVEGIQNLTENDVSDEEIEAEDLARRMWKDRIKLRRIKERQDRLALALQQAELDKSKGKPISDQAMRKKMSRAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYESENLASADAPSSGIKSQHSLMDLQDATLGSLLSSLMQHCDPPQRKYPLEKGTPPPWWPSGNEDWWIALGLPRGQIPPYKKPHDLKKVWKVGVLTGVIKHMSPNFDKIRNHVRKSKCLQDKMTAKESLIWLGVLQREERLVLSIDNGMSEVTHHGALEYRNGDTHSSSNEYDVDGFEEAPLSTSSRDDEQDLSPAAQLSEEHAPTRRERAKVKRPNQVVPKKAGTKEPPKRKRPRHSVTAIEQEVQRADDASEHSRNMIPDMNRLDQVEIQGMANQITSFNEEANTSEALQHRGNTQELAHLPADFNHYGNAQATIATPVSICMRGQAVPYESSDNSRPKTGNIFPQDSDSGFNNMPSSYQTIPPKQPLSLSIMEHHVVPLGIRTPADNSPYSDQIIGSGNSTSVPGDMQLIDYPFYGEQDKFAGSSFAGLPLDYISISSPIPDIDDLLLHDDDLMEYLGT >ORGLA09G0113500.1 pep chromosome:AGI1.1:9:13841415:13850269:-1 gene:ORGLA09G0113500 transcript:ORGLA09G0113500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGSESQQEREHRQQQEMNISFGMMMNHGHHHYGQHQQQHHHHPPPSSSSSSSMHAAAASFMSSKEASAGAYDHLGELDQALFMYLDHGSHAASHQEQRQTLNIFPSQPMHVEPSPKGEISLVLSPAAVGTKMARSPDHHHHHQQQAAAAMEELATGSRRQDHHHLQHQPFAAEPAGINRDVKPVTAKKDHRRGVSTGERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQLEQELHTARAQGVFFPGSGGLIGEGGGGKGVLLGGIDGVGGGGGGGLSSEAAMFDVEYGRWQEEHHRLMYELRAALQQQLPEGELQVYVESCLAHHDEVAAIKDGAIRGDVFHLISGVWMSPAERCFLWLGGFRPSEVIKMLVAHVEPLTEQQIVGVYGLQQSALETEEALTQGLDALYQSLSDTVVSDALSCPSNVANYMGQMAVAINKLSTLEGFVRQAENLRQQTLHRLQQVLTTRQMARSLLAVSDYFHRLRTLSSLWVTRPRHPPHDHQPPPHSAAPHT >ORGLA09G0113400.1 pep chromosome:AGI1.1:9:13835881:13840108:-1 gene:ORGLA09G0113400 transcript:ORGLA09G0113400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRRAAGAGDGGGGGAEGGAAAGDNRGGRVRVRGVGGEGGVRRPPRRGGGAGDGVGAAPLGAVERGGRRVEGRLRARLPPRRAAPAAGGGRRRPPRRAQGARHGPHHGGEPPPRRPRGRHSAHRRRPRRRRRRGGRRRGDPEVEGRAREESRRCEYFFQALNILPAKSLSCKKVERRSCISLEEFICDYFLRESPVIISGSIDHWPARTKWKDIQYLKKIAGDRTVPVEVGKNYVCSEWKQELITFSQFLERMWSAGCPSNLTYLAQHPLFEQIKELHEDIMVPDYCYAGGGELQSLNAWFGPHGTVTPLHHDPHHNILAQVLGRKYIRLYPASISEDLYPHTETMLSNTSQVDLDNVDLKEFPRMYIVTSPVMTKHVVATIPNVTMTMYLLVTSDA >ORGLA09G0113300.1 pep chromosome:AGI1.1:9:13825735:13828058:-1 gene:ORGLA09G0113300 transcript:ORGLA09G0113300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPWRPPQPRRSARRRRRRRRRCRQRGRTCRPPSPRRSSCGRSTPRCCSAAAAAAAVATVDEDYPVFTPVSELFLRTVTLSLQSLMLPWRCAFLVHLFSFSRAYDEEQMAGLSHICQDNRSRSENWSGIALGGGGSGDDDDDAAFSDYDNLNAFSSSNSELRFPSSTDHHRRHKVHPAFLHSAPSADRFLASAGRATMAGTAELLKAPATCGSAFRPATIGRDHGIDVGALKFLASSGAPLSAAAAAAQPRPAKHRGAQILSWLFPRAKKKAKPMSPSAIERENMSQLLKEWGLLSLDSLRRELADANAHRDAALQEAAEMRSSLGELTTKLAGLEAYCSELKKALRLATSSTSNAQPSSSSMSRRSTRSIGASRELPGPVSHEAMVEGFLQIASEARLSVKQFCKALIQQVEEPDNGLIEKLNILLQPYQLMITDNKHCSKLVLYHLEALMNQAMYQDFENCTFQKNGSPRCLDPKQGSQESFASFVALRNLSWNEVLRKGTKYYSEDFSRFCDQKMSCIVSTLKNWSRPWPEQLLQCFFVAAKCVWLLHLLAFSFTPALTIMRVEESRVFDQMYMENILPDKQQLHNPCQVKIMVMPGFYVQYRVLKCRVLTT >ORGLA09G0113200.1 pep chromosome:AGI1.1:9:13822721:13825326:1 gene:ORGLA09G0113200 transcript:ORGLA09G0113200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQEDARRKMAAAAGERGQVVVLECVAGSSKAEEWGGGGGGKKQYVLRSLHDPNYVLGFVDRLESECLLLQGTRSTRVASALSRAQLQDGYVAYPWEKKMRDSLRMPNSSSYLSMLVLPKALDLNSCRYESFEDTLARANAWIYSSQVSGIPIEFMNVQSEALLTKISGETASATVNSGSLSDLSNVTNATLYGFEDYHGVDIGVVKAARLWYSSIAEEMPLEIPLEEGDTRLGFAISRTEEGFIFISSVVDNDKDNEAPSTRSGLRDLFNQAREASKLLVISRVSNEKVLPWMISSSGAIRCFDTISLSQKLSLHRLAVRPIQLHLLMWEKPSGPVERIIRSPKLPPQSELPQVPWNLIESIEPRVDVDEDYVGDLSFRIDDLSVGTSWV >ORGLA09G0113100.1 pep chromosome:AGI1.1:9:13817855:13820003:1 gene:ORGLA09G0113100 transcript:ORGLA09G0113100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVGEATPPPGGPPSRVSVSSSSSTPRRRCAALTSRFREPASPRRHAWVSLQGRLVGAEEATSAASAAPGLPPDEAVAWELFSPLHRVLLVATVAAASSRSHEARRIEQLQRSIHIRDEVLQSMQQKLDDLFDEMNSLQQQYVKCNTFISSEQGKSELVGSKKVGDYDGARCCACAKPEIAATPHKTKDICGMDDAKSDVVDRSSVSHMDHEERRMSDLSDFCWSVVSSVDNHVNGDNQLSSLAAEQELYNLQKECEEKDAIIKELAATAHTSSTADAKRIAELQEILKRKNMVISKLKKDMSALKQMVVELTRAKRTSSVNLSTSCSELPVMSSNVLYDMSSTSPSSSDSESPVAPRECLDDHLKVDDGIAGDSEYKGSSRFSMESACFPTKNSSAGKLRSTSPLKENRIDPNVETSLVGRQKQRISTNGDFKRTRRQSQQDSRNRATKRWM >ORGLA09G0113000.1 pep chromosome:AGI1.1:9:13808981:13809484:-1 gene:ORGLA09G0113000 transcript:ORGLA09G0113000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLPTAAAEAAAGGGDGDGEAYRIRPLELADISRGFLGLLNQLSPSPPLTEEAFRARFEELAALGADHLVLVAEDAATGRLAAAGAVLVERKFIRRCGRVGHVEDVVVDAAARGRGLGERVVRRLVEHARGRGCYKVILNCTPELTGFYAKCGFVEKNVQMGLYF >ORGLA09G0112900.1 pep chromosome:AGI1.1:9:13797659:13799747:1 gene:ORGLA09G0112900 transcript:ORGLA09G0112900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAPVSKDLSLQPAGVRTPPQMSSPGLLRYRSAPSTLLGEVCGDFVLPGGGGGGQLQLQQQRPGSPDHAADTVLARFLAGHGGHDNKPPRPAAHFAPPEDSMASHQQQLMYQSHQQQQQMASAMEGLYRTVSSGGTESTAAAAGNSLLRQSSSPAGFLNHLTMDNGYGNMLRAGMGGGGGGGDPRLKGQLSFSSRQGSVMSQISEMGSEDEELAGGGGSPEAGSNGGGAARGGYGGGYAMGSSAWEEPSPPATSLLPDSSLPSKRPRDDLPRQLSLPAASKNSSKPPSSASAAASPEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMEKQTNTADMLDLAVDYIKELQKQVKVLNDSRSSCTCSASKQKHFAG >ORGLA09G0112800.1 pep chromosome:AGI1.1:9:13790244:13791910:1 gene:ORGLA09G0112800 transcript:ORGLA09G0112800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYTPTVCLQRCTYSLYSQSCQLQGGLTQGMALWKYSRSQAVGYHVKTRLVGLPPKMNIKSPRTCFASSGKQLCGRRPARDNILKLKLDEPSRQKLYSILWDSRSIGHKVGATGTGLFLSFAVPAKANAEGPVDNNTDSPQTTESSTSYAHGKKVCTDYSVTGIPGDGRCLFRSVAHGACIRSGKRPDDDLQRKMADDLRAMVADEFIKRRAETEWFVEGDFDAYVSRIRKPHVWGGEPELLMASHVLRMPITVYMHDKEAGGLIAIAEYGQEYGKEDPIQVLFHGFGHYDALQIPGKGGPRSRL >ORGLA09G0112700.1 pep chromosome:AGI1.1:9:13784883:13786830:-1 gene:ORGLA09G0112700 transcript:ORGLA09G0112700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G57790) TAIR;Acc:AT3G57790] MASPLLLLPLAVSSLLLPFTAAARVFSVADYGAAGDGARYDTGAIQAAVDACAAAGGGRVLLPAPGDYLTATVHLRSRVVLDVAPGARLLGGTRQADYPPESRRWYVVLAENTTGAGVTGGGEINGQGGAFVVTPNPQKNIMVSWNATGDCEGDECRPRLVGFIDSKDVTIHEITLNQPAYWCLHIVRCDNTMIHNVSIYGDFDTPNNDGIDIEDSNNTAITHCHIDTGDDAICPKSTTGPVYNLTATNCWIHTKSCAIKFGSTSFFDFKKLVFDNITIVDSHRGLGMQIRDGGNVSDVVFSNIKMSTRYYHPLWWGRAEPIYITTCPRHPDSKEGTISDIQFINISSVSENGVFLAGSKHGLLRNLKFKNVDLTYKRWTNYSGGLYDYRPGCQKMVKHRTGGMMLEHISGLEIDNVRMRWSRGSLKGWDVDPLLFQPSTVDKLSFHDWQSLAVSR >ORGLA09G0112600.1 pep chromosome:AGI1.1:9:13783004:13783357:-1 gene:ORGLA09G0112600 transcript:ORGLA09G0112600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISSAAGGMLRARLRGGARVRGGGGHGEGGGRWTTPGHEEQPKGYLFNRPPPAAGESRKWEDWELPCYVTSFLTVVILGVGLNAKPDLTLETWAHQKALERLQQQELASAEAQAE >ORGLA09G0112500.1 pep chromosome:AGI1.1:9:13770363:13773411:-1 gene:ORGLA09G0112500 transcript:ORGLA09G0112500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVENTEEREERSTDVERDGKQGKEVESDYEPARDSVSSQGEANSNEDTRAKRVSRVPKKLVKKDSKENSPRSGRINSNRQVQTKLQYISSNNLQSKSPKPNKISDGAKTIEITKPETVTVPSCPSSEVSEEMDDKPIENIVTDDKSIEDVADDKATEGTASYDKATEGKAADDTTVEDNTTDERSIESGTDDRTIAGIAADVKSSEEAKEIDILDEAPNCDQSTGTDEEIADTEESIAYDGKSAAYEKSEELESKCERLEQELREVAALEISLYSVVPEHGCSSHKLHTPARRLSRLYIHASKFWSSVKKASVTQNFVSGLVLVAKSCGNDVSRLTFWLSNTVVLREIIAQTFGISRQPSLAMKAFSTNVNAKMLGKNSSPTRRKNNYSGKHARPAIWPLPDDWRETGTLVAALEKIESWIFSRIVESVWWQALTPHMQTLVEDISSPKAGSLLGPALGDQQQGNFSIHLWKTAFQDAFSRICPLRAGGHECGCLPVLAKLVMEHCVARLDVAMFNAVLRESANEVPSDPISDPIVDSRVLPIPAGDFSFGSGAQLKNSIGNWSRWLQDKFGMVAAAPEKHGQAGDGSDDRSGAADFYSFKLLNELSDLLMLPKDMLLEKSIRKEVCPSIGIPLVTRILCNFTPDEFCPEPVPGMVLEELNGESLLERFTEKDVITTFPCVAAPVVYCPPSPEDVAEKVADAGGNAEPDLRASMVQRRGYTSDDDLDDLGNPLASLYDRSSPPSPCNGASRSTTRQGGSMSNARYELLREVYGRRGLV >ORGLA09G0112400.1 pep chromosome:AGI1.1:9:13768360:13768707:-1 gene:ORGLA09G0112400 transcript:ORGLA09G0112400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCMVVLLQIWKLQWHGYHVRSSLLVDAPCSSVPDVHATGQHELLLPALHRLACINEEYLRTPEVKKGVAFGSLAARSVVSSGPARPRHPSCRGLGCCDVVLPWSPFGLFSILF >ORGLA09G0112300.1 pep chromosome:AGI1.1:9:13752078:13753445:1 gene:ORGLA09G0112300 transcript:ORGLA09G0112300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQPRMADIDLDAVRAVRVLGRGAMGTVFLVAAAADDAAGGGACYYALKVFDKRSVVASAARQGDAARRARWEVSVLSGLAHPHLPSLLGRAETGDLVAWAVPYCHGGDLNELRHAQPDRVFSPAAIRFYVAELVSALAELHAAGIAYRDLKPENVLLRADGHVTLTDFDLSRLLPPVSPSASTSTSSSSCSATSSPPPQLQGHGRSQLRRIFARSESSVAATTSTSSPGQYTHNLAWFLKRSDGGGGAADHLKKAKSARVSPVSRGKKQASFCSAASASGGAAAACERSFSFVGTEEYVAPEVVRGEGHEFAVDWWALGVLVYEMAYGRTPFRGRSRKETFRNVLLREPEFSADSRRRWPELTDLIARLLDKEPTKRLGFAGGADEVRAHPFFAGVAWDLLGELSRPPYIPPPADDIAACEGFSVVEYFNKLHEPSPEPEEEELTEFLPEF >ORGLA09G0112200.1 pep chromosome:AGI1.1:9:13742501:13742995:1 gene:ORGLA09G0112200 transcript:ORGLA09G0112200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDKKDQEPTELRAPEITLCANSCGFPGNPATQNLCQNCFLAATASTSSPSSLSSPVLDKQPPRPAAPLVEPQAPLPPPVEEMASALATAPAPVAKTSAVNRCSRCRKRVGLTGFRCRCGHLFCGEHRYSDRHGCSYDYKSAARDAIARDNPVVRAAKIVRF >ORGLA09G0112100.1 pep chromosome:AGI1.1:9:13735934:13736491:1 gene:ORGLA09G0112100 transcript:ORGLA09G0112100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSCTQEHVYRHPWHRVTAAAWRKFTDADARAAPLSHILEVHTLSRRVDAGSGRIDAVRAIAGRAPPLPLLLRGLVAAADVVLCVEHTTVDGPARAMRVVSRNANLRALVHVEETCSYRPHPERPDEWTLFRQETSIRCAPLAAVAAWAAEMVERRCAERFMQNASQGREVVETICERLALADH >ORGLA09G0112000.1 pep chromosome:AGI1.1:9:13733994:13735422:-1 gene:ORGLA09G0112000 transcript:ORGLA09G0112000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMEIPEGVTVQVAAKVVTVEGPRGKLTRNFKHLNLDFQLLEGGRKLQVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKATITEDA >ORGLA09G0111900.1 pep chromosome:AGI1.1:9:13730695:13733455:1 gene:ORGLA09G0111900 transcript:ORGLA09G0111900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPSPHHAMAASPALAPTRTVPVLPPRGPSRHRNAAALPCRPATARIGASPGDGAAAAAAAAVEQGSRSEEKDRKRCLRCGSLYLDEDNSPTDCAFHGHVTGEKGLFSLSPPHQGIDGEWSDKSGVIVYRWNDRGSRPNTGRDNWKGRWSCCQERDEGAPPCRRGRHVSYDDGFTLY >ORGLA09G0111800.1 pep chromosome:AGI1.1:9:13721963:13725043:-1 gene:ORGLA09G0111800 transcript:ORGLA09G0111800.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEGLYFKLDGAFRRGGDSIRSCNHWKLELRAWSFQQLSTCNAEGLDGLIASSDPIGFQVGSFAKSYLMQELGVPESRLRELAITDYSSSLQSGVVVAIIDELPYVELFLSINCQFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAAEEEEAGERANFAYLLFDWCHPPLLDCSYDVCFGTFSPTLV >ORGLA09G0111700.1 pep chromosome:AGI1.1:9:13716567:13720636:1 gene:ORGLA09G0111700 transcript:ORGLA09G0111700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGSGSGCCAAEAAAAGDDDADVTVALHIGLPSPTAAADLISGLSSAAGRRSSTARRDEEEDEAEEAGGASRDDGDGGDAADAAAPLGFASTPIGRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAAGCRNNIDHPRAKPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGALGGAAALDDDDDGAVSDLDHDSSSTAPAARSL >ORGLA09G0111600.1 pep chromosome:AGI1.1:9:13693951:13694196:1 gene:ORGLA09G0111600 transcript:ORGLA09G0111600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSVKIYDTRIGCTQCARACPINVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESAYPTDFLSVRVYLGPETTRSIALSY >ORGLA09G0111500.1 pep chromosome:AGI1.1:9:13690090:13692663:1 gene:ORGLA09G0111500 transcript:ORGLA09G0111500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tonoplast dicarboxylate transporter [Source:Projected from Arabidopsis thaliana (AT5G47560) TAIR;Acc:AT5G47560] MDPRRSHWESSSEDVTRPLLPLHDDDGAAGRRSCAALRSLLANKYLAVASGPVACALICGLVDLGGHRAARNMLGVLAWVFLWWITDAVPLAVASMAPLFLFPVFGISSSDAVAKAYMDDVISLVLGSFILALAIEHYNIHRRLALNITSLFCGDPVKPPLLLLGICGTTMFISMWIHNTPCTVMMMPVATGILQRFPRVDGASSSASSAADAREVQRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSTYFPEQPPITFSSWMSFGLPLALVLFVALWATLCVLYCSKNTGRALSAYLDRSHLRRELSLLGPMAFAEKMVLAVFGGLIVLWMTRSLTDDIPGWGSLFHGEVGDGTVTIMMATLLFIIPSGKNDGEKLMDWGKCRRLQWNIILLLGAGFAIADGFRASGLTDILSEGLGFLRGAPALAIAPVACVFSGVITEFTSDDATATLVLPLLAELGKSIGVHPLLLMVPGAVGAQLSYLLPTGSPGNVVGFSTGYISIKDMVIAGTPLKIVGVAALTILLPTLGSVVFGMDQKL >ORGLA09G0111400.1 pep chromosome:AGI1.1:9:13681769:13683614:1 gene:ORGLA09G0111400 transcript:ORGLA09G0111400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G50590) TAIR;Acc:AT1G50590] MTTSMEKPRQVVRKFLARPQHEGVGAVVRRSIGRFELRYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAGPGTSRGLQLWVNLSSHNKMIEPGYQEIQSKDIASTTSDGVTVRVIAGQSMGARSPVRTRTPTMYLDFTVRPHAAARQPVCATWNAFAYVLEGEGVFGGGGGGDKAGAHHLLLLGQGDGVEVWNRSDKPLRFLLIAGEPIGEPVAQLGPFVMNTEEEIDMTINDFEFSINGFEKAKHWKSQALVALGLE >ORGLA09G0111300.1 pep chromosome:AGI1.1:9:13664056:13669377:-1 gene:ORGLA09G0111300 transcript:ORGLA09G0111300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin protein ligase 5 [Source:Projected from Arabidopsis thaliana (AT4G12570) TAIR;Acc:AT4G12570] MSDADGFGCEHRRPSKRRRDGPDHALLPVSREVLMGHPDDPAAAAAAAAAAVGAESSSASSYLGVVAAAASASASAAGGFSCGAHFFVRATDSRTVSMHAAWGDTVGAVLAHLADRGYGRDLRLVYAGRQLAPETALADLRLPPDSTLHLLSRLRSTPYPDAWQLASYIASTAAAAKSDPAHTSSAANINELVKEFILCAHRANMRQRHDRDSPLFDAQPTGDHAAQYLEIFRQAGAPFALVRLYAANPSSASHHHAENAIKCFLTMDPSALPPDVLPVMAPVLLEFCGLLSFSVGKRDELYISSRSMLATVLSLPSGLPPCIKSPSKLIEQVLPFAEEIVGVVMDELASLDMTVSSKNLEDLSNFFKVLRQQALRWVPNGGPLPKNLYNSERGHNDTWVWKLHEMSMNLLNRVDECLKRLEMDLSLSSENRGVNISQSRWVARSHMLVMLTQLDFISMIYEDLAHNLRLVLLAHRDPLNALVRCSKRNEHLHWLVKHKDLLCFESRRNLVLMMLPEGKDEYGELHEMLIDRQHLLDESFEYIIQARPSELRSGLFMEFKNEEATGPGVLREWFCMVCQALFSPQQVLFSPCPSDRQRFFLNGTSAVDPLHLKYFIFSGRIIGLALMHRVQVGITLDRTLFLHLAGRSIKLEDISAADPVMYASCKRILEMDAAVVDGLELTFSRDVHELGSRKTIELCSGGKDLHVNIRNRELYIDLLIKSTFVDSISVQLTHFVRGFSDILVDPELQKVFFEFLDLEDFDGMLGGSNKTINLEDWKLHTQAVESLSIEQQRQLLFFWTSVKYLPSDGFGGLASKLYIYKVSESADRLPSSHTCFYRLCLPAYPSLKVTRNQLQKITQEHVSCSFGTW >ORGLA09G0111200.1 pep chromosome:AGI1.1:9:13661148:13661746:1 gene:ORGLA09G0111200 transcript:ORGLA09G0111200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVETPTTVLAEEAPVEATPAAAAAEVEAPKEETPAAPAEVVAEEAAPAEAEVAETKEAEPAAAEPAAEEVKEAEPEPAEPEAEPAKEEVAPEPAAAAEAEAKEAEPEAPVAEEVKEEEAAPAPAAEEVKVEEAAPVAEPEAEKASE >ORGLA09G0111100.1 pep chromosome:AGI1.1:9:13650636:13651618:1 gene:ORGLA09G0111100 transcript:ORGLA09G0111100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSLPSPSLFLSLLPSPRTTGGWQRADGRGRAAGAGSGRRGRNCHWRRVVQVQHGLRRLRHGGAARVVDVGGREHDGRRARLAPVPAHVAAERLPHGELDPAHAAHSWMRALSLSAAAAAGSLSSFACSRVWLVRCPPSPWSDGNDLLHVLHSNTPSAPSALLPAGVDDDVGAIDVATRVTTVRAARWRAPAPPGDEQPRRLGARCGG >ORGLA09G0111000.1 pep chromosome:AGI1.1:9:13649136:13650215:-1 gene:ORGLA09G0111000 transcript:ORGLA09G0111000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45880) TAIR;Acc:AT3G45880] MERAVRELWAESRDLLGLHSPDDAAAADVAMPRAEMPPTPLAFLRDHVSPGRPLLVSSAATSHWPAASLWPTDSYLTDALRSTAVSLHLTPDGRADALAPHPRPSHPGAKCFASAHVRQVDFPTAVRLIRSYDLASGLVAYAQQQDDCLRGEYAAVAGDVDAHVPWASDALGCLPEAVNLWIGSACSQTSFHKDHYDNIYVVVSGEKHFLLLPPTEHHRLYVRDYPAAHYAAEDEAELRLKLELEEPERIVPWSSVDPYPPSPEEAAAQASSFPLYFEGPRPIRCTVRAGEMLYLPSMWFHHVSQSPGPNGLTIAVNYWYDMQFDIKYAYFNFLRSLEIDGSSSKKTDALEDDLEETND >ORGLA09G0110900.1 pep chromosome:AGI1.1:9:13647877:13648290:1 gene:ORGLA09G0110900 transcript:ORGLA09G0110900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTIRSFVEDEGAFNSSVDGRFAALDTNRDGLLSYSEMAKELMSLRVLEKHFGVDEAAMSSDELVEMYRGLYARFDHDGNGTVDLKEFRAEMKEVMLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >ORGLA09G0110800.1 pep chromosome:AGI1.1:9:13643872:13646867:1 gene:ORGLA09G0110800 transcript:ORGLA09G0110800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVRSFVEDEGAFNSSVDGRFAALDANRDGVLSYAEMAGELMSLRVLEKHFGADEDEAAMGADELAALYRGLFARFDRDGSGGVDLEEFRAEMKEVLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAGAAAXTELITEQKRSFIFYTSTILLXFIIFFRXLEGHSIEXFHXYLITYIYTEGYTVXXCISFFMLFSNHXSFIIIILPRIKEDSXSCTDVYVRKXNTSFGILDYIGNINGEFTSXTPXLNQFSKFSFRILLHNLSFAPCLLGPYTRTSPIARSLXLSMGPYSCHVSILWFGFVAVAFPPLSFLFHLFFSYDIYRFRSGCVSYFPFLFLFIFMGYFAFVAAAFRRPYIRAGDHDCGAAHHSTTTTTTPPIGESAGRSFDGGKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGSRGGYTIQEPTLRALALKYREKKKVLCTPSHQVSPLPQEEVWPQQGAEVEEEVHQLAFDSVRHWKVFTS >ORGLA09G0110700.1 pep chromosome:AGI1.1:9:13639364:13639780:1 gene:ORGLA09G0110700 transcript:ORGLA09G0110700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVRSFVEDEGAFNSSVDGRFAALDANRDGLLSYTEMAGELMSLRVLEKHFGVDDEAAMGADELVELYRGLFARFDRDGNGAVDLEEFRAEMKEMLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >ORGLA09G0110600.1 pep chromosome:AGI1.1:9:13634092:13635525:-1 gene:ORGLA09G0110600 transcript:ORGLA09G0110600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QPX7] MKKTVVLYPGLAVGHFNPMMVLADVFLDHGYAVAVALINPSVKDDDAAFNAAVARAVSSKSSATVSFHMLPRIPDPPSLAFDDDKFFTNYFDLVRRYDEHLHDFLCSVQGLHAVVVDASCGFAIQAVRKLGVPAYELYPCDAGALAVNIQIPSLLAGFKKLGGGEEGSAPLELLGVPPMSASHVTDLFGRSLSELISKDPEATTVAAGARVMAEFDGILINTFVSLEERALRALADPRCCPDGVVLPPVYAVGPLVDKAAAGAGDETSRRHESLVWLDGQPDRSIVFLCFGSIGGNHAEQQLREIAAGLDKSGHRFLWVVRWAPSTEHLDALLPEGFLARTSGRGLVVNTWVPQPSVLRHRATAAFVTHCGWNSVLEGITAGVPMLCWPMYAEQRINKVLMVDDMGVGVEMEGWLEGWVTAEEVEAKVRLVVESEHGRKLRERVEAHRDGAAMAWKDGGSSHVAFARLMTELDNAQR >ORGLA09G0110500.1 pep chromosome:AGI1.1:9:13618250:13618546:1 gene:ORGLA09G0110500 transcript:ORGLA09G0110500.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTNRDGLLSYAEMANELMSLRVLDKHFGVDEAAMGADELVELYHGLFLRFDRDGSGAVDLEEFRAEMKEVLLAVANGLGFLPVQMVVEEGSFLKVAVDR >ORGLA09G0110400.1 pep chromosome:AGI1.1:9:13611338:13611562:-1 gene:ORGLA09G0110400 transcript:ORGLA09G0110400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVEMEGWLEGRVTAGEVEAKVRLVMESEQGRKLRDRVEAHREATAMAWKDGGSSRAAFAQLLSDIDDARGKQ >ORGLA09G0110300.1 pep chromosome:AGI1.1:9:13610619:13611032:1 gene:ORGLA09G0110300 transcript:ORGLA09G0110300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGRTVESFVEDEGAFNSTVDDRFAALDGDRDGRLSYADMAGELMSLRVLETHFGVDGAAATDAELVDLYRGLFARFDRDGDGAVDREEFRAEMKEVMLAVASGLGFLPVQMVVEEGSFLKRAVERELAKAA >ORGLA09G0110200.1 pep chromosome:AGI1.1:9:13603990:13604793:-1 gene:ORGLA09G0110200 transcript:ORGLA09G0110200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKAAMFAAAVVAVLLSSPSPALAQKKSPPAAPSPVSLPPSLAPAPAPAPHYVDLAELLSVAGPFHTFLNYLEKTNVIETFQSQANKTKEGVTIFVPKDSAFAAIKQSTFSNLTGDQLKTLLLYHAFPKFYSLAEFKNLSELNPVNTFAGAPYTLNLTDDMGTISVQSMWSRPKISSSVYATRPVAVYALNKVLLPMQIFSKDPPLAPAPAPAPVSGASDLAPGPASGKTGAGGGKADSTSAACGVGAGVVNGLVMALAGSLMLLW >ORGLA09G0110100.1 pep chromosome:AGI1.1:9:13582507:13586362:1 gene:ORGLA09G0110100 transcript:ORGLA09G0110100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1664) [Source:Projected from Arabidopsis thaliana (AT1G04960) TAIR;Acc:AT1G04960] MAMQTGFATSKVLILVGAGLTSSIVLRNGRLSDVLAELQELMKGVNQGEGSSAYDIALLQSQIRNLAQEVRDLTISRPITILSGNSDSGGSLSSYILPAAAVGAMGYCYMWWKGLSLSDVMFVTKRNMTKAVESMSKQLDQVSSALAATKRHLTQRLENLDGKMDEQVEVSKIIRNEVNDVKDDLSQIGFDIAAIQQMVAGLEGKIELLDNKQDATNAGVWYLCQIAGGLKDGINAKFFQEANEKLKLTELAQSERKAVKGLESVLESSKEQKAIDSKQNTTAIIDAEKPVKTVDGPVKSGAVHRCSRISFRKEGLAL >ORGLA09G0110000.1 pep chromosome:AGI1.1:9:13574871:13578936:-1 gene:ORGLA09G0110000 transcript:ORGLA09G0110000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASGIVLHGVAVDDSTVAVGDDGIVVAASLSSVNLTMGWSYSYSAWVVTISDSGNASIQVDGMDVGISMGMKNQNGSLKLFVTECGCNMKSLDISLNGGASWFYQGFVDGFSNHIRSSVENAITKKIMEGASKLDSFLGSLPKKIDVDSIAAMNVTFVSDPLFKSSSVEFDIDGLFIPSDKTAVSRHMHFRGVKYVPPLGSSSKMLWISLDEDVFNSVSALYFKAGSLQRMVDKIPDQLFLNTASWRFLIPRLYQKYPNDDMLLNISATSPPSVRINVGRIDAAVDLDVTVNVLDFDEIVPVACISVSVTVSGAAVVSGNNLAGRVELDYFSFTLMWSKVGKLHTFIVQRVMQILLKKLFVPYVNSYLKRGFPLPIIKGFSISDAYILTSQSRIIVSSDVAFIGGHTPYLSQXKHLARSRLVAQSAKHQKSPCPMERVVHM >ORGLA09G0109900.1 pep chromosome:AGI1.1:9:13571468:13574279:-1 gene:ORGLA09G0109900 transcript:ORGLA09G0109900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G04530) TAIR;Acc:AT2G04530] MAATSLLSLPSLRLTHRLLVPASSSAPASRSQFQTLAAKKAAVATGTGEGGGGGRGAGGGLLSVLDRAMADEEEYRRARAQVQRKGVEVEGYAIEGVSVGGHETCVTVPSLNVAFDIGRGPLFAVSQDYLFITHAHLDHIGGLPMYIATRGLYNLKPPVVFVPPCIKDDVEDLLQIHRRMSQVDLKVELVALDLGETFEIRNDLVARPFETHHAIPSQGYVIYSVRRKLKKQYAHLKGNQIMKMKQSGAEITDTILYPEVAFTGDTKSDFILDPRNADALRAKVLITEATFLDDQIDVDHAREHGHMHLSEIMEHSQWFRNKAIVLTHFSNRYSLEDIRQAVSKLQSKLSSKLVALTEGFKSDYR >ORGLA09G0109800.1 pep chromosome:AGI1.1:9:13570173:13570637:1 gene:ORGLA09G0109800 transcript:ORGLA09G0109800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSCCHAKALFNRMKPRCLQEEQQHNKTRTVKAEIRQNTLQDILYSPQSFQGEAIGAPSPRRHSPKVCPINPDCSYENNSPNIRDSFSIDRISIRSQNSMRRVSFRLPDESDIFIIPAREDPESCSTDDESVEHVSEEDIDARKIRYAKTRY >ORGLA09G0109700.1 pep chromosome:AGI1.1:9:13563747:13568994:-1 gene:ORGLA09G0109700 transcript:ORGLA09G0109700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLPLICFVLALLLAAGASGGGGAAAGGGNGGGGERRGVYVVYLGAVPPRTSPNILQQTHLRLIGAVLKRGQPVESVVVQQYKYAFSGFAARLSAAEAAALRRKPGVVSVFADPVYHLHTTRSWDFLQQQTTAAVDVKTGGSARRRRRSPRARAAAASASTSSSPTADTIIGLLDSGVWPESPSFDDAGFGPVPARWKGVCMAGDDFNSSSCNRKLIGARYYDVGGEAKRQSARSSGSSPRDEAGHGTHTSSTAAGNAVTGASYYGLAAGTAKGGSASSRVAMYRVCSGEGCAGSAILAGFDDAVADGVDVISVSLGASPYFRPDFSDDPIAIGSFHAVAKGIMVVCSAGNAGPDAATVVNAAPWILTVAASTIDRYFQSDVVLGGNNTAVKGGAINFSNLNKSPKYPLITGESAKSSSVSDTESASHCEPGTLDASKIKGKIVLCHHSRNSDTPKTEKVGELKSAGAVGAVLVDDLEKAVATAYIDFPVTEITSAAAADIHKYISSTSEPVATITPTITVTEYKPAPVVAYFSSRGPSPQTPNILKPDVAAPGVNILASWIPTSTLPAGEEKPSQFNLVSGTSMACPHVAGAAAAVRAWNPAWSPAAIRSAIMTTAAQLNNDGAAVTTDSGSPATPYDHGAGQVNPAAALDAGLVYELGEEDYLQFLCDYGYDASQIKLVAASLPGGFSCGAGGNASDSKDLISGLNYPSIAVTGLGKASGTRTVSRVVTNVGAQQEATYTVAVAAPAGLDVKVVPGKLEFTKSVKKLGFQVSFSGKNAAAAAKGDLFGSITWSDGKHTVRSPFVVTI >ORGLA09G0109600.1 pep chromosome:AGI1.1:9:13557616:13558847:1 gene:ORGLA09G0109600 transcript:ORGLA09G0109600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNFSKFSLSDIDECALPEEYPCYGECTNKPGSFSCMCPGGTHGDAMNEGGCEPTTLLVAIGGTIGIGIPSVFVIGMAITNMIKARRAKKLRAVFFKQNRGLLLQQLVDKVIAERMVFTLEELEKATNRFDEMRKLGSGGHGTVYKGTLPDRRVVAIKKSNITVRKEIDDFINEVVILSQINHRNVVRLFGCCLETQVPLLVYEFISNGTLSDHLHVEGPTSLSWKNRLRIALEAASALAYLHSSASVSIIHRDVKSANILLDGRLTAKVSDFGASRGIPVDQGGVATVIQGTFGYLDPEYYQTSRLTDKSDVYSFGVILVENMLERLLGGDILQGLSAELHCLPPQLSNTDTTSKLYNMEQDFLLSSSFPR >ORGLA09G0109500.1 pep chromosome:AGI1.1:9:13549107:13554392:-1 gene:ORGLA09G0109500 transcript:ORGLA09G0109500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTGSGRGERTRDDRVGGEEEEERSIDQARGGVGAAAGEMVAAAVAAAPAPPHDAVAAVAVGMVGAAGTVDEQKAAGVGILLQISMLVVSFVLGHVLRRRKVYYIPEASASLLIGMIVGGLAKISNTQRSISLAPKPFFSNFGAIITFAILGTFIASIVTGLLVYVGGLIYIVYKLPLVECMMFGALVSATDPVTVLSIFQELGTDVNLYALVFGESVLNDASLILSNLFKYAALGVENLHNLESCLFVLFPYFSYMLAEGFGLSGIVSILFTGIVMKRYTFYNLSEDSQRFTARFFHLLSSLAEAFVFIYMGFDIAMERQSWAANVFSCAYILNLARPVHCQIPRQYQLALWYSGLRGAMAFALALQSIHDLPEGHGETIFTATTSIVVLTVLLIGGSTGTMLESLQHNFDGNNAGYPGQRYGEETSTSSNFTMKLKELQRRYSSSHLILKLYLLIALL >ORGLA09G0109400.1 pep chromosome:AGI1.1:9:13543521:13546844:-1 gene:ORGLA09G0109400 transcript:ORGLA09G0109400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIYXXGVDLFQINEALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKITLYLKDDQLEYLEERRLKDLVKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDAEEGKVEDVDEEKEEKEKKKKKIKEVSHEWNVMNKQKPIWLRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKQNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSTNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSEIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRQEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSWMSSASVPMLTRTTSL >ORGLA09G0109300.1 pep chromosome:AGI1.1:9:13540162:13542614:-1 gene:ORGLA09G0109300 transcript:ORGLA09G0109300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTSSASAAARGSAGAGDTLRNSCHDFARSLARLPASIMDGLSRSMARRSRRPRDTQPHPPLPPPLLPEELFFAEFERRYGGRHPFFYGCRLAEALGIARREGRLVFVYLHDAGGGGGGGNPYADQFCTGTLCSDVVVEFLDANFVSWGAVAGRGEGAAMVAALRPGSFPFCAVVSPVSGESIVVLQQVEGPVSPSELVDILQRTIDEQRASSRQSWPDEQLAAAVRASRADEEERMRSVALRLRQEQDAAYLESLRKDQEKERSRKSVQEGSAKPKASNGLRPRYPGQSAREPNKAAQARAPAQNQNGTVASHRAEANTKIMIRFPNGERRQQAFHHTDTIREIYRYVDSLGIPGIGNYQLVRSYPRKTYGRQQLEMSLQDAGFYPSVTLYIEQLQ >ORGLA09G0109200.1 pep chromosome:AGI1.1:9:13538156:13539079:-1 gene:ORGLA09G0109200 transcript:ORGLA09G0109200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAVHARLAAAASSASPPLRSAASCTRLRALSTVTGTRLSIRHQQRRRWRRPRRAAAIAASLDLNEDNVRLAIDEAKAELGQLFDTSVGITGQVDLAELDGPFVKLRLKGKFWHTRATVLARIGNYLKNRIPEILEVEIEDEKQLDDSPAAF >ORGLA09G0109100.1 pep chromosome:AGI1.1:9:13525503:13528181:-1 gene:ORGLA09G0109100 transcript:ORGLA09G0109100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDHGSLMEDWMPPPTPSPRTLMSSFLNEDFVSGSFSNIFSDHESNKPQDQFERSRELVDLSKEVPSQSARPAFQRDASLDHSLVSPTQRSNSHGGLAERRAARAGFSVPKIDTSRGGSSTVIRSPVAIPPGLSPTTLLESPVFLYNAMAQPSPTTGTLPFLMASNAKSTIPSATKMDEDCTFGNDTFSFQPHVGLRRPNFSAAEKGPNACHQNQSLSNIHQRESSLQSSFTAVKDITDEKNIKTKTSDSMFGDNHSSDEQDDETNQNGENSMPPPNHRSGVPLSHTNDPEVNVLENRGSQTCHNSASLWDNAKNDCLQDVQSEVIETRTAACLPVSTNCDTSIMESQDAVDVSSTLSNEEDDRATHGTASIECNGDGDETDSKRRKLDALTAATAAITTTSNIDMGAAASRGVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHQGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHGSSGSGNAPSAPQSNGSQRRQEQGQASFSQFGGAAPFSSLVLPPRNQFGPSASNFPFGMVPPGMAIPMPSLGSLAPAKMAGHPSTMQGYQGLMIPEGEMKTEPMSQLGFPAVNQSSSSFQQMMNRPPSFGPQM >ORGLA09G0109000.1 pep chromosome:AGI1.1:9:13524265:13524843:1 gene:ORGLA09G0109000 transcript:ORGLA09G0109000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFVCAGEESFKMEVGFFDTVHDIKQKLQSRRGWPAAAVSLFHNGDALADAGGGEAAGGGAERYGIVEGSVIHVELGVGVAGRQQQLQQNEHKGRSKRRDDGGGAAAVRVNVVSRCGRGRAEVAVGARRAVAALRRELEERXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA09G0108900.1 pep chromosome:AGI1.1:9:13520163:13523150:1 gene:ORGLA09G0108900 transcript:ORGLA09G0108900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEKVSPFLDVPKDIPIATKSLTIKTTNTNGGRGGGDWSNPISPAISFSPYLNSPSPPSSAFVSALQSPYVSPRLADPPPPQQPQPQTPRQRQHRETKASDVAAAAASTPTSCTDVSHSEDTDAPSASRGGGGGAPPRGSFTFPVPRVSFTRGMVASPMSTTKLRSCDVYIGFHGGAGAGAGAALTRFCKWLKSELELQGIASFMADRARYSDVQSHEVADRIICSVTFGVVVVTMASFLNPFSLEEIRFFAQKRNLVPILFDTEVLDIAGLFDDDKFEGNKEGVEAFEGLMRCHEFKLETDESNWRGCVSRTAAVLQSKLGRRCIGEKESHGVECLPFPRNKHFVGREKELSEIEGMFFGRADDAGEDFGCPRGAMTTGESSVGASDGFADEDSDTVRTSNGRFISLDLRKCKQPMLEAFVDPVIGKFSGKGRSILRQRSKNKKSRFRCSSKSHGNAGVICINGVSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMYLGLDISAEAEKERGRIRSFEEQEHDAFQRVKRELFRDVPYLLIIDNIDNERDWWEGKDLHDFIPRNTGASHVIVTTRLPVVMNIEPMQLLQLSFPEAVILMKRKMKEDYPSEEIEVLRKFDERLGGPSFGLWIVSSLLSELMIAPSTLFEAVDQISLSDTMLALGANDESLWQNNLFLIKVLVFCFALMDRVKGGSLALRMITAGSWLAPAPMSSTLLAAMASKLPTKANSIQLWGESLKTALLCGTHCFLAPQAKKAEVESSLLLVKLGLARRTTHHPGFWIQFHPIMQLFGKIRGGLAPATAAVSGVIRSRNISVYSDHMWASAFLVFGFKSEPPVVQLKPGDMVLFIKKMALPLAIQAFMTFSRCGSALELLKVCTNILEDAEKSLASRIQDLKQGPLCWKKKLQTNSHADEFIWQEVTLLKATLLETRAKLLMRGGLFDSGEELCRTCISIRTVMLGHDHSQTLAAQETLAKLVRYRSKI >ORGLA09G0108800.1 pep chromosome:AGI1.1:9:13511303:13512453:-1 gene:ORGLA09G0108800 transcript:ORGLA09G0108800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAGEGKETAAVAGGGGGGSLHSKTLLKSEPLYQYVLESTVFPREPDCLHELRLATANHPMAVMAASPDQVQLFGLLIELIGAKNAIEVGVFTGYSLLATALALPDDGKIVAIDVSRESYDEVGAPVIDKAGVAHKVDFRVGLAMPVLDELVATEGNKGRFDFAFVDADKVNFLGYHERLLQLVRVGGLIAYDNTLWGGSVAAPPAAADEAVPSGRDRSLAALAREFNAAIAADRRVKPCQLAIADGVMLCRRVA >ORGLA09G0108700.1 pep chromosome:AGI1.1:9:13507124:13509988:-1 gene:ORGLA09G0108700 transcript:ORGLA09G0108700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGNSVNSAVESVAESPAPASPASNPTAPAAVTKGRGLRRWRRIPREHHEEGSPGSGGGGGGGSVAAAAADEDLAQLHKRRHPLGADAPKGKEEAAAAAAAVEEVGSESPVASVESSFAPQEAPPSPPVQTKLDPDLGFLIASAGFSVGAGGADSDNSDDRASKSSTNAAAPRHDFSFGGGFGRERDRPRSRAPGAAAHAKGIRTARTRGAHGARAATPTPSIVEPENSRSSVESNLRSSAAAHARQSSAGISSNGVHKVLYDDDDDDDDDAEQSDGEPPSEEAARSGAGGFYRENGSVVGRLVKGSSDSDADDHGYDERSIGKGENGEIHSGLDPYVQSIAMLRSAEEAIENEIQKFIEMRNETCENSANNHSETEWSSSCHFDESTEELSEKLKLLESRLNEASTLINDKDSEILELDVLNHKQPKQHVLCNTELLSLQSDMDQLFLEKMEAETQCFILTRASQAWNPLTEDQAAIFDIQKSLPEDHKQLEAKLRHTENRALMLEEMVEKLEAQCKDLARTSEILKLQARASRASLFCSVQFVLLFIAVGTFLVRLWPSSSEFVPT >ORGLA09G0108600.1 pep chromosome:AGI1.1:9:13506086:13506511:1 gene:ORGLA09G0108600 transcript:ORGLA09G0108600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit G [Source:Projected from Arabidopsis thaliana (AT1G55670) TAIR;Acc:AT1G55670] MAATSLSPPTVAGLRLAPSPRVRSPSVAFRAAPARRRSVAARAELSPSLVISLSTGVSLFLGRFVFFNFQRENVAKQVPEQNGKTHFDAGDERAKEFAGLLRSNDPVGFNLVDVLAWGSLGHIVAYYILATCSNGYNPNFF >ORGLA09G0108500.1 pep chromosome:AGI1.1:9:13501142:13504942:1 gene:ORGLA09G0108500 transcript:ORGLA09G0108500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHGEELEISPCDPNSEDDRRRRGMGSSLRRKAIRALRKRGGRRRRRRVDFRYPAAMSIEDVRDAEEELAVAAFRDRLAAHALLPDKHDDYHMMLRFLKARKFDSEKAMQMWAEMLRWRKEFGADTILEEFEFDELDDVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVHGVGLKNFSKTARELVHRMQKIDSDYYPETLHQMYVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGTNYQSRLLEVIDKSELPEFLGGSCTCSEGGCLGSNKGPWNDHVILKLIHSMRSSSSMREIKQVSDSEDRSGSSLRAEKLKGMMSDISNAESESDVDEFSLSAVLRSTDYSFLTPVSEEVKGSDSSTFCSCESCDRKGLPDVTPESSQSVQQSSEMVPNQLVSHEHSSTTRWMNNLGNMAISFHGTLTGRTLSNFVRVVGTLMIKILAVFSLFVSRRGNMLENVHPSNVEDEPQPRSATEDNMSACLQRLEKLESLCNHLMSKPPDMPKEKECLLLQSFDRIKTIESDLERTKRVLHMTLVKQMEMMETLEAMQHHHQSSSVRRRLCCS >ORGLA09G0108400.1 pep chromosome:AGI1.1:9:13492928:13494440:1 gene:ORGLA09G0108400 transcript:ORGLA09G0108400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAIAIAIAMALMVVQPGRQMTAFAARTSPAAAAEAFWRAAMPGAPMPDAIVELLHHAACVHAEHGVASAGGDGPPPPMNFNYDDYRALPRSDAPSPDALNRVAAVQNADENGVSSPPPTVFFLEDAVRVGESLPLPRPAADATAAGAAAATALPPLRLYTVRSVRAIEGSSFVVCRGETTAGAGVYGCRDAATGPARAYAVDAAGGGGGDAVIAAVVCHADTSRWDPDHAAFRLLGVRPGGAAVCRAVADAHILPTNKD >ORGLA09G0108300.1 pep chromosome:AGI1.1:9:13490543:13491113:1 gene:ORGLA09G0108300 transcript:ORGLA09G0108300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVRAAARDISTAAVVWALTHVVQHGDTILLLAVMLLPHRSGKKFWEFPLFAGGCASGHRSVLIQNSDVAYLCNQMMLKLRDFYDPSKVQKGALLCFFSSIFQHKHKHNKSTMAVVGDGGAVAVIERRRLGLFWTGEGRRG >ORGLA09G0108200.1 pep chromosome:AGI1.1:9:13484930:13485199:1 gene:ORGLA09G0108200 transcript:ORGLA09G0108200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPWPVEFMGAAEGGFGGEAVYCAVILWLSVVAWIIFTSVGDGDEGGGRGSRRRRRRSSPVFVGAAGICDGTGPGCSGGFGPCGTCVD >ORGLA09G0108100.1 pep chromosome:AGI1.1:9:13482165:13482542:1 gene:ORGLA09G0108100 transcript:ORGLA09G0108100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGLGRPWFMALCMVVVCSLTVSFAVFLCGHSSGVHDGDLLRKKKPMKPPPPSSKKKKVSTSMSGTVVDTTGMYTAAYGVAVVGGHGGHGGGGCGGGGGGGGGCCGGGGGGGCGGGGGGGGC >ORGLA09G0108000.1 pep chromosome:AGI1.1:9:13477071:13481231:1 gene:ORGLA09G0108000 transcript:ORGLA09G0108000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVARGRRCRGVVLLLLLASVLAPLVLYGGSPVSVSTLPDSTVASGVLDRDGEYDLVVAASDVSLTKDLTIERLGEHKNRVLSATEDWQVVEAASKNPAFEKPDASVSRKDPGSGDANVVITEGNGAAQSGRDGVIWEVVSRDRGADGFTQPWEINGGEERDGERVDRVKLGVSVEEQNDGTGETGVNNIAGTHTSGNLNPSLEKERSTGRLSEQVTKAIPKESYTPTTNSNSALPTSVSAGHSTTSPDATIRTIKDQLTRATTYLSLVASRGNHGFARELRARMRDIQRVLGDATSGGQLPQNVLSKIRAMEQTLGKGKRILDSCSGALNRLRATLHSTEERLQSHKKETNYLAQVAAKSLPKGLHCLPLRLTNEYYYTNSNNKKFPHIEKLEDPKLYHYALFSDNVLAAAVVVNSTIIHAKKPADHVFHIVTDRLNYAAMKMWFLANPLGEAAIQVQNIEEFTWLNSTYSPVMKQLESQSMIDYYFKSGQARRDENPKFRNPKYLSMLNHLRFYLPEIFPKLSKVLFLDDDTVVQQDLSAIWSIDLKGKVNGAVETCGETFHRFDKYLNFSNPLIASNFDPRACGWAYGMNVFDLSEWRRQKITDVYHNWQRLNENRILWKLGTLPAGLVTFWNRTFPLHHSWHQLGLGYNPNINEKDIRRASVIHYNGNLKPWLEIGLSRYRKYWSKYVDFDQVFLRDCNINP >ORGLA09G0107900.1 pep chromosome:AGI1.1:9:13468994:13469263:1 gene:ORGLA09G0107900 transcript:ORGLA09G0107900.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPKPAVLLATLPVLLLAELMVVLYVTIFRLIGYKSWEWLANEVKVERGCVARCVHWLKVIVVPLSISPTSTFSYLLPGGVRNAAHTSPC >ORGLA09G0107800.1 pep chromosome:AGI1.1:9:13462724:13463212:1 gene:ORGLA09G0107800 transcript:ORGLA09G0107800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRRGQPERKAAAATTKKRGRDEEVAAAAAPPPCGAVDFPFEEGDEEAAAADVVGDQAPGVFRFPWQSCRGGLGVVAAGGGGGGGWEMRDVFFRSLVDGGAAAIGVPGDRLVSPPPPAELRRALFDDVGAWLAAAGDGEVDPVWRSALLIEGEPPAPATV >ORGLA09G0107700.1 pep chromosome:AGI1.1:9:13459551:13459751:-1 gene:ORGLA09G0107700 transcript:ORGLA09G0107700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCPSKACCICTLIVLVLVAVGIVFGFGVYTRGFHKLTSSIHDASSTAAGSLRAHQFFAPPPPPY >ORGLA09G0107600.1 pep chromosome:AGI1.1:9:13449629:13457822:1 gene:ORGLA09G0107600 transcript:ORGLA09G0107600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSLKNHPPAPLLALALLIGSLVLLQRPAYAEKKSFVVYLGGHSHGRGGAALASSQERAKNSHHEFLGSFLGSKEKARDAIFYSYTKYINGFAATLEEEEAMEISKHPSVISVFPNRGHRLHTTRSWEFLGMEKDGRIRANSIWAKARFGEGVIIGNLDTGVWPEAGSFSDDGMGPAPARWRGICQDQASDDAQVPCNRKLIGARYFNKGYLSTVGQAANPASTRDTDGHGTHTLSTAAGRFVPGANLFGYGNGTAKGGAPGAHVAAYKVCWRPVNGSECFDADIIAAFDAAIHDGVDVLSVSLGGAPAGYLRDGVAIGSFHAVRRGVTVVCSAGNSGPGAGTVSNTAPWLVTVGASTMDREFPAYLVLGNNKKIKGQSLSPVRLAGGKNYPLISSEQARAANATASQARLCMEGSLERGKVEGRIVVCMRGKNARVEKGEAVRRAGGAGLVLANDEATGNEMIADAHVLPATHVTYSDGVALLAYLNSTRSPSGFITVPDTALDTKPAPFMAAFSSQGPNTVTPQILKPDITAPGVSILAAFTGQAGPTGLTFDSRRVLFNAESGTSMSCPHVAGVAGLLKALHPDWSPAAIKSAIMTTARVKDNMRRPMSNSSFLRATPFSYGAGHVQPGRAADPGLVYDMNDTDYLGFLCALGYNSSVIATFMASGSGAQPPYACPPARRPEDLNYPSFALPHLSPSGAARTVTRRVRNVGAAPAAYVASVAEPRGVSVAVRPSRLEFTAAGEELEFAVTFRAKKGSFLAGEYVFGRLVWSDAAAGGRHRVRSPLVVRVVDKKGKNGLPIS >ORGLA09G0107500.1 pep chromosome:AGI1.1:9:13446957:13448354:1 gene:ORGLA09G0107500 transcript:ORGLA09G0107500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGETPMPSLELHKLPTLAGAAIPNPIARHPLYHPSPSFFISPTDVVLRDILFDASPASAAGERRRRHVAAYHRAGPRREVAFDPATVRAAIFTCGGLCPGTNTVVRELVVGLSELYGVRGGVFGVRNGYRGFYSDEVVPLDPAAVEHWHKAGGAALGTSRGGFDLARIVDAIERHGFNQVYAVGGDGTMRGAARIHREVRRRGRLAVAVAGIPKTVDNDVGVVDWSFGFHTAVEAAQQAIAAGHVEAESAANGVGLVKLMGRSAGHIALHATLSSRDVDCCLIPEEDFYLRGAGGLFDFLYRRIKDNGHAVVVVAEGAGQRLIPRTTTTSASGAGAGADESGNETFLDVGAWLKAEMRAWWEEEHAGEVFTVKYIDPTYMIRAVPANAGDNLYCTLLAHAAIHGAMAGYTGFVSGTINGNYAYIPMDEVAEAKNPVDTKDHKWAWVRSITNQPDFIRAGPTS >ORGLA09G0107400.1 pep chromosome:AGI1.1:9:13439894:13441330:-1 gene:ORGLA09G0107400 transcript:ORGLA09G0107400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSASYFIFSESKYLAVLELSGLPIETIPYSVGELFNLRYLCLNDTNVKEFPKSITKLLNLQTLSLERTQLLNFPRGFSNLKKLRHLLVWKLVDATYKSLNNWESLEPFEGLWNLKELQSLCEVRATRDFVSKLGNLSQLRSLCITYVRSSHCAQLCNSLSKMQHLTRLHIRAMNEDEVLLLDDLMLPNPLEKLDLLGQLSKGTLESPFFTTHGNELLQLELSRCQLTVNLVAWLSKLSNLTELRLTRVYTGQQLSFHANCFPNLKKALLWDLQQVNQIYIQEGALSSLQYLHIDSLMELRDVPTGIEFLRSVKEAYFTMMHSDFVRNLRTGKVNHIPKVYWSTQGVSAEPANLPGESSTNPQWRMLGGSGWVFI >ORGLA09G0107300.1 pep chromosome:AGI1.1:9:13427632:13431073:-1 gene:ORGLA09G0107300 transcript:ORGLA09G0107300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIIVLFVIKKIGIAVAGETLKLAKPLLANKTELKKAELVTALPVNMKLIKDELEVINAFLKELGMNGCKGEVVETWVRQVRRLAHDMEDVVDEFMYVIGKNKERESRAYVKKIIKKPKPLFSLDEIATKADRINRQLMELSKRLGRWTQPILSGGSIPATKYDTEQQQLYLPGHDYSITDAELVGIDKNRQTLIESLCLEDCSLRIIAVWGMGGLGKSTLVNNVYKNEATVSNFNYRAWLSISQSCRVLDIWRNMLKELCGKESREFDAENMSSTELKVELTKILDQKRYLIILDDVWLATDFLKIREVLVDNGLGSRVIITTRIEEVASIAENGCKISLEPLDNHDAWLLFCRKAFPKIEDHICPPELEQCGMDIIDKCDGLPLALVAIGSLLSFKSKNNKDWRLFYNQLISEVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYLIHRKRLIRLWISEGFIEQKGACSLEDVAEGYLAELVQRSMLQVVACNSFDRVQCLRMHDIVRELAIFQSKKESFCTIYDDTHGVAQVGLDSRRVSVLRCNNDIRSSIDPSRLHTFIAFDTTMALSSWSSFIFSESKYLNVLDLSGLPIEIIPYSVGELFNLRFLCLNDTNVKEFPKSVTKLSNLQTLSLERTQLLNFPRGFSNLKKLRHLLVWKLVDATYKSLNNWESMEPFEGLWDLKELQYLNEVRATKAFVSNLGNLSQLRSLCITYVRSSHCVQSCNSLSKMQHLTRLNIRARNEDELLLLDDFTLSNPLEKLELVGQLSEGTLESPFFSIHGYKLLQIELSWCKLTVNPVARLAEFSDLTELRLTRVYTGPWLYFPANWFPKLKKAVLWDLQQVKQIFIQEGALANLHYLHIDSLMELRDIPVGIEFLSSVKEAYFTRMHSDFVRNLRTGKISHIPKVHWSTQGVSTDLTGLANLPGASNMTNTNPEWRILGGSGWVFI >ORGLA09G0107200.1 pep chromosome:AGI1.1:9:13422607:13426434:1 gene:ORGLA09G0107200 transcript:ORGLA09G0107200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVALLSPAAAAAVVTEGEEKPPLEEVEVVVRAIVADGDGREANAAAPGANGCGEEEVPAHAAEGGTVAAMVDENAAAPAAEGDTVAAAKGEAPAAEGDMVVAAKGAAHAATEGDTVAAAAGEALQAIPVADEAAAVAEGVNAIAAAEREEDDEGVKWLKHYSSLQSILTVGDGDFSFSLALATAFGSGDNLVATSLDTIEDLRGKYSKAESNIMELKRMGATVLHGIDAKRMKDHTSLKLRQFDRIIFNFPHAGFKGKEDDLRMINLHRELVWGFFQNARHLLRPYGEIHVSHKIGLPYDRWCIEHLAYESSLTMIAKVDFRKEDYPGYNQKRGDSAKCDQPFELGACCTFMFMRDLTRLKRARRNRIDASSLGIQAQHDMPFHPRPLVPAYPQPHFPSQVNAAHRQVPPEHYPLGIAHGQEPGFLDNFGGIERYPYQRGAIGTVIGMPGTPSPMRGITRSSFPAPQEQPWRQERYIMDPEVRDDHYHFAREYPRNLQEYEMERQVMPGGTRLRYVDFLENRYEESVRRQEHLRRLIAEYGGYD >ORGLA09G0107100.1 pep chromosome:AGI1.1:9:13414721:13418251:1 gene:ORGLA09G0107100 transcript:ORGLA09G0107100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPPEVGHAAAAAVAGGKKEVRALDGASAISEEEEVEVEVEEEEEAEEEREDEEEGEEDGGDEEEEEEEGVKWLKHYSSMQSILVVGDGDFSFSRALAVAFCSGESLVSTSLDSYEKVDFHIEDYPGYNHKRGDGPRCDEPFPLGPCCTFKFSIRNHKKQKKCHSKKIGSIPSLGGSHVHPEILASDWSPSQPLRPVNAVNMPVTFDPYSLRIAQSHQPGFPVNFVGLWTAAACSLQHCNIHPMLNIVRPSLHLLPIASIIAPQMGRISTSLFAPQEQPKPVLRPLQSVSSYDLAREHQMNLRREFEMRGQTMPAGTSLDYFEFLEYLFRDPAEKEKWLQTMITLHATRW >ORGLA09G0107000.1 pep chromosome:AGI1.1:9:13409661:13413133:1 gene:ORGLA09G0107000 transcript:ORGLA09G0107000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPVAAAAAAARHALLLASVAVAVALLLVSPCHGVSEQGQALLRWKASLRPSGGALDSWRASDATPCRWLGVSCDARTGDVVGVTVTSVDLQGPLPAASLLPLARSLRTLVLSGTNLTGEIPPELGEYGELATLDVSKNQLTGAIPPELCRLSKLESLSLNSNSLRGAIPDDIGNLTALAYLTLYDNELSGAIPASIGNLKRLQVLRAGGNQGLKGPLPPEIGGCANLTMLGLAETGMSGSLPDTIGQLSRIQTIAIYTTLLSGRIPASIGNCTELTSLYLYQNSLSGPIPPQLGRLAKLQTLLLWQNQLVGAIPPELGRCRQLTLIDLSLNSLTGSIPATLGDLPNLQQLQLSTNQLTGAIPPELSNCTSLTDVEVDNNQLTGAIAVDFPRLRNLTLFYAWRNRLTGGVPASLAECPSLQAVDLSYNNLTGVIPKQLFALQNLTKLLLISNELSGPIPPEIGGCGNLYRLRLSGNRLSGTIPAEIGGLKSLNFLDISDNHLVGAVPSAISGCSSLEFLDLHSNALSGSLPETLPRSLQLIDVSDNQLAGALSSSIGLMPELTKLYLGKNRLAGGIPPEIGSCQKLQLLDLGDNAFSGGIPPEIGTLPSLEISLNLSCNRLSGEIPSQFAGLDKLGSLDLSHNELSGGLDSLAALQNLVTLNISYNAFSGELPDTPFFQRLPLSDLAGNRHLIVGDGSDESSRRGAISSLKVAMSVLAAVSAALLVAATYLLARMRRGGGAGGGGRVVHGEGAWEVTLYQKLDISMDDVLRGLTSANVIGTGSSGVVYKVDTPNGYTFAVKKMWSTDETTTAAFRSEIAALGSIRHRNIVRLLGWAANGGARLLFYGYLPNGNLSGLLHGGGAAAGKGGAPASDSEWGARYDVALGVAHAVAYLHHDCVPAILHGDIKAMNVLLGAAYEPYLADFGLARVLSKLDSAMPAPPRIAGSYGYMAPEYASMQRITEKSDVYSFGVVMLEMLTGRHPLDPTLPGGAHLVQWVRDHLQAKRDAAELLDARLRGAAAAADADVHEMRQAMSVAALCVARRADDRPAMKDVVALLKEIRRPAPSAAGDDAKPPPPPQPTPPPSLPTTVTATPASPVSSCSFAAVTDYSV >ORGLA09G0106900.1 pep chromosome:AGI1.1:9:13400551:13400781:1 gene:ORGLA09G0106900 transcript:ORGLA09G0106900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQQAAPPLALASALLLLLAAAAAVAPLGAAADGGLVQGGGEVARSAANTLAVGADPEPASADGIPADRAPDAHG >ORGLA09G0106800.1 pep chromosome:AGI1.1:9:13397970:13398194:1 gene:ORGLA09G0106800 transcript:ORGLA09G0106800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALIALASAVLLLVAAVAPPLAAADDGGAGVPGEGKLESAGSAIKSAAANAFGVGSDIGGVPVNPSPGGANA >ORGLA09G0106700.1 pep chromosome:AGI1.1:9:13394444:13394677:1 gene:ORGLA09G0106700 transcript:ORGLA09G0106700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAALFLAALLFVAMVVVPVARAAEESSAESPSSESSSSSSADAPAEGPDGPAAAPGPGEGIDGLSDDNDDDSSN >ORGLA09G0106600.1 pep chromosome:AGI1.1:9:13379266:13380087:1 gene:ORGLA09G0106600 transcript:ORGLA09G0106600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRLSAAAAAPHGVLLLLLLLLPLVAAAGPAAAKAPAAPPAPPNVTTAMAKGGCKAFADLIAASPDASSTYQSAAGGGITVFCPTDDAVRAFLPRYKNLTADGKAELLLFHAVPVYYSRGSLKSNNGVMNTLATDGAAKNYNFTVQNEGDAVTIKTAASGDAARVKSTVVDADPVAIFTVDAVIEPVELFKPAPSPTPAPSPAPAADAPKASKPAHHPAPVVADAPGPAATDSPPADQKKEAKKSAAAGAPPCVRWFAAALAAVAMASTLA >ORGLA09G0106500.1 pep chromosome:AGI1.1:9:13373982:13375571:1 gene:ORGLA09G0106500 transcript:ORGLA09G0106500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAMGGSGPMWYRSGAGMRAERRWAAARGATLRPARCSRRPARLSPPIYAACRHARLLSPLHAARCRTDPLSHFFPARRRARIYSPHLSGKSLRRTKATPMTHANGSKVAAHLTRLLHRSPRRPVALARHGPAAVPPAGRRPTSLKKRREFTEHVLQTPEQQNRQVLSPDLPVLHVNMPPSGVRDADATPATHAPACEGNSIVTEFT >ORGLA09G0106400.1 pep chromosome:AGI1.1:9:13370492:13371271:1 gene:ORGLA09G0106400 transcript:ORGLA09G0106400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPALAGVVLLLAVLLAMACAVHAASSQPPSPAASPESSEAESPESAESPESEESEWAAEGPGMLSEASEELGLGAGPLKTMGMDMDMLDDDDDGAAPSKSPAATAPAGAAAAPAEEGDEEEDASTASPASAPGASEEAEGEEEAPAGAPDAEAEEAASGPSEASSEEPSAAAAAAPEESGGGEEAEPASGEAPTAEAATAADVSPAAVTVSEGPAEGPGPSAADEEEEESGASATTQRGSLAAAAVLLVGAAVFAL >ORGLA09G0106300.1 pep chromosome:AGI1.1:9:13365219:13367033:-1 gene:ORGLA09G0106300 transcript:ORGLA09G0106300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGDGSFHRNEAISAVQDVDQYYGDDDDYDDLYNDVNVGDGFLQSSHPPPQPPAPPQQQQQPPPISQQPPPLQAPPPPPQQQHQQQHLQAPPSLPPPPPQRQPEKVHIPGVAAVPPAPVPDRPNPVHLPPQPQPPVAAAPPPPPHNQIQPGGGDGFHRQGGGNYGGGPIVVGNGGGGDGPGGTTLFVGELHWWTTDADLEAELSKYGQVKEVRFFDEKASGKSKGYCQVDFYDPGAAASCKEGMNGHLFNGRPCVVAFASPHTVRRMGEAQVKNQQSMAQQNSGVQKGGRGGGAAGGPGGAQVGGNYGGGRGGGGGGPGGGGGGGGGGNWGRGGGGMGGRGQAGNMRNRMGPVGGRGLMGNGGMVAPPPPMLHPGGMLGQGFDPTGYGAAMGRMGGGFGGFPGGPGAAPFPGLMQPFPPVVAPHVNPAFFGRGGGMGAGGVGMWPDPSMGGWGGEEQSSYGDDAASDQQYGEGGSHGKERPPEREWSGASDRRREREKDLPPPPDWPERRHRDERDAGRERERERDRDRERERDRDRERERERDRDRERERYRDDRDRHGDYHRHGKRESDRNEDWDRGRSSGRRSRSREVDHSKRRRMSPE >ORGLA09G0106200.1 pep chromosome:AGI1.1:9:13359643:13361858:1 gene:ORGLA09G0106200 transcript:ORGLA09G0106200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAVGHGPRTRIRGGGLAAAPTAPSAAARRLSAVSYTAAPNLTKKVPDPKVVKPARRTTPVKKRPQVDQAQKQREELAALQEQLSGLQKKLLEKDEALRSAEHLISRISAANAAVDELRGQLTEKESQIESTGSELHGAKIQLAEKQAALEKLEWEAKVSSTKVEELQVDVASMDVEISALMKLFRKITENDRAPYSRERADDSSLECEPVQLDDMVGDIDMEKMEQEMSAYATALAAAKDNPTDEFLKAVTEARLRLQAFVL >ORGLA09G0106100.1 pep chromosome:AGI1.1:9:13356026:13356715:1 gene:ORGLA09G0106100 transcript:ORGLA09G0106100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAGRRPAPQFAGVDLRRPKGYPAAAQLTPAAEEAAAGVGDPCPRCESRDTKFCYYNNYNTSQPRHFCKSCRRYWTKGGSLRNVPVGGGSRKSSTSSSSSSAAASSSSSPSSPAKSPKRSKNSKRRRVSPPPPQPAPTPPPPTTADAADVAAPTAPEATTKKAPEDLTAAAATQPAVALGLGVADGGGGGKEHLDTSPFEWPSGCDLGPYWPTGVFADTDPSLFLNLP >ORGLA09G0106000.1 pep chromosome:AGI1.1:9:13346327:13351914:-1 gene:ORGLA09G0106000 transcript:ORGLA09G0106000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRHHLPAVLRLFSTSCRRGHPTQPPQHPSPAPLPLPPPVAKKVPFTASAHGRSWSDPYHWMRDTSDPDLAALLEAENAYADAFVDSAGEGGGGLRARLAAEMRARLPPSAASPPQPWGPWLYYQYVPEGKEYPVLSRRLRSSGGLARAALDFISGSKKEQVLLDWNEIAEKFGYVHIGSCRISPDHRFLAYTLDISGDELFSLEVKDIQSTNTIFSSPHKGIVSLAWSRNSDNLFYTVCDETLRPNQVLCKDLQSDQAGFLVFMEKDINCCVDITSTKDFKYVTINSNTRTSSEVYVMESGHVRGGLWPVQKRSDKVQYFLEHHNGFFYILTNAPLEGTETANGGYYLARCRAEKSEMDKWQVVALPGSYYTFQDMDIFHEQLVLFLRKSGLPLICSINLPIDVDFQEQKELDDLDPWFFPVPSDLCSIVPGSNNDFMSSTYRLVLSSPVLPDLTVDYNMRMRTFAILHQEEVTGLSSNLCTVGLQSNITGIQQNLQLIEDSQSWSDLSKLFSCERVQVISHDGVSVPLVILYSREAHRRGESPGVLYGYGAYGEDLDKSWCSDRLSLLARGWVVAFADVRGGGDSSWHLAGTKANKINSIKDFAACGTHLIKEGFVHKNRLCAIGCSAGGLLVGAVINMLPDLFSAAVLKVPFLDICNTMMDSTLPLTILDYEEFGDPNISTEFDTIRSYSPYDNLSPDICYPPVLVTASFNDTRVGVWEAAKWVSKVRDITCQSCSWSVILKTNMQSGHFGEGGRFMHCDETAFEYAFLMKALGLDDNDSCKIV >ORGLA09G0105900.1 pep chromosome:AGI1.1:9:13338975:13341947:-1 gene:ORGLA09G0105900 transcript:ORGLA09G0105900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGVKAAAAAEKAREAARAKVWAARASTTVVLWLCCALLLATSRELGRWSGCLTQPLIVVERRFEAVAAAGSERAAASASAAAAARGERAESSASEAAVAALPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSEFKDIFDVDYFISSLRDEVRILKELPPRLKRRVELGYVRSMPPVSWSDISYYQNQILPLIRKYKIVHLNKTDARLANNGLPMEIQKLRCRVNFAALRFTPEIEELGRRVVRILRRNGPFLVLHLRYEMDMLAFSGCTHGCSNEEAEELTRMRYAYPWWKEKVIDSNAKRNDGLCPLTPEETAMVLKALDVDSSYQIYIAAGEIYGGQRRMAALTSAYPNVVRKETLLPSDLRFFQNHSSQMAALDYIVSLESDIFIPTYDGNMAKVVEGHRRYLGFKKTVLLDRKLIVELVDQYKNGTMSWNHFSSAVKASHSSRMGAPSRRQMIPDKPKEEDYFYANPHECLHQPEELSVL >ORGLA09G0105800.1 pep chromosome:AGI1.1:9:13328944:13335637:1 gene:ORGLA09G0105800 transcript:ORGLA09G0105800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGNKATTREHDFLSLYTTAAKDPSLQLHDAKPPPPSQGFFLRTHDFLQPLEKPTPAPAPPPTSQLQQQQQQAFPGGIGTFSISHVAGARPVAAAVVKAEPTPFVLWGQPAAAAAAHPVAALGHHHHHHQQWTLPFAGVGQVAATAARQQQERKGRVGGGGFMDSGSRSSGGAGFDDDDGVAARREVSSSLKAELTVRVDGKGGSCSGSGTDQRPSSPRSKHSATEQRRRSKINDRFQILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVQKFEASVPEWNQENAKILPWSNIYFRSFWKNSQSKGQNPGDDLPDPSQFIRNGSSSGYNFTGKPDDNHNMVTSAAASGAQELVETDHAASVSYRSAETPTNITNNVTSRAQAQWASPAGVDDCAMNSEMLNNQQLAIDEGTISLSSQYSQQLLGTLTHALESSGVDLSQASISVQINLGKRAVKRPGADGSSSSKELPSTSANNENMGHQLTMLGGGTEELPYPTKRHKSGNS >ORGLA09G0105700.1 pep chromosome:AGI1.1:9:13310787:13311572:1 gene:ORGLA09G0105700 transcript:ORGLA09G0105700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPETTVPPESTHMGSPPPSHSPSPPPPLQGDHSLPTDAPPEPSPQPHHATPISPPSPGQATKERPRVEEPQPPIDGTPGAAGPPAQPSFFPSLELGTSAAPAAPAATRQPGSPSSHPPVEPSVEFYPGSAASSPSSSSYETAQDDWPAPPPRAHSPTTSLLAGFILHRVFPCAXSLRRGGGLARRTQATAADTIGDKAEGYLRPTGPKNSLSSATSARQVERRLRDVRGQISTIMGCLPPNVHFRGVPELNTHNCDVL >ORGLA09G0105600.1 pep chromosome:AGI1.1:9:13305405:13307387:-1 gene:ORGLA09G0105600 transcript:ORGLA09G0105600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLDCPVQTQMAVAVLDRSFSSEYPASSRTEGRSCSWKRVFVQTENGYVLGIELERGENAHTVKKKLQVALKVPTEESSLTFGDLVLNNDLSSIRNDSPLLLRKNQMHRSSSTPCLSPTAHDVQEQDHSEPIEILGCLSPSSRMKQLAKDVVEAIRNGVDPVPVNSGMGGAYYFKNIYGERVAIVKPTDEEPFAPNNPKGFVGKTLGLPGLKRSVPVGETGLREVAAYLLDHDNFANVPPTMLVKITHSVFNVNDTVGCKSKVFHNKLQAVSKLASLQQFIAHDYDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLGPGPDNFGVQTELIPIDHGLCLPESLEDPYFEWIHWPQASIPFTEEELEYIANLDPVKDAEMLRLELPFIRGACLRVLVLSTIFLKEAAAFGLCLSEIGEMMSRQFTGKEEEPSELELLCMEARKWVKKRELFLPEAGVEDDNDGFTQFSIDSEDGSDASELPSFSKFGLMNASHRNPLSKLDECDEEDGEEEEDDDGDEEDDDEDMFKDDAGNLKNPFSKHIPSVSKLSASFKGLGFIGKARAYHKGVPKNKVTAKTNYSGKGSEHQSGSRSANELLPPSASFVKLSDMGSDEWSAFLDKFQELLPSAFRARKHAAADGPRPLQRLGTSFQF >ORGLA09G0105500.1 pep chromosome:AGI1.1:9:13295769:13296856:1 gene:ORGLA09G0105500 transcript:ORGLA09G0105500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAGVLLLLLLLSLSALSASASEANEERLLRENATPITGRKWLRGRKAMAAAGRMGHGGVVVVEGKGGGEEKNKKNTGANTAHVHGNGSERAVDVAVVGKSGGKHGIKSPLNEGLKCVISVVPGAANQEADASAKAVNHEEPSKHDDTAAAVSRMMSMDYKTQDARHHRPINNDAPLDHELVEKP >ORGLA09G0105400.1 pep chromosome:AGI1.1:9:13292658:13292948:-1 gene:ORGLA09G0105400 transcript:ORGLA09G0105400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRNMLRPAASGLCDEGDGEDEGSEGGKHLGLRDEEGDNEVGVEEPGGDVGDERGVEGEAKLGVEEVELGERVDNDEEGGEGEADDKFGDEGGAT >ORGLA09G0105300.1 pep chromosome:AGI1.1:9:13289314:13291338:1 gene:ORGLA09G0105300 transcript:ORGLA09G0105300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPPDEQTFLSMLKSERRSVGKQVHAHVEVSGLHSSVYLRNSLIKMYLDAGDVEAVEAMFRCTPTADTVSCNIMLSGYVKGGCGGKALRFFRGMVSRGIGVDQYSVHGCCSPRLLRAAEEGSSWPGKRKTNSGWQEENKLSLSSRRSIAKKKRASSSGEDPHRRRFCLRRRRGSRARPHVVSKAKVPVRRCGWTSGAQVPKACVPDVAGGGVGLHCGTGDAGALHGIAPVCASVAPAAAAAAAAAAS >ORGLA09G0105200.1 pep chromosome:AGI1.1:9:13283818:13288142:-1 gene:ORGLA09G0105200 transcript:ORGLA09G0105200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRSLGASSVAALRPTPSRGRGPTLRSAVAVQGRGAAAVAARGVRWEAGRRKGKGRMVGVRCEAAVTEKPAGEEEAAGEQFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDSSVLSDGGELEIRIKPDPEAGTITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKADKQYVWEAMADSSSYVIKEETDPEKMLTRGTQITLFLRDDDKYEFADPGRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEEEEEEPKEGEEATEGEKKKKKKTITEKYWDWELANETKPIWMRNPKEVEKTEYNEFYKKAFNEFLDPLAYTHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEIAEKEDKEDYKKFWESFGKFVKLGCIEDTGNHKRLAPLLRFYSSKNETDLISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDKENESKQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLSAACKNEPESTEAKRAVELLYETALISSGYTPDSPAELGGKIYEMMTIALGGRWGRPEESEAATSESNVEVESSEGSATEVVEPSEVRPESDPWKD >ORGLA09G0105100.1 pep chromosome:AGI1.1:9:13273393:13276263:1 gene:ORGLA09G0105100 transcript:ORGLA09G0105100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSAGYYYALGIRSLEPRLGDSMDMSESSEKGMESNASPGPGNGIPVEWQSQFSSAFACQPSVAAQHQQHAMMDSFAVASAGLWASSDVVSAMSSAAPPRGAGFLAPVPGFLQQGLGHFPVDSGFIERAARSTCFGGGMMAGGPYGAADQAMGDAFGGTAEGLMDHHRNVGNDKAEEFAGNGHDEVPSSEVAGGDCSSIGSDSKKRRRPNEVMGTDQVHSSNLPSDSANESAHSKDKGEESSPATTNGGKSKGKGAKETSESQKEEYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDLLRFPGVPSSSIGFSPEMMHPQLQLSQPGLIHGGTAGMANPDVFRRIIQAQLGAKDGSQQMPHSLNGSFSDVSQMAYPSLGSQDLSIRPSQDGFQM >ORGLA09G0105000.1 pep chromosome:AGI1.1:9:13265523:13267280:1 gene:ORGLA09G0105000 transcript:ORGLA09G0105000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48910) TAIR;Acc:AT5G48910] MPPPTVPFFLTSTTLAAAAAKPQRPGPPSPPAQQQQPREARDGSRDACASYTARMRLNPQLALRLFDHLLRSGADPDHVAYALALGRCARGRDRRGAAQLHAHAAKRGAASHRRVCNGLIHAYAVCGSLLDARKVFDRGHEGDAVAWNSLLRGYAAAGDVNALREFFVGMQARDTVSWNTIIAWCVENGEYEEAIAVFREMLASMECLPDRVTLVSVISAITYLGALAQGLWAHAYVCRKGIEVEERLSSALINMYSKCGCIEGAVHMFENLGAQMNVDTWNAMLAGFTANGCSEKALELFARMEITGLVPNKITFNTVLNACSHGGFVEEGLFDKAEKMIQMMPMKPDAAVWKALVGACKTHRNFELGRKAGHMLIEAAPNDHAGYVLLSNIYALDGNWTGVHKVRKLMLDRGVQKVPGSSSIEIDGVIHEFISGDKSHSSKEDIYEMLSEMCQQLKVAGYVPDTSHVLLDIDDEDMKESSLALHSEKLAIAFGLISTAPGMPIRIAKNLRVCGDCHNAVKLLSKIYGRCIIVRDANQFHHFREGSCSCGDFW >ORGLA09G0104900.1 pep chromosome:AGI1.1:9:13253335:13253856:-1 gene:ORGLA09G0104900 transcript:ORGLA09G0104900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHYHGEVASLHCLSPPSLPFSSHYHSNMITMAPSPFHFPAATCEPIQELLPVVAGNRPAGSGSTDDAYQMAAEEERRRRRMISNRESARRSRMRKQRQLSELRGQVVHLRDANRRLLDELNQAMRGCSDVHCENARLRKESAELQTKLEHLMQAQKNNTSPSSSQPCENI >ORGLA09G0104800.1 pep chromosome:AGI1.1:9:13247349:13249627:1 gene:ORGLA09G0104800 transcript:ORGLA09G0104800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPWPPTTGAASAAAAAASPAPPEGAAGGAATPAAALATTSEQRPVTKRIHKIFEKDQVGTYFLRFIIETEIQEHEQKINKYQAILAARLKAKYFSNKDFDGGMPFFQSHAIEICY >ORGLA09G0104700.1 pep chromosome:AGI1.1:9:13236146:13244494:-1 gene:ORGLA09G0104700 transcript:ORGLA09G0104700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G76280) TAIR;Acc:AT1G76280] MWLVEFTPERPQLVQTVLGFTPFRCTVMFSLWKAITVVSNLVTQFYACKLYMNEYDEFKWMTSLAARSIQADIVGALRRGDRQQASLLLSNLQQTNRALTSEDFSHILEYCATAPDPSFVTEALELMEENAVHMSQRVYRSVTRALSKGGYSKEAIHWLTLLVEKESSHNYLPIFNIFLSGCGSTTKQSDIEGCLEKMETYFLGKSEITYCELLKLAVSQRNLSAVHDIWKDCTRNYNPSIILQRKFVRALTTLGDLRSAYRIMQHMVVLAGRNTDHLRGKGRYQRSRLDIPVPALTELEDLKILLGCDLPSSFQGKVEESEKCSTDTQPELSQEENISFENLQLKGYAEFISTGLSSSDNLSDNGRMARPLRLVPATVKKFLLWSFNDIVHACVQLNNCQIAEQLLLEMQRIGLRPSKFTYDGFIKAVMVGKGVAYAIKVIEAMERRGIEPYNDTLAALSVGSSRSLQLNLAEDFLARISKPQPKYIHAFNALLAGCDMMNEPERAVCVLAEMRHLNLKPNLRTYELLFSLFGNVNVPYEEGNVLSHADVSKRISIIETDMLNNEIQHSFVCMKNLIRAFGAEGMTEEMLKYLNVAENVLWNMDPYQKSDLYCIALHALVKAKDTHKAIKTFMTIRSYGLPANVAIYNIMIECCKLLPCVKSASAVLSLMLRDGFYPTILTFTSLVKVVLAREDFEGALDLLDACIIEGIQPDIEIFNTVLLEAFEKGQIHVVEYIVECIHQAKIRPDQSTLWYTFCAYVDQELYNTAIEALQVLSVRMISEEADVLKEKGVIVEDLILSEEPDAELKIMKTFEATEHLATALLNLRWCATMGSTISWSPEDSLWARRLASSYDGNRRPHIFTSIVPKQFVV >ORGLA09G0104600.1 pep chromosome:AGI1.1:9:13232682:13233808:1 gene:ORGLA09G0104600 transcript:ORGLA09G0104600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRLIEDDGEECNSNESGWTMYLASPTHSDDVRAIVSEGSNVEDGSGFSNERRRGKENKGHANDDGDYDSLASDASTGPAEVKMQEGKEEKDHQMNGGNRHQHAKDEQDEIPTKLSTSYSKKVGKIKKGDEKTSRRGQNKRHEIYCLKELNLPYFHIRIKQCT >ORGLA09G0104500.1 pep chromosome:AGI1.1:9:13226491:13227690:1 gene:ORGLA09G0104500 transcript:ORGLA09G0104500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT4G08940) TAIR;Acc:AT4G08940] MARRLFSATRALLRAVPSPSPSSSAAAAAAAASLLPLLPCKRRRKLRKKLSSPRVAPIEPEAARRVPELDAVLDRDAAFRFLARARSFLASLPPPHRIPLAEAGKLYRELGFPRGRSVSRAAARHPLLFHLPRVDSVPHLALTPLMCSLLEEERRLHDQLLPTRVRAVRKLLMLADHRRIPLAKLHHCRAVLGLPDDFRDRVREFPGDFRVAVDPDGRDVLELARWDPALAVSALERDFVVDERRVRRTFRFAVPHSRSMPLDAEDADRLDAATTFPLVSPYTSGALLRPWTPEAEKYRVGVVHEFLSLTLEKRALIHHIFEFKEELGLTRHMHASLRKQSHAFYLAGTEMNWAVFLRDAYGGDGDLREKDPLVLFNEKLRRYACMTKMDSSESIATAY >ORGLA09G0104400.1 pep chromosome:AGI1.1:9:13221257:13226215:-1 gene:ORGLA09G0104400 transcript:ORGLA09G0104400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVAPMVDMRALSQSDLVALAAGSPYSADPRRGRDADVLPPPKIDRAVFNESAGSRKQTFSRHRVATNLSHSLTPAAAPAPAPAPADEDSENRLIAFHLQRLYAGEDPSFASPPQIAPQPQPQTLITPAIGAAVTPAPSLPTPPPSNADMEVMNPNGVAVDLARLAELVDPYEEEMRRRTAGLGAESELLGFMNGLEGQWGSRRRRRKFVDASMFGDHLPRGWKLLLGLKRKERVAWINCRRYVSPSGHQFATCKEVSTYLMSLLGYVEAKPTAIQSSNAEVLELNAVNSVGHCQPNSTEEKQSAPPVTSVPFSSHHGDPQRQLDKNETQVEANGKECQKCNLTFQDQSAYVQHQLSFHQRKAKRRKVNKSGEVGANKNVTIVTQECHITSEDKLGNIDHSLATTKSQGQTPEKMPDETISGELGGRPSMAPEPVGFQETNGLTEQGKESSAGELLSGHCDPLHNMAGVPEKEKGSAGEPVTGNHEDPIDNFSDHKIHDGACHNAEEPHAVEAASEFNIGNSANLQQTDSTKDLVLSNADCTQNDNITKDLVPNPTIPQGESKCIDDPMECTDMKPSKKVSEPCDLLDDKFSSFPEGANFNGQEENSPLSAALNEPDLNSIDMEVDNDNVECKYGNAGDSTSPENGKHIEDQIIDCRMTALKDHEINTDVRIRDVNLNSCLDAMSPPVSGANYETSNAIDDNNRSSIIAQCFGANSADDNACKEENFVNNQSSVSKAESFNQNNDMMYQPNLTMDPISPAQINVDCFTSCSMTSEIKNNSNRREDNAKEQLVNPRNITSNDAGFDVEAYSNIFNGAITESSLAQLNNAINMKADYSSCYSLSDLNTLTGGPATDEIDIHSMRNSFVNSSTSRNEPNEHCTLDFDIKGSMLEALEKSDSDLENQYNGSTRPCGSLPTAGTSGTIDDFMSLQTNFGSLTSLVRSVEDGPMSRIIQDQCDLQLGFGVQKPQMYPTFEEQLRMASAGAPQFGTMNRHNHVPVPEPTLMLGYAPHIGSCPPVQLGWDMSMSKMVGGCVLQSSMCVWCNTQFQHFGTVADQQADSLGFICPACKEKISGHLSMLNNSSSQL >ORGLA09G0104300.1 pep chromosome:AGI1.1:9:13216866:13217246:1 gene:ORGLA09G0104300 transcript:ORGLA09G0104300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAASDVFWNGGAACGKRLAVTCTGATNQGVPQPCTGRSVTVKIVDYCPAGCRGTIDLSQEAFAAIANPDAGKILVEYHEFIHDKLCSFAGSKIKIRMA >ORGLA09G0104200.1 pep chromosome:AGI1.1:9:13215477:13215975:1 gene:ORGLA09G0104200 transcript:ORGLA09G0104200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTTMAKVITSVVIAAVVALAMVSLVAADSGTATFYTPPYTPSACYGFEDQGTMIAAASDVFWNGGAACGQQYVVTCTGPTNQGVPQPCTGQSVTVKIVDHCPSGCAGTIDLSQEAFAIIANPDAGKFFIDYQQ >ORGLA09G0104100.1 pep chromosome:AGI1.1:9:13206281:13206940:1 gene:ORGLA09G0104100 transcript:ORGLA09G0104100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMPKVTSVVMAAVVGLAMVSLVAGISGTATFYTPPYTPSACFGFQEQGTMIAAASDVFWNGGAACGKRYVVTCTGATNQGVPRPCTGRSVTVKIVDHCPSGCQGTIDLSQEAFAIIANPDAGKIKIDYRQV >ORGLA09G0104000.1 pep chromosome:AGI1.1:9:13199095:13204889:1 gene:ORGLA09G0104000 transcript:ORGLA09G0104000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQQPAAVPRWTPSPRRHQDDDEADRKGAAGMVSDLGASMRGTEGFPFGSGRSFQPPPFLPAAEAEQPSPEISVERNDENDRVAFVVARETSSLRRPEQGAVLAWEDLWVSTAGGSRRRVPILCGLNGYARPGEVLAIMGPSGCGKSTLLDSLAGRLGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKQQNTFNFFALSGFPCPSLMNPSDHFLRTINKDFDKDIEVGLDGKTMTTTQAIDTLVNSYKSSVHLAKVMHQIEEIRANNEGQLVKKERQPTFLTQSWVLTKRSFVNMYRDLGYYWLRFAIYVALCLCVGTIYYDVGHSYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVASFVIANTLSSTPYLALISVVPGAIAYYLTGLQSSGEHFGYFAAVLFTTMMVVEGLMMIVASAVPDFLMGIITGAGVQGVMMLNGGFFRLPNDLPKPVWKYPMYYIAFHKYANQGFYKNEFLGLTFPKYNDQAGAGTVITGEEILTNYWQVQLGYSKWADLAILIGMVVLYRVLFFVIVKLIEKMKPMVQRLRFRSDMPSVQVTEQGFGSS >ORGLA09G0103900.1 pep chromosome:AGI1.1:9:13189258:13193376:1 gene:ORGLA09G0103900 transcript:ORGLA09G0103900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVSQKGDILINGRRQALAFGTSAYVTQDDVLMNTLTVREAVRYSGQLQLPSGMSAAAKRERAEETLREMGLEGAADTRIGGWAHKGISGGQRRRVSICMEILTRPALLFLDEPTSGLDSAASYHVVSRIARMARREGMTVVAAVHQPSTEVFGLFHGLCLLAYGKTVFFGPAADTAQFFALSGFPCPSLMNPSDHFLRTINKDFDKDIEEGMDGKKMTTAQAIDTLVNSYKSSVHLEKVTHHIQDIRATGGAVVKKEERPSFLTQTWVLTKRSFVNMYRDLGYYWLRFAIYIALCLCVGTIYYNIGHSYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVGSFVIANTLSATPYLALISVAPGAIGYYLTGLQSSIDHFAYFAVVLFTTMMVVEGLMMIVASAVPDFLMGIITGAGIQGVMMLNGGFFRLPDDLPKPVWKYPMYYIAFHKYANQGFYKNEFLGLTFPNNQAGGAATITGHEILRDYWQVQLGYSKWVDLAILGGMVVLYRVLFFVIVKLIEKMKPMVQRLRFRSDAPSVHIAENGSAGSL >ORGLA09G0103800.1 pep chromosome:AGI1.1:9:13181450:13184808:1 gene:ORGLA09G0103800 transcript:ORGLA09G0103800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEVLLLRILDMGLLLGDDSGCGEETGMAVTHT >ORGLA09G0103700.1 pep chromosome:AGI1.1:9:13171013:13177848:1 gene:ORGLA09G0103700 transcript:ORGLA09G0103700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRGRTQRRHFKQGRENVWKHNPQRPPAAGGEGAEGGAAEGREGNPSWQPFATENPAFEDYYKAQQIIPEGEWDDFMNMLRKPLPATFRINASCQFYQDICSQLENDFRKSLETEVSDEHEEDAIRPLPWYPGNLAWHLNFSRMQLRRNQALEGFHEFLKRENEVGNITRQEAVSMVPPLFLNVQPDHHILDMCAAPGSKTFQLLEMIHQSTKPGMLPNALVVANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCNLAKFSSETCTDESKLQRLEFDRVLCDVPCSGDGTVRKAPDMWRKWNAGMGNGLHRLQVEIAMRGIGLLKVGGRIVYSTCSMNPVENEAVVAEILRRCGDSVELLDVSNELPELVRRPGLSTWKVRDRGSWFGTHEDVPRYRKNVISPSMFPSGKGTMDSHVAIGSVEINTDVIDADMKDSTNMVEGEQETKTASDDVNNGGDPNTEETSKLESNEVPNDSDKKSNSTSIRTEHSNFPLHRCMRIVPHDQNSGAFFIAVLQKISPINENQEAELIKGEHNISKDRAEKLEKGLGSDKVPHKENTVQQQGVDDGNVMDEQQNGDVDNETSNGKSSEEAKVIVNEAENDQAGPRDRRRKPQNQGRWRGVDPVIFFKDEATIRSIVSFYGIKDTFPLEGHLVTRNPDAGHVKRIYYVSKSVQEVLELNVKVGERLKITSLGLKIFERQSSKDGSPCTFRLSSEGLPLLLPYITKQILYASAIDFQHLLQYRTIKFPDFVDAKFGEEASALLPGCCVVVLWEGHQNIDSIAMDPSAIAIVCWKGKTNLCVMVSPLDGKELLERICLRYGLKIPKADDVKPSMKIDGSDEQPDLSTEAVDPEAVPESKASDMEIADAKEVE >ORGLA09G0103600.1 pep chromosome:AGI1.1:9:13166399:13167088:1 gene:ORGLA09G0103600 transcript:ORGLA09G0103600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPRDGGLDAAASLRRQPHRGRCDGAVVGEQARYGGAGEASMQPRCGRMEEKWAAQTNSLLAEWAVISTGTSFSLDDPTPVKPTVRLDWYLMVIFKATQLGRLWSLLLKEDEGIEVIAKCNLLEKRMMELFSIFGWNSRKRIEA >ORGLA09G0103500.1 pep chromosome:AGI1.1:9:13159260:13163937:-1 gene:ORGLA09G0103500 transcript:ORGLA09G0103500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLHSACLLICISGAIGLAAAAAGHPGCQTRCGDVDIPFPFGIGDHCAIHEGFRLECDNAMKGTSNQKPFWGDFEVIKISMEDGKVWVKAYMSRQCYNQSTGGMSYSDASANLSGSSFWLSDTDNKITVIGCKTLAYMTTDSYVIGCSSACDNKVNKLTPKNGSCSGAGCCQANVPKSIQYYQGYFNEDYNTTKIWMSSPCSYMAVMETAAFNFSTSYLTSSVFYDTYKGGVPVVYDWAITSKTCTEARRNKTSYACISNNSQCIDNLTNAQGYRCKCSNGYEGNPYIKDGCKDIDECLNNATYPCKGICTNTLGNFTCSCSPGSYMMNGDCMPKKKLRFDSVPVVVGASIISVVLVITIMCAYLIKERRKLQLIKQHYFRQHGGLLLFEEMKSQQGVAFKIFSHEELQEATNRFNEQQILGQGGHGTVYKGLLKGNMEVAVKRCMTINEHQKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFDLIHGNHGQQISLATRLQIAHESAEALTYLHSCASPPILHGDIKSSNILLDRNLIAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQLCQLTDKSDVYSFGVVLVELLTCQKAFNLNAPEHEKSLSMRFLNAMKNNKLADILDDQIKNSENMPFLEEIAELAAQCLEMSGVNRPSMKHIADNLDRLRKVMQHPWAEQNSEELESLLGESSMVSSRYTSTGNFSMERKGVMELDSGR >ORGLA09G0103400.1 pep chromosome:AGI1.1:9:13147427:13148800:-1 gene:ORGLA09G0103400 transcript:ORGLA09G0103400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWMLCKNHACLMQDLVSFSFLIYSLATSSFILGGSLFNAMDTILVIGLGLALLVIHTSFYDCVGTSVGLVILVITITCACLIHDRRKLQHIKNHYFRRHGGLLLYEEMKSKQGLAFKIFSEEELQQATNKFDEHQVLGQGGNGIVYKGHLKDNLEVAVKRCMTIDEQKKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPILVYEFIPNDTLYHLIHGNYNGWHIPLVTRLRIAHESAEALAYLHSCASPPILHGDVKSSNILLDSNLSAKVSDFGASILAPTDETQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKPFNLDALEHEKSLSMRFLSAMKENKLSDLLDDQIKNNENMGFLEEIAELARQCLEMSGVDRSSMKEVRDKLDRLRKVIEHPWTHDNPEELESLLGESSCVVISEVESTGNFSIERKVVKGLESGR >ORGLA09G0103300.1 pep chromosome:AGI1.1:9:13132066:13135211:-1 gene:ORGLA09G0103300 transcript:ORGLA09G0103300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPLPSTILLICVSAIGMVAADVPVAGRPGCQMRCGDVDIPFPFGIGDDCAIHHGFNIICKPVNGTKRPFKGPFEVTKISVRDAKAWMKMRISWQCYDSASSKMKEWVDFQNFTYTPFRFSYEDNKIFIIGCNTMAYMRGVSYVIGCLSTCSDQPKNGSCSGAGCCSVDVPPDLGYLEAYFNKDYNTSEISYSSCGYVVVMEKAVFSYSTTYIPSTNFWDDYNGTVPAVMDWIITWETCEEAKTNMSSYACVSNNSECLNSTNGRGYRCKCSKGFDGNPYVKDGLLGCRDINECLDNTTYPCAGICENTIGSYKCSCPQGQNELARGVCVPDQKIQKSQAWVMPVVGASVGFVILVIMATCSYLIRERRKLQHIKQKYFKLHGGLLLFQEMNSNERKSFTIFSEAELQHATNKFDKNQILGHGGHGTVYKGLLKDNTEIAVKKCMTMDEQHKKEFGKEMLILSQINHINIVKLLGCCLEVQVPMLVYEFIPNGTLSNLIHGNHGQHISLVTRLRIAHESAEALAYLHSYASPPIIHGDVKSSNILLDVNFMAKVSDFGASILAPLDKSQLVTLVQGTWELLTRKNVFNLDAPENEKSLSMRFLSAMKENKLENILDDQISNEENMEFLEEVVDLAKQCLAMCGEDRPSMKKVAEKLDRLVKVMQHPWTQQNPEELESLLGESSYIISSGASSTGNFSIEKKVVKDLASGR >ORGLA09G0103200.1 pep chromosome:AGI1.1:9:13129780:13130031:1 gene:ORGLA09G0103200 transcript:ORGLA09G0103200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGDLTWRVAQPIDQAAMAGYDALVADVEASLARAFRMLLEAVDRKIDGEVGATHGELAALLEESWSLRASSSGSTPGPMS >ORGLA09G0103100.1 pep chromosome:AGI1.1:9:13124903:13125934:-1 gene:ORGLA09G0103100 transcript:ORGLA09G0103100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTAFKIFTEEELQKATNNFDEKKILGHGGHGTVYKGFLNGNTEVAIKRCKTIDEQQKKEFGKEMVILSQVNHKNIVKLLGCCLEVEVPILVYEFIPNGTLFHLIHDGHGRHISISTRLQIAHQSAEALAYLHSWASPPILHGDVKSSNILLDGDFTAKVSDFGASILAPTDDAQFVTFVQGTRGYLDPEYMQTWKLTDKSDVYSFGVVVLELLTRKKPLNFDGLEDEKSLSVRFLSAVKENKLEEILDDQIKSEENMEILEEIAELARRCLEMCGENRPSMKEVAEKLDSLRKVLHHPWALHNLEEAESLLGESSIVSSEVVSTGNFSIEKKSLIGLESGR >ORGLA09G0103000.1 pep chromosome:AGI1.1:9:13109648:13113764:-1 gene:ORGLA09G0103000 transcript:ORGLA09G0103000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAPLLLQYSSLLLLLLLLCLAVSAPPAAAGNVPAPVAAVSKPGCPTKCGAVDIPFPFGIGEHCGLEAPYTNYPFKFECKPVDGTSKPFFRGMEVTKISMEDGKAWMKMNISKNCYNQSTGTREDNTNTTSVSFSRSPFWISDRDNKIIVIGCETFSYMQINNVLTGCVPSCGNDPKDGICSGEAGCCKLDFPNGTWYYSTYFSKRNNNSSPCSFITVMETTTFNFNKNYFNSTTFYDTYNGLAKVSLDWIITMDSCDRVKRNTTSYACISGKSRCVDDPKGGYRCKCSDGYEGNPYVKDGCKDINECLDNATYPCPGICKNTLGNFTCSCYPGNYMMNRICIPNQKSGFPKNLVIGASVGAVLLVIIVTYACFIREKRKLQYVKRRYFRQHGGMLLFEEIKSQQGISFKIFSEEELQQATNKFDKQQVLGQGGNATVYKGLLKGNMEIAVKRCITIDMKQKKEFGKEMLILSQINHRNIVKLLGCCLEVEVPMLVYEFIPNGTLFSLIHGNHNQHISLDTRLRIAHESAEALAYLHSWASPPILHGDVKSSNILLDKDYVAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVILELLTRKKAFNLESPEDERSLAMRFLSAMKEKRLSDILDDQIMTGDNLEFLEEIAELAKKCLEMSGENRPLMKEVADKLDRLRKVMQHPWAQQNPEEMESLLGDSSYEINNSTVENTGNFSINSELQCLESGR >ORGLA09G0102900.1 pep chromosome:AGI1.1:9:13098390:13101130:-1 gene:ORGLA09G0102900 transcript:ORGLA09G0102900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G22420) TAIR;Acc:AT2G22420] MALLLLRRGGGFAAATVLAVVVVALVLSCGGGAEAAVRDLRVGYYAETCPDAEAVVRDTMARARAHEARSVASVMRLQFHDCFVNGCDGSVLMDATPTMAGEKEALSNINSLRSFDVVDEIKEALEERCPGVVSCADIIVMAARDAVALTGGPFWDVRLGREDSLTASQEDSDNIMPSPRANATTLIKLFAGYNLTVTDLVALSGSHSIGEARCFSIVFRLYNQSGSGRPDPNMDPAYRAGLDSLCPRGGDENVTGGMDATPLVFDNQYFKDLVRLRGFLNSDQTLFSDNAGTRLAVRKFGEDQGAFFRAFVEGMIKMGELQNPRKGEIRRNCRVANAPAPPPVEAEVAATSKAVVLVDF >ORGLA09G0102800.1 pep chromosome:AGI1.1:9:13095231:13097775:1 gene:ORGLA09G0102800 transcript:ORGLA09G0102800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHQSKVQRLYDACDAVFSSGSKAGLPTLKQIRWLQDLLDGMEAADVGIEGGGSGGERSSSSEDDDERSPPGRRFLSARAFTRITYVHIHECDDFSIGVFCFPAGATLPLHDHPQMVVLSKLLYGSMRVKSYDWANAPPCSGPRKSGLARVVAVDEMREAPCKASVLFPRSGGNIHSLTAVTPCALLDVLAPPYAEDLGRPSTYFSDIPIPSLPGFAVLEEADLPDGFRVAGAPYVGPELTIDMDSMYN >ORGLA09G0102700.1 pep chromosome:AGI1.1:9:13084343:13088846:1 gene:ORGLA09G0102700 transcript:ORGLA09G0102700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3550/UPF0682) [Source:Projected from Arabidopsis thaliana (AT4G40050) TAIR;Acc:AT4G40050] MADGEASSGAYREFKALTEAADRKFARARDVPLYGGGVDHHSRKAFKAYTRLWRLQQERRRELVAGGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFAAAAQVVVGGGDRHQGLLIRYKELRFIARFLVVAMLMRRAEAVDHLASRLRSLVEETKSAYPKTNFKEWKQVLQELGRFLKADGAYKGSRSLRYDNLFDSFPSNLAPIARFHSKRVLKLKEAVLTSYHRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTISDQSGPSGLIDIHLSSEISDGNLPANPQKAIIYHPTVSHLLAVLATVCEELSQDSILLVYLSASGFSEQNITSQKYASSSSYARATSVYPIDKPNSNGNSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAIHNAEKGEPAALLLSPRIASAMPGVESTSNGSQFTYFLTAPMQAFCQLAGITSDIDSDTYANAEIILFSALEQYEGILCTSVGLNNVWGQILPDPFLRRLIVRFIFCRAVIFYFHPEENGEHIPICLPSLPESVAPNAEAIMAPILEFAENLVVSDRFHFRHSVRNNKK >ORGLA09G0102600.1 pep chromosome:AGI1.1:9:13069577:13071125:1 gene:ORGLA09G0102600 transcript:ORGLA09G0102600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPGGAGGGGGSPSLVTMANSSDDGYGGVGMEAEGDVEEEMMACGGGGEKKRRLSVEQVRALERSFEVENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSYDSLRLDHDALRRDKDALLAEIKELKAKLGDEEAAASFTSVKEEPAASDGPPXXXXXXXXXXSSAVLNDVDAAGAAPAATDALAPEACTFLGEPPAAGAGAGAAAAASHEEVFFHGNFLKVEEDETGFLDDDEPCGGFFADDQPPPLSSWWAEPTEHWN >ORGLA09G0102500.1 pep chromosome:AGI1.1:9:13046273:13049842:1 gene:ORGLA09G0102500 transcript:ORGLA09G0102500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRIAASHRPPLLLPSPHQLRRRHIAAVPLSLPHTSLSLSSHHHHHHRLAPTPLRRRIPPLLASQTPNPEADSPAPAGAKLAPLLVSLAVGLAVRFLAPRPPEVSPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAAVATHTLPFAAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGGSTLGLSYGLTISEAFISPAMPSTTARAGGVFLPIIKSLSLSAGSKPNHPSSRKLGSYLVMSQFQAAGNSSALFLTAAAQNLLCLKLAEELGIIVANPWIAWFKAASLPAIASLLATPYLLYKIFPPETKDTPDAPALAAEKLERMGPVTKNEWVMIGTMLLAVSLWVFGDAIGVSSVVAAMLGLSILLLLDVLDWDDCLNEKSAWDTLAWFAVLVGMAGQLTNLGIVSWMSSCVAKLLESFSLSWPAAFCVLEASYFLIHYLFASQTGHVGALYSAFLAMHVAAGVPRVLSALALAFNTNLFGALTHYSSGQAAVYFGAGYLELPDVFRMGFVTALINILIWGVVGTFWWKLLGLY >ORGLA09G0102400.1 pep chromosome:AGI1.1:9:13040099:13044257:1 gene:ORGLA09G0102400 transcript:ORGLA09G0102400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Queuine tRNA-ribosyltransferase catalytic subunit 1 [Source:UniProtKB/TrEMBL;Acc:I1QPP5] YSCLQVLGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLEEIGCQIILGNTYHLELRPGSQLIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVKGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNAMATDERPIDPTCPCMVCKNYTRAYLHCLVTKDAMGSQLLSYHNLSFMMRLSRDLHMSILEGRFPEFVRGFLRMQFPKGDVPKWVRNAMVVAGIDISECCTPAKYPRDAMDAPGTDIPELLPPTKCS >ORGLA09G0102300.1 pep chromosome:AGI1.1:9:13020908:13031458:-1 gene:ORGLA09G0102300 transcript:ORGLA09G0102300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isoamylase 3 [Source:Projected from Arabidopsis thaliana (AT4G09020) TAIR;Acc:AT4G09020] RAPPGSPLRREYEPVSVCFSLASAFFPRFLRRRHSDSAHGDPECSVGVATIGFGDASGLRACSEKVRRFDSVRSTTARAQSGNAGRSMTEVSHQERGCTMSDTEMPFKYSSGKAFPLGVSQVEGGLNFALFSQHASSVILCLKLPGRGTEDEKGADVVEFVLDQQKNKTGDIWHVIVEGLPASGVLYGYRVGGPQGWDQGHRFDSSTVLLDPYAKLVSGRKYFGVAEEKSSQHFGTYDFDSSPFDWGDDYRLPNLPEADLVIYEMNVRAFTADESSGLDSTSRGSYLGLIDKIPHLLELGVNAVELLPVFEYDELEFKRYPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPVAASKELKQMVKELHKAGIEVILDVVYNHTNEADDAHPYMTSFRGIDNKVYYMLDLNKNAELLNFSGCGNTLNCNHPVVKELILDSLRHWVEEYHIDGFRFDLASVLCRGPDGCPLDAPPLIKEIAKDAVLSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGKYRDDLRRFIKGDPGMKGVFATRVSGSADLYQVNKRKPYHGVNFVIAHDGFTLCDLVSYNLKHNDANGEGGCDGCNDNFSWNCGVEGETNDLNVLSLRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTCINNFQWEQLEQRRDGHFRFFSEMIKFRHSNPILRRDRFLNKNDVTWHEDCWENQESKFLAFTVHDHNSGGDIYLAFNAHDYFVDAVIPPPPHHKCWNRVVDTNLESPNDIVPEGVPFTGPKYRIAPYSSILLKAKP >ORGLA09G0102200.1 pep chromosome:AGI1.1:9:13006880:13007592:1 gene:ORGLA09G0102200 transcript:ORGLA09G0102200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSAVLVGLLVVSCAAVAAATRYTVGDGEGWTTGVNYNNWANGKFFRQGDELVFNYQARAHTVTEVSQTNFDSCNGNSPLSNDNGGSTTIRLSYPGMHYFICTIPGHCSSGMKLAVNVNGDPSYSAASSPAAASAVAAAAAGALIKLALF >ORGLA09G0102100.1 pep chromosome:AGI1.1:9:12999906:13001589:1 gene:ORGLA09G0102100 transcript:ORGLA09G0102100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTLPATGAAAQPGQEDPSGIKNPGDGAGDSSGIDSGYVVSEQEQRFFLLDFWRILGQGLVLRWLVCVVRWVVLGKSDIVPADLAAAAADAGHRQLGFSPLPMLPIWVQMVLGGVVYTAVPFYNRARKAEDEVTKNVETALEVVEHAAEVTEKLAANVSNALPENGTLHKLAEEVEYIAEIVDKDAQKVEIIIKKIEDVSNRIDAAVEPVIEELEKEFKP >ORGLA09G0102000.1 pep chromosome:AGI1.1:9:12997594:12998667:-1 gene:ORGLA09G0102000 transcript:ORGLA09G0102000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPERPSRVNGTATVDCSAYPLDCHPVCPGGSCYEIAEPPPPSPVVPRVDVAVDDHHLPVRLLLTVSLLSAFLFISLAVSTILLYRRRVVLRRRRRAATAPLPGDDGFGDGDEEAGGGGGDVHHVWYIRTVGLDEATITSIATAEYRAGVGWGGDCAVCLGEFRDGELVRLLPRCSHPFHAPCIDTWLRAHVNCPLCRSPVVVPSDLPATATEGEAEGGGQAEEHQVFDEISLSESRADVSEDSDASSDTQSEDTAASPEDGGRVMPKPIRRSASMDSPLFLVVVPEAQDDAMRGDRKFPNGQEMKLFSVKEKDATGTSSSSCQAGRFGIGRSMSSSGQGFFFSRNGRSSSAVLPL >ORGLA09G0101900.1 pep chromosome:AGI1.1:9:12990558:12992127:1 gene:ORGLA09G0101900 transcript:ORGLA09G0101900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVLLSRGDLFGRRRCAMEGGGGGGGGGGWSSPFSGFEGVMDLDGGNWDAAACSSMLLHGFQEIEIPAAAAAAAAMAPPPPPVEPANCAENAGGVGDHQEDQAAVAAAATAVQAGRRKRRRARAAKNKEEVESQRMTHIAVERNRRKQMNEYLAVLRSLMPPSYAQRGDQASIVGGAINFVKELEQLLQSLEARKSSRQCAAHDAAAAAAPFASFFTFPQYSMSAATAAAPVAPVVNEVHGRDDGGAGTAEAEASGSKPSAVADVEVTMVESHANLRVLSRRRPRQLLRLVVALQGHRLTVLHLNMTSAGHMVLYSFSLKVEDDCQLTSVDEIATAAHQIIEKIQEEQGCSLD >ORGLA09G0101800.1 pep chromosome:AGI1.1:9:12987552:12988100:-1 gene:ORGLA09G0101800 transcript:ORGLA09G0101800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASTSPSVKPSTRWPQCSLFWRHRHGPPVWRRLGVVVVVVPWRLHVERPVLQPVSRPPPADAAATTAAAAAGATAAFVLPLAGDGAQGGEAEAAAIAAAASLFGGGGEAKGGREAGGRGCSVKAVVSPLPSCADVKRPPAAESVPEPARAEEEAAAMQLVNRPGNMHRLIDRWSVLSTTET >ORGLA09G0101700.1 pep chromosome:AGI1.1:9:12985324:12985779:-1 gene:ORGLA09G0101700 transcript:ORGLA09G0101700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTDVVLSCVKAAAARRPDLRVFVCLDHYSTLCKGVKCDFLLQLLHARSMAGPDATLLADLDARAAWRLDQASLGAVMIPAFRYSGDPPDARLDVPFMLRLVRGFLREGGKASVGGTTATCRVARLVDAYPPSSFATARPELENGMELGN >ORGLA09G0101600.1 pep chromosome:AGI1.1:9:12965532:12966704:-1 gene:ORGLA09G0101600 transcript:ORGLA09G0101600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARVHSNGRLLMLLLLLAVAGFAAAQPSQDNPPAGYYATNFSPSMAIVIVVLIAAFFFLGFFSIYVRHCYGGRGDYSTTPLPRSGAARSRRQRGLDQSVLATFPTMAYADVKAHKSVKGALECAVCISEFDDDETLRLLPKCSHVFHQDCIDTWLASHATCPVCRANLVDGASEPASDVAAELPTAPAPRPEGPTPSEAAAPGGEAPAPAAAAVVIDVEETEEERIIREEAAELTRIGSLKRALRSKSGRAPAARFPRSHSTGHSLSSSAAASAGAERFTLRLPEHVLREVIAAGQLQRTTSLVAFRAGRQGSTRRGLRSGGGGGGGEGSSRAGRSVRLGQSGRWPSFLARTFSARLPAWGSRSTRRGDGDGSSKGGRTAGSGVGAGGK >ORGLA09G0101500.1 pep chromosome:AGI1.1:9:12960183:12961184:-1 gene:ORGLA09G0101500 transcript:ORGLA09G0101500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLRRLAATLLSSPGANSSPASAADAHQAVARATAHHPPAAPPAAHHLDALLAFGRGSRLSASALATALTDRLRAAASGNGDAAVALKCLVLLRILLARGAFILRDQLVAALVRHPASGRNPLALAAFPLGRSFAAATWVRFSARLLELLLLLPDSSTDAADADYLIALPNPHVIAELSAYASVADAVRQAPPPSSAPQHNGLIWELIRLAEEDRVAAERNIAARVHEMGERLATLTLADAVELVCVLRQVEESTSSPADWKWAGLDEAVVGEARRLRERAEEVVLRRTEQERRLVRRGTAGSMSVRVLTGGGGCGEAVRFGSTRWSSTRR >ORGLA09G0101400.1 pep chromosome:AGI1.1:9:12951708:12958933:1 gene:ORGLA09G0101400 transcript:ORGLA09G0101400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1QPN5] MASVPLLAEWPAGKEEEGRVRRRLPALAREAWEESKKLWEIVGPAVFLRLVLYSFNIISQAFAGHIGDLELAAFSIANNVITGLNFGFLLGMASALETLCGQAYGAKQYSMLGIYLQRSWIILFVFAVLLVPTYVFTAPLLEALGQPAALAREAGMVSVYMLPSHFQYAVLLPLNKFLQSQRKNWVTVVTAAAAFPVHIAVSWLLVSRLRFGVLGAAMSLGVSGWLVTLLQLAYVVGGGCPATWSGFSPLAFVDLWGFVKLSVSSGVMVCLETWYYKILILLTGHLKNSELAVNALSICMSFQSWEMMIPVGFLAGTGVRVANELGAGNGKGAKFATIVSTTTSFLIGLFFSALALAFHDKIALVFSSSNAVIDAVDNISFLLAVTILLNGVQPVLSGVAIGSGWQAAVAYVNIGCYYFIGVPIGFLLGWSFNLGVLGIWAGMIAGTAIQTIILAHMTIQCDWNKEVLQASERVQRWGNPK >ORGLA09G0101300.1 pep chromosome:AGI1.1:9:12947818:12948330:-1 gene:ORGLA09G0101300 transcript:ORGLA09G0101300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRLPPPSLASLPRVDPPGGGRRRMRRRRLPLPPLASLPWVDPPGGGGGGGGSHGQIRQRRLPPPPLASLPRVDLPGGGGHGQIRRRRHLLRVDPATAASSAAFLLPSARWLRVDPTVVASTEGGSGNGGFLRRLSSPSGAMVVPDGGSGGRGVNFCDVCYSCCCSWM >ORGLA09G0101200.1 pep chromosome:AGI1.1:9:12930360:12945611:-1 gene:ORGLA09G0101200 transcript:ORGLA09G0101200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEATKDVGHEQAAVPGRGRAARWLLVALNCGMLVVGTTGGPLISRLYFSKGGHRQWLSAWLQTAGWPLLLVPVAASYLSRRARDRRAPLFLTPTRVLLAGVGLGFLNGADDFIYAYGLAYLPVSTSAILISTQLAFTVFFACLIVRQRLTAATLNAVALLTIGAVVLGLHASKDRPAGVTSGKYWMGFFLTLGAAALYGLILPLVELAYKHAAGGGRAVTYALVMEMQLVMGFFATAFCTVGMVVNKDFQTEHVRTQAIPREAKQYELGEARYYVVLVFNAVLWEFFFVGAVGVIFCVHTLLAGIIIAVFIPITEVLGVIFLHEKFSSEKGVALVLSLWGLASYSYGEYADAKAKKKAALEAEEAS >ORGLA09G0101100.1 pep chromosome:AGI1.1:9:12923024:12925499:-1 gene:ORGLA09G0101100 transcript:ORGLA09G0101100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAATTQRQPCKNAITTKQQQQQQQLSTGRLFRSPLLVVNFVLMVVGSACGPLLLRAYFLRGGNRKWLSSLLQTAGWPLLLAPLCFSYSSRRRRREVEDDGAGAGAAATPLFLMTPRLLVASAVVGLMTGVDDLLYAYGLAYLPVSTSSILISTQLAFTAAFALLLVRQRFTAFSVNAVVLLSVGAAMLGMNAGGDRPAGVSRAQYCAGFAMTLAAAALYGLVLPVMELSQAHHAAARGAVTYTLVMEMQLVIGFVATAFSAVGMLVNNDFHAIPGEAHEFGLGQAGYYLLLAGSAAMYQCFFLGTIGAIFYGSALLAGVIMTVLIPVTEVLAVMFFHEPFNGTKGVALALSLWGFVSYFYGEVRAAKAAHRRRHSDEPPKPDHLDP >ORGLA09G0101000.1 pep chromosome:AGI1.1:9:12921617:12922778:1 gene:ORGLA09G0101000 transcript:ORGLA09G0101000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKGVKVFGMWASPMAIRVEWALRLKGVDYEYVDEDLANKSEALLRHSPVTKKVPVLVHDGKPLAESTVIVEYIDEAWKHGYPIMPSDPFDRAQARFWARFAEEKCNAALYPIFMTTGEEQRKLVHEAQQCLKTLETALEGKKFFGGDAFGYLDIVTGWFAYWLPVIEEACGVEVVTDEALPLMKAWFDRVLAVDAVKAVLPPRDKLVALNKARREQILSA >ORGLA09G0100900.1 pep chromosome:AGI1.1:9:12917161:12920676:1 gene:ORGLA09G0100900 transcript:ORGLA09G0100900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAPPSALRLRGLPKASLPASSSSPPSARFPHHLSMSASSSRRPPPLAATAAAAGTGSGASPSLLAADPGHRDAVLLAARGAMANCLGETSLHLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDRTRHITPNAVVSSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYNKGARNYCGNVLRDGMVKNQKLSANILTPTTKAADHDVPVTPEEIINSGLMSKEDFDEARSKALSLFAYGQEVALENGLILVDTKYEFGKTADGTIMLIDEVHTPDSSRYWIADSYKERFSSGLEPENVDKEFLRLWFKNNCNPYEDAALPEAPEELVCELAWRYIFLFETITNTKFEIPKTQEPIHERISRNVAQALQNL >ORGLA09G0100800.1 pep chromosome:AGI1.1:9:12911503:12914521:-1 gene:ORGLA09G0100800 transcript:ORGLA09G0100800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoenolpyruvate carboxylase-related kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G12680) TAIR;Acc:AT1G12680] MESSLPRKRKGARSACSLVGSSHEPTTVARKRACREPKPRPEKKKKDPSSDDASGRGGGGGVVQTAPPASGRAAPESPSRGLKRKLGCIESATRMGRKKRLESEYELGAEIGQGKFGSVRICRAKVGGEEFACKALPKNGEETVHREVEIMQHLSGHPGIVTLKAVFEDADKFYLVMELCGGGRLLDEMAREGKFSEQRAAIVIKDLMSVVKYCHEMGVVHRDIKPENILLTKAGKIKLADFGLAARVADGQKLSGIAGSPAYVAPEVLSGCYSEKVDVWGAGVLLHVLLHGSLPFQGGSLDAVFEAIKTVELDFHSGPWESISSLARDLISRMLNRDVPSRITADEVLSHPWVVFYTECPLKAVTANLSITNNIVAPRITWDRIRLHCESISSDSSSQRSADQDECGIVDALTAAITNVRISEPKRSRLCNPAIPIQQECSSNLKSNLCTAF >ORGLA09G0100700.1 pep chromosome:AGI1.1:9:12908215:12909653:-1 gene:ORGLA09G0100700 transcript:ORGLA09G0100700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSREGNLKHVKGSLCLVPPLLLAVFFYLQFQTLGLFSPIFRCGGQSAGGGGGDDYVDRLRASATFLPLKDTREWAETWFISTLDDTSEPEGEAKNIVFPSAASAGRLLCMSAPSRRDGTRNAYALAWRDALPDGAELRPGLAYVSETAYDHSNLWHGISALIPFASWHARSGCRARPARWALFHHGEVRLGMSPWLTSLAEATTGVGMVVETFNASDVPVCFEEAVVFRRNMAGMTRERLLAAFDFMRCKARAQCGVVADVFDPDSAAVRVTILFRTGARAFKDEAAVTRVFKSECARVAGCALTTARSDNLTFCDQVKLMSGTDVLISSHGAQMTNLVFMDRNSSIMEFYPKGWRERAGGGQFVYRWGADRSGMRHEGSWWDPHGEPCPGSPDILSCYKNRQIGHDEAYFAQWAARVFAAAKERKAGGSPASTRRREAPTCQCS >ORGLA09G0100600.1 pep chromosome:AGI1.1:9:12887551:12888390:-1 gene:ORGLA09G0100600 transcript:ORGLA09G0100600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDDGDEEMPPMPVSSSYETPPQHGLAGGGMAPKPPGEIGSRVKGPSCGGGRYRECLKNHAVGIGGHAVDGCGEFMAAGEEGTIDALRCAACNCHRNFHRKESESLAGEGSPFSPAAVVPYGATPHHQFSPYYRTPAGYLHHHQHHMAAAAAAAAAAAGGHPQRPLALPSTSHSGRDDGDDLSGMVGPMSAVGPLSGMSLGAGPSGSGSGKKRFRTKFTQEQKDKMLAFAERVGWRIQKHDEAAVQQFCDEVGVKRHVLKVWMHNNKHTLGKKLP >ORGLA09G0100500.1 pep chromosome:AGI1.1:9:12880540:12881529:1 gene:ORGLA09G0100500 transcript:ORGLA09G0100500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAGAGGDGDEVIHDAPNFIRVYKSGRVERFLRIDFAPPSTDAATGVSSKDVVVVPGDGVSARIYLPSTPASGYGRRLPVLVFFHGGGFCLGSAFDAATHGHANRLAARVGVIVVSVEYRLAPERPVPALYDDAWAALQWVASHAAGEGQEPWLTAHADFGRVHVGGESAGANIAHHAAMRAGAEELGHGVKVNSLVLIHPYFLGGDGDGYSESDEMGMALLRELIRLWPVVCPGTSGCDDPWINPMADGAPSLAVLGCRRALICIGGKDAMRGRGRLYCEKLRECGWRGEVEIWEADGQGHGFHLLWPTCTQAEAQLQVIAEFLSHG >ORGLA09G0100400.1 pep chromosome:AGI1.1:9:12879237:12880211:-1 gene:ORGLA09G0100400 transcript:ORGLA09G0100400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDIDGEVVFEVEHCIRIFKGGRVERYFGSDSVPASTDAATGVASKDRAISPDVSVRLYLPPVAGVSGEGEGKKLPLLIYFHGGGFCLHTAFNFVFHAYLTSLAARTRAIVVSVEYRLAPEHPLPAAYEDSWQAVLWAASHAPGAGEETWLTDHADFSRVYLAGESAGANIAHNMAMRAGAEGLPHGSRVNGVVLVHPYFLGRGKVPSEDWDPAMAENVVKMWSVVCPATTGVDDPWINPLADGAPGLEGLACGRVLVCLAEKDVIRDRGRAYCEGLKASGWAGEVEVVEVAGHGHCFHLMDFNGDEAVRQDDAIAEFVNR >ORGLA09G0100300.1 pep chromosome:AGI1.1:9:12875361:12876503:1 gene:ORGLA09G0100300 transcript:ORGLA09G0100300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKIKRQIGGLSLLAKLGLLLLALLLLLAAILLLVFLLPRHHRRPLPPGSPPVNASDPDNIVAFDFSPFLILYKSGRVHRMDGTDRVPAGVDEATGVTSKDVVIDRSTGVGARMYLPPAKGAGKKDLAGALPVLVFFHGGAFVIESAFTAKYHDYLNKVTAKARVVAVSVDYRLAPEHPVPTAYDDSWQALNWVAKNGRSGPEPWLRDRGNMSRLFLAGDSAGANIAHNMAMRAGKDGGQLEGGVAITGILLLDPYFWGKNPVGAETTDPARRRQYEATWSFICDGKYGIDDPLVDPLSMPAPEWRKLACSRVAVTVSDLDDFKERGKAYAAALRDSGWGGEVEEYETAGEVHVYFLDKPSSPKSAKELTFVAGYLSHE >ORGLA09G0100200.1 pep chromosome:AGI1.1:9:12869091:12870056:-1 gene:ORGLA09G0100200 transcript:ORGLA09G0100200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAASEIEFDMPGVLRMYKDGRVERFDGTQTVPPSPSGDPANGVVSKDVVLDPAAGISARLYLPPCVEPGKKLPVVLFFHGGAFLVHTAASPLYHRYATSLAAAVPAVVVSADYRLAPEHPVPAAYDDAFAALRAVVAACRPDGAEPWLAAHGDASRVVLAGDSAGANMAHNAAIRLRKEGIEGYGDKVSGVVLLHPYFWGKDPVGGESTDAGYRGSFHGTWEFVSAGKLGLDHPCVNPLASPEEWRQLGAGRVLVTTAEHCWFVERARAYAEGIKKCGWDGEVELHETDGEGHVFFLPKPDCDNAVKELAVVTDFVRRC >ORGLA09G0100100.1 pep chromosome:AGI1.1:9:12867210:12868169:1 gene:ORGLA09G0100100 transcript:ORGLA09G0100100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATTELRFDTPLLRIYNDGRVERLFGTETTPAGFDGATGVTSKDVVIDDATGVSARLYIPDLPASGPGHHCKKLPIVVYFHGGGMVLDSAASPTYHRYLNSLVSKAGALAVSVNYRLAPEHPLPAAYDDAWAALSWTASAADPWLSEHGDVGRVFLAGDSGGANVVHNVAIMAGAGQSSLPPGATVEGVIILHPMFSGKEPIDGENAETRELTEKLWPLICADAEAGLDDTRLNPMAEGAPSLQKLGCRKLLVCSAESDIVLARAAAYYQAVMASGWPGMAEWLESKGEEHVFFLNMPDCEESVALMDRVVAFLAGN >ORGLA09G0100000.1 pep chromosome:AGI1.1:9:12861840:12863491:-1 gene:ORGLA09G0100000 transcript:ORGLA09G0100000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTVMLSAALGPSPLTVPPWYGLFLLLPWKPDAINQFVMTTHGIHRGAHDVQGTNSGPTPFCVPCPPGRERGPKEERHPHHRARRKERKRTGPAPAMAMQPPRRKTGQAPTMTTQPLRIRIGRGEEEGRGRGELEVDPRRRLGHTAATVVGGGGEGAGATPLDSRRSEEEERSGGRRGACPRVGQEGRPSKEEIRGVDRSWKKREAGEEGAAARWGERPPDLAAPPPLRPAPSPPRHRSARCRRRSSCHPALYGWKRKRGERERRGEREKWKSGIGGTRYKLFPYVL >ORGLA09G0099900.1 pep chromosome:AGI1.1:9:12858891:12859855:-1 gene:ORGLA09G0099900 transcript:ORGLA09G0099900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHVSVMDRKTVSAFQAKKSREVSFVVIEPVQGRSETSVPPNLEVQQDTIVRLMHIQIHYKTAPFTGWFQFGIIGFGGATAQHRWHRRRYLRFINRSCRQYNPMTKEAPNRQMIILGTESLSSTEIFAIGNGDYCINRRSKRGESWSSSKQFVSSETDRTHNY >ORGLA09G0099800.1 pep chromosome:AGI1.1:9:12856474:12857520:-1 gene:ORGLA09G0099800 transcript:ORGLA09G0099800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILLPILLFLLCSRGGSGAPAARRGMRVPPLGGDPVKFDFSPFLIEYKSGRVKRLMGTDVVAASADVLTGVSSRDVAIDPANDVRARLYLPSFRATAKVPVLLYFHGGAFVVESAFTPIYHAYLNTLAAKAGVLAVSVNYRLAPEHPLPAAYDDSWAALKWVLANAAPGTDQWVSQYGDLSRLFLAGDSAGGNIAHNLALRAGEEGLDGGARIKGVALLDPYFQGRSPMGADAMDPAYLQSAARTWSFICAGKYPIDHPYANPLALPASSWQRLGCSRVLVTVSEQDRLSPWQRAYYATLRSSGWPGQAELYETPGEGHVYFLTKLSTPQAQAEMATLVAFINRN >ORGLA09G0099700.1 pep chromosome:AGI1.1:9:12850410:12851263:1 gene:ORGLA09G0099700 transcript:ORGLA09G0099700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLAQEYHPKLPATNHYCKSLSSLIRETYAHCHVPCARIPAAGWSSGDDSDDDESLLDEVLDTKQVIMNEMRNRQMKKRARCSLDSPMSSPFVWSFTPLDPRSVLEKFPSPKESVTEEEEKTTGKEEEVGGDADDESEAFFSVKSFFSRSTSRGATVASSAFAAVDPPPPLLSPAKWEGLRDCEGWPFGLCRLPGPAVLPLPPLPSTPADSWQWRKRSSNLAGSPAPAYSYKTTPSRSSS >ORGLA09G0099600.1 pep chromosome:AGI1.1:9:12845983:12846504:1 gene:ORGLA09G0099600 transcript:ORGLA09G0099600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G10130) TAIR;Acc:AT4G10130] MLEGGNFSNQETLYEVLSVRKDATYDEIRAAYKSAVLNTHPDKAQMALNPLVSSSERNEFLSVQKAWEILRYPKSRAEYDKQLQSSRQNLEIVATEIEIDDMIVESTADSVELLYPCRCGDYFSITSRELGQIGISVREDGEMELHTSDSVPASVVLGCGSCSLKARLVTNKT >ORGLA09G0099500.1 pep chromosome:AGI1.1:9:12842292:12843623:-1 gene:ORGLA09G0099500 transcript:ORGLA09G0099500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSTCPGRRRRGGRRRRRRWRPSSPRRRAAWRGASRPSTTMTSSRTLRWMAGTSGSGYARKEDMWQQPVKMSGGCTDQSCPNESFLYPCICSYSVTPCHVFSCNLFKLTR >ORGLA09G0099400.1 pep chromosome:AGI1.1:9:12828220:12839663:1 gene:ORGLA09G0099400 transcript:ORGLA09G0099400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP protein (REF) [Source:Projected from Arabidopsis thaliana (AT1G49670) TAIR;Acc:AT1G49670] MELKPGMSALVTGGGSGIGKALCIALAQKGLFVTVVDFSEENGREAASLVQNENKKFHGDLKVPSAMFIKCDVTNAGDLSAAFGKHVDTYGGLDICINCAGIAIKTLVYDDRSDGTSTWRHAVNVNLVAVIDGTRIASQIMRSGKKPGVIINIGSAAGLYPMNFDPIYSGTKAGVVMFTRSLAPLKRHGIRVNVLCPEFVQTNMAEQLSRRIIDVTGGYIKMEDIVNGTFELIKDESKAGACLWITKRRGMEYWPTPEEQRKYMLNLPKSKRMLTQNTFSTVQTPEFYEKIVVHTLSHNFRDATRLERVRLRLPVEPHNALVKIIYAGVNASDVNFSAGRYFSGGAKETAARLPLDAGFEAVGIVASVGDSVNHIKVGSPVALMTFGAYSEFTQVPAKHLLPVPRPDPEVVAMLTSGLTASIALEKAGQMASGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKSKAAVLSSLGVDRVINYQNEKIKDVLKKEFPRGADIIYESVGGEMFDLCLNALAVHGRLIVIGMISQYQGEHGWKPRNYTGLCDKILAKSQTVAGFFLIQYAHLWQDHLDKLFDLYASGKLKVSLDPKKFVGVASAPDAVEYLHSGKSVGKVVVCIDPSYSQTLAKL >ORGLA09G0099300.1 pep chromosome:AGI1.1:9:12818157:12822655:-1 gene:ORGLA09G0099300 transcript:ORGLA09G0099300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAAAGAAVTVPLGVLLRREVTSERMERPDVLCGEAARSRKGEDFTLLLAEAGERVAGDPSTSFSVFALFDGHNGSGAAMYAKKNLLNNLLRAIPSGLSRDEWLAVLPRALVAAFVKTDKDFQAVAETSGTTVTFVVIDEWVVTVASVGDSRCILESADGSLYHLSADHRFDSNQDEVQRVTACGSKVGKLNLVGGPEVGPLRCWPGGLCLSRSIGDMDVGECIIPVPHVKQVKLSNAGGRIIIASDGVWDDLTFEMALECSRGFPSDIAANRIVNEAIQPRGLRDDTTCIVVDILPPEKLAPSPPTKRQGKIVFNNMFRRKHTDVSFILDREYAEPDEVEEIFDDGSAMLSKRLAAGYALQSMFEPFSCAVCQVQLKAGQGISVHSNPLQHEKLQGWQGPFLCQSCNEKKDAIEGKRPPRDS >ORGLA09G0099200.1 pep chromosome:AGI1.1:9:12810428:12811225:-1 gene:ORGLA09G0099200 transcript:ORGLA09G0099200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNTPRPRSQPPPPHPPLFKPTTPPPPPLLSTSTSPPHDFSFAHYLSSPPPSVQRRGRADMSRTPPLGRVGSDLSHNNYSSKANQHRQTGSSSSSSSSKEKDREYKAKSKASSPFFSGLGGSWRSGLSRDEEVKRKAKAKTRGLDVGQWVKKYMASMVEHLLASFSRHGGGEREKREQQRRRPHSFSAHGPSALREQRERWRRRRGQLSSAPASLRASPANSGHLSVGGSVKVSTSSEESTMEELQSAIEAAIAHCKNSITVAK >ORGLA09G0099100.1 pep chromosome:AGI1.1:9:12806371:12807505:-1 gene:ORGLA09G0099100 transcript:ORGLA09G0099100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAARRPPSLSPPTPAAQPPLHPDTGAGASLSSAIDLLAAPSAAAGECGPPAPARLVPAMLARRKPRIDEAMEPYEYEKGWKPGREKFGQELVFKAWQTLVRSQCKPLKRVSLAAGQLRDWPKQPHPTVQ >ORGLA09G0099000.1 pep chromosome:AGI1.1:9:12801166:12801974:1 gene:ORGLA09G0099000 transcript:ORGLA09G0099000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVNMYNPEHHHHQSSSFMAPRISFSSDFALEPPPPAQQQPAARAPGDADFEFSVGSHPMMAADQLISKGRLLPLREAPHGHGGADAGGRPLTLRDELRTDSRHGRVPRAPNIRWKEFLGLKKAPKKAPTADAAAGATSSSADTQMDLGGQGSTRD >ORGLA09G0098900.1 pep chromosome:AGI1.1:9:12784173:12784469:1 gene:ORGLA09G0098900 transcript:ORGLA09G0098900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSMTVATTTRSLDGDMTVDEFKEWLRRFDVDRDGRISRDELRRAMRAIRARFTGWRSRQGISYADADGDGYIDDGEVDGLIEYAQKSLGLRIVAY >ORGLA09G0098800.1 pep chromosome:AGI1.1:9:12777870:12778187:1 gene:ORGLA09G0098800 transcript:ORGLA09G0098800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGFDLSARARGIGDVYHPFNETAVREKFKEWIRQFDTDGDGRISRDELRRAMRAVGVRFTGIKCRRGMSHADADGDGYIDDSEIDGLIEYWGRRLGLGVAAY >ORGLA09G0098700.1 pep chromosome:AGI1.1:9:12772750:12773307:1 gene:ORGLA09G0098700 transcript:ORGLA09G0098700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT2G15680) TAIR;Acc:AT2G15680] MSHLSLLAFKYNLEKLKSKAAGRPTGRLLSGRDRQFSDLTRIYKPDDDEMKKVFNKIAGEHDRITRKDLKGLLEKFGKADAAAEARRMICVADFKKNGYMDFEEFMEVHKSGVPLGDMRRAFFVFDQDGDGRISAEEVMQVLHKLGDSCSLEDCREMVKKIDRNRDGFVDMDDFMAMMTRSRKKP >ORGLA09G0098600.1 pep chromosome:AGI1.1:9:12769640:12772195:1 gene:ORGLA09G0098600 transcript:ORGLA09G0098600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein disulfide isomerases [Source:Projected from Arabidopsis thaliana (AT3G19220) TAIR;Acc:AT3G19220] MTPANPTPLLSSPRPNPSLPLPRRARRPHPPPAANTTGAASTPDWFRPRAPPDADPSTSGGRVAARDPGVRVRAREGAEEEKKGRGRGRRRWWDWWSGDRESYLVDDVEPLPLPLTVPDTEPMSREELDRRLSCDVEIEDCKTVSYEWTGKCRSCQGTGLVSYFRKKGRETICKCVPCAGIGYVRKITFRQDIENMDELDNGKPP >ORGLA09G0098500.1 pep chromosome:AGI1.1:9:12766216:12768509:1 gene:ORGLA09G0098500 transcript:ORGLA09G0098500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSLLAVVLVFGIAGAADGLSGYQISCGATSEKVVGDVTWVPDGRFVSVGNVSDVRSPGVLPVLSSLRYFPDTSARKYCYVVPAERKRKYLVRTTYFYGGFDGGSAPPVFDQIIEGTRWSEVDTAGDYARGLATYYEAVVLATEKEVSVCLARNAATKSSPFISALEVSPLEDSVYNSTDFESYALSTIARHSFGHDGSAAVSYPGDRFNRFWEAHSDGMPVVESQASVSQAAFWNKPPEDVFRRGVTTAGGRGESLELQWPPAPLPAASYYLALYFQDNRAPGPLSWRVFDVAVNGETFFAGLNVSTAGSMLYGDKWPLSGRTKITLTPAPGSPVGPVINAAELMMVVPLGGRTHPRDVIGMQALARGFDNPPADWAGDPCLPQGNSWTGVTCTQEPLARVVSLNLTNFKVGGSISDGIANLTAISSIWLVGNNLTGPIPDMSLLHHLVSLHLENNRLTGQIPPSLGSMPRLRELFVQNNALQGAIPISFKNKTGFMFQYAPGNNLS >ORGLA09G0098400.1 pep chromosome:AGI1.1:9:12765592:12765885:1 gene:ORGLA09G0098400 transcript:ORGLA09G0098400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGSGTAASMRNGISRLDARRRQQPRCVTAAWRPRCATVAAARRPRCTTAATTSMRDGGAMASMGDGGRWKRGDLIFVSPIIFVSPIIPLLNQRLD >ORGLA09G0098300.1 pep chromosome:AGI1.1:9:12765059:12765304:1 gene:ORGLA09G0098300 transcript:ORGLA09G0098300.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEAGAARDCGDGEGLDVQRQRRGGLDAPRYATAAAWRPRCAMAAAAAASLFLLSAVNFVDGGGNDGLSLPPFCCEFCCWIC >ORGLA09G0098200.1 pep chromosome:AGI1.1:9:12760714:12762449:-1 gene:ORGLA09G0098200 transcript:ORGLA09G0098200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPTRPHRRPPPLPSKTSGVWPVALLVVLCFAALPLFLALSRARPTLSDVSQMGVTVTVHDEDPAGTPPESSPANRDRLLGGLLSPDIGESACLSRYKSSLHRKPSPHSPSPYLVSRLRKYEALHRKCGPGTLFYKKSLMQLTSAYSMGLVECTYLVWTPCGGSHLGDRMLSMASAFLYALLTHRVFVVHVTDDMAGLFCEPFPAASWELPAGFLVHNLTQLGRGSEHSYANLLCAKKIKTDDPAGVRSESLPSYAYVHLEHDYQQSDQLFFCDDDQTVLAKVNWLILRSNLYFTPGLFLVPQFEDELRWMFPARDTVFHHIGRYLFHPSNKVWELITRYHTSYMAKFEENIGIQITTFAGSKVSSEEYFKQIVACTSQEKILPEIDPNATSSANEAALATTASKAVLVSSAQPSEYAEKLKAMYYEHATVTGEPVSVLQPAGAGKQAPNQKALVEMFLQSYCDVSVVSGRSTVGYVGHGLAGVKPWLLLTPTNRTASANPPCIQTTSMEPCFHAPPSYDCRAKKDGDLGAVLRHVRHCEDVGDGLKLYD >ORGLA09G0098100.1 pep chromosome:AGI1.1:9:12755063:12757618:1 gene:ORGLA09G0098100 transcript:ORGLA09G0098100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLNIEGIPQVPIDPNSVDVLSSSNINPNSVDILSSSNIDPNSVDVLSSSNIDPNSVDILSSSNIDPNSIDVLSSSNESKPTISFDLPSSFSVGHTRHSSEDLSSLTINNLRINHREDNYQSQFEEKRIHSHGHTRRFSEDLSSLKINDLCANKEEENYDNQLERKEIYRHSSAGNIFRAAEIAERFIQTIDKRVLVDTAAPIESVKDAVSKFGGILDWKERRKHVQVELDKMQEDAPEYKRRVEVIEVEKSKVLEELYCTRRTIERLKIDLDKSHTEAIQAQQDLELAEIRFEEMQQGIARKERSITKAKIEVANERRATALEDLQSVKMELDQLQKEYTSLISQRDNTETKAREAIVASQEIEKVVQDLTIKVITMKDLITTSQANHVIAEGKKINAALAYQQDMVNWQNELKQIDDEVQKLNDDLSLNKDLESKLQTASMWLMNLRDEFKAHVDGTLPKVPSEAREEERPMIIVCAKLARTRKELENMRIDIDKAKDDVKSLWNAAATLRANVEMEKTNIASLRQKENLAFESALALQEELSKIAFELSMVEERTKAAKMPLELQQATKKLEHAKLNAVFARNEMEKAREEADQAQAEVNVVQLRIEATLREILAVNASREIAVASANALQDYKQEIELEPLANRKNNNVTLSLEEYNVLCKKVQDAEDSAKKQVIRAIEKIKEAKDAEVRSLDRLDQLIKQIDDRRVALRDAHEKANVAYDGKLAMENELRKRRAHHEKQRNTGEVSLPIAQISNVKNTSTSFDAVGSSSSNPYKYRMLLPRADTIATTIAESRPRKSFFPRSLVAMFMFRRKTHLK >ORGLA09G0098000.1 pep chromosome:AGI1.1:9:12751263:12752087:1 gene:ORGLA09G0098000 transcript:ORGLA09G0098000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:redox responsive transcription factor 1 [Source:Projected from Arabidopsis thaliana (AT4G34410) TAIR;Acc:AT4G34410] MTKKVIPAMAAARQDSCKTKLDERGGSHQAPSSARWISSEQEHSIIVAALRYVVSGCTTPPPEIVTVACGEACALCGIDGCLGCDFFGAEAAGNEEAVMATDYAAAAAAAAVAGGSGGKRVRRRRKKNVYRGVRHRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAARAYDRAALEFRGARAKLNFPCSEPLPMPSQRNGNGGDAVTAATTTAEQMTPTLSPCSADAEETTTPVDWQMGADEAGSNQLWDGLQDLMKLDEADTWFPPFSGAASSF >ORGLA09G0097900.1 pep chromosome:AGI1.1:9:12748452:12749977:1 gene:ORGLA09G0097900 transcript:ORGLA09G0097900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:I1QPK0] MAKHSTTMSGFLFFVLLCLGSHLAQAQVLFQGFNWESWKKQGGWYNFLHSHVDDIAATGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTGAELRSLIAAFHSKSIKCVADIVINHRCADYKDSRGIYCIFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNTRVQRELSDWLNWLKSDVGFDGWRLDFAKGYSATVAKTYVDNTDPSFVVAEIWSNMRYDGNGEPSWNQDGDRQELVNWAQAVGGPASAFDFTTKGELQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGVPCIFYDHVFDWNLKQEISTLAAVRSRNGIHPGSKLNILAADGDVYVAMIDDKVITKIGTRYDVGNLIPSDFHVVAHGNNYCVWEKSGLRVPAGRRH >ORGLA09G0097800.1 pep chromosome:AGI1.1:9:12741134:12742623:1 gene:ORGLA09G0097800 transcript:ORGLA09G0097800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:I1QPJ9] MAKRIASMSSLLLIALLCLSSHLAQAQVLFQGFNWESWKKQGGWYNFLHGHVDDIAATGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTGAELRSLIAAFHSKGIKCVADIVINHRCADYKDSRGIYCIFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNTRVQTELSDWLNWLKSDVGFDGWRLDFAKGYSAAVAKTYVDNTDPSFVVAEIWSNMRYDGNGEPSWNQDGDRQELVNWAQAVGGPASAFDFTTKGELQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGVPCIFYDHVFDWNLKQEISTLAAVRSRNEIHPGSKLKILAAEGDVYVAMIDDKVITKIGTRYDVGNLIPSDFHVVAHGNNYCIWEKSGLRVPAGRHHY >ORGLA09G0097700.1 pep chromosome:AGI1.1:9:12730068:12732016:1 gene:ORGLA09G0097700 transcript:ORGLA09G0097700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:I1QPJ8] MGKQMAALCGFLLVALLWLTPDVAHAQTQILFQGFNWDSWKKQGGWYNLLKDQVGDIASAGVTHVWLPPPTHSVSPQGYMPGRLYDLNASKYGTKAELKSLIAAFHAKGIKCVADIVVNHRCADDKDGRGVYCIFKGGGPRGCLDWGPSMICCDDTQYSDGTGHRDTGADFAAAPDIDHLNPLVQRELSDWLRWLRRDVGFDGWRLDFAKGYSAAVARTYVQNARPSFVVAEIWNSLSYDGDGKPAANQDGQRQELVNWVKQVGGPATAFDFTTKGILQSAVQGELWRMRDKDGKAPGMIGWYPEKAVTFVDNHDTGSTQRMWPFPSDKVILGYAYILTHPGVPCIFYDHVFDWNLKQEINALAATRKRNGINAGSKLRVLAAESDMYVAMVDERVITKIGPRIDVGNIIPSDFHIVAHGNDYCVWEKSGLRVPEPEGRR >ORGLA09G0097600.1 pep chromosome:AGI1.1:9:12702245:12705298:-1 gene:ORGLA09G0097600 transcript:ORGLA09G0097600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFVIVIVISFFISLAFMCYVHYTSRQRRKLHGYGHEKAVRLPPGSMGWPYIGETLQLYSQDPNVFFASKQKRYGEIFKTHILGCPCVMLASPEAARFVLVTQAHLFKPTYPRSKERMIGPSALFFHQGDYHLRLRKLVQGPLGPDALRALVPDVEAAVRSTLASWDGNVSSTFHAMKRLSFDVGIVTIFGGRLDERRKAELRQNYAIVEKGYNSFPNSFPGTLYYKAIQARRRLHGVLSDIMRERRARGEPGSDLLGCLMQSRAGDDGALLTDEQVADNIIGVLFAAQDTTASVLTWIVKYLHDHPKLLEAVRAEQAAIRAANDGGRLPLTWAQTRSMALTHKVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHNPDYFQDPQKFDPSRFKMSPRPNTFMPFGNGVHACPGNELAKLEMLVLIHHLVTGYRWEIVGSSDEVEYSPFPVPKHGLLAKLWRDDSVSVETDGCQNGDKDDTGVAMV >ORGLA09G0097500.1 pep chromosome:AGI1.1:9:12687781:12694972:1 gene:ORGLA09G0097500 transcript:ORGLA09G0097500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock factor 4 [Source:Projected from Arabidopsis thaliana (AT4G36990) TAIR;Acc:AT4G36990] MAAAEAAAAVGKQQQKGGGGRGGGGGGPAPFLTKTHQMVEESATDEVISWGKEGRSFVVWKPVEFARDLLPLHFKHCNFSSFVRQLNTYGFRKVVPDRWEFANGNFRRGEQGLLSGIRRRKATTPQSSKSCGSGVNVAFPPPLPPLPPAPSATTSSGNDRSSSSASSPPRADITSENEQLRKDNQTLTTELARARRHCEELLGFLSRFLDVRQLDLRLLMQEDMRAAAGGVGGEQREQEHAREEKCVKLFGVLLDDTHGAATRKRARCEEAAASERPIKMIRIGEPWVSVPSSGPARCGGDN >ORGLA09G0097400.1 pep chromosome:AGI1.1:9:12673559:12674749:-1 gene:ORGLA09G0097400 transcript:ORGLA09G0097400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDSRMAGLAHPAAHAAGLRRLSTRAAAGPPSASASPRHGLHSFDGVAAAVLSHLRKTGVVVLPGLSDAEFARVEAEMGFTFPPDLRAVLAMGLPSGAGFPDWRGRAGLRAAFDLPIAAASLQIAKGALWPRCWGRRPSDPDRARRLARSAIRRAPLLVPLFDRCYLPCRPCLAGNPVFFITDDRVLCCGLDILHFFTRESSFQPLDVSSPSATPSSGICTPHTRRSLDAVCGGQAPRWIEFWSDAASDRRRRDSSSSEASTASSSSSSSGCPSPPPRSTPLWVDNYLDKLGSVLKKGGWRDREVDEMVEVTASGLFDGEEAPPADADAVLDALFLKTDRCSDSLRRAGWTSEDVSDAFGLDLRRRKEQPRPAVQIPPEIAAKVQRLAQAVARP >ORGLA09G0097300.1 pep chromosome:AGI1.1:9:12667824:12668912:1 gene:ORGLA09G0097300 transcript:ORGLA09G0097300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAAVTSDSVEKGVDVGGGGGMGIGQWLWWPNQLVRGARRHIVTSAFPLSSPTIATTSRRVMTPELILPRDLHFPLTHHHRGTSYSGRHGDVTRCNYVRALHPTHPSSHRIGPGQPAQGSTDVLAGSASLLLSSAICCDSLPRQSMHCHPDTDRASLRPFAPATPFWHTNPELCVA >ORGLA09G0097200.1 pep chromosome:AGI1.1:9:12661822:12665124:1 gene:ORGLA09G0097200 transcript:ORGLA09G0097200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDRWGGRREAMEFGNGGSSSSERRAAAEGATLARQGSVYSLTFDEFQSALAGGGGGGGGGSGFGKDFGSMNMDELLRSIWTAEESQAMASASGSAAGVGVAVGAPPTSLQRQGSLTLPRTLSAKTVDEVWRNLVRDEPPPVGAADGGDMPPQRQSTLGEMTLEEFLVRAGVVRENPPAAPPPVPPPMPPRPVPVVPKTTAFLGNFPGANDAGAAALGFAPLGMGDPALGNGLMPRAVPVGLPGAAVAMQTAVNQFDSGDKGNSDLSSPTEPMPYSFEGLVRGRRNGGGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEMNKELERKQADIMEMQKNEVEEMIKDPFGRRKRLCLRRTLTGPW >ORGLA09G0097100.1 pep chromosome:AGI1.1:9:12654354:12658280:1 gene:ORGLA09G0097100 transcript:ORGLA09G0097100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMRCVGGGDTPLGFRGVVEEELEEEVAAVSASGRPMQRQRRRRRRWGEEADDGCSASSTGGGGSSGCGSFGCDSPLAGFVRADGDPDTDLETDGVATPSSNASAAFAEPHDEEEGDEVLCGVVEGDWAQLQEPTKSPADRATGECLYQRRRSEAVLLQGRKGLKQRPASLDFGSGSPGFNGAPLSPGFVVGGVGLMNKGLVSSSFIRSDVFPSPRTPNYQRHRSSVFGYQKGWSSERVPLASKGNRRYPGSSMAFPFSNGRTLPSKWEDAERWIFSPNSSDVLEKTSFAPARRPKSKSGPLGPPGKFGGQYSSVSLLDNGRVGHLTANSPFLAGVLIPEHYCGEKDNIGRYMSRTAGEEASIGTGGKSCLANGGSHATQYNRVRRRLDTAIESSPSLPSTQASVQDEQVGITEDSASIITPIILRKDAATQTSPNLSRSSSPSVSTPFIHLLTTHQVREKENCFSDVIRDVHMDDRVTLTRWSKKHVTRASSKNSTNVIDVKKKTVESKSSSWELTEAKSISKVEREQEKITAWEHLQKAKAEAAIQKLVMKIEKKRSSSLDKIWNTLRSAQRRAQVMRETAAANQDEQSSGKAKRTSHLNKNGQISSLSGCFTCHAF >ORGLA09G0097000.1 pep chromosome:AGI1.1:9:12651066:12651287:1 gene:ORGLA09G0097000 transcript:ORGLA09G0097000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRILKEPKFGMIGYAKFVSCTRGFPKVFRTHSMSLVRGFRLPTSGINRGGA >ORGLA09G0096900.1 pep chromosome:AGI1.1:9:12643555:12644514:-1 gene:ORGLA09G0096900 transcript:ORGLA09G0096900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSEILVDAGSFRLYKDGHADRTGDMETVPAGFDADTGVTSKDVVIDVVTGVFVRLYLPPIQAATDDDGKTKLPILVFFHGGYFVVGSASCPKRHRNINDIVARARLIAVSVDYRLAPEHLLPAAYDDSWAALNWALSGADPWLSEHGDTGRVFLAGVSAGGNIAHNMTIAVGVRGLDAAVPARIEGTILLHPSFCGETRMEGEPEEFWESVKKRWSIIFPDAKGGLDDPRMNPMAAGAPSLTKLACERMLVCAASEDPIRPRERAYYDAVKRSGWGGEVDWFESEGEGHAFFVRKYGSSEAVKLMDRVIAFLAGH >ORGLA09G0096800.1 pep chromosome:AGI1.1:9:12633331:12639510:1 gene:ORGLA09G0096800 transcript:ORGLA09G0096800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKVTFQIVHGEGNIRFGPDGVDLSDFVMTSRGIDRPAERTFQSIYSWLLRGFRIDQEVYTMSISVVVSCATKGYFWELMPMDSTAAWRRYVEMAFERSWPLVIFVSVQEKDINVSMQTEDVEGPSNAGDVVGPSMQNEENQPREEQTMGMADEGERVGIIVDNMEREDSDNEQADDDASSDEEGDVMATDWANEDFSGLVISEGDHVTWEYKENEVIEGARYAHKDEMKEAMKHWAVSLQREFRVVKLTNYVYEVRCMKEDCLWRVHAYKGKWNDYWKVSIVTEHKCYLQGVEKYHRNITSAFVASEMYSSVVGNIGFEPKSIIRHIENKFKYTISYAKAWRAKQKIIEMRYGTFEASYDNLPRLLATIAQRNNNTYYDLHTFTLVDDRTKSVLQRAFFSLGACINAFVHCRPVLCIDGTFLTESENTESWYWFLERVHIAVVRMRPNVCLIHDRHAGMLRAIDYLQNGWDEKGLPAKWPDVRSRWCMRHMGANFYKQFKNKHLMELFKRLCAQNQEKKFNELWDKLDELTTKQTDEQSRRPQIEGDEPPIPLGALHDDPPTIRRRSGSSIRNFTQWIENEPKEKWFLLFDTDGSRYGIMTTNLAEVYNWVMRGVRVLPLVAIVEFILHGTQAYFRDRYKKIGPSMADNNIVFGNVVTKYMEDKIKKARRHRVVAQGTQVHRYEIMCVDRSRRGIYRKQAVQECVLKADGGCTCSCMKPKLHHLPCSHVLAAAGDCGISPNVYVSNYFRKEAIFHTWSEEIYGFGISGSYTTLSAQVFYIPDPSKLRVKKGRRQTRRIRNDMDESEAGGRTLRCSKCDLRGHTYKKCPKNAEVPSGADASPSGQSSDGRRPPGMAYDTPALLNRGIDRNHRSFLSAVEGAQLGTFRPRTSREWLRVDPRHVPWYALVEAAADDRDPAKRWDADRSLFAALVDSWRPETHTFHLPCGEMAPTLQDVSYLLGLPLAGAPVGPVDGVFGWKEDITARFEQVMRLPHLGPANTLPPYSTVGPSKAWLLQFTADLLHPDSDDYSVRRSLEAYLLWLFGWVMFTSTHGHAVDCRLVHYARSIADAQPQDVPQWSWGSAVLAATYRALCEACTKTDAGAIIAGCPMLLQLWAAERFAIGRPVVDSAPYGVGRNAQWPEDGPTMGTYWCRRGRRYAHVQVRRGYPDFVFEFDRLQLSDVIWEPYTEEAVAARAPLGLSSLCTRDQAYWLTILPMVFDIFVEPHCPERVMRQFGLRQVFPGNVQPTVLPADHSLTRRGQLAGALWAPRVQQYVDDWVLATEEVINELFPHTEENYRDYLRWYLPRTRARVTFTPDALEPHAATVTDAYPTHRDRDYFVGADAARDISADITAVQVRLNRGLHLTDVEQRVTFDRMQEKMRAVMRVFSCRSAVDVVPPAGPVQPRPRAPTVGAGPRPTAPVSHGPRLPSSAPSFGAVRPTAPVSHGPRLPSSAFAATTGASVISAGAFASTSGAFASSSSHGASIPRPHGFAAGIFGTGASSSHAGRTGPTSQFYDDDLHGAHHHDVLGSSQLGGAPEAHTQEQPEVTPVQAGRVGRAIPPDRLTYSQGHVRAQGRRDREQLMLTTTHFRRFTVVSDPVARTVRNSTHEKRQLE >ORGLA09G0096700.1 pep chromosome:AGI1.1:9:12628508:12629470:-1 gene:ORGLA09G0096700 transcript:ORGLA09G0096700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSAEILIDLGGFRLYKDGHADRAGGMESVPAGYDDETGVTSKDVVIDAVTGVSARLYLPPCIQPATDDDGKKLPILLFFHAGYFVVGSASWPPVHRYTNSVVASARVVAVSVNYRLAPEHLLPAAYDDSWAALSWAVSGADPWLSAHGDTGRVFLSGASAGGNIAHNMTIAVGVRGLDAVVPAPRIEGTILLHPSFCGETRMEVEPEEFWGGVKKRWAVIFPGANGGLDDPRMNPMAAGAPSLTKLACERMLVCAAGLDPRRTRDRAYYDAVKASGWGREVDWFESEGEGHHFFVDKPGSHEASKLMERVAAFIAGH >ORGLA09G0096600.1 pep chromosome:AGI1.1:9:12624154:12625680:1 gene:ORGLA09G0096600 transcript:ORGLA09G0096600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTEGRLASVVHLPGRSRVSASPSPRRRRSPSRSPSPRRNRRRDRSPSPYRSRRDRSPSPYRDRRRQWSPYHRDRGRDVEREWARDRDHPAPRRGAGGGAWSASDDDDDEQLKGLTYFEYRRVKREKLRKSMKRCIWNITPSPPRREGEDEDYGYSDEEEEEEEKKESPKKVASSDKSEEEDSKGSSESDSGESDSLSDSSKSDDTRRKKKGRKGSHRSSKRSRHRRRHHSSDTEGDDNSKAEEDSEGSYDSEDSMDRRKKKRSRRHKKSKRRGRSSRRKKRKSNDTASEGSSEEEAVAAASGSSPSPLRDSKKKSRSSRRKRSKQSDSEDQAPSDADPGVKEIDETNEPEIDPEAIKFKEMLEAQKKAALENEMPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKSKREHKVMADLQRLVQRTIGNDVGPSHDPFATADG >ORGLA09G0096500.1 pep chromosome:AGI1.1:9:12615135:12615845:1 gene:ORGLA09G0096500 transcript:ORGLA09G0096500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLFNSYPESQLDLMSTMLQLEQLTALSDQSLFMAAPTSPPVSPMGTPSPQFSPPPQMSVTTTTAGGGYQDQYNSMPATYGAGAGVHQLDFAMSSPGSDSGAPQGSSSSSSSEAMREMIFHIAALQPVEIDPEAVRPPKRRNVRISKDPQSVAARLRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKSQVQSLERAAAATGAAAHRAAAFGAAYPAALPMQHHAPW >ORGLA09G0096400.1 pep chromosome:AGI1.1:9:12595842:12597114:-1 gene:ORGLA09G0096400 transcript:ORGLA09G0096400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCGSWSDCEAAAAAAQKAVPAPFLTKTYQLVDDPATDHIVSWGDDRVSTFVVWRPPEFARDILPNYFKHNNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKQLLTEIHRRKTSSASTASPSPPPFFAPPHFPLFHHPGVAAAQHHHAFVGDDGVVAAHGIGMPFPQPHWREPNLPVATRLLALGGPAPSPSSAEAGGAGRAATAAVLMEENERLRRSNTALLQELAHMRKLYNDIIYFVQNHVRPVAPSPAAAAFLQGLGMQARKKPAAANVLNNSGGSTTSSSSLTIAEEPSPPPQQQHLAGEKSGGEAGSSSAARSSAPTKLFGVHLSAAPCGAGSKRASSPEEHPPTSPATKPRLVLECDDLSLTVAPSSSSQQQLSAASSPTSTS >ORGLA09G0096300.1 pep chromosome:AGI1.1:9:12593069:12594296:1 gene:ORGLA09G0096300 transcript:ORGLA09G0096300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAAKTEGGLQVVVVVGQLRRRPPLGRIWRPSRWIQAEETGSVRRGGRWCDGNADGATGRPAAPGEVVAGVVVGGMQGGGRPRPGRRSTMTGRPAARGEAVAGVVAATRRPRHRQLLPLLPSTSLKLGLLSQVWWPGRRRGAQREVRRWPTWVVGKKAGSEVRAASLGAMKLGNNNTLQFLRSVDVSCVQEVVLWRLGLMFKVDNRCLARFCDVFGNDDLIAVELELLCR >ORGLA09G0096200.1 pep chromosome:AGI1.1:9:12579540:12582239:-1 gene:ORGLA09G0096200 transcript:ORGLA09G0096200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QPI3] MPPSRSLACLLLPPLLLLLLESVRDADAGPLAVEIVRPSFTATSYDYVDTGGAFLVSRNGSFRAAVFNPGKQQASFYLAVLHAPSGTPVWSANRDAPTSSTGKVQLSVGGITVSDANGTVLWSTPPLRSPVAALRLQDTGDLQLLDAGNATLWRSFDNATDTLLSGQQLLAGAYLSSAKGATDFSQGDYRFGVITADVLLTWQGSTYWRLSNDARGFKDTNAAVASMSVNASGLFAVAADGAMVFRVGLAPAEFRMLKLGSDGRLRIISYALVNSSAPVGGDFIAPAGDCDLPLQCPSLGYCSPAGNGSTCTCPPLFAASVTVAGSCTPGDGSTLASPAACQNNDSSSGGASVSYIALKPLTSYFATKFDAPTNTGVNKTACRALCTASCACLGFFHDSVSLSCRLIGGKQLGSLYKGASDTNLGYIKTFNSATKAGSNQIGSSSANHTVPIVLPSVAAFLLLAVLGWYIWWRNKMSKNGKKKKGKSSTMKVYLGRQKSPSRDTGYNADADDDGGGDDDDIVIPGMPARFSYQEITTMTSNFATKVGSGGFGTVYKGELPGGEGLIAVKKLEAAGVQAKREFCTEITIIGNIRHVNLVRLRGFCAEGSRRLLVYEYMNRGSLDRSLFGRTGPVLEWGERMEVAIGAARGLAYLHTGCEQKIVHCDVKPENILLANGGQVKISDFGLAKLMSREQSALFTTMRGTRGYLAPEWISNAAISDRADVYSFGMVLLELIHGRKNRGEQEAAAPANNVAVAAGSGEHSDLPSGWSSAMTSTASGTSGGGDEYFPMVALELHEQRRYLDLVDARLEGRVDEAEAARTVRVALCCLHEDPALRPSMATVVRILEGSVPPPEPRVEALGFLRLYGRSYPLPVPGSLTAMAGGGSHLDESLKDTSAPR >ORGLA09G0096100.1 pep chromosome:AGI1.1:9:12574632:12578303:-1 gene:ORGLA09G0096100 transcript:ORGLA09G0096100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSGGATAPMPAPSTHYPARDRELLAGSTGAVAGEEEPSADATVDVEVERKGAALAASLAWSTTSTYLASLSRRRRKRPPATSLWRGRWRMXLSVTSSGVGRGXRRTRPSTTELRRGMWMTKDATTGDELRGVDGGGCGRRRRAPVWGVDDGGRGRRRRASTWRGRWRMWPPATSSGVGRGR >ORGLA09G0096000.1 pep chromosome:AGI1.1:9:12568251:12570392:1 gene:ORGLA09G0096000 transcript:ORGLA09G0096000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 3;2 [Source:Projected from Arabidopsis thaliana (AT3G48850) TAIR;Acc:AT3G48850] MAPRNSLLPSFLYDGVGGGGGGAPAVVAGAPSEPPFGMIELFSPAYYSACAFGGAAACGLTHAAVTPLDVIKCNIQIDPTKYKSTTSAFGVVMREQGARGFYRGWAPTFLGYSAQGAFKYGLYEVFKKEYADMAGPEYAARYKTLIYLAGSATAEVAADVALCPMEAVKVRVQTQPGYARGLSDGFPKIVRNESYAGLFRGLVPLWGRQIPYTMMKFATYENIVEMAYKHLIPTPKEQCSKPLQLGVSFGSGYIAGVFCAAVSHPADNLVSFLNNSKGATVGDAVKNLGLWGLFTRGLPLRILMIGTLTGTQWVIYDSFKVMVGLPTTGGAPAPAAIPIGELAELKASA >ORGLA09G0095900.1 pep chromosome:AGI1.1:9:12564895:12566652:-1 gene:ORGLA09G0095900 transcript:ORGLA09G0095900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARRGRQNAAASPPSLALQLQVASLVIVFVFAFSSAITPTRADHVEGHKSHEMFGYENDATGPAKWGSLHKEWAVCGDGKKQSPIDITTVEPQKVKEPLMQAYKAGATTIQNRGHDYMLKWKDGNSKLTVQGKEYTLKQVHWHEPSEHTINGTRFDAEMHMVHEDPSMARAVVSVLLSTKAGQPNAVLTEVVTTTCLTVHLKWFVSISSPTERPRNGNEHI >ORGLA09G0095800.1 pep chromosome:AGI1.1:9:12563213:12563731:-1 gene:ORGLA09G0095800 transcript:ORGLA09G0095800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHFKNLAGKEKAEEEVKEPVDPSTWVDKTSGYYRYDGSLTTPPCTEGVIWTIMSKIGDASKEQIDLLKTVATTVEPNARPAQKLNDRIVRYFEV >ORGLA09G0095700.1 pep chromosome:AGI1.1:9:12560236:12561920:1 gene:ORGLA09G0095700 transcript:ORGLA09G0095700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGGTDMNAVPDGVVQHVLSMLSNVRDVAACACVCRRWRECVPYLPALFFPRNAFDTAAAAGGAADDAIGRMVRSVERLRELVIYCPFSMARLPEWLALRSTSLRVLELRMDAAAADKAEDGGYLDCIGLARGLEELRLWGVSLTAAPAWGRLDKLRVLEIVGAPLEDSAVKDAISACPNLTDLSLLGCDCSGAVSIELALLERCRLDFLGAGNCSLSLAAPRVESLEVQGFTWITLRGGHSLRRLSIAKSTGRVYKVDTGKLPDLEHLSLRGVQWSWAAVSSVLQCARDVKHLVMKIEFSGDFDALQPFPEVDLVDFFNSHPNLRKFDIHGAMFAALCQKNSLKNLDARFCIPCLEEVLITVRSPLNAEQKLNTLESLVKYSVRLRSMVIRISQMKNCHDAADDFFEEICKFKYMNNKKVRVE >ORGLA09G0095600.1 pep chromosome:AGI1.1:9:12551056:12555337:-1 gene:ORGLA09G0095600 transcript:ORGLA09G0095600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPVDVELGGAAGLEIAGGGGGGVWAVAGAIGRAASFRCVFVLALSVGVLVSALLLLVPTGGHGFLSDDPDVLGAEIQVGFTLEKPVSFLAAHMDKLGGDIFEEIGIPNSKVSIVSMSSLTSKYSTNVVFSVLPSPKEASISLPALSVLRSSLIAMMLNQVNLSLTEPLFGHPSSVELLRFPGGITVIPEQSGSVWADPLFNFVLNNSIYQILGNITELKDQLKLGLNLRSYEKVYLQFRNEIGSSVDAPATIEASVLDGSSILLPYRLKQLAQLIKEPNARNLGLNHSVFGKVKGVQLSSYLQHSISDLSPSPSPSPSPSPSPSPSPSPFPSSSVPPSFSPSGSIHYPAPPTYMNPSLPPQASPPLPDTNPCFPCFNCDRFSSAGSPTGKPPCFRRDPKLPPFIHSPQPSVAPSPYLSPAFPPIPGHVDPPNHLPGAVPGPTYQMMSIPSPSLPVFRPSTAPWKKRRKTKSPPSIAPSPYSLHS >ORGLA09G0095500.1 pep chromosome:AGI1.1:9:12547926:12549962:1 gene:ORGLA09G0095500 transcript:ORGLA09G0095500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 10 [Source:Projected from Arabidopsis thaliana (AT1G25290) TAIR;Acc:AT1G25290] MASSSPPWLLLPSFPWPPPPPPGSSSGRGGGGGGGGDPGDWKPNVVAAFAGAQLGRALRRRLAGLLRSPEARHLGALPRIGDIWFEGSDSFATHSILGVLENALSAPYACSSVLFNGNGSDRRYIGRGKLPSRRPSGINSKKRLWTNILLAVNILAYVAQITTQGRLLIWGAKINSMIDRGELWRLATSSLLHANLAHLAFNCFSLNSIGPMVEMLTGPRRYLAVYFTSALAGSLMSYRYCASPAVGASGAIFGLVGAYAVYTWRHRRFLGHGKESLEHIGRVVILNMGMGLLTRGIDNWGHLGGLLGGMAMAWFLGPAWQYQYVSKDGRAVFKDNAPILQLSNGKWLR >ORGLA09G0095400.1 pep chromosome:AGI1.1:9:12534513:12536050:-1 gene:ORGLA09G0095400 transcript:ORGLA09G0095400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGGGGGGGGAGGVGKLTRTPSSLLRSPTVRNCASFQAVVVEDPEPDDKKEQAQAKPPPHLHPHLLRAAAAAAVPAHPLLLLALPLAVLLLLLLLRDDHHHLLLLATAAAAALAAAAGAARLLRGRLRLRRSSGSGSVQWFIGDEDDKPQKRDKGKGGAHGRVVREGVEFYSNGDCYEGEFHKGRCNGSGVYNFFGKGKYEGDWVDGKYDGYGIESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYVGEFKCGVKHGLGSYHFRNGDRYAGEYFGDKIHGFGVYSFANGHCYEGSWHEGKKQGFGMYTFRNGDKRSGDWDSGTLKTPLSPTDPSVQRAVQAAQLAAENAFHLPRVDEQVHKAVMAANRAATAARVAAIKAVQNRMDGKFCDTYV >ORGLA09G0095300.1 pep chromosome:AGI1.1:9:12525457:12529042:1 gene:ORGLA09G0095300 transcript:ORGLA09G0095300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77670) TAIR;Acc:AT1G77670] MNLAGFLATPATATATRHEMPLNPSSSASFLLSSLRRSLVASLRKASPAAAAALSPMASASTVAAENGAAKAAAEKQQQQPVQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKEAAIQAINAGKNQYARGYGVPELNSAIAERFLKDSGLQVDPEKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGANVKAITLRPPDFSVPLEELKAAVSKNTRAIMINTPHNPTGKMFTREELEFIATLCKENDVLLFADEVYDKLAFEADHISMASIPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATCTPMQAAAAAALRAPDSYYEELRRDYGAKKSLLVNGLKDAGFIVYPSSGTYFVMVDHTPFGFDNDIEFCEYLIREVGVVAIPPSVFYLNPEDGKNLVRFTFCKDDETLRAAVERMKTKLRKK >ORGLA09G0095200.1 pep chromosome:AGI1.1:9:12519153:12524965:-1 gene:ORGLA09G0095200 transcript:ORGLA09G0095200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT5G66960) TAIR;Acc:AT5G66960] MRHQVGLLSRLLLRRHVHRRSKPATAPPPPPPPTPPRPPQKPEPVSIHGETWHDSYAWMGALSDAAAMRHMDVHMEAEEKYAEACLAAAGADRLARKLQLEMASRLASDACTPPVRWGPWLYYRRADEGKQYPVLCRRSAALHSEFISYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRFIAYTMYDKDKDSFTLMVRDLVTGTLCDKPRADRVSNISWAMDGKALVYIVTNEDRRPYRLFCSIIGSSKDDVLMLEEPDENIYLNIRHTKDFRFITLNVFSDTHSKVYLINASDPLSRMRLVWEGESQVHCIVEHHHGRLYLFTDASREGTPVDSHYLMLSDVESPGPKSWKDVFLEEPGVILEDVDFCDTHMVLVLRQGRKLKLCSVKLPFPEHIRVPARLSDFHPFDLSLPNHVCQILPGPNYDYRSSTMRFTISSPVMPDAVVDYNLPNGKWRIVQQQNMLHERTKALYGNAFAASMVKPSSKGGDLSSEDFGDCDWNELSEYYACEYYDVPSKDGVLVPLTLVYSQKHKQEGNPGLLHGHGAYGEILDKRWRSELKSLLDRGWVIAFADVRGGGGYGKKWHQDGARTKKMNSIYDFISCGEFLLEKGIIKENKLAGWGYSAGGLLVASAINTRPDLFRAVVLKVPFLDVCNTLLHPILPLTAIDYEEFGFPVDHEEFLSIRKYSPYDNIQKDVPYPAVFVTSSFNTRFGVWEAAKWVAKVREVTRYDPERPVILNLTTDVVEESKYLQTKELALETAFLIKMIHDT >ORGLA09G0095100.1 pep chromosome:AGI1.1:9:12507475:12515555:-1 gene:ORGLA09G0095100 transcript:ORGLA09G0095100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFASPAPQNPFQTPAQAPSLSPSPFQFNLQQPQQQQQQPPPQQQAAPAAQPQQQQQQQQLMLYTTDGKPAGYNTKWEELHAESQKALLQIEDKIREYRDESERLDQCSRLHDSSISTVNFENDASEIAQELGGTTTMMEREKASVQELMTVVNEMMRNTEFAIRSYMMLRPRFIRPGAGANGGGSNPSGPAGAQSNQPVALAPTIDFYSGIPKRPSHFMQQTINRFEKYLGECCKWIAELEQLVQMENNKRQSASLESLPKVMSNVHDYFIYVASKVENLHQYVESLKTEYLHEQRRLGNASDPFLEANRREAAKQEAAARRVHPTLHLPAPVQPTTQIAGTVTSQPQQSLIPSGATSSSAFPSFSTPASAPSSSSLFSTPTTPTLSSNLFGTSGSAQLSTPFGTVSTPTLGSTPAPSGFGNTTPSFVSTPALGGTSLFSTPFGGGATASGSSFGGTSKVRSKPRGRR >ORGLA09G0095000.1 pep chromosome:AGI1.1:9:12501811:12503840:-1 gene:ORGLA09G0095000 transcript:ORGLA09G0095000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITVSYSGYVAQSLAASLGLRCSSASTAAGCRFFQDGGWRPFCMLTSSSRGHAEHHRNGGGGGEHRREAGEGDRPKALPLSAAAGGHSLFLSPAYASSRAQPPSLAVGLLSVLAQGATGSKGGIYGAASLSGSSSSISLGFNPASFLPFLQTSKWLPCSDLATSSSATPSSPSPSPPPPAPAPSIRPKKALVSSASSSPAIARSSGGSGAAMSRSNWLSRWMSSCSDDTKTAFAAVTVPLLYSSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYIFREPEILDIVIFRAPPALQDWGYSSGDVFIKRVVAKAGDYVEVRDGKLIVNGVVQDEEFVLEPHNYEMEPMLVPEGYVFVLGDNRNNSFDSHNWGPLPVRNIIGRSVFRYWPPSRITDTIYEPPAEYSVAGLS >ORGLA09G0094900.1 pep chromosome:AGI1.1:9:12497799:12499181:-1 gene:ORGLA09G0094900 transcript:ORGLA09G0094900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCLVTTGFEDECREIHDACNQPRRLSVLLAHRSPSERQKIKATYRTVFGEDLAGEVQKILMVNQEDELCKLLYLWVLDPSERDAIMARDAVENGGATDYRVLVEIFTRRKQNQLFFTNQAYLARFKKNLEQDMVTEPSHPYQRLLVALATSHKSHHDELSRHIAKCDARRLYDAKNSGMGSVDEAVILEMFSKRSIPQLRLAFCSYKHIYGHDYTKALKKNGFGEFEQSLRVVVKCIYNPSMYFSKLLHRSLQCSATNKRLVTRAILGSDDVDMDKIKSVFKSSYGKDLEDFILESLPENDYRDFLLGAAKGSRAS >ORGLA09G0094800.1 pep chromosome:AGI1.1:9:12494708:12497507:1 gene:ORGLA09G0094800 transcript:ORGLA09G0094800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGAWAWTATAAALLWWMAAGAGAVWLEIPPSATKCVSEEIQSNVVVIGDYSVLYEHHLNPTVTVKTVEAATKFLSFCDPEMASPCQGLLEEVRCNHVHLERRAIPISSRFGTPAKVTSPFGDIVHHKQKVSTGQFSFTTAEAGNYLACFSADGRNKRLVVKLNLDWRVGIATKDWDSVAKKEKLEGVELELVKLETSVQAIHENLLLLRSKEANMRDTSEKTNARATWLSIISLIVCIIVSVLQLWHLQQYFRKKKLI >ORGLA09G0094700.1 pep chromosome:AGI1.1:9:12491908:12493826:-1 gene:ORGLA09G0094700 transcript:ORGLA09G0094700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLALLWGIIGPGVAGAVFGAGWWFWVDAVVCSSVQVSFLHYLPGIFASLAALMFNAVNKDEIGYDYYSPYGDDSEWRVKLWLFVAYVVSFVCLAGSVGMLVQDALTDKGPSVWTGVAGVLQCVLVLISGLIYWTCHSED >ORGLA09G0094600.1 pep chromosome:AGI1.1:9:12484587:12487913:-1 gene:ORGLA09G0094600 transcript:ORGLA09G0094600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QPG7] MKPKNGGAAADRRLPPRWILLCAFSFGLGMLFSDQFGSVPEWQKPLAAQRRVQDRKLQILDEDFVAKPKPTDDRDVMSEVTKTHEAIQYLDKSIATLQMELAGKRSTLELLGNGNGISQQRKKAFVVIGINTAFSSRKRRDSVRQTWMPQGEELKKLEEEKGIIIRFMIGHSATSNNVLDKEIDAEDAAHHDFLRLDHVEGYHELSAKTKIFFSTAVALWDADFYVKVDDDVHVNLGMLITTLGRHKLKPRVYIGCMKSGPVLADKNVKYHEPEFWKFGEDGNKYFRHATGQIYAISKDLATYISINRPILHKYANEDVSLGAWFIGLDVEHIDDRDMCCGTPPDCEWKAQAGNICVASFDWKCSGVCNPVERLKYVHSRCSEGDDAIWSASF >ORGLA09G0094500.1 pep chromosome:AGI1.1:9:12481628:12482989:1 gene:ORGLA09G0094500 transcript:ORGLA09G0094500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVSVVLVLIACWLCGCPVAGEAAFAGDIRVDLTHVDAGKELPKRELIRRAMQRSKARAAALSVVRNGGGFYGSIAQAREREREPGMAVRASGDLEYVLDLAVGTPPQPITALLDTGSDLIWTQCDTCTACLRQPDPLFSPRMSSSYEPMRCAGQLCGDILHHSCVRPDTCTYRYSYGDGTTTLGYYATERFTFASSSGETQSVPLGFGCGTMNVGSLNNASGIVGFGRDPLSLVSQLSIRRFSYCLTPYASSRKSTLQFGSLADVGLYDDATGPVQTTPILQSAQNPTFYYVAFTGVTVGARRLRIPASAFALRPDGSGGVIIDSGTALTLFPAAVLAEVVRAFRSQLRLPFANGSSPDDGVCFAAPAVAAGGGRMARQVAVPRMVFHFQGADLDLPRENYVLEDHRRGHLCVLLGDSGDDGATIGNFVQQDMRVVYDLERETLSFAPVEC >ORGLA09G0094400.1 pep chromosome:AGI1.1:9:12477824:12479568:1 gene:ORGLA09G0094400 transcript:ORGLA09G0094400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPLDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSRGGYPKGIEPNLRELAQKYNENKLVCRRCYARLPLRSTNCRKKKCGHSNEIRAKKRFMSKLSK >ORGLA09G0094300.1 pep chromosome:AGI1.1:9:12474165:12475490:1 gene:ORGLA09G0094300 transcript:ORGLA09G0094300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAVFLLVLLAALVSVDVAAAHSGGGFGFKATLTHVDANAGYTKAQLLSRAVARSRARVAALQSLATAADAITAARILLRFSEGEYLMDVGIGSPPRYFSAMIDTGSDLIWTQCAPCLLCVEQPTPYFEPAKSTSYASLPCSSAMCNALYSPLCFQNACVYQAFYGDSASSAGVLANETFTFGTNSTRVAVPRVSFGCGNMNAGTLFNGSGMVGFGRGALSLVSQLGSPRFSYCLTSFMSPATSRLYFGAYATLNSTNTSSSGPVQSTPFIVNPALPTMYFLNMTGISVAGDLLPIDPSVFAINETDGTGGVIIDSGTTVTFLAQPAYAMVQGAFVAWVGLPRANATPSDTFDTCFKWPPPPRRMVTLPEMVLHFDGADMELPLENYMVMDGGTGNLCLAMLPSDDGSIIGSFQHQNFHMLYDLENSLLSFVPAPCNLS >ORGLA09G0094200.1 pep chromosome:AGI1.1:9:12467191:12469087:1 gene:ORGLA09G0094200 transcript:ORGLA09G0094200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSATAACSLIPPPLLPLSFVFFDPSGRSLISDVYAVALIGGSLFFLQQFAGINGVLYFSSLTFHDVGITSGILASLYVGITNFAGAIVASILMDKQGRKKLLTGSYLGMALAMFLIVYAISFPLDEGVSHGLSITGTLLYIFTFAIGAGPVTGIIIPELSGARTRSKVMGFSFTVHWICNFLVGLYFLELVKKLGVGAVYAGFGGVSFLSALFAYNFIVETKGRSLEEIEMSLSPAAPGKRE >ORGLA09G0094100.1 pep chromosome:AGI1.1:9:12462420:12465500:1 gene:ORGLA09G0094100 transcript:ORGLA09G0094100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLLLLLLAAAAAAVAPARSKSTLESCSSSTACPALLSYTLYADLKLAELAALFSADPLAILAANSIDFAVPDPADRILPAGLPLRVPVPCACSDGIRRVTTVRYVARPGDTLASVASSVYGGLTTPDWISDSNGILGAKPDAAVDAGTTLFVPLHCACFGGVDNGLPAVYLTYVAGKGDTVAAVAQRYRTTATDLMSVNDMATPELAAGDIIVVPLPACTSSFPAFTADYGLAVANGTYAVTANRCVQCSCGPGNLDLFCVPAPLADSTCSSMQCANSSMMLGNFTLLMTSSGCSVTSCSYGGFVNGTILTTLTTALKPQCPGPHQYPPLIPPPTSSFFETYLGPSPTPMASEGGVMAGMAPTSTPAASSGPPPAGRHVVGDVLGAFALCLVGNLLW >ORGLA09G0094000.1 pep chromosome:AGI1.1:9:12453126:12455379:-1 gene:ORGLA09G0094000 transcript:ORGLA09G0094000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTMDSGEEEEEEEDTPREQLWSHDAVVIEMERNRNAAKADISINGSITALHVLRIQDYTVRGWLE >ORGLA09G0093900.1 pep chromosome:AGI1.1:9:12449155:12449847:-1 gene:ORGLA09G0093900 transcript:ORGLA09G0093900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSIRGKKCSSRQLRSHNRRLFSQCNFKRVANKELAATEKCAWKDSICPVCLECPHNAVLLCSSHDKGCRPYICATNYHHSNCLDQLIDSRRSSKDCEDLDSIELTCPLCRGEVKGYTLVEPAREQLNQNKRSCMQDGCSYMGSYGELCKHVRKKHPSVKPHSVDPVHTYRWRRLLFRSSLQDMICATSSPMVRRVLYVMLQFEELMASVWHEGPHGAMQINEMNLADP >ORGLA09G0093800.1 pep chromosome:AGI1.1:9:12445483:12446799:1 gene:ORGLA09G0093800 transcript:ORGLA09G0093800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPAEEADAAAGGSASEGSDAEASAEAARGHGSSPSPSKTPPPANPNPKSAAAPPSAVAAPASAAGSDSGAASGAASDSPRAAGNPSGPRSIEVNSDSEDSALPLASDAYADQAAAAGAGAGADSDDGNTSPLPPPRPSRAEAAAIKPISSRPMDPPPRRSAGGSEPRAKRPRSAAVASSAEHSKRPSRVWSQADELVILRGLITYRTKRGVLPGSTQDIGKLHSYIRGQLSAKVSTTQLSDKVRRLKQKYQMLATRAKTGKEVFPTPHDHNIYQLAKKVWGTMSTAGEGGGSGYDNADAGESEEEQYGRESDDDMESGRDNRHRKNQRSVPVTMANGNGTGIGAVNAIVRGRSEFEKGKDVYPYLWETVEELSSQHPTGAVFKKAFELLEGSKAQVMEEKLRKFRLTEMRQQLRRMDLMKDTLSMVLDALEMAD >ORGLA09G0093700.1 pep chromosome:AGI1.1:9:12434745:12439292:1 gene:ORGLA09G0093700 transcript:ORGLA09G0093700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVAAALLLVAAAVAASPVSALYSAGSPVLQFNPNNFKSKVLNSNGVVLVEFFAPWCGHCQQLTPIWEKAAGVLKGVATVAALDADAHKELAQEYGIRGFPTIKVFVPGKPPVDYQGARDVKPIVEFALSQVKALLRDRLNGKTSAGSGGKKSGGSSEKTEPSASIELNSQNFDKLVTKSKDLWIVEFFAPWCGHCKKLAPEWKKAAKNLKGQVKLGHVDCDAEKSLMSKYKVEGFPTILVFGADKESPFPYQGARVASAIESFALEQLEANAAPPEVSELTGPDAMEEKCASAAICFVSFLPDILDSKAEGRNKYLELLLSVAEKFKKSPYSFVWTAAGKQADLEKQVGVGGYGYPAMVALNVKKGAYAPLRSAFQLDEITEFVKEAGRGGKGNLPLDGTPTIVQSEPWDGKDGEVIEEDEFSLEELMADNSPVNDEL >ORGLA09G0093600.1 pep chromosome:AGI1.1:9:12380847:12381251:-1 gene:ORGLA09G0093600 transcript:ORGLA09G0093600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHRVVAQTDGNRMSIASFYNPGSDAVISPAPALVKEEEAGETYPKFVFEDYMKLYVRHKFEAKEPRFEAFKAMETETPNRIAIA >ORGLA09G0093500.1 pep chromosome:AGI1.1:9:12375596:12376269:1 gene:ORGLA09G0093500 transcript:ORGLA09G0093500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRSPVSSSLSLSFSSSRRRRERCRGAAASANLHHWHVRWPAVRFPNDDDVEDEQEEEDPPAHSDSSHSEHVGWVCAAIVDVVVVGADLVLWEARCMPSLAVAEVVSLPISLPPVAGWELDLQPHFLPARASTSPLPRGSRSVDHRDGSHSELHRSLMPPLLALPYRLPLRATAGKGCGLAASRQPHQLQRSMAREDGWL >ORGLA09G0093400.1 pep chromosome:AGI1.1:9:12373952:12374628:1 gene:ORGLA09G0093400 transcript:ORGLA09G0093400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:I1QPF5] MGKTWALISHLHAFAGPTLTLIYPLYASICAMESTSKVDDEQWLAYWILYSLITLMEMALHKVLYWIPLWYEAKVLFVAWLVLPQFRGASFIYDKFVREQLKKNRVKLHEHHGHGHGHADEHQSHVVR >ORGLA09G0093300.1 pep chromosome:AGI1.1:9:12369236:12369514:1 gene:ORGLA09G0093300 transcript:ORGLA09G0093300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVALLLAAIAISALLVQVECDAPVNQRLTEARQAINKAHDAPVDKRVTEASQDINEALDAVVAAAPPAKKAEIEDATWKHRMYSLRFRL >ORGLA09G0093200.1 pep chromosome:AGI1.1:9:12353663:12353866:1 gene:ORGLA09G0093200 transcript:ORGLA09G0093200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPKPVIALVLLVVCVVSSFEAATAQYGGSSSNGAAATGLTAAGGSCSLAVPAAVLAIAAFFWN >ORGLA09G0093100.1 pep chromosome:AGI1.1:9:12351192:12351557:1 gene:ORGLA09G0093100 transcript:ORGLA09G0093100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEASQAINKALEALMDKRLTEASQANNKALDAVVVAAPPAKKSEIEHAMWKQRMFAFAALGMAEGDEKKLATASLAYKNVANAVLTAAPAEKFKVMEESFKVAARQATTKSFEFFLNISME >ORGLA09G0093000.1 pep chromosome:AGI1.1:9:12340141:12344776:-1 gene:ORGLA09G0093000 transcript:ORGLA09G0093000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVGGSLGMEATNCGALLRELQQIWAEVGESEGEKNKVLSEIERECLEVYRRKVDDANRTRVQLHQSVATKEAEVASLVAALGEHKLYLKKDKSVVPLKEQLAAVVPVLENLKGKKEERLKQFSDIQSQIEKIRSELSEYSDGDDKANSLIVDENDLSTRKLNNYQAQLHALQKEKSDRLHKVLEYVNEVHCLCGVLGIDFGKTVNGIHPSLHQNGLEQSTNISNSTLEGLANTISNLKAEQRSRIDKMRETMESLCKLWKLMDSPQEERRQFNRVLSVLISSEEEILSPGVLSQETIEKMGAEVERLTKLKARRLKEIFMKKRSELEEICRSAHIEPDASTAPEQTNEMIDSGMIDPSELLAKLESQILKAKEESLSRKDIMDRINKWISACDEEAWLEEYNQDSKRYSAGRGAHINLRRAEKARILVTKIPAMVDNLINRTFAWENARNKPFLYDGGRLISVLEEYRLNREQKEEEKRRYRDQKKLESILLKEKEAIFGSKGSPKRAVSLNRRTNGYSSSGTTSGLMTPNPRRSSLGGATPELLTPRSCSGHYNRYFSDSRRLSATQLNFGDDSLSTFTSISGSEPESPSLG >ORGLA09G0092900.1 pep chromosome:AGI1.1:9:12336827:12337976:1 gene:ORGLA09G0092900 transcript:ORGLA09G0092900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAASAVPLHAGSTPLPRRNPAAVRGLMRPPLLMVVVVVVSTAHRGTLMRFSLCRWLMLELLQVAKAQPCVLRRFTPASRRKCVAARSHGRQELRAGQYQLDDDEPLWLAVVRDITWGLRSFLAFLAEQPRQLKHLEWPGFRNMLRTATLTLILVAVFIVALSSVDAALCYILS >ORGLA09G0092800.1 pep chromosome:AGI1.1:9:12332456:12332755:1 gene:ORGLA09G0092800 transcript:ORGLA09G0092800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHQSGNFKIQDIKDCTAVTSAEAARQFFTAQLEDIRMKKGTRKEKGTRQKNDAAAAKDERAIEVGASSSVEAVAAQVQAAEETKAIPQGLLAHDAKE >ORGLA09G0092700.1 pep chromosome:AGI1.1:9:12330820:12331897:1 gene:ORGLA09G0092700 transcript:ORGLA09G0092700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIARVVDDNSPLLMANSDVSVQFAEPPRASILNVARRIHPNGFHPSRPYLPFILNIQSDHLLLYTTNGGHAGGGIYLCDAYTGVAIRLPPSPERPINPRSSTPHQPPNTAPSSPTPRGQARGRSSVSVLRRTTRAATAACSPTTGGCGGRILTLDPFAAGEFHLRHVALPEGHGARMDNSDDKHRCVKVSEGKLRYVEIDGFPGTPAVTMTTLIDLDGAVWNMDYRVGLDEIWARLQASKPGXRRARSPPSRSSTPTTMAYSTFYXTAXFLRXTCVPAPPRAGSCTSRSFWWALASTPWRSTRTRDSYLLGSYYSHCLSDSDLVRVCKLVVSNSVLSGL >ORGLA09G0092600.1 pep chromosome:AGI1.1:9:12324920:12325156:-1 gene:ORGLA09G0092600 transcript:ORGLA09G0092600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRSVASGADAARIDGEGEGVCCCVVGEGGSDGEMGVGGGGGDERPRCQRRGLLSCAVDLMQFSMHEPANNATFRFF >ORGLA09G0092500.1 pep chromosome:AGI1.1:9:12314057:12321108:1 gene:ORGLA09G0092500 transcript:ORGLA09G0092500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRQWWRRVAAAVKDRRSVLLERGDPRDEPRRAVGGLPERRAGVPVGADLAVAGAAHRVGARAARTADALADFRDRSAPRGKSPAFSAFVRAYFRFLNYRSLLAAEEDIAGDGDDHCVARLERITKLQFLLELLLQIRPYCDGMEVPLVLEAMDCALIEILQVYGEICTGVALFLVGVPAPTTRPRQTKSTAAAGIKVLRKAAEKSAQLSSYFELCRSLGVVNVRELEVRRQLDLRGFGPLNCQVNCILQSLFILQVMPIQSLDKATAKEHLLLPPEFPQTPSFFRSPTVAWAPGGLLHSIGGHRLLNQKASGCTAWRDTRGRSAVGDPQSPELKVEAANTAVRAAVKRCAEVPISARPGKMMRIAIKSWSRFLPPYIPDDVIFDILLRLPSKSLIRFKSVCKAWHAIISNPCFISAHLECSKQKPSIFMVPGVYEKQNNGENTSFLMGLYQYQGGNIMEQIHVQDFPQGIGTWSRPIHCNGMLLISTMNHEMIVCNPSTREIVSLPKGSYNLHAGPRAGFGFDPHSNKYKVARFFYQRDDDTSELVCKFEVLTLGTNLWRQTEDPPYPISGLTPVHVKGAIYWMVNTPLCPDPPNAFLRFCLTNEKFSLLQYPPCNLKPTRFIEVEGELCCACFCSQVSALKIWTCNYAQNPEWTQRCTVQIPPDIVVNNPVARPPIVFLHGKKLLLTWNQVYQYDIQTCRMEKIASGVEDFTCYDPRNNKYWAYLEKEVTDMHLFNYAESLVPIREF >ORGLA09G0092400.1 pep chromosome:AGI1.1:9:12307129:12309568:1 gene:ORGLA09G0092400 transcript:ORGLA09G0092400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSCAPTTAGPPPDEATTPEPFRSLQIATASAGSAKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPTCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACARCSKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNASRGQAAAVAEGGNVSTAACGGVAVLEQEKQLDLQAPAAASLSRTASSTSPSSDVVASPVAWPGAGAPSMPSPKAAAFRGRFDMAPSPPPPSYDHYRGGAGAHNLELQLMPPFNAGGAAAAPGGMGACFYAAAHQHHPTGVSQCNDASTQLQLSIGRGEVMGAAGTSDEASAAATAKEQAREQLRQAMAEKEAAGEARAQARRQVELAEQELATARRMRHQAQVELSRAHALRDHAVRQVNATLLQITCFSCRHKFRAAAAGAPLPAAMSSDVACSYVSSVVTEGGDADEPLDVVDATRRRLQHANSMGIM >ORGLA09G0092300.1 pep chromosome:AGI1.1:9:12281906:12286095:-1 gene:ORGLA09G0092300 transcript:ORGLA09G0092300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYPLDIIDLEWITTTDRDYVEYFRGVTALVAAATSTNLVDAPEYIWCPCHDCKKDRQLPAQRVHSHLLTRGFMNNFLSEEEQGEGSAGNCHGHDADVGCEEEEDQTCVNQMLRDGERFDTDDREYHKFTTRVKDSKTPVYNGCKAEHSKLQVVLSLLHLKARYLEDEKPLKSYAHPMLENLDKEGLLEQLLHCGLGAGEVTVRVEGVVGAGQQCPSCAVDKCKELSMLGIRRSRGGLNLMDFLAVWEAFGIWQALESVVNSLPCKSYKKQTAQCSDDM >ORGLA09G0092200.1 pep chromosome:AGI1.1:9:12275425:12277654:1 gene:ORGLA09G0092200 transcript:ORGLA09G0092200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22260) TAIR;Acc:AT5G22260] MAPKMVISLGSSRRRKRGEMLFRFEAFCQPGYPANFAGAGGFRDNVRTLLGFAHLEAGVHGETKCWSFQLELHRHPPTVVRLFVVEEEVAASPHRQCHLCRHIGWGRHLICSKRYHFLLPRRESAAEADGLCFAINHGGGGGAEKASSKGTTTTTTTATASSRGHLLHGVVHLNGYGHLVALHGLEGGSDFVSGHQIMDLWDRICSALHVRTVSLVDTARKGHMELRLLHGVAYGETWFGRWGYRYGRPSYGVALPSYRQSLHALGSMPLCVLVPHLSCFSQELPMVVTKYQAISGHKLLSLGDLLRFLLELRARLPATSVTAMDYRGIMSEASCRWSAKRVDMAARAVVDALRRAEPAARWVTRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYVVRRTMNPVTKVLEYCLEDVSSVLPAVAGGGGVPAQGKMRVRFQLTRAQLMRDLVHLYRHVLKEPSQALTGGAFGAIPVAVRMVLDIKHFVKDYHEGQAAASSNGGGGFGHPHINLCCTLLVSNGSPELAPPYETVTLPAHATVGELKWEAQRVFSEMYLGLRSFAADSVVGVGADQEGLPVLGLVDVGSAVVVQGSVGEQINGEDHERKEEAAAAAVCEGSGGGERVVDCACGALDDDGERMACCDICEAWQHTRCAGIADTEDAPHVFLCSRCDNDVVSFPSFNC >ORGLA09G0092100.1 pep chromosome:AGI1.1:9:12270576:12273895:1 gene:ORGLA09G0092100 transcript:ORGLA09G0092100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKPFPFLAAAAAAASRSPLPLSPAARPAPASSRFGDRRAPAVVAATARRRGAHGVRALRPLLLPRASASPSAKTAAGGMSDPELRMVLELATDEELMEFEEILYGTSYEPQMAKPKPANLSKIKSYFSPLLKSIAKRPNSDYVDALDDIEERDILISKLESRFLYLAADARSIIRGSRPSYRNVLLGVRRELGVRCSSKLCTADLEAEIFLHLLDEYSSRQKGPDLFLWNKQKSPKDNSSLGVNKWMVLTDSAWKIGAKGLESAFLKGGSALTLKMIYESLAKRLSGKLLMEAGKYEIKKELLKQGGRLAAVNLESRAGLLAARQGLARAASRYVGLRSVMTFLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQNIFG >ORGLA09G0092000.1 pep chromosome:AGI1.1:9:12253109:12254889:1 gene:ORGLA09G0092000 transcript:ORGLA09G0092000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAQERELQLLQLQGVSWPFHAMEAARSSSWDATTSSGSSSGASGGGGGDCFLLGWEPPFAAGCLGVLAADVHGLFPLCTCPARFLYLCSSLRIGELIRAPAWSADMESPPAPPQQDAVALPEELDDLLLNFWDASSDQQQQQQQVAFNSSCILQEKTSSTTATATTTNSNSNFFYDDDDLLGSIFSTGPTLPEKGVAEPLLSSSSSNCQADPQVSEVSGAQPQATPAAPGVARAPPRCSSSSSLKRAAPAEDAAAEAEYCRQSSSKRRREAETPTPEKSAAAAAAPACRVLCPFAVLKPDGLDGGATLADINARILMRPSRPVRHPVGEFACAPRVSADKPGLSGKAVAGFTRLHTPGRGTITIIRTRG >ORGLA09G0091900.1 pep chromosome:AGI1.1:9:12243191:12246290:1 gene:ORGLA09G0091900 transcript:ORGLA09G0091900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1QPE0] MWFIVLLCCVPNRNLKYHLCVLQFILMFSLNSEQDSNVWKDLFLAYKTLGVVFGGLVTSPLYVYPSMNLSSPTEADYLGIYSIMFWTLTLIGVVKYVCIALNADDHGEGGTFAMYSLLCRHADIGILPSKRVYAEEDPLLHSQSAIARRPSRLGKFFEQSITARRVLLFIAVLGMCMLIGDGILTPAISVLSAIDGIRGPFPTVSKPVVEALSAAILIGLFLLQKYGTSKVSFLFSPIMAAWTFTTPIIGLYSIVHYYPGIFKAISPYYIVHFFLRNKRQGWQLLGGTVLCITGAEAMFADLGHFSKKAIQMAFLSSIYPSLVLTYAGQTAYLIKNVNDFGDGFYKFVPRPVYWPMFVVATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSQHKEGEVYSPEINYILMVLCVGVILGFGGGKAIGNAFGVVVIMVMLITTVLLTLVMIIIWRTPLVLAGLYFVPFFIMEGAYVSAVFTKIPEGGWLPFAVSITLAMIMFGWYYGRQRKFEYEMTNKVSLEHLGELLARPEVQRVPGLCFFYSNIQDGLTPILGHYIKNMSSLHTVTIFVTLRPLLVAKVDQSERILINRLGPNGVYGCTVQYGYADNLSLEGGDDLAAQVTSCLQWHIQMDTDGRQSPEEEMAQLEAARLAGVVHVRGKMRFYVGEDAGWFDKIMLGFYEFLHGICRSALPVLGMPLQQRVEIGMLYKV >ORGLA09G0091800.1 pep chromosome:AGI1.1:9:12234023:12235237:-1 gene:ORGLA09G0091800 transcript:ORGLA09G0091800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRCADNLVSTRPRKVMRIVINSWSRFMPPYIPDDVMFNILSWLPSKSLIRFKSVCKAWHAMISSPCFIDAHLECSKRNPSILMVPGAYEKQDGENIAFMMGLYKYHGGKTMELVHMQNFPQGIGVWTRPVQCNGLLLIPTMNHEMMICNPSTRQIVFLPKVSGNICTGTRAGFGFDPHSNKYKVARSFYQRDSETQELVCKFEVLTLGTNAWRQTEDPPYPIDALTPVHVKGAIYWIVCSSLCPDPPNAFLRFCLTDEKFSLFPCPPSNVKSVRFTEVEGELCCACFFSETLALEIWNCSGGQSLEWTRRYVIQIPPDVVMKYPVERPPLIVFREKMFLLAFKKVYRYDIETCTIVELASKVSDFTCYEPYLEKEARDLHLFNYAESLAPIREFCEVTSVC >ORGLA09G0091700.1 pep chromosome:AGI1.1:9:12220556:12221155:-1 gene:ORGLA09G0091700 transcript:ORGLA09G0091700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFGFDPHSNKYKVARAFYQRDYPTTRQVCKFEVLTLGTDAWRQTEDPPYPIDRLTPVHVKGAIYWKIPPDLVMNHFVEIPPLVVFHRRKLLLASNKVYRYDIQTCKLEKIASTFEDFTC >ORGLA09G0091600.1 pep chromosome:AGI1.1:9:12211029:12212977:-1 gene:ORGLA09G0091600 transcript:ORGLA09G0091600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTISPVFLISLLGVPLLYLLWSKASKSPSGAPAEPPPPPGPTPFPVIGNIPDLLRGGELHRALTGLAASYGPVMSLRLGMASTVVLSSPDVAHEALHKKDGAISSRWVPDNANVLGHQDVSMAWLPSSSPLWKHMRTLASTLLFTSRRLGASRGIRERKARELVDYLGARSGRPVRVGLAVFGSVLNFMSNVFFSEDVVELGSETGQEFQQLIADSVAETAKPNISDFFPFLSALDLSRRRRAAAKNLKKFYDFFDDVIDRRLSSGEKPGDLLDSLLELHAKSQLERPLIRALMTDLFIAGSHTTTTTVEWAMAELLRNPSKMAKARAELGEAFGRGAVEEGELARLPYLNAVIKETLRLHPPAPLLLPHRVSSDSEPAGGVTLGGYSVPSGARVLINAWAIGRDPAAWSPEPDAFSPERFLGREADYWGRTLEFIPFGSGRRACPGIPLAVAVVPMVVAAMVHSLEWRLPEGMAPGDVDVGDRFGAVLELATPLWAVPVKV >ORGLA09G0091500.1 pep chromosome:AGI1.1:9:12205580:12205946:-1 gene:ORGLA09G0091500 transcript:ORGLA09G0091500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVISRILLLRDGAKYRPGIHPKWVHAAVSGSKSIGSASRRPTRGVSSPSQWIPAILAEVDGTARHHAQALTHRSAGG >ORGLA09G0091400.1 pep chromosome:AGI1.1:9:12197366:12197842:-1 gene:ORGLA09G0091400 transcript:ORGLA09G0091400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKGKQRQDKFYHLAKKQGYQSRAAFKLLQLDAWFRFLPTARAVLDLCAAPGGWVQVAVNHVPVGAFVVSVDLVPIRGAHSLTEDITTTKCRAAVRRLMDSNGVAAFNIVLHDGSPNVGGAWVQEATVQSSLIHNSLPPCRLVLPPPHQCSPPPQR >ORGLA09G0091300.1 pep chromosome:AGI1.1:9:12190183:12191139:-1 gene:ORGLA09G0091300 transcript:ORGLA09G0091300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVGHVAPGAGFILIGMWQLFNHIRLFALRPISYAAPVWFPVRGVRHLELILVIVGAAISILMELVIGPARHQPFDDDGTIPSNHLHNFEHASISLALLVYAAVTIHMDRARAPMRDAVSQLVAAAAFAQQLLIFHLHSADHMGVEGQFHWLLQTVIAVTLATTVLGIPCPRSFAVSLVRSASLVFQGVWFVVMGVMLWTPALIPKGCFLNLEEGHDVVRCRTDEALHRAKSLVNLQFSWYLTATVVFVVVFYLHLTKLYPEEPRYLPLVKGGGGGGDGDSDGGRFSIGDDEDDLEAAKGGFGHVAGGGNAVEIER >ORGLA09G0091200.1 pep chromosome:AGI1.1:9:12181227:12182174:-1 gene:ORGLA09G0091200 transcript:ORGLA09G0091200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGTPRSSIGHILPGAGFVAVGVWHLFNHVKLFSQRPDTYVAPAWFPVPGARYLELALIIAGSGVEFAMEMFVGHSTLLPFAADGSIPSDRLHNHEHAIICLSLAVYAAAALHLDRARAPARGTLGLLLVAAVFAQELLVFHFHSTDHAGVEGQFHWLLQVVVAACLATSLLGVGYPRSFAVGLARSACVAFHGLWLAVIGAMVWVPSLVPRGCELVREDGRDTVRCRSKESLHRAKALANLQFGWYLSFMTVFVVALYLYVSNRYPAAEEAAYAPLRKAGAGDGDDDGDDDAHKGGGGGVHGFAALEIEV >ORGLA09G0091100.1 pep chromosome:AGI1.1:9:12176626:12177363:-1 gene:ORGLA09G0091100 transcript:ORGLA09G0091100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALEATRSAVGPTALALGAPEPVASAAAGAAAGLAAAVAAQVVWTPVDVISQRLMVQGNPCPASRYRGGLDAFRKIVAADGLRGLYRGFGMSILTYAPSNAVWWATYSLSQKTIWSGIGCYLCEYGVGVQEIDAGEGDSSLQPGYKTVMVVQGVSAAMAGGASALVTMPLDTIKTRMQVMDGEGGEPITVGRTVRRLIKEGGWGACYRGLGPRWASMSLSATTMITTYEFLKRLSAKGHESSLP >ORGLA09G0091000.1 pep chromosome:AGI1.1:9:12158196:12164510:-1 gene:ORGLA09G0091000 transcript:ORGLA09G0091000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid:diacylglycerol acyltransferase [Source:Projected from Arabidopsis thaliana (AT5G13640) TAIR;Acc:AT5G13640] MSLLRRRKQPQPPPEQPNEDSSNGSDLDEKGKKKPGSSSSSAAPPPEAAAAAAKEATKRTRARWSCVDSCCWLVGCVCSAWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLQKEGLRAKHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGTFGDVYKRPLCWVEHMSLDNETGLDKPGIRVRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELLVATNGGNRVVVIPHSMGVLYFLHFMKWVEAPPPMGGGGGPNWCAKHIKSVMNIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPEVLDSDFLGLQTLRHLMRMTRTWDSTMSMIPKGGDTIWGDLDWSPEDGFECKAKNQKINDSEVSKDANGKNEVHPEPVKYGRIVSFGKDVAEAPSSEIEQIEFRDAVKGNNIAHSNTSCRDIWTEYHELGWGGIKAVADYKVYTAGSIIDLLRFVAPRMMQRGSVHFSYGIADNLDDPKYGHYKYWSNPLETKLPNAPEMEIFSMYGVGIPTERAYVYKLAPQAECYIPFQIDASAEGGDENSCLKGGVYLSNGDETVPVLSAGYMCAKGWRGKTRFNPSGSKTYIREYSHSPPSNLLEGRGTQSGAHVDIMGNFALIEDIIRIAAGATGEELGGDQVYSDIFKWSDKIKLKL >ORGLA09G0090900.1 pep chromosome:AGI1.1:9:12150625:12151588:1 gene:ORGLA09G0090900 transcript:ORGLA09G0090900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMGSIEXLRKRCRQLRVLIHVNDHRKTVVVLHAGEDGKLDHILVQNNSPDAEASVQSTYRINVSGETPELQAEMLNDWYTSFRMDTTGVLYDSDQNGIN >ORGLA09G0090800.1 pep chromosome:AGI1.1:9:12145173:12149610:1 gene:ORGLA09G0090800 transcript:ORGLA09G0090800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSSSSSNVPSGGAAGGGAGSGKLPMVPVGSIECLRKRCRQLRVLIHVNDHRKAVVVLHAGEDGKPDHVLVQNVSPEGEASVQSTYRIDVSGETPESQAEMLNDWYTSFRMDTTGVLYDSDQNVIYGVPRGHPGGDVPRSLAILPPAPKKNQHGKAPATESNSSLVEEPLLLVQTDQPAAIGKRKKFTFPDQRKRVKTMTKKDLESYFHITQKSTAHIGLSIGTTALKNLCRANDLPRWPYRQIASLDNKFNNNLKKQITGWNLGKAVQGVTKAFKLRKEKEEFYQKILSSMPEQLQGIDEIVNSLPEADDDIDIEDDEDNDDVIEDNDDDNSDEN >ORGLA09G0090700.1 pep chromosome:AGI1.1:9:12137493:12139057:1 gene:ORGLA09G0090700 transcript:ORGLA09G0090700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQQRQCHGKNAPPTLAPPRRARGGAGGSFSASLLDAIYRSLDEGGGGDGAGAVVDDARRSEAEEMKAAAAAAVPPQFWWAKSKQAAGAAGRSRRESVARPRHSGYASSTASSSDASSSSYSSFTCSSASTTDTESTTHRRRHSQPPPQQPEDVDAAAAAAAAAPSNSKPKKKKKKSRPCFPGARLRPRGTVPPPPPSSSGPSPATFACVVKALFSSSRLPRKPKAPTAVPLPPASPPVPQPPCMSAAATTTSNTKASERRSVRFCPGAETSVVRRRVEELVRSLADVEEDEDGSDASSDLFELESLRGADGDELPVYGTTSLATNRAIILRREQLASS >ORGLA09G0090600.1 pep chromosome:AGI1.1:9:12131970:12133440:-1 gene:ORGLA09G0090600 transcript:ORGLA09G0090600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVAKLKEEVEKQRDLKETYMARLESTQAYLRFCLEVAQVHGFLHLVSNSNGGDDEPHRDAGDQEPATAAAAADDDEDAAEAPPCDPYFAATRDLAVQHGWSVAPDEIELHEMIGRGSTADVYRATWRGLDVAVKWMRAEFFAAADQRSRGEAFFAQELDALSRQRHPHVLRLMAACLRPPASCFLVTELLTGATLAQWLHGGDGGGRSRERRRQPSSPPPPPPLVDRVSRALEIALAMRYLHEQTPAVVHRDLKPSNVLLDGDSRVRVADFGHARFLPDGTAALTGETGTYVYMAPEIIRCEPYTEKCDVYSFGIILNELVTGEHPYIDTGYGPSKIALEVSDGKLRPKLAERDVNSSVLNDLICGMWDAEPSKRPSFATITSALRKIKQQLM >ORGLA09G0090500.1 pep chromosome:AGI1.1:9:12127963:12131057:-1 gene:ORGLA09G0090500 transcript:ORGLA09G0090500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TARSWRRRAPARSPWGDPRTGGGRPPSSSTARWRGTARPPPPRGRGPPQQHSEVPRELLGVALHERPGLYFSILRAHRLVLQADSAFPQVMEKLQSYKARVTLNFEQGFQYQLGDFCLRIGKCVPNNSETLRGIMMEVEYYPLSSIEKSRAVMEDFFDIWQETVAKKSLPGHFIHVESNFSEYGLSDHYSFQHTAVQYATCLQQLMAAVRPQATLTAMV >ORGLA09G0090400.1 pep chromosome:AGI1.1:9:12123343:12124089:-1 gene:ORGLA09G0090400 transcript:ORGLA09G0090400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGARKNEPTSRIRQRTTENGNLAGAARARFFGARATSRIHDLISSFTGARRGSKETHGGDLASAQRRRAAERRMGDGEALKGGLNRGLEEKEAVGVDAEVVRSHDRHPGFGYKRRGPWMTMAMSPKRRCKVWRRAEARRSPREAE >ORGLA09G0090300.1 pep chromosome:AGI1.1:9:12119225:12121537:-1 gene:ORGLA09G0090300 transcript:ORGLA09G0090300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFVPLGNVTQVAKQFAASSVGQLARIEGLVTLSCLLVVILVFSNSRRRHQSNGFLGFLVWAAFMFNYPVISYTIGLMQSSSVRNELFVVWACFLLLLLGSADAMTAFSFNDTQQHARSMMNQALHIIYLLFLILYYKAQLRMNLKVPLFILWSLSVARLVLRINAYRTTSRDNGLIRENQIVFEYMKHKLLDGSIVGKYDPDPSSMKEYIYLVDGTEEESAMAIHLRYDAPDTVSVDKVWECKGELLSCSSSAGSRGAARRRDLCLSFALFRLLRLRFGADHVGDLNFHSNNDLSRTLVVDRLLSDDRDLDRAFRVVEAELGFLFDFFYARYPSLKDNLVFDLILYLLTMVTSLFTLFSSVLLHYRPSTTAKVNIIIHSFNLDLFVTRLVVALYIFLESYQLLSLVLSDWHKVKLMCQYVLKVSWHRARVDTPLKVLCHFNVSRYWKNAINQYSLLDNAGYLYRVKLLLSTLTLQLLDPWIMASSIVLPPQVKQAVLCALKDALKPTNGKITDGRRWLHQNGILDRDLDYDLFSHKTYAPYILVWHIATSICCYGESKFDMAQADAELRYHYEVATVLSGYCSYLVAFAPDLIPDGTYTSQLLSCRVLKDAHAYLAECRTTSDKYDKLMKLGRDGWKEQEAGCPLLYEGAVLALNLVDRKKDAEERWKVLAHFWANLLLYIAPSDRASAHASKLATGGELLTIVWALLNHAGVVNKLQENNGCQPLDTLPRAPRTPIFHRQGTIVDSNEDDGDGDYPMYEIMQEDYSV >ORGLA09G0090200.1 pep chromosome:AGI1.1:9:12115450:12117754:1 gene:ORGLA09G0090200 transcript:ORGLA09G0090200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEQKGHPRAPMGASRRHTRAAAAPRRRPLQRPFQQLGPVLAPPRRARLRLVAAAAGGVVAGGGGDGGGVRQGGDRRHPAAPAPGPRAARPPEGHRRAPPRRARLDGVPLREGDPVAGPHRARHDRPPPHPMHRSIFACRALRRLSLGRFALPAAPEHFAGFPALATLSLTGTAFRNARDLEALVAMSPRLEELRMCCIAVDVDCREHGGDGRRKVRMVSSSLRFLRIDGMGNVEFVGARLPRVSQADFAQASYPSAPNLLSAMATSLETLDYYYYALPLSPTKLLKGLPSSYKNLKRLKVHLDFNHAPPILSTLNFLRTAPNLTQLVIQDFTDDSXAQSPYPLAAELYGNLCPSLLFLQMSYVTSQNNEMDFIRLILSKARMLQVMEV >ORGLA09G0090100.1 pep chromosome:AGI1.1:9:12112133:12114524:-1 gene:ORGLA09G0090100 transcript:ORGLA09G0090100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPPHRHKKCRTAEAAVPGGEEEEEAKDALISLPPDVLDGVLTRLGLRDAVRTSALSRAWRRRWESLPSLDISFPFPHQEGAALAAVDGVLLRCLPRPRPELQRIRRQAHRPPRPXLDPRPRAPRRRVPRPRVADPQPPRRPLLRLLLRPPRLPQPLRLRHPAAPAGFRRIPQPEEPNPRPRVAASGRGVPTGGDHREFPIAXDASVIWNLHRWRRYHKLGDSSAQSPASNDLFAERLWMEPFGFATPAFCRHRFVGLSWWPXFRRVSRQASSCXEASPLCVLSTVKWSXDTRDTSMHLXQLEELEELEVIHGLLXTAPDFNDFLLRNAPNLEKLKIMITDNEQKVEANGVFQNAEWTGGMCANLQIVQITRISWLPNEMSFIELILSKASLLRTISVTHGDKCLMSNEDALSELLKYKRASPQAQILFKESDSDSLHCKLLPFYKMVN >ORGLA09G0090000.1 pep chromosome:AGI1.1:9:12106076:12110070:1 gene:ORGLA09G0090000 transcript:ORGLA09G0090000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G35570) TAIR;Acc:AT5G35570] MGYHQPVHGGAAAGAGGSGGGGGGDHLHQHHHPRLHSPRISGGGSMTRRANSFKRGEIELQIGSPRSPRGDGVGSPLAESSSASEASAGGGGAVHHHHHQSQQQQLRFRLFKRPGSGAGEVVLGLGIRERRRIGNLLFLAFCGVCLLLGVAKIWAGGWFALPGDDKDADLQDLSISFSSDGGYQFDSHFGHVGGKESDRMLMTVGSEDSVPEAPDVWSQPSSGKFRQCIISNSHKKEDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSFWADDSEFKDLFNWRHFIESLKEDIDIVEMLPPAYKHIEPVAKAPISWSKVNYYRDEILPLLKKHKVIYFTHTDSRLANNGLPSYIQKLRCRVNYRSLKYSQTIEDLGATLVSRMHQDGSPYLALHLRFEKDMLAFTGCSHSLTSEEEEELRKMRYEVSHWKEKEINGTERRSMGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALMDDFPNIYSHSTLATKEELEPFRNHQNMLAGLDYIVALQSDVFLYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLIDEYDEGRMSWDDFSSEVKRIHRDGERIGAPYLREPGEFPKLEESFFANPLPGCICEKLNDE >ORGLA09G0089900.1 pep chromosome:AGI1.1:9:12099978:12103037:1 gene:ORGLA09G0089900 transcript:ORGLA09G0089900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPPPTARLRPSQAASSASSSSFPTSICGLRSLGDASRVSSVSFRRRPPASPLVRCSQDPGKIEVFNTEGTEQSQGGSTGSINHGKYSTRSFSSKDLLERLKRYGAAGVLSYGLLNTVYYVTTFLLVWFIFSPAPGKMGYAAAVERFLKLMAMVWAGSQVTKIFRAGGALALAPFVDRGLRWFTVRFNFKSEGRAFATIVGFCFALAALLFFGLTILWA >ORGLA09G0089800.1 pep chromosome:AGI1.1:9:12090775:12096107:-1 gene:ORGLA09G0089800 transcript:ORGLA09G0089800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVRSVPGMKVEASPAAAAAATVDSPTSVLEDDEKISECKNGDVLDTTEAIKQEEDHLDVLIEEKVDGFVDASSSLNVEPAANNSDLSPLTVPVKEEGQLLEPVKEEKADDFVDAVPSLPIDLEAKNGDASLITDAMKEEEEKLHEARVKAEEEEVARKREEAARLAFDPNARFNKLDELLSQTQLYSEFLLEKMETIADVEGVQTHAEEEPVEEKKNGRGRKRKATSAPKYNDKKAKKAVAVMLTRSHEDCSPEDCILTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGLIYHGDKAARAEIRRKFMPKITGPDFPLIVTSYEMAMSDAKHLAHYKWKYVIVDEGHRLKNSKCLLLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSAKGGEEEQEDSEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTDHQKQIQNHLVEQTFDQYLHEKSEIVLRKPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGLYPPVEKLLEQCGKFQLLNRLLSLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADSCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQGDEDRMIQTDISDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGCGMLTSLTS >ORGLA09G0089700.1 pep chromosome:AGI1.1:9:12084218:12087179:1 gene:ORGLA09G0089700 transcript:ORGLA09G0089700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAISLYTSPPPGAVYSSEFDPSSRGSSPPCSTAPPSTSHRPPAAAGGLSCLFSSPAAAASPPRAPPHDELGALWQDRSDEPAFAGGGGGGYSSSPLKWRDLHHHHHHSPVSVFQGPSSSPAASRSPPASWLAGRDRDRERLFAGFVRNALGSCVDYAPALSPRSEVGGGELAFELDENLAEASPACEPCARELLAGAQARHRIFHEELVVKAFFEAEKAHRGQTRASGDPYLQHCVETAVLLANIGANSTVVSAGLLHDTIDDSFIDYDHIFHMFGAGVADLVEGVSKLSHLSKLARDNNTASRIVEADRLHTMLLAMADARAVLIKLADRVHNMKTLEALPLGKQQRFAKETMEIFVPLANRLGIASWKDQLENLCFKHLNPEEHKDLSSKLTKSFDEVLITSAVDKLDRGLRDAGLSYHNLSGRHKSLYSIHNKMLKKNLTMDEIHDIHGLRLVFEKEEDCYRALDVVHELWPQVPGRFKDYISRPKLNGYRSLHTVVMSENVHPFEVQIRTKEMHLQAEYGFAAHWRYKEGTCRHSFVLQMVEWARWVLTWQCEAMNKERPASLGDSDAIRPPCPFPMHSEDCPYSYTRQCDHDGPIFVILLEHDKMSVQEFQANSTVMNLMDRVGTNTPRWSPYRIPMKEDLRPKVNHEPISDLNRKLSMGDVVELTPALPHESLPNYREEIQRMYDRGGFALATRGGSSRR >ORGLA09G0089600.1 pep chromosome:AGI1.1:9:12074348:12076770:1 gene:ORGLA09G0089600 transcript:ORGLA09G0089600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHAGTIILLLILACLWLCPGRSSGFSWNIFSSSSSSSPPTAGESRAAPMLELDGAVADFSMDGADDPRAVKLLENARSKLAGPSNCWQEAYRRLFASCGDIMADKEMQSRLAWHLSSCFQEDSGRPPFPRCGEVSDMVHCRKRLGVSEDQVFLEFFLETNTLCHQLQAEAFKHNTERLVNDLTRTSKSAEEKLEVIEERSDQIIQESRKVQETISSIEMQADHLAEASKNVGDQIDDVLAHSKAIFEQSKEIADSQAKLREGQTEMRETIDAGMTRIQESYESLGNGMDKLKEEAVDIQREIKTVGDSMSTKMQDLQSTANDIGSVAGKSLENQMQLLDGQSKAMDGLNNLYSFQAQALEESRETVQKLAQFGQRQQEELLSRQEEIRQAHEHLIHNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFSIRGHLYFGLCITLLLEIGLIKLGADDIDKQFWVISKVFLVRSVFLALATVQMLHAIFTFRDYELLNHHLLQTLVEKVRALEETAAAGEKMLPYGGGGAESERSLMDYSWVFDELADEVDSNADPSYALPGDEQRQVAVVAPRRRHCASPEEVVGENSITTSAGRRYNLRPRSSYRQT >ORGLA09G0089500.1 pep chromosome:AGI1.1:9:12068128:12072155:1 gene:ORGLA09G0089500 transcript:ORGLA09G0089500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRIILLLLAAAAVAATSAVAAASSGFDDSNPIRSVTDHAASALESTVIAALGRTRDALRFARFAVRHGKRYGDAAEVQRRFRIFSESLELVRSTNRRGLPYRLGINRFADMSWEEFQASRLGAAQNCSATLAGNHRMRDAAALPETKDWREDGIVSPVKDQGHCGSCWTFSTTGSLEAAYTQATGKPVSLSEQQLVDCATAYNNFGCSGGLPSQAFEYIKYNGGLDTEEAYPYTGVNGICHYKPENVGVKVLDSVNITLGAEDELKNAVGLVRPVSVAFQVINGFRMYKSGVYTSDHCGTSPMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDNGYFKMEMGKNMCGIATCASYPIVA >ORGLA09G0089400.1 pep chromosome:AGI1.1:9:12051552:12054079:-1 gene:ORGLA09G0089400 transcript:ORGLA09G0089400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSWRSFMCCGGGAAVHDDDDSAPRRRRIARRGDSPRSSSRMSFTSLSSSGTLSPEDLSLTLSGSNLYAFTYAELRAATGSFSRANYLGCGGFGPVYKGAVDDGLRPGLAAQDVAVKYLDLDCGTQGHKEWLAEVFFLGQLRHKNLVKLIGYCYEAEHRMLVYEYMSGESLEKHLFKTVNGSLPWMTRMKIALGAAKGLAFLHDADPPVIYRDFKASNILLDLDYNTKLSDFGLAKDGPQGDATHVTTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLSGRKSVDRSRRPREQSLVDWARPYLKWADKLYKVMDPALECQYSCQGAEVAALVAYKCLSENPKSRPTMREVVKALEPVLGMDDFFPVGPFVFTVIVEDEKVVNMKVDMKVEVEEKKNTHQNHQDRHRQKYPDSAIHAGIVLHDRDGVIGGGYTGALRRHRRTASYNKERGA >ORGLA09G0089300.1 pep chromosome:AGI1.1:9:12041569:12045716:1 gene:ORGLA09G0089300 transcript:ORGLA09G0089300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVVMEAPRPKSPPRYPDLCGRRRMQLEVQILSREITFLKDELHFLEGAQPVSRSGCIKEINEFVGTKHDPLIPTKRRRHRSCRLFRWIGSKLCICISCLCCCCKCSPKCKRPRCLNCSCSSCCDEPCCKPNCSACCAGSCCSPDCCSCCKPNCSCCKTPSCCKPNCSCSCPSCSSCCDTSCCKPSCTCFNIFSCFKSLYSCFKIPSCFKSQCNCSSPNCCTCTLPSCSCKGCACPSCGCNGCGCPSCGCNGCGCPSCGCNGCGLPSCGCNGCGSCSCAQCKPNCGSCSTNCCSCKPSCNGCCGEQCCRCADCFSCSCPRCSSCFNIFKCSCAGCCSSLCKCPCTTQCFSCQSSCCKRQPSCCKCQSSCCEGQPSCCEGHCCSLPKPSCPECSCGCVWSCKNCTEGCRCPRCRNPCCLSGCLC >ORGLA09G0089200.1 pep chromosome:AGI1.1:9:12033782:12038004:-1 gene:ORGLA09G0089200 transcript:ORGLA09G0089200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALCASFVAILLTTMLFLKAISTRCRRRKYNLPPGPKPWPIIGNLNLVGALPHRSIHELSRRYGPLVYLRFGSFPVVVGSSVEMARFFLKTRDAAFIDRPRTAAGKHTAYNYRDITWSPCDAYWRQARRVVLTELFSARRIESYEHIRREEVHALLRDLHYASSSGGRRAIVIKDYLSTASLNMITRMVMGKRYVQGEVVHEEPGSARTTLAQFKELLEELFFLNGVFNVGDQIPWLEWLDLQGYVKRMKKVSKALDQLLEHVVDEHSERRQREGNGFVAGDMVDVLLRLADDSSLEVKLRRDSIKAFTQDLIAGGTESSSETIEWAISELLRKPEMFAKATEELDRIVGHRRWVNEKDILDLPYIEAIVKETMRLHPIGPLLAPRLSREDTSMGGYDIPTGTRVFVNVWAIARDPTLWDASEEFMPERFLGKKIDVKGQDFELLPFGSGRRMCPGYNLGLKVIQLSIANLLHGFTWRLPKDMVKEDLSMEEIFGLSMPRKFPLEVAVEPKLSSHLYKGD >ORGLA09G0089100.1 pep chromosome:AGI1.1:9:12027413:12031316:1 gene:ORGLA09G0089100 transcript:ORGLA09G0089100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLWVSYLTITLATILLFLRTLILRHNRRVYNLPPGPKPWPIIGNLNLMGSLPHRSIHSLSKKYGPLMHLRFGSFPVVVGSSVEMAKFFLKTHDVVFADRPKTAAGKHTTYNYSDITWSPYGAYWRQARKVCLAELFSAKRIESYEHIRREEVRALLRDLHAASGRVVALKDYLSAASLNVISRMVLGKKYLEREVVHEGEVVTTPERFRWMIDELFLLNGVLDIGDSIPWLGWLDLQGYIRRMKKLSKMFDQFLEYVLDEHENRMCREGESFVAKDMVDVLLNAASDPSLEVKFSRDSVKAFTQDLIAGGTESSSATVDWAIAELLRKPEVFAKATEELDRVVGRGRWVTEKDIPSLPYIDAIMKETMRMHPIAPMLAPRLSREDTSVDGYDIPAGTRVLVGVWSIGRDPKLWDAPEEFMPERFIGSKIDVKGQDFELLPFGSGRRMCPGYSLGLRVIQVSLANLLHGFAWRLPDGMTKEQLSMEEIFGLSTPRKFPLEVVVEPKLPADLYVSAC >ORGLA09G0089000.1 pep chromosome:AGI1.1:9:12019069:12021343:1 gene:ORGLA09G0089000 transcript:ORGLA09G0089000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMLPPWASFVGVVLATVLFLKAVLGRSRRVYNLPPGPKPWPVIGNLNLVGTLPHRSIHNLSKKYGPLMYLRFGSFPVVVGSSVEMAKFFLKTHDVVFTDRPKTAAGKHTTYNYSDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRGEEVRALLRDLHGAAGGVVVLKDYLSTVSLNVITRMVLGKKYLDKDAGGSVTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWLDLQGYIKRMKKLGKMFDRFLEHVVDEHNERRRREGESFVAKDMVDVLLQFADNPNLEVKLKREGVKAFTQDLIAGGTESSAVTVEWALSELLKKPEVFAKATEELDRVVGRGRWVTEKDVPSLTYVDAIVKETMRLHPVAPMLVPRLSREDTSVDGYDIPAGTRVLVSVWTIGRDPKLWDAPEEFMPERFIGNKIDVKGQDFELLPFGSGRRMCPGYSLGLKVIQLSLANLLHGFAWRLPDGVTREQLSMEEIFGLSTPRKFPLEAVVEPKLPAHLYAAA >ORGLA09G0088900.1 pep chromosome:AGI1.1:9:11997609:11997926:-1 gene:ORGLA09G0088900 transcript:ORGLA09G0088900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPWPSLLVIVLAAVVFFLATILRHGRRAYRLPPGPKPWPIIGNLNFIGALPHRSIHELSKRYGPLIQLRFGSCREEERRRGRRTTPERVGLRPSMADGKRAA >ORGLA09G0088800.1 pep chromosome:AGI1.1:9:11987067:11988745:-1 gene:ORGLA09G0088800 transcript:ORGLA09G0088800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELPSWASVLVIVLAAVVFLLATILRHGRRAYRLPPGPNPWPIIGNLNLIGALPHRSIHELSKRYGPLMQLRFGSFPVVVGSSAEMARFFLKSHDIVFTDRPRTAAGKHTTYNYTDILWSPYGAYWRQARKMCVTELFSARRLESFEHIRGEEVRALLRDLHGAAAPVLLRDYLSTATLGVISRMVLGKKYVGFEGASAGNGGGGEGTSPAATPEEFKMMMDELFLLSGVFNIGDFIPWLDWLDLQGYIRRMKRVGKKLDRFMEHVLDEHDKVRRQQGDRFAARDLVDVLLQLADDPNLEVQLRRDNVKALTQDLIAGGTDTSAITVEWAISELLRKPEILAKATEELDRVVGRGRLVTETDMPSLPYVEAIVKETMRVHPVAPLLAPHVAREDASVGGYDIPAGTRVLVNVWTIARDPALWDSPEEFIPERFIGSKIDVKGQDFQLLPFGSGRRMCPGHSLGLKVIQLSLASLLHGFEWRLPDGVSAGELSMEEVFGLSTPRKVPLEVVVKPKLPAHLYTGP >ORGLA09G0088700.1 pep chromosome:AGI1.1:9:11977926:11980698:1 gene:ORGLA09G0088700 transcript:ORGLA09G0088700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPFLLALAVLVVVSSCVRLVSGAGDGAATYIVYLNPELKPAPYATHLHWHHAHLASLSVDPSRHLLYSYTSAAPSAFAARLLPSHVAALRGHPAVASVHEDVILPLHTTRSPLFLHLPPYDAPDADGASTDVIIGVLDTGVWPESPSFGDVGMGPVPSRWRGSCETNATDFPSSMCNRKLIGARAFFRGYGAGGGGNGSHVSLEFSSPRDHDGHGTHTASTAAGAVVADAGLLGYAEGTARGMAPGARVAAYKVCWRQGCFSSDILAGMEKAIDDGVDVLSLSLGGGAFPLSRDPIAVGALAATRRGIVVACSAGNSGPSPSSLVNTAPWVITVGAGTLDRNFPAYAELGNGETHAGMSLYSGDGLGDEKLPVVYNKGIRAGSNASKLCMEGTLDAAAVKGKVVLCDRGGNSRVEKGLVVKQAGGVGMVLANTAQSGEEVVADSHLLPAVAVGAKSGDAIRRYVESDADAEVGLTFAGTALDVRPAPVVAAFSSRGPNRQVAQLLKPDVIGPGVNILAGWTGSVGPTGLTVDERRSPFNILSGTSMSCPHISGLAAFVKAAHPDWSPSAIKSALMTTAYTVDNTGSPIVDAASNTTATPWSIGAGHVDPVKALSPGLVYDTSVDDYVAFLCSVGTSPPQVQAITAAPNVTCQRKLSSPGDLNYPSFSIVFGRRSSSSRSTTVKYRRELTNVGDGRSVYTARVTGPSDIAVAVKPARLAFKKAGDKLRYTVTFKSTTPGGPTDAAFGWLTWSNGEHDVRSPISYTWGM >ORGLA09G0088600.1 pep chromosome:AGI1.1:9:11967682:11968131:1 gene:ORGLA09G0088600 transcript:ORGLA09G0088600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKDVTILFDGWRTATWTGYLLSLVALLLASAFYQYLEAFRIRVKLLAGAKPASIPPPASSDAARAPLLLPSSAAGRWPARLATAGLFGVNSGLGYLLMLAVMSFNGGVFVAVVVGLAAGYLAFRSSDGEDLVVVDNPCACA >ORGLA09G0088500.1 pep chromosome:AGI1.1:9:11957387:11957746:1 gene:ORGLA09G0088500 transcript:ORGLA09G0088500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRAARRTNVDAFLASLGIDPGELTSLELPATIDVMRESVEFLHSLKRVEFLHSLGLSARRDDGGDIYPRRPHAAPAPAARDSSPAAPAPASAPLGLVSSSGIVLHRRCPPHEGLGG >ORGLA09G0088400.1 pep chromosome:AGI1.1:9:11941908:11949765:-1 gene:ORGLA09G0088400 transcript:ORGLA09G0088400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFARKEHQFLAELGLAPRNPGSFACGAWGGSGPVVTSTNPTNNQVIAEVVEASAREYEEGMRACYDAAKTWMAIPAPKRGEIVRQIGDALRAKLHHLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKIVASVLERNNLPGSIFTAFCGGADIGQAISLDTRIPLVSFTGSTKVGLMVQQQVNARFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYRTFLDQLVEVYKQVRIGDPLENGTLLGPLHTPASRDAFLKGIQTIRSQQGGKILYGGSAIESEGNFVQPTIVEISPSAPVVREELFGPVLYVMKVQNLKEAVEINNSVPQGLSSSIFTKRPDIIFKWIGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRATCTINYGSELPLAQGINFG >ORGLA09G0088300.1 pep chromosome:AGI1.1:9:11937718:11941241:1 gene:ORGLA09G0088300 transcript:ORGLA09G0088300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGVEVVVSRGCSRLVLPGMQPSSASAASSSSFSRGGHGGDGDRRPLPDGPFAGLVICVTGLSKEARTQVKEATERMGGEYSGSLHPKCTHLVVQSFAGRKFEHAVKHGAKNGLFVVTLGWFVDCVRRSMRLDESLYSIKNIGENGMPLGEFNRLVGAPVSGNSCLPPMMFQEKTFSDTTEKHRLQTSRKEHDHDEFLFTNDSIYIDPGISGEMRKKVSDAATREGAKLLDHWFIGCHATYVVCEDASVKRYVGHSDNIVTPLWILKTAKEKGLQRLVHLSSDLARQVATILENAQTFQENRKIGDVPSVNSNSSGVPSTQGEIDEIHQERQKFVEVAKKNVRDRRARRMQSCEVPIHPITPVKLMESICWTVSEPTTSACIYTESSWSDDAFEQQGTTFFDANGDGKDDQSSDSFTRPLRESEKSEVIFKNHFLTVLFPIDRFGELGPSSRTFFSNGGFTRIQVLDHIYNFYQENMSSDEINVALQTDSRHADRLRSLYASTESAERGFVTFKRIDFLGSRRSFEGLKRLSRENNSNVYELVIRA >ORGLA09G0088200.1 pep chromosome:AGI1.1:9:11931919:11932308:1 gene:ORGLA09G0088200 transcript:ORGLA09G0088200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGLLCLLLENPRPRLPLAGAPPTIRRRRLEFRRCFNCYRPPDHRRCLTWYQVCEAEFPKKECEVNQFAEESSDWEEIIASIAVQFWFVCWSVAFSVSDSVNCQDHMPQMSGAVDNLQNRSPLFRKA >ORGLA09G0088100.1 pep chromosome:AGI1.1:9:11919423:11921839:-1 gene:ORGLA09G0088100 transcript:ORGLA09G0088100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSWVANKISGKQEANRFPANSSAPYRANVSDCRKDEFSDWPQSLLAIGTFGNKQIEEVAQVENSSDNVQSVQDTVKFTEEEVDKIRKEFETLLAIKDQAEAQRSHDDDQVGLQKRADGEDNEKHIRQLINKRIIVSKSKNSLGKKGNTLKPRSVASLLKLFMCKGGFTSVVPEPRNTFPQSRMEKLLKAILQKKIHPQNSSTLVAKRHLDWKPDETEINECLEDALRDLDDDGAKWVKTDSEYIVLEM >ORGLA09G0088000.1 pep chromosome:AGI1.1:9:11912549:11916160:-1 gene:ORGLA09G0088000 transcript:ORGLA09G0088000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAAAARLHHLLSLCVAVAGLLLMLLGGGEASVHEYRGLGFLNKGNAFILHAGSEGLYAPSSPANATTAEDDEDAAAAAVADAFIRFDKITFRRPKDAAKETGSAMVQILVFEIEDREMIGGSAYGGQKAICCTSDLAKLGACTEGSVIYRPSQVNPGWPQLLFASFDGSDTIATLPSRIIPITKTGMYNMYFIHCDPSLAGLEIEGQTVWKNPTGYLPGRMAPLKNFFGIMSFAFVVLGIYWFYQYMKFWREVLPLQNCITLVITLGMLEMSLWYFEYAEFNETGVRPKGITFWAVTFGTVKRTVARVIILIVSMGYGVVRPSLGGLTSKVVMLGGTFFLATEILELVENLGAVNDLSGKARLFLVYPVAILDAAFVVWIFISLAKTLDKLQARRSMAKLDIYRKFTIALAVTVLVSIGWIGYEIYFKSTDVFNERWQYAWIIPAFWHVLSFSLLCVISYLWAPSQNSMRFTYDASENFDREDSLSLIRPGPIASKNGWSLSSSPDTKATKNVTVTSFDGDDEENKRE >ORGLA09G0087900.1 pep chromosome:AGI1.1:9:11906072:11907988:1 gene:ORGLA09G0087900 transcript:ORGLA09G0087900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGSTAAAAELEAAERVVMRWDSTASASYGGGGGDEQMLFDGGGDRVEAERFLRAVDDLRRLAPPSPATVGSPRRTSSASGGGGAASNAVQVAMARLEDEFRHVLSSRALDLEIEALADLTSLSMCSDRTNSADVAEEAAAADEDDSVSSSVGRRSSYRSLRSIREIDLLPADAISDLHAIASRMAVAGYGRECVQVYASVRKPAVDSALRRLGVEKLSIGDVQRLEWEVLEAKIRRWIRAARAAVRGVFASERRLCFLIFHDLPLSSSTITTATHDAPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDAIADLLPDVSDIFAASKAGESIYVQAAEIRSRLADAVRGILSEFENAVLRDPSKTPVPGGTIHPLTRYVMNYSSLISDYKTTLSELIVSRPSACSRIAPEGNENAPSFPDLDLADPDSQLPLAAHLIWIIVVLEHNLESKASLYKDAALSHLFVMNNVHYIAHKIKDSPELRGLIGDEYLKQLTGKFRLAATRYQRTAWLKILNCLRDEGLHVSGGFSSGVSKSALRERFKSFNAAFEEAHRVQSAWYVPDTQLREELRISIAEKLLPAYRSFLGRFRHHIENGRHPELYIKYSVEDLETSVTDFFEGCPPSLHNRRRSHG >ORGLA09G0087800.1 pep chromosome:AGI1.1:9:11899205:11901910:1 gene:ORGLA09G0087800 transcript:ORGLA09G0087800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I light harvesting complex gene 6 [Source:Projected from Arabidopsis thaliana (AT1G19150) TAIR;Acc:AT1G19150] MALPSGSFAACSIQPRVRAALRAPTLPSQNAAVARMAGHRAGATKGGVSAVCEPLGPDRPLWFPGSSPPPWLDGSLPGDFGFDPLGLGSDPELLRWFAQAELMHSRWAMLAVAGILVPEVLEKWGFMEDYSWIDAGARDYFADPWTLFVSQMALMGWAEGRRWADYLNPGCVAVEPRLPNRRNPVPDVGYPGGLWFDWGNWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGEGPIDNLLHHLADPGHCNVFSAFTSH >ORGLA09G0087700.1 pep chromosome:AGI1.1:9:11894216:11898119:1 gene:ORGLA09G0087700 transcript:ORGLA09G0087700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGVAVASSPRAAASSPRAAASSASVASSPRAGGVGGRHHHRRWGGAAAISPSYRAVLLALWLVGFALVFLWQSTSVGRARLYTRPPLLPKRAPSAQGMGQWVAAPPVYDLREFGGVGDGRTLNTEAFVAAVASIAERGGGRLVVPAGRWLTAPFNLTSRMTLFLAAGAEILGVQDERYWPLMSPLPSYGYGREHRGPRYGSLIHGQDLKDVTITGQNGTINGQGQSWWSKFRKKVLNHTRGPLVQLMRSSNITISNITLRDSPFWTLHIYDCKDVTISDTTILAPIVGAPNTDGIDPDSCENVVIKNCYISVGDDGIAIKSGWDQYGIAYGRPSTNIIIHNVTIRSMVSAGVSIGSEMSGGVSNVLVENVHIWDSRRGVRIKTAPGRGAYVSNITYRNITLEHIRVGIVIKTDYNEHPDEGFDPKAVPIIENISYSSIHGHGVRVPVRIQGSAEIPVKNVTFHDMSVGLVDRKNHVFQCSFVQGQVIGYVFPVPCKNLDLYNERRELVKQSTLQNISDIDYSF >ORGLA09G0087600.1 pep chromosome:AGI1.1:9:11885315:11886595:-1 gene:ORGLA09G0087600 transcript:ORGLA09G0087600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRATATATAAGKDRSSFAVTCSLLSQFLKEKKGGGGGLQGLGLGLRPAPAAPPAVGTGGAFRPPPTTMNLLSGLDAPAVEVEPNTAETAADELPLIKAPADQQSDESASEAAGEKAQQLTIFYGGKVVVFENFPSTKVKDLLQIVSTGDGVDKNTGTAATQSLPRPAHNSLPDLPIARRNSLHRFLEKRKGRMNANAPYQANCTAAPSKQANGDKSWLGFGQEMTIKQEI >ORGLA09G0087500.1 pep chromosome:AGI1.1:9:11875223:11875675:1 gene:ORGLA09G0087500 transcript:ORGLA09G0087500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWYCQPLQKNATEIAKNKGVSVWKKLHLLYSFFFVRRVVAPILTFLFYRVVIPLSVMVPEVSIPVWGMVCIPTAITIMNAIRNPGSLHLMPF >ORGLA09G0087400.1 pep chromosome:AGI1.1:9:11863098:11868476:-1 gene:ORGLA09G0087400 transcript:ORGLA09G0087400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANPKLAAKPSPSPPTAAAAASRPKATAKPPLGAGYRDNDDDDDDFQSPPRASSRAARALKPSGNGAASRRPSKRLKPSSSCCSGKENRPAAAGSGRAPAGRAASKGAGVGETLGVVSRVSSGVPGGDKARGGGICGLLRCGSDDFSSVSNGKKGLDRYWRRDGGLHSRPNPMDSTVSMPDATCDLENGGSQVAQMLSSNDRISVQLEGNAKVELGKSESDPTTMRKERNGSGACESDHPARLIEPRLLTLVTNCDFGGADSMDSKELGSAIHPSVSKDRNVENESGGASVCTFALHNRNCHSSCVESELEMLNAKYDLGPRDCKESQEGPGLCSLISEERTVAAEGDATFTFEERGNTSSGLEACKGSHCLDPVEPKLMDSCATHALEGDGCDDFEIGTQLNELINLCMEDYTEGPLSNKVACLEGNGMDCGSFNSSCEVQCPLCGSNISDLSEELRLVHTNSCLDGDKPAKEPNSDNQNEPCGESNVEKRRVMEWLRNLGLSKYEDIFIKEEVDWETLQWLTEEDLLGMGITSLGPRKKIAHALCELRKKNDDANDLAADMLNLENTKKAKIPMNGNKLITEYFRCPSSDQRQKKACKVNTPSNLNSQKNSNAKATGGRRTVKGKVKDTPIWCCIPGTPFRVDAFRYLRGDCCHWFLTHFHVDHYQGLTKSFCHGKIYCSSVTANLVHYKIGIPWDRLHVLPLNEKITIAGVNLTCFDANHCPGAVIILFEPSNGKAVLHTGDFRFSSEMANNRVLQSSPIHTLILDTTYCNPRYDFPTQEIVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLYMEVARLLQKKIYVGAAKLQILKHLGLPQEIMHWFTANEAESHIHVVPMWTLASFKRMKYLSTQYADRFDLIVAFCPTGWSFGKGKKRTPGRKWQQGAIIRYEVPYSEHSSFTELREFVRFISPEHIIPSVNNDGPDSANAMLAQLLND >ORGLA09G0087300.1 pep chromosome:AGI1.1:9:11848483:11852312:-1 gene:ORGLA09G0087300 transcript:ORGLA09G0087300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQLSAGPRVSVSPAAALVAMPSVAAAASRGRRGYRGLVVRAATVVSPKYTSIKPLGDRVLVKIKTSDDKTVGGILLPTSVQSKPQGGQVVAVGEGRSMGSDSIEISVPVGAQVVYSKYAGTELEFDGSDHLILKEDDIIGILDTDDVKDLKPLNDRVLIKVAEAEEKTAGGLLLTQATKEKPSIGTVTAVGPGPLVEDGSRKPLSITPGNTVMYSKYAGSEFKGEDGEYIVLRVSDVMAVLS >ORGLA09G0087200.1 pep chromosome:AGI1.1:9:11841547:11842712:-1 gene:ORGLA09G0087200 transcript:ORGLA09G0087200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGHAALWVKLYELELQLRLMRAARGEEGAAAAADDDDEVGDVASRAGAEGACRGRQYDAYMRRRDARRHTTGGVAAAASERQTTRPRGGARAAGGGEEVAAGGVYSDHPEEGELGRGAAQGEDGEHRRGRGEAGAPEAEQPRGGAVRLRRLRHAAAVPPARQRHGRRHDDDVDDAAAACAADAEGARRPTDQRRGHGQPEATSSTARPARAGGGASPPPLSVRVGASTPPPPPPPHGGAGLPEVGGGAAIAAAATGEEAVGQPGDDDNAADAAGDPLLRRRRLAQGFRQGDQEAAQLREEEQQQERRRPAAFPGASGRRKARDGHGMARRRGGASGDSLAQLAPVLMAPWLRLAWRWFAMTQPAGISG >ORGLA09G0087100.1 pep chromosome:AGI1.1:9:11836861:11840661:1 gene:ORGLA09G0087100 transcript:ORGLA09G0087100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26830) TAIR;Acc:AT2G26830] MGSEGRSWSGAAAVGGGVEGEAAIAAVVAPAPGDVPASAASVDIALPLPEMTPRIIGICKELVRGWSSLDSSRFSIETVSGGITNMLLKVSAEDGKGNKSSVTVRLYGPNTDLVIDRKRELQAIPHLSAAGFGAQLLGTFENGMVQSFIYARTLTPSDMKEPRIAAEIAKEIRRFHQVDIPGSKEPQLWDDIFKFMKKASILEFEDKEKQKRYETISFRKIQDEVKELKVLWMLGAISFILFKEPLLFIFFLHHRRAHIHKDLSDLLHAPVVFSHNDLLSGNLMLNDLEEKLYFIDFEYGSYSYRGYDIANHFNEYAGYDCDYSLYPDKNSQYHFFRNYLQPDRPSEVQLQDLDALYVETNTYRLASHIYWALWALIQAKVSPIDFDYLGYFFLRYDEYKKQRESCLSLAESSLSALKNG >ORGLA09G0087000.1 pep chromosome:AGI1.1:9:11821657:11822349:-1 gene:ORGLA09G0087000 transcript:ORGLA09G0087000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDMVPADAIALRLYSLPAAAAAVGSLWAWLVAALAAAVGLWRIRAAAGVRSALVDDDDHKQRKAKQPHGALRPAGVGEARPARAEEAEAEATTPTSPSEPSTPSKVRFTAYYGGEGDGADEGVVDSVRRCVDNDGDGEGETPTAPVRRTASGRRRWSTTTTTTAPFMATPWEEREMAVRRRGDLGWYRHLDMAALDGSVVRLWDGEVTAASPGRRGRRALSELHLSL >ORGLA09G0086900.1 pep chromosome:AGI1.1:9:11806697:11817353:1 gene:ORGLA09G0086900 transcript:ORGLA09G0086900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin synthase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G19230) TAIR;Acc:AT1G19230] MWTPSRGSNAARRSGHRRIADYLADDQTTNTDTSDNESYTTAYGDEFFAAAAAAAGSGGGGMLPAFLADQGDLVEVMLELDEESMVVRSVTPTSATLYGGGGGQMPQPLPPPPRTPEGGGGARSLSRCSSTSSRIRKKFAWLRSPSPSPSPRPPTPAELQREAAMAARERRRIQARLNRSSTGAKRALKGLRFISRTTGTVQAAELWRRVEDRFNALARDGLLSRDDFGECIGMVDSKEFAVGIFDALARRRRQNLERITREELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAEEYASLIMEELDPENLGYIELWQLEALLLQRDSYMNYSRPLSTASGAQWSQNLGGAAVAAGAAAATGGGAHAAVAARGGQQQQQQQQQEGRRGGWGVRKAAARVRVAAEENWRRAWVVALWFAAMASLFVWKFVQYRRTPAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDNITFHKMIATAIVVGITLHAGNHLACDFPRLIASGPEEYRLVADAFGPEKPTYVGLLSGVEGITGVAMVVLMTVSFTLATHPFRKGEKGGSGGGAAGTVLPTVARLPSPFNRLAGFNAFWYSHHLLGIVYALLIAHGYFLFLVRRWYLKTTWMYISVPLMLYVGERMLRALRSNAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYLSVHIRTNGDWTQELKRIFVENYFSPHLNRRASFSELGAAEPRSLPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLAEELMDLAMETSRSEDSANSFSVSTASSNKKRAYRTSRAHFYWVTREPLSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGAPTLAKELKTLSHEMSHRTGTRFHFHKEYF >ORGLA09G0086800.1 pep chromosome:AGI1.1:9:11796867:11797588:-1 gene:ORGLA09G0086800 transcript:ORGLA09G0086800.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGSVPLNVSFIKALVGQNLVYWHELCVSIIHIQLNPSSDYFRWNYHQNGRFSVIFRATHWLRFWAQLQRCEDDGEFLKVACRKLESMVMQLFINYG >ORGLA09G0086700.1 pep chromosome:AGI1.1:9:11785029:11786023:1 gene:ORGLA09G0086700 transcript:ORGLA09G0086700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATAAAHLLSTPPSASPVHPPPHARILCAHRTKGVATLSCRASLGPDGSLAGLAAAAAAAPRVEPRGRPYLREHSCLIFPPSPRGRRPLAVVKFLGGAFIGAVPEVTYSHFLKLLAQEGFLVVSVPYNVTFDHEAAAREVYERFHGCYGALLSSGLPAAGLSAMDIAELPLYSVGHSNGALLQLLVGSYFSEKIPKARFRGCSIL >ORGLA09G0086600.1 pep chromosome:AGI1.1:9:11766292:11770849:-1 gene:ORGLA09G0086600 transcript:ORGLA09G0086600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNEISGSNELCAQDPEVMTFYNLRGSDKTTILRKKELQRLRKERIENKCFRKKEKIRKAKEIVDNALKIREELHQKYLRAEPWLKEQERQRLERNKRFTTEIINQPPDYRGEWDPYVSDDEFGTSFELKRTGWEVEKFALDLARSTVGLESFTGENHLFSCSGTIIEFLNGICSVVTSASLIRCMDKDEQADELKINVWLPSGEKCEGFISNVDLYYNICLVTVHCTSNLPKKSFNDDTGFFDLYGNHSKDVVALGRSCEPWSLKVASGKLIPRRHRFDCEELLVSSCKITKIGVGGPLMDFNGNIVGMNFYDKKETPFLPSFIVLKCLQHFKEFGKVVRPLHGLRVGNLHKESLASLEKICHEFPKVCGVIVEKVEPSAEHSEIKVGDIITHLDGIAFSNAAEFGGILLDRCVTQMLEKQNLSEDCNQMISLKFSVKTRGGISEATTRTINTDKFTPSGLNRWPLPRPIIVRQYARGVLFSEDCYSYTDSVKR >ORGLA09G0086500.1 pep chromosome:AGI1.1:9:11756893:11764549:1 gene:ORGLA09G0086500 transcript:ORGLA09G0086500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNQSTQVEGASTTKKRKTSYSNSNREDLSPVVDGFTRILRRNLLPRGYPMPSEFTDGMHMVNNFEESFGDLDDCNEEYNKVNLVALRISQSIVSLASFKGRTKLFACTGTIMEYGPAKMSILTSASLVRCTKDENKTDEKLKIKVRLPNGKLTKGKLWNYDLYYNIAVVKIKYFPELSTAQIHNQGQPNVKLSQSKLVAVGRGFESGELMATGGTLLYKPSKLDCKELMTSTCKITKAGIGGPLVGFDGNFVGMNFCDKKDTPFLPINIIRKCLKHFDMFGRVVQPWLGLRIGSLKHEKLDIREQIHGSFSNTDGIYVKEVFDGSPAADSGINVGDVITKLDGVDLFHAQEFYELILGKSEDILRRDEEMLFKVSILRPSNGVTFGVIVNADVVDMSRKNRWPVPKAEWLYPCTDDRCDEIAVPDPIVIRTCEDSYYLPALDESELRLYYGEE >ORGLA09G0086400.1 pep chromosome:AGI1.1:9:11738042:11754894:-1 gene:ORGLA09G0086400 transcript:ORGLA09G0086400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKKKRSPEDAALYVESLLFGDDPSDSEADVDKYDASDLKGTINKDGSSDEEDFTEEGLSAKEVALSISKSIVSLISSVDGKVLFTCSGTVVDHVGSATWILTSATLVRKCDNDYDAYQEGDVKIEVLLHNKTITEGFLAMCSLQYNIAVVTIEPQFDLPLVKLHDLPVCYSMLCRPVIAVARNFKSKTLLVRCGEMTRERSELDCDELLVCTCPVSKVFIGGLVMDLERRILGITFYDKDTVPFLPIEIAVRCLEHFKNFRTLKQPSLCIRGQAIHKLEICNLEKICYMYPELSSGSGIVVEKISEVLPENCGSIEAGDIICSVDDIVLYSLSQLTSIFLDKMAVAMPTQDKETVQAEIRRPRDNTKFVAKLNIGIASGEHNNCFNNSEKEAIFWRXTF >ORGLA09G0086300.1 pep chromosome:AGI1.1:9:11737557:11737877:1 gene:ORGLA09G0086300 transcript:ORGLA09G0086300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDRHQHDTKDEYATHKIDNNKGGSLWLSTSMVARSTRSHSPRVPRRSPSPSTTARRAGAPLCPRLTTTRGAALRWVASRHTIHPWVANHAHVRPRRRQHRAQL >ORGLA09G0086200.1 pep chromosome:AGI1.1:9:11730307:11731598:1 gene:ORGLA09G0086200 transcript:ORGLA09G0086200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSIMSRRHRHYPDPEVEDHFEDSTQDEPSDSLVTSTKESKGGRGPNVLMAPVPEKDRAVITPLNKDAWITNPMKKNVSSTITCLIKAHYPGTYRPLDKHGKQVPEDEAVVIAHYHNFPAHTRITILKEFLLRFKFADGREEDCARLFYRKAVERFSQALSHEKSEAKRSLEKHIENMRATELQQDGDTPSHDDFDVDDPQLWKAFPPYWIEQKWWDMLCDLWSDENVKKVSAQNSKNQMEGGGVHHTCGSRSVAMHKQAMIIENAGTDVDDIDVFERTHRHAKGKGQYANKKAE >ORGLA09G0086100.1 pep chromosome:AGI1.1:9:11718193:11719509:1 gene:ORGLA09G0086100 transcript:ORGLA09G0086100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSKSSPSPARGNIAVDLRPFLVEFNDGRRWVLVRHETVAASSDENARSASGVATKDVVIDDETGVSVRVFLPVDAAVAAAAGDGRRLPLVVYVHGGAFCTGSASARMFHDYAESLSARAAAVVVSVDYRLAPAHPVPAAYDDAWAALRWAASRRRRRLSDDAWVGDYADRSSVFLAGESVGANIVHNVALRAGAAIRNTGEVFDDDIDIEGMILLQPYFWGTERLPCETPGAASWRTRDPPPMLLPERIDALWPYVTAGAAANNGDPRIDPPAEAIASLPCRRALVSVATEDVLRGRGRRYAAALRGGAWGGEATLVESRGVDHCFHLLPEFGSHAETGALMDRVAMFIAKGKTPPPISMLMEEERVTKKTRSSAVVPACWRVPRGPRCTAQTVVGLRRAGFGVGNMIRLPSKARKCHRVHAAALRRSVFQSYYL >ORGLA09G0086000.1 pep chromosome:AGI1.1:9:11714026:11715273:1 gene:ORGLA09G0086000 transcript:ORGLA09G0086000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNKSSPARNESGGDGDGDIAVDLFPFLRVYKDGRIKKFVRHATVPASPVERSPSGVVTKDAVAVDDETGVSVRLFLPVDAAAAAVAAGRRLPLVVYVHGGAFCSGSASAPPFHRYAESLAARAAAVVVSVDYRLAPEHPMPAGYDDAWAALRWAASSRHSDPWVSNYADTACVFLAGESAGANIVHNVALRAAAAAAGGEDDDDGGGGIDIEGIILLQPCFWGTERLPCERPAAWRRAAQPMFLPERLDALWPFATAGAAGNGDPRIDPPAEAVASLPCRRALVSVATEDVLRGRGRRYAAALMRGGAWGGEATLVESGGEDHCFHLSPRPNPNAAALMDHVAEFIAKGNTSTSSPMAKRRRRRRRCTLHGAGAEKTTSMHALRGQTAPKVQHAGSGIMANKADKYVLRSCL >ORGLA09G0085900.1 pep chromosome:AGI1.1:9:11702531:11703692:1 gene:ORGLA09G0085900 transcript:ORGLA09G0085900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSSGRRGRQSCEESCNNGAHWPPPQSARCLCLYLALSVVFFALVAAVLLVVFVARLKKPTFLLQSVQMDRSFSLIQSSLSSSAAANGTGGGGANANGTACSVATLVFAAQNANGIGIRYGAAALGVAYANESVGSVGVPEFYQPPRSANVTVPVHAVFSQPDVTRLVVGELSAQRKYLEIRIAGSIDARTHIMNFALPKIQFSIDCRIGTNYTDIVHREGIESVITRKALLVSELPHVSQKCSIKIDLRSRGKRTSLDELGC >ORGLA09G0085800.1 pep chromosome:AGI1.1:9:11691661:11697234:-1 gene:ORGLA09G0085800 transcript:ORGLA09G0085800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKPHPAATGGGASSSHLAAAAEVAVDEAFARDLYVSQLMELGDDDWSSFAPPMDRVGSTSSLAAGAAGSRAQPVVIDDDDDDDAPAAAAATRTVQLYVPPPLRSGGRRSVATRQQPAPARSATAFLPRPPGGAAAAAAAADIGTGSTAILPQGLPAAMAPSTELSLRPGGLIAGGAAAPRHGGRRPAARMAARAAPGTGHARGAGNGRWARAENLMAALQANPRPNVAQFGRIWTRIAAADRQLTAAAAADTPAAVTATTGEGSHAAPAVSAGKCGQHSTPAISAGKGGTAGGMEEEEEVHRNVANENDSVSSKRQALLADRDTPAVFAGMEDGHDNDWYDSVIRDAVIAELQEDPELHGPLPVQYLTPSSKSPVVAQPPPRATTAAIAGEEEEGEFSMPNFYKKWGLRPSDLDPDEAGPSTRRPRVLPLADGDLPTFDCGICFDTLPILDLFRGLPCDHKYCLECMTTYIDGKVREGAVPVACPDPECAADGEGAGVLHPEGCKKAIDFAAFTDWGLRLAEGAVPHDRRAYCPNRRCGILLETSGEAEPAMAACPACQHLLCATCGGEWSTADDADHRDCSKGPEATMVKKLADERRWKACPKCRMLVERTAGCRVMSCRCRMVFCYLCGLQIGAVLEGKEKCQCLDNLGVVLIKALCRFSKRRTASXPPIKAIQIKGVVVSHLRSSQRHRRHPVADYQAREEGSCRQHLYTKVVNPHLPPIAVFATNTASCHRQYSTRGLPQQLLPSIPVPPRRRSHHCPVSLLLCLASISLPRVGHVDLASKLLDLASPALDPLLELSSTPLVIVVVASTALPPSQSLSWPDPSSKSITLCSQPLGAHGRKGPAPLPSLSPQDFRRTALMVARRWDGGKWSGTVAWVTARVIP >ORGLA09G0085700.1 pep chromosome:AGI1.1:9:11684658:11691043:-1 gene:ORGLA09G0085700 transcript:ORGLA09G0085700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAASASASAAQELDREQYLQELIRGSMLDPPSSSSSRAGRVRPLTDDEIGRFYCEVCMEWKLVFDRFRVSDGCPHAFCVACVVGHIEARVAAGSVPVPCLLAGGGGCSGGGVMHPERCKKLLDIDVFDRWCVALCERAVGPAARAVPLPRLRRDGGARGRGSGRGPAPARRGVQGVLPDLLPRVLPAVRGAVGRPRRRRRRRRRRRTVRADPARQGVRVAALPQLPRHDRQDRWVQAHDMQMWHCVLLRLRFILXSENVFMQVYSSQIFTIGGGRRIHRPYLLRPSAXSGESLL >ORGLA09G0085600.1 pep chromosome:AGI1.1:9:11680863:11681339:-1 gene:ORGLA09G0085600 transcript:ORGLA09G0085600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSPTSLPNWNRMRILVNTITQNRARSLRRLLASLRNTYYVDDEVVPISFNMDSRVDAATLNTVNSSDTEPVLINKTCPS >ORGLA09G0085500.1 pep chromosome:AGI1.1:9:11659953:11662669:1 gene:ORGLA09G0085500 transcript:ORGLA09G0085500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISGFIPPSAAAAAAAAAAAAAKKQQGRRVTADVLWPGMLRKGKAAAAEEDFEADFREFERGMSDDEAEGGGGEEEEEEEDDVVVVVPPPAAARFVVRAAAKAAPPTADGMLTTKLVQHDGPTARSAKRKRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTYNTAEEAARAYDAEARKIRGKKAKVNFPDEPAVAQKLSLKQNAAKQEKLAPPLKTCGDDAFFQLNSSDNDLFAMLAKVPSKPAEPVDLMPPVKPLASTETFEMNMLSDTSSNSFGSSDFGWEDDTLTPDYTSVFVPNAAMPAYGEPAYLTGGAPKRMRNNYGIAVPQGNGMPNLAQNMPTFDPEMKYLPLPYVESSSDESMDNLLQNDATQDGASNEGIWSLDELLMAAGAY >ORGLA09G0085400.1 pep chromosome:AGI1.1:9:11645145:11648141:-1 gene:ORGLA09G0085400 transcript:ORGLA09G0085400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20910) TAIR;Acc:AT5G20910] MSAPAAVEQRLQALRQKLGRKQHFEEAVADLAAAVQDHHAAASPALRDLMYSTVCRVATVLQTRYTAPGFWRAGLNLFLGTEKLVTNPSEKEQLKTFILRAREHLDEKENEESMPNNRETDTRFLFEGHLTVGPEPPPPAWLVAQNLARELSILAEPSGDQGANNNGESRAEEMAPAAAIMNFLNTMTVDGDLEAALEESLQNVMANPKVPPASKEVVANLPVVTVTEEIIARLGKETQCAVCRESLLVDDKMQELPCKHLFHPPCLKPWLDENNSCPICRHELRTDDHVYESRKEREREEEEDRKGAANAVRGGEFMYV >ORGLA09G0085300.1 pep chromosome:AGI1.1:9:11637877:11643231:-1 gene:ORGLA09G0085300 transcript:ORGLA09G0085300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQSFSRQNCVLLAVLCGKHAEKRAQARSGPEAKRLRPSYPFPELSSSGRLEVHTLFNPTPEQFLEAQRVVQPNFLYIQGQQLEDEKEIGSLVWGDNDVSDPQAFSCLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYAASHFRHALMSVVQSSVSHTWDAFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKINITPPENEMVEEEGSSDVFPAIKIYDDDINMKFLLCGVPSTPDPCLLGSLEDGLNALLNIEIRGCKLQNRISASPPPLHAASLPRGMVTMRCDITTCSSSHVSLLVSGSAQTCFDDQLLESHIKDEIIEKSQLVRALPNNDDKLSSSVPFTSTSTACGASTFEVWMTLPKWAAQVLKHLAPDISYRSLVALGIGCINGTPVASFDRRDADRLLFFCTNQHKDLAIENGPYFHLPRWSASLTKERVKVGLESKPNLLGANGIPEDKKRLIEGPSSSSKAKLKPATMRPIPHSRKQQMHPFMGFLEATVHETSQVKPNLPAAPPVKHNSVPAAPATHRKSTSGPSHAQSIIQLNPLPLKKHGCDRLPIQMCSEEDFLKDVMQFLIQRGHNRLVPHGGLAEFPEAVLNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPQKVANGFANTVSVSRNV >ORGLA09G0085200.1 pep chromosome:AGI1.1:9:11631233:11636822:1 gene:ORGLA09G0085200 transcript:ORGLA09G0085200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNKAPSITAETINQKVRIFTYEPCGEIVRHARRLEKEIYENPGSLPFQEIIYCNLGNPQALGQRPINFFREVLSLCDNPSLIDRDEARALFSPCALKRARKIIESLPGRDSGSYTSSQGVRGLREAVADGIAARDGFPSKPDNIFLTDGASSAINMMMQILIRSHEDGILCPLPEYPLYSASIILHGGTMVPYNLTEDSGWGLEIFEVKRCLEDARASGLTIRAMVVINPGNPTGQVLSITNQEEIVEFCRKEGLVILADEVYQENVYTENKRFNSFKKVARSLGYDHHDLSIVSFHSVSMGYYGECGRRGGYMEICGFGDDVIDEMYKLASLTICPNIAGQILISLVMDPPKLGDEAFEIFMVEKEETYSSLLKRAKALQKAFSGLEGVSCNKFEGAMYLFPRLRLPQAAIKAAQLEGVSPDVFYAHRLLGATGIAVVPGSGFHPVSGTSHIRCTILPGEETITAMVPRLQAFHEAFMDEFRG >ORGLA09G0085100.1 pep chromosome:AGI1.1:9:11628087:11628722:1 gene:ORGLA09G0085100 transcript:ORGLA09G0085100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRQRSIFHLGEEGGGAADAEHLGADHGDGHGDHGIISIHQQRLRVVGLQIILAQTRHHHRQQHGHVVLKQMQMVSPPPAARHRRRRRPCGDFLSACSLCKRELGPDKDVYMYRGDQGFCSEECRWKQIMTDEARERDAMAKKEWLGLHHRTPRPPPAAIRGGSPRRLLAVA >ORGLA09G0085000.1 pep chromosome:AGI1.1:9:11622754:11624670:-1 gene:ORGLA09G0085000 transcript:ORGLA09G0085000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1QP71] MSSAFGDFGPLTERRRAEKARQQRRRIMIALGTVSIIIILIVMGAAAITYSGKKSEEDEGGSKGSSKGKSKGGGGGDDEDGGGGGGKADLRAVSKSIKMMCAQTDFADSCATSIGKAANASVSSPKDIIRTAVDVIGGAVDQAFDRADLIMSNDPRVKAAVADCKELFDDAKDDLNCTLKGIDGKDGLKQGFQLRVWLSAVIANMETCIDGFPDGEFRDKVKESFNNGREFTSNALALIEKASSFLSALKGSQRRLLAGEEDNGGGAADPHLALAEDGIPEWVPDGDRRVLKGGGFKNNLTPNVIVAKDGSGKFKTINEALAAMPKTYSGRYVIYVKEGVYAEYVTITKKMASVTMYGDGSRKSIVTGSKNFADGLTTFKTATFAAQGDGFMAIGMGFQNTAGAAKHQAVALLVQSDKSVFLNCWMDGFQDTLYAHSKAQFYRNCVITGTIDFVFGDAAAVFQNCVLTLRRPMDNQQNIATAQGRADGREATGFVLQKCEFNAEPALTDAKLPPIRNYLGRPWREFSRTVIMESDIPAIIDKAGYMPWNGEFALKTLYYAEYANKGPGADTAGRVAWPGYKKVISKADATKFTVDNFLHAKPWIDPTGTPVKYDFFT >ORGLA09G0084900.1 pep chromosome:AGI1.1:9:11618081:11619040:-1 gene:ORGLA09G0084900 transcript:ORGLA09G0084900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAHGVDRGSELAAASEEDDGYSTSTTDDDDDDAVEPHGPASSGLLWVPYAAAVSAVRALLGASHHDLRLRAHQLSRSLSAVFFFAGAGLGPEGAVLVCADVPPLGPALRDAQRAMVRVAAEEADHAACDCYYDAVRDAMRLLVGDAGLFRSSFSSHWVFFSNVEFQSRFRGYNPFPAVAAASALRWVPHAAAVSAVRALLGASHEDLRLRVHHLSRSLSGAFFAVGAAAAPFASGARFPEGKLFVCADLLPLGPALVAAQRAMMQVAVKDASHGPCDWYFDTVGELMRLLVGDTGVGPAVFDRASFESAFALEWEN >ORGLA09G0084800.1 pep chromosome:AGI1.1:9:11617514:11617825:1 gene:ORGLA09G0084800 transcript:ORGLA09G0084800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:I1QBF3] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ORGLA09G0084700.1 pep chromosome:AGI1.1:9:11615698:11616636:-1 gene:ORGLA09G0084700 transcript:ORGLA09G0084700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QP68] MKPQRLPLPPVPAAALLLLPVALLAALLLVVYPNEFALQASLAGAAACGDHQGGGGGGGVQAAPEFRLLIGVLTLPARYERRHLLRMVYALQQPAVASRARVDVRFVFCRVGSPEDRVLVSLEAMAYGDVVELDCPENMDNGKTHAYFSSVPRLFGGGEAAYDFVMKADDDTFFRLPELAESLSRAPRRDLYYGCMVPCDYVRGSNEYMSGMGYLLSWDLVEWIVAAAAEIEGRTGGPEDRTLYSWLRRGGRGRNRVDVKPAMYNFPGRHPCSHEFIPDTIAVHQLKDNRRWARTLQYFNFTAALKPFYPVI >ORGLA09G0084600.1 pep chromosome:AGI1.1:9:11602515:11603456:-1 gene:ORGLA09G0084600 transcript:ORGLA09G0084600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QP67] MAMKAPASSNSYLLLAPLALLLLAAVVFLLPSLNGARVGSDGGLGVLCARRSAGAEDYTVAAPAAPKEEEKPELSLLVGVLTMPKRYERRDIVRLAYALQPAAARARVDVRFVFCRVADPVDAQLVALEAARHGDVVVLGGCEENMNHGKTHAYLSSVPRLFASSPYDYVMKTDDDTYLRVAALADELRGKPRDDVYLGYGYAMGGQPMPFMHGMGYVVSWDVATWVSTAEEILARNDTEGPEDLMVGKWLNLAGRGRNRYDLKPRMYDLSWDMDNFRPDTVAVHMLKDNRRWAAAFSYFNVTAGINLHHLSP >ORGLA09G0084500.1 pep chromosome:AGI1.1:9:11597318:11598313:-1 gene:ORGLA09G0084500 transcript:ORGLA09G0084500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QP66] MKTASSSSSSSHGFPATASLCTPYLLLVPLGLLAVVLVVPSLGSSHVRSDGLGVLCRAGPSAADGYLVTPGGDAASAAAETKAVVRPELRLLVGVLTTPKRYERRNIVRLAYALQPAVPPGVAQVDVRFVFCCVADPVDAQLVALEAARHGDILVLNCTENMNDGKTHEYLSSVPRMFASSPYDYVMKTDDDTYLRVAALVDELRHKPRDDVYLGYGFAVGDDPMQFMHGMGYVVSWDVATWVSTNEDILRYNDTHGPEDLLVGKWLNIGRRGKNRYSLRPRMYDLNWDMDNFRPDTVLVHMLKDNRRWAAAFRYFNVTAGLQPSNLYHFP >ORGLA09G0084400.1 pep chromosome:AGI1.1:9:11593758:11594935:1 gene:ORGLA09G0084400 transcript:ORGLA09G0084400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPATMKVLSLVPGLILVMLAAVLTDASIELLVWFSRAVGATSYGEAMGDAFDPLKYTPAVSVALAVVFVVITVGIATIKLMKGQIPMPKLFPDVHDWSSTWRLPTAAPVLLKDHSLIRPIVRASLLLGLVVYTTTSFFGFLLFGEATLDDMLVFPIVFRALRFNMDDLLFPSARPFSCDNRRFGAITAELLTVIFLAANFVPNIWDAFQFTGSSCTGDDVDCGGGGDSCLTAVILVAAAVSPMRPRSSSASSTPVAGKKEKTATRWCSQSTAPRCEKAAAGHGMPPPAPPARHHQRPTLSGPSLAT >ORGLA09G0084300.1 pep chromosome:AGI1.1:9:11593486:11593722:-1 gene:ORGLA09G0084300 transcript:ORGLA09G0084300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPRRRRTRAAPHPAACRPCSCSPTGVREEKRRERKRGGEGWGKKRLTHGAHVGPMLSQLSHQTKPELKLLWICTGFIS >ORGLA09G0084200.1 pep chromosome:AGI1.1:9:11575367:11576938:-1 gene:ORGLA09G0084200 transcript:ORGLA09G0084200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYWTSLASLMGAVAFLQGVVHAVFPAELRAAVARLLGRATRAFSPYCYFDVTETEGMGTNEIYDAVQLYLSSSAAPAAGARLTLSRPHNASSFTFGLAASDRVLDAFRGAAVTWEHVVAPRQAQGFSWRPLPEEKRRFTLRIRRGDRGVLLPAYLDHILAAAADIRRRSQDRLLYTNARGGAMDARGLPWDPVPFKHPSTFDTLAMDPERKAAIMADLRDFADGSAFYERTGRAWKRGYLLYGPPGTGKSSMIAAMANHLGYDVYDLELTEVGSNAELRKLLMKTTSKSIIVIEDIDCSVDLTNRATAAAAAQPPKPRASIDGGAIDQDAAAAPAGAAARSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIFMSYCTFPALKILLRNYLDDDSSASSSSAAAAATMAGLETWIDAAEITPADVSEVLIKNRRNGREQAMEQLLEVLKARAEKRPPSAAAGNATGGGDNEEEEEEEEKRALESPKEGGGEDGQDEETEAKKQLSE >ORGLA09G0084100.1 pep chromosome:AGI1.1:9:11556279:11558415:1 gene:ORGLA09G0084100 transcript:ORGLA09G0084100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTGSVLGTSLRREYPGLVKEYAGPGQRKRKRLAMSWGHYFGEKDHNGMTAGDRSFFTAQEEDMEELDKNIDNYCQARLPKIICQARVDAVKKYYGKGIKGKNASAIELNFEQYMTCKLDWINVDAWKCFCHWWTSDKYKEKRKKGQDACFANEDYAQQRGGSLPFCTIQQNLNTYCQVYHEEHGEEQQPVSNELDGNVIYKAFGGLKHGRFAMGNGVFKKTEVLAAVKHKKSGISGSTNSYNAVVRENAQLRHEVTEQRGMIREQRGMIQEQRGMLKAVYEKLGMDIPEEVLSRWESQRENSELDVDCSEGSEEYEIEEEECETEDEYRT >ORGLA09G0084000.1 pep chromosome:AGI1.1:9:11537493:11538008:-1 gene:ORGLA09G0084000 transcript:ORGLA09G0084000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTFSAFSQEGRGVAATSGGGGPFTGWCSSRRRRPEAPAAMDRYNGGGGGGGEEGDEQLDLNLSLQPSQANEPPGYFTCTYCDKKFYSSQALGGHQNAHKFERSVAKRTRELAAARRQQAADEEARRGAGTTTTREPTGNASSLYQRASPPAEARRRDLLTDDIDLSLKL >ORGLA09G0083900.1 pep chromosome:AGI1.1:9:11498996:11508521:1 gene:ORGLA09G0083900 transcript:ORGLA09G0083900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein / CBS domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G10690) TAIR;Acc:AT5G10690] MDEVGAARRRAVDRGAGAGAGLNTIVMNAVLEACVRCGDVGLALSLFDEMRGPGGCGVDGVSYGILLKGLGITRRIDDAFEILESIEKDTSIGSPRLSPHLICGFLNALIEAGWHKIYLFIFQCIIVIRILGCTGDMRRANALVARFRQVLYEGHSVLLYNLLMKGYIKSNFPLGALTVKDEILRQGLKPDRLTYNTIISACVKSAEIDMAIRFLEDMKEEAKRDNNPELLPDAVTYTTLLKGLGNSQDLYSVLKIVVEMKSAPISIDRTAYTAMVDALLACGSINGALCIFGEIIKQAGNNKDLRPKPHLYLSIMRAFATIGDLDMVKRLNKRMWPDSVGSISRSAKEEADELLMEAALNNNQVHDVSLFDYKYILPIFHIIIDGHTTNTANFLKSIIGFSKLYLKASQVVAVKVETLSGFTNSLLRPHVFPQIVLNDPVEKYMIPFQETQPLHADLILEEVVMRFFKDPVVPIVDDWGSCVGIVHRQDCTKIDAPLLSMSRGPPLCVPTSTSVEHVIDLLLREKSEMVVVVKRGNMYEGSYASSSRPLGVFSLAILWKFTADVTDIDGMDAAHQLQQDVEASNCG >ORGLA09G0083800.1 pep chromosome:AGI1.1:9:11489903:11492922:-1 gene:ORGLA09G0083800 transcript:ORGLA09G0083800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor II 15 [Source:Projected from Arabidopsis thaliana (AT4G31720) TAIR;Acc:AT4G31720] MMGSNSASGGGGGAMVPGGMGGGGPMGAAAAGGGGGGDGRHDDEAVLTEFLSSLMDYTPTIPDELVEHYLGRSGFHCPDLRLTRLVAVATQKFISDIASDSLQHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALQEHGVNLKHPEYFADSPSAGMAPAAREE >ORGLA09G0083700.1 pep chromosome:AGI1.1:9:11479940:11481272:-1 gene:ORGLA09G0083700 transcript:ORGLA09G0083700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWAPEEDAALRAYVDAHGTGGNWIALPHKIGLNRCGKSCRLRWLNYLRPNIRHGGFTEDEDRLICSLYIAIGSRWATIAAQLPGRTDNDIKNYWNSKLKRRLLGGGRRPRGAPPRLVLSGPGPAVTAATSRNAMAASAIERMQLSVRLRRLEAAAPPPPQPFTFYGSNNLAAPPWQPPISPAASGSSEMPRRLHHHHPSGAAATSSYSGLISSWSSSRSHIIHDAWLDASSTPPLSTTSMGDAATTTTTTAGGESSSSTPTVSTATTPFIGGSIDMEDEIDMLLQQIRCFDENGDDDNDQRLIVGDEAAAGAENYLRALIDEAAANGCDVGVGSWSSCSTPGVDSVFHEYAQLDYGQYN >ORGLA09G0083600.1 pep chromosome:AGI1.1:9:11474683:11479015:1 gene:ORGLA09G0083600 transcript:ORGLA09G0083600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:I1QP57] MEAGARLAFVMPLVVVLLLMIFSLGVRGVDVVVDGGGGGAAAARRRRVEVGVILDRRTWLGNISWACMELAVEDFYADEERASYTTALRLHLRDTRLDAVDAASAGVDLLKNVHVQAIVGPQTSAQAKFLAELGEKSSVPVVSFSANSPCRTASQTPYFIRTAWNDSSQAEAIASLVQRFNWRDVIPVIEDDDSNTRFIPDLVDALRNAEIRVTHRCKIHPSAGADDIKKVVLSLKEKWTSVFVVRMSYQLALSLFKHAKDEGMMGQGFVWIAAYGLTDIFDVVGSPAFDVMQGVIGMKPYVNDTKQLQNFRQRWRKMYKSENPGTTLSEPTISGLYAYDTVWALALAAEKAGYVNSDFLLSEKNNGSTDFDRINTSNAAKKLQSTLLNIDFQGMSGKFQFQDMHLLSMTYEIINIVGEEQRVVGFWTPEFNISRGLNTKADVNEIIWPGGETTVPRGWLFPMNKTLKIGVPAKPGFSGFIKKEKDNFTGLCIEVFEEVLNGLPYKIPHDYVEFGNGKGESNGTYDELIYKVYQKDFDAAVGDITILANRSLYVDFTLPYTESGVRMLVPVQDQRQKTAWTFLQPLTADLWLGTAAFFVLTGFVVWFIEHRTNEDFRGPPVNQIGSVFYFAFSTLVFAHRQKIVNNLSRVLLVIWLFVVLILQQSYTASLSSILTVEQLQPTVTNLDEVIRKGANVGYLNDSFMPELLKRLKIDESKLIALDSPDEYNEALSTGRVAVVVDEIPYLKVFLSKYCHNYTMVGPTYKFDGFGFAFPLGSPLTAEISRGILNFTSSNRMAQLERELYNNRTCPDKDDSQTSSSLTLRSFLGLFIITGASSLLALFLHVVITLYNHRHDLSSASSSQSLWCGWFAILLKIFHEGDRPNAPQLDEPAVSNANTTADTPWSTPDHHIIENVDSGSDVESVREEDREDFVQGPDPPSFAYMHSERGQ >ORGLA09G0083500.1 pep chromosome:AGI1.1:9:11458409:11470587:1 gene:ORGLA09G0083500 transcript:ORGLA09G0083500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:I1QP56] MAGHTPNPLFLLLFLGCLLFAAAQPQPLTVTVGLIIDGGSPVGKIANTTIPMALDDFYAAFPRSPARVHLLHRDSRGDVVAAASAALELMEGRGVRAILGPQSSVESAFVADLATRAEVPVVSFSATSPSVSPGGGRFFARAALSDAAQAGAIAALARRFGWRRVVPVYQDDDYGAAFVPFLVDALTAEGSEVPYRCALPAGADADAVAAAMYRMESLQTRAFVLHARPDLAGRVLAAAEAAGMMGEGFAWVITDGLTGLLGSINAPQGVIGLAPYVPTTPRLRDVRRRWVRRFMAEHPAADAEHAEMGSYAVWAYDAAWAVASAAEHLTAGDLSPPQGGLVGGKGGPTDFAGLGKSRSGKKFLEAITSTTFDGLGGRFQLVDGELAVHAFRVLNIMDRGKERSIGFWTKDGGLTRHLGVGGGGGRELAPVIWPGESTVVPRGWVVPTSARRLRVAVPGSVNPGYRAIVHLDVDAATNRTTAGGFVVEVFEAAVRLLPYALPVEYVKAESMPYDKLVQMVADGTFDAAVADMTITAARSSYVDFTLPFMASGIAMVAPLRDVGRGGERTWVFLKPLRYDLWLASAAFLLLTGFAVWFVEHRGNAEFRGPPWHQLGTLLYFGFSTLVFAHREDLRSNLARLAAVVWFFVVLILQSSYTASLTSMLTVPRLEPSIAGYAALWRGAERVGIMNNSFMRGAMTRSGFPPARLVPYGAAQSFHEALLNGTIGAVVDETPYLRIFLKSYCDRFAMAGGGGGGQPNKTGGFGFAFPKGSPYVADLSRAILALTESEEMNLIERKWFGESDGCAAAQAAGGPFTSDSLSFGSFWGLFLITGATSLLCCAVHLATFVASNRGAIRDIVATSTHPFRRLAELYDGRDLSAHTFKAKDGGAAASPPVVHDAAGSPISLHMGAWSPQPSSTMAGGEIELAAGAGGEANEEEATTTTAARDPDGAGENGSGQ >ORGLA09G0083400.1 pep chromosome:AGI1.1:9:11421323:11421544:1 gene:ORGLA09G0083400 transcript:ORGLA09G0083400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSQIWKESKFGMIGHVKFLSCTREFPKVFRTLSMSLVHGFRLSTSCINRGGA >ORGLA09G0083300.1 pep chromosome:AGI1.1:9:11368096:11368335:-1 gene:ORGLA09G0083300 transcript:ORGLA09G0083300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGSGFSRRRIRWLAAAAPDPATVAASASPLTDLAYGDMSNGGVRLLVVRGCEFIVCDVDSGSWIIFIVLGCDFIVL >ORGLA09G0083200.1 pep chromosome:AGI1.1:9:11367198:11367770:-1 gene:ORGLA09G0083200 transcript:ORGLA09G0083200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRRESRNMPPMTGHARCQGRRCTPPLLSLPPQPATAVEVVVFSSPLSLHRSSEHEAGSGKLEVHELRGHTSSWPRCLRSHRSGREGALIHADRGDRRRGGGRSISVVERRESSRSSATTWSSPQLILSSSMGRELIAAAGREERPVGAHHSGREGACHRHHRQSGKSAPSPERSKPSSGTSIHAGYDD >ORGLA09G0083100.1 pep chromosome:AGI1.1:9:11355306:11355764:1 gene:ORGLA09G0083100 transcript:ORGLA09G0083100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNREEEGEMNLELTLCYTPPPSPEPPLVGFFLCMYCDRKFDSSQALGGHQNAHKYERSLAKRRREIAAALRAHGAPPAADGAGAAGYSSPAAAQKAVSVEAQQHRAAPKVREEAHQGASAPELGGIARGNSSPEYGVECPHGLDLSLRL >ORGLA09G0083000.1 pep chromosome:AGI1.1:9:11319596:11320051:1 gene:ORGLA09G0083000 transcript:ORGLA09G0083000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNSREEEGEMNLELTLCYTPPPSPPPPPPFVGFFLCMYCDRKFHSSQALGGHQNAHKLERSQAKLRREAIAAEILAHRAVVLQAGAAANHDGGYGAGSDPLPAAQKVRVEEVQRGAAASAPELGGFARGESSPEYGVQQAHGLDLSLRL >ORGLA09G0082900.1 pep chromosome:AGI1.1:9:11309796:11310101:1 gene:ORGLA09G0082900 transcript:ORGLA09G0082900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTELVRRHEPNRKPHRAYDSLGVHMKMKAHNPFTANRQAQVATHMALQHRRIDPNSVIACSVAATRMRRDCRSKFHAAVTGEVTIQLATLSGSGDGPRL >ORGLA09G0082800.1 pep chromosome:AGI1.1:9:11287509:11292855:-1 gene:ORGLA09G0082800 transcript:ORGLA09G0082800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:I1QP49] MERAPERAAIFFLLLSLTVAQNTTESGTVHRSFKTKLVLHIRDSNGDDIQAASEAIDLLENYNVKAIVGPQKSSEATFVSDLGNKSQVPVISFTATNPTLSSIDVPYFLRGTLSDVAQVNTIAALIKAYGWREVVPIYEDTDYGRGIIPYLADALQEFGAYMPYRSAISKSANTDQVEQELYKLMTMHTRIHVVHMSVNIASILFTKAKELGMMSKGYAWILTDGISNIVNSLSPSILEEINGAIGVRFYLPASKELHDFTARWNKRFKQDYPNDPPSQLSIFGLWGYDTTWALAQAAEKVNMADAIFQKQKDTKNTTSLGTLGISTIGPKLLDSILHSKFRGLSGEFDLRNRQREFSTFQIINVVGSRSKEIGFWTAKQGIFRQLNENKTTNINSVPDLDPVMWPGEVYTVPKGWQIPTNGKKLRVGVRTSGYPELMKVEKNPVTNEVTASGYAIDVFEEVLRRLPYAIPYEYVAFDNGQGVNSGSYNDFVYQVHLGVYDTAIGDITIRYNRTSYVDFTLPYTESGVAMIVPVKDDRDKNTWVFLKPLTTDLWFGSIAFFIYTAIVIWLLERRINNAELTGSFFCQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDVHELLKNGEYVGFHNGSYVGDLLKGLGFDRTKIRAYDNSDDFADALTKGSQNGGIAAVVHEVPYIKIFLAKHCEGYTMVGPIYKSEGFGFAFPKRSPLVYDFSREILSILEGDSIIHIEKKWIGDQHACQNDGTVIGSSSLNFNSFSGLFLVTGVASTSALLIALLMFLYKNKHRIRNSISRDQTRSRYGPEHINEQNEERVIDSSQVQNLQLTVPDDSEYTCQQEEEISIELSPASGFQPSPDFSSQEHRAVASSTIVANSSSQVPRI >ORGLA09G0082700.1 pep chromosome:AGI1.1:9:11276760:11282447:-1 gene:ORGLA09G0082700 transcript:ORGLA09G0082700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:I1QP48] MGRAVGRAAFLFLFLSLTVAQNITKNGAGTLDVGVILHLKSLVGKIARTSVLMAVEDFYSVHRNFKTKLVLHIRDSNGDDVQAASEAIDLLENYNVRAIVGPQKSSEATFVSDLGNKSQVPVISFTATNPALSSINVPYFLRGTLSDVAQVNTIAALIKAYDWREVVPIYEDTDYGRGIIPYLADALQEFGAFMPYRSAISESATTDQLERELYKLMTMQTRVYVVHMSLNIASILFAKAKDLGMMSEDYAWILTDGISNIVNSLNTSILEKMNGAIGVRFYVPASKELDDFTTRWNKRFKEDNPNDPPSQLSTFGLWGYDTIWALAQAAEKVRMADAIFRKQKDGKNSTSLGTLGISTIGPELLDSILHSKFRGLSGEFDLRNRQLEFSTFQIINVVGGRSKEIGFWTTKHGIFRQINENISKTTNVNSMPGLNRVMWPGEVYTVPKGWQIPTNGKKLRVGVRTSGYPEFMKVERNTATNEITASGYAIDVFEEALKRLPYAIPYEYVAFDDGQGVNSGSYNDFVYQVHLGVYDAAIGDITIRYNRTSYVDFTLPYTESGVAMIVPVKDDRDKNTWVFLKPLTTGLWFGSIAFFIYTAVVIWLLERRINNAELTGSFFRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDIHELLKSGEYVGYRNGSYLSDLLEGLGFDRTKMRAYENPDEFADALAKGSQNGGIAAVVHEVPYIKIFLAKHCKGYTMVGPIYKSEGFGFAFPKRSPLVYDFSRAILNITEGDSIIHIEKKWIEDQHACQNDGTMIGSSSLNFNSFSGLFLVTGVASTSALLIALMMTLYKNKHRIRDSIRRGQTQKEYERETINEQNQERTIDSNQVQNLQLTVPDDSNEYTCQQEGEISIEISPASGIQTSQDIASHRTSRNG >ORGLA09G0082600.1 pep chromosome:AGI1.1:9:11275963:11276358:1 gene:ORGLA09G0082600 transcript:ORGLA09G0082600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATPTAGGGDDGEGGRVSRGALRRTWLILESRRIRSVESIRQISPRPVLSPLPPYAARISALCLLLTPAGRRRRIGMRRGSPCRTRPLSGGGGGAVGCSRMGVEEKQQCDLQFRWYTIYSSSYEINVDAT >ORGLA09G0082500.1 pep chromosome:AGI1.1:9:11262234:11269682:-1 gene:ORGLA09G0082500 transcript:ORGLA09G0082500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:I1QP46] MERAPQTILFLLLLVHFTVAQNANKTGVVDGFPVGVILDLQTMVGKIARTSILMALDDFYAAHTNYSTKIVLHIRDSGSNNVQAASAALDLLENHNVQIIIGPQTSSQASFVSDLGNRSQVPVISFTATSPSLYSASLPYFVRATLNDSAQVQSIACLIKTYGWREVVPIYEDTDYGRGIIPYLVDALQDIDARVPYRSVIPLSVTSEEISQELYKLMTMQTRVFIVHMSSTLAASLFTKAKEVGMMSKGFVWIMTDGITNIVDSMSTSVVEAMNGALGIQFYVDNSELDSFTIGWNRRFQIDNPNDPPLKLSIFGLWGYDTIWAVAQAVENVGVNNRTSIQKPSVARNSTSLENMETSVYGPELLKVILRNKFRGKSGYFDLSNRQLQVSTFRIINVFGKGWKDIGFWNEGNGISRQLNLGKSTTKYADSVLDLNPVIWPGKSTEIPKGWEIPASGKKLQVGVHKSAYKEYMTNQRDPITGATKASGFSIDIFEEAVKRLPFALPYEYVAFDTSRDTSTGSYDDFVHQVYLKKYDVAIGDITIRHSRMAYVDFTVPYTESGVAMIVPSKGTVDKTWIFLQPLSRDLWVATISMFFYTGCVVWIELNVVKLTGWKGKMNYMPVGVRLETSFANQLKENERVERILSRIVLIVWVFFFLILSSGYTANLATMLTVQQLKPTINSIDELRKSGENIGYHDGSFVKNLLEDLNFNTSKIKAYDTPDDFYNALSKGSNNGGIAAFVHEVPYIKLFLAKHCKEYTMVGPFYKTAGFGYAFPKGSPLLGDISKAILSITEGDIIMQLENKWIGYQNDCKSVDSAAGTVSDPDKLNVDSFKGLFILTGVASTSSLLIAVMIYYYEKKKSMTSMQPDQNGEGLEENHKPQEVNEGDREEENNQPGASTGQSGQQQQQTGAREMSNINLQTSSVRRNSSIFIWHERNLGARVAPISSSSHF >ORGLA09G0082400.1 pep chromosome:AGI1.1:9:11261054:11261805:1 gene:ORGLA09G0082400 transcript:ORGLA09G0082400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPGRLPRPQGSSSAVPRPRGLPGVSVLLRPDKAMDAGEDMPPVAEEPTLRRSDKMAQLGSVSDAHLMVQLRGDLSPALRYFPWRTDFDLCP >ORGLA09G0082300.1 pep chromosome:AGI1.1:9:11251957:11258091:-1 gene:ORGLA09G0082300 transcript:ORGLA09G0082300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:I1QP44] MGMERAAGRAAIFFLFLSLTVAQNITGSGEDTLNVGVILHLKSLVGKMARTSILMAVEDFYKAHRNFKTKLVLHIRDSNGDDIQAASEAIDLLENYNVRAIVGPQKSSEATFVSDLGNKSQVPVISFTATNPTLSSINVPYFLRGTLSDVAQVNTLAALVKAYGWREVVPIYEDTDYGRGIIPYLADALQEFGASMPYRSAISESANTEQIERELYKLMTMQTRVYVVHMSTNIGSILFKKAKDLGMMSEDYAWILTDGISNIANSLSPSILEEMSGAIGVRFYVPASKELDDFTTRWNKRFKEDNPNDPPSQLSIFGLWGYDTIWALAQAAEKVRMADAIFQKQKDTKNTTCLGTLRISTIGPKLLDSILLSKFRGLSGEFDLRNRQLELSTFQIINVVGSQLKEIGFWTAKHGIFRQLNKNKSKTTNMNSMPDLNPVVWPGEVYTVPKGWQIPTNGKKLRIGVRTNAYPEFMKVESNPVTNEITASGYAIDVFEEVLKRLPYAIPYEYVSFDNGQGINSGSYNDFVYQVYLGVYDAAIGDITIRYNRTSYVDFTLPYTESGVAMIVPVRDDRNKNTWVFLKPLTTDLWFGSIAFFIYTAIVIWLLERRSNNAELTGSFLRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDVHELLKNGEYVGYPNGSYVADLLRGLGFDRTKLRAYNDLDGFADALAKGSQNGGISAVIDEVPYIKIFLAKHCKGYTMIGPIYKSEGFGFAFPKRSPLVYDFSRAILSITEGDSIINIEKKWIGDQHACQNDGTIISSSSLNFNSFSGLFLVTGVASTSALLIALVMFLYKNKHRIRNSIRRDQTQKGYEAERINEQNQEMTIHSNQVHNLQLTVPDDSDEYSCQQDGEISIEQSPASEIQTSPYFASHAQQ >ORGLA09G0082200.1 pep chromosome:AGI1.1:9:11246968:11250010:1 gene:ORGLA09G0082200 transcript:ORGLA09G0082200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQVAAAAAVAAIAGAAAVLASELYSRRCRRLATRVRELEASLAAATEKAAAERRGRVRAQQSLRKALSEQELSSDEKKKLSKSPKSFPMASIGVVQSCFSTRNGTPRQPLVVPLARATVMLDPARVPAEALEGLADYSHCWILYVFHLNTDLDKMWNDPARSKLKAKVRVPRLKGGKMGVLATRSPHRPNPIGLSVAKVEAVDGHSILLSGVDLVDGTPVLDIKPYLPYSDGVKGAAIPNWLEVDGALAVESIHFSEHFISSLSDCWMHVKKQSLYASADEFQDLVKEVLSWDIRSLSQRIRPHDVTIKDVTDNGGSKIDNDCSNDEDRQSVDPSTSVVYHLHLEGIDVSYRIDQDSNIVVENAALLSSAVNQHRYSYLTWREKVSIL >ORGLA09G0082100.1 pep chromosome:AGI1.1:9:11245963:11246328:-1 gene:ORGLA09G0082100 transcript:ORGLA09G0082100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPSGGQSAAAVSRWPARRSGGASGGTGVAQGREAAARSAKGTASRRGVIREASSDLAAAKRCVARSETNSVRHLSHSSRSISPFMAGSMEAVAALSLPGGADNDEVKRRIPARSRQRR >ORGLA09G0082000.1 pep chromosome:AGI1.1:9:11243872:11244213:1 gene:ORGLA09G0082000 transcript:ORGLA09G0082000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLASLPTNDPRSRITPTWNSSAPGSFVAFRATAHEWAKSISKRMAPGGAFSITGLPAENLRRLYRLCKTTMVKNSRSLSCIS >ORGLA09G0081900.1 pep chromosome:AGI1.1:9:11230824:11235158:-1 gene:ORGLA09G0081900 transcript:ORGLA09G0081900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACARTAPLLLLLAFSFAFAGSVTERKAEEFHVGVVLDLGTTVAKVARTSMSLAVEDFNAVHPSYTTRLVLHVRDSMGDDVQAASAVLDLLENHKVQTIVGPQKSSQATFVSALGNKCQIPIISFTATSPTLSSRTLPYFVRTTLNDSAQVNSIVSMIKAYGWREVVPIYVDNDYGRGIIPSLVDALQQIDVHVPYQSEIDQSSTSEEITQELYKLMTMQTRVYVVHMSPSLGSVLFTKAKEIGMMSEGTVWIITDGLTNLIDSLNPSVVEAMNGALGVKVYVPISTELDSFTKRWYMRSRIDHPNDPTMKLNIFGLWAYDSIWAIAQAAEMSKVRKAMFQRPSSEKNLTNLETLQTSINGPALRKAMLQNKFRGLSGYFDLSDGQLQVSTFRIINVAGKGYREIGFWTARNGISKALEQKRSHPTYESTKPDLNIVIWPGEVTELPRGWELAVRGKKLQVGVVKGHYPEYIDADEDPITGVTTARGLAIDVFEEAVKRLPYALAYEYKLFNITGIASSSYDEFVYQVYLKKYDIAVGDIAIRYNRSLYVDFTLPYTESGVAMVVPVREKEKVKRLISRIVLVVWLFFILVLKSSYTASLTSMLTVQQLQPTAHDVHELLKNGEYIGCGSGSFVMGLLEELGFPRSMIKPYHNPEDIHNALSRGSKNGGIAALVGEIPYIKLFLTKNCKRYTMIGPIYKTAGFGYVS >ORGLA09G0081800.1 pep chromosome:AGI1.1:9:11215016:11217862:1 gene:ORGLA09G0081800 transcript:ORGLA09G0081800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHRHLTPSPSHEEHETPNPSLTPPPMQLAALASDEPPPPPPEQSPRRIVVAHRLPLNATPDPGSPFGFAFSLSADAHALQLSHGLGLAHVVFVGTLPAEAARALRRSDELDRHLLGCFSCLPVFLPPRAHDEFYAGFCKHYLWPRLHYLLPHAPAANGDLHFDAGLYRSYASANRSFAARVVEVLSPDDDDLVFVHDYHLWLLPSFLRRGCPRCRVGFFLHSPFPSAEVFRSIPVREDLLRALLNADLVGFHTYDYARHFLSACSRLLGLAYTSRHGRVGINYHGRTVLIKILSVGVDMGLLRTAMASPEAAAKFREITEVEYKGRVLMVGVDDVDIFKGVRLKLLAMESLLETYPALRGRVVLVQIHNPARCGGRDVERVRGETAKIQARINARFGGPGYQPVVVVDRAVPMAEKVAYYAAAECCVVSAVRDGLNRIPYFYTVCREEGPVDAKGAAGGQPRHSAIVLSEFVGCSPSLSGAIRVNPWNIEAMAEAMHGALTMNVAEKQARHVKHYTYLKLHDVIMWARSFAADLQLACKDRSTMRTIGMGIGPSYRVVAVDAAFKKLPPELVNLSYRAAAAAAAAGGGGRLILLDYDGTLEPTGAFDNAPSDAVIVILDELCSDPNNVVFIVSGRSKDDLERWLAPCANLGIAAEHGYFIRWSRDAPWETMASKQLAAAMEWKAAAKNVMRHYAEATDGSYIEAKETGMVWRYEDADPRLAPLQAKELLDHLATVLASEPVAVRSGYKIVEVIPQLDQGVSKGVAAECIVSAMAARRGGAPGFVLCVGDDRSDEDMFGALASLCGGGKNGAASSSTTTTTALLAAAQVFACTVGNKPSMASYYLNDKEEVVDMLHGLAFSSPSSRLRAAAAPRRPADFDIKSLLRCE >ORGLA09G0081700.1 pep chromosome:AGI1.1:9:11206376:11210018:-1 gene:ORGLA09G0081700 transcript:ORGLA09G0081700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDPCRSSTNGGIHGSLTGISIGVGVSSTQKVWRSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMKSATRLSVVTTTVFYMYMLCGCMSYALPDNLLRRRSARPSSCTSSAPTRCSSNQSSSSSSAGRPAGGWTACSSPRSSAWGPSRSEFVCLTTVVAMLLPFFGNVVG >ORGLA09G0081600.1 pep chromosome:AGI1.1:9:11198321:11198759:1 gene:ORGLA09G0081600 transcript:ORGLA09G0081600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFWIFCIAFYAWSYQLQKGNEGETWIGSRDKTCESFKRCWRRTWACTEAQPAETGDRRKCLYISSSTKRSWITGKMHIHVERAASTRPCHILDPFTIYVWEELV >ORGLA09G0081500.1 pep chromosome:AGI1.1:9:11196447:11197084:-1 gene:ORGLA09G0081500 transcript:ORGLA09G0081500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSMFTVGKNKGVASSVHRKPLQHMVQRRLRELKKIVPDAHEDNVDVLLRQTAEYICILELKEFVWLEKPAIVSDNAMSGVHHENNGQSFGKFYLFLSILNYGDFY >ORGLA09G0081400.1 pep chromosome:AGI1.1:9:11181227:11182285:1 gene:ORGLA09G0081400 transcript:ORGLA09G0081400.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXRVGSRGPADCGGERNRWVSSRRRRMAERDGGWRQRLSPASKEGFLAGATRGQFAGAGASTXGRELIPPVERARAAPREAGDGELVRGTERLHSGELSSSLRRRKNRERGGGSHRDGERRRETAGGTRRDHGELGSGKGRRRRPESRVTHPRTHRLPRCSATDSDGENSGKATMARWRWRVKREGLRGGARLYSGGEVGSKRERVAKAVRGRHGDGDGVDARDDGGGRSDGEESGHERGRSNMARSRWGHWRGGTSSASSASARKKTKTGEREAGLRREKGRDNNGKGGLSLPFWAAGRRGAARAVQAATTQARARATRGRGGIQRWWRKSIHGA >ORGLA09G0081300.1 pep chromosome:AGI1.1:9:11173572:11177508:1 gene:ORGLA09G0081300 transcript:ORGLA09G0081300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAFLSSWPWDNLGAYKYVLYAPLVGKAVAGRAWERASPDHWLLLLLVFFGVRALTYQLWSSFSNMLFATRRRRIVRDGVDFGQIDREWDWDNFLILQVHMAAAAFYAFPSLRHLPLWDARGLAVVALLHVAATEPLFYAAHRAFHRGHLFSCYHSQHHSAKVPQPFTAGFATPLEQLVLGALMAVPLAAACAAGHGSVALAFAYVLGFDNLRAMGHCNVEVFPGGLFQSLPVLKYLIYTPTYHTIHHTKEDANFCLFMPLFDLIGGTLDAQSWEMQKKTSAGVDEVPEFVFLAHVVDVMQSLHVPFVLRTFASTPFSVQPFLLPMWPFAFLVMLMMWAWSKTFVISCYRLRGRLHQMWAVPRYGFHYFLPFAKDGINNQIELAILRADKMGAKVVSLAALNKNEALNGGGTLFVNKHPGLRVRVVHGNTLTAAVILNEIPQGTTEVFMTGATSKLGRAIALYLCRKKVRVMMMTLSTERFQKIQREATPEHQQYLVQVTKYRSAQHCKTWIVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGACEYSLERGVVHACHAGGVVHFLEGYTHHEVGAIDVDRIDVVWEAALRHGLRPV >ORGLA09G0081200.1 pep chromosome:AGI1.1:9:11167987:11168457:1 gene:ORGLA09G0081200 transcript:ORGLA09G0081200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIKDSCPRVAPRAGATRVATATTAALPPRLLPFFASPPPERAAGNCVAARTAAAGPPFFPRGRGLEPTTPPRSGVPTASTELGEGLAPSGGGNGESGSVDGEAETTGTTGRDSVGKGDDSDVASPDLASPGQIRPPSSESGLPSAGGQLSSRLR >ORGLA09G0081100.1 pep chromosome:AGI1.1:9:11146729:11150783:-1 gene:ORGLA09G0081100 transcript:ORGLA09G0081100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1QP32] MGAGWEEYKPCAAMVAAQCIYAALALWAKAVFTGGMSTMVFVVYRQAIATVFLVPIAIIANRRKKKETRLGMTGFSLIFVASLFGATVNQYVYYQGLHLGSSSMATAMSNLIPAITFVMAASVGLEKVDLRRVRSLAKIFGTTVCVGGAMAMAFFKGPRLLNSSSLIVDLNFLLHLSASSKWVMGALFLICSSCCWSLWLILQVPICKSYMDPLTLSAWMCFLSTLQSAVLVSFLMPDINAWKIHSLFELGCCLFAGVFGSGVTFYLQSWCISVRGPLYSAMFNPLCTVIATVVAAAFLHEELHIGSLFGATAIVAGLYIVLWGKAADGGGKSGGSVPEHSHDVEKAAIRSESQLDVGEGITEPLLEAGNTAEK >ORGLA09G0081000.1 pep chromosome:AGI1.1:9:11139118:11141063:-1 gene:ORGLA09G0081000 transcript:ORGLA09G0081000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFFKGPKLLNYTLGDLNMASSKWVLGALCLVASSSCWSLWLISQVPMCKSYADPLSLSAWTCFFSALQSAALAVFLDPDLDAWKIHSLFELSGYFFALAGGSCCDRRPVCGLVGQSG >ORGLA09G0080900.1 pep chromosome:AGI1.1:9:11132611:11134041:-1 gene:ORGLA09G0080900 transcript:ORGLA09G0080900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1QP30] PVNSSSGRRVNVRERGTMAKISGTIVCVGGAMAMAFFKGPKLLNYTLGDLNMLLHSPAISKWVLGALCLVVSSSCWSLWLILQVPICKFYVDPLSLSAWTCFFSTLQCAALAVFLVPDANAWKIHSLFELSSYAFAGVFGSGVCFYLQSWCISVRGPLYSAMFTPVCTVVTTIVAAAVLQEELHIGSLMGAAAVITGLHVVLWGKADDMKRGSEPATAAAKPCSDSCRDVERTAAEELLLADAVSSEQL >ORGLA09G0080800.1 pep chromosome:AGI1.1:9:11129792:11131769:-1 gene:ORGLA09G0080800 transcript:ORGLA09G0080800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1QP29] MAGGGGGWWVERYGPCVGMVMVQWFYAAVDMALKAAYGMGMRPIVFVAYRQGIAAATLLLASLAARGWDLRRHIAVGAPAFALLFAASLASATGQYFYFLGLQLASPSMARATTNLAPGITFAIAAVIGLEKVDLRSSRSLAKIAGTVVCLAGAMAMAFFKGPKLLGAVLVAATADDDWVKGGIYLIGNAFCVSIWYILQVPVCRSYLDPLSLATWMCFLATLQCAVMAFFLESNYLEIWKLASIWELPCILYGGVFASGANFFLQSWCISVKGPLYSAIFTPLSAVITTILSTIFLHEELHIGSILGAIAIIIGLYVVLWGKADDAKSEGLTILSNDSKRITEPECTGVKVECGTNLSVPLLSESLTRLNEQMDNLANCST >ORGLA09G0080700.1 pep chromosome:AGI1.1:9:11125666:11128080:1 gene:ORGLA09G0080700 transcript:ORGLA09G0080700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLSNSLLGILNAVTFLLSVPVLGGGIWLATRADGTECERYLSAPVIAFGVFLLLVSLAGLVGACCRVNCLLWFYLVAMFVLIVVLFCFTVFAFVVTNKGAGEAVSGRGYKEYRLGDYSNWLQKRMENSKNWNRIRSCLQDSKVCKKLQDKNWDQTQFFKADLSPLESGCCKPPTSCNFAYIGGTNWTTTPASTTTPFSDPDCKTWSNDGTQLCYNCQSCKAGTVATLKRDWKRVAVVCIVFLVFIVIVYSLGCCAFRNNRRDNRGAYRGAAWKGGYA >ORGLA09G0080600.1 pep chromosome:AGI1.1:9:11119346:11119813:1 gene:ORGLA09G0080600 transcript:ORGLA09G0080600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKACNLAAALLLLLMVAFMCMSSGGLVDGRPVARREDLSIGLGGGGGGGGGGVGIGVGVGVGVGLGPGGVSVSGSGSGSGSAAGVGSASGSRSGSVSVGGASSSAGSSAGSSAGSGGSRTGSSAGSSAGSSGGSGLGIGFGQGYGSGSSGNP >ORGLA09G0080500.1 pep chromosome:AGI1.1:9:11115050:11115577:1 gene:ORGLA09G0080500 transcript:ORGLA09G0080500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKGGAVAALVVVALLCVMSGGCVESRRVARMGLDIGLGSGQGIGLGIGLGLGVRAGTRGVSASGSGSGSGSVAGAGSTSGSRSWSVSIGGASSSAGSSAGSYTGSGSGYGEGGGYGRGSGNGSGLGFGEGYGYGSGSSGNP >ORGLA09G0080400.1 pep chromosome:AGI1.1:9:11112224:11113096:1 gene:ORGLA09G0080400 transcript:ORGLA09G0080400.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLXTCLVLDSTLEAALDPTLDPALEPANDPALQPELEPVEDDVLELAEDPALEPLPNLDPEPEVEAELEAELELELLVPPIPTPAPSPIPRPPELDPEPEAETDPEADPKPRLDPEPDTDVEPDLELEPEPPPPRPMPIPTPSPRPRPPDPEPKPDPEPDPELEPEPDPEPEPEPPTPKPIPTPIPSPPPRLIPRSFLAKRLSPTGASDAATATKTTKAMDFVQTIVLYCLRHATATILRASNGDEMMQVFDRPHGNLYIDRDQCKIELAMWLVKVSQSGVVELCLRVCS >ORGLA09G0080300.1 pep chromosome:AGI1.1:9:11097665:11104204:-1 gene:ORGLA09G0080300 transcript:ORGLA09G0080300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamete expressed 2 [Source:Projected from Arabidopsis thaliana (AT5G49150) TAIR;Acc:AT5G49150] MGSPRSSLPPLWLLLLCVVAAARRASMARAQQPMPPSFAFSWLDYRSSFVAGDTAVIKITPLDLPPGDEARRSLSFTATVNGRRGNSTYIADVAAHHAGEPAAWNITFVPLRAGDFVVLVGEERFGVAESTLEFAVAAAGVHPSASLASWTYSGACVAGSKASVSVALRDAFGNGVARGADMPGGNGNLKVSVSRSNGAIVEFKDFRYNGWAEDGRISLEFVPVVAGAFLVRVQSDDNTLRGSPLLLTVNPGPVDIAKSTCSWKYGTNVLQIFSKLEIFIHQKDYFGNAVPDIHPFDARIVKRATNLSVPVADLLIEVVDDGTRLLSFKAVDPGEFVLTIFDPKLNQKISNMDYVYNVFVGYCDGSNSFANGSGLAHSVAGSVSHFMVYLQDHYSYPSPIESAWLKVQILSKNGASVNSTISPGELNEETFVGGHFSTFVGGHFSGGPTGHQEKIISGNMRPNSFNVSYTPKFAGEYEIWVQCGNIVINSGNPYKMTVSTGVVSTDLSTVVTFVRKVKTSVHNEVVVQLVDPFMNPMIHLASKLRIQLTSADSTTPMNAPSFTAGEFVDNKDGSYTTYYVAKNTGLYRICIQFEDAQLKPCPFEVHVVQDEDFSTVQNDIISVRENESVSFDVLSNDYIAGGQAVVNFYPPLHGSVLQYNQKKFRYTPFEGFFGNDTFWYIIFDKHDNIAYGTVFISVLCRPPQFISLPQQLHATEDTIAPQFGGFPGIKIAYSDAAENISVMLQAQSGNVSLAPMPMKFHQTSYDVLSISTGDRYGKDLIFNGTVEAINGALQFVKYIGNEDFYGNDIIKIYAMSRSGREDAQVPIFVEPINDPPVILAPESIFLGGKKSIEGYQIFDKQRDPFESSIVEPDLQSFPGNKSHLQLVLSLEVHEGALMVTLTAGIVATAEVKIEGNNCWQPLQTSSADRIVLRVAGIRFRGSVSDCNNAMQRLFYQGRSNETTLVIIVNDLGYFGCYPDCSMKSGTPLSTIKTIRLLIRKSVKSRDLLLRTALTIELSLGCVLLYYILKCICALKGKGKNHNKKTRKLKKTTSHQNVSTFLSETFTSSPGSAYPFFFILSIYKLSENLFCPCSAM >ORGLA09G0080200.1 pep chromosome:AGI1.1:9:11089494:11094620:1 gene:ORGLA09G0080200 transcript:ORGLA09G0080200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase [Source:Projected from Arabidopsis thaliana (AT2G23890) TAIR;Acc:AT2G23890] MAAARLRLLSAGLLSPAVSRARHSSSLQGLRALSTLSTTLGSGAGEDEIERIRREFEDAKRNYLSIPVAIKDMAKMNPQGIYVNKNVKLDDLQVYGFDYDYTLSHYSEHLQCLIYDLAKKHLVNELKYPESCLKYEYDHGFPIRGLYYDRLKGCLLKLDFFGSIEPDGCFFGRHKLSLSEIKELYGTRHIGRDQARQLVGLMDVFCFSEACLLADIVQHFVDARLEFDASYVYEDVNQSIQHVHRSGLIHRKILSEPQKYLIKNSQVFRFLKMLREKGKNLFLLTNSPFYFVDGGMSYLLEDEHFDGNSWRELFDVVIAQANKPTFYNSDHPFRVYDTQKDTLAFTAVDKFLPNEVYYHGCLKSFLQITKWRGPEVIYFGDHLLSDLRGPAKAGWRTAAVIRELEDEIEIQNGDSYRFQQAKLSIIHDLLGKVHATVVSTEKGQVYRALLDELNAERRQCRSAMRDLFNSSFGATFLTDTGRESSFAYHIHQYADIYTSKLENFLSYAPESWLHPPHDIKIMPHNAKVPASLFSGS >ORGLA09G0080100.1 pep chromosome:AGI1.1:9:11083943:11084458:-1 gene:ORGLA09G0080100 transcript:ORGLA09G0080100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVISNVSAPASGANFLAIVASGSTWLIDMVSGKRVDQDFDKNNSIQIMWHHRRPEFQQRHALI >ORGLA09G0080000.1 pep chromosome:AGI1.1:9:11076260:11076515:-1 gene:ORGLA09G0080000 transcript:ORGLA09G0080000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSSSMYEAPLGYKIEDVRPAGGIKKFQSAAYSNCARKPS >ORGLA09G0079900.1 pep chromosome:AGI1.1:9:11074915:11076093:-1 gene:ORGLA09G0079900 transcript:ORGLA09G0079900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme [Source:UniProtKB/TrEMBL;Acc:I1QP20] MSMSLADSWGSAPASPIGFEGYEKRLEITLSDAPVFVDPCGRGLRALSSEQIDSFLDLAKCTIVSHLSNKHFDSYVLSESSLFVYPHKVVLKTCGTTKLLLSIPRILELAAELSLPVLSVKYSHGMFIFPGAQPSPHRSFSEEVSVLNSFFGGLKSGGNAYVIGDAFKPKKKWHVYYATEEPEQPMVTLEMCMTGLDAKKAEVFFKDSTDGSCSSAKEMTMLSGISEIIPEMEICDFEFDPCGYSMNGIFGPAVSTIHVTPEEGFSYASYEAMNFNPSSLVYDDLIKKVLACFCPSDFSVAVTIFGGHGFAKSWAKGAEVDSYMCDDLVEQELPGGGVLMYQSFTAVTPGAVSPRSTLDGWNSDGAEMVAKSKEMSVCWEGEKAAKKKDADA >ORGLA09G0079800.1 pep chromosome:AGI1.1:9:11070705:11072996:1 gene:ORGLA09G0079800 transcript:ORGLA09G0079800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIVAAAEGGVRRRRRYALLLAARDSDYVRKVYGGYLEVFVRAFGDDGDVGDGGGEEWDMFRAVDGELPGADEVDGYDGFVISGSPHDAYADDLWILRLCLLVRDLVAMRKRLLGICFGHQVICRALGGRVGKARGGWDIGIREVAMAESLPPYRFLDDALQGITAAAAPYAKITEVHQDEVWELPAGAEVLASSSKTGVEMFCAGDRVLGIQGHPEYTADILLNLVDRLSSAGSITMAVAEGVRRQLEDTGPDREFWIKLCKSFLKTEEE >ORGLA09G0079700.1 pep chromosome:AGI1.1:9:11052635:11056849:1 gene:ORGLA09G0079700 transcript:ORGLA09G0079700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKNSTTTNTSSSGVAAAAAAAAVKPKRTRKSVPRESPSQRSSVYRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPDTILNFPLSAYEGELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGADGAGAAQNPHPMLGALSAQDLPAIDLDAMASSFQHDGHGAAAAAAQLIPARHSLGHTPTTSALSLLLQSPKFKEMIERTSAAETTTTSSTTTSSSSPSPPQATKDDGASPQCSFPEDIQTYFGCAAEDGAAGAGYADVDGLFFGDLAAYASPAFHFELDL >ORGLA09G0079600.1 pep chromosome:AGI1.1:9:11046280:11048114:1 gene:ORGLA09G0079600 transcript:ORGLA09G0079600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G24860) TAIR;Acc:AT2G24860] MPAMACGARAGAAFPSPRPARAPWPPGRFRALVPAPPALRLGLLRLPPPMASTIDSPGSSSDFAKRMELAWLISQQPRPIPCSSCQSAGHVECKWCTGTGFFILGNNMLCEVPSKNTKCVICSGKGFATCADCKGTGFRAKWLEDPPVDK >ORGLA09G0079500.1 pep chromosome:AGI1.1:9:11037369:11043554:-1 gene:ORGLA09G0079500 transcript:ORGLA09G0079500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:monogalactosyl diacylglycerol synthase 1 [Source:Projected from Arabidopsis thaliana (AT4G31780) TAIR;Acc:AT4G31780] MPAPTASSLAAAADPALPAAFLSLPSPLLPASPPLPAAPAPSSNAFCVPRGPARAVAVSVSVSAYGAGSTAAASRLHRMWAEFSRFVRLHGNQIAPLGFASLGLGVGGGGGGSGEGAGGGGGGGGGEVDGLVEEEGVARAEAPKKVLILMSDTGGGHRASAEAIKAAFIQEFGDDYQVFVTDLWTDHTPWPFNQLPRSYSFLVKHGPLWKMTYYGTAPRVVHQPHFAATSTFIAREVAKGLMKYQPDVIISVHPLMQHVPLRILRSKGLLDKIPFTTVVTDLSTCHPTWFHKLVTRCYCPSAEVSKRALKAGLQPSQIKVYGLPVRPSFVKPIRPKDELRRELGMDEYLPAVLLMGGGEGMGPIEATARALGDALYDEVLGEPTGQILVICGRNKKLTSRLQSINWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVDNGCGKFSKSPEQIAKIVADWFGPRSDELKMMSQNALKLARPDAVFKIVHDLHELVRQKCFVPQYACAS >ORGLA09G0079400.1 pep chromosome:AGI1.1:9:11032756:11034767:1 gene:ORGLA09G0079400 transcript:ORGLA09G0079400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGACALLLLLLVLGAGGGGGVHCLEVTRSRRALQRRHHLRSRAESGATILELRHHGGGGGGGSGKSGGRSREEELGGLFSSDAARVSSLQRRAGGGSWAEDKAAAAAATGRVPVTSGARLRTLNYVATVGLGGGEATVIVDTASELTWVQCAPCASCHDQQGPLFDPASSPSYAVLPCNSSSCDALQVATGSAAGACGGGEQPSCSYTLSYRDGSYSQGVLAHDKLSLAGEVIDGFVFGCGTSNQGPFGGTSGLMGLGRSQLSLISQTMDQFDGVFSYCLPLKESESSGSLVLGDDTSVYRNSTPIVYTTMVSDPVQGPFYFVNLTGITIGGQEVESSAGKVIVDSGTIITSLVPSVYNAVKAEFLSQFAEYPQAPGFSILDTCFNLTGFREVQIPSLKFVFEGNVEVEVDSSGVLYFVSSDSSQVCLALASLKSEYETSIIGNYQQKNLRVIFDTLGSQIGFAQETCDYI >ORGLA09G0079300.1 pep chromosome:AGI1.1:9:11021210:11026432:1 gene:ORGLA09G0079300 transcript:ORGLA09G0079300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G10820) TAIR;Acc:AT5G10820] MPEEQQEAEEGIAGGGGGWASTALQPVRWLRMLCRELGATFVAGVVLVYGLSQGFAGSFFRVASDYYWKDVQRVQPATVQLLSAVFFIPWVLKPLWGIMTDVFPVRGYRRRPYFLFAGVLGTASAAIVTMVNGLPMTSAILSFVGISTAVAIADVTIDACIAKNGIDKPSLVPDMQSLCAFSSSLGALIGYATSGMFVHHLGAQGALGVMALPPATLVFLGFFIYELKMYQHNVKEKVLNKVHMAVKGMAQTIKYPVVWKPSLYMFLSLALSISTHEGQFYWYTSKEPPNPGFSQEFVGMVHAIGAVASMVGVLVYHKYLKDYPFRSILFYAQLLYGVSGLLDLTFVLRWNLLLGVPDAAFVTLEECCARVVGRVRLMPMMVLSTKLCPPGAEGTFFALLMCIDSAGMLAAKAGGAAVLRALRVTRTDFARLWLAVLVRNLLRLSTLAAISLVPTADQTDVLLPRDLLAVSGDGSPPAAGDGDDEERLQLAKFADHVDDDDDDD >ORGLA09G0079200.1 pep chromosome:AGI1.1:9:11017146:11020034:1 gene:ORGLA09G0079200 transcript:ORGLA09G0079200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30825) TAIR;Acc:AT4G30825] MAALRICTPGGGAPEARRGSLAAAGSAVQHGPDLIGFSSWVLPISAGYAVDRRHAAAGGVAACHGLSCADSGRRKNHPRASLVNGVVSSLEDSSGGEPALCVSDSPEDASSSGKVLSDLRRDMVDGISGIPRISAGKKKGMKFRRRGQGGNRLTRRSAPRRASGKSGQDQRILLSEDDIAAILSSVTHESSIEECNSVLIRLEKHSDKTALGFFEWMKANGKLKGNAEAYHLALQAIAWKEDWEAAGQLLHEMVADSGCALDAQAFNGLIYVCAKRRLVDWGTKWFHMMLERDVQPNVSTVGMLMGLYQRIGNLPEAEFTFAKMRKCGIKCVNAYSAMVTLYARLGHFAKSEEVITLMNNDEVVPNMENWLVRLNAYCQQGKMEEAELVLKSLVDEGIALNVVAYNTVITGYGKVSDMQKAMEVFDRLKSAGLAPDETTYRSMIEGFGRADKYKQAILYYRKLRNSGFKPNASNFYTMINLLARHDDSEGATEILEDMRAAGCQCSSIVTVLVRAYGSVGRMHKVLQILKACFYKKILFDATSCSILVTGFVQNSLVEEAMRVLREKKWKDSDFEDNLYHILICSCKEAGCCDDAVRIYNQMPKSATHPNLRICCSMIDVFSIMERFTDAEALYLELKASSCVLDMIAYSVIVRMYTKAGRPEDACLVLEDMEKQKEIVPDKYLFLDMLRTYQKCGLLEKLSDTYYWILKSQVELDEAMYNCIINCCGRAIPVDELSRIFDEMIQQGHLANTVTLNVLLDIYGKAGLFNKAEKVFLMARKQGMADIISYNTIIAAHAKNGDFRSMIYFVQRMQEAGFPVSLEAYNCMLDAYGKAGQLEEFAAVLQKMERAGCEFDHYTYNIMINIYGRKGWIEGVANVLAELKSRGGEPDLYSYNTLIKAYGIAGMPEDAVKLMQEMRIKGIAADRVTYTNLIAALQRNENFLEAVKWSLWMKQTGVAATRT >ORGLA09G0079100.1 pep chromosome:AGI1.1:9:11008611:11011960:1 gene:ORGLA09G0079100 transcript:ORGLA09G0079100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G24130) TAIR;Acc:AT2G24130] MALGERTRARAPPMVVRRTAVLLLLHLVLVIAAAAAAVDGRRPAEAIVGGWRRRRRLLMQEKATLLALKRGFTLLSPKLLADWNDSNTDVCGFTGVACDRRRQHVVGLQLSNMSINGSIPPALAQLPHLRYLDLSDNHISGAVPSFLSNLTQLLMLDMSENQLSGAIPLSFSNLTQLRKLDISKNQLSGAIPPSFGNLTNLEILDMSINVLTGRIPEELSNIGKLKGLNLGQNNLVGSIPASFTQLKNLFYLSLEKNSLSGSIPATIFTNCTQMGVFDLGDNNITGEIPGDASDSLSDSFAVLNLYSNSLTGRLPRWLANCTFLYLLDVENNSLADDLPTAIISGLRNLRYLHLSNNVHFASGDGNTNLGPFFAAVSNCTSILEIEAGALGIGGRLPSLLGSLLPPNMSHLNLELNAIEGPIPADIGDVINITLMNLSSNLLNGTIPTSICWLPNLQQLDLSRNSLTGPVPACISNATSLGELDLSSNALSGSIPSSIGSLKLSYLSLHRNQLSGEIPASLGQHLGIVRLDLSSNRLTGEIPDAVAGIVQMSLNLSRNLLGGRLPRGLSRLQMAEVIDLSWNNLTGAIFPELGACAELQVLDLSHNLLTGVLPSSLDGLESIERLDVSDNSLTGEIPQSLTKCTTLTYLNLSYNDLAGVVPTASVFANFTSTSYLGNPRLCGAVLGRRCGRRHRWYQSRKFLVVMCICAAVLAFMLTILCAVSIRKIRERLAAVREEFRRGGRRGGGGSSPVMKYKFPRITYRELVEATEEFSPDRLVGTGSYGRVYRGTLRDGTMVAVKVLQLQSGNSTKSFNRECQVLKRIRHRNLMRIVTACSLPDFKALVLPFMANGSLERCLYAGPPAGELSLVQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLINDDMTALVSDFGISRLVMSVGGVANAADVGASTANMLCGSIGYIPPEYGYGSNPTTKGDVYSFGVLVLEMVTRKKPIDDMFDAGLSLHKWVKNHYHGRADAVVDPALARMVRDQTPEVRRMSDVAIGELLELGILCTQESAAVRPTMMDAADDLDRLKRYIGGETTATFASSLGFSSSTFEDLDD >ORGLA09G0079000.1 pep chromosome:AGI1.1:9:10981107:10982240:-1 gene:ORGLA09G0079000 transcript:ORGLA09G0079000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKSDVKKTGILNETLRPPLVPSEKHNASPVNRGRDVASRYKNGLSAHSAATTARRCTSPSPGRTSANECTPEPKRAQSADRRRPSTPSRVSTPSTPASRSVTPVRNTVTEGHKSSRRITSTRNTDGLWPAMRNLSSSFQSESVVTPGNKKDKVVSSGSLDQTKGQASVIAERKRSPLRRKNIGEQCENAQPSEDQPRRVIEQHRWPAMQSGCVASNILSRSIDMSDKAGRSVPSTNISRGVSPRKTLASEGTGKGFNKSLDEVARRLAIHAGGRDDKVDSRCHAYSQSTERCKSVSRPSRAVTLPVPVLHRSSSPSKASSVTSSISRSFQSPSRTRPSTPSRSQSAGSIQSGVASPIISYMVDAKKRKEELQPN >ORGLA09G0078900.1 pep chromosome:AGI1.1:9:10972443:10976555:1 gene:ORGLA09G0078900 transcript:ORGLA09G0078900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G17420) TAIR;Acc:AT5G17420] MEASAGLVAGSHNRNELVLIRGHEEPKPLRALSGQVCEICGDEVGRTVDGDLFVACNECGFPVCRPCYEYERREGTQNCPQCKTRYKRLKGSPRVPGDEDEEDIDDLEHEFNIDDEKQKQLQQDQDGMQNSHITEAMLHGKMSYGRGPDDGDGNSTPLPPIITGARSVPVSGEFPISNSHGHGEFSSSLHKRIHPYPVSEPGSAKWDEKKEVSWKERMDDWKSKQGIVAGGAPDPDDYDADVPLNDEARQPLSRKVSIASSKVNPYRMVIILRLVVLGFFLRYRILHPVPDAIPLWLTSIICEIWFAVSWILDQFPKWYPIDRETYLDRLSLRYEREGEPSLLSAVDLFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGASMLTFESLSETAEFARKWVPFCKKFSIEPRAPEFYFSQKVDYLKDKVHPNFVQERRAMKREYEEFKVRINALVAKAQKVPAEGWIMKDGTPWPGNNTRDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAIREAMCFLMDPQVGRKVCYVQFPQRFDGIDVHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVTCDCCPCFGRKKRKHGKDGLPEAVAADGGMDSDKEMLMSQMNFEKRFGQSAAFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTDWGLELGWIYGSITEDILTGFKMHCRGWRSVYCMPKRAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLLYGYKNGNLKWLERFSYINTTIYPFTSLPLLAYCTLPAVCLLTGKFIMPPISTFASLFFIALFISIFATGILEMRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATGDEDDEFAELYAFKWTTLLIPPTTLLILNIIGVVAGVSDAINNGSEAWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFTIKARGPDVRQCGINC >ORGLA09G0078800.1 pep chromosome:AGI1.1:9:10945999:10949921:-1 gene:ORGLA09G0078800 transcript:ORGLA09G0078800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVVETTLVAPSEATPQHALWLSNLDLAVPKTHTPLVYYYPAPSPPPADAGAEAEAEGFFAPERLREALARALVPFYPLAGRLAAGPGGRLEIDCNGEGALFVVARADFTGDEMFTDFEPSPEARRLLVPFAASGEPPCVLAMVQVTFLKCGGVAVGTGMHHVTMDGAGAFQFIRTWTGLSRGLDAAAASPSPPSHDRTLLRARSPPHVPFEHPVYSPSYLNGLPRPFVTRVYSVPPKLLADIKAACAPGVSTYGAVTAHLWRAMCVARGLPHDAESRLRVPANIRQRVRPPLPSPYFGNAIVRDLVTVPVRDILSQPLGFVAERIKHAVARVDDAFVRSVIDFLELESEKGNQAARGQFMPETDLWVVSWLGMPIYDADFGWGRPAFVAPAQMFGSGTAYVTQAPDKDDGSGGGVSVLFALEPEYIQCFEKAFYGTE >ORGLA09G0078700.1 pep chromosome:AGI1.1:9:10938866:10940730:-1 gene:ORGLA09G0078700 transcript:ORGLA09G0078700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCQLVETERAMAARGHPATPPLPWPQGDLLPAREYAWRSTPWEEQANANAHAHGALSAPRSGDEEITPWWRRSPSAVTPPVYPHVERSPSPPIARGWPADDDERQERGEPSGSPAMAPPVRHAPHVEQSTPVPIKSPAAEAVCMPSGSPAMAPPVQPAPHVEQSTPLPAKEPAAVAKVEADAIVQTAANADADQALLGKGATPGGQGCIGQKGEEGDFAIDGHGRQLLGEMNVSKSTEQPKPTESISGDHTDELVQKRYQDNKPADQEIATLDKQKRVGSNDELTPERRSSGVKRQLASGTSLAKKPRSQGSSITCSLCKVTMTSPRALVEHRASLLHRSNLAPLRSGNKATTEAAQPAEKKTEKPEASEWNSSAHHHQNRMYYCDICEVRCSSEKMMASHLAGKRHRERHNSIFM >ORGLA09G0078600.1 pep chromosome:AGI1.1:9:10934329:10936110:-1 gene:ORGLA09G0078600 transcript:ORGLA09G0078600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFADAPSHGDSLVVVRDALLSQLQQDRLRKDIIVAELAKIERAMALRDVSQSPTPRHAAAAAAGKTITTVATPAKKPSPSEKSEPAVQKSMPPSAWSCAVCQVRTTSERNLRDHCGGQKHQSKVAALEKTTKAMARTTAKPSPGAAARWGCSICNISCNGEWDFDTHLKGKKHQANTQALLEQSKKSSVNPESQGTKAAAATLICRVCQAKFTCLSDLQSHLKVMKHQLNLRAPSSDGSSFTSATSESLSLELYSCKVCSVKCTGERMLAYHLTGKKHLKQENLQLSCEICKLQCNSEKVLSDHRYGKKHQAKLEKVLQAKLNATE >ORGLA09G0078500.1 pep chromosome:AGI1.1:9:10929270:10930629:-1 gene:ORGLA09G0078500 transcript:ORGLA09G0078500.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAPWLCAMPRRRRHRRPGTPLERLRQVSILLRKLAPCKADTCLLGQYFANFLFSCKTWSCAVCEVQTSSERNLRDHYGGQKHQSKVAGLELKAKTATVKTTAKPSPVAGQRAHAARWSCSVCQVHCNGEWHFDTHLKGKRHQANTQALLEQSNKNSGNSESHDGTKAQPSNVSHHAEKKKRKKKKEEEEEATWICRACQAVCTCESDLQNHLRGRRHQLKVQALPEAAKQEKNNPPKLAKNPNKQPSEWVCSLCQAKCNSESQLEHHRRSTRHQQKVESLGWNAKESDLGTLQGMSSDGSSSKSVKISATMDKQKATYFCEVCSLKCTSQRMLADHLSGKKHIKQLELQLFS >ORGLA09G0078400.1 pep chromosome:AGI1.1:9:10927419:10928647:1 gene:ORGLA09G0078400 transcript:ORGLA09G0078400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGDGEVRLVRRKGKKRLAPPPPPPPAAERGERDRLDELRRDYRDVLKDNEMNRRKLESINKRKLVLLSEVKFLQKKLNSFKKNDSQQVRLRKKAPRVPSHVGINDASAFYGASTEVPSTSKRTDLDLNQDSAMNDELSDFPGHHNHLELKKAEQAGVDEDIMTADVNLSACRDTGNSPASDDKRSVSWQDRVALKV >ORGLA09G0078300.1 pep chromosome:AGI1.1:9:10916629:10920101:-1 gene:ORGLA09G0078300 transcript:ORGLA09G0078300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:I1QP04] MGSRGAAADHLHGVLELTVYEADDLHNAIHGRIIKAAESLKESLGVHRLAHRIYVDVDVGAARVARTREVEFHPTNPVWNQSFRLHCAYPAAPVAFTVKSQHLVGAGVLGAARVPAARVATGEPVEGWLDLRGGEHGHATHTPKLRVRLRFLGVESDPWWDAGLRLPGFAGVTPAFFPERSGCRVTLYQNSHLSGGFDPGVRLAGGGAYRPARLWEDMYVAIRDARRFVYVAGWSVNAEITLVRDASRMVPGAEGVTLGELLRRKADEGVAVLVMPWQDKTSVSFLGNGGLMRTHDEETRRFFEGTNVRCFLCPRNADASLTMVQSIEVAAEFTHHQKTVTLDAAAASPGDADGSRRHIISFIGGIDLCDGRYDDENHTLFRDLDTTYRHDFMQNNFKHAGLRRGGPREPWHDVHCRLEGRAAWDVLANFEQRWRKQAPPEMAGCLLDLSQAELPDPGSFGDDEPWNVQVFRSIDDASVVGFPAEPVAAAAMGLTNGKDVTIDRSIQAGYVEAIRRARRFIYVENQYFLGGCASWAEDRDAGCLNLVPVEIALKVAAKIRRGERFAAYVVTPMWPEGEPAGDSVQAILRWNRLTVEMMYGIVTKAIDDAGLRGQAHPCDYLNFFCLGNREAPRPGEYSPPETPDVDTDYWRAQVNRRFPIYVHAKLMIVDDEYVMVGSANLNERSLAGNRDSEIAQGSYQPAHLNGGPSGRARGLVHAFRMSLWHEHLMGHAGGGGSVFLEPESAECVRAVRRAAEATWDAYTRDTMEDLPGHLLPFPITVSEFGEVADLTADGCFPDTTAPVKGRRSLKLPAILTT >ORGLA09G0078200.1 pep chromosome:AGI1.1:9:10902438:10915326:-1 gene:ORGLA09G0078200 transcript:ORGLA09G0078200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10180) TAIR;Acc:AT3G10180] MERIHVAVRARPLTAEDAGSSPWRVSGNAIALSTQPSIRFEFDRIFGEECRTADVYGARTKHIVDSAVRGFNGTVFAYGQTNSGKTYTMRGSGNEPGIIPLAVHDLFRTIEEHLDREFLLRMSYMEIYNEEINDLLVPEHRKLQIHESIERGIYVAGLREEIVTCPEQVLEFMSFGESHRHIGETNMNVYSSRSHTIFRMVIESREKVDESEAGESCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRSELEKERISLELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRTTVSSKNKRRLTWCPGLLSRQFDGQVLESVQEDPPSSTVRHGRNMEMPLHFEELIQESCESSIKHYTDAYSSGSLSCEDDSLPDSHALLHVTSRRKPNTMKKSDQEQLMGLASERIIPQELNDWKYTTQSQENIKACVNGLSARESEAILVIKQLEDQIKLLELEKSSFQNNLDDVLELATQQKASFHEKYEELQQNALVAQEQAKIANEKLSKQVLSYSLPVIAILLSSQMAYFGHLICFLFXFRSRLINMNDSWIKHLYESQEAAYEFLTGIFVETESIAVQMDQSTRSVDNALSFIEELFQNLFMMAKNFTEAKQFVCGDITQFSSVIRDYENISNCLREKLSKLEMEKKILDEQSLDQKDELQRLKSSLESCEKAMEDCNIQNELEKDSILSELLTLQKEVVYLSSSSLMKEKESIRKELDRTKTKLKETENKLKNSIQEKIKLESEKAEAQREIKKLQSQRTLLERDLRKRDSFTVDKRHEQNVKSKELAGIYDQAVQIQEDYGKLEMHAFDMEAEIASLQEALVTTIAEKEEALSRVELLTSAVEDLESRLNSAESETSSLLEETAVLTRKLDASESISKKLEASISSLSREKEDMGIELTDVLLEMESERSTWTAKEKAYLEAKQKLNICNENNCKLSEDLIKVRQELVCCREQYSILEAKMIFSKNDTNEEKYCRETFEESERLLKKERNIDTGVNENELHQQLLSITEERDKLLSEIKYMNSVINESEVLKENHSKKLIQAKATIDELSSRISIVEAKMKNDASAYNKENTKLRMQIRWMQPELDAHRGRLKEAINEMKLMDTKYLEASTKLKKDLSFYCREVLRLKEQLKESQVKAT >ORGLA09G0078100.1 pep chromosome:AGI1.1:9:10898112:10901569:-1 gene:ORGLA09G0078100 transcript:ORGLA09G0078100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGGSGGGGARDMDALQGVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLSGMLASLATTGFQASNLGDAVDVVNQMLEWRLSHEKPREDCDEPELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGDFSLPGTLLRSKGLNRIGNLLVPNDNYCKFENWIMPIFYQMLQEQSRENVWTPSKVIGRLGKEINDESSYLYWAYKNNIPVYCPALTDGSLGDMLFCHAVRNPGLIIDIVQDIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADFVVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLLVAATFARKLHDTKQTY >ORGLA09G0078000.1 pep chromosome:AGI1.1:9:10872761:10877759:-1 gene:ORGLA09G0078000 transcript:ORGLA09G0078000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQSDGADVRYVISDLATDVIVHVSEVKFYLHKFPLLSKSSKLQRLVIKATEEGTDEVHIDGFPGGVTAFEICAKFCYGMVVTLSPHNVVAARCAAEYLEMTEDVDKGNLIFKIDVFINSSILRSWKDSIIVLQSTKALLPWSEELKVIGRCIDAIASKTSVDPANVTWSYSHSRKGMSCTEIVESTGRTSIAPKDWWVEDLCELDVDLYKRVMVAVKSKGRMSPELIGEALKAYAVRWLPDSYDALVAEDYMRRNQCLVETIIWLLPSDKTSGCSCRFLLKLLKVAILVGAGQHVKEELMRRISFQLHKASVKDLLLPAASPSDGAHDVKLVHNLVQRFVARTAMSHNGGFVEKSDDKMIELNFEQESTLALGELVDGYLSEVASDPDLSLSTFVELATAVPEAARPVHDSLYSAVDAYLKEHPNISKADKKKICGLIDVKKLSTDASMHATQNDRLPLRLVVQVLFFQQLRAGSSNALALTDGGGHTCAKPIMKDQSDICERRIPRHPNSLNKQATSLSAREVEHRKSEHRGGGRNSFKDQLGGFLLQSRSRRIFDKIWSSKGQGENGKGSETSGSSQSPPLSAKPADVKPSPLPPLRNRRYSVS >ORGLA09G0077900.1 pep chromosome:AGI1.1:9:10870348:10871988:1 gene:ORGLA09G0077900 transcript:ORGLA09G0077900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGY >ORGLA09G0077800.1 pep chromosome:AGI1.1:9:10867802:10868023:1 gene:ORGLA09G0077800 transcript:ORGLA09G0077800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTASGATEASDSTEKTEQAPPADTKPKKKICCACPDTKKLRDECIVQHGEDACGKWIEAHRQCLRAEGFNV >ORGLA09G0077700.1 pep chromosome:AGI1.1:9:10863490:10866224:-1 gene:ORGLA09G0077700 transcript:ORGLA09G0077700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAAVEAEMDAIIAALSVPVGPGITGGLVDAVGFPRSDIDIPAVLAQRRKLAELRNDHKDITNKIEKNLEVLHSTKLSRNEASIPASSGTPASLHSGLSQNDPMEEDAVTRLPFAIIDELTDGSPAAVDGLQLWDEIVKFGNVEAGDRLQERLVSEALSNEDCQVSLVIIRQGSSMNLTVTPRKWHGRGLLGCHFRIL >ORGLA09G0077600.1 pep chromosome:AGI1.1:9:10853762:10857481:-1 gene:ORGLA09G0077600 transcript:ORGLA09G0077600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVASSRVAAGAGAARRSSPSPGPRPAAGSSVVRDKDATTMMRRRLYQQQHPPSSSGAREPGVPSMLLRRAAGGGGGPRSLNVSCASEASNDSFCSRASTGRIGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDLGGSSLFSFLCSWLCSSTDPCYAAFHDHEWGVPVHDDKKLFEMLVLSGALAEMTWPAILSKRETFKEVFMDFDPLLVAKLSERKILGPCSPARSLLSEHRLRIIIENAQEVLKVIEEFGSFDNYCWGFLNSKPMVGRFRHPREVPMKTPKADAMSQDLLRRGFLGVGPTVIYAFMQAVGMANDHLVTCYRFGECCSCSSSTEAPAAAAMDGADNHSKSMVKDQEVNMICGLVECVSLEPSRARATTVLSIS >ORGLA09G0077500.1 pep chromosome:AGI1.1:9:10848521:10848805:1 gene:ORGLA09G0077500 transcript:ORGLA09G0077500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAHASSTAKHDAAAGALLRELVRNVVAEELGLSGGGAGGAGGRGNVGDACPAACQNCLILCAIKCVLKPTPVACYADCISKDACFNAGEVAES >ORGLA09G0077400.1 pep chromosome:AGI1.1:9:10845146:10845976:1 gene:ORGLA09G0077400 transcript:ORGLA09G0077400.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAAAGGAHLIYVSSDDEEDETRVLLAESYSAEEIQIQQAILLSLDPSSDADAAHSSASSSRPSGAASTSDEPSSLPDRKGKRKLSSEEDGPIESTRKKRRKRGRFKCSVCMEKVQVSEQFTVSFCAHAFCNSCIGRYVAAKISENVAVIGCPDPGCEEGFVEMDTCRDIIPPELFDRWSVSLCELALGEKKYYCPFKDCSALLINDNDGAEKKIRETECPHATGCFVQGVVCLGMMGSNVRSSGS >ORGLA09G0077300.1 pep chromosome:AGI1.1:9:10836260:10836588:-1 gene:ORGLA09G0077300 transcript:ORGLA09G0077300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNEPTNVNENERTNVNENKGNERERERCRTNVNEPTNVNVKCNYRQVNERERTNVEKTLSESSLKPEW >ORGLA09G0077200.1 pep chromosome:AGI1.1:9:10828946:10830429:1 gene:ORGLA09G0077200 transcript:ORGLA09G0077200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAADAAAAAGGADVIYISSDDEDEEIRILSADPYSPEEIQIQEVILLSLDYSRAAAADADTAQSSASSSRPSAAASTFGEPSSLPDRKGKSKLLSEDGPSESTTTRRWRKRGFTCIICMDKVQASEEFLVSVCSHAFCKSCIGGYVAAKVSDNVAAIGCPDPGCEEGSVEIGQCRDIVPPELFGRWSVSLWESSMGETTKCYCPFKDCSAMLINDNGDGGDAEEIAETECPHCHRMFCASCRVPWHDGIDCKEFRKLGNDEKGKEDLMLKKLAGKKKWQRCPQCRMYVEKSAGCTFMRCRCGFFFCYNCAAPMTKLVHYCKKCNR >ORGLA09G0077100.1 pep chromosome:AGI1.1:9:10811834:10815126:1 gene:ORGLA09G0077100 transcript:ORGLA09G0077100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDDALAEELQLQEAILFSAFQEMIIQDTDDDDSIGNLILIGQDQGQESKKPFSVADHGESSSPSPLTMTTTTGGGGAGEFYCSICMETVPGALKFSVSPCLHAFCVCCIGQYVAAKIGENTADVRCPDPGCGGGVEPESCRGVVPSEVLDRWGLLLCEAAIVARRLHCPFRDCSEPLLADADGEGGGVAEAECPSCHRLFCARCMVPWHDGVGCEEFQELGEDERGREDVMVRRLAGRERWQRCPQCRMYVEKSEGCMFMKCRSXFXWFDLCLXMWILLLLRLRIPNVKGAPLLQEMQALISLARFFFSFNLMNAYMSDIWNHAFTITFSIFFSPVSVTYPFISSSFAFLLLPIGKLQEAGSDVHRGGVAWGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRSWHGRCRXSPPKHFTXIWPPVIXDLWNGCXSAQPDPPIVGHPGHIGSAPPQSQPEPQLXILGLHNLKDXSDGXGPSPPFKSCSPSSITDVRLNPTISPVIYRAQQSLPSHIGNGGLVWSRLVGLRWWARKKKEKVIWFFFLVGPTAHNHKDQSLKXAWVHXLMSEIGSQDESVKTNTDYIEKGEYSGGDRPVRSRVGKMAPTGMKFDLVKFDGSGNFGLWQTKVKDLLAQQGVSKALKGEKPAKMEDDDWEMQLQAAATIRLCLSDQEGADLTAHVNVFNQLVTDFMKMDVEVDDEDKAIVLLCSLPESYEHVVTTLTYGKETIKTKDITSALLARDQMRKNKEGETSQAEGLLVKEDHVGHKLGDDTSYPAVGVREVNIKLEDGGEHVLQGVRHVPGLKRNLISLEILHEEGLIFRGNRNRKTMEIMKGKTTVMTGEKVESHLYKLRGCTVAGGV >ORGLA09G0077000.1 pep chromosome:AGI1.1:9:10808363:10810166:1 gene:ORGLA09G0077000 transcript:ORGLA09G0077000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPMSRPATADGSARGGGLALAIADELPQEAVAKPALMSQVMAGNSSMVAKMDEVSRVADDLMFAEDLQLEEVIRFSAHSAGPNCAVCGQATPSVDASWKPDNCDHVMCITCFVQLASDSHADELPKCPLASCQSSPDIISVSNEETGGGKGKELATYVVLEEHGECSRGAAATASSSASSEFYCTICMETVDAIERFAIPGCTHAFCASCVRQYIAAKVEENVLSIGCPDPGCKDSGGGALHPEACRDVIPPQLFQRWGDALCDSALSSLKFYCPFSDCSALLVDDPGDGEEAITDAECPHCSRMFCAQCKVPWHGGATCAEFQKLGKDERGRDDLLLRKVAKDSKWQRCPKCKMYVERVEGCVFIICRCGHCFCYLCASPMSRDNHHCKKCKRTW >ORGLA09G0076900.1 pep chromosome:AGI1.1:9:10800141:10805594:1 gene:ORGLA09G0076900 transcript:ORGLA09G0076900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEEQVAMFLHTIGHNVRNRVVATNFYRSGETVSRYFNLVLHAVGELRKELIRPPSITTPSKILGNPRWDPYFKDCIGAIDGTHVRVSVTKDMEPSFRGRKDHATQNVMAAIDFDLKFTFVLAGWEGTAHDAVVLRDAIEHTDGLRVPQGNNWIIEDGGDDFIISEEEWIANYNYATSRSGQASEHAYMAMEGSGQSDKGRHVTWTSSMSTYMLEYLEGIVASGNKTSSGFKQVHLKACAKALNDHFNINLTSDQITNHIRTWKRKYSKIADLRKLSAALWDDDNFIISLDHKHYADHIKDHKADAEYLNKPIHNYSKMLVIFGNSLATGKYAKGSGDPLATESIPIDDDEEEEIGIGSAAATGSASRVHALNEENGASSSAPKPKKAKTAAAIEEEGLIGAFKSVGDKLAGAIVEVGKEATKSNNELPDNLYESVHSIPGFEDAHLAHYHAHLVDNPPTARVFVTLAFAHKVTWVARYIATTFNG >ORGLA09G0076800.1 pep chromosome:AGI1.1:9:10788620:10791464:-1 gene:ORGLA09G0076800 transcript:ORGLA09G0076800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVVADDAAAAAAAAQQQEELPPGHGQTVCVTGAAGYIASWLVKLLLERGYTVKGTVRNPDDPKNAHLKALDGADERLVLCKADLLDYDSIHAALDGCHGVFHTASPVTDDPEQMVEPAVRGTEYVIKAAAEAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLEFCKKTKNWYCYGKAVAEQEACKAAEERGVDLVVVNPVLVVGPLLQPTVNASAVHILKYLDGSAKKYANAVQAYVDVRDVADAHVRVFEAPEASGRYLCAERVLHREDVVHILGKLFPEYPVPTRCSDEENPRKQPYKMSNKKLQDLGLHFIPVSDSLYETVKSLQEKGHLPVLSKEIPEELNGVPA >ORGLA09G0076700.1 pep chromosome:AGI1.1:9:10750993:10752207:1 gene:ORGLA09G0076700 transcript:ORGLA09G0076700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QNY8] MEWKGVLEGRYEMGRVLGHGNFGRVHAARDVRTGRAVAMKVVSKDKVERAGMAEQIKREIAVMKMVSHPSVVELHEVMATRTKVYLALELVRGGELFDRIARHGRVGEGVARRYFRQLVSAVDFCHGRGVYHRDLKPENLLLDEAGNLKVADFGLSALACHARPDGLLHTACGTPAYVAPEVLAGNGYDGAKADLWSCGVILYVLLAGALPFQDDNLVCMYRKMRRGDFCCPPWVTTDARKLIKTLLDPNPDTRVTVAGLLETPWFRKTAPVPRPIIADPAPAPVDPRGNAGDDKDEPPEVLNAFHLISLSEGFDLSPLFEHDPAASPGRATARAGGTRFATREAASGVVARLEALAMGGARVAPSLLMVDVNKDGGDAMEYRPFFSEELRPALKDIVWSPAAT >ORGLA09G0076600.1 pep chromosome:AGI1.1:9:10734359:10735729:-1 gene:ORGLA09G0076600 transcript:ORGLA09G0076600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QNY7] MARRAREEEADQVERKLVLGRYELGRLLGQGTFAKVYYGRDLRSGESVAIKVIDKARLRRTEGMVEQLRREISIMRMVRHPNVVGIREVLASRARVFVVMEYARGGELFAKVARGRLTEEHARRYFQQLVAAVGFCHGRGVAHRDLKPENLLLDEEGRLKVTDFGLAALPEQLRQDGLLHTQCGTPAYVAPEVLRKRGYDGARADLWSCGVVLYVLLCGFLPFQHENYAKMYQKIFKAEYQVPPWVSGDARRLIARLLVVDPAKRISIPEIMRTPWFKKGFVPPVPTSPVSPKKWEEDDVLLDGGDSGAMSPRTCNAFQLISSMSSGFDLSGMFESEQKAATVFTSRAPAATVIQKLEAVGRSLGYSATRGKGWKLRLEATADGANGRLAVTVEALEVAADVAVVEFAHDAGDELEFNKFCAVDVRPGLADIVWAWQGDGPAAPDVAAATVECSPA >ORGLA09G0076500.1 pep chromosome:AGI1.1:9:10716759:10718469:-1 gene:ORGLA09G0076500 transcript:ORGLA09G0076500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGDGSSSPTDDSAAAGLLPLFSRSPADDLEEKLRRAMEENARLTRALDAILAGHHAHQRALLAPSLSPPPPSATARAPSVSTSFAAREDAAPAVAAAAASTACPSRQQPPTAEPRPKVRTVRVRADAADATDSNSMAETVKDGYQWRKYGQKVTRDNPYPRAYFRCAFAPSCPVKKKLQRCAEDRSMLVATYEGEHNHALSTQTTEFVASGCTTSQHAGGSSSSPLPCSISINSSGRTITLDLTNQAGSGSIASCGVEAAAVSGELVTVLSPELRRHLVEEVVQVLKNDAEFVEAVTNAVAARVVDQIPHIPVHL >ORGLA09G0076400.1 pep chromosome:AGI1.1:9:10699959:10701053:-1 gene:ORGLA09G0076400 transcript:ORGLA09G0076400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAWRGGVGCSPVCLDLCVGLSPVREPSAARHELLDRPAGCRGGGDSKSMTNDEAMILEAKVTQMSEENRRLTEVIARLYGGQIARLGLDGSASPPRPVSPLSGKKRSRESMETANSCDANSNRHQGGDADHAESFAADDGTCRRIKVSRVCRRIDPSDTSLVVKDGYQWRKYGQKVTRDNPSPRAYFRCAFAPSCPVKKKVQRSAEDSSLLVATYEGEHNHPHPSPRAGELPAAAGGAGGSLPCSISINSSGPTITLDLTKNGGAVQVVEAAHPPPPPDLKEVCREVASPEFRTALVEQMASALTSDPKFTGALAAAILQKLPEF >ORGLA09G0076300.1 pep chromosome:AGI1.1:9:10694899:10696755:1 gene:ORGLA09G0076300 transcript:ORGLA09G0076300.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRPPRRSATSSPSAAAPSPRASSSSPAASPPPHPPRRCRPPPRPPPSSSAARLAAAVHGSAASGDFAHAIRLTKHLVRASSSPSHRPGAAGAAAAAVLASTSASPAPALGVLVIALSQMALPDEALSVFGRLRELPALPACNAILGGLVKAHMLARVWELFDEMLGRGMVPSVVTYNTLINACRHQGDVAKAWEVWDQMVARRIDPNVVTYTTMICALCEEDCIGDAEGLFLEMKEAGMRPNLYTYNALMSSHFKRDNIKHALVFYYDLLKCGLVPNDVIFTTLIDGLCQANRITEAKNIFLDMPRYEVAPTVPVYNSLIHGAFRSGYAQEALAFFQEIIRKGLRPDEFTCSIVVRGLCDGGQMQVATRFLEVMQQSGIALNAAAYNVLIDEYCKSGNLDEALVTCTRMSEVGVEPNVVTYSSLIDGHSKNGEMEIAMAIYTEMVAKGVEPNVVTYTALIHGHAKNGDMDAAFWLQKEMEEKGIYSNAITVSVLVDGLCRENRVQDAVRFIMEYSGQKKSEKNPSIANSVTYMTLIYGLYIDGQYNEACHFFSCMRDSGMVPDRFTYTLVIRGLCMLGYVLNAMMLYADMVKVGVKPTKCAMVCPDIWSREXVDHRMT >ORGLA09G0076200.1 pep chromosome:AGI1.1:9:10683294:10686380:-1 gene:ORGLA09G0076200 transcript:ORGLA09G0076200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMAAAAAAGQISLDDLRNGGGVAANAAGGGGVHDDFLDQMLSSLPPSAWPDLAAGKAAEDDAEGMHHHHHQQQQQFGGPYDESAMLASRLRQHQISGGGGGGGGGAAAVKQMVLQQLADLRQGHHMMLQGLGGRSPAGGGGGGGDGGLLLPLTLGSGGSGGDVQALLKAAAANSAGGGDAGGVYGGGFAGSLHQQQQHFQPHPQLQTAPTIPTQSFGGGGGGGGGGTASGGGAAQPQAGAAGGGAPAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKLMQTDKASMLDEIIDYVKFLQLQVKASTYTKLLIHVLSMSRLGGAAAVAPLVADMSSEGRGGGAANGGAPAAAAAAAGSDSLTVTEQQVAKLMEEDMGTAMQYLQGKGLCLMPISLASAISSATCHLRPPVVAAAAAQQFPAGLGAAAAAAHHHQLSAAAAAAMRGHLPGLNADGSVPASPSMSVLTAQSAMANGGGGAADGEGSQLKDAASVSKP >ORGLA09G0076100.1 pep chromosome:AGI1.1:9:10677636:10681337:1 gene:ORGLA09G0076100 transcript:ORGLA09G0076100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGSLANPAIVRFLLAPGTWDLWKGRRGWGMPSVLGIMAVSPPAKGGGIDWSGGVEGGSKVDDKNNESERKEDEKTDLGKKKLIWVVLIK >ORGLA09G0076000.1 pep chromosome:AGI1.1:9:10658682:10660250:1 gene:ORGLA09G0076000 transcript:ORGLA09G0076000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARCAHADVGGGFRLWPIFSAAALRRKLLEVLTCGGGGGGGAGGGSCRSKNGYRSPQPRPRPRSDRLAELLRAEPSECGDEADDADAAVKKVEALEKLKVVVGALQACDGDNAGVGGGGDMCRVEAATVVRRKAKDDAGAREMLAMLGAIPPLVAMLDESDGGGGGEEMVAAALYALLNLGIGNDTNKAAIVQAGAVHKMLRIAEGASGDLTEALVANFLCLSALDANKPIIGASGAAPFLVRAFEAAPTTEQARHDALRALLNLSIAPANAPHLLSAGLAPSLVAAVGDAPAAADRALAALCNLVAACPEGRRAVSRAPDAVPAFVDVLNWSDEPGCQEKAAYILMVLAHRSYADRAAMAEAGATSALLELTLVGTALAQKRASRILEILRADKGKQVADAAGIVATMSAPQERGGGGGARQEEADEAGMSNEKRAVRQLVQQSLQSNMRRIVRRARLPQDLAPPSSENLKALTASSTSKSLPF >ORGLA09G0075900.1 pep chromosome:AGI1.1:9:10649111:10649953:1 gene:ORGLA09G0075900 transcript:ORGLA09G0075900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAEKPDGVEIREVWEDNLEAEFAVIREIVDDFPYVAMDTEFPGVVCRPLGTFKSNADFNYATLKANVDMLKLIQLGLTFSNEHGGLPSLGPEGRPCVWQFNFRGFDPRTDVAAADSIDLLRRSGIDFTRHSADGADARRFAELLMSSGVVMNSEVRWVTFHSGYDFGYLLKLLTGTYLPDTITGFFDLIRIYFPVVYDIKHLMRFCNSLHGGLNKLAELLDVERVGICHQAGSDSLLTALSFKKLKEAYFNGLTEKYAGVLYGLGTEGGETSSAAH >ORGLA09G0075800.1 pep chromosome:AGI1.1:9:10641761:10645934:-1 gene:ORGLA09G0075800 transcript:ORGLA09G0075800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1 [Source:UniProtKB/TrEMBL;Acc:I1QNX9] MEASSWDALRKQARRLEAQLDDQMIAYRKLVSMKSDGSENDIESDIERSLKQLQQVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLTQEFYRLRSSLRVKQQHASLLDLRDFDRAKFDVESGDSADQALLREQAAISRSSGQQMDNVISHAQATLGTLMSQRSTFGGITTKISNVSSRLPTINHILASIRRKKSMDTIILSLVASVCAFLILVYWLSK >ORGLA09G0075700.1 pep chromosome:AGI1.1:9:10637070:10638404:1 gene:ORGLA09G0075700 transcript:ORGLA09G0075700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGGIPACFRGAPGGGGGGGGGGGVGVAGQSSGGVGTSLATSVYETRLGVAALSWSRAALGLSLRVVLRVAGGAGAGAWAAASSSAASDYGCYDEGAECYGGEEEEEEEATVAVRVRPWLLWRRRGSKRFRVRDRRVDLAWDLTRARFACPGSPEPSSGYFVAVVVDGEMALVAGDMAEEAYRKTKARRGPGPDAVLISRREHVSMRDAGHGRGHKTFVNVRGKEREISVDLVSRGHGKDRDKDKDKERDKADVGMSVTVDGERVLHIRRLRWKFRGTEKVDLGGGDGVQVSWDLHHWLFPNRDTVPADASAVTPPPQPAHAVFIFRFELADIAGDDRDSAEVKDEHLLENAGSGGGGGGAWAGYLGRWGRGDWSESSSNGENRRKRGQARRLAKASSSSSASVASSSASWASGSTVMDWASPEEAELQRGHGFSLLVYAWKC >ORGLA09G0075600.1 pep chromosome:AGI1.1:9:10615532:10620101:1 gene:ORGLA09G0075600 transcript:ORGLA09G0075600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRVALLFGFSLLFSFTSLLGSNQCLNSSCFCKGLLCKRKRAKFSDSTVRQQQGIEAGAMDMVMEEAAALSLGVSASKEPVHVATGRVEQSNCGAKHANGTTDNEPARLRLHKICSATHWKEPSYDFEEQGPSHLKLFTCKVTIHVDTFTTTIVECISEPKRSKKAAQEHAAQGALWYLKIFGHAN >ORGLA09G0075500.1 pep chromosome:AGI1.1:9:10614209:10614946:-1 gene:ORGLA09G0075500 transcript:ORGLA09G0075500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLTLRPTDCLRGGGGGVQCAAAAAAATDHPTRASKLARPPRRGGRANGRQPRGRGSSSHSHRAAAPRPSQSQSQMRAMEKVVILKRGDRFAPEIGAAVAVEEHAEPVTAAGQRVAPAKKAEPVAEADRYIAPAEMAPVQCVTPTKMDQPAVAAAAEQCISPANSAEPAVAAEQCIALAAAKIPRPVAVAVAAAGQRRAPATKPKTSRVLYGGPSFVIPPDPSELPIPVLLLESRGRRSAACA >ORGLA09G0075400.1 pep chromosome:AGI1.1:9:10606398:10612168:1 gene:ORGLA09G0075400 transcript:ORGLA09G0075400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sugar transporter protein 7 [Source:Projected from Arabidopsis thaliana (AT4G02050) TAIR;Acc:AT4G02050] MAGGGVAALGVKKERAAEYKGRMTLAVGMACLVAAVGGAIFGYDIGISGGVTSMDPFLKKFFPVVFRKKNDDGQNNYCKYDNQGLSAFTSSLYLAGLVSSLAASPVTRNYGRRASIVCGGLSFLAGATLNAAAVNLVMLILGRILLGVGIGFGNQAVPLYLSEMAPAHLRGALNMMFQLATTLGIFTANMINYGTQHIRPWGWRLSLGLAAAPALLMTVGGLLLPETPNSLIERGRVEEGRRVLERIRGTADVDAEFTDMAEASELANSIEHPFRNILEPRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGSASLYSSVLTGAVLFSSTIISISTVDRLGRRKLLISGGIQMIICQVIVAVILGVKFGTDKELTRSYSIAVVVVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFVIAQAFLSLLCALKFGIFLFFAGWITVMTVFVHVFLPETKGVPIEEMVLLWRKHWFWKKVMPDLPLEDGDSHHK >ORGLA09G0075300.1 pep chromosome:AGI1.1:9:10591130:10595681:-1 gene:ORGLA09G0075300 transcript:ORGLA09G0075300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:I1QNX4] MTFSGMDIALKASTHSSTSQQHWLHSTRYRCQYGLGSTHLNGRKRSPMVLSVRAVSGKSDLDFSDPSWKEKYQEDWNRRFSLPHITDIYDLKPRLTTFSLKKNRFIRYDRTDGGSLSADKWNGYVNKDDRALLKVIKYASPTSAGAECVDPDCSWVEHWIHRAGPRKEIYYEPAEVKAAIVTCGGLCPGLNDVIRQIVFTLEIYGVKNIVGIQFGYRGFFEKGLKEMPVSMLFHILRCSRVLDSIVELQFDDNLQLSRKVVENINLSGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMQASLSSGQIDVCLIPEVSFTLDGEHGVMRHLEHLLEKKGFCVVCVAEGAGQDLLQKSNATDASGNVILSDFGVHMQQKIKSHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGICNTHYAFLPITEVITKPKRVNPNSRMWHRCLTSTGQPDFH >ORGLA09G0075200.1 pep chromosome:AGI1.1:9:10588023:10590468:1 gene:ORGLA09G0075200 transcript:ORGLA09G0075200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKASSADNRTRSTVSLCIVIGMCCFFYILGAWQKSGFGKGDSIALEITKRTDCTILPNLSFDTHLAKQARPRDLVSPAKKFKPCPDRYTDYTPCQDQNRAMKFPRENMNYRERHCPPQKEKLHCLIPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQFEGNVFRFPGGGTQFPQGADKYIDQLASVVPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANGGIYMMEVDRVLRPGGYWVLSGPPINWKVNYKGWQRTKKDLEAEQNKIEEIADLLCWEKVKEIGEMAIWRKRLNTESCPSRQDESSVQMCDSTNADDVWYKKMKPCVTPIPDVNDPSEVAGGAIKPFPSRLNAVPPRIANGLIPGVSSQAYQKDNKMWKKHVKAYSSVNKYLLTGRYRNIMDMNAGFGGFAAAIESPKSWVMNVVPTISKMSTLGAIYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTLYKNKCNMEDILLEMDRVLRPEGAVIMRDDVDILTKVNRLALGMKWNTRLVDHEDGPMVREKVLYAVKQYWVGGNQTAA >ORGLA09G0075100.1 pep chromosome:AGI1.1:9:10565597:10569187:-1 gene:ORGLA09G0075100 transcript:ORGLA09G0075100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVGAKDAAADASTGGGSRRGSVPGGSAAAASRAAPPASPTSAAAATTLGASPAAAPPTGPASSPAASPALQTSAAAAASLVVVEPPASPTSAAATILGASPAPALPTSPETSIPAPPPPPSLVKVLDMDRAKTLIKEQDWDAVEGYIWSILCDNQEHCVDDFARLHPALYLMFREEKLFQLLAENKIDEAHIFYQHSIVSLEDRDGIFLPVDLGVRIKNLDPSNSSSDPIRRSTQEELSRYVKLYFPKSIGREEYATCEQFVEKHQLQNNSSEKDCMICLACGWEVLGMWKMRPHFVYSHHVKHCSGVTQDLLNRLKNIDGKPILDFTHLVGKFEMTASSMSSKYSSATKKLKRKKTDMVACRSGSKRSRGKRSEEKSEFCDEFVLEKAKLIHGFCVDLASLMKTYVPEEVHVCYKKILDIQLVASSLESNINQTKLKDVFGSSNEDLSGDDFDDVMLKKLSELYMLCIDLGKFTKTSGVREEDLDHFEEVLLRIESEVGFTLRVAGLKRAIWL >ORGLA09G0075000.1 pep chromosome:AGI1.1:9:10562851:10563219:1 gene:ORGLA09G0075000 transcript:ORGLA09G0075000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRLLALLLLLLALSFSHGVVVATVAKARDVQLVKLVRRYVRWRSVFQAGIGMVSTVADYSDPKTNTNPRGGVLPPTNPNSPPAH >ORGLA09G0074900.1 pep chromosome:AGI1.1:9:10559520:10559969:-1 gene:ORGLA09G0074900 transcript:ORGLA09G0074900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLLAASDCPSDWGWNAELRCNLVPVAAKSAVQNAEGNTVSRSETMDVGTPWRRTMSVKNARTTVSAVYGCARAMKWAYLEILSTTVSMTVLPATRGKPSTKSMAISDHTAAGTGSGSSNPAGCKCSVLLRWQTRQPRTKSLTRRRS >ORGLA09G0074800.1 pep chromosome:AGI1.1:9:10553811:10556204:1 gene:ORGLA09G0074800 transcript:ORGLA09G0074800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGKRADGFLRRFRRRRLLAFLRREHLYATLDALGGETRLFLNARTLQTMLADCRWEEARRYVGRFLPRRQIGVEARAVLRLIAYLSAVDDVAQGRRPGSEFAGDHLEREFEADATSSNTMVCADFVRKISRKRSSFPGRWDSVEWQFLRIQAALIVKELVINTPEFSHLLQLPRYPVNLECMMPVWFGCRRKHQRKIIGRMPASLLAHCFLPKERCPSPKKQGIPGAPVVPCIGRNCGLLSANAVTEHLSQEDCHSESVASTEHSPTTRELCPRRRKKTTVVPDGE >ORGLA09G0074700.1 pep chromosome:AGI1.1:9:10549856:10551029:1 gene:ORGLA09G0074700 transcript:ORGLA09G0074700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGRLLVLFAIALLAISIAEHKALAKGSTSEHDDNVYQVSKGGQGSLKSYQCSPQCAYRCSQTQYKKPCLFFCNKCCNACLCVPSGLYGNKGECPCYNNWKTKRGGPKCP >ORGLA09G0074600.1 pep chromosome:AGI1.1:9:10532238:10533272:-1 gene:ORGLA09G0074600 transcript:ORGLA09G0074600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDVKYKPLVFPNGAIKKAAKPAAVAPAVGGGGGGETVYRECLKNHAASLGGHALDGCGEFMPSPAANPADPTSLRCAACGCHRNFHRRLPEGSPPPPPPLAPPALLPAPPMPPHRGEETPEVRLPGVDGDESDSDSDGSEYDDERSVSPPPPPLAAAVAHQAYYPSAPHMLLSLGSSGQAQRLPPQVMSPAAAAAPPPGGGGMPRKRFRTKFTAEQKQRMQELSERLGWRLQKRDEAIVDEWCRDIGVGKGVFKVWMHNNKHNYLGGHSARRSASSSSAAAAAAPPFNPPTNHTSPPPPPPPPHATDFNINGTATAATAAAAATVAAGNHQENGASSPQSA >ORGLA09G0074500.1 pep chromosome:AGI1.1:9:10513430:10513771:1 gene:ORGLA09G0074500 transcript:ORGLA09G0074500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLVVLRRREPAVRFSCCGVRYGECRRNHAASTGGHAVDGCREFIAAEDGGGGNSTGAVGVAAAALKCAACGCHRSFHRRVQVYEVAWDDDCASGDTSSSSPSSSSSSSSE >ORGLA09G0074400.1 pep chromosome:AGI1.1:9:10486653:10503417:1 gene:ORGLA09G0074400 transcript:ORGLA09G0074400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) TAIR;Acc:AT1G73960] MAKARKQKGEEQKPDGGGAGGGGGGATVLHQKLCLSIDMENRLIYGYTEIKVQSENDTFALHADNMTIRNILVDGQAAEFEYSPQWKNAGDQQSWSSVSCSKTAADAACSVYISSLNSEAAPNLIISSERSSKAITEPQYEENGENHEENGEKHEENGEKQNENGEKCEENGGKPAQISDDQAVNGCNGSADKKDKEEETEKDNEKEKEDKEEETEKDNEKEKEDKEEETEKDNEKEKEQLMGTDEKEKEKEKEDENEEEKLEEEEKKDKEEKLEEKEKENEEENGNEKDKENDNEIEKVKNTKLVHIDYILEKAETGLYFTGNILHSNNQIRRAHCWFPCIDSATQRCPFDLEFTVSTNLVAVSNGDLLYQVLSKEDPPRKTYVYKLSTPVSAQWISLVVGPFEVLPDRNDISVSHMCLSQSLSKLENTISFFHSVYSCYEDYLAASFPFGLYKQVFLPPEMIVSPTSLGASTCIFNSDILHDEKVIDQIIDTRIKVAYALARQWFGIYTSAEEATDEWLLDGLAGFLTEHFVKRYLGNNEARYRRFKDVAADLTIFTLLLKPVHESYVAHSFGVDSHINFSFTFQPNSLANYVFASCLAFIHLNLNGWCRAANCIVCEFDVSGATALSSPSASSDLFGTQTIGSYGKIRSLKAVSVLQMLEKQMGPDSFRKILQMIVAPTRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESSGCPVMRLGISYSKRRNLVELAVSRGCTTKVDPGPDIRTNGDSREGDTGWPGMMSVRVHETDGVYDHPIVPMAGEALQVVEIQCHSKVAAKRFQKTKKGSKPDGSDENIDASNQDNRASMDAPLLWIRVDPEMEYLAEIHFHQPVQMWINQLEKDKDVISQSQAISVLEKSPQLTFAVTNALNNFLNDTKAFWRVRVEAAYALAVTASESTELTGLLHLVKFYKSRRFDADIGLPRPNDFHDIPEYFVLEAIPHAVALVRSADKSSPKEAIEFILQLLKYNDNNGNVYSDVYWLSAMVQAIGELEFGQQGVGLLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRTLARIAQRVSSSICLDRVCELIVPYRNMDKPWKVRMEAGRVLIDLEFHHKGLDAALLLFLKYANEERSLRGGTKLAVHVLRLCQANIESHDNNQIQLPTLVGLLCLLAGKKAYNNVYLRHNVFCILQIAAGRSPTLHGVPKVVTPPQVVLEISSDQHTKADSSVPQQSRPQEPSTSTPSVREVLPTSGPLKDADNISNCSERRNVVKIRVKRASSSSKADDADHRDHSHGRNENEAGPCSSMSVDAPMTEAPEPVNVSNHNIEEQNSCHDREQNSCHDRESRMSASIGNVKLMDKHEVSKELQCTADSRLDALPKDHFSPVVNGQEVLDRPRSQLEVVSTSYDGNQAPDSMNGLETKEKKKDKKDKKRHRDKKDDPEYLEKKRLKKEKKRMEKEKGKKQKEGEGVSSSEQKNTAKPSDSQGTSSARPPAPMRTPEPKISNVGTPVDTTRTLTTTKIRIKVKPLQR >ORGLA09G0074300.1 pep chromosome:AGI1.1:9:10461576:10461938:-1 gene:ORGLA09G0074300 transcript:ORGLA09G0074300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVKMAVVCLLLLSAGHLMASAAAARPDTVDDAAALLRLKDRIELQEEEALALAEELALLDDGAGDAVGAGCSCSTTKCKTCIATCGIKCFPKGIKGFPTCFFACVFTTSKCFAFGA >ORGLA09G0074200.1 pep chromosome:AGI1.1:9:10449913:10450290:-1 gene:ORGLA09G0074200 transcript:ORGLA09G0074200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSAAVKMAAAVLCLMVALSVAAGQLTTTAAAATSTRVDDHVVEDDDATPTTLQQLETRLADDQDLADLLDGGDGAATICPSNCQKCLVKCAGTCVADIVSPPTFVACFLKCAVVKLCFAKV >ORGLA09G0074100.1 pep chromosome:AGI1.1:9:10440035:10445631:1 gene:ORGLA09G0074100 transcript:ORGLA09G0074100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G70740) TAIR;Acc:AT1G70740] MKPRELLERIARPFSSSSSSRRGGGAERRRREEEEADLEAIAAREQRAFRYEALSAATRGFSERQKLGQGGFGPVYRGRLADGREVAVKRLGAGSRQGAREFRNEATLLSRVQHRNVVNLIGYCAHGPDDKLLVYEYVPNESLDKILFSSPPPQPRNSHSGSSSDGERRRQREELTWARRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDDRWVPKIADFGMARLFPEAGDGRSHVQTRVAGTNGYMAPEYLMHGALSAKADVFSFGVVVLEIVSGHKNSSFVPPPDSDADNLLDHAWRLYKKGRSIELLDPAVKSAAATEQVELLVRIGLLCVQADPRMRPDMKRVVIILSKKQSTLEEPTRPGVPGSRYRRRSYGTRGGGGGGGSHFSVGSTSGTSSPSTSTTASHATTSAASNAMTTTTSSTHTMRSQGLPLHREERGVELKKDDESG >ORGLA09G0074000.1 pep chromosome:AGI1.1:9:10429563:10432027:-1 gene:ORGLA09G0074000 transcript:ORGLA09G0074000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:I1QNW1] VLFPPLVVDSTMGSLASLSLPVSTASQGRARGAGPVTAAPRRRRVIVVRAKVREIFMPALSSTMTEGRIVSWTAAEGDRVAKGDPVVVVESDKADMDVETFYDGIVAVVLVPAGESAPVGAPIALLAESEEEVAVAQARAQALPRAPGQEPPPPHVPKAAPPPPPPPPPHAPPGPPPTKGVATPHAKKLAKQHRVDISMVVGTGPHGRVTGADVEATAGIKPKPKGPKGAPPPPPPPPPSPHRHPAAHPPPPPHHPAPRPPAPMAAAPRQPAALPPVPGATVVPFTTMQAAVSRNMVESLSVPTFRVGYAVCTDKLDALCEKVKSKGVTKTLLLVKAAAMALTQHPVVNASCRDGKSFSYNSSINIAVAVAIEGGLLTPVLEDVDKLDVYLLAQKWRGLLKKARMKQLQPDEYSSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGGSRPTLVANKDGFFSIKNEMLVNVTADHRIIYGADLAAFLQTFAKIIEDPESLTL >ORGLA09G0073900.1 pep chromosome:AGI1.1:9:10415500:10420988:1 gene:ORGLA09G0073900 transcript:ORGLA09G0073900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIKPSVRANLNMDYSLSLEIHMHTQEKELKSPNSVSSMIDVTFDEVAICNNDGENAKLANISTTKDSSSSAAGNDSSDFKWLGPESHSKKREELQILLAKGIYIHVIRRRGDRIKVRYQHLQDPETPRANLEEWLLVTRTANPDPLCIHLSGRTRIRPHNMSERENPSTIGVGTVIDGWLYDGWWEGILLKLVAMSTEFFPLSEFAGEKKMVLFHRDQLRHSLEWIDSKWKAFAHREDLRISHYCTRSSYKRRSNEAIGRPSNKQRLQLYYMTLRMNIDCNGCYHKIRRALLQMQELESHLIDRKHGRVSVFGAFSPQDVAIKIRKRTNRRVEILEVREAAPPPPPPAGDEGGGGGHVA >ORGLA09G0073800.1 pep chromosome:AGI1.1:9:10398772:10405631:1 gene:ORGLA09G0073800 transcript:ORGLA09G0073800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDGEKASKLCKEAGGPSLDSSLAPTIVLALTTVPMGWDNRRRKQASTMMNARGRGARNGNGPNRGRGGGRAHSDVVVGANTTVLATRRWVGLEIDSVPGDEGQRDIVNYYLRCATGVGNGERELAVVGTHRSNRRVTYVVHEPFLQSLKELQVAAVVGVERLRWKSRKDVVHWLNMLISDEMLNPLKLLVAMDSIKQCLITTVESGLHIFIYLVFVDTNSVSSITDVASDEVAICNNDGKDAKLANISTTKDSSSSTAGNDSGDFKWLGPESHSKKGKSYKSFWRRGFTFMVHDFVYILVQHGNKLVAYVEELYEDNHANKMVQIRWFRTLNSAGIQLSPGVNDREILLSDNLQDIGVECIDGLASVLNKEHFEKFQAIANNTNRQPYLCIRHIDNNSNVKTFDIAQLQGYSEQDIFRTILGAPPVTVHLDASEGNKNTPRSSARGHHHHQTVENPTAGDETNVQATTINVLARNAAPTESASGLINSALEKYLEQYFSPGCLVECLSQDSGIRGCWFIGSVIRRHGDRIKVRYQHLHDPETPRANLEEWLLVTRTANPDMLRIRLSGRTRIRPHNMSERENPSTISVGTVIDGWLYDGWWEGIVLKLVAMSTEFFPSSEFAGEKKMVLFRRDQLRHSLEWIDNEWKNFAHREDIARRIPSAEDLRIRVITAREVPTREEVMKQLEGLKTNKGGSNSAKPAAEEGSSSSATKKTTPDLIRHATNDLGSSNFKHVGVPASEEIRTDNKGSQVNLENVLKSDSLKWTEPLSPGAKWSSRQLPGTAAAPHARRSAAGRRRDRGVLLLLLLGRHRHRVPTQEGRRRCGGFSCGGANDGGGDVRADMRREGRHGLRHMEGEGVPRESALPPGSLNDFAPSTCRPIPPRVSMDATHSLHAPAPSPSPPSSPSARRR >ORGLA09G0073700.1 pep chromosome:AGI1.1:9:10382527:10384218:1 gene:ORGLA09G0073700 transcript:ORGLA09G0073700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPGLAPPAGPAIPNAAVDLFGTNALVKPQASSGATGPVIGGMGVTAGPIPEDFFQNTIPSQQLAARLPPPGIILSRIAQPAPGMSAVRPVHNQNMMANVGLPDGGVPPQAPMQQAQFPQQPGMPMDPISLPDGGVPPQSQPLPSQPQALPPQPHGFQPAIPAMSQPIDLSALEGPGQGKQAPRPPAPTAVRPGQVPRGAPAAECYKMGLAHLEQNQLTDALSCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQEIARLQRVQGAGALSAKEEMARLSRHLASLPIQAKHRINCIRTAIKRNMEVQNFAYAKQMLDLLYSKAPPSKQDELKSLIDMCVQRGLTNKSIDPFEDPSQFCAVTLSRLSTIGHDVCDLCGAKFSALSAPGCVICGMGSIKRSDALAGPVPSPFG >ORGLA09G0073600.1 pep chromosome:AGI1.1:9:10363151:10367212:-1 gene:ORGLA09G0073600 transcript:ORGLA09G0073600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDLSSPARKRGRDEEEEEEEVVDGEAAQKRARGEDPEGGALLGLANYEEDEEDEEAAAAAGRRRANGRHEEEEEDDEVDNDVRRAPERRPRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYYQGRGLKSHAYTHSLEAGHHVFINLQTEKAYCLPDGYEINDPSLEDIRHVLNPRFTTEQVRNLDRNKQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRITPLRNFFLIPENYRHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMRASDKRFQIGVQSDPVEFMSWLLNTMHSKLKSRKRNRSIIHDCFQGELEVVKEFHKKHIVEKKEDGDEQNGDAGSDIVTETSRVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETVTEVVRPSIARMRYRVIRLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKDSEKLRSKYDLIANVVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSEAYMQIYEQHE >ORGLA09G0073500.1 pep chromosome:AGI1.1:9:10358778:10360616:-1 gene:ORGLA09G0073500 transcript:ORGLA09G0073500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTTGFPRRRSPPVDADLLPRLRLAAGQSSPWRVLLQSLALVVTSGLSASASHSHRGRALSSRLLNSLLPHAPRRLLPALLRLLPGDHLTLLLLVSSKHHSHSLPAASALHALAVSSGHLPSDLRIANSLLSLYLSLGSPASARRLLADIPRPDAVTWNTLLRACLRLGLLPAACRLFDEMPERDVVSYNSMVAGYVAEGDLAGARNLFDGMARRDVVTWNSMISGYARHGDMENARKMFDAMPERDVVSWNSMLDGYAQAGDVEMARLVFDGMPKRSIVSWNVILALYAKLRDWRECLGLFDVMIAEGNTVPNEKTFVSVLTACANLGDLEKGRWVHDLVRERWDRLVPDVLLLTTLLTMYAKCGVMETAREIFNSMGEKSVPSWNSMIIGYGLHGQSEKALELFLEMERDGPRPNETTFICVLSSCAHGGLVLEGWWCFDRMVRFYSIEPKSEHFGCMMDLLGRAGLLEQSENLIENLQGNVSEALWGILMSASQTQNNIKLGEFVGKKLIEMRPTEVGPYILLSNIYAAEGRWDGVEKVRKVMEEKGVEKDAGLSLVGSREGGHFINESGASAPRNDVMLCMLGEMSVHMKQPSEGSNCRKRSPSAP >ORGLA09G0073400.1 pep chromosome:AGI1.1:9:10354633:10357483:-1 gene:ORGLA09G0073400 transcript:ORGLA09G0073400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38740) TAIR;Acc:AT2G38740] MAAATPNGIPASRPLASSVPIEAVLFDIDGTLCDSDPLHHVAFQEMLLEIGYNNGVPIDEEFFINNIAGRSDVEAAQNLFPDWPLEKGLKFLEDKEAKYRSLAKERLEPVKGLAKVVQWVKDHGYKRAAVTNAPRINSELMISLLGLTDFFQAVIVGGECEKPKPAPFPYLKALKELQVSADHTFIFEDSASGTRAGVAAGIPVVAVATRNPEKSLLDAGATLIIKDYEDPKLWSALEEIDREEAKLKKADA >ORGLA09G0073300.1 pep chromosome:AGI1.1:9:10345363:10353703:1 gene:ORGLA09G0073300 transcript:ORGLA09G0073300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS homolog 6 [Source:Projected from Arabidopsis thaliana (AT4G02070) TAIR;Acc:AT4G02070] MASSSSRRLSNGRSPLLRKQSQITAFFSSPTAKPSPSPLNPRATKPPLAVPSPPPPNPPSPPQEEETAVGRRLRVYWPLDDAWYEGRVEGYDVGSRRHRVRYDDGEEEVVDLASERYEWAAAADEEEVTPQPSRKLRRLRRMSDAATAKSPGAVDGGGGGDETADSAEEEDEDWKNDAAAENDSEEVELDDEEGDEEEDVAVKTRKGKKNNPLSTSASTPKLASGLGSASISGSTLSKKRRKVDAGALDCAKKFSFEPANTTGKVELKVPISCSQREQPLENALTALTGEVAERFAQRQAEKFKFLGEGRKDAKGRRPGNPNYDPRTLSLPSQFLNSLTGGQRQWWEFKSQHMDKVLFFKMGKFYELFEMDAHVGAKELDLQYMKGDKPHCGFPEKNFELNLEKLAKKGYRVLVIEQTETPEQLDLRRKETGVKDKVVRREICAMVTKGTLTEGESLLANPDPSYLFSVAESYQCGSEKDQDGHTIGVCIVDVSTSKFIVGQFQDDAERHGLCSILSEIRPAEIIKPAKMLSPETEKALKSNTRDPLINNLLPSMEFWDAEKTIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGSGHECAIQFRKDLSRLPDMERLLARLFSSCDKNGRSSKSVVLYEDASKRLLHQFTAALRGCQQMFQACSSISMLTSTDGSSLLNDLLSLGKGLPHVSSILDHFRDAFDWSEADRNGRIIPHEGCDPQYDAACIAIEEIESSLQKYLKEQRKLLSDSSVKYVDVGKDTYLLEVSENLRGSVPQNYELQSTKKGFYRYWTPEVKELISELSKAEAEKEAKLKCILQNLIQLFVEHHSKWRQLVSVVAELDVLISLAIASDFFEGPTCCPIIKESYDPDDTPTLHARNLGHPTLRSDSLGSGSFVPNDIKMGGPGNASFIVLTGPNMGGKSTLLRQVCLTIILAQIGANVPAESFELSLVDRMFVRMGARDHIMAGQSTFLVELMETASVLSSATKNSLVALDELGRGTSTSDGQAIAASVLEYLVHHVQCLGLFSTHYHRLAAENKDSKVSLCHMACEISKGEGGLEEVTFLYKLTPGSCPKSYGVNVARLAGIPASVLQRANEKSSDFEASYGKRPGITKNKPSCAQEDKFAAIKDLFRVVKAMHHREDHASSLGMLHEVQKRAKVQVIGE >ORGLA09G0073200.1 pep chromosome:AGI1.1:9:10335705:10340833:-1 gene:ORGLA09G0073200 transcript:ORGLA09G0073200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVEDPDLEDPNPDVGELFSHYDGLYFRGALAGAGFSVQWSSPPSRMAGSFGSCTFGKPDNTITLSETVLKYRSSIDMKNALLHQMIHAILFVKHHRKDCRGHGPIFRAWMTAINTCSIDDHQRPPDGYNITTRHDFSPDKSTRSLSGFLWKCEYCGNTLVRATNIGAPSDACCIENVDNCSTCGNMLCHWHNHKMNCGGTYTKMGTSTSAEVQNNVQGTKRCPTDMKMAKSQRTIRKPESPDSDGLQEKATVMKQKAEGELLALVAGSNVKLTGSNSSKKGVKRHRPEDTQDTNAMLSTPLKNLKLGLDLVSSGKHRVSSIVGSNNTKSSRGSASRKQRKRHSPENVQKSSVLPALSQKKLKLKEDLVVSGKNEPLSLVNFSNGKSAGSNSSKKVSKQHELEGVQKSCVQPASPPRKPRQDLVASVKTEISCLASRSDAKVLRGSSSKCAGNQHEPADIQKSIALPSASESKLKRQNENSSSTKAGMQDKPRGTQKTIDLPASPQTKLKQLVLQKQKRQCGTRKSATEQFAVISAWLNYYESEGSSGSTEPLVNKRTERRRIARNRITYTRSRKQNARGNASIKSQPSEDDSSQAKAAAPCLEIVVSTPSEQVVNQSPGCQSQSPAPYLAIVPFDAAHDMVPLQSADPPGLTDDPTITSGIIDISDDD >ORGLA09G0073100.1 pep chromosome:AGI1.1:9:10329670:10333950:1 gene:ORGLA09G0073100 transcript:ORGLA09G0073100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISVKTLKGSTFQIEVDSAQKQVADVKRIIETTQGQHIYPAEQQMLIHQGKVLKDDTPLDENKVLENSFLVIMLRQAPPTQTVPAAPASQAPVAPATTVPVTVSAPTPTATASPAPAVAVSSEADNYGQATSNLVAGSNLEATIQSILEMGGGIWDRDMVLRALTAAFNNPERAVEYLYSPLLQELGKQNPQILQLIQENQAEFLHLINEPAEGDDEENLLDQFPEAMPQTIAVTPEENEAILRLEAMGFDRALVLDVFFACNKDEQLAANYLLDHMNEFDDEGPP >ORGLA09G0073000.1 pep chromosome:AGI1.1:9:10322049:10322393:-1 gene:ORGLA09G0073000 transcript:ORGLA09G0073000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPHRRNNCQGKHIIPSAPHALTLPLPLSPSTTHIPQRRPLPAPLPYTQALLDLDREPSSLDQSLHLTAVVGQWRRGDPVYVHCYSCPTAYGTTSRQVEEEPRPSLNTSGTS >ORGLA09G0072900.1 pep chromosome:AGI1.1:9:10313618:10313905:1 gene:ORGLA09G0072900 transcript:ORGLA09G0072900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLATCVLVLLLVLSCDAHTAAAPPGVAGNLTAALVHLHEELQAQDPLGCDDTCQGCLVKGAQLCFGEYFLHPLGLAECFIERIVVDQCFGNK >ORGLA09G0072800.1 pep chromosome:AGI1.1:9:10308486:10309628:-1 gene:ORGLA09G0072800 transcript:ORGLA09G0072800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPSRSEGGAEDSGTGGRGRGGEVRALLLEPVAVAVVFDDGDDGGRGSKGSRAERTRERRPAGEQQAIVVALIPRLSHRPRSLHEFLSQMRHGEAEGARPLTARVWGMLGDRDEEGGRDEGVILRLLVSSPILRYGSFVGLDPLLV >ORGLA09G0072700.1 pep chromosome:AGI1.1:9:10303735:10304540:-1 gene:ORGLA09G0072700 transcript:ORGLA09G0072700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLIWMSPQPLLEMVRLPLVPCGGVADADEETALLAADARVLESHLEPLTDQQLMGICNLQQSLQQAEDALSQGMEALQQTLGDTLASAAATVVVGGVGADNVTNSMGQMAIAMAMLTTLENFLKLRSNINGELNCLLPWRDAMIFLCRL >ORGLA09G0072600.1 pep chromosome:AGI1.1:9:10247527:10249599:-1 gene:ORGLA09G0072600 transcript:ORGLA09G0072600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGKMPARVKRIRFADSQNECEVVLPQTLASGGASSSRAVGEAAQSKPKRRRRAMSAGKGPSGDEPPETKGPNLTRYSAALAAQACRALSAVHHKKLEETGLDAIACMSLQSLEHPDLIRWLMDRTDPDTMCISIDDDRKIQITPRTVRLVLGTLLGGNDIVIPSHKVVRNVHESITDELGIHKKARLSTKXLIEVIKGQKDDPRAIRYFIMVLMSKLLVPTTDFLCAQGRCLGRLRPRPGCYYXLVQGCVPGLKRQHQVLATKPSIVNSFLCRIPRGFISGQHTATKRHWAXFNLHPTYTDVYXGHCGXARCCXSGSRRGRHAAFWQPPLXPVEHHFCTGRSNXT >ORGLA09G0072500.1 pep chromosome:AGI1.1:9:10244894:10245325:1 gene:ORGLA09G0072500 transcript:ORGLA09G0072500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKQETESTKTHFVDPTHNPTTDATPEHDEHESDLPEEFRVTSPPPLSPPPYLLSPSMDDDGMIYAEDLGYMSTPCPSPPSDVDDLNPPEDPNNKIILHPTFIDDGGDLDIIQEDIYNFRYDQTPPRDAQSPATRFKRHKRD >ORGLA09G0072400.1 pep chromosome:AGI1.1:9:10243115:10243454:-1 gene:ORGLA09G0072400 transcript:ORGLA09G0072400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRPSPEWWRGDPSVPDLKAGAGGRSSSEPMRVAAAGYVVHGVPHGRFREHSSRRPAAVVALKQRSSWNGGEGCFPPXAAATVFRPGD >ORGLA09G0072300.1 pep chromosome:AGI1.1:9:10240604:10241072:1 gene:ORGLA09G0072300 transcript:ORGLA09G0072300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQAMGRARPRRLPEDNNSDPSAILCARPCVNGVEMSARRRSAIFAQVVDGGVTSRRNGKMALFRNCRCLVGSLICL >ORGLA09G0072200.1 pep chromosome:AGI1.1:9:10196332:10198577:-1 gene:ORGLA09G0072200 transcript:ORGLA09G0072200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVSLMVIAASSPLVALLLRAAWVTLSCYWLTPMRIRRAMAAQGVRGPPPRPLVGNLREVSALVARATADDMPSLSHDIVGRLMPHYVLWSGTYGKLFVYLYGSEPRLCLTDTALIKEFLSSKYAHATGKSWLQRQGTKHFIGGGLLMANGARWSHQRHVVAPAFMADKLKARGRVGRMVECTKQAIRELRDAAAGRRGEEVEIGAHMTRLTGDIISRTEFNTSYDTGKRIFLLLEHLQRLTSRSSRHLWIPGSQYFPSKYRREIRRLNGELEAVLMESIRRSREIADEGRAAAETYGRGLLAMLLSEMEEKEKNGGGGGGEFSYDAQLVIDECKTFFFAGHETSALLLTWAIMLLATNPAWQEKARAEVAAVCGDHPPSADHLSKLTVLQMIIQETLRLYPPATLLPRMAFEDIQLGGLRLPRGLSVWIPVLAIHHDESIWGPDAHEFRPERFAPGARRPSAAGAARFLPFAAGPRNCVGQAYALVEAKVVLAMLLSAFRFAISDNYRHAPENVLTLRPKHGVPVHLRPLRP >ORGLA09G0072100.1 pep chromosome:AGI1.1:9:10190105:10190845:-1 gene:ORGLA09G0072100 transcript:ORGLA09G0072100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALAGKRRVAKVMTVDGATFRYRAPATAGVALRGHPAGHQLLESEEVRRLGVRARPLDRDAPLKPGKLYFLVQLPRGAVGYGGDDDPRAPRKTWSGALHVGARERLESLMLSRRTVSDMASVVPAARAVAGGGGEPARRPSSVEVGVDGAVRLRMRLPKSEVARLMKDSKDAAEAAERIMQLCVARDQGGAGAGAAVTLAASGPVSAMSGRKTSAMKKEVGIFFLSLLPLPMLTSLELPRDVIL >ORGLA09G0072000.1 pep chromosome:AGI1.1:9:10179722:10180293:-1 gene:ORGLA09G0072000 transcript:ORGLA09G0072000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTELSSYLHHRMRKNGPDSAVSSSSDPAAELGDMENNEFLVYSYVSKKLDNIENLLDMMEALRTESDEADARFAQLRPYKAFACVSGILAFCY >ORGLA09G0071900.1 pep chromosome:AGI1.1:9:10160117:10161438:1 gene:ORGLA09G0071900 transcript:ORGLA09G0071900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVIIPAPRCGRFRRGVSCKQQVQTCSFSGGWAGVRGEHRHISTFFLVSDYAVNNDIAVRYDILASMRTICFGENYLVERGKIANCLEYYRNGYHCRVRFLLFAFLSRALASALLSRFPFTSSSNDKLLRPLANNLEQRGLGGDDELLVAQQQQHKAVAALAAPNVVAAAKDHRWPLHERACSLVVPVT >ORGLA09G0071800.1 pep chromosome:AGI1.1:9:10144161:10151885:-1 gene:ORGLA09G0071800 transcript:ORGLA09G0071800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAVEKVRSIVASYAQQHKGGGGDGDGEARRRYQGEGAPRPRSPESRSAFEPPTPPRARAPDARSGTKGDAAPCSPPPCRDIRRADEEIDEPRVQFFAPGTYFSHDSSDSDSSVSVANSMYRSVTPSPSESPTVRQNDASDHGATTMSDSDDAREHVGASIASWCEEEHKSFRIVDFDDDIWYPPPPEDESDDVESKLCAFDDEDDEYGDSSNFFVPNCFSADKFAGVDSSVNGSHIENVQNDLLRHFQALVAQLLTGEAISLARDKESKGWLEIVSSLAWQAANFVKPDTKKGGSMDPSDYVKIKCIASGKPSERFVLVCIVAYLFHASSHHHYKTLMDLIACSNFVKGIVCSKNVKHKRMVSEHQNATLLILGGALEYQKVSNKLASIGTILEQEKEHLRMIVGKIESRRPNVLLVEKSVSSFAQELLAKDISLVLNVKRPLLDRISRCTGGQIASSIDNIASARLGHCDTFKVEKVLESSTEHSEKKSTKTLMFFEGCMKRLGCTVLLRGACRDELKRIKRVMQLAVFAAYHLSLETSFFADEGATLPRVPSTSSVGAPEMQTNREHLSSCYANQGSPVSLRTEEEKYAHNASISQIFNGISGPPTFLPLDGESQGIVSEHREVESPANHIKGDNSFHSHHPNALCNVHSVSLGNDLGSMGVTPYYDPCNSLQSPVGVSANILGEVHNLENCGSPISLDDFHVGGLNDQNKLSGGYFPGTDNHQSILVSFSSTCIPKSLACERSHLFRIKFYGSFDKPLGRYLREDLFDQAYCCPSCKEHSESHVRCYKHQHGSLTISVRRLVSQKLPGEHDGRIWMWHRCTRCKLEDGMPPASHRVIMSDAAWGLSFGKFLELSFSNHATANRIASCGHSLQRDCLRFYGYGNMVAVFQYSPMVTLSVNLPPSMLDFNCHSTQEWVKGEAVAVFGEMESLHAEVYGFLSNTEKSIITMDESLKTGIERQIIEMKDLLNMERNEYEVTLLLPVIRGSTHSMKSSIDILELNRLRRGLLLDAYIWDCKLCNISSIKKDGHASRIKGSEAELHQAITNHGEMHQEPSTCPQCSSGSLRKSLLSREGHSMDTEIILPEIDLPVGMVDSFVHDIGGLDLVFSKFDVSGIAQSLSKDSIKTEPVERLPSLASILSDKIDMAWSGSCELHNNLPESTTKGNENPSYSKAIPPVRIHSFDSIFRLHQRESTGLLPASLHLSSKSVDSFRDLTSLVKDPLTNMRRAFSHISPRERGNLNVLTHLPTYIRSAAHMVSDGARLLLPHIDFEGGVVVAVYDDEPTSIVSYVMTSQEYIEHITHKMDTKSSFHHPVNCAVASNNQFEESFLPQEGHSEFKGTHFSFSFDDEAFSADNTKFSVTCYFARQFAALRKKCCPGDIDYIRSLSRCKRWSAQGGKSNVYFAKTMDERFIIKQVTKTELDSFIGFAPHYFRHLAESLTSRSPTCLAKIMGLYQVNIKGLKGGREVKMDLMVMENIFFQRTISRVYDLKGSVRSRYNSDTSGHNKVLLDSNLIEAQHTNPIFLGSKAKQRMERAVWNDTSFLASLDVMDYSLLVGVDERKNELVIGIIDFLRQYTWDKQLETWVKASGILGGPKNEAPTVISPVQYKKRFRKAMSRYFLAVPDDWSSS >ORGLA09G0071700.1 pep chromosome:AGI1.1:9:10140510:10141526:-1 gene:ORGLA09G0071700 transcript:ORGLA09G0071700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDASTMAAAEADPKPAATPSYPEMILAAIEALDDRNGSNKTAISQHIEGKYEGLLPPAHPSLLTAHLAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASSPRPRGRPPKPKDPLAEAVAKATSGMPRARGRPPKKAKVEQEDPIGAPAAASAPAAAAEAAPPVKRGRGRPPKVRSAAPVGEPAAA >ORGLA09G0071600.1 pep chromosome:AGI1.1:9:10136369:10136830:-1 gene:ORGLA09G0071600 transcript:ORGLA09G0071600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRAAASPQPTAHSRQTRPTRPLLPRRPHSPFSLAASSSWSRASPLPPRMAASHXVPNHCVAPPYSTETGGEHDFDMWRILLVVVNRNSLHSHASTSPYYLPTVRSPSNDRLAIHPHHTHPHHVPTQQQQHACAAHHGSDSSTFKGMCFCH >ORGLA09G0071500.1 pep chromosome:AGI1.1:9:10129963:10133419:1 gene:ORGLA09G0071500 transcript:ORGLA09G0071500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSVSPSCLWDWQAAEHCESNAASHDVSRFMWDCLNQDDDDLLGLLGNQTPLRDCRGFFDIDDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTLGTSEVSEISLLCTDEPQSFNWDSQNNSNNFDSLSTGAFYQSSNSHSKNCSDENQMHFRHDQMHSSQESVTYTNDQSGISGTTENDSVTESLVMQETRKLSTLKVSKGASLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVVGKTRIRTDGGRGSITILRTKG >ORGLA09G0071400.1 pep chromosome:AGI1.1:9:10113343:10114348:1 gene:ORGLA09G0071400 transcript:ORGLA09G0071400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLVSFLLGNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSETEEKTVIDLHEQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPVTHKPLYPAPPLADGGSPEQKVPEEEEVEEKSSAAVESSTSTGAGHDVFCTDEVPMLHLDDIVLPPPCDVVGDTAGSPAESSSTSTSSSGGGGIDEEWLLPIMEWPESMYLMGLDDVDMVTTAAPAMATSWEFEDPFNAYQRIALFDHHHELTWA >ORGLA09G0071300.1 pep chromosome:AGI1.1:9:10103620:10103916:1 gene:ORGLA09G0071300 transcript:ORGLA09G0071300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRSAPMEERDQLQGARRSAVGDYLEQQQQQDGVYLIHSQVMRIKKEEEEARELLLKLQLLETRPAGGGRCPATTLRASRSLSPLRRAGGAIPVGE >ORGLA09G0071200.1 pep chromosome:AGI1.1:9:10091342:10093288:-1 gene:ORGLA09G0071200 transcript:ORGLA09G0071200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAVGVVVALLWCAALIGGGAGAGQDLAADTAALLAFRDAVGPRLPWASSSSSPCGWRGVRCEAGGGRVVALQLPGAKLVGRVPTGTVGNLTALRTLSLRSNALSGGIPADIGNCGELRALYLQGNQLAGEVPEGFFSLLLLQRLDLSRNRITGSISPEFNKLRRLATLYLENNGLNGTLPADLDLPKLQLFNVSNNDQLTGAVPASLAGKPASAFSGTGLCGGPLSPCTNTSPPSPSPSPSPPIPPPPAASQDSKSSKLSGGAIAGIAVGAAAALLVALAVIVLLCFKRGRRKEGRPADVDEDASPVSVTVARTDKVEVKRSRSRPSQQTTTASGAKKLVFVGGEPDVPYDLDTLLHASAEVLGKGWLGTTYRATLEGGAAVVAVKRLREAPIAEREFRDSVAELAALRHENLAPLRAYFYSRDEKLLVSDFVGAGALSSLLHGGGGAVRRARLGFTSRARIALAAARGVAFIHGAGSSHGNIKSSNIVVNRTHDGAYVTDHGLAQLLGAAVPLKRVTGYRAPEVSDLRRASREADVYSFGVVLLEMLTGRPPANAVPGFDGVDLPQWVRAVVQEEWTAEVFDASIADEAHAEEEMMRLLKLAVECTEQRPERRPTMAEVAARIEHIVDTVIRNADVDDFDSVSQ >ORGLA09G0071100.1 pep chromosome:AGI1.1:9:10084697:10086019:1 gene:ORGLA09G0071100 transcript:ORGLA09G0071100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNGTAALGWAARDTSGHLSPFSFTRRVQQEDDVTIKVFYCGICHTDLHIIKNEWGNAMYPVVPGHEIVGVVTGVGAGVTKFKAGDTVGVGYFVDSCRACDSCGKGYENYCPTMVITSNGTDYGGATTQGGFSDVMVVRQDYVLRVPASLPPDGAAPLLCAGVTVYSPMVEYGLNGPGKHLGVVGLGGLGHLGVKFGKAFGMKVTVISSSPAKRGEALGRLGADAFLSSRDGEGMAAAAATMDGIIDTVSAGHPLVPLLSLLKPKGQMVVVGAPATPLQLPAYAIIEGGKRVAGNGVGSVAECQAMLDFAGEHGIAADVEVVAMDAVNAALGRLERNDVRYRFVVDVAGTMHAAAAAAVSS >ORGLA09G0071000.1 pep chromosome:AGI1.1:9:10077854:10079625:1 gene:ORGLA09G0071000 transcript:ORGLA09G0071000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHFRTHTTLRLTFPSSSGGLAITRLPFSSTSSKLLLQQLSSTSPAAAATAVTITTSSPARNLQRARASAAEQGMEEQGKAAVGWAARDDSGVLSPYSFSRRAQKDDDVTIKVLYCGICHTDLHIVKNDWGNAMYPVVPGHEIVGVVTGVGAGVTKFKAGDTVGVGYFVASCRGCECCGNGYENYCAKMVTTCNGVDHDHGGGAATQGGFSDAIVVNEHYVLRVPAGLPLDSAAPLLCAGVTVYSPMVIHGLNAPGKHVGVVGLGGLGHVAVKFAKAFGMRVTVISTSPGKRQEALEHLGADEFLVSRDAGQMAAAAATMDGILNTVSAWHPIAPLFSLMKPMAQMVFVGGPTRPLELPAYAIVPGGKGITGNCVGGIRDCQAMLDFAGEHGITAEVEVIKMDYVNTAMERLEKNDVRYRFVIDVAGSSLAGSGDAKI >ORGLA09G0070900.1 pep chromosome:AGI1.1:9:10070412:10072123:-1 gene:ORGLA09G0070900 transcript:ORGLA09G0070900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHFRTHTTSLLTFPSSSSGELAITRLPFSSSKLLLQQLRSISPAAAATVTITTTSSPARNLKRASATAAAAEQGDGGAWQGGCRLGGQGRLRRPLPVQLLQEQKHFRAQKDDDVTIKVLYCGICHTDLHVVKNDWGNAMYPVVPGHEIVGVVTGAGAGVTKFKAGDTVGVGFFVGSCRTCDSCGKGYENYCPTMVITSNGKDYGGAATQGGFSDAIVVNEHYVLRVPAGLPLDGAAPLLCAGVTVYSPMVIHGLNAPGKHVGVVGLGGLGHVAVKFAKAFGMRVTVISTSPGKRREALEHLGADEFLVSRDAGQIAAAAGTMDGILNTVSAWHPVAPLFALMKPMAQMVFVGAPTRPLELPAYAIVPGGKGITGNCVGGIRDCQAMLDFAGEHGITAEVEVIKMDYVNTAMERLEKNDVRYRFVIDVAGSSLGGSGDDKI >ORGLA09G0070800.1 pep chromosome:AGI1.1:9:10066470:10067745:-1 gene:ORGLA09G0070800 transcript:ORGLA09G0070800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDGTAALGWAARDASGHLSPFSFTRRVQEEDDVTIKVLYCGICHTDLHTIKNEWGNAMYPVVPGHEIVGVVAGVGAGVTRFKAGDTVGVGYFVDSCRACDSCGKGDENYCPTMVITSNGTDYGGATTQGGFSDVMVVRQDYVLRVPASLPPDGAAPLLCAGVTVYSPMVEYGLNAPGKHLGVVGLGGLGHLGVKFGKAFGMKVTVISSSPAKREEALERLGADAFLSSRDGEGMAAAAATMDGIIDTVSAGHPLVPLLSLLKPKGQMVVVGAPAAPLQLPAIAIIDGGKRVAGSGGGSVAECQAMLDFAGEHGIAADVEVVAMGDVNAALGRLERNDVRYRFVIDVAGTLHAAAAPS >ORGLA09G0070700.1 pep chromosome:AGI1.1:9:10065531:10065923:1 gene:ORGLA09G0070700 transcript:ORGLA09G0070700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWPLGGGCSPRRLRTNRTPRGTNRAHRGTICRRLHGVVDSGHEAGDDEAGLAGAANDGNLLVAEGGDARVRQPPEXGGTGHVDKVVVGFDAPVELIVGVQDVEEGYIVVRADDSWIDSEPVGLAVGGR >ORGLA09G0070600.1 pep chromosome:AGI1.1:9:10061885:10062208:1 gene:ORGLA09G0070600 transcript:ORGLA09G0070600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATAVKIAAIFMVALTIGQLMAEASSSSLPQPRRLLEVDDDDDGEVVEAELDEATLLADELATIVQGCRSICHRHPKSWRCRCCRERPGCHRVLDTCVCPHASIDH >ORGLA09G0070500.1 pep chromosome:AGI1.1:9:10047712:10049077:1 gene:ORGLA09G0070500 transcript:ORGLA09G0070500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLHEDFAAAASPAAAGGGGRAADHQPSAGTGTYRIIGVGGLRIGTIDVTNRRRTRNRHL >ORGLA09G0070400.1 pep chromosome:AGI1.1:9:10039064:10039470:1 gene:ORGLA09G0070400 transcript:ORGLA09G0070400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAVMRVIMAALAVAAIILSATLHEAAAARPVHGWNPQAEGAAAAATTRHGGFAAVQLAGSVPSCCTNGGGAGNCPPGVTCPFE >ORGLA09G0070300.1 pep chromosome:AGI1.1:9:10033077:10034496:1 gene:ORGLA09G0070300 transcript:ORGLA09G0070300.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAGRRKLQRRRRGWREGHGHVVAASQPHAGVAAARLLAPQPPALATAAAQSSSPLATSAAGSSKSCPSNCTNNPNNPSDRIEMFARSPASTPLVCNIAAGVPLPAGCNLRQLARIEALVLRRPRAPRLPRLFQALQQQRHGPVRPMGRLRGVLPARRRPHAAAPEAVAGEEAAPTKRRRLLA >ORGLA09G0070200.1 pep chromosome:AGI1.1:9:10025294:10025527:-1 gene:ORGLA09G0070200 transcript:ORGLA09G0070200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGKGAAALLVLLLVAASLNAGVAVAAARRLGEDGGGRRQQPPPLVSVSKASSGPSGCSNDPHISGRPCAPPKMP >ORGLA09G0070100.1 pep chromosome:AGI1.1:9:10020372:10020605:1 gene:ORGLA09G0070100 transcript:ORGLA09G0070100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLVLLVTAAASLHAADAAAAVAPPRRLLAGADAGGAVESPPPPPLVSASKTSSGASDCTHDPTKPSTGPCPPNAP >ORGLA09G0070000.1 pep chromosome:AGI1.1:9:10012805:10013927:1 gene:ORGLA09G0070000 transcript:ORGLA09G0070000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLTDYRRVKQLDFGSKFLIRHSVHTLQVSQIDLKDKAKHEESKFQPNEFVRSSNDRNLASSVKLKLNKLLQAITRAKSQLYIREQKMKRGGSSSSSATAVTMTMVVLLLVVAAASLRAADAAAAAPRRLLGADGGGGGGSPALVSESKASAGASTCTHDPNTPPSGTPCPPHN >ORGLA09G0069900.1 pep chromosome:AGI1.1:9:10009804:10010842:-1 gene:ORGLA09G0069900 transcript:ORGLA09G0069900.1 gene_biotype:protein_coding transcript_biotype:protein_coding HMWLFLKMRRGGLWKPREPKLKKQRIRNMRKTESSCPGCQQVKELAQAKLAELRWKKEEENKAIEMSQTQAVGNDDGGQKEVYDEEEVNNTNDDSPN >ORGLA09G0069800.1 pep chromosome:AGI1.1:9:10002136:10002804:-1 gene:ORGLA09G0069800 transcript:ORGLA09G0069800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVYPLCRSWRSRSVHAKPQALVPQSTNAGTPSSSATSSAKLTRPMSTTHVTGSTPLLPSLATLLATSTSIARSSSATTGTASPCCPAPPRTGTRPAHAAPRRRRSRSWLCSVLTNVTGTLRECSSSASFSVGLMCPEHGNGNSIACALLPSPMANANNNDEDXDEDEEESHMGTGVRGCCGAAAHEVGGMERSGDGRSGATARRSSGGWSEVTARRSGGA >ORGLA09G0069700.1 pep chromosome:AGI1.1:9:9987149:9992366:1 gene:ORGLA09G0069700 transcript:ORGLA09G0069700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 73 kDa subunit-II [Source:Projected from Arabidopsis thaliana (AT2G01730) TAIR;Acc:AT2G01730] MAIECLVLGAGQEVGKSCVVVTFGGGKRVMFDCGMHMGHRDSRRYPDFDRLLADGAADYTAAISCVVITHFHLDHIGALPYFTEVCGYHGPVYMTYPTKALAPLMLEDYRKVMVDHRGEEEQYSYEDILRCMRKVIPLDLKQTIQVDKDLSIRAYYAGHVLGAAMIYAKVGDAAIVYTGDYNMTPDRHLGAAQIDRLKLDLLITESTYAKTVRDSKHAREREFLKAVHKCVSGGGKVLIPAFALGRAQELCILLDDYWERMNLKIPIYFSAGLTIQANMYYKMLIGWTSQKIKNSYTVHNPFDFKHVCHFERSFINNPGPCVLFATPGMISGGFSLEVFKKWAPSEKNLVTLPGYCVAGTIGHKLMSGKPTRIDIDKDTHIDVRCQIHQLSFSPHTDSKGIMDLTEFLSPSHVILVHGEKPQMAFLKERIESELGMQCCYPANNETVSIPTSQNLKINATEKFIVSFCMDETENDPQKQNLNFGGDMPQGCRTEGVAEGVLLMEKSKTPKILREDELLHSLGMETHFVHFEPLHPSSIEVKHTGESAVQQSSLEHLDCE >ORGLA09G0069600.1 pep chromosome:AGI1.1:9:9984859:9985308:-1 gene:ORGLA09G0069600 transcript:ORGLA09G0069600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carbon-sulfur lyases [Source:Projected from Arabidopsis thaliana (AT5G16940) TAIR;Acc:AT5G16940] MSAAAAADVVHSGGCHCGRVRWRAEAPASVVVWICNCSDCAMRGNAHFVVPASKFTLAAGAGESLTTYTFGTHTAKHTFCRVCGITSFYTPRSNPDGVAVTAACVDPGTLAHVEYRHADGRNWEKWFSRSDISDFSKPKAPPPPPPSKT >ORGLA09G0069500.1 pep chromosome:AGI1.1:9:9976466:9978102:-1 gene:ORGLA09G0069500 transcript:ORGLA09G0069500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGCLQRPLVSKLCLGFAALLTALIVIMSLEEAPVLTIFSLTPEKLKVLSQGFLQQQEQEHLEDSTARQNENCNYAKGKWVADKKRPLYSGNECKQWLSKMWACRMMQRADFSYENFRWQPHGCQMPEFTGPNILKRLRHKTLAFVGDSLGRQQFQSMMCIATGGKYSPEVEDVGWKYGLVKAPGALRPDGWAYWFPGTNSTILFYWSASLSELELLNTTDSVTSYALHLDRPVTFLKKYLHSFDVLVLNTGHHWNRGKFNGNHWELYADGKPVGKGRLADLNRAKNLTLYSIARWVDSELVRYPQVKAFLRTISPRHFVNGDWNTGGSCGNTVPLSNGSEVLQDHSSDLPVESAVNGTRVKILDITAVSQLRDEGHISNSTFKGRASTGINDCLHWCLPGIPDMWNELLFAQI >ORGLA09G0069400.1 pep chromosome:AGI1.1:9:9968006:9969667:-1 gene:ORGLA09G0069400 transcript:ORGLA09G0069400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1QNR5] WVRAAPRRWKLPFHRSAPSSPSSKPSSPPDPEPHSPARSAAAAAPVVAEEEAPPPEFVCSILGALMADPVILPSGQTYERACLQACAELAFLPPGMGSASDAMIPNAALKAAIGTWCARSGRVVPAPPSAEAAREAVLRAMPPDAAKSVRTRRAALASSSNSSYSSPASAASTSSYTSSSEIIPAEDEVGVKLVKEGTNKDAVREQVEMAVDPLEDVVVAKVMDAEEEEVVLAVAGLREATRESAERRRELCTPRMLAALRRVLLISRHASARVDATPALVNLTLEPANKVRIVRAGAVPPLVEVLRSSTSPPEAREHAAGALFGLALNEDNRAAIGVLGAVPPLLDLLTSPAHPAPARRDAGMALYHLSLAAVNQSKIARLPGAPKALLAVASSAAERMPIRRLSLMVVCNVAACTEGRAALMDAGAVAAVTAILSHDTGSAELDEWCVAAMYAMSRGSLRFRGLARAAGADAALRRVAEECAPGVVRRDMARKTLRAMRNEADDAADLTGSSLECGDGDDCAGSIVSDGLMSFRRRQRELGSSSCGNTAEF >ORGLA09G0069300.1 pep chromosome:AGI1.1:9:9964096:9964410:1 gene:ORGLA09G0069300 transcript:ORGLA09G0069300.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFSHLDDAAEPWRVECYARAYILHLLEGVLFPDAGGDIALAMWIPLVANLGDLGRFSWGSAVLAWTYRQLCEACCRQTPSSNMSGCVLLIQMWMWLRLPVGRPK >ORGLA09G0069200.1 pep chromosome:AGI1.1:9:9927973:9930858:1 gene:ORGLA09G0069200 transcript:ORGLA09G0069200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLELAAGGGGGGGGEGPLPSLGRRRIPRVVTASGIVPDLDYSDDDAASAASSSDHSSAHSHAPRERAIIVANQLPIRASRRGGGWEFSWDEDSLLLQLRDSLRAHADRADDMEFVYVGGLRDDVPAGEHDEVAHHLLEGFRCVPTFLPADLRSRFYHGFCKQQLWPLFHYMLPLSPELGGRFDRALWQAYVSVNKIFADKILEVISPDEDYVWVHDYHLMILPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREELLRSLLNADLIGFHTFDYARHFLSCCGRMLGLKYESQRGYIALEYYGRTVTIKILPVGVHLEQLRSVLNLPETGVKVAELLKQFCDQNRLMLLGVDDMDIFKGISLKLLAFEQLLMQHPEWRGRVVLVQIANPARGRGKDVKEVQDESYVMVRRINEAFGQPGYQPVILIDRPLQFYERMAYYVVAECCLVTAVRDGMNLIPYEYVIARQGNEKLDGILGLGPSARKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDSALEMPEGEKVLRHEKHHKYVSTHDVGYWANSFLQDLERTCLDHSRRRCWGIGFGLRFRVVALDPNFKKLAVEHLVSAYRRTTTRIILLDYDGTLMPQTSFGKSPSSKTIDMLNSLSRDQNNMVFLVSTKKRSTLEEWFSSCDNLGLAAEHGYFLRLKRDAEWETCVPVTDRSWKQIAEPVMKTYTETTDGSTIEDKETAIVWSYEDADPDFGSCQAKELHDHLESVLANEPVTVKAGLNHVEVKPQGVSKGLVAKRLLSIIRENSLLPDFVLCIGDDRSDEDMFEVITTAAQDNCLSPDAEVFACTVGRKPSKAKYYLDDPADIVRLIQGLANVSDEMHSTMPTPVDAADTALR >ORGLA09G0069100.1 pep chromosome:AGI1.1:9:9904469:9905458:1 gene:ORGLA09G0069100 transcript:ORGLA09G0069100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLLRSVARELRRSIPPPRPLPAMSVMDRLAPRLLSTESSNHKMNPSSSSPLLDNFFRSDRAKRMDTSKVQFSKDDLKEFDRYLDERTKRAERNLLLSLDKFCDACERRASLLRDIKAMLEARNKRSAQKFLLVKQWAVLISYSSVLGFALYNLHLFS >ORGLA09G0069000.1 pep chromosome:AGI1.1:9:9900503:9903195:1 gene:ORGLA09G0069000 transcript:ORGLA09G0069000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLRSAGGALRRWIPRRSCPPEDSRLFPSTSYRVWFGRRQSGYEQAFAESPRRRHLGSDCGGSTDNNQKRYSMDELLKCKRQLEKNKEGASRPADIPDHESKEDELYRKMRSTFDKLCHCLDEQEHILREIEDQVDNDEKFDQVKQYLVAIPSLVCIGLILDRMHMFG >ORGLA09G0068900.1 pep chromosome:AGI1.1:9:9895600:9896637:1 gene:ORGLA09G0068900 transcript:ORGLA09G0068900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASAARIRGANSTAWQENTHWRNILWQQLKAVKGILCHALNRLANLRVQSNESRARREELKQAKSARACMELLKADGVSSRDPIYHMALRVFRDGFLGEFFLDDCPTPEARLYFIQSQYQDMAQYQPLPPPGFGGYFQSAPPGTVVGEGSGAAADAEGAGDGDDEDGADDDGEGVGDNKGGQGGHGKGGDDDGDDGNGGAAGYGGSGYGGALYGGVPRSYDLSGYAMF >ORGLA09G0068800.1 pep chromosome:AGI1.1:9:9893561:9894123:1 gene:ORGLA09G0068800 transcript:ORGLA09G0068800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCGSREAKLIVDKSPTHHLLHSPPSPITLLRRRGLPSDLESTEGSSTSLRSDAAYTATTEEDEQVVARTVIRIALKFPEAICQLVRRLTPGNVAAAFDWDLLDSDHLQKKAQKVVNRT >ORGLA09G0068700.1 pep chromosome:AGI1.1:9:9869501:9870795:1 gene:ORGLA09G0068700 transcript:ORGLA09G0068700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEFVQDEEKQRLLLDEHTEKHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANAPSALVLTAGLAEVAAGAISMGLGGYLAAKSDADHYHRELQREQEEIDTVPDTEAAEIADILSQYGLGPEEYGPVVNSLRSNPKAWLEFMMKFELGLEKPEPRRALMSAGTIALAYVVGGLVPLLPYMFVPTADRAMATSVVVTLAALLFFGYVKGRFTGNRPFISAFQTAVIGALASAAAFGMAKAVQSI >ORGLA09G0068600.1 pep chromosome:AGI1.1:9:9862067:9862503:-1 gene:ORGLA09G0068600 transcript:ORGLA09G0068600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPGAWWNREASALPPSPSCMATESDLLRSWLTGSTGMDYKCYNFYRRRF >ORGLA09G0068500.1 pep chromosome:AGI1.1:9:9846264:9847893:1 gene:ORGLA09G0068500 transcript:ORGLA09G0068500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVTNLKSFVEKKGLPKNLVLGSCTVLETAGQGALGTLYKVLESSIAERENGSSAQGQVIWIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRAPIVPSDGGISRTRETTLPVNELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLEALS >ORGLA09G0068400.1 pep chromosome:AGI1.1:9:9829192:9830552:-1 gene:ORGLA09G0068400 transcript:ORGLA09G0068400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1QNQ5] MVVVVAMPPALSLLVLLVLALHGGAGDATPPPPLRLVRGARRVAFDEGYTRMFGDGNLAVLRDGRRVRLTLDESTGAGFASQDVFLHGFFSAAVKLPAYYAAGVVVAFYLSNGDTYEKTHDEVDFEFLGNVRGREWRVQTNVYGNGSTAAGREERYDLPFDPTDELHHYSILWTRRRIIFYVDETPIREVVRTAAMGAAFPAKPMSVYATIWDGSAWATLGGRYRVNYRYAPFVAEFADLVLHGCAVDPLAVEHSASCGDEEEGAAEAVVSSAAMAGFRRGHMSYSYCHDRRRYPVALSECALTGGAASLGRLFGPDGMKRRRARRARDASS >ORGLA09G0068300.1 pep chromosome:AGI1.1:9:9806176:9813301:1 gene:ORGLA09G0068300 transcript:ORGLA09G0068300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVWELELMTSWSNSMGRHRYPTRILFDSFGHKCGASDKGVWTSCSIRTPLQGRGSFRRGANIRFGSLPSSAAVATSGGGRGGGGVVVGGGGGDPWRRLDGSTASTELSLSPPPAQAAGGGGGGGGGADALPWRHRPSPPSSAVATTSAAAAAALMAPMMLQPLDAGGGASAPPPPIRGIPIYNGPGGFPFLQPSPAAGDVGHHHHHHPKMGFYSSYHHPSTWPSTSPSPLAAPPGAASSPLDPTAAFLSSPHHRMLSAASGRLNGMLSVSDTLRSYGVPGAAAPGVIGGAHHHHHHLHGGQPFVGALASRFMPKLPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSGPADGGSGDEEFAGGGQAASGGGDSMCLRGGGGGRAAAAAFAEHGRSASEGAASSVGGGGGGGDMDQSSAGNTSTTRWSNSSRDPWLSSNSCNMDAHRSVGLSSPIENLEPCRSSSSQVSNHELSSPSLEFTLGRPDWHGADHD >ORGLA09G0068200.1 pep chromosome:AGI1.1:9:9771976:9772978:-1 gene:ORGLA09G0068200 transcript:ORGLA09G0068200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAARALLVASVGSVALAALTVLLVAAFVLVAAATSAAAVAAVVSLAALSALLAVAYVGALSVAVFVVAATTAATVVAITIATGWAALFWIIWFAARKCLDLATN >ORGLA09G0068100.1 pep chromosome:AGI1.1:9:9766473:9770985:1 gene:ORGLA09G0068100 transcript:ORGLA09G0068100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:I1QNQ2] MASLTLPPAPTNPRQDAIDLHKAFKGFGCDSTTVINILTHRDSMQRALIQQEYRTMYSEDLSRRISSELSGHHKKAMLLWILDPAGRDATVLREALSGDTIDLRAATEIICSRTPSQLQIMKQTYHAKFGTYLEHDIGQRTSGDHQKLLLAYVGIPRYEGPEVDPTIVTHDAKDLYKAGEKRLGTDEKTFIRIFTERSWAHMASVASAYHHMYDRSLEKVVKSETSGNFELALLTILRCAENPAKYFAKVLRKSMKGMGTDDSTLIRVVVTRTEIDMQYIKAEYYKKYKKSLAEAIHSETSGNYRTFLLSLVGSH >ORGLA09G0068000.1 pep chromosome:AGI1.1:9:9754871:9758668:-1 gene:ORGLA09G0068000 transcript:ORGLA09G0068000.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAGTGSSRREFAVFETARGDALFTQSWTPVAAADRVKGIVVLLHGLNEHSGRYNHFAKLLNDHGLKVYAMDWIGHGGSDGVHGYVSSLDHAVGDLKEFLEDVVLEENYGLPCFLFGHSTGGAIVLKAVLDPCVEVHVEGVILTSPAIHVQPSHPIIKVVAPIFSVLAPKYRVAALHRRGPPVSRDPEALKIKYADPLVYTGPIRVRTGNEILRISSYLQRNLSRVTVPFLVLHGTADTITDPGASQRLYQSSASAHKSIKLYDGYLHDLLFEPERDDIANDIINWLSSRLDVLQRW >ORGLA09G0067900.1 pep chromosome:AGI1.1:9:9747857:9750089:-1 gene:ORGLA09G0067900 transcript:ORGLA09G0067900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKHIILPRLVPSKLSHRQQLCGHRSVSEISGVVDETLGKRPLDGQNDILRYRVFTSTWNVGGMTPSSDLDLEDWMDSTANSYDIYVLGFQEIVPLNARNVLGPRNSCISTKWNSLIGEALNKRRRRGSVLHQEITNSSATERSAQEEHFRCIMNKQMVGIFMSVWVRSNLRPYIHHLNVSCVGSGIMGYLGNKGSVSIRFVLHETSFCFVCCHLASGGKQGDVLLRNFDAADILVRTRFPGGATQELPKKILDHDQVVLLGDLNYRISLEEAETRLLVEDKNWSILLENDQLLIEFSTGRHFDGWQEGLITFSPTYKYHPNSDQYYWCFDGALGKKKRAPAWCDRILWRGKGLKQIQYDTCNYRLSDHRPVRAVFHAECVIRGDADCACGCIALSSSSE >ORGLA09G0067800.1 pep chromosome:AGI1.1:9:9733692:9734204:-1 gene:ORGLA09G0067800 transcript:ORGLA09G0067800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTEAAPRDDEPGDRRDVDDENERRRRRWTAEGFGWRGSDDEDDLAAAMPEVEMATSAGALARRKGLPEWVPTRPRERRRGRRRSGEIRATPWLGRGRGWRSGAGRGGGDVGRRTGDAAEAAGGGTVAATPLLPAGMHFRRSSRETEGRPAKRRRLRRWRRRRHGRPAC >ORGLA09G0067700.1 pep chromosome:AGI1.1:9:9716162:9716893:1 gene:ORGLA09G0067700 transcript:ORGLA09G0067700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGIKENKQSILLDPKLAPMLWFHYNYYMPMEESDNEDNEESGDDDDVSDEDEFSETSDADESTEETDDDGISEDNEIDVNGDDDDNTTDEAKEVNDTLLLYNISSKQLVAHDKPDDLKDHFYWITPQVGGGSSTRHLVIVS >ORGLA09G0067600.1 pep chromosome:AGI1.1:9:9705183:9705905:1 gene:ORGLA09G0067600 transcript:ORGLA09G0067600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSRRVYCVNTTFALQLTRATRDGDDGEWGPWDRTEFNVEARQLILAAPISNPVLHDGLLYVLGGDGKLAVYDPCNHADNFRLLGKPDNFGIDHQEVDSHLFESDQGELMAVLVGYNGAPVHVTKLNEVTMEWDKLETLEGRTLFTGTYTTMMRKTKFKSMQNKVFLPRLYEWPETIHVDLIIRDGEVAFVPKSYSPSSIKKITSSMNIWSYEIGQQEEEAREFWGLERVDYVIWVDFSTS >ORGLA09G0067500.1 pep chromosome:AGI1.1:9:9703202:9704248:-1 gene:ORGLA09G0067500 transcript:ORGLA09G0067500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDNNECPSSLDPKLAPLLLFGGGDGDDDDDDDATFMYSVRTRALLPRRSTDDDDMDATMRAHRRWTTAQGWLLMAPRRGSSPSPCTATFLWDPFTGRRIALPPDHDDTVLTHGCDRMCLLSRRRPTDPDCVVVVIDLDDTVLWYCRPGDLHWVEHHYLQPGTPHHEHRDCVGWAIGNLTAIDGKFYTDFTDHVAVLEFSPEPVFTVTAVDGDHRCPAGYTRCTGNLVESNGDLHHVFFSHPIGCSRIVARVSVYKLSVATQKQRSAWVKVDSLDGRVFFVGIDSLGVGASLDAKETGLKGNCIYYWGINGKVLNVYDMERGTTVVINPGENLPYDQSPQVLMPTR >ORGLA09G0067400.1 pep chromosome:AGI1.1:9:9697821:9701021:1 gene:ORGLA09G0067400 transcript:ORGLA09G0067400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAALSDDDRLVVAHCAALSFPPPAAAQQPPPPPSTSSSSSGAGAGAGASFQVHHASHPYPCAAFAFPPSWSAPGWAAGRAAFGDAEVDPSLFPSLRSVGSGVPARANAAFLASFRALLDDSPLQSEVIVCFELQVSRAVAEEKRIVFTGHSSGGSIATLAAIWFLETCTRRGSVNQAHPFCVTFGAPLVGDNTFNHAVRREGWSQCILNFVVPVDIIPRIPLTPLASATEGIQAVLDWLSPQTPNFLPSGMPLIISQFYENLLRSTLSIASYEACSFMGCTSSILGTLTSFIELSPYRPCGTYLFLTSSEQLAVLTNSDAVLQLLFYCLQLDPQQQLRDAAERSLSAHWQYEPIKQSMMQEIVCVDYLGVVSSTLPGRQMSSTIVGGLELSKEAMLSLSAAGQWEKQRETNQAKIDGANCTKIREALKSLNEYKRTCELHEVSYYDSFKLQREVHDFNANVRRLELAGLWDEIVEMLRRRELPDGFEGRQDWVNLGTLYRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQEWHEQLQRISFGSSLESCFWAMAEELQAEIANGKTFEDVRDRVVKLESDAHGWFMSESLGKDIFLSRSSFVIWWKTLPENHRSASCIAKLVP >ORGLA09G0067300.1 pep chromosome:AGI1.1:9:9689184:9694932:1 gene:ORGLA09G0067300 transcript:ORGLA09G0067300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRHRGGGTHAAPLLVVVVVLLLLYLGRLPRAAAVGLGGGLGELMTKVSFSPGDVLPVLPRQVSWPVMNTLHSAVDLLPSFVAAVAPEAAPSDAAWSGACFARNEAALELTPGDRNGTELGGAVLRLKTASAKSWTCMDLYVFATPYRITWDYYFAAREHTLEIKSWEEEAELEYVKQHGISVFLMPSGMLGTLFSLIDVLPLFSNTGWGQHSNLAFLEKHMGASFEKRSQPWITNIRKEDIHSGDFLALSKIRGRWGAFETLEKWVTGAFAGHTAVCLKDEKGEVWVAESGFENEKGDEIIAIVPWDEWWAMALKDSSNPQIALLPLHPDIRARFNESAAWDYARSMVGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSIWTRLQPLYAANMWNEALNKRLGTEGLDLHGIIVETERRGMSFDQLLTIPEQDEWVYSDGKSTTCVAFILAMYKEAGIFAPFAESIQVTEFTIRDAYMLKIFEDNQARLPSWCNTESDKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPTYKRPARC >ORGLA09G0067200.1 pep chromosome:AGI1.1:9:9666731:9667508:1 gene:ORGLA09G0067200 transcript:ORGLA09G0067200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSCDLIEYFEGVEGVSKIKPGYNLATWMLEVTTLAQEDILGISFGDVYKNSDLYQRN >ORGLA09G0067100.1 pep chromosome:AGI1.1:9:9665214:9665432:1 gene:ORGLA09G0067100 transcript:ORGLA09G0067100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAAAEEEADAAAGERDGGDGDDPRTLPWQSQGRCRGPRQRWWSSMDSRSRWRQSGTRDASWTRRVVRCSC >ORGLA09G0067000.1 pep chromosome:AGI1.1:9:9654134:9654460:1 gene:ORGLA09G0067000 transcript:ORGLA09G0067000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAEILGWRSLQHLVESGGDKRIYVPDLDLLKAGKGRRQTRCLRNDMDASEAGGPVRRCEDYLQYEHRTRDCKNNKEGTSSSMESRQQRARRNRRGSQGAHDREEGNLL >ORGLA09G0066900.1 pep chromosome:AGI1.1:9:9653789:9654133:1 gene:ORGLA09G0066900 transcript:ORGLA09G0066900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QMSNTQTPFCKKMAEYLQEKAKKARFHTVITTGNVRRKWEVTCRTKGGFGSSTGVMTHKVTLGHESDNTCSCSCNKPKLLHKPCSHVLAACAKIKLDSTSYVSMFYLKDRVLNA >ORGLA09G0066800.1 pep chromosome:AGI1.1:9:9638952:9639494:1 gene:ORGLA09G0066800 transcript:ORGLA09G0066800.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLISSAGVRQHGYAAGTCAWQVARQQAAGGRQAAAAAWXVEGFLSKLRPALPQFKHFLSLSLPQFKHFLSLPQFKHFPRVANQLIC >ORGLA09G0066700.1 pep chromosome:AGI1.1:9:9622666:9624305:-1 gene:ORGLA09G0066700 transcript:ORGLA09G0066700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERHLLTGSQPVKRSDLSYIYFKWSSYDYYQRPARNLRELQLRMPRADKALSDPVETKDHENSIRHCGRLHVSMVLHQDRLRRVRQMLTRLRRRLCAFSITRERLVDLDKEYRMKRWRDESAISDLAGHELEEEGYPVVDYKSEPQTATSTTV >ORGLA09G0066600.1 pep chromosome:AGI1.1:9:9579944:9580213:1 gene:ORGLA09G0066600 transcript:ORGLA09G0066600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSEEWEVDGGAGEWVGDRRPVKSWGWRRRVAVARIWPGTRGRRGGGGGLLVEEKRQRRWGRRTDGEEVAAGGEGKEERRVYGVAV >ORGLA09G0066500.1 pep chromosome:AGI1.1:9:9578258:9578810:1 gene:ORGLA09G0066500 transcript:ORGLA09G0066500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAHFQDVVDYAVHHALANQSGMLVNTMSTVVKSMLDGTIYNAAQGPIFRQHIGSRENNFIGAIDISSSNIFSANDPDKTAGGGVGSSFENEVTTNVGLPARSQYYPADNSSSSIPTWIFADSTNWHTTADVESTIAFKPG >ORGLA09G0066400.1 pep chromosome:AGI1.1:9:9550820:9554415:-1 gene:ORGLA09G0066400 transcript:ORGLA09G0066400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:I1QNN5] MEDPPPPPPPPPQRLRCAVQHYEWGRRGAASIVARLADQQDPDLARADTRRARPRRRRAVGRRPPIPLQAGADDAGYVQVLSVAKALSIQAHPDKKRAEALHALRPSVYKDDNHKPEMAIAITEFRALYGFAAIQELKDVLRTVPEVEGLIGHEHAAKLMSFKEYDAGNQVKSTLQSAFANLMLASKDMVSEALSKLISRLNIESKIRTLTDKEELVLSLERQYQEDVGVLAALFFNYVKLSPGEALHIGANEPHAYLSGECIECMATSDNVVRAGLTPKYKDIQTLCSMLTYKQAFPEILQGVPVQPHVRRYSPPFDEFEVDWCLVPADEVVTISSVPGPSVFLVITGEGGLHAESLSGGKKEAKEGDVFFVPAYTEINLSTHKSMQLYRAGVNSRFFS >ORGLA09G0066300.1 pep chromosome:AGI1.1:9:9503633:9509343:1 gene:ORGLA09G0066300 transcript:ORGLA09G0066300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQRQRDTSSEEELPREPWTVEKDVHLLNNLAAHGGEFQREPWVEKDDAASWTVEKDDVPLVNNVVAHGDPEGSSNSLARSGGHLANVHEGAGMFYQPNFKYIFCDMDGTLLDSSGLVPETNAEAIRVARSRGVQTIIATGKSRPAVIEVLGKVNLAGTGGIVSESSPGVFLQGLLVYGEGGQKLYQQNLDIEVCREALLYSLKHRVALVAFSQDDCYTTLDDHPLVDFFHVMYHEPKAKIISDVDHFLSTIDIQLLLSYCFICYNGKMQKFVFLETPEVISSVLRPHWARRVDGKAQVVQAQGDVLEVVPLGTSKGNGVKILLESLCASPDEVMALGDGENDKEMLQLAGLGVALCNGCEVTKVVADVIGASNDESGVAQAIYKYL >ORGLA09G0066200.1 pep chromosome:AGI1.1:9:9457449:9457874:-1 gene:ORGLA09G0066200 transcript:ORGLA09G0066200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLDSDSRESEGRWLVGPTEQRTKGAGPPWTKSTGPRMVRHVAPTGHHAAGRAGHGMDGNDLPRGKSGGWGWAASRPARAWRTAGTGDRPTAASGDAGSGTDSGADVTACMWGAAARAEEERKGREGVLTSEHSGRREG >ORGLA09G0066100.1 pep chromosome:AGI1.1:9:9451535:9454746:-1 gene:ORGLA09G0066100 transcript:ORGLA09G0066100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSFAGSLVDYFVHAPSLGSNKPLGQACMATLQVDRAVTVHPGGSCRRRQFRPSPRPCQASRSHGVRLSLLSDAGNRLLLLGSELNGGKP >ORGLA09G0066000.1 pep chromosome:AGI1.1:9:9440717:9441529:-1 gene:ORGLA09G0066000 transcript:ORGLA09G0066000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIVSSLFESFQVHAMTCFHEGMGLATLSHDMNSLSHDYCNMLIRLLVFRHNGLIKY >ORGLA09G0065900.1 pep chromosome:AGI1.1:9:9437489:9437929:-1 gene:ORGLA09G0065900 transcript:ORGLA09G0065900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPVSPKPAPAALASVAQGVEDDEEKAEVDDGSGGGGYARTPDLGCPYYYAPPKKEVATVAISNEDMRTMREREGIPELEEAETRGQRRRRTPRPPKQQKLRSEWPIKKRPKTCAG >ORGLA09G0065800.1 pep chromosome:AGI1.1:9:9405353:9409848:-1 gene:ORGLA09G0065800 transcript:ORGLA09G0065800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLATVAAGVVLCRLVVAGGAALGASASATLGASSRTPQLHASARLALLAAARRGGGLPFSPVTLASLPLLPSEMELPANGDRPREWLWLIAFDDLEATYRLLYRHRGEVQQRLDRASDLPILLWDAAEELLDGSLLVVGVVAVLHHLLQKSVETEGKVINILTWLEGHVLPLLAECLQCGLASAVATDACRSDGVLGLLGSPLLGKRELHLGRDCSNEGILRPSILVVVDVAVPNCLPHVPHLEPHPHDRSPLDVGCLGEGRPPTVGADFPDDGLKPVVSTVSVRGGRNAPPVTTAISVDPTVAAESTAVMRTPARGSADASKLVWAAAAARAPARYTAGSSEKADDWRCDSACRLREEFTGLCSLRISSQPIVSTDHRSLMLDFLRPMCFVNTKAVIFWSYFLVSSFHAHQNWERQDVLGVFQVSEMEQQVWYYHGYLEMISFCFAYVRSQRNILFLQIRISLLLHGLCDLISMFFDSWYVTDLFVIPYCAGSSFVT >ORGLA09G0065700.1 pep chromosome:AGI1.1:9:9399044:9399559:-1 gene:ORGLA09G0065700 transcript:ORGLA09G0065700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMPAFLAAPSLPPALLATPAPAPARRGGRGCRIRQPRCPRRPIWSPGVDGKRYHSRLIAVSSTRKVPLSSTRFGGGQKGIVTIERNNVWIRISVSTSRQRIQHQPSTRFLC >ORGLA09G0065600.1 pep chromosome:AGI1.1:9:9396488:9397047:-1 gene:ORGLA09G0065600 transcript:ORGLA09G0065600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSSSVLITREDNEIKQIRNHLFGCIKLTSLSCSKILCSNQCLNYRLADWAQELGIFIELSFYFIAYISPHTMETVIQFHLYHYK >ORGLA09G0065500.1 pep chromosome:AGI1.1:9:9382530:9383255:-1 gene:ORGLA09G0065500 transcript:ORGLA09G0065500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGCTASTRPWRYSSPARRKMATASGGLGTEREFNVEAGTQLIPAAPISNPVLHDGLLYVLGGDGKLAVYDPCNHADNFRLLGKPDNFGIDHQEVDSHLFESDQGELMAVLVGYNGAPVHVAKLNEATMEWDKLETLEGRALFTGTYTTMMRKTRFKSMQNKVFLPRLYEWPETIHVDLIVRDGEAAFVPKSYSPSSIKKITSSMNIWSYGIGRQEEEAREFWGLERVDYSIWVDFSTS >ORGLA09G0065400.1 pep chromosome:AGI1.1:9:9372940:9379196:-1 gene:ORGLA09G0065400 transcript:ORGLA09G0065400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSVSGGSGPGGVSPEAIIEWLQDEMGYPSAPPAPDQLRKICRGNMLPVWSFLLRRVRSERTVSTARKNILVHGVAARRAREGGAGAGAGAGGDAAAREAEARERDLATEEAERLRGVVRRQRKELRARIAEVAREEAERKRVLGERSNARHKQVMLEAYEQQCDEACKIFAEYQRRLHQFVNQARDVRRSSIGAGGPADAVEDMQLQSEREELYSTVKSNRLSDDLVETSRERSIRKACETLAGDMIEMIRSSFPAFEGSGINSSSQLDAAKLGIDLDGEIPQDVKAVALDSLKNPSLLLQSIITYTSRMKILIHKETDKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKTGSELSTRGTYDQLLERQKEHVQQFLATEDALNKAAEAKAKSQKLLQRLHGTSDAAGSKKMPTGNTSQNMTNSRHLELDVWAKEREVAGLKASLNTLTSEVQRLYKLCAEWKEAEDSLKKKWKKIEEFDARRSELECIYNALLRANMEASTFWEQQPLSARGYASRTIIPACNAVVDMSTNSRDLIERELSAFGQSLDNSLCRLPATPQALLEALGSNGATGSEAVAAAEKHAALLTARAGARDPSAVPSICRISTALQYNSVSPGTEGTDSGLASVLNSLEFCLKPCGSEASILEDLSKAINLVHTRRNLVENDRVLLNRAHRAQQEYERVANYCLKLAGEQEKMVSERWLPELRNAVQEAQRCFEDCRRVRGLVDEWYEQPAATVVDWVTIDGQSVGAWINLVKQLHMEISRRTLAISTAGDD >ORGLA09G0065300.1 pep chromosome:AGI1.1:9:9363495:9364121:-1 gene:ORGLA09G0065300 transcript:ORGLA09G0065300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADRELATSGKNPDKLQSAGSFLMKVFGALAVKGPKRIGALYVTCQLFKIYFRLGTVHLCRSVIRSIXXXXX >ORGLA09G0065200.1 pep chromosome:AGI1.1:9:9350768:9352417:-1 gene:ORGLA09G0065200 transcript:ORGLA09G0065200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1QNM3] MGAGRPRRWKLPFHRSAPSSPSSPPDPEPHSPARSAVVVVAEEEAPPAEFVCSILGALMADPVILPSGQTYERACLQVCAELAFLPPGMGSASDAVIPNAALKAAIGTWCARSGRVVPAPPSADAAREAVLRAMPADAAKSVRTRRAALASSSNSSYSSPASAASTSSYTSSSEIIPAEDEVGVKPVKEGTNKDAVREQVEMAVDPLEDVVVAKVMDAEEEEEEEVVLAVAGLREATRESAERRRALCTPRMLAALRRVLLIPRHASARVDATAALVNLTLEPANKVRIVRAGAVPPLVEVLRSSTSPPEAREHAAGALFGLALNEDNRAAIGVLGAVPPLLDLLTSPAHAAPARRDAGMALYHLSLAAVNQSKIARFPGAPKALLAVASSAAERMPIRRLALMVVCNVAACTEGRAALMDAGAVAAVTAILSHDTRSADLDEWCVAAMYAMSRGSLRFRGLARAAGADAALRRVAEECAPGIVRRDMARKTLRAMRNEADDAADLTGSSLECGDGDDCAGSIVSDGLMSFRRRQRELGSSSCGNTAEF >ORGLA09G0065100.1 pep chromosome:AGI1.1:9:9339350:9340234:-1 gene:ORGLA09G0065100 transcript:ORGLA09G0065100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVASTSRVRGWSSLPTDVLVRILGSLRWSSHPNAALVCRQWRSAVSLSSFYPAWITPLLLSTADVGTANIRYYSPYYDKNFEVHGPLKVPGAKIRCSTGRHLSMCVDQSLVFDIDLVTGALVEVLPPKPHALFNFVVSDGDERLFGVEAILTIKVASSIRNSSGEWEDWKLTEYHPDLPRIRVSPDTNPVHHNGLLYLLAQDGRLLVYDPCRHDNGFEILDKPDGFGFKCEDSYLLRSSQYELMVVLIERRGKAVHVLKLNEETMEWEKVESLHGQAVFTGSLTTIIKKPKF >ORGLA09G0065000.1 pep chromosome:AGI1.1:9:9318755:9319306:1 gene:ORGLA09G0065000 transcript:ORGLA09G0065000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQICAQVWLATSLLADGLTVASHALLASVFAKKDHYKVAVTTARVLQLAVVLGVRLTAFLAAGMWFGGGVFTSDAAVISTIHKGVPFVAGTXTINTLAFVFYGEWRGMASIRIG >ORGLA09G0064900.1 pep chromosome:AGI1.1:9:9313845:9315065:-1 gene:ORGLA09G0064900 transcript:ORGLA09G0064900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHSTETNKKGQEYSTLLDPKFAPVLLFVDYNLDDTHDEVNSEDEDYTIEDEDEELDHEDDNSFDEDISDNDKDDDKLGEAANDICKGDYNENNEDARTEESDDTDDQEEDVTIFFYSIARKELLCKRGDIFNCHSFWRTPQGWLLMVHLESHETFLWSPFTDQRINLPLDEDSFLTNNEVVCLLSHKPTYPNCVVLVVNCRNTSFWYCYPQGHTWFKHEYQSSMITTHENRRNVILTMKDLTAVGGRFCTYLSKEKAIVTLEFLPNPTFTTTPVKDASDPIYWYTTFTNCLLESCGELFMLSFKHPVVCAQKVVQIEVHKLDLSRRIWVKVNTIGNKAFLVECIGFGSSLTAEDVGLKRNCIYFVRPNDKGLYVYDMERGTTVMHNPGADLPDNMTLQIVVPPF >ORGLA09G0064800.1 pep chromosome:AGI1.1:9:9303449:9304675:-1 gene:ORGLA09G0064800 transcript:ORGLA09G0064800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CYPTVXTVVRKTKNIXLALLDPKLAPVLLLVAYRIIDTDDKGNTEDEYCPIDDEEEELDHGDENSHDEDIVDNDKDDCDLGDENDNHTCERDYDGSDDAGTEESDDSDDQEEDDTLFFYSIAKRELLSKRVDEFGIHLYWITAQGWLLMVHLESYEIFLWSPITNXKINLPFDEDNFLANNNVVKCFLSHKPSDPNCIVLVVNCRDTMFWYCHPKGDIWFKHEYQSSMISTGEDRENVIATVKHLTAVGGRFHAYLNKDKAILTLEFLPKPTFTTTPVKDAPDPSYWCTFSTCFLVESGGELFTLSFKHPIECVDKVMQIEVHKLNLSERIWMKVSTIDNKAFLVDRIGFGASLNAEDVGLKRNCIYFVRPKDKGLYVYNMERGTTTIHNPGEDLPDNIALEIVMPPS >ORGLA09G0064700.1 pep chromosome:AGI1.1:9:9279693:9280826:-1 gene:ORGLA09G0064700 transcript:ORGLA09G0064700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAASTSQARGWSSLPTDVLVLILGNLRWSSHPSVALVCRHWRYAASLCPFYPAWITPLLLNTAEVGTANIRYYSPYYDKNFKVDDTLKVPSAKICCSTGRHLKMRADKSLVFDIDLVSGALVEVLPQKPFMLFNFVVSDRDERLFGIRAMFTIEIACAIRTNGDEWEDWNLAENSPDWSQLRASPGTNPVLHNSLLYLLAQDGRLAVYDLCRHHEGFKILDKPNSFGFKCEDSYLLESNQGELMVVAIERRGKKVHLVKLNEQSMEWEKVDSLHSQTVFTRSLTTMMKKTKFNRMQNMIFLPRFYQWPETVHVDLVARDGELAFVPKLPFCADTYLDTCGTNIWSYELAHGAATKEFWGTERADYSIWVDFGDN >ORGLA09G0064600.1 pep chromosome:AGI1.1:9:9271908:9273115:-1 gene:ORGLA09G0064600 transcript:ORGLA09G0064600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKEGQEYLALLDPNFAPVLLFVDYNVDDSEYNTDDDYYSIDDEGEELDHASDDSLDEDINEDTEDEEDGKLGEVDDRCEEDNEGSDEDVGTDEVTDNDDQEEDVTVFFYSIAKRQLMSKRVEEFNTHFYWTTPQGWLLMVHPESHKVFLWSPFIDQRINLPFDEDVLCAQKVSQIEVHKLDLSRRIWVKVSTIGNMVFFVHSTDSGVSASLNAEDVVLKRNCIYYVRPKDKGLYIYDIERGTTSVHNPGVDLADYLTPDIMMTPLS >ORGLA09G0064500.1 pep chromosome:AGI1.1:9:9256187:9260377:1 gene:ORGLA09G0064500 transcript:ORGLA09G0064500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G59990) TAIR;Acc:AT1G59990] MALHHLRHAPLALRLARLPHLAPSPPPPPAARRRLLLLLAPSQHPAPPWRLLSRPRALATAAAEADDAGAGGNGDGDGFFSEESTSWESLGVSDRLASALHGAGLARPSLVQAACIPHVLTTNDVIVAAETGSGKTHGYLVPLIEKLCSKSISAEDGNSQDITSGSPNIALVLCPNVMLCEQVVRMANSLVDESGEPLKSAAAVCGPKGWPIVRPDILVATPAALLNYLFDYDPEKRRRERFLRNVKFIVFDEADMLLCGSFENQVIRLIHMLRFDEKLLSRMEDSGKEISLGDTNEYCEDSDSESAELSVDDEENEDGLVQHRPVNVENAHIGAHKKDWRRVRKVYKRSKQYVFVAATLPQSGKKTAGGVLKRMFPNAVWVSGAYLHRHNPRLERRWIEVSADTQVSALLDAVKYGLKSEVHDTKLGPNRTMVFTNTVDAANSVSDILQRVGVPCILYHRDSSLEERAKNLQSFRENGGVLVCTDAAARGLDVPNVSHVIQAEFAACAVDFLHRVGRTARAGQSGIVTSLYTEANRDLVRAVRQAEELAQPVEKAFSRKRSFRNKLKKQALHKSTALLS >ORGLA09G0064400.1 pep chromosome:AGI1.1:9:9253781:9255001:1 gene:ORGLA09G0064400 transcript:ORGLA09G0064400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQNALSDFNNQIKEYMMNGSASTPPPQINLAALFPSHSSPTTQQNATENSSRNVFNQIDGNNSGNCSQQDAGLSNNEHGDMGNNSENVALQRMDGSTFGYSSQQTAHATNQGNSKRGRDGDYVDSEDDYADDGNYDDVDETPDPFFSLLGI >ORGLA09G0064300.1 pep chromosome:AGI1.1:9:9237650:9243061:1 gene:ORGLA09G0064300 transcript:ORGLA09G0064300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAGGGGFGDLFNSVRRSIAFRTSTAPETPGPLGGGGGIGVRISSCLRKSRGMGLLGLISKSPSPPRRLLPPAPEFSGGGGGGGVGRGGGGGEESPQIRWRKGELIGSGAFGQVYLGMNLDTGELLAVKQVLIGSNNATREKAQAHIRELEEEVKLLKNLSHPNIVRYLGTVREEDTLNILLEFVPGGSIQSLLGKLGSFPEAVIRKYTKQILQGLEYLHNNAIIHRDIKGANILVDNKGCIKLADFGASKQVAKLATITAAKTMKGTPHWMAPEVIVGSGHNFSADIWSVGCTVIEMATGKPPWSQQYQEVALLFHVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTASDLLKHPFVTGESENLQPLNCAAQQETCVNELPAHDLSSGLGLNHSVNWPTISSNRSSKIKPLWEGSCDEDDMCEFADKDDCPAVGSSYNPMSEPFDNWESKFDASPEQNSHQSMEFGGLAKHAESSMTENDFTFPCEGSCEDDDVLTESKIKAFLDEKALDLKKLQTPLYEEFYNTVNAGNSQVADHTSNGIFSNSPKLPPRGKSPTSKMRGGAAAASTCDNSNNTRPESCSNQLSEDTVQSSRILREIASPQLDELGNKIHSDVQDSPSVSFAERQRKWKEELVQELERERGKTTSGKW >ORGLA09G0064200.1 pep chromosome:AGI1.1:9:9217514:9219284:1 gene:ORGLA09G0064200 transcript:ORGLA09G0064200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEAPCSCMDTREVLVVNPTGSNQVDPDYLESEKRLEKWFVQRLAETIGFITSTPENIPTTLKRYRSDFSAATTLKRYRSDFSAAIISKLVKAESKRYKFIAVGGLSWRAFGRTCRNQKVQATGSRRRWAAKDKSEAIWAKAVTGGNTTFAKWSSRQKAARAKMMWRKPRHTGTGFTIAPNPVDGRLGGPLRSRGISPDRWAELFRPL >ORGLA09G0064100.1 pep chromosome:AGI1.1:9:9214263:9214700:-1 gene:ORGLA09G0064100 transcript:ORGLA09G0064100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLTTVGGRFYSVDHLQKHFLVVALEFLPVDGAAPQFTAVATNDTEHTPAGHSTTVFRAVESDGELFLVAMYYVKPRDRVASKILVLKLDLLKRAKVEVMSTLGERSFFLAASSKFGASVRAKQVGLKENCIYYLKPDDKGLKD >ORGLA09G0064000.1 pep chromosome:AGI1.1:9:9208752:9211083:-1 gene:ORGLA09G0064000 transcript:ORGLA09G0064000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAFLSALRSRLRSPQPQAPALPHLQPPRRGFHVELGAREKALLEEDTALKRFKSYKNSVKQVSKVGNILTGVVLFACAYEIVSLANS >ORGLA09G0063900.1 pep chromosome:AGI1.1:9:9205589:9207372:-1 gene:ORGLA09G0063900 transcript:ORGLA09G0063900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLWAAAAAAATLNPPLLTLSASSSPLSSRLRRSVLGRLRSRAPRPADFVCRRAKNAAYDDYKFPDPIPEFAAQETSKFKEHMMWRLEQKKDDYFGEHVEEIVDVCTEILGTFLEHDYCGPGTLLVHPFLDMKGEIKERGLPGAPQAARAAIAWAEKNIDKDWKAWTGEY >ORGLA09G0063800.1 pep chromosome:AGI1.1:9:9199165:9201902:-1 gene:ORGLA09G0063800 transcript:ORGLA09G0063800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADDDDASYLLCAEDAGAAVFDVAVDISTCTTEDDECCSVGGEELYSAASIAELIGGEAEYSPRSDYPDRLRSRSIDPAARAESVSWILKVQEYYGFLPLTAYLAVNYMDRFLSLRHLPEGQGWAMQLLAVACLSLAAKMEETLVPSLLDLQVECSRYVFEPRTICRMEFLILTALNWRLRSVTPFTFHISNAMVQNANSDIQFLDHCPSSMAAAAVLCATGETPSLAFVNPELAVNWCIGLAEEGISSCYQLMQQLVIGNVQRSAAAAAAVNLFSDEGLSYDSSSPPPPKRRKRSPPGT >ORGLA09G0063700.1 pep chromosome:AGI1.1:9:9163220:9164998:-1 gene:ORGLA09G0063700 transcript:ORGLA09G0063700.1 gene_biotype:protein_coding transcript_biotype:protein_coding REGVIEPKSQQRRWRWGIQSLPATDPPRSIGLSILGSQILGMDQDCDGANHGGTEEERALNGMADKLENADDMVEQEESSGSAPSPLFLGTRPKRLRSKVWDDFTPIYIDGKLARAECMHCHQVFNSNSTNGTSRLLKHQAKCSPHPQKRPMQQKLPFPPSSQKSLMEPSSDPTQKKLPFLPISQKRCSGTDDAMPHRKDPALPNTLNDINRRSQEIGKSLARKKLATREQKNPTSPDITNNDQKDQWDDEHPVLKQKSTPAGTNLKNPEVDQNGLIQTLAMCGYLPLMMHNDSFRKCVPCFDSMGKMPANTNIGGGFLQLFDKEKAKVKEKFSALSSRVCLSAHVWHYDPFLAFLCLSVHYIDDEWERQQKIITFRETRKVARVNTRACVVH >ORGLA09G0063600.1 pep chromosome:AGI1.1:9:9149975:9150777:1 gene:ORGLA09G0063600 transcript:ORGLA09G0063600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVIQHPGGKVERLYWPTTAADVMRANPGHYVALVILRISADKAASAAAAGDKKTNAGGATGGGGGGAKITRVKLLKPKDTLLLGQVYRLITSQEVTKALRARKNEKMRRCEAIRQQHEQLRRGDPLAGVAEEEEEESASDDQDGKRDRHRSSGAGAPPAAGGRGRHWRPSLQSISEAASQSGGGGGSSSISESAAR >ORGLA09G0063500.1 pep chromosome:AGI1.1:9:9133934:9135146:-1 gene:ORGLA09G0063500 transcript:ORGLA09G0063500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFMACASPPVLTLALLASCGALLATSMLPARATAGSCLDVGDMVMMDRFRAWQGAHNRSYPSAEEALQRFDVYRRNAEFIDAVNLRGDLTYQLAENEFADLTEEEFLATYTGYYAGDGPVDDSVITTGAGDVDASFSYRVDVPASVDWRAQGAVVPPKSQTSTCSSCWAFVTAATIESLNMIKTGKLVSLSEQQLVDCDSYDGGCNLGSYGRAYKWVVENGGLTTEADYPYTARRGPCNRTKSAHHAAKITGFGKVPPRNEAALQAAVARQPMAVAIEVGSGMQFYKGGVYTGPCGTRLAHAVTVVGYGTDMSSGAKYWTIKNSWGQSWGERGYIRILRDVGGPGLCGVTLDIAYPTLTL >ORGLA09G0063400.1 pep chromosome:AGI1.1:9:9129505:9132491:1 gene:ORGLA09G0063400 transcript:ORGLA09G0063400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGILIYLHDNRCAISLGQSEVIEIKLCLLRILHMFSMDGDFGPLLELIELHRMYGLLLVMDVPWTVGDHCDVGVIGLTKCSGTHGLLPCFDTFLLVSIQRETICVHPLDFMIWPQVKGKCTNLATKATPTRIAIDGDRCSIDPSSPVCYSHFTATIMSSAVFASMRLNATNQSYLPVPITLATAYKMQHGDNLKLKTSHGLKIKIKIKEVASTLYMTTGWR >ORGLA09G0063300.1 pep chromosome:AGI1.1:9:9120493:9123849:1 gene:ORGLA09G0063300 transcript:ORGLA09G0063300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPKADELQPHPPKEQLASVSFCITSPPPWPEAIILGFQHFIVMLGTTVIIPSALVPQMGGGNDEKARVIQTLLFVAGINTLFQTFFGSRLPVVMGGSYTFVAPTISIILAGRYNNEADPREKFLRTMRGTQGALIIASTIQMILGFSGLWRNVVRLLSPLSAVPLISLVGFGLYELGFPGVAKCVEIGLPELILLVAFSQYLPQVLHFGKPIFGRFGVLFTVSIVWLYTYILTISGAYKNAPPKTQVHCRVDRSGLISGAPWIRVPYPFQWGAPTFDAGEAFAMMMTSFIALVETTGAFIAASRYASATMIPPSIISRGIGWQGISILIDSFFGTANGTSVSVENVGLLALTHVGSRRVVQISAGFMIFFAILGKFGALFASIPLPIFAGMYCIFFAYVGACGLSFLQFCNLNSFRTKFILGFAFFMGISVPQYFNEYTAVAGYGPVHTGARWFNDMINVPFSSKPFVAGLVAYFLDNTIETHNNTVRKDRGYHWWDKFRSFKKDARSEEFYSLPFNLNKFFPAV >ORGLA09G0063200.1 pep chromosome:AGI1.1:9:9103243:9104274:-1 gene:ORGLA09G0063200 transcript:ORGLA09G0063200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSTAAAAAYPLLVQRYGVAGVTPSSSSSSMATRVFSLPAMKLLDVNLLEEMCGNTFLETPQGLVLVLMTTSSPPASVFLLDPRDVSRVAELPPLGEGELPAHRRCVLSGRPPEPGCGVLVFDLESPALLFCRVGGERWSRHGYDIGCYDLPEEYFPVPKRRQLFDVAGVGGRFYFAENKGELGTLDFTGGGEAVVGAIAIPVLDYFPAGQVMSSSLTFLVESRGDLFLVAIAFEGYSIGGPYDVHVFRMDFSAAAPGPAWRRATDIGDRAFLVGGDNSSGASCSASGCGVKANRIYWINGFSEDEKRNLYVFSVEDGSVETCDTFESLPGAPRQTPFWLV >ORGLA09G0063100.1 pep chromosome:AGI1.1:9:9081528:9081991:-1 gene:ORGLA09G0063100 transcript:ORGLA09G0063100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRGIGDEDGDAEDGAGGDLRITIYSFTHNSCIPCSMLNAERTVYGINQEYVLIKNS >ORGLA09G0063000.1 pep chromosome:AGI1.1:9:9077141:9078169:-1 gene:ORGLA09G0063000 transcript:ORGLA09G0063000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSSPSAAAGYPLLVQRSNAGSPTMAFSLSDGKTHDDVSLPEMHSSTYLQTPQGXVLVLSSSSAPEMSTFLLDPRYGRKVGLPPLDESELPTARKCVLSDNAPDAGAGVVVLSLQGPAVWFCRVGGERWSTHTYDMGYFSLPVEYRAPKKRHLFDVAGVGGRFYFCEDKDFSLGTLDFTGDGEVALGAVSVPGIDDIFPSPDSSGIAATYLVESRGDLYLAAVVFLGFRAEGPPHKFSVYRMDFSAAGPAWRRTADIGGDRAFVLGGGGGSNFGASCSASGCGVRANCLYWFNSFSLDDNNLHVLSVGDGGVETVAPPPFEHASCVHKPFWLVPTTNNTA >ORGLA09G0062900.1 pep chromosome:AGI1.1:9:9065295:9067972:-1 gene:ORGLA09G0062900 transcript:ORGLA09G0062900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVGRVRVPQPCSPDAAGRHRCDTFDRFTYEDAVSHPAWCEGAKAISIPGEAGPGPQQEPGGAQGPGQKEHLDVHGGVIFSYIEMSSLVNLSRIGADDAPTYANSDEVNAMSTLVSWSDHMEVNDNPTPESRGEVDKLIPNQSYDNLLLRFPCAGEGFPTPPKEHNLSAALVAL >ORGLA09G0062800.1 pep chromosome:AGI1.1:9:9062532:9063734:1 gene:ORGLA09G0062800 transcript:ORGLA09G0062800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVALKGCPLATLLRQLLADAPSTPTGRPVTGPAAFRRTAPAPPPPGGPPPPPPPEGASLRRYDVVDESGPTAARSLRVFSKFSLGDDSTVDLILGARDADQAESDGFLRRLDDDR >ORGLA09G0062700.1 pep chromosome:AGI1.1:9:9060757:9062211:1 gene:ORGLA09G0062700 transcript:ORGLA09G0062700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAMLVALLTILATAAAAAAAVASSSLRRRKNQPPGSLGLPVVGHTLALLRALRSNAAEDWLRRRAAAYGPVSTISLFGRPTAFLAGASCNKLLFSSDKLAAMSSASFLRMVGRRNIREVAGDDHRRVRAMMARFLRLDAVKNYVSAMDDEVRRHLRAEWGGRAAVAVMPSMKSLTFDVMCTVLFGLERRGDHAAVRRELSSEFQQLVRGIWAVPVNLPFTTFGKCLAASRRGRRAVARIVEERRRASGGSAGDLVTHMLAEGMDEEEIIDNVVFLMVAAHDTTAVLLTFLLRHLDGNRAAYERVAAEQEAIAAQRRRRGGSGSALTWDDLAGMRYTWAAAMETLRMVPPTFANMRKAVADVEVGGYVIPKGWQVITAATMTHLDPAIFPDPGRFEPARFEAAAAKSAPPPFSYVPFGGGARACPGNEFARAETLVAMHYIVTGFRWRLAAGCDGGFSRHPLPCPNQGLLLDIEPKEYNELC >ORGLA09G0062600.1 pep chromosome:AGI1.1:9:9055295:9057536:1 gene:ORGLA09G0062600 transcript:ORGLA09G0062600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3353) [Source:Projected from Arabidopsis thaliana (AT5G23040) TAIR;Acc:AT5G23040] MQATAALFLVRPLPRPHYRCLHGLRGGVSLAPPRRRLVARGPRCSMSLSIGGGAGAGAGGDRGFSYEHVPVFPRYRIRDPYKLLGVDRDAAEEEIRSARNFLIQQYAGHEPSEEAIEGAYEKIIMKSYQQRKKTKINLKTKLKKRVEESPSWVKALLGYFEVPQMDIISRRLFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNDKMKNLMRASTTGFGVLVGGWIIGSLLVPLIPTFIIPPSWSLELLTSLVAYVFLFLGCTFLK >ORGLA09G0062500.1 pep chromosome:AGI1.1:9:9053472:9053738:1 gene:ORGLA09G0062500 transcript:ORGLA09G0062500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLAKLVGGIKARLRRRKMLTAAAAAAESSSSSSCYDKMEKTNSMKVEITSRRAQKLIAKNLAIVDAMVAGSNSNNSSKAKKRAFFP >ORGLA09G0062400.1 pep chromosome:AGI1.1:9:9048155:9052235:1 gene:ORGLA09G0062400 transcript:ORGLA09G0062400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-activating enzyme 17 [Source:Projected from Arabidopsis thaliana (AT5G23050) TAIR;Acc:AT5G23050] MGHAAAAAAAAHVPLGAITVDDLLAAGVAGGAAAELHEAVRRAVGARGGDGDAAAVWGELCRAALRPGVPFAVHRMLYYGCFAGFPSATPPAWTPDPEEAVLTNVGRVLEARGREFLGDKYKDPIASFTDFHKFSIENPEAYWKMVFEEMGITFSVEPSCILRENDAYPGGEWLPGAVLNAAANCLTAKPGRSSDDVAIVWRDEGKDSEPLNFVTLEELRKKVCLVANALDALNLAKGSAIAIDMPMNVNAVVIYLAIVLAGYVVVSIADSFAAPAISMRLKISEAKAIFTQDYILRDDKELPLYSRVVEAKAPMTIVIPVRGSTPIKGMRADDLSWEDFLAKVNHAKADNYTAVEQPAYAFTNILFSSGTTGEPKAIPWTHLTPLKSAADGWCHMDIRRGDVVAWPTNLGWMMGPWLVYASLLNGASMALYNGSLNSSGFAKFVQDAKVTMLGLVPSIARSWKSTDCTAGFDWSTIRCFSSSGEASSVDDYLWLMGRVCYKPVIEYCGGTEIGGGFVAGSLLQPQALSAFSTPAMGCNLFILDNNGNPLPQDSVGTGELALDPTFLGASTTLLNADHHEVYFSGMPEWNGKVLRRHGDEFERTPDGYYRAHGRADDTMNLGGIKVSSIEIERICNRVNDAILETAAIGVPPLGGGPEQLTIAVVFKDQSSQTEDLNQLKLAFNTALKKLNPLFKVSSVVAVPSLPRTASNKVMRRVLRKEFTQQPKHSKI >ORGLA09G0062300.1 pep chromosome:AGI1.1:9:9039882:9040626:-1 gene:ORGLA09G0062300 transcript:ORGLA09G0062300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDGFSNNKLLDDFGCGEIKPDRNISSDKIGTIVVNNLIYRDHSSLKLRGVSKDVRSHDIERVRNKEQLSTRVGCGRVHPIIENDLDKCRVVAPNLRTKTGDLSHSTGYGWAV >ORGLA09G0062200.1 pep chromosome:AGI1.1:9:9033373:9035451:-1 gene:ORGLA09G0062200 transcript:ORGLA09G0062200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGRPPPPFPGGRDAFPQAASTVVPDPARFFAPALLSSPLPTNSFFQNFVLKNGDQPEYIHPYSVRSAAAALTLCYPARNHSPSFVIQTFVEDLTVSAASDAAAAAAGQRHRVAAFDDLSVTLDVSPSLRAFLVRGCPFVTVATADAAGPVDISVASVHAFIEVASCDDALTKWRLRMNSGQTFLLYASAPIRLAQSSVTQLSAPGFSGVIRVAYLPDPSMEAVLDQYSRCFPTAGEASLNRPFCVEYTWRKQGWGDLLMLAHPLHLRLLSEDCCVRVLDDFRYRSIDGDMVGVVGDSWVLRTDPVSPTWHSMRGISDDGVGEVAAALRKDVDALASSPITTTSSYFYGKAIARAARFAVIAEEVGCPDVIPAVQRFLKATVTPWLDGSFQGNGFLYEPKWGGLVTLQGSKDTGADFGFGIYNDHHYHLGYFLYAIAVLAKIDPSWGRKYMAQAYSMVADFMTLSRKHGASYTRLRMFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALLGLSYGDTHLVSIGATLTALEMLAAQTWWHVREGDTIYEDDFTGNNRVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPVLPISEALFPDISFVKELVDWTMPSLSREGVGEGWKGFVYALEGIYNKESALQKTRALTGHDDGNSLTNLLWWLHSRGTADDGVVGFSRCCWYRQYCH >ORGLA09G0062100.1 pep chromosome:AGI1.1:9:9014993:9017134:1 gene:ORGLA09G0062100 transcript:ORGLA09G0062100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1QNJ2] SPPPPPPPPSKPKRRRLLSLPAVYPCEDIAPAPLLASLLSLAADVASRRAADVDAFPVLRCGVRKAVRLAGILLAFLEEVQDAAAAAAALPSSAVLGLTELHVAMQKLRFLLADCARRGARLWVLVNAGMVASELRLVLGSVAAAMDALPRSVAEASVEAGELARVVSEQAWRAAVRPDCADERAARSVRSILDQFKDGVAPDADDVRRVLRRVRVGSWSDCSEEIAFLESEICARLDAGDENSNDVLVMNSLMAFLVYCRVVLFDHIDASKSQPAAAAAPAPARCPEWIRPEALQCPITLDLMTDPVTVSTGQTYDRASITRWMKAGCRTCPVTGERLSTADLVPNTVLRGIIERMLLINGVTLPEPSAGGGGGHRHGAVANTAVPFGPAAAGAARLAVAHIVAQLSRGSTEDRRKATSEARKLSKHSVFYRACLVDANAVPWLLCLLSSTDAAVQDNAVASLLNLSKHPAGRTAIVEVGGVGLVVDVINVGAKAEAQHNAAAVLFYLSSNSPDSAEEIGRIPEAIPTLVQLIRDGAYRGRKNAMVSLYGLLQSAANHGRAIAAGAVSALAALLLSADRDDLAGDSVALLARIAEQPSGAAAVLSQPGLVARLAEALAASSASSSRSARDHSVSLLASLCQHGGAKVVAVLGRMPGLMASLYSLVADGGSPQTSKKARALLNEIHRHYEVAPPPPASSASSDAGGDRVVRVL >ORGLA09G0062000.1 pep chromosome:AGI1.1:9:8983808:8987092:-1 gene:ORGLA09G0062000 transcript:ORGLA09G0062000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding;leucine-tRNA ligases;aminoacyl-tRNA ligases;nucleotide binding;ATP binding;aminoacyl-tRNA ligases [Source:Projected from Arabidopsis thaliana (AT1G09620) TAIR;Acc:AT1G09620] MSSNPDGGRSFARRDQLLNIQSDVHKLWDEGKVFEAEPGSKPPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLLPFAFHCTGMPIKASADKLSREAQQYGYPPVFPELDSSIEVADDSQPDQGVSVAPDKFKSKKSKAASKVGLQKFQWEIMRGFGLSDEEIAKFRDPYHWLSYFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDAFVRWQMRKLKKMGKVVKDMRYTIYSPLDGQPCADHDRASGEGVQPQEYVLIKMEVVPPFPPNLKALEGRKVYLAAATLRPETMYGQTNCWVLPDGKYGAFEINDTDVFVLTSRAALNLAYQGLSKVPEKPTCLAELSGNDLIGLPLKSPLSFNDVIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQDLVSKPALRQKFGVKDEWVLPFKIIPIINIPEFGDKSAEKVCLDLKIKSQNDKEKLAEAKRMTYLKGFTDGTMIVGEFNGRKVQEAKPLIKKQLLEEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGETEWKQKAVKCLEKMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHLLQNGNMYGKEIFSIRPEQMTDEVWDYVFCDGPAPTTDIPPALLSKMKQEFEYWYPFDIRVSGKDLIQNHLTFSIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEIAWMEEVIAAESSLRTGPPSTYADHVFANEINIAVIETEKSYNAFMFRDGLKLGFYDLQLARDEYRLSCGAAGMNRDLLWRFMEVQTRLITPICPHYAEHVWQKILRKEGFAIKAGWPVAGSPDPTLRIANKYLQDSIVKFRKLLQKQESGSKKPKKGAAAPPPSEENKLTVGLIYVNEHYYGWKEQCLRVLQSKFDSQARSFAPDEEITEALKNCSIGQETNFKQVQKLCMPFIKSKKDEAKSVGPHALNLKLPFGEMNVLEENLELIKRQVGLDHVEVLSASDEATRAKAGAHVSMLDKTPPSPGEPVAIFISKQEFEAQH >ORGLA09G0061900.1 pep chromosome:AGI1.1:9:8973615:8978681:1 gene:ORGLA09G0061900 transcript:ORGLA09G0061900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSALPPPAVKPDIVDGTSTSARPVDGDDVIKAPAPRPVAGVKKHIGLHVYYRFACSHLTQARVYREKENHVNLYAVLLRFLRLLLHTILKHPDYRTDNSSVKFFIEKTLLEVIGELEYLKSIVQQKRQQQQRNQQEKTTEDNIGSATEPLNLTGNNAEIVRTFQHTTSSNKPQPLDASGTTSSLQKVEPIIHSDAPILKDLVPAKLNQDDLDGHSSTSQYSPTNSHDSRCSVSVEENEFSSSSEEEFPHVESIPKQISSMSFHSTQGHGISDCSPYRMVYVPEELISRFLNEAVENTTKSLETCGIIAGTLRVDMDVKYFIATDLIIPKQESTSYSCEATNEEEILDIFEQLGSPSHLGWIHTHPTQECFMSSVDLHNHYSNQKDLREAFAIVVAPSKREQNIFHLTVPDGMDEIGDCDDRGFHPHDRTTYEECSHVKWDSTISLHNMVDLREC >ORGLA09G0061800.1 pep chromosome:AGI1.1:9:8964866:8965117:-1 gene:ORGLA09G0061800 transcript:ORGLA09G0061800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSATAARKMAVVICMLALIMGQQLTTTAAAGQRGRRLLVAEANNEKLSVGTTAAGAGGGRGNHYHVQCQVGCPNDECTCR >ORGLA09G0061700.1 pep chromosome:AGI1.1:9:8958481:8958771:-1 gene:ORGLA09G0061700 transcript:ORGLA09G0061700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNDSATSAPKMAAVICMLALIMMGQQLMTTADAASPAEQHGRRLLMERELAEVTKKLAVSPAVGDAAVDNSYHPMDCSPGCFIDPLIGVCFCTR >ORGLA09G0061600.1 pep chromosome:AGI1.1:9:8956560:8956949:1 gene:ORGLA09G0061600 transcript:ORGLA09G0061600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRWPWDDEESETEDERQLEALAAVGAERKRVGRHPRLTTPYIGAIYGIERQQREAAWWSIMEAVPERRFKKLKRKALTTEVKNRGNTWTDARH >ORGLA09G0061500.1 pep chromosome:AGI1.1:9:8951219:8951428:-1 gene:ORGLA09G0061500 transcript:ORGLA09G0061500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVICTLALILAQQQLMAVDASPAEQHGRRLLGDCWVWDCPSVEADGCCTMSGCTSTCVDGVPACVCG >ORGLA09G0061400.1 pep chromosome:AGI1.1:9:8948045:8948467:1 gene:ORGLA09G0061400 transcript:ORGLA09G0061400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPPLPVVKPDIDVVIVDGTSAQSVGCQETCRALCLPPLCPLSPYPARSPIDDQNTYEFCSVFLVHTAMRKML >ORGLA09G0061300.1 pep chromosome:AGI1.1:9:8943532:8943774:-1 gene:ORGLA09G0061300 transcript:ORGLA09G0061300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSATATRKMAVVICMLALILGHQQLMAVDASPAEQHGRRLLGDCWVWDCPSAEADGCCTMSGCTSTCVDGVTACVCG >ORGLA09G0061200.1 pep chromosome:AGI1.1:9:8940059:8940996:-1 gene:ORGLA09G0061200 transcript:ORGLA09G0061200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEKAMGSPAVLEPVKTPPPPATDGPISDLMQRQYKEDADATHGTLVGDDADEARRLFLADVVERLDAATSIASNQPWAAQFIGTMGELACGIGTIKVESVWNRVPTNMASSRIPPSPLVLADGGAAAPMLHTVCLQVKRLEARIHEVCAAAAVAAPPFSPAHCLAVYSLPVGPAKDLG >ORGLA09G0061100.1 pep chromosome:AGI1.1:9:8938994:8939383:-1 gene:ORGLA09G0061100 transcript:ORGLA09G0061100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPRQRQGPHSEDGSRSSGWRIAKSSEDGDGAEKGGELGGKAAVVAGSGKAVAALADGFRGPTFSFPLRNLHELASINPKVSTAGTASSLRPPPLARLDKLWGKPDVFRCRRLLRPTVTTAPLLGVRR >ORGLA09G0061000.1 pep chromosome:AGI1.1:9:8925843:8926208:1 gene:ORGLA09G0061000 transcript:ORGLA09G0061000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLFVAQARWPPLPIDELYKDYSSSWATDEIPVSMYASFLIRYSVTNIAHMPFCLPAVPLEAGLSYLEPMHWIAIATFGSNDKPLDLKLQVESSSIAGVEDACVPPEDYLRSIDRPAPLH >ORGLA09G0060900.1 pep chromosome:AGI1.1:9:8917396:8921637:-1 gene:ORGLA09G0060900 transcript:ORGLA09G0060900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G60160) TAIR;Acc:AT1G60160] MDDDDGGIQEEPAPPPPPPPPPPPLRRLLTATRSGGSRWVDGSEVGSSESAPWSLDGDRSLRLSVDSAASAGGASGGGGGGGPLSRASSGAFRRRFGKQPRRVDSLDVEAMSVRGAHGHSSKEISILSTVAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYSLICRYAKVSLLPNQQRVDEDISSFRLKLPTPELERALSVKESLEKNPVFKNILLFLVLMGTSMVIGDGILTPSMSVMSAVSGLQGRVPGFGTDAVVIVSILFLILLFSVQRFGTGKVGFMFAPILALWFINLGTIGIYNLAKYDISVVRAFNPVYIYLFFQTNGIKAWSALGGCVLCITGAEAMFADLGHFSVKSIQVAFTAVVFPCLLIAYMGQAAYLMKYPFAVERIFYDSVPEILFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSKKVMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANAYGIAEVGVMMVSTALVTLVMLLIWQTNLFLVMCFPVIFGSVEFVYLTAVLSKIQEGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMRGKISLDFILDLGSTLGTVRVPGIGLVYNELVQGIPSIFGHLLVTLPAMHSTIVFVCIKYVPVPYVPFEERFLFRRIGQKDYHMFRCVARYGYKDVRKEEHGFFEQLLVETLEKFLRKESQEMALEASAMAVEHDDVSVVSDIPSSPVEAGDLHVPLLSDQRLGDGTQTFITEGNTPVLPTSSISEEDPSLEYELESLREAIASGFTYLLAHGDVRARKESFFTKKFIINYFYAFLRRNCRAGTATLKVPHSNIMRVGMTYMV >ORGLA09G0060800.1 pep chromosome:AGI1.1:9:8898627:8901444:-1 gene:ORGLA09G0060800 transcript:ORGLA09G0060800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYTNLLDMSGEDVFDFQQPFRSLPRFVTSPSITSNPDWDTSNADDSVGPASCCVRKIIVSNFLPLNCTKDEATGQWSFSMDDNQLLVQLKDGFPMESEVVYVGSLNAEVDPGEQDQLSQKLFREYKCIPTFLPADLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKIFGDKVMEAINSDDDCVWVHDYHLMLLPTFLRKKLHRIKIGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLNYESKRGHIGIEYFGRTVSLKILAVGVHVGRLESVLRLPATISKVQEIEQRYKGKMVMLGVDDMDIFKGISLKLLGLEFLLERTPKLRGKVVLVQIINPARSTGKDVEEAINEAVSVAERINIKYGSAEYKPVILIDYPIPSYEKIAYYAASDCCIVNAVRDGMNLIPYEYTVCRQGNEEIDKLRGVDKSSHHTSTLIVSEFVGCSPSLSGAFRVNPWSIEDVADALYKAMDLTQSERKLRHDKHYRYVKTHDVAYWARSFSQDLDRACKDHYSRRCWTTGFGLNFRVIALSPGFRRLSLEHFASSYKKTNRRLIFMDYDGTLVPQSSVNKVPSAEVISILTSLCNDPKNCVFIVSGRDRTTLSEWFASCDKLGIAAEHGYFIRWNKEGEWETSSSAQDCEWKNIAEPIMEVYKETTDGSSIETKESGLVWHYQDADHDFGSCQAKELVSHLERVLANEPVVVKRGHQIVEVKPQGVSKGIAVDTVIRMLINNENAPDFLMCIGNDRSDEDMFESINEAVSRSVFPTAPDVFACSVGQKASKAKYYVDGCSEVIRLLKGVTAITPRREVISQSQVTFRDILEVVS >ORGLA09G0060700.1 pep chromosome:AGI1.1:9:8885913:8893781:-1 gene:ORGLA09G0060700 transcript:ORGLA09G0060700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPPAERRLTVADLLRIRRPTTGAASLVSSSTSPSPSTSTAPPPRKKPRLPAAAPTPTPRSTAPFAPIPHRVLLAGALSLPASGSPVTCRSHCLSLSDSPPPAASASASVCCYLLDFDPDAVGREIHVLAWNYLPSLHHGGAGVLEVVRWRLAEEGTTAPGSGFLKTIPLDCVDDEPDSGTHGHVFGVVRSVSVVFSVPRAGQKSNADGGDNSVGFISEMMCCACRRCRVLPPESDQDHKFELEKFVYFVDSASRWRPVLARMVGRPVSVSGLKKRLVSIDKKGTYTMLVSTRKTMLRWCPSYPAALKLDGSPGDCGGVYTGVVTGIYMQRMLVELDETVWLLIDDQHLAPSHSLRVGAVISVKNGRAICLKLAWTRTLLLGTCIKTSITINSFSLVDSKSYIKAENKGLLGKFVDSFELPARFWMLILIPCFKQKFTKLFSEKEILGSKNHDFFMTFSNHNCGSPRAELNLETFKLRSSVSGTLQLVDATGCIDVVIPDLPPNVCMDSIYEINDYKVVLEGPMAYLDPYDVTDPLSCKAICEHLSFRKRLNHLKIYVIINWSELNRIGPSSIPLQINACAKMFHLLKLTHIFPANKTFQHQNLSGPSLYAEAVILPYDLKFTELDECSEHAESFRISCIPSLGNSKVYTAKPCNILCTLSFGTTNLCGSLVSIYSCGSVSTIVNDTVCGERDHTFRILLEFKDGRFKYQSLRIGGYYLLECPTESMNYSMKGCGCLQVSKVSLGYQSRFWSLAITFNGNINIKQTIGDQSIGVSSVKMDEPFSRKAVNNEIKLVHTWNDFHQYCDFHLKFYCDEKMDEYNYFCDVFNELCPYSNEVLSISSFIKTRVPKMPSGSSNLQRDKPVQGDLISLQGKVENIHPYGCKKEKFMVGNEKSSICIHVTDNNHRVRLFGYLSKYGYPVGLGPGASATFHRVLLTHKHELFVTPLTYIEVVTIHVLVLDDLQPSKSRCETINVKVRLAGFIVDDGSSLCCCWADDARAELLLGLPEVAVMNASVTSRFSKDGVNIQQTVGSFLESLLKKHKRIIARNCGIPPDISCRDLELSSVLNKVLSCSEEKLLKSIILNACWKGTLNVIASALNANTLNGFNLELPDLHPVRNMPNYWVNQAFHIDPLEEGRK >ORGLA09G0060600.1 pep chromosome:AGI1.1:9:8882738:8884761:1 gene:ORGLA09G0060600 transcript:ORGLA09G0060600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSGAAAAAGGRSSTPPPPPPMYTDEFALEGKKPVKNPFVPIGALVTAGVLTAGLISFRYGNSKLGQKLMRARVVAQGATVALMIGSAYYYGDQIKLFKKGSSP >ORGLA09G0060500.1 pep chromosome:AGI1.1:9:8872138:8874954:-1 gene:ORGLA09G0060500 transcript:ORGLA09G0060500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G24030) TAIR;Acc:AT1G24030] MFNNIVSSWNKRRRSKSLDQLNPWVYKPAELWHWQMKEQGTAAAALPLPPAKKRSSCSMVFTLKEMEEATNMFSERNLIGKGGFGRVYRGVLKDGQIVAIKKMDLPTSKQADGEREFRVEIDILSRLDHPNLVTLIGYCADGKHRFVVYEFMPKGNLQDILNGIGEVRMDWPVRLRIALGAARGLAYLHSTTAVGVPVVHRDFKSSNILLTEHFEAKISDFGLAKLMPQDIDLYATTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGTPEQNLIVRMQQVSGDRKRLRKVVDRDMARSSYTPESVSMFAGLAARCVCFESAGRPSMADCVKELQFIMYANMKI >ORGLA09G0060400.1 pep chromosome:AGI1.1:9:8869956:8870315:-1 gene:ORGLA09G0060400 transcript:ORGLA09G0060400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFSALVSGRRAASAAAAAAAEVEVEEERDEAYLRIRLEEIVIVKNDAHDDALSAAASASAARVANNGGGAVAASSMEKRCACGDAAIDAAPAPGWGSATAAAARGAWTTVTRIVGLD >ORGLA09G0060300.1 pep chromosome:AGI1.1:9:8861714:8863054:1 gene:ORGLA09G0060300 transcript:ORGLA09G0060300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WAPPPRLATISPSPSGSSPMPSTPSSPSPPSTTSSSPLPPPPLATTSTSTTPPVAAVAVARKAAPRCDYSEGEWVRSASAPRYNGTSCGATIKGGQNCMAHGRPDTGYLHWRWRPRGGGGCALPPFAPGEFLELVRGRHVAFVGDSLARNQCESLVCLLASGFPAELVRGGNGGDGGDGDEARKFRRWVFPSHNATVSVFWSPFLVNGTEKSSSSSAAAGAGGLDHNRLYLDQPDERWAGELDGIDVVVLSAGHWFLHPAMYYERGEVIGCHHCPEPNRTETGFFGVFRLAVKNALREVITRAARSPSQQQKLAVVTTFSPAHFEGEWDARDACARSEPYAPGEKEVGYMDREMWRSEAEEVAAAAADARVRAPGRVEVEALEVTAMAALRGDGHPGPYMNAFPFAGGERARVPNDCVHWCLPGPIDTWNEILLQLVKRWRDSSSK >ORGLA09G0060200.1 pep chromosome:AGI1.1:9:8857492:8857908:-1 gene:ORGLA09G0060200 transcript:ORGLA09G0060200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTASGGGALEADPMEVGGGDPGSVKSGASWADLTAARRHRLSLPSPPTESGARGALAAASGVRGLLAGGEWRDRCRIRSSPHFPILFPADSSSSTTSPPPALTKGGKGIRVWGAVSTKLWRAQRGSLLGFSAKNR >ORGLA09G0060100.1 pep chromosome:AGI1.1:9:8847629:8849954:-1 gene:ORGLA09G0060100 transcript:ORGLA09G0060100.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCIFESLSAVFLGNQSDVDAIMLDIDGTPNKSKLGANAILGVSLSVCRAGAGAKEVPLYKHIQELAGTKELVMPVPAFNVINGGSHAGNNLAMQEFMLLPVGASSFSEALRMGSEVYHALKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYSGKIKIGMDVAASEFLTKDGSYDLNFKNQPNDGAHVLSAQRLCDLYKEFVKDFPIVSIEDPFDQDDWSSWASLQSSVNIQIVGDDLLVTNPKRIAEAIGKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLAVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >ORGLA09G0060000.1 pep chromosome:AGI1.1:9:8843273:8846508:1 gene:ORGLA09G0060000 transcript:ORGLA09G0060000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPCLSPRAAVLIALLLLPAAMASAAAAAAGHGEEMKSIYAGPKVVPVRLGRPAFGPESLAFDHRGGGPYTGVSNGRVLRWRADRRRPGWTEFAHNYKHATVAECAARKKAAAAESVCGRPLGVQFDRRTGEMYIADAYLGLMRVGRRGGMAEVVAAEAGGVALNFVNGVDVDQATGDVYFTDSSTTYKRSDYLLVVLSGDATGRLLRYEPRTGNVTVLESGLAFPNGVAVSADGTHLVVAETASCRLLRHWLRGSNAGATEVLADLPGYPDNVRPAAADGGRGASYWVALNRDKAWTVNGTTPASVAAVRVVVDDGGGKVDVALRGFGGATVSEVVERNGSLWFGSVDTPYVGLLKLTSL >ORGLA09G0059900.1 pep chromosome:AGI1.1:9:8832198:8832419:-1 gene:ORGLA09G0059900 transcript:ORGLA09G0059900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVNFLSCTRGFPKVFRTLSISLVRGFRLPTSCINRGGV >ORGLA09G0059800.1 pep chromosome:AGI1.1:9:8827203:8828641:1 gene:ORGLA09G0059800 transcript:ORGLA09G0059800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVYYDTDRDTELSLEPKNIQAAKEEPKNSEAAREQPKNSEAARAKVVARYVCGMASMLPFEREPPAADGNKKLCYICGDDDGSHEELSCPFNYMYYHMSDEDASEGTCEGSCSAGKHPMAVVSGSGRRREFLQCVVRVNNFPTKLRPWDLSWLCKPFGPLRMYHLVMRNSKFSRGFGHAIFSSRQHAESAIEKLNGRIIHGRKLRVDWAYPCI >ORGLA09G0059700.1 pep chromosome:AGI1.1:9:8820324:8824390:1 gene:ORGLA09G0059700 transcript:ORGLA09G0059700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTYRRSLHLLVVATGDETGRLLRYDARRRRVTVLHSGLPYPNGLAVSDDGTHVVVAHTGLCELRRYWLRGPRAGKSETFAEVPGYPDNVRRDGAGGYWVALSRGADSADVAPTVAVRVAAAKNGGAAVVEEALAGFSFVTVSEVAERNDTLWIGSVDTPYAGAAVVGRR >ORGLA09G0059600.1 pep chromosome:AGI1.1:9:8809124:8811301:1 gene:ORGLA09G0059600 transcript:ORGLA09G0059600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGAAGIACTCSAAAAASALVKLLVLVAAVAATTSAGGGDEPTYETKSIDPSLAVMTLPAPVTGPESLAFDGRGDGPYTGGSDGRILRWRGGRLGWTDLRFVHPPGASAFARRRRSWWCRRACAGGHWGCSSTTPPATCTWPTRTWASXGRRRAAGSPRWWRRRPPACRSTSSTASTSTRGPATSTSPIAAPRTGGVILYVLHF >ORGLA09G0059500.1 pep chromosome:AGI1.1:9:8800199:8801994:-1 gene:ORGLA09G0059500 transcript:ORGLA09G0059500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:I1QNG6] MSTAVKAFLNSPVGPKTTHFWGPVANWGFVLAGLVDMNKPPEMISGNMTAAMCVYSGLFMRFAWMVQPRNYLLLACHASNESVQLYQMSRWARAQGYLEKKEPEAQQ >ORGLA09G0059400.1 pep chromosome:AGI1.1:9:8794053:8795192:-1 gene:ORGLA09G0059400 transcript:ORGLA09G0059400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQGGSTMGGKKAKTVERNDHRLLCSDVLTEVFHRLPARTLASCRLVCKSWMSELTDPHFVHEHLKRSQQKLLLFANDKANDRSLAMVLADDTGATYQLTRPMASRSLFVHNSCNGLLCLGDSTGAVQLLNPTTGESATLPMPMYTAGSSQFSSCNWHCLGFCPSTKEHKVVHFYLGAHFDSFNVCCEIFTIGDKSWRQIGSFHGAPTDRGVHVNGAVYYLTKFRYIASSRINCLNLESENFDVMMLPPRKSYGGHCSLAELEGKLCLLVVEGGHDNPPRTMDILMLDSGDKTTWTHRYHISLPWLMPSCYFTPKHTLFHEGKIWVQLLARNLYCYDPSSSSTELKMACPESEFPFSTHTFIESIVPLRKDYFIKQIQ >ORGLA09G0059300.1 pep chromosome:AGI1.1:9:8788011:8791190:-1 gene:ORGLA09G0059300 transcript:ORGLA09G0059300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSISGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVREHQASADAARGRSPSLASEDGTYAMKKVLIQSKEQLDLVKEEIRVSSLFNHSNLLPLLDHAVIAVKNQQGDWNHEAYLLFPVYIDGTLFDNAKVMQSRKEFYSTIDVLRIFQQLCEGLKHMHSFDPPYAHNDVKTGNVLITHRKGQAPLATLMDFGSARPARKEIRSRAEALRLQEWAAEHCSAPYRAPELWDCPSHADIDERTDIWSLGCTLYAIMYNVSPFEYALGESGGSLQLAIVNCTLKWPAGPSPPYPDALHQFITWMLQPQPAMRPHIDDIILHVEKLMEKYSS >ORGLA09G0059200.1 pep chromosome:AGI1.1:9:8785258:8787214:1 gene:ORGLA09G0059200 transcript:ORGLA09G0059200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAEHLLGLSSAPVDWEAESYPGYGDFAVLPFLVAFFPAVRFLLDRFVFELLARRLVLGKGYDKLAETDESRKKINKFKESAWKFVYFLSAELLSLSVTYNEPWFKNTRNFWVGPGEQIWPDQKTKLKLKAVYMFAAGFYTYSIFALLFWETRRSDFGVSMSHHLATVVLIVLSYIFRFARVGSVVLALHDASDIFLEIGKMSKYSSCEGLAVVAFLLFVASWILLRLIIFPFWILRSTSYEVLLTLDKEKHKFYGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVKQIQSRGRIGDDVRSDSEGEEDHED >ORGLA09G0059100.1 pep chromosome:AGI1.1:9:8773123:8773395:1 gene:ORGLA09G0059100 transcript:ORGLA09G0059100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYEYEHWHHHHQSVGVDEEEAPPVHLLAVDAFLEEAVPADMVAAARREEEARLRRGGRPRSREDGMKEMLRLWAKSVARKAIASVVVN >ORGLA09G0059000.1 pep chromosome:AGI1.1:9:8765088:8769375:1 gene:ORGLA09G0059000 transcript:ORGLA09G0059000.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAWLDSVEVDTKYAEKVQKKREKEKEEEFQDLSSDDIGKIKRRIANILEPGETIIQALKRLKNTSSDKRGKMTEGTKRIFDELTEAAMKLMENGEYNVYSDDRETFEREAAGYERLARARLGLPEAEEDMFADSPKDKTTASLLDMEPGPSAAHTSTTTTTSKEDDSDFDMFGDDDDKTDVKRDSDANAVGSGSNPEQVSHDANETSGAEKGENGSVSSDYVYDPTSGYYYSSSTGYYYDSTSGCYCSASTGAWYSYDEQTGEYKEIQSEQASTVNETPGDGIKE >ORGLA09G0058900.1 pep chromosome:AGI1.1:9:8747301:8750488:1 gene:ORGLA09G0058900 transcript:ORGLA09G0058900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPGKGKEVVVAEEEERKEEEEEEEEATCDELEEQMEFLRRLDIGEEREQEAARWWCRREDAATTSGAARWWRREDVATTSAAAANRRVVPAFGGVAMTPGRIWADGNAVAGPPAPTPPWTPRPRRHGGMLGDDRHARGGHVLLGSHELQVPAASASPSSSISRRAAAAANAGWRFAGADTPQLVVYLANNEHMVLHTLFHAPLNEAHLVAEVIVDHAADIMESIHGQRLLSCVLHNCCCELHEAIVAKITQHRDRSDGVVTMIRSCRSLKSCQLVRNAIVPWVGRRSKMQSLVTDSDKLRVIQACIQCFPADIAKVLVDAVVENCIEIACHLNGLLFLQNCLGHITLEEKYKIFTQVCINSVYLAKHRSGNYIVQDVLEFGHPFHLEIITSCFKTHYVDLARQKYSSRVVEKCLKVFGDLEQYSIVCELVLDLDHFRDLVTDEVANYVISTALLACTVPVRDILANTIISLQDVNRHHPHCLKIFDILSRLGYMQ >ORGLA09G0058800.1 pep chromosome:AGI1.1:9:8739942:8745658:1 gene:ORGLA09G0058800 transcript:ORGLA09G0058800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPRDRASRAGRKNRAVRAGPASASASAAAVAAAAAAPTASDPDPSGEDAAPWGRASADELEDRLLRRLEDAYAAALARLADLGYCEEAALHAVLRAGHCYGKLGDPVANIVANARVFLSDPDHAGGAGGFADLRRLEEYSLAGLVCLLQSSRPTLSRAEAMWCLLSCDLRLDQAISMGANLNEKPTPAIASAESDELPPPAAVAPGQRGYCHYHTTTASATPDTALFDPDNFMRLAMRQGPGSVSGVISCIKTTWSRSNGIASDAQTNQPVTMKLSTEEIIDSIVKELKLLDIDKKDAPDVKPDPKNEMVRDLIKQTREMEVQLKERKEWAQQKAIQAARKLGTDLTELRVLRMQHDENQRRKKDKQEMEDETMKRLTQLENELKKKSGQLDRSNATVQKLEMENAEIRAEMEAAKLSASESERQCQKLVKKEKKDSKRLEMWDRQKAKLQEDIAECKTKITQVDRELAEINKAIRNMEMKIREDTKAKEENLALAEQEHAKRESAKANAERRLEEIRQKTEVESRCFKDDIKRLEDELARLQKSMGVNHPTVPSTHPPGVADRNSTRAPKQPTNQRPSPASNKQSQAPTQKASRRRDCVICKREEACVILLQCAHQVLCVGCNKRHEEKGVARCPCCNAKVEERIRVFGASSN >ORGLA09G0058700.1 pep chromosome:AGI1.1:9:8735017:8736246:1 gene:ORGLA09G0058700 transcript:ORGLA09G0058700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGRRVAVVMMLALLLLCFFHAAVCASASPAVASRKANLSGRKGGLAAAATAERALPLKKRMVAAAAKDQSGVLKHGKKLSSGDVPTAKTATTKTPATANTKLLKEDKAAKLTKIGTKKSPEYMANTKTKKAFESNAVDVMKIEESRKSAEEVAATLAEQDGAEDLISEFRELPARLQETLVPDLARLSSTSRAYLSAANAGIADGVRPLLGGRWRPWRRPRRRRRSCCCRCACSRRWCGASARTSRSSAARCCWRRRTSPSTSRRSPWPPRPRGWSRSGSSTRRRPPRTRGRRRRSRSGTSPTSCSRWWTSSPRSPPPPGGGAEAGEDASLSSRALSLAQMMVGLAVGLHYYAAVFHRAAAGEAPRATWRVHAVYAACFVVVCACARAERRKKAYLAGAAEPWKKS >ORGLA09G0058600.1 pep chromosome:AGI1.1:9:8728854:8730449:1 gene:ORGLA09G0058600 transcript:ORGLA09G0058600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQQQTKKRRRRPRRGGARAATLLLAYAALAMERADAALLPAVYREIGAALRASPSALGSIALSRSVVQAACYPLAAYLAARHDRLTVIALGAFLWAAATLLIAMAVTAALNGVGLALQIPAIYAFVADSVDGTSRGVAFGWLMVAGKVGTVGGTSLGLLMAPTSFLGIPGWRLAFLLLAAAGAVVGVSIRSFAAGNDAAAAAAASTTTTAKPVRQELQEFAREAKAVLRVPSFQVMVAQGLTGSFPWSALSFTAMWLELVGFSHGETAALMALFKVATSLGALLGGKMGDAMARRFKNSGRIVLAQVSSGSAVPLAAVLLLALPGNPPAAAKHGAALFALGLMASWNPSSTNGPILAEIVPPRSRTSVYALDRTCEAVLASFAPTVVGVLAERLYGYDLAGGAAVEAERRNAASLARALYTAIAVPMVLCCLIYSFLYCTYPRDREAAARAEAAVAARGDGGARPDGGEGSDTEDEGEDERKLLPQ >ORGLA09G0058500.1 pep chromosome:AGI1.1:9:8720160:8722674:1 gene:ORGLA09G0058500 transcript:ORGLA09G0058500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRPRRGRSGGRWCWXTWRRSWSAPTRRCCRRCTGRWARRCTRRRRGSARSRCAAPPCRRRATPSPPTPRRATTARTSSPPGPSSGPPPPSSSPSPAPSSRWRSREASMASASRWSSRRCSRWSPTPPTTATAARRSGGCSXPAASAPSSAASRRCCWRPPPSSASKAGASRSTSWPPSASPSASXSGSSPSTRISPPARRATAASGGGGGGRRGTRRGSWPGRRGRHGETAVFTTVFAVATSLGGLLGGKMGDALARRYPDAGRIVLSQISAGSAVPLAAVLLLALPDDPSTGVAHALVLFVMGLIISWNAAATNNPIFAEIVPEKSRTSIYALDRSFESILASFAPPAVGYLSQHVYGFKPSGVGGGGGVERDRENAASLAKALYAAIAIPMTACSAIYSFLYCTYPRDRDRARAMQSLVAANAAGGDTQATTELRHVELEDGLCGGSGGDTRRFELVGSGEEEEGGGERGDGDGDGDAGVYGSGEGEADADTVRLLASRE >ORGLA09G0058400.1 pep chromosome:AGI1.1:9:8715837:8718896:1 gene:ORGLA09G0058400 transcript:ORGLA09G0058400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQLQQPEVVEVDVERERRRTLVLVNLASIMERADEALLPAVYREVGAALHATPAGLGALTLCRSAVQAACYPLAAYAAARHNRAHVIAAGAFLWAAATFLVAVSDTFLQVALARGLNGIGLALVVPSIQSLVADSTEDGTRGTAFGWLQLASSLGLISGGFVGLLLAQTTVFGIAGWRIAFHLVAIISVFVGILNWFFAADPHFRSNAGTCDRLVTKQSAWQVIEEMIKEAKFVVQIPTFQIFVAQGVSGTFPWSALSFASMWLELIGFSHKETAFLMTIFWVASSFGGLLGGKMGDFLALHYPNAGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGFAYGIVLFIMGVFISWNGPATNFPIFAEIVPEKSRTSIYALDRSFESVLASFAPPIVGILAQRVYGYRPDNKGQSVQLDRENAASLAKALYTSIAIPFTICTSIYSFLYCSYPRDRERARMQSLIESELQQMEQEGSCLEEGDCRFQVVDSPHDDEIATIEVTNDVKGLSETEKDTAKLLANRES >ORGLA09G0058300.1 pep chromosome:AGI1.1:9:8705729:8707826:1 gene:ORGLA09G0058300 transcript:ORGLA09G0058300.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFNAVDFFKHVAVARGLNGVGLALVTPAIQSLVADCSDDTTRGSAFGWLQLTGNIGSVIGGLFSLMLASTTIMGVAGWRVAFHIVALISVIVGALVRLFAVDPHFCSNIQDDGGGDQLPPRKSPLEEMKDLVVEARAVVRIPSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHEMTGLLTTSFALASSLGGLLGGKMGDRLAVRYPDSGRIVLSQISSASAIPLAALLLLALPDDSSSGFLHGFVMFIMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFESVLASFAPPIVGFLAEHAYGYNPVSYGAGSSSDRENAAALAKALYTAIAIPMLLCCFIYSLLYGTYPRDRERARMDTLIASELQQIELERCHRAGIGRRSKDGTVIDVEYGEEESGDVADDDDDDEKALMHYHVEQSGSVGR >ORGLA09G0058200.1 pep chromosome:AGI1.1:9:8704127:8704534:1 gene:ORGLA09G0058200 transcript:ORGLA09G0058200.1 gene_biotype:protein_coding transcript_biotype:protein_coding IISRRGAACTTAPGKRPRGGSSPAVIGLGLGGDMAAAEAEAGRRTLALVNMAAIMERADEALLPAVYREVGAALHATPMGLGALTLCRSFVQAACYPLAAYAAVRYNRAHVVAAGAFLWAAATFLVAVSDTFAQVR >ORGLA09G0058100.1 pep chromosome:AGI1.1:9:8700926:8701294:-1 gene:ORGLA09G0058100 transcript:ORGLA09G0058100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGSAGAAAVVVRGDGSASVAEVVTRYGRSAWAVEVDARGGSRRRSSRAVDESTFASAVLTNPTALRLLCPKHFARLPKDAFATTAPVGRTRRPPPAGELAPSALLAAAIATSDKEVEELE >ORGLA09G0058000.1 pep chromosome:AGI1.1:9:8685141:8686461:1 gene:ORGLA09G0058000 transcript:ORGLA09G0058000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGGSLQSSSGGDDEFDSRGGGGGGGVDSSPLSALLRPSSSSGFSLHGGSMYGFQELGSVGTSLQHQQGVQLQPWSAAQFAAGAPSSSSPRVAADAGVAGAHHQQQQQQQQGGSRKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFVAGVGAPAASLRTRFDHLFPSPASALRSAAAGDPASSLPPYLLRPFAQKLPTAASPFPPYTSSSSSTPLSTSTPSSSNLAVANANATTTSTAAATTATSVNPTAAAGAGDTFQLTPAALLRMQHDATSSSGSYLSFPSVLAAASQPMFGGFAQGGGGGARLHDASPSPSFSEFLGGGISLTDGGGLMSSGALHHHLPTRNDAHHHGGDELSGVVASGSCKFNYTSHAGAPSSSQAAAADKPPDGSTAAARPARGEGLDPWICTSE >ORGLA09G0057900.1 pep chromosome:AGI1.1:9:8664096:8664932:-1 gene:ORGLA09G0057900 transcript:ORGLA09G0057900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRVPPSARLEKSGRGFHLKISIGEGKATHPQALPLLARKARLSPIIFLPSRVEVAYKSVATTNNTPSMHGHRASASSPADHQAGARHLAHSKSIHAVGLVREEDGSPLTRTRPPNVAILWHLDVAARGLSSRSKPVVDLQHSPHSSTVVVALGGLPESLLPQAVHPHHHRLTPKSLASNASLPVTGYHAEPQQSTVVASRAFDAHRPPFRSAGLPPPPRRSVSLLLPPPPARWRPSQIPPRVAGCCRVGAGFGGVVAGSMATLAGVHRRCIGSSRG >ORGLA09G0057800.1 pep chromosome:AGI1.1:9:8662557:8663489:-1 gene:ORGLA09G0057800 transcript:ORGLA09G0057800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:succinate dehydrogenase 2-3 [Source:Projected from Arabidopsis thaliana (AT5G65165) TAIR;Acc:AT5G65165] MMLRRTLPRLASAKDGGGGGFADGHFPSLRGHPAARANARDAAEKQAALAAKEEIRDHRRGDAAAASPAPSTVKEFRVYRWSPDAPSRRPHLQSYHVDLATCGPMVLDVLQKIKAEHDATLAFRRSCREGICGSCSMCIDGVNTVACLRPVDTDTSSATTVTPLPHMYVVRDLVVDLTGFYQQYKSVEPWLKRKTKTKTETTEHAQSPEERKRLDGLYECILCACCSAACPSYWWNAEAFLGPAALLHAYRWVSDSRDEYAAERVQALAEGWDKLYRCRMIKSCTATCPKSLDPAAAISAMKTLHQLGKP >ORGLA09G0057700.1 pep chromosome:AGI1.1:9:8657884:8661788:-1 gene:ORGLA09G0057700 transcript:ORGLA09G0057700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39740) TAIR;Acc:AT4G39740] MLTPRVLKLSLLRRLGAASAARAAERPPPCRPRVFPARSNHSRGYSSEGGSKYNRPMRQFAEENEANPQPLIYYVVPSALLVFAGLVTFVHYNDEKRAVTQEAQQTSVPKRCTTNRPAIGGPFKLYDTENNEVTESKLRGNWTLMYFGYTSCPDIGPAEVQKMADVVKLLESKYGTKITPLFITIDPQRDSPAQLKAYLSEFDPRIIGLTGSINAVRQIAQEYRVFFKKVDDIGQDYLVESSHNMYLLDPCLETARCFGAEYEASDLAEAITLEIQKASKSSTN >ORGLA09G0057600.1 pep chromosome:AGI1.1:9:8651576:8652703:1 gene:ORGLA09G0057600 transcript:ORGLA09G0057600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKAAAGGGGGGGGKGVVATTTAPTDLLVCFPPRQHLALMPKPICSPSRTTVDKAVAARRRRRQQQQQLPAARSGGGGGGRGRGSTSSPLFRGSKAKQAAVEVDDEPQSPKVTCVGQIKVARPKKQRKVAGKPGNGGGGGGGGGGGRSWITVVEEIERLHEQRKKVSWLEAVGIRRDALPFLGGALRSLRLKVRCFGSLHGAVESSTDDEDDDDDDGRGAEEHEAVSAGCGGSAASSVFSKWLMVLEGSEETPEQDSGDDEEEPEREDDDECSNAPPSAPPANALLLMRCRSAPAKGLARRRTEEPPPPVGEAVHDEGSAAAAAAGDDGAEEERDELVFMRTAPDFLKLSIDIAKETWIVGGVDPLARSRSWKR >ORGLA09G0057500.1 pep chromosome:AGI1.1:9:8648520:8649471:-1 gene:ORGLA09G0057500 transcript:ORGLA09G0057500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLDCDAXCSASGGGGGGSVGSGSNEGGGAGRFCKLQWLYCGVVSGVPLAAIQKGVGVAIASSFVLLFCPVLCSTSYKCLGDGDWMVRRGGTWWGKERIKYGCNAAEEYSSLDSISRSTWCGSWYGRAR >ORGLA09G0057400.1 pep chromosome:AGI1.1:9:8631966:8635071:-1 gene:ORGLA09G0057400 transcript:ORGLA09G0057400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRLGREEEEEEGGRRCESGGRRSTGWGGRRSCSPPVSLFVLCGDRFEAARLFRSGGLSVRMARVEGHPVSMASCAVGDHHWMLSRDALVARLEARVFVFEMPGFFYAVVVPSDAGVGGGGAERKCATLAEIFSRFCSYHDLYTTQQGEDEAGGDMNQHSNPWVRAHARIQRLKKPTSPAAGAGQATADAAAERAGAGAIVGLASQLERAVRTSAVVKLLSRSLLAGALQPARHLMITLAAAAAGAAANNAAGTSAGASGSAAALPSKSVVSDLLEAIETSRTSPRREAARRTGGGAGGPGWWSLNVEGVMLLLRVVQAVRGRKLPAPEKRPRDEASDAAGLRGGGIMGGGGGGAARRWCGGRPKKLGNTVGACGSSXSEALCXCSQKGTERERDYXXVI >ORGLA09G0057300.1 pep chromosome:AGI1.1:9:8620324:8622130:1 gene:ORGLA09G0057300 transcript:ORGLA09G0057300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:I1QNE4] MAKASVVVPEQVGAAAAAQVGCPCPGTTLFPYPPPRAGIAVRRKCLQAAQQLELGAGLRGGWVESMRASSPTHAKAAAALAAGVDEEHAAWMVRFRSPIDRCSSRSWRAPADTDMNRAFPFVFVQARHPSALGEFEKVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETVSLSSPPLSPTLLLHSSSSHTSLLPHQMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPASRHAAAKSPPHNKGVLFQPASEFLPMIEQVHDRLEQATRSIPGAKVENNKFCVSVHFRCVDEKSWGALAETVRRVVREFPRLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGFADCSDVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASFSLQEPAEVMEFLLRLVEWNRLSRTRLRL >ORGLA09G0057200.1 pep chromosome:AGI1.1:9:8591795:8594112:1 gene:ORGLA09G0057200 transcript:ORGLA09G0057200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASAAWGERKMAAAVEEEAESTFFLGAKAHQPLDKEVGSASSATVHRSPPPLGDINTIVCYRSSPSLPQRPHSSYSLSLPCPHAFTTSTVAPSHPGSSGSVDPPVTGMLDSGTHCGATRRRIPPRGELAAESSWERS >ORGLA09G0057100.1 pep chromosome:AGI1.1:9:8588762:8589313:1 gene:ORGLA09G0057100 transcript:ORGLA09G0057100.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRGPLHASVDAKLQTLCQNIAAAKNAKKSSVSASAAATSSAPTSNCSSPSSDDASSCLESADSSPSLSPSSAATTAETPATVPEMQQLDFSEAPWDEAAAFALTKYPSYEIDWDSLLAAN >ORGLA09G0057000.1 pep chromosome:AGI1.1:9:8584902:8585523:1 gene:ORGLA09G0057000 transcript:ORGLA09G0057000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFSPPDVLAAVVEEEGEDLEAMMMVVGQNGGGGGDGAQDWLASFGGEGGGGGGGGGAPGQDWLAAYRARAAPARAGLRRNSADYCAVETASFLRACGLCRRRLGPGRDTFMYKGEAAFCSLECRQQHMTQEEWQDKCGVTSMKKEAPAPPNGRRRSSKTTTGGGTVAAA >ORGLA09G0056900.1 pep chromosome:AGI1.1:9:8578726:8579409:-1 gene:ORGLA09G0056900 transcript:ORGLA09G0056900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVVLLDFWVSPFGQRCRIALAEKGVEYEYSEQSLADKSDLLLRSNPVHKKVPVLLHAGRPVCESLVILEYIDETWPPEPEKKESPRLLPSDPYARARARFWADYVDKKLFDCQTRLWKLRAGDAAHEQAKRDMAEALGTLEAELGEGDYFGGEAFGYLDVVLVPFVAWFHAYERLAGFAVAEICPRLVAWGERCKGRDSVAKTLTDPEKVYEFALYLKGKFGAK >ORGLA09G0056800.1 pep chromosome:AGI1.1:9:8570719:8571192:-1 gene:ORGLA09G0056800 transcript:ORGLA09G0056800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAATTTTVMVAATMTTMLTAAAMKTTSDCLHRRRNQLLRPQTSDPPLSSSNAHPTAAAGSGLHGVLFVKMQIITSVIVLNFR >ORGLA09G0056700.1 pep chromosome:AGI1.1:9:8561294:8562375:-1 gene:ORGLA09G0056700 transcript:ORGLA09G0056700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDQVLLFVCLRRVLLLYVAITKLQVGGGGVDSARNFTDVDGGDVCHREVHSITEEDGNVDDDEVQYAITNQGVGADDDGSDGKLSSASQQVFYPARAEANTASAAAGKWRGHRMPTALQPELNSDDGHGQQFDRTDDQIQSSIADRLIATMIIVMLMVVMNGRASEINKKSRRATWFSPVAALTMLLLVVAASANSVTAARPLAAAAAAGGGHNEAAVAAAASETMPLKAAAPGHSSCTTDPNTQQPVRCIPH >ORGLA09G0056600.1 pep chromosome:AGI1.1:9:8537134:8537502:-1 gene:ORGLA09G0056600 transcript:ORGLA09G0056600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TICLGRLDGLQAMEARGTPVRCRRVGQSAAVVRHRKAQHAGGSTDVVHCADWFSYTNLMHARRIRLTCIYTHACCMHTTDICIYPYSTTTSLTLIRFIFMINCLACTAGAACRGLLKIVSGL >ORGLA09G0056500.1 pep chromosome:AGI1.1:9:8521503:8526616:-1 gene:ORGLA09G0056500 transcript:ORGLA09G0056500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRARVNMMRCSHRLLCSLFLAAALFGVAAAATRRHDWDISYQFTSPDCVRKLAVTINGHTPGPTIRAVQGDTIVVNVKNSLLTENVAIHWHGIRQIGTPWADGTEGVTQCPILPGDTFAYTFVVDRPGTYMYHAHYGMQRSAGLNGMIVVEVAPGAAGDGEREPFRYDGEHTVLLNDWWHRSTYEQAAGLASVPMVWVGEPQSLLINGRGRFVNCSSSPATAASCNVTHPDCAPAVFAVVPGKTYRFRIASVTSLSALNFEIEGHEMTVVEADGHYVKPFVVKNLNIYSGETYSVLITADQDPNRNYWLASNVVSRKPATPTGTAVLAYYGGRRNSPRARPPTPPPAGPAWNDTAYRVRQSLATVAHPAHAVPPPPTSDRTILLLNTQNKIGGQIKWALNNVSFTLPHTPYLVAMKRGLLGAFDQRPPPETYAGAAAFDVYAVQGNPNATTSDAPYRLRFGSVVDVVLQNANMLAANSSETHPWHLHGHDFWVLGHGAGRFDPAVHPAAAYNLRDPIMKNTVAVHPFGWTAIRFRADNPGVWAFHCHIEAHFFMGMGIVFEEGVDRVGDLPPEIMGCGKTRGGH >ORGLA09G0056400.1 pep chromosome:AGI1.1:9:8514856:8517250:1 gene:ORGLA09G0056400 transcript:ORGLA09G0056400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLAVTTASCNATYPDQRAPLMGFLYPLNFQAQRPKGDGATRFNLSVMSASGLHGATRTDSSPQAAAPPPAADNSSHHVAADVLFGHLQYSSNNGLDVAATTTMIAVLIDLADKIKKRSGRGRGSRPAAVLVAMALVMLLAVAALVNPTAAARPLYGGGGAGGHDDAAAAAAAPAMTVAVVNDAVTVTGHSGCTNDPNTLEPWRCVHH >ORGLA09G0056300.1 pep chromosome:AGI1.1:9:8506955:8510309:1 gene:ORGLA09G0056300 transcript:ORGLA09G0056300.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHSLQLVDKMVPKSFSSMEPLSYTTPEMKMETDAVQLNCDWPMQQSDDTNSRLHRDKQWRVQDKRLVLQRTLTEMHTFIKHAEWWFHKDVFAQLLQDAKDAVNCAEDLLDEINYHEFQNKVEGHAILFHVQDYHETKIDKIQGKLEHLVRQMEQLGLYDERQQFIIESISREDNLFAEEQTIFGRQKEMSELIELIVLQENSPTDKQVTEVHAVPDCKRAKLENVSVLPIVGSGGVGKTTLANLVFNERSVRDHFDLLIWICVSDGFDEKKLMKRLAWSVAESEMKTDDLGCLQRILTNGIIHHTRRLLLVLDDVQTDACREDCHGWKIFLAPLKYARSGSMVLVTTRYQRVAERVGSLKHMFLEGLPEETIWEFFRTLTFGSRNSNSNAVLEPIGRSIVARLDGSSLGIKIIGRLLSLKLDAKYWKIISESELWGWPLQEEASIFTALQLSYQYLPFHLKRCFSFCSLYPRGYEFDAETLVDSWVAVGFVMPSRSILAVDIGHVYFNQLVSRSFFQRSPTSFRYVIHDLLHDMAQYVARNDCFMIKSRSDMSRIPPKVRHVSILGNGELSSTDIECLNTYKTLRSIVCIGVGCDIITNSVLETWFDHLTRIRMLRFISCRLKELPCNVGKLIHLRYLDISACDFDKLPTDLFCRLYKLEILDAQNCTLHAVPKDIIKLVNLQRLRLKNDLISQLGRVPEIGKLTLLQNMPYYAVDDKPGRGIQELKNMNHLHGGLEIDGLRNVTSREEAAGAELAKKIYLDTLVLKWHESIRPQKHNSTKEMEVLEALRPSSNIKHLEVKFYMGDGLSPMWLRHDELSSLASFSINSCPNTTTLFLIEPSETGSSRSSSVSFQSLTKLSITWCRSLTSLDNFLQPECLPMIKVIQISNCEELASLPTNNLVHFVHLEDLEICHCWNLNWEPGLALPPSLKSLKLEACGEFSDSTLSCLHNLTALTTLNLRFCPSIESISAQIWSGLWSIESLKIVCCQGLVTVGGSESIAGIKNVDIRHCSKLQDLEQPFRSGQADSQ >ORGLA09G0056200.1 pep chromosome:AGI1.1:9:8494692:8496884:1 gene:ORGLA09G0056200 transcript:ORGLA09G0056200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRPAAMDLFLSATSIVSNANEWFEFLGTAFSAASLLLSRWRRRRQGDEAERRQLQWKGEDAKMKQLHYCMLQLPDLIHHAEWLSFVKDDKEVAKLLPELKARVHDAYDLLEEFNHHHHHQQQLQLDLGQDAAAEKAGDDFLQSIASGNTVREILDDLNCLRNTLEGVIDRHARSEPHQIGKLLRPAMTSFYDKSKFRSLEDEVNELLELLGVKICSARPHKRRIKGETASASKRNRRNSGVGSCSNQEIASDNVTVLAISGIGGVGKTTLARQVYNDERVKGYFDVRIWISVSDDFNVKRLTKEFIEFALANWMQSDNLCNLQQSLTESIVKFRFLLVLDDVWDDVYANQDNRWQNFLEPLKSAQQGSAILLTTRSQRVADLVNENRHFRLEGLPPTIFDEFFEACAFGSDRCGVNPELNPIGKRIIPQLKRCPLAAETLGRLLKPMLDREHWNQIAGSELWELKQEKYDILPVLRLSYLYLPSHLRNCFLFCSMYPKNHQFDKDTLVNSWIAAGLVESCKGGKLESDGYRYFEDLLHRSLLQKESSSPTDSKHVMHKLIHDMAQLVSERECFIVKGETDLTKIPQGVRHLSIIGSSSLSETNLRMVCKYKTLRSIVCHGVETYILTAVAKYWFEELTKIRMLGFLSCELNSLPENIGNLKLLRYLNISDCTFEELPRSFWRLQNLQIVDAQKCRVQQIPDDFNRLGNLQRFKLRGTIIKQPGTYEL >ORGLA09G0056100.1 pep chromosome:AGI1.1:9:8446667:8448439:-1 gene:ORGLA09G0056100 transcript:ORGLA09G0056100.1 gene_biotype:protein_coding transcript_biotype:protein_coding EMVVSGRLPWLIVLSMIRKFRIILIFLFGCVSDGFDDKKLIKRLAWAIAESEMKSDDLICLQRVLTNGMIHHSRRLLLVLDDLQEDVCQEYYLGWESFLAPLKCASPGSMVLVTTRSMKVAEHISSVCLQLAGLPEEINWHLFSMHAFDLPISDSDQEVECIGRKIAARLNGSPLGAKIVGCLLKLKLDAVYWKSILESELWELAHHKETRIWPALHLSYQYLPFHLKRCFSFCSMYPKSHEFDAETLVDSWVAVGLVVSNGIVPAVDIGHEYFDQLVRRSFFQISPTSSSSRHAYVMQGLLYETAQKISTNECFVIKDSSDLLRIPPKVRHVSILHFSGLSSSDLESLHKYKTLRSVVCISIDSDVITTSVLETWSCHLTNIRMLRFISCRLKELLGNVGNLILLRYLDISSCDFEALPDSFWRLRNLEILDAQNCRFDDVPKDIVKLVKLRKVRLRSDLNNQLGHVPGVGNLIYLQDMPYYAVDDTPGRGIHELKNLNNLRGALEISGLHNVTSKEQAVEADLDKKTHLNTLTLSWHDSIRPDKHNGEQEMEVLEGLRPNPSIKNLEIRFYMGSGFIHAGSSLTDKISQ >ORGLA09G0056000.1 pep chromosome:AGI1.1:9:8444760:8445179:1 gene:ORGLA09G0056000 transcript:ORGLA09G0056000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase beta-2 subunit protein [Source:Projected from Arabidopsis thaliana (AT2G28060) TAIR;Acc:AT2G28060] MDRQVRGDHEGINVVGFEVPTSPDSSYNNPVPGNEDEAREPPLVPPHLQHTLLSFPPSQDDSSSLPPPQNVVLNHLYIEKENSRSVVALGITHRFRAKFVTVVLYKPVQRR >ORGLA09G0055900.1 pep chromosome:AGI1.1:9:8432430:8433506:1 gene:ORGLA09G0055900 transcript:ORGLA09G0055900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTMDYLSDLLGGGGSSSRRRYKKRKQFQTVELKVRMDCDGCELKVRNALSSMKGVQSVEINRKQYKVTVQGFVEPHKVVKRVQATGKKAEIWPYVPYTLVAHPYAAPAYDKRAPPGHVRRVDAVMPVASYGSAAAAAAPEERLTTMFSDENPNACSIM >ORGLA09G0055800.1 pep chromosome:AGI1.1:9:8419552:8422169:1 gene:ORGLA09G0055800 transcript:ORGLA09G0055800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10620) TAIR;Acc:AT4G10620] MLSRARRLHPTLQRILRPVPPPAHPPPPPSPPHRPVFSQTPKPFFPLLRRHLSTKPPPPQAPPEKSLAPAKVSSDPPAVSANGLCPGCGIAMQSSDPSLPGFFSLPSPKSPDYRARLAPVTADDTRISASLKSGHLREGEAAAAASSSSAAVGVGVEVEKEGKKENKVVVCARCHSLRHYGVVKRPEAEPLLPDFDFVAAVGPRLASPSGARSLVLLLADASDFDGSFPRAVARLIAAAGEAHGSDWKHGAPANLPRALLVVTKLDLLPTPSLSPDDVHAWAHSRARAGASGDLRLAGVHLVSAARGWGVRDLLDHVRQLAGSRGNVWAVGARNVGKSTLLNAIARCSGIEGGPTLTEAPVPGTTLDVIQVDGVLGSQAKLFDTPGLLHGHQLTSRLTREEQKLVRVSKEMRPRTYRLKPGQSVHIGGLVRLDIEELNVGSVYVTVWASPLVPLHMGKTENAAAMVKDHFGLQLQPPIGQQRVNELGKWVRKQFKVSGNSWDVNSKDIAIAGLGWFGIGLKGEAVLGLWTYDGVDVVSRNSLVHERATIFEEAGFTVSKIVSQADSMANRLKNPKKINKKKDNKANSSPSTDPESSNPVEAVDA >ORGLA09G0055700.1 pep chromosome:AGI1.1:9:8417516:8418319:1 gene:ORGLA09G0055700 transcript:ORGLA09G0055700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWWWWWPLPAWLMPGSTAAWFVVLNVVVCAVAVLSSRARESLSPRRRGGGLARRASSALERVRSSFSIFSFPSASFYAFHPDAAEPRTPTPRKRSPVAAPPAASEPQTPTPPPRPSVAAPDTPPAQRPETEEEEEEDVNYMSMDEAYALVMAARQRPPPTEEEVRRSEVDAKAEELVAEFQDDEQRRQRLDSIFNYTQMLKRPSPTEEGARRSEVDAEAEELVAELPDEQRRRRLDSIFNYTQMLKQRAAAGRRPQPAPASAQL >ORGLA09G0055600.1 pep chromosome:AGI1.1:9:8412639:8413679:-1 gene:ORGLA09G0055600 transcript:ORGLA09G0055600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLVVILTWSLTRKTSWKLLHATSAKLQPGLHFTPTDASMENSPTSPELPEPFLVFHIHVSAVETKPESFQVQIQPNPCAITILKTALYNAPIFLGWGCSDEEIGVFFSNSGHWLPTSIPRSPIVCRSTPSPFARCPQCCQAVPDALAIVQIATNLVWTL >ORGLA09G0055500.1 pep chromosome:AGI1.1:9:8411195:8411566:1 gene:ORGLA09G0055500 transcript:ORGLA09G0055500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTLLNVADNSGARKLMCIRVIGAASNQRYARIGDVIVAVIKDAVPQMPLERSEVIRAVIVRTCKEFKCEDGIIIRYDDNAAVIIDQKGNPKGTRVFGTIAEELRELNFTKIVSLAPEVL >ORGLA09G0055400.1 pep chromosome:AGI1.1:9:8410675:8411085:1 gene:ORGLA09G0055400 transcript:ORGLA09G0055400.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYNPKRTRFRKQHRGRMKGKSYRGNCICFGRYALQALEPTWIMARQIEAGRRAMTRYTRRGGKIWVRIFPDKPVTIRPTETRMGSGKGSPEYWVAVVKPGRILYEIGGVSETVARAAISIAASKMPIRSQFLRLEI >ORGLA09G0055300.1 pep chromosome:AGI1.1:9:8408262:8408711:1 gene:ORGLA09G0055300 transcript:ORGLA09G0055300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFKLVKYTPRIKKKKSGLRKLARKVPTDRLLKFERVFKAQKRIHMFVFKVQRVLDEIRWCYYEETVMILNLMPYRSSYPILKLVYSAAANATHYRDFDKANLFITKAEVSRSTIMNKFRPRARGRSSPIKKTMCHITIVLNIVKKSK >ORGLA09G0055200.1 pep chromosome:AGI1.1:9:8407979:8408194:1 gene:ORGLA09G0055200 transcript:ORGLA09G0055200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPAMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYESARKDTKSRR >ORGLA09G0055100.1 pep chromosome:AGI1.1:9:8407129:8407542:-1 gene:ORGLA09G0055100 transcript:ORGLA09G0055100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFPSPPPWGWSTGFITTPLTTGRLPSQHLYPALPKLFWFTPTLPTCPTVAKQFWDTKRTSPDGNLKVADLPSFAISFATAPAALANCPPVPRVISMLCMAVPKGISVEVDSSFLSKNPFPNCTSFFQSIRLYRCI >ORGLA09G0055000.1 pep chromosome:AGI1.1:9:8404139:8405236:1 gene:ORGLA09G0055000 transcript:ORGLA09G0055000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSSTTNSSSSVSTADTSSSNPPPQHALHRQHIASSSASSGGGGAAAPLPPRAPPRVGVGGGGGGGGVGQSQACAACKYQRRKCNADCPLARYFPADEQRRFLNAHHLFGVSKIQKTLRDTPPELHADAMQALTFEANARASDPVGGAARVVVELCRQYEMLHAELAAVQHHLKLCRQQHAAAAAAAANDPLVANVDPLADTAAEMLFAGAVVPNQNDDAMVDAFYADQQTAGDGDQEQYLVKDEALAAQPPPQQPYEYLNYGTAGDEGSSHAWYTGNGGDADASPPMGLSDQLQQCQIGAAPPFDVKPELPATMEHGGSVFVEQPEQKILPAAGSSSSAAAHCQLELGCSSNAWKVGTHVIN >ORGLA09G0054900.1 pep chromosome:AGI1.1:9:8395493:8401056:1 gene:ORGLA09G0054900 transcript:ORGLA09G0054900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CwfJ-like family protein / zinc finger (CCCH-type) family protein [Source:Projected from Arabidopsis thaliana (AT5G56900) TAIR;Acc:AT5G56900] MAAAAASPSPATPPRILLAGDANGRLHQLFKRVTSVNQSTGPFHALLCVGQFFSPDAGDGDGGGGGEVADYLEGRAAVPIPTYFTGDYGPAAPRLLAKAASSARGFSPGGIQICPNLFWLRGSARFTLHGLSVVYLSGRKGPGGPGCYSQDDVDALRALAEEPGIVDLFLTNEWPAGVVNGVDTSNAPSQISDPHGYDPVVAALVAEIKPRYHIAGSKGVFYAREPYVNDSAAHVTRFIGLANVGNKEKQKFIHAISPTPASTMSSVDIHARPPNTTLSPYISPAKSVPVEETPKRPAEDADLQYWRYDVKKQRHGEAGGNRLCFKFTSSGSCPRGSKCNYRHDEEAREHYNRNVCFDFLNKGKCEKGPECRFAHSLSDEGAVRDTKPRSERRRVESSCWFCLSSPDVESHLVISIGEGYYCALAKGPLVPNHVLVIPVEHCSSTLKMPVEAEAELGRYKDALAKYFEKQGKIAIYFEWVSQQSRHANLQAVPVPLSKASSVKKIFHLAAQRLGFEFSVVNPDGGANRARELLRSECDSKSSLFYVELPEGSVLLHLVDSNEKFPAQFGREVLAGLLSMADRADWRNCKVSKEEEIQMVDDFKQGFREFDPAE >ORGLA09G0054800.1 pep chromosome:AGI1.1:9:8382617:8387360:-1 gene:ORGLA09G0054800 transcript:ORGLA09G0054800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKRMLFIFQAMVCLCSFSLSQGNQQFSLRNLPTLQKASSFPAMRHETYDYIVVGGGTAGCPLAATLSLKYKVLLLERGGSPYGNRNVSYMENFHIGLSNMAPDSASQAFISTDGVINARARVLGGGTCINAGFYSRASSNFIQEVGWDEDLVNESFPWVEDKIVQWPKIAPWQAALRDGLLQAGVSPFNGYTYDHVSGTKVGGTIFDETGYRHTAADLLAAGDPNNLRVLLHASVNRIVFNSQRGQLKPRATGVQFTDENGGLHQAFLNSNCDSEIIVSAGAIGSPQLLLLSGIGPKNDLRSHKIPIVLHNKYVGKGMADNPMNSIFIPTKSPPRQSLIETVGITEAGVFIEASSGFGQSPESIHCHHGIMSAEIGQLSTIPPKERSLEKAQKYANTKLNLPKEIFHGGFILEKIDGPLSTGHLALIDTDVKKNPAVTFNYFSHPQDLTRCVYGIKTIERILKTNRFSELSANTDGHSMERVLNMSVQANVNLIPKHTNDTESLEQFCRDTVITIWHYHGGCHVGKVVDQQHRVLGVSGVRVVDGSTFSRSPGTNPQATVMMMGRYFGVMILRGRLGRAAGV >ORGLA09G0054700.1 pep chromosome:AGI1.1:9:8372477:8381663:1 gene:ORGLA09G0054700 transcript:ORGLA09G0054700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G13800) TAIR;Acc:AT3G13800] MAGAGAGAGAIAALLRAAHVPPAPRARSIRGLAVARGLPPLVRATAAAIAPSSFCPYLPRLSSARSFSSSTSCSAGASLGGAVSTSPSEQEKQRQQSELIFLGTGTSEGIPRVSCLTNPSKTCTVCTKAAEPGNRNRRRNTSILLRHATPSGTANILIDAGKFFYHSALQWFPAYGLRTIDAVIITHSHADAIGGLDCLRDWTNNVQPTIPIYVAERDYEVMKMTHYYLIDTSVVIPGAAVSALQFNIIKEEPFTVHNLEVIPLPVWHGQGYRSLGFRFGRVCYISDVSDIPKETYKLLEDCELLIMDALRPDRSSSTHFGLPRALEEVRKIKPKKTLFTGMMHLMDHEKVNNELAKLMETEGLDIQLSYDGLRVPVWL >ORGLA09G0054600.1 pep chromosome:AGI1.1:9:8369790:8371272:-1 gene:ORGLA09G0054600 transcript:ORGLA09G0054600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKVHDKETHGTSNDISHKTSVDKVKAPNLFERAKEEVEALVGAVHDKMEHNSSPHGNNADLHKDSKDESKVSMNKIETHKNETHGTSDDINENTPVERVKGPNVFERAKEEIEAIVEAFHPKKGSDK >ORGLA09G0054500.1 pep chromosome:AGI1.1:9:8366315:8368457:-1 gene:ORGLA09G0054500 transcript:ORGLA09G0054500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DWD (DDB1-binding WD40 protein) hypersensitive to ABA 1 [Source:Projected from Arabidopsis thaliana (AT2G19430) TAIR;Acc:AT2G19430] AAAAALAGMDARGWDEAAYRRGILRERDLSCRTLFRAVFFDHHDDDADVLLAAASSDGSLASFSLSSCISSSSSHPTPQTHPDAAVSLVDPVCIVQAHSGPAYDVRFYPDSQQPLLFSGGDDGRLRGWRWHEMQSCLVPLSLQGDHLEPVLDLVNPQHEGPWGARSPIPENNAIAINKQEGSVYAAAGDACAYCWDVESGKCKMTFKGHTDYLHSIAVREANRQVVTGSEDGTARIWDCRSGKCTQVIRPVKNKTFEGSWVSCVAIDASESWLVGCTSS >ORGLA09G0054400.1 pep chromosome:AGI1.1:9:8361419:8363407:1 gene:ORGLA09G0054400 transcript:ORGLA09G0054400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETRAAWQRAANRCRVQEDAKRAPKLGCCPPSGQQHETNNGNQTNPQDCHIPNFMPLNWNAMNSNLPKDTQWWLQLQPNFGCQNVLASEDLNYMCGEVDVKKVESFAPVSKLEDINPKKTADPFEPPWIVSTAFMKQTYETGFEELKSLPAYSEMTLKCRGSATYLHEDKEHMDFKTFDPLYPKKPQTACYEMDAPWQENRKSRPWWQAAEADGLASVVAESEMHNVGKNELPRPTQRAHGSKLNNHENKDDYGPYTGKESPPVQYDTMLCSYSISSTNETNSSDGEGWQHQRNDARGGTQDSCNSDDRTPGSKPTYRSAAERAQLLDALRHSQTRAREAEMAAKKAYDEKDHVIKLLFRQASHLFACKQWLKMLQLENICLQLRFKEHQIAAMFPELPWIMLKEKVPPGQERKDGTRKKGRKHNKDSHLRKAVVFAVGVGIVGAGLLLGWTLGWLLPRL >ORGLA09G0054300.1 pep chromosome:AGI1.1:9:8351473:8357990:1 gene:ORGLA09G0054300 transcript:ORGLA09G0054300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAEPRRWAATYTKHVKQKRKAYQDGAIVLHRASGNLVLLDDAGGTVECRTLRAGEEVFPGASLAFQRHLVDVGEPEPHPGSGSSSAAASPASRGVHRGGASARARPSAVNSRPPRAFADPNTKGGGGGGGKDEAVGSSFQEWTALYTTQLTQKAKKFHDGVVRLAQVSSHAKQIILLDEEGGVLATRYLKSGESLETGKKCHFPNCLIEICEAKSVNKGCYFCIANTQVYKLIPRRNLWCKQDQGVGRIQVTKQDQVQRVNHSNLPVHRNFMCEMFVSTSIDLEDSKSSNTAGSSKPETSKIGVVDAGSSGSIMGSTDSGFKEWSALYTTQLTQKAKKYHDGVIKLVQVGSHAKQIVLLDEDGGVLGSRYLKSGESVESGMKYQLPNYLIEVCEIRKQKNDVESKHPSEVVLSQTGSANGHNTTDRTDGRNKSPKFVSPLKFNHFQKSRLQGSNGFNRPTVAKSIHTNMIDTLKFHDTQKAKPDFTVGYKTDLGKSTFSNLDDPHQFNDNKQDKTEYAASYNPQEVGKSSYDRVDSPLGFCDLQDGKSGSSTSFLRREAGRTTFGNTDDSLRTARDADHSKTASNISVINSSNRTIGVNMNSRMSHCATQLRASVLACLNLETLQPRNSICTTLQSELSGSAHPTNDHQTVMRPTTFDSLELDMVDTPTSDVSNAKEQSQGSTRNHQTESSKDSAPAMCTTSSDPPSGKGETADQVCILYNLSWKKTAVCEFKLSSDYRVVEKKCGSYPFLSAGDLTVSLRDSLAFLNSICSLLKENGWREGALCVPEGPGCTLKIFTSPTTGTGSRIECDTNLILKFSTGSHLSLAFRFVQLVNWARSQVCIQFKM >ORGLA09G0054200.1 pep chromosome:AGI1.1:9:8345975:8350028:1 gene:ORGLA09G0054200 transcript:ORGLA09G0054200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G29750) TAIR;Acc:AT4G29750] MALLFPPPPHALSPKPPFPSTLRSTRPLSLRRATAAAAAGAGVSSSSPSTSSPEKAPALDVASGKRGGKKRRSLKPSFEKQAIRRWSARAPSQRASFPWQQQQQQQQPGGGEGEAAGDQESGWSGSSTLQSIVDYFDFDYDSSDGDGDGVVVGGEAAEAQEDGPRPEPSFLLGSRPVSAPWMHGEEEPMTNQLVSDEEGLDGDGASEDEMGLVDEDGDEDEDLGSEEETLGDSSDGEFSEDYAAPAANSSSMMDSVLDHVSSGGGFYRGTRRSSVNSIVNTMRNSMEESSRNAAIECPETEDFVQKLGPVLLPWEREGDVDRPRKRSNTELAERTIPEHELRRLRDVALRMKERMRVGPGGVTQLIVESIHQKWRVEEVVKLRFEGPPSLNMKRTHDILEERTGGIVIWRSGRSVVLYRGMNYNLRCVQSYTQTTEVNFDKRVSSNSVEPIHVEHKFQKSGADGLNRSAYIVNSSEKPTETFDIDSFLDQLGPRYKDWSGRGPIPVDADLLPGVVPGYKTPFRLLPYKVKSTLRNKEMTALRRLARQTAPHFALGRNREHQGLATAIVKLWEKSSIAKIAIKRGVPNTCNDRMAEEIRKLTGGVLLSRNKEYIVFYRGNDFITPKVRQVLVEKQEQAITWQDEEELARLKASASISVKPKVFKNPPVAGTLTETREAKSRWGDSINAELRKKEKNHMILTKHTSLLRNLKRKLILAKTKVIKAEKALAKVQEFLSPAELPTDLETVTDEERFLLRRIGLKMKAFLMLGRREVFDGTVQNMHLHWKHRELVKVLVKGKSFPQVKHIAISLEAESGGVLISVDKTTKGYAIILYRGKNYKTPQILKPRNLLSRRKALARSIELQRREGLNHHISNLRDKIWKLKSQLVRMQVAGEKPDAELLQTVEADLSKDDDKIEDEGEEAYLQTYISEDEEEPEDDQNEYL >ORGLA09G0054100.1 pep chromosome:AGI1.1:9:8344639:8345249:1 gene:ORGLA09G0054100 transcript:ORGLA09G0054100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPRSPLCLTRSNSSARPNPPSPSTLSGLKFLVAALSSANNMLSRTKGEEMPQAFEYLASVHGGLMLVPSRSQXLQPPRSRNSPPWDQLGAKLDQIELIIVRHLAAY >ORGLA09G0054000.1 pep chromosome:AGI1.1:9:8335898:8339430:1 gene:ORGLA09G0054000 transcript:ORGLA09G0054000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLNPPPKRRAISAIRKFPRDCGRAASTLAESGASMEELPLEATPISVATGGASMEDSLARTPISVQGASLVCGLDHSSEAIDGKTIEDDESSKVENRIQEFQVATNVALDDFEGAKNGSTHPNDSIAKPSPSHGFVERVNGKGSQQEKKLVARSAGDGKMVSKYEERLQKGTPETRMRDLVDVKAKKKILKSDKMNGALQNDARFSGDGKMKTKASSTQRGVVRSDMSLKQGDIARKVDATGKCKGGVNSLIKEATSGKHATTNGIEENDDRDLVSDRIIVQALMAPDKCPWTRRRKSIGGSSESRTPKLKKKFGRPRKQLKDTTPREEVSPEVASCKAIKHEAIEDKEDSYFEDEGNSKASYSDGEGNSKELVRGGKALVVCGGKKELCVTLPPSAPSGTDPRSKIRNLLIKFHAACRKLVQVEEQHKGNIGRIDIEAGKALKQNGFIKPGPIVGNVAGVEVGDEFNFRIELSFVGLHRPYQGGIDSTKVNGILVAISIVASGGYHDELSSSDELIYTGSGGKAIGNKAAGDQKLERGNLALKNSIETKTPVRVIHGFKGHSKGEASHSKSKQISTYIYDGLYMVVDYWKEGPEGSMVYKYKLQRIPGQPELALHIIKATRKSKVREGVCVPDISQGRERIPIPAINTIDDTQPTAFKYTTEVIYPHSYAKEPLKGCDCTNGCSDSNRCACAVKNGGEIPFNSNGAIVEAKPLVYECGPSCRCPPTCHNRVSQHGIKIPLEIFKTGNKGWGVRSLSSVSSGSFVCEYAGEVLQENGDEHVETDEYLFDIGHHYHNEVWEDPKFEGILGLESSTSKTTEDTEGSKTTEDTEGSTIDASKCSNVGRFINHSCSPNLYAQNVLWDHDDMKKPHIMFFATENIPPLQELTYDYNYGKVEDKNGKEKVKPCFCGSPDCSRRLY >ORGLA09G0053900.1 pep chromosome:AGI1.1:9:8328233:8331274:1 gene:ORGLA09G0053900 transcript:ORGLA09G0053900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIVPITLGYNSHDMQKRFLLKHKFSDIKGINSQYDDQDRQNSGNFWIKLNIDETGFYRVKYDDELTTALRNALQMKKLSLMDKIGIVEDAHALSIAGKQTLSSLLHLLYACRDEDDFSVLSHINSVTSSVAKISVDATPELAGEIKQLFIKLLLPTAEKLGWDPKNSESHLDAMLRPVLLVGLVQLGHDKTISEGVRRFQIFFDDRNTSLLPPDTRKAAYLSVMHNVSSTNRSGYDALLKIYRESTEVEERLNVLGILSSCQDKDIVLESLNFIFTDEVRNQDAYLVLRSVIIDARETAWSWLKENWDRITKTFAASAILSDYVKSIVTLFTSKEKEAEISQFFATRTKPGFKRALKQSLENVRISARWVDGIRGEAELAQTVHDLLIKL >ORGLA09G0053800.1 pep chromosome:AGI1.1:9:8322992:8327890:1 gene:ORGLA09G0053800 transcript:ORGLA09G0053800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEFRGQARLPRFAAPRRYELRLRPDLAACMFSGEASVAVDVSAPTRFLVLNAADLAVDRASIRFQGLAPAEVSVFEEDEILVLEFAGELPLGEGVLAMRFNGTLNDQMRGFYRSKYEYKGETKNMAVTQFESVDARRCFPCWDEPSFKAKFKLTLEVPSELVALSNMPIVNEKIAGPIKTVEYEESPVMSTYLVAIVVGLFDYIEGVTSEGNKVRVYTQVGKSNQGKFALDVGVKSLNLYKEFFDTPYPLPKLDMVAIPDFTNGAMENYGLVTYREIYLLFDEQSSSASTKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSYLAVDSFFPEWNIWTQFLDSTTSALKLDSLAESHPIEVEIHHASEIDSIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKNLMTTWTKKQGYPVIGVKLKGHDVELEQCG >ORGLA09G0053700.1 pep chromosome:AGI1.1:9:8313877:8320939:1 gene:ORGLA09G0053700 transcript:ORGLA09G0053700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase [Source:UniProtKB/TrEMBL;Acc:I1QNA8] MAPAPAPAGSADQFRGQARLPRFAAPRRYELRLRPDLDVCVFTGDVSVVVDVSAPTRFLVLNAADLAVDRASIRFQGLAPTEVSLFEDDEILVLEFDGELPLGEGVLAMDFNGTLNDQMRGFYRSKYEYKGETKNMAVTQFEAVDARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVTCETIAGPIKTIHYEESPLMSTYLVAIVVGLFDYVEGVTSEGNKVRVYTQVGKSSQGKFALDIGVKSLNFYKDYFDTPYPLPKLDMVAIPDFAAGAMENYGLVTYREVSLLFDEQSSSASFKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSHLSVDSFFPQWNIWTQFLDSTTSALKLDSQAESHPIEVEIHHASEVDEIFDAISYDKGASVIRMLQSYLGAEHFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKDLMTTWTKQQGYPVISVKLKGHDLELEQDQFLLNGTSGAGIWIVPITLGCCSHDKQKRLLLKHKHDNIKAIVSQCDSRQKGGNFWIKLNIDETGFYRVKYDDELTAALRNALQTKKLSLMDEIGIVDDAHALSIACKQTLSSLLHLLYAFRDEADYSVLSHINSVTSSVAKISIDATPDLAGDIKQLFIKLLLPPAKKLGWDPKDGESHLDAMLRPMLLVALVQLGHDKTINEGFRRFQIFFDDRNTSLLTPDTRKAAYLSVMHNVSSTNRSGYDALLKVYRKSAEGEEKLRVLGTLSSCQDKDIVLESLNLIFTDEVRNQDAYRVLGGVIIEARETAWSWLKENWDRISEAFSGSSLISDFIRSIVTLFTSKEKEAEISQFFATRTKPGYERTLKQSLERVLINARWIEGIRGEAKLAQTVHELLHKP >ORGLA09G0053600.1 pep chromosome:AGI1.1:9:8296528:8296767:1 gene:ORGLA09G0053600 transcript:ORGLA09G0053600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFLTPPPSPLSVARATGGQNLMDRRGKRHEGRRERRGKERGRNLHCSRCAADTTRKTIFAGGQNEFLLAANATASE >ORGLA09G0053500.1 pep chromosome:AGI1.1:9:8289759:8290148:-1 gene:ORGLA09G0053500 transcript:ORGLA09G0053500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGRSKSSSSTASPPPAAAASSAAAAACSELRAAYHECFNRWYAEKFAKGQWHKDDCVGEWHKYRACLEVTPFSPPPNSITASLIYYRSPQFVAKTTALGIALVFSQFRMGFHLSRFLVYMARNRVVF >ORGLA09G0053400.1 pep chromosome:AGI1.1:9:8281873:8284658:1 gene:ORGLA09G0053400 transcript:ORGLA09G0053400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRVFLPRDCPLIRAYGAIRFDATSDYSVEWEEFGSFYFIVPQVEYNELEESSVLATTIAWDDSLSWTWQNAVKELQSTLQKISSSPIKVNNSTLQTTIVKLNHVPTKASWDLAVTKALQMIKGKQRELVKVVLARCSRYITDTCIDPVELLACLKVEGQNAYQFCIQPPDAPAFVGNSPEQLFHRKYLNISSEALAGTRARGKTRADDFQIGQDLLLSSKEDNEFTIVRDSIEKKLEMICDDVVVHPSKALRKLPRVQHLSAQLAARMRNEDDEFDILNTLHPSPAVCGLPTEEARQFIQDYEIFDRGMYAGPVGWFGGAESEFAVGIRSALLGKGHSTLVYAGAGIVEGTNPSFEWDELDLKASQFAKLLQYQEQHICLQEAENMGTVI >ORGLA09G0053300.1 pep chromosome:AGI1.1:9:8270893:8271616:-1 gene:ORGLA09G0053300 transcript:ORGLA09G0053300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPLNVSFRRALVGQNLACWHELCVSLVHIQLNDSSDSFRWNFHQNGLFSVIFRATHRLRFWTQLQRCDEDEEYLKVACRKLETMVMQLFANYG >ORGLA09G0053200.1 pep chromosome:AGI1.1:9:8264898:8265752:-1 gene:ORGLA09G0053200 transcript:ORGLA09G0053200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRKQQQEEEEMVAGGGEAAALRAPADVIARVFSQLDCVDLLSCSLVCRQWYRDSAELREEWRKEYMEAWNQFGLYVKQQPQQPCPTCSSSIRTLRSLCS >ORGLA09G0053100.1 pep chromosome:AGI1.1:9:8261501:8264089:1 gene:ORGLA09G0053100 transcript:ORGLA09G0053100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKPQSKSKPQPLSNHLLPVFASANLNSSSDSPLPRSKMGQCLGLVQIDQSTVAIKENFGKFSEVLEPGCHFLPWCIGQQIAGYLSLRVKQLDVRCETKTKDNVFVTVVASVQYRALADKASDAFYKLSNTREQIQSYVFDVIRATVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGYEIVQTLIIDIEPDVHVKRAMNEINAAAARLRVAANEKAEAEKILQIKKAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGASSKSTSVFIPHGPGAVKDVAAQIRDGLLQANAERKD >ORGLA09G0053000.1 pep chromosome:AGI1.1:9:8259420:8259806:1 gene:ORGLA09G0053000 transcript:ORGLA09G0053000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDDVDFSPHQATSKESMNSEDDAKVQPSSGQTANKGNYYMGHANAIMMMFQFICDGCWRVESSMEDYKCKKVEEGRGEKRRWEKDMILD >ORGLA09G0052900.1 pep chromosome:AGI1.1:9:8250363:8254083:1 gene:ORGLA09G0052900 transcript:ORGLA09G0052900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPPELGQFDGWESSGEEERERWGWCRRSRSRSGSRSGSGSGSSRRRLPRKGSGGGGGGEDATVATGCCIRLWPMGSCPPPPRSKVDTSTSSASTHGAEKSTENGSRNHPVVSVVSGSTTTSNAESSSSASKAGEEIKVASQLRKFAFNDLKCATRNFRPESILGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLHHPNLVRLIGYCVEDDQRLLVYEFMPRGSLDNHLFRRSLPLPWSIRMKVALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLIDPRLEGNFSVKGAQKAAQLARACLNRDPKARPLMSQVVEVLKPLLNLKDMASSSYFYQTMQAERMAHSSSMNGRSHALKVQGSFARNGQQPMRSLSDGPRASPFRYSPKPNVK >ORGLA09G0052800.1 pep chromosome:AGI1.1:9:8227684:8232691:1 gene:ORGLA09G0052800 transcript:ORGLA09G0052800.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRRNLKTNVGITVFPILICVLLVVLQNVINGELDKPKYQCGCECTESDLEGTCLRKECGIQHSTLEQVNVFIVLEYFQLTGVSGRVFPALYPLPNVTDYLMPPPFSPSQVTDYLDILSRIVVPRCMPFMFGTIPYNAGGVPLHIDSFVGLPRLYSDVLLNEPDIQCIEVQMLWRESASVINYELFKGYVQRGGETNEFVAGYDFLNTTGYDLNINVWYNSTYNDNTAYSFIAALRVPRLVNAISNAYLKFIRGNGVDMLLEYVKEMPKVGTRFRLDLSSLLSVLFFTWIVELLFPVMLTYLVYEKEQKLKIMIKMHGLKDGPYWLISYAYFFALSVIYMTFFVIFGSLIGLNFFRLNDYSIQFAFFFIYINLQIALAFFVASFFSSVKTATVIGYIYVFGSGLLGAFLFRFFVEDRTFPNGWLLVMEIVPGFSLYRGLYELGQYAFSGSAMGASGMTWGNLRDPINGMCGIFIIMTVEWAFLLMLAFYLDQVSPVGGGVRKRPLFFFRCLQKKHTPSLQKPSFVQQGSKVIVDMEKPDVAQEREVVEQLLVGRNANQAIICHNLKKIYPGRDGNPDKLAVRGLSLAVPKGQCFGMLGPNGAGKTSFISMMIGFVKPTSGTSYVHGMDINMDMDHIYTNMGVCPQHDLLWEPLTGKEHLFFYGRLKNLKGAALVKAVEDALKSVNLFHGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRNPHSMEEAEVLCDRLGIFVDGDFQCLGNPKELKARYGEHTYSQXQHLQTKNKRLNSXSMTCLRAQTRSTTYLELKSSNCQSKRXKXPRYFAQLRMRRNGSPCMPGAWLIPPWRMSSXRLPRDHRHPVIIV >ORGLA09G0052700.1 pep chromosome:AGI1.1:9:8193580:8195038:1 gene:ORGLA09G0052700 transcript:ORGLA09G0052700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIDVLIRVDAICKKYDKYDADKHRNGAAADPFSRLYAAVDADIDAAIEKSERAATETNRAAAAALNSDVRRTKARLAEEVVKLRKLAAKKVKGLSPEEAALRGDLVLALPDRIQSIPDGGSGGGGAADQNGGGNVRPGIKFDSSGFVVFLKLDEGLEFISEGLDTLKSLAEDMNEELNRQMPMMDEIDNKVDKSNADLRKTN >ORGLA09G0052600.1 pep chromosome:AGI1.1:9:8182136:8187532:1 gene:ORGLA09G0052600 transcript:ORGLA09G0052600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLEYGVLNKNWMGDPCYPTQYAWEGVKCKNSSENIPRIISIDLSNSNLHGVISSNFSLLTALECLYESNGDMCNKTTSLTRSKNRAAILAISVAAPMLVVIALFVGYLMWKAKRKPNTSAYNPPRVPEPMNAPVSEKYHWDHLEKNENRQFTYEELEKFTNNFQRLIGQGGFGCVYHGCLEDHTEVAVKIHSENSRHGFSEFLAEVLNSVDKEVEETLGSIITICIPFHAYEDKTGVGESLNWASRVRILLEAAQGLDYLHTGCNRPIIHRDVKTSNILLGQNLQAKIADFGLSKVYVSDMQTHMSATAAGSMGYIDPQYYLTGRITESSDIYSFGVVLLEVVTGERPIIQDQGHIIQRIKMKVVAGDISSIADARLRGDYDVNSIWKVVEIAMLCTEPVAAQRPTMASVVAELKDSLVPDPPPHHAVAMSPTFGPSAR >ORGLA09G0052500.1 pep chromosome:AGI1.1:9:8177968:8179045:1 gene:ORGLA09G0052500 transcript:ORGLA09G0052500.1 gene_biotype:protein_coding transcript_biotype:protein_coding DCLVYSSHLENNSHVSWYPLDPYDRYWLAEDSNPMWGYLNSKRNIQPESITEVPSAVLQKAVQVAGNGRMLNITWEDNTPDLQVTVFLHFADFQKSQPRQFNIYFNSYDKPYLYSPSYLAAGVVYSPSWYGESDGEFNVTLVATAKSVLPPMLNAFEIYTPIKHKTPMTFSKDGKKAANLKCTTTHIEHIAT >ORGLA09G0052400.1 pep chromosome:AGI1.1:9:8174548:8174991:1 gene:ORGLA09G0052400 transcript:ORGLA09G0052400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQSRRALRGRSGLREWQRGGWWPGGHYGRGMQHPSSARQIMKVGWCPLPSACWAGLSCMWWPWCPKMELLVDGDAKALMGLVEDADGGHRVVAGYVGTAADIGLTALGDDLPTLGSCAPPRTPSLVPLPSRGGSHVIHGYSAEYP >ORGLA09G0052300.1 pep chromosome:AGI1.1:9:8142412:8143277:-1 gene:ORGLA09G0052300 transcript:ORGLA09G0052300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYAGFLSIDCGLEADSGAYTDIDRGIFYIPDGPYVDAGENHEVAADLKEGHIRPDLTVRSFPSGMRNCYTLPTDAGSKYLVRVVAVYGNYDGKNNSVGLQFNLHIGTNYWDTVQPANGRQVYEALFVAWGSWAPVCLVNTGQGTPFASSVELRPLGSELYPAVMANQYIRLYGRRNLGPTTASVTRLDFSYA >ORGLA09G0052200.1 pep chromosome:AGI1.1:9:8119963:8121679:-1 gene:ORGLA09G0052200 transcript:ORGLA09G0052200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLFFAAIVVLAAASPAIGQLPGFLSIDCGLEAKYSGYKDTDLGIVYVSDGPYIDTGENHQVSGDSTTRRPYLTLRRFPTGERNCYALPTVSGDKYLVRVVIARDSQNSSSSATTTTLQFDLHLGANYWDTVHDDGTEVYEALFMAWASWAPVCLVNTGQGSPYASAIELRPLGSEIYPAVMANQSLRMSSRQRMGQINSSVTRARSELPLNYQKRPIYILNYDIKYSLPSQLVGQEK >ORGLA09G0052100.1 pep chromosome:AGI1.1:9:8110899:8117574:-1 gene:ORGLA09G0052100 transcript:ORGLA09G0052100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNPMWANLSTTSNIQEESTMFGVPSAILQKAVTVAGNGTMLNIMSEDRSFFEFMVFLHLADFQDSKIRQFNVYFNSDNPLSYIPQYLAAGNVYSSSWYSSTDGQFNITLEATTKSLLPPMLNAFEIYTLIAHNTPTTFSKDFDAIMAIKFEYGINKNWMGDPCSPSRFAWDGVICRNTSDNIPRIISLDLSNSNLHGVISNNFTLLTALENLNLTGNQLNGTIPDSLCKLNSGSFIFSYNSDQDVCKKTSPSSSRSRATILAISIAAPVMVVAILGLSYLIWRVKRKSNIFAYNPPRVPEPTNASRNEKYHWDHLQENENRQFTYEELEKITDNFQLIIGEGGFGRVYHGRLEDNTEVAVKMLSGTSSSGFNGFLAEVQSLTKVHHKNLVSLVGYCSEKAHLALVYEYMSRGNLFDHLRGKSGVGENLNWAMRVRVLLDAAQGLDYLHKGCNKSIIHRDVKTSNILLGQNLRAKIADFGLSRTYISDSQSHMSATVAGSMGYIDPEYYQTGWITENSDVYSFGVVLLEVVTGELPILQGHGHIIQRVKQKVDSGDISSIADQRLGGDYDVNSMWKVVEIALLCTEPVAARRPSMAAVVAQLKESLTLEEDRQERGLKDNPTDDVVVAMVPTFGPSAR >ORGLA09G0052000.1 pep chromosome:AGI1.1:9:8093930:8095511:-1 gene:ORGLA09G0052000 transcript:ORGLA09G0052000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELDKTKYKDIHNLVRDEKQLIDELRDFVQNKRYLIVIDDIWDIASWSAIRCALVENNDGSTIIATTRDFDIAEQIGSPHKLKTLPPKSSKKLFYRRIFGSEEKCPQELVEVSGKILKKCSDVPLAIITIASVLARTRNMAEEWYKVYNSIGYGLGNNHDMKNMRKILSLSYHNLPSHLRTCLLYLSIFPEDYEIERSRLIRMWISERFIHPEKDGDNLFELADSYFNELINRSLIQSSGYGNGIPHFCRVHDMIHDLIRFLSSKENFVNVFDGILQQTSPANKVRRLSLQNNKLDNSTAQTNLKMSQVRSLSIFSSSGVSLLPSLSSFQVLRVLDLKNCYLTEGCHLDLRHVCNLFHLRYLRLYECNFDRELLKEIENLKFLQTL >ORGLA09G0051900.1 pep chromosome:AGI1.1:9:8068049:8074297:1 gene:ORGLA09G0051900 transcript:ORGLA09G0051900.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSTSPQLRTSXALTAAFPVALAMWMRRRISHIFQMISTXTQEKTTKFLQNTKVPNNSDRVXISEVFLLEVGIVILFIPPXKVRSILXEECSCMGIMTTKARISLAHHCSLISASDXTSGTRSTLVVQPXLTRLRLXFLPLXIQYQFACLIMEKELLSYHRXKXGQXRVQNYPAATPNHPLLLQDRRSLGANSTIRYPDDPYDRLWWPSQNTSEWIKISTTSMVRRYPDDVYEVPAAVLKTAATTSSNSTALNFLWLSPASWAVAPGYLLGLHFTDFQQEQLREFHIYYNGESFVPDGKSYSPPYLLANYWNDSSPTVSDNGLSYKISIVATNASVLPPMLNAIEVYYQVQQDEKMTSSEDVDAMMTIKIEYQVKKNWMGDPCLPEKYTWNGLKCRGQGDTSRIISLDLSGSDLQGAISEKFSMLRSLEYLNLSHNGLTGLVPESLTNLPNILVLDLSGNHLNGTFPEGLCKNRALKLRYDTANGDPCSSRSSKKKKKTVLAVAIVVPVVIVSAILMFIFCKKQSIVKSRGQEHCGDHVHIPDNREFTYDELAKITNNFSTFIGEGGFGPVFHGQLKDSTQLAIKMCSPTSTPGKGLPEFLAEVESLTTVHHRYLVLLVGYCTDKDHLALVYEYMPNGNLYDHLRGKNAIIQKLSWQHRARIALEAAQGLDYLHTGCVLPIVHRDVKSHNILLGCDLNAKISDFGLSKSYLHVAQSHITATAAGTPGYIDPEYCRSGRLTVSSDVYSFGVVLLEIVTGEPPVIPTAGHIVQRIKEKVNMGNIEAIADPRLHDEFDVSSIWKVVDTALMCTKEASSERPTMSIVVAQLKDALALEQARLRYSISGISQGGANAELSYSLPMPR >ORGLA09G0051800.1 pep chromosome:AGI1.1:9:8049674:8049883:1 gene:ORGLA09G0051800 transcript:ORGLA09G0051800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGAALALAAAVCFLLVAPAPARRPADLPPQAVLLLPEPVDYREAAAEPLLPPKPVADADADARRSG >ORGLA09G0051700.1 pep chromosome:AGI1.1:9:8016204:8025362:-1 gene:ORGLA09G0051700 transcript:ORGLA09G0051700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLAFFAVLVLATAVVPAVGQQGYLSIDCGLEANSSYQDDNRILYVPDGPYVDGGENHKVAAEYAPSFQRPDQTLRSFPSGVRNCYTLPTAAGSKYLVRLVFVYGNYDGKNISSSSSSAAASALRFDLYLGLSRWTTVQGGTGSGGEVHEAVFVAWASWAPVCLVNTGSGTPFVSTVELRPLVDSLYPAVMANQSLAMLRRRNMAANNFIWYPDDPYDRYWWPMNADPAWANLSTMSTIKTGTTFAVPSSVLQTAVTPSGNSTVLNVISWQDTTAKEYVVYLHFADFQSSKLREFDAYPDANQVVYNYTPHYLLSSSVYTPLFRAIAGEYNITLAATANSALPPMLNAFEIYFLITYDGTTTFSKDFDAIMAIKLKYGVKKNWMGDPCFPPEFAWDGIKCRNTSGNIMRIISLDLSNSNLFGVISNNFTLLTALENFYGSDGNMCNKTIGSSPSRNRTAILAISVVVPVLVVALLVLAYMIWRVKRKPNIPTYVPPQVPDIKTSPERKTNPFDPLQITESRQFTYEELKKFTNNFQQFIGRGGFGNVYYGCLENKTEVAVKMLSEFSGNGLDQFLAEVQSLTKVHHKNLVSLVGYCWEKDHLALAYEYMARGNLCDHLRGLEYLHKGCNLPIIHGDVKTNNVLLGENLKAKIADFGLSKTYISETQTHISTSNAAGTMGYIDPEYYHTGRLTESSDVYSFGVVLLEVATGEPPILPGSGHIIQRVKQKVASGNISLVADARLKDLYGISSMWKVVDTAMLCISEVATQRPTMSTVVLQLKESLALEEARDSRDITTSSVSDAMDVLLKFGPSAR >ORGLA09G0051600.1 pep chromosome:AGI1.1:9:8004635:8006741:1 gene:ORGLA09G0051600 transcript:ORGLA09G0051600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVLGLYWHIKVESLTTVHHRHLVFLVGYCTDKNHLALIYEYMPNGSLYDHIRGKKAVIQTLRWCDRVRITLEAAQGLDYLHTGCVLPIIHRDLKSHNILLGHDMVAKISDFGLSKSYFSVAQSHISVSAAGTIGYIDPEYCLSGRLTTSSDVFSFGVVLLEIVTGEPPIVPTTVHILQRVKEKVTTGNIDAIVDPRLDGDYDVSSIWKVVDIALLCTKEASDERPTMSTVVAQLKDALALEEARNVSISDISQKGANLGLSFNSMPSAR >ORGLA09G0051500.1 pep chromosome:AGI1.1:9:7951724:7952468:1 gene:ORGLA09G0051500 transcript:ORGLA09G0051500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKVIGKYLIRTRYQVTKIYQLKSRPQGVPIRVRKMLKSAQAIDEFSQDGV >ORGLA09G0051400.1 pep chromosome:AGI1.1:9:7941895:7945049:1 gene:ORGLA09G0051400 transcript:ORGLA09G0051400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKTKYQVNKNWMGDPCLPKEFVWTGLQCRCDGVGCRIISLDLSGNNFDGTIPEALCKKGSLNFRYNTYNDDPCKEKSPNKRNISVLTIAIVTPVVAVLLVCLVLILCFCKKKREQQVTQSLVHQCIPHSVHPAGIPNSGSHIDIRGHILMSDDHEITYEELVKITDNFSECIGQGGFGPVYRGQLQGSIQVAVKMCSRKPIHGQGIREFLAEVDSLKTVHHKNLVLLIGYCTNKNHLALIYEYMPNGSLFDHIRGKKANVQTMSWLLRTKIMHEAAQGCVLPIIHSDVKSHNILLGENMHAKISDFGLSKSYINEAQTHISATAAGTIGYIDPEYYFSSRLTMKSDVFSFGVVLLETVTGEPPIVPGVGHVVQRVRQKVSDGDISAIVDPRLEGAYDMGSVWKVVDIALLCTREVSDDRPTMTEVVEQLKHALALEEAHRIDGRSDNGQGSIKPDLSADWAPLAR >ORGLA09G0051300.1 pep chromosome:AGI1.1:9:7929705:7930560:1 gene:ORGLA09G0051300 transcript:ORGLA09G0051300.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDNLGFVSIDCGLSGPSYMDDRTNISYVSDDGYIATGEKHEISSEYKSRALYTSDLSLRSFPSGGRNCYAVAAAARGRKYLVRAWFMHGDYDGGGKSLASTPVRFDLYIGLDIWYEVAVSNAATSYAFEVIAVAVASSLSVCLLDTGHGTPFISSLELRPLRGDMYPDAMANKSLGLYTRCNMGSSKYLR >ORGLA09G0051200.1 pep chromosome:AGI1.1:9:7888523:7890166:1 gene:ORGLA09G0051200 transcript:ORGLA09G0051200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFADPHLQIPDRYIRAAGGGGVVVVGDGESLELPVVDMARLLDPEHREAEVALLGSACRSWGFFQLINHGVDEAVIQKMKDNTVQFFELPLEDKNTVAVRPGGIEGFGHHFRSSAGKLDWAENLIVETQPFQQRNLEFWPSKPPTFRDSIDKYAMEMWNLTTRLLRFMASDLGVEQETLLAAFHGKRQTFGLHRYPPCRHPEKVIGISPHSDGFGLTLLLQVNDTLGLQVSKDGRWHPVRPLPGAFIVNVGEILEVLTNGRYKSVFHRVAIDAERGRVTVVVFQDACINGLVKPLPELGETPRYRAIGKSEYFKGHTAEVLGQGERFIDTLKK >ORGLA09G0051100.1 pep chromosome:AGI1.1:9:7884241:7887132:1 gene:ORGLA09G0051100 transcript:ORGLA09G0051100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFADPNLEIPDRYDRSGEVPAGVVVGGGDDESYELPVVDMARLLDPEHWEAEVAWLGSACRSWGFFQLINHGVDEAVIQKMKDNTVHFFELPLEDKNAVAVCPDGGIEGFGHHFRTSADKLDWAENLIVETQPIERRKLEFWPSNPPTFRDSIDKYAMEMWNLATRLLGFMASDLGVEQETLLAAFRGKRQSMTLHHYPPCHHPEKVIGIAPHSDGFGLTLLLQVNDTPGLQISKDGRWHPVRPQTGAFVINIGEILEVLTNGHYKSVFHRVVVDTERGRDTIVVFQDACINGVVKPLPELGEARYHAIDRLEYSKGHATEIFSRGERFVDTLKK >ORGLA09G0051000.1 pep chromosome:AGI1.1:9:7863197:7875002:-1 gene:ORGLA09G0051000 transcript:ORGLA09G0051000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLILFAALMLASLSGAAADDGIGGQSGFVSIDCGREANYSDYKDPKTGIVYVSDEPYIDAGAGENHRISATATATAADSYLLQTLRSFPSGPRNCYALPTVAGTKYLVRLGFLYGNYDGENSSSSSASSLRFDLHLGAQRWATVDDVVVQTGGISRMYEVVFMGWARWAPACLVNVGGGTPFVSSVELRPIDDELYPSVKTSESLSLFKRSDMGADTSTLTRYPADEHDRIWKGSGNPGSTDISTQEKIQSENSFEVPLPVLQTAITTPGGNDTTLTVPWQDTTSSSEYMVFLHFADFQKSQPRQFNVTLNDLPIGSNGRSLMFSPPPLDSSSVYSSDGYRADDGNYSLVLRRTAASALPPMLNAMEIYTVITHDSPRTFHKDFDVIMDIKYEYGIKKNWMGDPCFPSEFIWDGIKCSTAGDDNTSRIISLDLSQSNLQGVISINFTFLTALNYLNLSGNQLNGPVPDSLCKNIAGLYIFSYTSDGDICNSRTSSSRSTNRSTTILAISIVTPVLAVAILLAFFLWRAKGKHNVSTFDPPRVPDPKKAPGSTTDHWSHLPINGSRQFTYEELKNFTLNFQRFIGQGGFGHVYYGCLEDGSEVAVKMRSESSLHGLDEFLAEVQSLTKVHHRNLVSLVGYCWEEHYLALVYEYMPSGSLCDHLRGKRDVGETLNWAKRVRIMLEAAQGLEYLHKGCNLPIIHGDVKTNNVLLGENLKAKLADFGLSKMYISDSQTHISVTAAGTVGYIDPEYYQTGRLTESSDVYSFGVVLLEVVTGELPILAGHGHIVQRVERKVTSGSIGLVADARLNDSYDISSMWKVVDTAMLCTTDVAIQRPTMSTVVLQLKECLALEEAREDRNRAGPTNDAVDVVSTFGPSAR >ORGLA09G0050900.1 pep chromosome:AGI1.1:9:7841615:7845644:-1 gene:ORGLA09G0050900 transcript:ORGLA09G0050900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Bystin (InterPro:IPR007955); Has 475 Blast hits to 467 proteins in 210 species: Archae - 0; Bacteria - 9; Metazoa - 155; Fungi - 139; Plants - 55; Viruses - 0; Other Eukaryotes - 117 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G31660) TAIR;Acc:AT1G31660] MAGKKRKSASSDKQPKQQQRLPLGADADAVADAAKRRRSGASKKHQAEEEASIPSSLSAKILREALTQQQEESLADQRPAAAATAAPSPSFSFPVPNKDGEEDEDDDDVDEFDGFDAQSEYDGGVPEIDEEDEKALAAFMSKDTSSKRSLGDIILEKIRQKDAEISTEGRTPAKLDSSIIELYKGVGEFLSRYTSGKIPKGFKRIPSLECWPDVLQLTEPENWSPNAVYQATRLFSSNMNAKNAVRFYEAILLPRVRNDIRKNKRLHFALYQSLKKCLYKPAAFFKGILLPLCQERNCTLREAVIIGSIISKVSIPPLHASAALMKLAEMEYCGTTSYFIKLFLDKKYALPYRVVDAVFAHFMRFIDEARVMPVIWHQSLLAFVERYKNELEKKDKEKLARLLDHQKHYLVTPEIRRELRMSCNRGEKDTNMSICSPVSVITKPIEEDRWNVPEVPMEE >ORGLA09G0050800.1 pep chromosome:AGI1.1:9:7836031:7836252:-1 gene:ORGLA09G0050800 transcript:ORGLA09G0050800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFLSCTRWFPKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA09G0050700.1 pep chromosome:AGI1.1:9:7802453:7806680:-1 gene:ORGLA09G0050700 transcript:ORGLA09G0050700.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPDDQYDRYWIMGETTGAADMSNISTPTIIPPSVPFAVPSPILQKAVVPADNSMKLVFHSDQLDAQLRDHLVILHFADFQNNKSREFTVSIDSGVQSGPFSPPYLKVLSITTDWSSDTEGKYNFTLTATSTSSLPPILNAYEVYGRIIHDNPMTFSQDFDAIMAIKYEYGIRKNWMGDPCFPPEFAWDGVECSSDGKTMRIISLDLSNSELHGLISNNFTLLTALKYLNLSCNQLNGAIPDSLRRKNGSMVLSYESGGDMCKKPVSPSSRNRAAALAVSVVVPMLAVAILGLAYLFWRAKRKHNNDPPTVLELTGAPGHKTNHWDRLQKPENRRFTFEELQKFTDNFKRLIGHGGFGHVYYGSLEDSTEVAVKMRSESSLHGLDEFLAEVQSLTTVHHRNLVSLFGYCWDDDHLALVYEYMSSGNLCDYLRGKTSMTETFNWATRVKIALEAAQGLDYLHKGCNLPIIHGDVKTNNILLGRNLKAKIADFGLSKTYHSDSQTHISASIAAGSMGYIDPEYYTTGRLTESSDVYSFGVVLLEVTTGEPPIIPGNGHVVQRVKQKIVTGNISSIVDTRLGGSYNVSSMWKVLDAAMMCTTDIAAERPTMATVVMQLKESLELEEAHGDRGDMENQARDNTYLMSTFGPSAR >ORGLA09G0050600.1 pep chromosome:AGI1.1:9:7796471:7798699:-1 gene:ORGLA09G0050600 transcript:ORGLA09G0050600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHSDSSRPRPPSAHPSAARLPASRPPRLEKGNFALPPPFGFPPPPPPGSTFVPPPQSGVPPPPPLGSFFVPPPQSRVPPPPPQPGVPPLPQFGMMPQYGLNQSTAPLRPTATASSWLGFAPHRSAQLHPQSSKRPIENEEGTDPVDNDYAGVDLRQDWSAGEEEVDRRCSFGVPLTYFVSNEGSMDGSGYFTNLINEGGRSYDWSAEGSHPEYLSNNSRKEKLLRTLQIALKFGSCIIGPLYKSVSINKLAGCVSYIENRPQSGVNAEDKNCVSQVKEDPIAADGVQPSGRPAGRKKEKEKQRQHSDQSKIDALDLLWNKKKEVDAEKDRQREERYRAALALEQKRIDLDKEKLDFKRMIQEDRIVRL >ORGLA09G0050500.1 pep chromosome:AGI1.1:9:7765983:7779214:-1 gene:ORGLA09G0050500 transcript:ORGLA09G0050500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFAGFTVFVLAASVPATGQQDGFLSIDCGLEGDKNGYTDDINGIVYTPDGAPYVDTGVTNNVSAXYVNTWDRALNTLRSFPLTLFGERNCYALPTVPGAIYLVRLRFAYGNYDNMNSEFVQFSLLLGVNHWDEVYIGNEGKDYSSEAMFVAWASWASVCLVNTNQGTPFVNTVELRQLDSMLHFRKIMGNNSIYLYERRNMGPSSRDNPIIRYPNDTYDRFWYPWGSEDDPTYSNLSAPSTLIIPPSPSYAVPSLVLETAVVPADNNKSVLSIIQTNDKEIHEYLVLVHFADFQSTLRRRFQAYSNGDPIEGGPYVADYSGQTVCTVDWISAETSGKYNITLAATDSSQLPPIVNAFEVYGRIPLDNPSTFPKDSTCKTISLAYNKLNRWIKELRLIKSTTQRDLSNSNLHGSISINFTLLTALEYLNLSGNQLNGAIPSSLCENNAGSFVFRFSYLFNVDFGDNFVHLDSTYGPEFLNAPGSTKNHWDHMQKTENRRFTYEELEKYTDNFKRLIGHGGFGQVYYGCLEENIEVAVKMRSESSQHGLDEFLAEVQSLTKVHHRNLVSLVGYCWENDHLALVYEYMSGGNLCDHLRGKISVGESLNWATRLRILLEAGQGLDYLHKGCNLPIIHGDVKTNNILLGQNLKAKIADFGLSKTYHSDTQTHISATAAGSVGYIDLEYYNTGRLMESSDVYSFGVVLLEVVTGEPPIIPGHGHIVQRVKQKIVTGNISSIADACLDAYNVSSMWKVVDTAMMCTADVAAQRPVMATVVAQLKEGLALEEAHEERVDLENIASDIVSSVSTFGPSPR >ORGLA09G0050400.1 pep chromosome:AGI1.1:9:7726036:7735320:-1 gene:ORGLA09G0050400 transcript:ORGLA09G0050400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVCLVNTGGGTPFVSTVELRPFGSLAYPTVNQSLSLYERRSMRSGADVDIIRFPDDQYDRYWYAWELTGNDPYSNISTPSTIEPNTTFMVPLHVLQTAFVPDNKTREFTVSIDGGVQSGPISPPYLKGWSIINWSSDSEGLSIKLAATATSALPPILNAYEVYSRIIHEYPMTFSQDFDAIMAIKHEYGIRKNWMGDPCYPSNFVWDGVKCTNPGDDKTMRIISLDLSNSELQGPISYNFTLFSALKYLNLSCNQLTGTIPDYLRKSNGSIVFSYESDGDMCKKPITSSSSRNRAATLAVYVAAPVLVVAMLVVAYLIWRAKRKPHFSTDDSPTVPEQISPPGHWTNHWDHLQKPENRRFTYEELAKFTDSFKRLIGHGGFGNVYYGCLEDNTEVAVKMRSESSSHGLDEFLAEVQSLTKVNHRNLVSLIGYCWEKDHLALVYEYMSSGNLSDYLRGKTSMGGTMNWATRVRVMLEAAQGLDYLHKGCNLPIIHGDVKTNNILLGGNLKAKIADFGLSKTYHSDSQTHISAIAAGSMGYIDPEYYITGRLTESSDVYSFGVVLLEVTSGEPTIIPGNGHIVERVKQKMVTGNISSVADARLGGSYNVNSMWKVLDAAMMCTADIAAQRPVMSAVVMQLKESLELEEAHGDMGDMENVARDNKFSMSMFGPSAR >ORGLA09G0050300.1 pep chromosome:AGI1.1:9:7678380:7678709:-1 gene:ORGLA09G0050300 transcript:ORGLA09G0050300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGLGRAILVLFLLPSVPLKPSPLTLSHRSMRKRSRIQAEDRADLHDNGLE >ORGLA09G0050200.1 pep chromosome:AGI1.1:9:7669385:7669516:-1 gene:ORGLA09G0050200 transcript:ORGLA09G0050200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKYEYGIKKNWMGDPCFPPEYVWDGVKCSDAGDKIMRIISM >ORGLA09G0050100.1 pep chromosome:AGI1.1:9:7655804:7661600:1 gene:ORGLA09G0050100 transcript:ORGLA09G0050100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQMQPPSPLEEVPLVAVGLGGGNDLHNGDAAVVPGKTWCWASRYPGDQFDRFWWQLGYSSPTWKNLSTVSAITQDSIYTVPLTIIQTAVEAVGNNTMLNITWQDQTPRGRGLKFFMYIADFQNSQLRQFNVSFNDVEPYQYSPPYLTTGVLYNSGWSIATDGNYNISLVPTAASKLPPMINALEIYTLISHDSPTTFPVDFETIMAIKLEYGIKKNWMGDPCFPEKFAWEGVKCSNSSSNTARIISLNLSCNQLNGPVPDSLRKNNTGSFIFSFNSDGNMCNKPIIVPSPPGKRSNRAATLAILIVVPATVIVVLVLVFLIWRQKRNSNYSTEDPTRDRSNQLENSLEKSQNHGDVLQIVENRQFTYIELEKVTNKFENHIGQGGFGPVYYGCLEDNTEVAVKMRSELSSHGLDEFFAEVQNLTKVHHRNLVSLIGYCWERDHLALVYEYMAQGSICDRLRGNIFVENQHASHCSILTITFHIYEGLDYLHKGCSLPIIHRDVKTSNILLGKNLQAKIADFGLSKTYLSETQTHISVTPAGTAGYIDPEYYQTGRLTESSDVYSFGIVLLEIATGEPPIISGQGHIVQRVKNKIVAGDISLIADAQLDGAYDVSSMWKVVDTALQCTVDVVAQRPTMATVVAQLKKSLALEESREDSGFMGSTSTVSDNTFSTSRFGPSAR >ORGLA09G0050000.1 pep chromosome:AGI1.1:9:7640362:7647981:1 gene:ORGLA09G0050000 transcript:ORGLA09G0050000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVLGSWPVAFKVSAPSTSESESCRCSCSRRFAKSEGIQSHLVAGSLKSTGREIPDNLFDGSNFLKLLKIDCFIQHDESQGIIIVSPAAQLIPPINLFSL >ORGLA09G0049900.1 pep chromosome:AGI1.1:9:7601814:7606321:1 gene:ORGLA09G0049900 transcript:ORGLA09G0049900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQRGPNEKRLLPWTTTLAPETCERSMTGVSTIMAIKIEYGIKKNWMGDPCFPTEFAWNDDPPREPEHENENASASINNHGDALQKVESRQFTYKELEKLTNHFEQFIGQGGFGPVYYGCLEDGTEIAVKMRSDSSSHGLDEFFAEVLNTAESSKFDKGNNAVGEGLNWRTRVXVVVEAAQGMLQQNDSHISYSSWDGRLTESSDVYSFGVVLLEIATGESPILPELGHIVHRVKNKIATGNISLVADIRLRGSYEVSSMWKVVDTALLCTTDIGAQRPTMAAVVALLKESLALEETRADSAFSGTTGTTSHSMASSSNFGPLARXS >ORGLA09G0049800.1 pep chromosome:AGI1.1:9:7586586:7587723:-1 gene:ORGLA09G0049800 transcript:ORGLA09G0049800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVELPLPTEKLAVDPGREGGEQGVAVLVATGSFNPPTYMHLRMFELAKDELQQRGYCVLGGYMSPVNDAYKKKGLLSAAHRIRLCELACESSSFVMIDRWEAMQKGYQRTLTVLSRIRNALCKDGLADGGSLKVMLLCGSNLLESFSTPGEWIPDQDHMQGLWCYLHT >ORGLA09G0049700.1 pep chromosome:AGI1.1:9:7582773:7584624:1 gene:ORGLA09G0049700 transcript:ORGLA09G0049700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRIAGLNYFPYRYSNVVTDGNVIKHGASIDEDSAVEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSAYMIFFASYQILVLPPYQGEGHGLRLLETINSISESENIYDVTIEDPSDYLQYIRSSIDCLRLLTFDPIKPALCSMVSSLKETNLSKRTSSLKMVPPSDLAETVRQKLKINKKQFLRCWEILIYLNLDAEDRKSMDNFRACIYDRIKGEILGTSTGPNGKRLVQMPSNFDEETCFAVYWTQDGGDADDQTVEQQPEDLKTQEQQLNEVVDSQMEEIVEVAKNVTSRGKDKLSVSCSV >ORGLA09G0049600.1 pep chromosome:AGI1.1:9:7562470:7564120:1 gene:ORGLA09G0049600 transcript:ORGLA09G0049600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLRLLVVVVAALCAAVASAASNSSVVGYHGDPTFNVRNYGAKGNGQTDDSRALMTAWKAACAATGAVTLVLPPGTYYIGPVQFHGPCSKATTMTFLMQGTLKAATDLKRFGNDWVEFGWVNHLIVSGQNGAAFDGQGAASWPFNKCPIRKDCKVLPTSVLFVNNNNMVVQNVASVNSKFFHMALLQCSGAKISGVRISAPESSPNTDGIHIERSNGVSIADTTIATGDDCISIGQGNDNIDVARVHCGPGHGMSVGSLGRYVGEGDVTRIHVRDMTFHGTMNGVRIKTWENSPTKSNAAHMLFENLVMNDVQNPIIIDQKYCPYYNCEHKFVSGVTIKDVQFKNIKGTATTQVAVLLKCGVPCQGVVLQDVDLRYKGKGVSSSKCENVRAKYAGFQNPKPCP >ORGLA09G0049500.1 pep chromosome:AGI1.1:9:7550808:7551029:-1 gene:ORGLA09G0049500 transcript:ORGLA09G0049500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSQIWKESKFGMIGYVKFLSCTRGFPKVLRTPSMSLVRGFRLPTSCINRGGE >ORGLA09G0049400.1 pep chromosome:AGI1.1:9:7540318:7549998:1 gene:ORGLA09G0049400 transcript:ORGLA09G0049400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVSFVVFVLAAAHGAVGQPGFLSIDCGLDGNYSSGYKDPDEGITYVPDGTYVDAGENHRVAADRESGRLRSDLTVRSFPSGVRNCYALPTVAGAKYLVRVIAFYGNYDGKNSSSLQFDLYLGVNYWNTVSADGDEVYEAMFVAWASWAPVCLVNTGGGTPFVSSVNLRTLGSGVYHPVLAANQSMCLFDRRNMGSNVSILRYPDDPYDRYWWKMRSDPTWKNLSTASTIEQNDNFVVPLPVMQTAIEASNNDTIIKVTRKDKTAHKCMIFAYLADFQNSQLRQFNITLSDTKPLLYSPPYLSAGIVDISDWDMPNNGMYTITLEPTSASKLPPMLNAFEIYTLIPSDNPMTFPRDSWDGVKCSNPSDNTSRIISLDLSNSNLHGPISNNFTLFTALEHLNLAGNQLNGPIPDSLCRKNNTGTFLLSFDSDRDTCNKSIPGINPSPPKSKVNRAAILAISVVVPVMAIVVLVLAYLIWRQKRKRDNVPHSEPELEIAPASRKYHEDGLQRVENRRFTYKELDKITNKFSQCIGQGGFGLVYYGCLEDGTEVAVKMRSELSSHGLDEFLAEHWFMSTCLKGTLYDHLRGNNGARETLSWRTRVRVVVEAAQGLDYLHKGCSLPIIHRDVKTQNILLGQNLQAKIADFGLCKTYLSDTQTHISVAPAGSAGYMDPEYYHTGRLTESSDVYSFGVVLLEIVTGESPMLPGLGHIVQRVKKKIDAGNISLVADARLIGAYDISSMWKVVDIALLCTADIGAHRPTMAAVVVQLKESLALEEARADSGFKGSIGTLSDTTISTSTFGPSAR >ORGLA09G0049300.1 pep chromosome:AGI1.1:9:7522828:7522902:-1 gene:ORGLA09G0049300 transcript:ORGLA09G0049300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKIEYGIKKNWMGDPCFPTEFA >ORGLA09G0049200.1 pep chromosome:AGI1.1:9:7480425:7482851:-1 gene:ORGLA09G0049200 transcript:ORGLA09G0049200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVRQDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICLLLVNLLLHSENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALHEAFYRQNLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLYRRYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFVGVCIGALTVLADFIGAICSGTGILLAVTIIYQYFETFEKERATELGFFGF >ORGLA09G0049100.1 pep chromosome:AGI1.1:9:7477359:7477652:-1 gene:ORGLA09G0049100 transcript:ORGLA09G0049100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TISGEKEQAVSPESFVGNLQLSGKPLSRPCEPFFPSPDGTSTDGRGSGGGSMPVAEKKKKKKLSSGAVVAIAVGGGTAALLALVLLGGSTGSTGSTGH >ORGLA09G0049000.1 pep chromosome:AGI1.1:9:7469373:7471169:1 gene:ORGLA09G0049000 transcript:ORGLA09G0049000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTRSDGSIMVVGAVDIDCPHHRLCGYRDLIRGVSGGGAAMSRWPEAAPFVPQIASTGGDGGAAVAGGGWSSGSSSPAPSSCSSSSSWREGDCCYDVCWCSSSTVHELRSIAERMVRDGYIEGLIRAFGGAATAGAAGRRGPPDELLLHNWFSQLDVEWVLLLHTCSEEEEDEHVRRPPPLPVEDLMALMERWIRALLTMVQVLCITQLELRAKKPTVAGVRRAIQFFLLRRDSKTAHADYVQQVVQFARFTEESILRMLAFVDAATLAVVEDDDDDHRVAEALPGMLQVYACISEASPTVLAMFKEASDLLASGSSRHGQEAQVFDGMDGIFLRKRKKLNDAIWDMMEKVRSSSLQDGCWQVSPEASASGVHETTVLMMNYIALLWRNDDVLTFILQDHHFSVFVSHTQGFSSVVNLITDIISCLGHKLEEIASSLSNSILDPALRCIFLLNNWQLVLHRIESLDLPSWALIDRCRTRRYIDTYIDVSWSPLLCCIFIGNSSDTPRKKTYEPAFGFRRYLSLENFEIEFRKTYAKQKFFKVPDPKLRQRLRQAIIQKIIPHYSMYLEERAARGMHNRPPKITPEQLKELLEELFEG >ORGLA09G0048900.1 pep chromosome:AGI1.1:9:7455182:7455442:-1 gene:ORGLA09G0048900 transcript:ORGLA09G0048900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYHPQTDGQSERLNQCIEAFLRCSVHATPNKWSQWLSQAQHWYNTTFHTALGKSPYEVLFARKPTHFGVVDLGQSTVPDVQTWL >ORGLA09G0048800.1 pep chromosome:AGI1.1:9:7452689:7453738:1 gene:ORGLA09G0048800 transcript:ORGLA09G0048800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTITVEGRRRAEAQVGDVVAYSYRRTQRQRHGRQRRLIGVAARGGAGDGRWEAIWATSTHKYPLSLGHFRLLRRLGYGNISAACTLLELRGGGGGTLFTLVELVRRHGRPTGRRRNKEKEEGEEKKRRNKTKGMENVTAMAQFQFCKISIAPNDITSSNGIFLNWQSCNGMDKIKRQSIIRP >ORGLA09G0048700.1 pep chromosome:AGI1.1:9:7450763:7451411:1 gene:ORGLA09G0048700 transcript:ORGLA09G0048700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSSGVAWVWMTEDAVTGDELRRGRPRRAPGIMDSGDELQRAPAPPPAIHGAAVNAHLRLHPRGQLVRPPPAPQLCRGPSLVVAFVAGRRSRCKSRPSSYPSAASREQRDKTGCKELVDLVMVGCGVW >ORGLA09G0048600.1 pep chromosome:AGI1.1:9:7445087:7450016:-1 gene:ORGLA09G0048600 transcript:ORGLA09G0048600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: sequence-specific DNA binding transcription factors;sequence-specific DNA binding (TAIR:AT3G18380.1); Has 89 Blast hits to 86 proteins in 16 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plant /.../; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G15215) TAIR;Acc:AT1G15215] MERRSSVRFAPSEIARMEKLVTHKKEQVLDEIFCRKLAEEFNCSPGRVGSKALQAVQVQEWFRQKFPASTVIPPCLPTGSEEKALASQASAPVSEEKPPSSEENALAVDTSISNDIGEVSLDLPIDNIDKLPEIEDMQFEARSSKDFAWYDIATFLAYRKLSSGEFEVRVRFQGFGAEEDEWINVRKAIRLQSIPLESSECKLIREGDLVLCFKESNDEALHFDAHVLEIQRKQHDIRGCRCVFLVEYDHDGTQERVNLRRLSRRPKHS >ORGLA09G0048500.1 pep chromosome:AGI1.1:9:7429234:7434864:-1 gene:ORGLA09G0048500 transcript:ORGLA09G0048500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLFRESRRDSSSSNGSSAAAAATASTGGLPSPFPDLGVPLSAADLREAAYEVLVASSRTTGGKPLTYIPQAAASAGGGGGPASPASASSLSSANASSSPSLQRSLTSAAASKMKKALGLRSSASSKGGSPGSGGGGKSVPPRRPATVGELMRVQMRVSEPADARIRRGLLRIAASQLGRRAESMVLPLEFLQQFKASDIPDPQEYEAWQSRNLKLLEAGLLVHPLVPLNKSDVSAQRLRQIIRGAYDRPLETGKNSESMQVLRSAVMSLAGRSDDGTSDGCHWADGFPLNLHLYQMLVEACFDNDDGTVVDEIDEVMELLKKTWGILGINQMLHNLCFAWALFNHFVMSGQVDIELLSAAENQLAEVAKDAKTTKDPNYSKVLSSTLSSIMGWTEKRLLAYHETFNTSNIESMQGIVSIGVSAARVLVEDISHEYRRRRKEETDVARSRIETYIRSSLRTAFAQRMEEADSKRSSRNPTPVLSILAKDIGDLAIKEKNLYSPILKTWHPLASGVAVATLHSCFGNELKQFIAGLTELTPDTVQVLKAADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIANLVKVWIKERIDRLKGWVDRTLKQETWNPAANRENIAPSCVEMLRMVGETLDAFFQLPIPMHPVLLPDLMFGLDRSLQLFVSKAKSGCGTRNSFMPQLPPLTRCEVGSNILFKKKEKPQNPQYRGSQNGTTNGADPLALPQLCVRLNTLQFVRGELENLEKKIKTGLRNVESAQADVTDGLDIKFELCQTACQEGIQQLCETTAYKVTFYDLGHVLWDILYIGDIASSRIEILLRELDPILETISGMVHNKVRNRAITALMKATFDGFLLVLLAGGPLRAFTRQDSQIIEDDFKALKDLFLADGDGLPEELVDKASSQVKNVLPLLRTDSESLIDRFKRMMAESNRSGAKNRLPLPPTTGHWSPNEPNTVLRVLCYRYDETATKFLKKTYNLPKKI >ORGLA09G0048400.1 pep chromosome:AGI1.1:9:7421910:7424867:-1 gene:ORGLA09G0048400 transcript:ORGLA09G0048400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREALRMVCSREFWRMAVLWTVSLLYSYILLFFLRRGAPVPRRRPMPEPDDDAPPRRRRPVCVITGATSGLGKAAAAALAREGFHVVLAGRSSQLLSETVQEIRDQQPDAHLEAFQVDLSSYKSIKKFETSLNQWIKDSNMEHSIQLLVNNAGILAKSYRITEDGLDEMIQANYIGPFVLTNILLPLLKNSSTPSRVVNLTSFTHRCVSEINLSEKGLSGVRFGHWPSRRSYLLASTYEYTKFCLLMFSYELHRQLHLSSGVSVMAADPGVVQTGIMRELPPCLSWLALSVLRLLNLLQQPDTGVDAVLDAALAPPDSSGKYFFGGKGRTITSSQLSYNVEVAKKLWAESLALFNELQNRVCELRTS >ORGLA09G0048300.1 pep chromosome:AGI1.1:9:7417793:7418590:1 gene:ORGLA09G0048300 transcript:ORGLA09G0048300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:I1QN54] MAAATMALSSPVMARAAPSTSSALFGEARITMRKTAAKPKPAASSGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWAVQVVLMGAVEGYRIAGGPLGEVVDPLYPGGAFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >ORGLA09G0048200.1 pep chromosome:AGI1.1:9:7411550:7415551:1 gene:ORGLA09G0048200 transcript:ORGLA09G0048200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVVGTEAAPAEVKVTDGEVNLFQENESKATAKEREEAVLFGSDNSTATANGASNGADLAPPKDAEEDWPEARKTHSFFFVKIRLLEDPKLKMKIDQAEKDFQKKIQARSQIFEAIKAKKNERFGIISELKPLAAENKQYNEAVSEKLKAIEPLRNRLGKFRDENNAIRAQGAGICSSIEELEKSIKRLNDRISHESIPLDEEKRLIKQIRELEKTRPKVISTSANRAQIQDTVVERDAIQDQVKIIGEGIDGVKKERQAVRSKIKVLEDELKAIDMEMGSLQEDLTAATARKDKAHESLVQLRHARDAYNASFHQNRQLLSKARDLASRSELAQVQELYKTQVDKFVAEWCNSKAFREDYEKRILSSLNSRQLSRDGRMRNPDEKPIFIETEAAAPPVEQEPIQSKMPAKQAKEAPAPQAEVSPKDESHVKATAKPSKAKSSLDVDDDYEAESPKEKPKPKEVDVAKLKEIKRQEEMEKNRLALERKKKLAEKQAAKAAARAQKEAEKKLKREEMRARRRAGAADTEASTESDNRSDGAAEAQAEDDSAPASAPVMREQRESVRYSRNVVTKSKAPLPKAILRRKKAQSYWSWAGPAAAVAAALVALLAVLGYYQYYLPASASN >ORGLA09G0048100.1 pep chromosome:AGI1.1:9:7386754:7389002:-1 gene:ORGLA09G0048100 transcript:ORGLA09G0048100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G78590) TAIR;Acc:AT1G78590] MALRRVLLFVKPFDVYPPRPLAAAASSPPPPPPPLRVSNPKVLNYLDDRCRVHKETINLCKSVLQRKSIDWISVQRNDMSNPIHDVDLVISVGGDGTLLRASHFLNSSIPVLGVNSDPTCPDEVDELTDEFDARRSTGHLCAATAANFEQILDATLDGSRQPSELSRISVKLNGLQLPTYALNDILVSHPCPASVSRFSFRKRSNTGESSHLINCRSSGLRVATPAGSTAAMLSAGGFVMPISSHELQYMIREPISPRDADKPLLHGLVKQGQHILVVWYNEEGAVYFDGSHVMHSIQHGDTLEISSDAPILKVILPENLLKQGS >ORGLA09G0048000.1 pep chromosome:AGI1.1:9:7381028:7381282:1 gene:ORGLA09G0048000 transcript:ORGLA09G0048000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCPATTGMRLAAAAIPFSTALDGGGAIPFSAALDSGGDSVLSGARRWRPCSAARSGIMPLLRSGSAEVEDDGAVVHKKTGGG >ORGLA09G0047900.1 pep chromosome:AGI1.1:9:7377560:7378729:1 gene:ORGLA09G0047900 transcript:ORGLA09G0047900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVTSFNLLMSQTAPPVLKAPFGAALRSAGKPAAALALQRKSPRLSVRANNSPGSPADTGHPFGIAPFALVHPKFPPTSGNRWRITEDDDYVKLWFHVGEIDREKLKVRIEHDTVLLVSYGGVGVESPAPANSLDVRLLLPNKPYDTAKVEAELTFGTLLVTVAKRKLLQGRDKVEIPITPAPSNEKTTTATGQTGSET >ORGLA09G0047800.1 pep chromosome:AGI1.1:9:7374482:7374829:1 gene:ORGLA09G0047800 transcript:ORGLA09G0047800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEMIVEAGDSVTLRFPVTEIVGGDESKVNNAIEVAIDHGDMLTISLRDREQQKKQQPSLLDVRLLMTPGYDEKVEWQAKKVDDKVWLEVTIKKKAPTEDGTRIVDISAIEEN >ORGLA09G0047700.1 pep chromosome:AGI1.1:9:7363425:7371893:1 gene:ORGLA09G0047700 transcript:ORGLA09G0047700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase [Source:Projected from Arabidopsis thaliana (AT1G07650) TAIR;Acc:AT1G07650] MPWRLVPCGRGQLFLMVLGLLLLLLLGEVHHGSGARTPSLPRLLPAEVRTLRRIAQKMGILRWNFSVDPCNSGGNGGFGGTVNCDCSFYNHTFCHVTNITLEGQNFTGELPPDFAEFPNLLQLDLSRSLLHGGVPDQWARMKLQGLSLMGNNLSGPFPIALTKITTLTNLSIEGNNFYGPIPSDIGHLMQMEKLILSANEFSGPLPAALARLTNLTDLRISGNNFSGRVPVFLGKLKKLGKLQIEGSLLEGPIPSEFSKLINLYDLRISDLRGGGSVFPDLRELVSMKTIILRNCSINGSIPSYIGNMDNLKHLDLSFNKLTGEIPASFANMGHVDHIYLTGNSLTGSIPDWILKRNKIANMVESYSPEMSSLTNVESCLKRNFPCGSSNGKYRYSLNINCGDKEVTINGTKYEADVEPKGASLLYQSPGSNWGFSSTGNFMDNNINDDSYIATSASKLTVPNSELYAKARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDSTYCSLGKRRFNVFIQGRMVLEDFDIEQSAGGAAKAVIKTFTANVTNHTLEIHFYWAGRGTTGIPKRGYYGPLISAISVVPNFEVPLAVEPPQIGGSKKLSRISKAFLVAMPILAMCAALFVSIYWIKWRRKNSMHKDLRAFDLQTGSFTLRQIKVATRNFDAANKIGEGGFGSVYKGLLSDGTIIAVKQLSSRSKQGNREFVNEIGMISALQHPNLVKLYGCCTEGNQLLLVYEYMENNCLARALFGTVEQYRLSLDWPTRRKICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLSAKISDFGLAKLNDDDHTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIASGKSNTSYRPKEDFVYLLDWACVLHERGNLLELVDPELGSDYSTEEALLVLNVALLCTNAAPTLRPKMSKVLSLLEGHTPLQPFLSDLSLAENSLSSSGQRRNFWQTLSDQSQSMTAAQASSSNTNESSSLDIDGSLRP >ORGLA09G0047600.1 pep chromosome:AGI1.1:9:7353309:7355507:-1 gene:ORGLA09G0047600 transcript:ORGLA09G0047600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPMGAPESDATKKIRKPKPWKHPQPITMVQLTKMREEFWDTAPHYGGRKEIWDALRVAAESEVSLAQAIVESAAIIVSNTDLTLCYDERGAKYELPKYVLSEPTNLIQDS >ORGLA09G0047500.1 pep chromosome:AGI1.1:9:7351702:7352721:1 gene:ORGLA09G0047500 transcript:ORGLA09G0047500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRPDGSYGPEYGPQPPEHEYGLYHHWPSRGRAPWPLYHGRNLIQDYPWRSLEQRVRREPFVFSSRIRHINGGGNPRPRQEDPGLTDAEFKKAMEQLNKQAYRSLDPHKMVESNRGGRDHAKSARSKPAPNNTEEEKACTICLETFLAGEQVVATPCNHIFHQECITPWVKGHGNCPVCRFALCERNTVSDNSQSGVGEVEVDLDLLEMMRAMEEIFSRVTFSNFMPHN >ORGLA09G0047400.1 pep chromosome:AGI1.1:9:7346395:7350535:1 gene:ORGLA09G0047400 transcript:ORGLA09G0047400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTWQWHAIAALGVACAAAAAVAADRGAFSVTSAAGGGAAAVVAATPEEVGILRKVANFLWQTDGNSYHHVWPEMELGWQIVLGSLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVLFPDWLVTVLLIILFLGTSTKAFLKGVETWKKETIIKREAAKRLEQTSEEPEYAPLPTGPGAVADAKRPSDEAASLMKNIYWKEFGLLAFVWMAFLVLQVTKNYTATCSSWYWILNLLQIPVSVGVTMYEALGLMSGKRVLSSKGNEQTTLKFHQLCIYCFFGITAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYALYFVIVAFIAAIIGQHVVRRLINWLGRASLIIFILAFMIFVSAISLGGVGISNMIHKINQHEYMGFENLCKYDA >ORGLA09G0047300.1 pep chromosome:AGI1.1:9:7334547:7335131:1 gene:ORGLA09G0047300 transcript:ORGLA09G0047300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGELLAGGEPVRPPRLEDAGLEDCALPPESIAEAFSLAAMAVSSRLAHFSLSDDDDDDDEDLLLPPRGGGAGGCVEDSGPTCGDIPDALVGVGGDRGSGADEVVVVGGGGGEGGDEVVVGGRGDEEDRVVVVGEERGEKLGSDNGCVEGIREGIADSDRGEGNGEEGKEEKEEEVEVVVAVEKAILVEDFA >ORGLA09G0047200.1 pep chromosome:AGI1.1:9:7326155:7327341:-1 gene:ORGLA09G0047200 transcript:ORGLA09G0047200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNEQVMSTEDLLQAQIELYHHCLAFIKSMALRAATDLRIPDAIHCNGGAATLSDLAAHVGLHPTKLSHLRRLMRVLTLSGIFTVVHGRDGEATYTLTRVSRLLLSDGVERTHGLSQMVRVFVNPVAVASQFSIHEWLTVEQAAAMSLFEVAHGCTRWEMIANDSKDGGVFNAGMVEDSSVAMDIILRKSSNVFRGINSLVDVGGGYGAVAAAVVRAFPDIKCTVLDLPHIVANAPSNNNIQFVGGDLFEFIPAADVVLLKFILHCWQHDDCVKIMRRCKEAISARDAGGKVILIEVVVGIGSNETVPKEMQLLFDVFMMYTDGIEREEHEWKKIFLEAGFSDYKIIPVLGVRSIIEVYP >ORGLA09G0047100.1 pep chromosome:AGI1.1:9:7319363:7320727:1 gene:ORGLA09G0047100 transcript:ORGLA09G0047100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRSNSKSAAVSPTTLGDLPDKLLEHILVRVASPVWLARAAGTCKRWRRLLANDNFPFHMDRRLPNPVAGLYHCSRRRPDGRGRSSRPITFVPSSSAAALGVDARRHFSLDFLPGGLSSWELVDSHGSLLLLAATSSTRRRGHRRRLFPDLVVCEPVTRRYKLIPRMEEMKHQRCLGVFLQGYPTRTSSNRSSIMSSLRVICVVYIEYSGVSDGMGTVRACVFDPNGSNSWKPRPRSACWYMFKPTWNMAWRGLHLRGSEHARLLGHVAGAVFWAIGGDDALLVLDKRRTELEVLRLPGSVRASELRAIVDGGNGDNDGKLRAVCLDEENVVRVFATWRGEHSNGEWVLQKSLRLEESTMGLAGYKAGYFRGGAAMVVAAASAGSVVLAPVEDMTWMFSVDLETMEIAECEEVSVAVYPCELPWRPTLRACVTRCERRGRGRCSHICICDDA >ORGLA09G0047000.1 pep chromosome:AGI1.1:9:7277149:7278501:-1 gene:ORGLA09G0047000 transcript:ORGLA09G0047000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGRRADKANCCRAPRKAKVVPAAATSVDDVPDHLLEDILLRLGPSSACLVRAAYACKRWRRVVTAAGFLDAFRALHGAHHHRVAGYYHTVDAYYAPALPGGESSVFVPSSPSLAGVDARRWFSLDFLPASDDFSWELADSRGGLLLLSKKRRTRARSGYAAEGDFFFTDLIVCEPLTRRYQGILCPADFTGYRCIGVFLLDGGDDGGGDISLSNFRVLCALYDLYWLNNRHIGVQSACVFSSGSHGGGWRLPKSAVADDIQLTARFNAISFVGRAGGCFYWGIDDDDDEDDGAMLVLDETTTEFSLVTFPDSIRENYHMTTFRIIAGGDGAMRVLRVIGNDLKVFTQLAGSGGGEWVLEKLVRLPEATRGLPGHEERYFEQNEAMIVAADAAYVLLTPSVEKTWLFSVELETMTVERHHERNKYAGVAYPYELPLLRALHAGGRYR >ORGLA09G0046900.1 pep chromosome:AGI1.1:9:7275280:7276140:1 gene:ORGLA09G0046900 transcript:ORGLA09G0046900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAPRLSRFPQVVEEMLQYYKEKILADGRQLVFQEVYHEECAKQINGKYHTNFTSRQVYHKFHKLKAQXKVIMEAKNLSGPNFDDVEKKILYDETEVVRMTNAKDKMAKFINVPIRWYDEMEFIFQDKHATGEFNVLQTPYDHPMTEDDDFIGDKNGSPGDVDSSSNFDSDYLPDQENNIGSSSSSSLVKGRKTDKGKRVRADDNVVYEITGAMDNMSETMRFTHMTHPNESLFKI >ORGLA09G0046800.1 pep chromosome:AGI1.1:9:7268288:7269640:-1 gene:ORGLA09G0046800 transcript:ORGLA09G0046800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKASCCCSTNNSSKAPARPPPTTLHDVPDKLLELILQHLDSSLSLVRAAATCRRWRRVITQQSFVLDYDIPPHQIVGHYHRRLHPPSFTTPKPRACCSSVAFVPTSPEFLSTGRRRFSLDFLPGGGSRWEIVDSRGSLLLLAKIKKSNWMRRCFPDLVVCEPVTRRHKVIPRMEAMKYHHCVGVFLIDFDRNGSFSMSNFKVTCVVYQPYFGVSGDVGTITVCEYMEDMWDWTRHTKPDEDDEVPKLFEWYVVVTHLRTRPGIHLHDRDSLRFLGHAGGSIFWAIKEDEGSLLILDENGIDPHILRTPAGVRGSELRAIVDGNGDRHNVRVVVLEGETLRVVTWLCDTDELVLEKSLHLVEATRRLQGYKESCFCGGVDIVTVSTSCAVVTPVEDKTTWMVSIDLETMEVTECKYASVAYPCELPWPPTLSACTVNCRRFGYIWSLP >ORGLA09G0046700.1 pep chromosome:AGI1.1:9:7265191:7266612:-1 gene:ORGLA09G0046700 transcript:ORGLA09G0046700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTETRRGRGQRGGKLNKARRRKPVDSAMADGATTTTVDDVPDHLLQDILLRLASPTCLVRAAYACKRWRRVVTAAGFLDAFRALHGASRHVAGHYHTVDDAYYRQAAAGGFPDGGTNFVFVPSDELADTDRRRFSSLDFLPECESGYSWELADSRGGLLLLTKMKQRTGDGGSQARRHCFTFPDIVVCEPLTRRHQGIPCPPDLSGYQCLGVFLLDGDGDGGGIGMSNFKVICALYDRYLLNYILPLGETLACTFTSGGGGGSGGWRLPHSTAAGGDVTLERVRLDATSFVGRANGRVYWEIEGDEDGDMLVLDETTAGFSLVTFPENVRESYDKRTFRIIAGGDGVAMRVVRVINNDLKVFAQLDGDGEWVLEKRVWLPAAARGLPGYDEGYFQEQNGEAIVVAASAAYVLLRPPVEDTWLFSVELETMAVERWHERNKYAGVAYPCELPWPRALQATDADHISGRRRC >ORGLA09G0046600.1 pep chromosome:AGI1.1:9:7250183:7252320:-1 gene:ORGLA09G0046600 transcript:ORGLA09G0046600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVKAKLTVAKAKEDTAKPPMNPWLLFLASRGDCDGITEHILLNMEAATQQTKAAKEEAGACHRRPAPSAGSSPLDQANPAIPTMATTQKASGDDGHQSCLDLEGVTIEGDTALHVLATSGDGWSYLRSAEIICSKAPHLLLAQNNKGGTPLHCAVRAGHSQMVSFLIDLANKPRSNLQVAARLKEVLRKGTAFLPLHDAIRIGNKEMITKLLEFDPELASSPTDEAGISPLYLAIVLQRSDIAKLLHQMSPEKLSYSGLSGQNALHAAVLQGKVPHSVIGASPQLISGSFLNATVRFGPTLFPSEREGFLIFCHFRLSGVRSRTASMGGVKKSILPLVDSATQPQPFPTLLTSVLFKTVLDAYMDGEGT >ORGLA09G0046500.1 pep chromosome:AGI1.1:9:7244785:7246757:-1 gene:ORGLA09G0046500 transcript:ORGLA09G0046500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMLLNWNKDLAEQVDKNRSTPLHFAASLCKDFAASLSEYTVITWMSRTPLIPVLLANPVQLYQQDSEGLYPIHVAASSGAIRTIKYLIEEQPDEIAGLLDFKGRTFLHVAVERGRWNIVEYAHRTRSLARIFSMQDNDGNTAMHIAVRNGNKYIFCILLRNRKNPEKLILLALTHCNASGGCRRADHFQKKQADEAKESEKLTTSTQTLGIGSVLIVTVTFGAILAIPGGYKADDHYNGGTPTLAGSSVISLGAAFMLGMYLVLAPVARWTAIAICVMMMIASLCLFTEPLHAPRVAIAMYVRKGNQDIQRTYIINCGTPKNMHGNYRQVILVHRILRALGPLTNSSGLPLFPQAVTTTTTVTTRRSIAGRDDDGGNSAWICCRP >ORGLA09G0046400.1 pep chromosome:AGI1.1:9:7230394:7230675:1 gene:ORGLA09G0046400 transcript:ORGLA09G0046400.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVRQVERALIVGLWCAQPDMADRPSIPQAMHVLQSDDAKQPELWPQMYMASPLPAKNFAMGEYRLSGVSSFASSGVPSSATSGTTRSSGSFAY >ORGLA09G0046300.1 pep chromosome:AGI1.1:9:7228818:7229810:1 gene:ORGLA09G0046300 transcript:ORGLA09G0046300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEPVPIQQDSPAPPRPTPAPQATPPPAIPESGPPPPPAPDMPPPPPTPAPQSSPPAPPPAPDMTRPPGPGPAAAPSPHSPSPSNAPWVAPAADIQYPLIPPPPPPPPNPLPVIIAVTVPVCILFMAVLLYVYVKCRRKRKALSTKHQHIKAAATHESEPNELRDAEAGALEPVAASAGPNHGKEYGGDPAAAAGPRQYEYGERVVSDGPRHGAAYNELVAAGPRLYEYGELAAATRDFAEEEKLGRGGFGSVYRGRLAGGVDVAIKKFSSDSSSQGRKQFEAEVKIISSLRHRNLVRLLGWCDSSVGLLLVYELVQQGSLDKHIYNDK >ORGLA09G0046200.1 pep chromosome:AGI1.1:9:7221182:7221403:-1 gene:ORGLA09G0046200 transcript:ORGLA09G0046200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDRDSIWHTMEVLCAYGMRSQIWKESKFGTIGYVKFLSCTSGFPKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA09G0046100.1 pep chromosome:AGI1.1:9:7206446:7207417:1 gene:ORGLA09G0046100 transcript:ORGLA09G0046100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERDWSSLPSDVLAVILERLRWSSHPSFALTCRHWRSAVSPFYPAWITPLLLSTAHVGVTNIRYYSPYYHKNFEVGGEDGDHALSAARGAKICCAAGRHLALDSPSAVLDVELVTGNINTVPYIYRGNFDFVIYDDRAHRIFGIDAVLPLKIGYANWNSDDGVWEDWILMELGINGPRLIPSPVTNPVIHCGLIYLLNDQGGLVMYDLCKHDEGFEILDKPTSFGFKHYNSYLVESDQNELMAVLLGRRGTLNHVIKLNEKKMEWEKVESLQGRTLFTGTLTSMVKKTKFKWMEDRVFLPLFYRWPDTIHADLISRDDELAFV >ORGLA09G0046000.1 pep chromosome:AGI1.1:9:7204473:7205627:-1 gene:ORGLA09G0046000 transcript:ORGLA09G0046000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGINESENSTSLDHKLAPMLLFHYNYDMSLEESDDDQDNEESGDDEDVSDEDEFTEASDDEESMEETDDDETDASSNDNDDDTANDEGKEVNDTLLLYSISSKQLLANSKLNYLKDHFYWITPQGWLLMVHRDSHETFLWNPFTSQRISLPFDQDKFLRTNYTRCLLSCKPTDTNCVVLVLSLNDTVIWYCYPGGTQWFKHEYQSRRFHRHRGSVIGYMALLTVVGGKFYTGLGDSVITLDFSPNPKFDIIPIKAVQNPMYNFSRLYLLESSGELFSLFFYPPMTCPKRIAEIEVYKLDIQRRAWVKVYTLGDRAFFVNSTKCFGASVSAKEACLEENCIYFSRTGDKGLYVHSMERGTTAALNPGEDFLDNVAAEILMPAP >ORGLA09G0045900.1 pep chromosome:AGI1.1:9:7196691:7197119:1 gene:ORGLA09G0045900 transcript:ORGLA09G0045900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWQKPTGYKLMQSEATSSATYLISADWTITESCKLSYKITLEDGEVDVYWLNTMGQEFLYEQLIFHKEEFATVWVYQSTSFIKSKGSGRVVTIAASTSFTNSIPLPLQYWNYGSVWKLQFCCMVFLISVKQACGAYGYLG >ORGLA09G0045800.1 pep chromosome:AGI1.1:9:7148671:7150664:-1 gene:ORGLA09G0045800 transcript:ORGLA09G0045800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CASLQPAKVRACVLSPIEPWPLEEMLSRITGSLPLMLSYCFCLMLLSIIVAVTVAVAAEELPPAPTTFKTLNEGMKTYLINGAQKTGWLPVDYNMSSLRNVTLTQSIYSRTQRFDYSNFDILSVTGFISLIKPSSTWLNVEHDIFYREHGLNMTNYILSIQKLGSVKYISSPELGFLQSLSGGINREVNLVFRFLDKALSDPSTNKTYNSMLSNLTFIKTIASGIMVSKNYIWPVTSDNYIQLHTQIVQEAHNAGLEIYASDFSNDGIFPYNYSYDPLGEYLSFVSDGGFSVDGVLTDFPLTASEAIGMSLYFVDLILVSVLV >ORGLA09G0045700.1 pep chromosome:AGI1.1:9:7108891:7112714:-1 gene:ORGLA09G0045700 transcript:ORGLA09G0045700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQETMSSSSSSLAAWLLLVVLLPPLFAAVDDGMRSGGGKCTTSCGNVGFEYPFGVEDGCYRGGGFNLTCNHTYQPPRLFLGDGSVQVLDISVPHGWALINNTGMVFNSTETRVVLNRTWDQLVGGPYSLSGSNKIALVGCNARVDLRARVKVKHGGRCGDDDTGSNLISSCTAVCPLDLEDMTPVFAIGSGGSSAACSGVGCCQANINLDIPSSYTIQIHNLQELGGSISPTDLVFISKEEFSYTNDMAFGNNIPQALPALLDWYISSDPSECTYESAPDCLSANSFCHAYDLGYKCHCSDGYQGNPYIRGGCHDIDECKSPQDYSCYGNCNNTPGSHILRLSSWLRGQCFHTKWMQRHRXVWAPRNLPVLWRVHXFPWRFRLPLLPWNXRGSQKRRGMPSGEASFVSSRLRSIHWAWGWQWHNSFAHCSRCSLPIKEMKDRMRIVVEVARALTYLHSATTVPVAKVSDFGASRYVPINQAEVTTAVQGTIGYLDPEYYYTGHLTDKSDVFSFGVLVIELLTRKRPTYRTDQGDSLVLHLHHYXEKDSWLGYWILKSXPREVEKSWKXHYXLGCALEXLDKIALLXEKSRWDWKTCELVRNLLHMIQHQVALYLRWQSIAXXRQGTWRNQAYSTVWKN >ORGLA09G0045600.1 pep chromosome:AGI1.1:9:7091049:7093819:1 gene:ORGLA09G0045600 transcript:ORGLA09G0045600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSPAEYRSYRYVLVDNLSSPTPAPGPSQPPPSPLPPPPPSPPPPSSPPPYPSPSPWSSPPSPPAPPPQILTAPPLPAQELPLAQPKTNSSTKTIAMAVVVPTLAVCVVAAALLWLWRQRKRRRKNSPLPANNDSDQYSSDGQQQHGTADLERAVTGGGPRRYQFHELAAATRDFAEEEKLGQGGFGNVYLGRLAVGTGGGDHHQEVAVKKFSMDSMCQGRREFEAEVRIISQLRHRNLVQLHGWCDSRKGLLLVYELVAGGSLDKHIYNTDRILTWPERYKIIMGLGAALRYLHQEWEQCILHGDIKPSNIMVDSSYNTKLGDFGLARLVDHGKAWQATRSVLGTAGYIDPEFVNTRRPSTESDVYSFGVVLLEIVCAKPPVVLQEDEPSFVLLRWVWNLYSQNAILDAVDERLRVVGVVRDERQMERVLVVGLWCAHPDLSERPSIARAMNVLQSDDARLPDLSPQMYKSKASPPPRDVAVGVDYGGVSTGSTFSGSGVPTSATTTTTRSSGSFVG >ORGLA09G0045500.1 pep chromosome:AGI1.1:9:7061125:7062525:1 gene:ORGLA09G0045500 transcript:ORGLA09G0045500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAIPTATMPASPKEAQSRAGAGVRMISSEELRAHASRDDLWISISGDVYDVTAWVPHHPGGDIPLLTLAGQDATDAFAAYHPPSARPLLGRFLVGRLEDYTVSPASADFRRLLAQLSSAGLFERVGPTPKVQVAGMLLLLCAALYCVLACASAWAHLLAGGLIGFIWIQSGWMGHDSGHHRITGHAALDRLLQVLSGNCLTGLSIAWWKCNHNTHHIACNSLDHDPDLQHMPLFAVSSKLFGLWSYFYQRTLVFDAASKFLISYQHWTFYPVMCFARINLLIQSAVFLLSSRKVPQRGLEIAGVAAFWVWYPMVVSCLPNWWERVAFVVASFVITGIQHVQFCLNHFSSEVYVGPPKGNDWFEKQTAGTLDIQCSPWMDWFHGGLQFQIEHHLFPRLPRCHLRKVSPFVRDLCKKHGLPYAAASFWQANVLTWKTLRAAALQARKATSGAAPKNLVWEAVNTHG >ORGLA09G0045400.1 pep chromosome:AGI1.1:9:7051229:7052608:1 gene:ORGLA09G0045400 transcript:ORGLA09G0045400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrogen fixation S (NIFS)-like 1 [Source:Projected from Arabidopsis thaliana (AT5G65720) TAIR;Acc:AT5G65720] GRLSRRLLPLLLRRGSTPSISPARALSTAAVTADAPAAAAAAAAEEEAMTIKGVRISGRPLYMDMQATTPVDPRVLDAMLPFYLSRYGNPHSRTHLYGWESDAAVEEARARVASLVGADPREIFFTSGATECNNIAVKGVMRFYRDRRRHVVTTQTEHKCVLDSCRYLQQEGFEVTYLPVRPDGLVDVAQLADAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICKEKGVPFHTDAAQALGKIPIDVNQMGIGLMSLSAHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAKEMDYDHRRASVLQQRLLDGIRGQVDDIVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVHQVKKLRDMSPLYEMAKAGIDLKSIQWAQH >ORGLA09G0045300.1 pep chromosome:AGI1.1:9:7045962:7047116:1 gene:ORGLA09G0045300 transcript:ORGLA09G0045300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPCKLVSCGDNSHFMFRVDAAAIRRPVGRIVKETCEIGNGYTCEASLFVPSLLGERKVMVILAVTVNSDRAQSLARAHKVFVDMALLDDTKSPVLPPFARSVMSPSAAAGNSPKLPPPVAGSNLAASCKLVASRDHLLANCVKGGILAALCWVVFVPSSPRSPESVLAHRLATMSNGQDLTDVCFDVDGKRFHAHRLIMAAQSEVFRAELLGSMAESKMECITISDMSASTFKQMLHYIYCNDLPAGVKDADDQSSGIFELQHLLVAADRYGVDTLKDLCEDTLCADITTDTVTSTLELAETRSYPKLRTSCLEFLSNTQNFAEVATTKEYYKLIQSYPSVLSEIRNRFKRPRPSLKRAPSTVTEDQNKRPSDHGCLLS >ORGLA09G0045200.1 pep chromosome:AGI1.1:9:7027489:7028661:1 gene:ORGLA09G0045200 transcript:ORGLA09G0045200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACSATDQGVAVIDDSPLCKLLSSGDGRHVTFRVDYAAAKQPAVASRTLRTTCAIGDGGAYRCEASFRLLIGRRKLAAFAVVVSGPRYRDVHKVVVDLVLVDNARSVALQPPTRSMAIQAAAGSNQGGCGLLVSKDYLEENCVQDGVLVAVCSVLFLPELPPCLWLDSLGHRLAAMSNKRDTLTDVCFDVDGERFNAHRLVMAAQSEVFRSLLFGSDDAENKTETKKETAVVTVDGISATTFKHMLHYIYCNQLPPPATGDGDDDDDDDGEADHVTRIAELQRLLVAADAYGVEALRQACEDTLCAGINMDTVASTLALTEKGSYPKLRASCLEFLSNTQIYSVATNDECYEVVQSYPDVLTEIRDRFKKPRLTPKFPSTGTKDQNNP >ORGLA09G0045100.1 pep chromosome:AGI1.1:9:7013197:7014501:1 gene:ORGLA09G0045100 transcript:ORGLA09G0045100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNELPDELLESVFLRLASPICLVRAASTCRRWCRVVADAGFLRLYRSRNALTIGNYIATDTVIFANWSRPSPSCRVSSLAFVPAAAAVTSSKRISLDFVPEPGNTSWVLADSHGGLVLLVPERYYWGNASSVSIAVCEPWTRRYRTVIPPLENKHVACLHASLLGGGTGSEKKKNNNHHVAGVSNFTVLLILYTFGSGAKTACIFSTFTGADEELRLRLTRSMDLGDLIRPKGVPRRQRHFDADAMHFAGSAGGSLYWGTIYGVVFALDESTGELSPLTLPKCCAGEQPRFYYRQWNLRAVGDDAGGARLVRVVQHSDLEVLTPLHAGGGREWTVEKTLRLPELITRGLPGLEDYSRLVERLSGVKILEVMGRSVVLTPPEGSGMWPFSVDLETMELERVYDWGDELVQKWEFPVEPPWPPALPLHATTDVH >ORGLA09G0045000.1 pep chromosome:AGI1.1:9:7010701:7011113:-1 gene:ORGLA09G0045000 transcript:ORGLA09G0045000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLYRCLRASQTSRLRKPSSACDLAKPKRRRHSSMILHDDYGCKPSTMSWTRRKLREKWLSSMAGADTDGGGVEGMEAVEESSISGNDAVPLLARGGDTMRETVEAMLRRARRGRRGCP >ORGLA09G0044900.1 pep chromosome:AGI1.1:9:7006666:7006944:-1 gene:ORGLA09G0044900 transcript:ORGLA09G0044900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVYKLTVIYIFFSLDVTFIICICILSAITFRIFLFKQIIFRMCYWFCFNGFTFDSIFTENRREVTIINCSVIQCSRDMLILLACFRTRLI >ORGLA09G0044800.1 pep chromosome:AGI1.1:9:7001096:7002755:-1 gene:ORGLA09G0044800 transcript:ORGLA09G0044800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQVRPSVNQMETSDDAARQVITNTRNEGRMGPTGNTGLKRRKGRGLTINGTLAKLRARGVPLDIEFAAQFGKVSGRHASVFKSEVTVCVRQEVRLKVKKWKVIEKAFPGTMSSIWNLLKAKFPEISMADYQCVMTQVERQYNSHVAPEDWQWLIDNLWSDEQFQKRSKQNSINRSKQEMKSHVGTKSIVQIAHELRNLVTGEWPSAIDVWKATYLKNGTWSVPNGEEILNNLQTAAETNQERIAAAQIPMVEHFALVLGRKPNHSRGVGISAINEGAQERYRVNAQAEAAQQQANEAHQQAAALLEEVQKLIVENLQLKGELQSQREELNSQKRTVEEQSGHMECLLDQKLEERMKAMWARMGGTGGASSSSAPNN >ORGLA09G0044700.1 pep chromosome:AGI1.1:9:6999981:7000154:1 gene:ORGLA09G0044700 transcript:ORGLA09G0044700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMDDLSGQKRIYVIRDVCGHHRLGFPSGSGLLCCWATGLWESEKKEKGSGSRLIK >ORGLA09G0044600.1 pep chromosome:AGI1.1:9:6991719:6993241:1 gene:ORGLA09G0044600 transcript:ORGLA09G0044600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADATGMLLNWSRDLTGKGDSHGRTPLHFAVSIEPPTKIPYYHKILFSILRHIDIYTLCLDRFLYPRKTRGDSLTLTGMLMDADESSAYQPDDKGSFPIHVAAAEGNDGTINILLNKSPNCATLRNAQGRTFLHIAVENGRHTIIMFVRRRRRLAAKIMNLQDNDGNTALHLAIQDGDLHVVLCLLMNPVVKVDCLNKEGLTPLDISRKLIPEGLLHGSHQRIWIKRSLHLANAHHANPSLDHRQEKCICRTVREERDSKIVEKDDDEQEDSKTITESTQVMAVCSTLIATVAFAAAFTLPGGYRADDHTNGGTPTFVGSYGFDAFVLAITFAFVYSLLATFSLVYSGMTKVDYSIRLEHLNSANSLVWLSIRCLLAAFALGLYVVLAPVAHKTALLICLMCSVGLLHGHTSMKTQIRMAVLLQGRIGFKVWWILGRKILRNFLHSFWPFLIIFGWPAYLKWRHQQ >ORGLA09G0044500.1 pep chromosome:AGI1.1:9:6966296:6966609:-1 gene:ORGLA09G0044500 transcript:ORGLA09G0044500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVIPESEMGASDGRRAAMVLICQEKAFPALVNCPTKSCRECLLPAGIKSAAVICRRYSKAFTADNIVSGSHLP >ORGLA09G0044400.1 pep chromosome:AGI1.1:9:6961715:6963639:-1 gene:ORGLA09G0044400 transcript:ORGLA09G0044400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDERMPGDVRRKACDVNADLGDAACDGELAAAWTRAGRGGASVGGDKIRVRVRGLHDFGIRKGNHAIEIGGGETTDATTAERRQSRAAATAVAPRERERLGLERGARLGRGGGARIGERRGGGNDWEEVGRRPTSRGGIGGGGVRSRWRCVESRWRWSRGFWRRRGWSGVQRLSAEESTSQRGGARGAAAVAHKGEALEEAAEEVGKMMESTAAMGRRWAEEEPACGGVQVEMTRMRNRCRCGSDDNSVDGCDAPRRACGTWVVAWMETALRVELKAEREGSEGKSGVGGGIPSRGGDRAKDMEAREHDDPTTDVEAADRRGCGQGERESPWXPSCSSHD >ORGLA09G0044300.1 pep chromosome:AGI1.1:9:6959394:6960083:-1 gene:ORGLA09G0044300 transcript:ORGLA09G0044300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSSHRLLFTQLHLCNSLLLIHVHHATSLNFRFDFSEPGSYCTPGSDIACAGDAYPYARTIELTKTDISDRNLRSIGRAWYARPVQLWNNTTGEVASFRTTFSFQIKPVNLDVRADGMAFFLGHYPSGIPHRSYGGNLGLFNGSSNNRNATGTARIVAVEFDTYMNKEWEKDGNHVGIDVNSTVSVAAISPDKNLRSGNTMTAEISYDSSAEILAVTLWINGTSYHIN >ORGLA09G0044200.1 pep chromosome:AGI1.1:9:6939947:6940354:1 gene:ORGLA09G0044200 transcript:ORGLA09G0044200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPGGRHRARWRRRPRAWRGRTTAAKEELVAASMAAVLSSMEGVAGVGGGCAELGEGGGRLGEGGVGRRRGGGDDNEEGRRRARWGSATCIAGEAAAVRMEDEGGEAGGDNEEGRRRLVWRLGGGDGGLGEGG >ORGLA09G0044100.1 pep chromosome:AGI1.1:9:6932298:6933692:1 gene:ORGLA09G0044100 transcript:ORGLA09G0044100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGEYCAGGLWPSYGNVGMGTGEYGFASPSQTPFSFEVLSEATNNFSEERLLREEGQFSAFYKGDLTHLGISVAAAVKWLKIKSGQAFAVENYVKEFATISLAIRHRNIVPFLGWSSEQDNLCLVYKYVKNWSLHDHLYSPGRLLTWPTRYKIVFAIGSGLKHLHQDVRPTFPHGNIKPSNVLLDEEMNAKLGDFGLPRHFFQYDGETASSSYRQMPVSSRGYVEPGLLHTDQATTSSDVYSFGVVLLEIACGQPPIILQQDQAEANSLVKFVWECHKKGSIIEAADKRLNGEFNREQMERVLRVGLLCARRGSSQRLSMGDAMMLLEGVGF >ORGLA09G0044000.1 pep chromosome:AGI1.1:9:6923623:6925059:-1 gene:ORGLA09G0044000 transcript:ORGLA09G0044000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLAPFDFLSSSANEAGSGVAGRPHPPLLATDPLLTSGNNLHHGSTRNPHNPGRVSGGSSSGSAAAVCAGLCPVALGVDGGGSVRMPAALCGVVGFKPTAGRLSNAGVLPLKLDGRYSAIVDQSRSQPSLHGVRKLTGKDGKRDGVKPPQVNACVEYERSARLEITKRDSENVRCIDVVYPHNLRFTVGKVAGDDLVGREEECQPALSAVHEEGEGGGEARYLAGGVAPEMARLLVQYSSGLWVDFAGALLGQDDRGVAEVCITFKM >ORGLA09G0043900.1 pep chromosome:AGI1.1:9:6920492:6921478:-1 gene:ORGLA09G0043900 transcript:ORGLA09G0043900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPNIHKSASKNTQIWKEENDTDGDDAQIWQPMNHRWEVVRRAIVEAAHYPLGPAERRPPATVEATGCRGDRPPHPHSWEAACDIREPTYRSLLWRLHPHRLSGEERRVYTLSSDAISTASPICNDLYHATASLSDFHAASPPQPTIQREPK >ORGLA09G0043800.1 pep chromosome:AGI1.1:9:6911018:6913200:-1 gene:ORGLA09G0043800 transcript:ORGLA09G0043800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRVFEEAVDIVKEEEVVVVGRMETARAGTSVGARSGLGITVRTKEEENGASLTTPPPVAAAIVVAEGDTSKQEIMQDPKDMLSKVNPMAKEIQDLEDVTTVLLTSVVCNHIGPVSFTVFARYLEVLGDRIIYTIDQVKGKGLNLFTGGRQREKRRYG >ORGLA09G0043700.1 pep chromosome:AGI1.1:9:6907848:6910205:-1 gene:ORGLA09G0043700 transcript:ORGLA09G0043700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVAGVQFAEQIEQALRKADELGDDDLRAALRRARNSPADTAFELPLLQLPVEDPVHAGAAATQPEQQNAGRRLMCPELYRAAFSGSVDKLQELLVSPSGTAAEEQGRRHDGQCVLDETTAGLNTVLHLAAGQGKIGLVRKLCDGDDTAAAAVAALLPKETTKSETALHHAARAGRRDMVSLLIRLAQMHGSGAPGLLVTKNSAGDTALHVAARHGRVAVVKVLMVAAPALSCGVNNFGMSPLYLAVVGRSIGAVKAIVQWKHASASGPKRQNALHAAVLQSVEITRELLSWNSNLAKEPDESESTPLHYAASDGVREIISMLIQSMPSAMYIPDKEGLTPLHVAAKMGHLDVIQDMLKECPDSAELVDNEGRNILHLAIERGHEPVVSYILGDPSVAELFNEQDKKGNTPMHYAVKAGNPRLAILESRNIKLNIVNNEGQTPFDLASNTTGFLHMIGFLLRLSANGARFGAQRQDCISQWSSKNVKEWNEKTTKNLGIVALLIATIALTAMFNVPGGYNSDGVANLRATTPYNAFLVLDTVAMASSVIATMLLTYGRGAARSSTAWICMSLIFLWMALMSMILAFMAAVVSGLDSTTTKYILWSIFVLPFAFLVALSFVWAVPAPTFTTLLLLPRALAGEDSGWTRRRIGRRFRSVGVYLLVLYLFWFLNAVAFFLTVYVVVNTI >ORGLA09G0043600.1 pep chromosome:AGI1.1:9:6906015:6906605:1 gene:ORGLA09G0043600 transcript:ORGLA09G0043600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSYNTKLGDFGLARLVDHGAKSRTTKVVLGTAGYIDPELVNTRRPSTESDVYSFGIVLLEIVSGRRPVEEPDDSDELFVLSRWVWDLYSKNAVVEAVDERLRCSDDGDDELQMERVLAVGLWCAHPDRSERPSMAQAMHALQSEEARLPALRPQMYKGVPFLAMGEHRYSDLSIGTTTSSSASGTGCTAHSEPTKL >ORGLA09G0043500.1 pep chromosome:AGI1.1:9:6840683:6853804:-1 gene:ORGLA09G0043500 transcript:ORGLA09G0043500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRCRXDLLVLAVVVAGEGGRRGGPAVGGAGEAADLRPSTDGAAGHAGRRAAXGERPAPHRRGAARAALQRAAGVADDHARFLAKFKERVDRVGIKLPTVEVRYENLNMEAESYVGRRGLPTILNTYTIIMEGLTNALRITKKLTHKIPILHNVSGIIKPHRMTLLLGPPGSGKTSLLLALAGTSTLKVSGTITYNGHSMEEFVPQRSAAYVSQHDVHMAELTVRETVNFSAKCQGVGHHYDLLMELLRREKEQNIKPDPEIDIYLKAATTGEQKAEVVTDHILKILGLDICADTIVGNNMLRGISGGQKKRLTTAEMIVTPGRALFMDEISTGLDSSTTFQIVNTIRQTIRILGGTAIIALLQPAPETYELFDDIIVLSDGQVVYNGPRDHVLEFFKSVGFKCPERKCVADFLQEVSFTIV >ORGLA09G0043400.1 pep chromosome:AGI1.1:9:6835935:6836321:-1 gene:ORGLA09G0043400 transcript:ORGLA09G0043400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYEWTCAKFLWYLFFMFFTLSCFTFYGMMVVGLTPNNAMSAVVSTAFYNIWNLSSRFLIPRIVCIPAFLKYLIVHCSWLNYLTSTDFSNMAPFTTKNSSMVEVVLLDVPCCLDTQWIAYITVWGCK >ORGLA09G0043300.1 pep chromosome:AGI1.1:9:6794490:6795112:-1 gene:ORGLA09G0043300 transcript:ORGLA09G0043300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGRYPQYLSSTVKALQSIIIYEALLIADAPSNTGDDVPLDGRDGRDGPDRSDRIDKRKIHELRTSKEDKVSQNITTGTQVIGIVSVLVAAVAFAAAFALPGGLLFMGM >ORGLA09G0043200.1 pep chromosome:AGI1.1:9:6732007:6741096:-1 gene:ORGLA09G0043200 transcript:ORGLA09G0043200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AREXQGFTXGAGFHGLVHLKCSKLRPDLCSWLTEHFNPSTNELVFPRRGALDVNEESVKYVLGIPMGDKDVSYEMESEATEFVLNLLGINNGISPSLTSLGIQLEKLKLANDKYLRMWIFYAISSVLAPMTATTVSPRXYPSIVDAGNIKNLNWCKFVISTLQKAAKAGKNTNSACLLYMMILYMDLLSIKNLNVPVEGYRATVWMNELINQAILADTSADSSFGALPEKDRIVIAVQNLCEGFSGLVTKFVRQIFGLDFVDPRGSQPRQMRRNWKRLAQRPKKVQQDKDLDVTSTAQGKEKQCLVGIRGKGKELHXSGGESTSTXKRNQSDDVDKLGQNSQVCRGEQWRRPPAEPWAGWAGPRFGRTLFSAIQSRASPRWCGLAPNESXRYLAGGIGIEXKSVGRKSSEEFGYGSNERWAADGDSSVRPRLYGHDGLSXGTRQSGVASRSTRRASDRGYXXTLXPXYTRSISAPLLGIIFLVMTLRNTNKFQTSILVLIALRKLCKLQRGKKQQEHLEVKNFLXLSQIRTMKVLLLKTMKRLGGKQMSIKIIDTGEYYIYLGDLANSVKPMGSLDNNTCELXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLDSXLDRNELKKHFDQTRVNRLDHKELELGNGNDKAGHYFVVCLNLKAERFEFYDSLRGEDYEELISASNLVVASIKTMWDRVYMSQTYPCFVFANKNSRDCGFYMLKFVELWDGKQLPAFEPIDIPNIKKLLTHKMLSFQENRVQWMQVLWGKQPDPTLKNLHITVK >ORGLA09G0043100.1 pep chromosome:AGI1.1:9:6729097:6729582:1 gene:ORGLA09G0043100 transcript:ORGLA09G0043100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPAINLDDTFDFETMYTAGDAGSLQAHNVANDEMQVFEANDEMQVFATNDQMQVFDANDEMQVFEEMSDHADTMHTSVATGATTATANTISSEDSGTDDSEAQSAPSQDFPCSTQVPYLTLTFNSEXEARAHYNRYAKRVGFSIKINTSRKSAKDGERDK >ORGLA09G0043000.1 pep chromosome:AGI1.1:9:6680464:6685461:1 gene:ORGLA09G0043000 transcript:ORGLA09G0043000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSQLPTAASLRAPAVPMAVVAGDRGESRRFREDAAVEIPVVSGGMHRLLLAAACSGDCEGLSFLLSGDGNSLAHPTTMKPSEKFLKLIPVRNGSSPSDIEECTNVPSVAAESLLEGVTVDGNTALHVVATHGNGPSFLKCAKVIHRSAKHLLFQPNNNGDTPLHCAVRAGNPQMVSQLVDLATEANGVNVVKDLLRKEDNLKETVLHQAVCIGDNHMVKLLLTYDSELARFPREGTSPLYLAILLEKNVIAQTLYDMSVRNILSYAGPNGQNALHAAVFRGKEMTERLLRWKNDLSEERDKYGSTPLHFAASVESSLHNRFSSKAIVSKVLEACPSSAFQPDNEESLPIHVAASAGVRSAIVLLIEKWPGCASFRDSDGRTFLHIAVEKQRNDIVRFACKKVVLSSVLNMQDKEGNTALHLAVXLGNLSLVCSLLGNKRVLLNLTNKSGQTPLDVATRKIPTGIFYGWNLEETIHHALVRSGAKHGTIRWDHLQQKHIPPGTAEDDSNESQILSDSTQTLAIGSVLIATVTFGATFALPGGYRADDHINGGSPTLAGRYTFDAFIMATTLAFICSSIATLDLMYSGISMVNLPVRRKHFAVSIFFLTSSGTSLVAAFALGVYMVLAPVDAKTGIAICVLSPFTMLYRNKGRLQKLYALAGPLYIRMGLWALLSLTKDILSGVLKECWTLILIFGWAGYTTYCRHHR >ORGLA09G0042900.1 pep chromosome:AGI1.1:9:6671295:6671600:-1 gene:ORGLA09G0042900 transcript:ORGLA09G0042900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASERQADRTPRRRGAAVIRVRVDRARTPPPAATGQPDDRSGERQRRGERRDGERPSPSGWGLAVAGSPPLPPRCHLLILNYAIFISCDHICSKSRPGD >ORGLA09G0042800.1 pep chromosome:AGI1.1:9:6662748:6663386:-1 gene:ORGLA09G0042800 transcript:ORGLA09G0042800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVAFTDAVSLLRPQFEAAVAAMWPPASFIVADAFLYWVNESAAVLGVPKMSFFGISAFAQVMRELRNHHGLCAVMEPGDVDDDGYPATLAVPEFPHIRVTLEDLMATFGEPSAVRMMMELDGKLGKAIEESHGLIINRGSLYQVLERACPAQGLAHRPALPCATGICDRRCPALLDGVARREGGCRPACAVHCAWDSRSDPGGATQGSC >ORGLA09G0042700.1 pep chromosome:AGI1.1:9:6631423:6632511:-1 gene:ORGLA09G0042700 transcript:ORGLA09G0042700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNTGGNNPPAAGPSSSAPNREHAVGMDLLDFTCDYCKQLKEKPPHSPSCPEGGLPNNGIIAQQEAPPQVSSQSSSPVESVTTATLRPICFRCTNLMYNEIKGLIGRNVGGEEGRYAEDIRKQNENGETILHEAVRRADKDMVEWLMLVDSELALVPMEGTSPLYLAVSLGYHDIATMIHTRSNGFVSYSGPNGQNVLHASVLRSEGIVHFSRRQSH >ORGLA09G0042600.1 pep chromosome:AGI1.1:9:6625583:6627739:-1 gene:ORGLA09G0042600 transcript:ORGLA09G0042600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVLYEVWEAWTPPSGGGPYRKQQWKRVTWMGVSGKLDGNGFTKDLLGWECKEIIPPVHSEQSVQQTPNKFSVLAERGDKDGSTPLHFAVSVQDRSFINICWFPFYRTINVPICDLLEVKQSVAFQPDITGSFPIHIAASMGVLNAISILLEKCHDCGGLPDAKGRTFLHVAVEKKRCNVVKFACRNTKLSWMLNMQDSDGNTALHLAIQAGDLGIFGWLMGNQQVCLNLANKNGLTPLDLAESKIPPQFSYKWTARNLMYETLKCAKAEHGNIRRDRFEKDYTFQADVENESERMTKLAQAAIVGSVLIATVTFAAAFTLPGGYRQDDSGTPTLAGSYTFHAFVIAMAFAYVYSSLATFGLIYSAMPFMDMSVRRMYFRGSLQLIACSLRTLAVSFALAVYTVVAPVDRWTALVVCLTASVVMGFGHANVLQTLALAWKLRARMGYRLSAMLLARIIMQLALAYWSYIPIFGLPAYLKSRSIGLSCVVMDDYQGRVLGMKVIYICMIRSTSSCRPDNGQFHGGHDPYSQIAAYKNANQGEVHCRTT >ORGLA09G0042500.1 pep chromosome:AGI1.1:9:6614185:6615690:-1 gene:ORGLA09G0042500 transcript:ORGLA09G0042500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QMZ6] MASATLTTSASHGHLPHLAIFPFMAKGHTIPLIQLVNYLRHQRLAAVTFFTTPGNAAFVRDGLSTCGGAGEDDDDDDLAVVELAFPAADAASPGGAESAEGLTSIASFVAFAESTSLLRPRFEASVAAMRPPASFLVADAFLHWTNDSAAVLGVPKVSFLGTSTFAHVMRELIVRQDPFAVLRPRDVVDDDDENGGGGGPPATTFSMPEFPQVKLSVEELMLTFRDSSAFVAMMELDAKMGKSIEESHSLIINTFHGLEAPYIKFWNEHVGPRAWPIGPLCLAQPASAPAATRPSWMAWLDNKAAAGQSVLYIALGTLAVIPEVQLKEVAKGLERAEVDFIWVVSPKDIDLGPGFEERIKGKGIVVRDWVDQSQILQHKSVRGFLSHCGWNSVLESVTAGVPLAVWPMNFDQPLNARFLIDDMKIAVMVWTSDSLRRGLVTHEEISRVVTELMLGKVGVEAAKNVAKLSTLAKKAVDEGGSSWVVVREMINELCAINANRK >ORGLA09G0042400.1 pep chromosome:AGI1.1:9:6588842:6591094:1 gene:ORGLA09G0042400 transcript:ORGLA09G0042400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRHARLLAAAIAVLLCHLPRSAASPSWSDAAASPPSPSPSPLPQLRPMMQHRSVLPPRVSELPASPFTAKAAFVRYWNRKVHSNHPHPAFFFAKLSPLSAPDAAAFSTLAAAGQLGSRIRAFCAAASLLCPTTPGSSWSKSSSDGDGAAAAAAPAGGGGGGGGAAPFKNYENGNFSSYGNSGGGGADQFAVYSSGHSNGGGGGGIDSFRRYGKGSQGRNDSFTSYEAEGNVGTSSFTSYNGDATGGAGGFSSYAGEANTVAISFGNYDHTGNGRSREFSEYTQDANTGEESFAAYGKAANGAAESFRTYGNHSNSIASGFDNYGDRANGASDAFSSYGASGNTPENTFKSYASGSNAGVDDFKGYRDDANVGNDSFTSYASNANGAAAGFESYGKSVNPGSVTFKGYGLGSNPNHRIGFARYSGDNTTFKAYSNDGVEFKEYQNMSKMEVSKIEAAARRPPLLWSPDPGKFFRERDLVAGNRMPMPDIADRTPPRAFLPRDIAAKIPFDAAAVSALFGAAPGTAMRQVVSSTVAECARPPSRGETKRCATSAEDVVDFAVEMLGDNVVARATESTAGGGGDVRLGRVAGVPAGGNVTRSVSCHQSLFPYLVYYCHSVPTVRVYEADILAVDSNQKINHGVAICHLDTSDWSPNHGAFIALGGKPGKKVDPTKFICFIMQDEEFV >ORGLA09G0042300.1 pep chromosome:AGI1.1:9:6584292:6588181:1 gene:ORGLA09G0042300 transcript:ORGLA09G0042300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGKATAVDVIRGLKRRVDRCRRQYAPWLPSKLDEALSVGVNPTLSLLLDMLLLPLPPPVPDDELGAIKAALYELEDLLDDLDDHAGRNNDAEFKLHHQHTNPKHEKYKEMAGFDGPTIIGRDTEKQDLKDLLSQSNPDDLSILPIVGLPGLGKTSLARLVFEDNEEGWDFDLRIWIHVDDNFDLEKFAVSIISEANKLMKGKFSHILNRSDCPSYLKFKDCVEEILSSSSCLIVLDGLLYANEHWLPDLKYVLGKTKHKCTRFIVTTSSEEVAEVMQTIPSYKLGGLSEDDCWTLFSEKAFGGRDAIIHSWQTKIGKAINQDMETWLAAGNDELWELVERHSLETEVFSSFKQIYYNMSLMLKSCFLYLSVFPRGSDIDKDELIRQWIALDLINSNRHGTLPAFLHGEMFIEALVSISFLQIVNTSLVSEKKCKNPPPTILKVHSLVYDFLRYIAADDIFTLDCAKSPNVCVRNQPFRYAVLSNYSWQVTMHEDLIAKAKAAKAAIFRNCEATMPIADIFPILRYSRLLDLSGCLFQELPTSIGELKHLRYLNVSCFRITELPNEMCFLRSLEYLDLSQTCIEVLPLFVRTFDKLKYFNLQGCGKLKNLPRNIGDLKRLKHLNLSCCPEISNLPVSICGLHELQLLNLSSCTKLELLPHQFGNLSRLEILELARCCSLQRLPESFGGLSKLCSLSLASCSGLQRLPDCIGELCSLEYLNISHAHLELPDSLTKLQSLHIVNS >ORGLA09G0042200.1 pep chromosome:AGI1.1:9:6511392:6515129:-1 gene:ORGLA09G0042200 transcript:ORGLA09G0042200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEMLVAAAVSQVARKINDIVGVAQGEVKLCCNFSDDLEGIKDTLVYLETLLKNAENNSFGSDRANLRHWLGQIKSLAYDIEDIVDGYYSSKEQFDGGSYAQKLXDXECICTRVAFVCVWIXRLDFYPLSXINCPRNLSGEMQLDAPLELLHCLWKSGTALRIVIMFYIFKQYXLLVLDLLSFFFLMKFLDTGSSERXTXXLHATYLXIVHILQHPFANSERXTXYLHATSSEHSILFCFGKQSAFAFTXTKIDRRIFXKKNLCIYMEKKMQGTLPNNCASMIYLLCRGXYRPWFLAAPTVIYLSPFLFFQQQXYQNTKCLSXLRLPSXIYRGHYSARYPIQCFXKVAWFIRXNPRERCYSKGNSCPISIISFHISIQLCIILRRSKQHHTEILTLQLSGGMLTWIISWIFXCKTALKSFVLYPXLGLXVLERQALHSXFSMIQEQRYSALGYGFMFPWVISTLKNLGEIXFHKLQKKLREICSCSQSRMLFNVCXINIVVXSYXIAFGGRMKKXMNXSRCCLQVDTRKARSXXPLIAIKXLSXFPPFHCTSWQLYLRMIVXKYSLKGQXQAWVIRCSGNMENKSLEGVKAHPWXPIFSVLWXMLNDKGVRFGKLQRMKKCGRXRKIIPKTKFHHYFHHSRXYIIICPMSXDYALYIVQSSLKELLXKRRNLFSNGLHLTXLSPNMEPCHLMXLRRNILMNLKQSISFKFXRGLRMMQKDPVLLRKCFACITWLMILLDRLLVKISLLFXMPRMSAMPDIAITVMHRCLLLVXSQSIARHGLPRQGHXFSRIVVRTLSMSVKFFQXTNTCVFWISVDAVFKIFHLLSFSXNNXDTSTFHLYLLQHSLCKLVAFISYKCWIFQKLNLQSCHPLXATXKGXIIXISKVARNFNDXIAFICXMIYITXTCHAALKLLVFLNLLKIXPNSVSXIFLDVLSFQHYLSDFWNHLLASVLWXILTXVVLNSKCCPTFLATYIHFSIXICQNVXNLRYYHNHLANLHIXKAXIFHIVLILNCWNPLNALPLFGFXISRTALGLNFCHRALTSLIILMFLDVRIV >ORGLA09G0042100.1 pep chromosome:AGI1.1:9:6506040:6507718:-1 gene:ORGLA09G0042100 transcript:ORGLA09G0042100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNREPVPERGFGSLVQIPDKIQNSLKVHFGRFLKKNGFGGGSEAEMLPMQVQGTCTTVAPEVRLDKQLQAWKNNPIWSDEPPEIKVTVPQGSLCNLNLKFKAGLPPDAVYNIIIDPENKRVFKNIKEVISRKVVLDEGQRQIVEVEQAAIWRFLWWSGVLSVHVFVDQNRTNHTVKFKQGRTGFMKKFEGCWKIEPLFVDKEACHPLDPCTLEEYDSCTNGTGRVGSSITLDQLIEPAMVPPPPFSWYLRGITTRTTEMLVNDLIAETARLRGLANNAIDKQYVEGKCDTSRDHLTEECNDIKERWRQRRKTGRHGNSLRLTAQG >ORGLA09G0042000.1 pep chromosome:AGI1.1:9:6504079:6505218:-1 gene:ORGLA09G0042000 transcript:ORGLA09G0042000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPQPFRFWLPYRSNVASSSRQQPRPSSGTAPAPPPPTPADRPPRASPAPARAMGEDISVHGESSDESDTIPVQSVDSPRWRGARRRPSVPDMELTLSRSPRAGEERTPRGGGEGGEGNDTKISISGFPRSRLFDGARAPYRREIEDGLKSLATRGREAPAASADGVQGYRVITLAGHNVGASMVLGGGGGGGGGGREHGPSPTAAEAEGSARPAPAVAANVNSNVQSVNNSSMEASTCNAGDPGVRVDIKNARDEPVLVAPPKKQQEEEEPNEPVPVRRPPIAVPAAPQPENRVAPPPPPPRARRCLRALMMESGSDTEAAPKPKTKPKPKPSSCRFQCVADHKPPPPPATTSNGGGGGGGGDGRSGEEGGKENTR >ORGLA09G0041900.1 pep chromosome:AGI1.1:9:6497974:6501414:-1 gene:ORGLA09G0041900 transcript:ORGLA09G0041900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66530) TAIR;Acc:AT5G66530] MAASCALTLPSAISVSISVSSNSPRRFRRSRRVVALASVGQKVYAPGVAVSEGNGGLPKIYLKSPHGSEAEIYLFGACITSWKVPSGKDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGTMQQHGFARNMNWSITDSEANEGDPAVTLELKDDSYSRSMWDFSFQALYKVALHSTSLSTTLKITNTDDKPFSFNSALHSYFSASISGVSVKGLKGCKTLNKDPDPKNPLEGKEEREEVTFPGFVDCIYLGAPSELTLDNGLGDKIVISNANWSDAVLWNPHLQMEACYKDFVCVENAKIETLQLGPNQSWVAEQKIELI >ORGLA09G0041800.1 pep chromosome:AGI1.1:9:6494552:6497125:1 gene:ORGLA09G0041800 transcript:ORGLA09G0041800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT2G38660) TAIR;Acc:AT2G38660] MGGAPAAAAVALLAATRRRPAAGPLAFLLPRAARAGLHEAAAPAAEEKGRTRRRRRRRSSSSRLLGPDIPDTWDSPPRAAARPPPPSGAGVDYDSTATIIDGKSVAEDIRFQIAEEVRQMKNAVGHVPGLAVVLVGDRRDSESYVRYKIKGCEEVGIKSLLAELPGNCTEDVVVDSVSRFNEDPSVHGILVQLPLPQHMDEERILSAISLEKDVDGFHPLNVGNLALRSRKPLFVPCAAKACLELLLQSGIELMGKHVTVIGRSKVVGLPTSLLLQRHHATVSIIHAFTTNPEEITRQSDIVISAAGVANLVRGSWLKKGAVVIDVGTNPIEDPTSDYGYRLTGDVCFEEAVKLASAITPVPGGVGPVTIAMLLANTLDSAKLAYGLATESPEL >ORGLA09G0041700.1 pep chromosome:AGI1.1:9:6490026:6492656:-1 gene:ORGLA09G0041700 transcript:ORGLA09G0041700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02750) TAIR;Acc:AT4G02750] MLPSRHLRSAARQRSHRPPPAAGDASSSSSSGRLDPEVIRSNKAITAHMRAGRVADAERLFAAMPRRSTSTYNAMLAGYAANGRLPLVASLFRAIPRPDTFSYNTLLHALAVSSSLADARGLFDEMPVRDSVTYNVMISSHANHGLVSLARHYFDLAPEKDAVSWNGMLAAYVRNGRVEEARGLFNSRIEWDVISWNALMSGYVQWGKMSEARELFDRMPGRDVVSWNIMVSGYARRGDMVEARRLFDAAPVRDVFTCTAVVSGYAQNGMLEEARRVFDAMPERNAVSWNAMVAAYIQRRMMDEAKELFNMMPCRNVASWNTMLTGYAQAGMLEEAKAVFDTMPQKDAVSWAAMLAAYSQGGCSEETLQLFIEMGRCGEWVNRSAFACVLSTCADIAALECGMQLHGRLIRAGYGVGCFVGNALLAMYFKCGNMEDARNAFEEMEERDVVSWNTMIAGYARHGFGKEALEIFDMMRTTSTKPDDITLVGVLAACSHSGLVEKGISYFYSMHHDFGVTAKPEHYTCMIDLLGRAGRLAEAHDLMKDMPFEPDSTMWGALLGASRIHRNPELGRSAAEKIFELEPENAGMYVLLSNIYASSGKWRDARKMRVMMEERGVKKVPGFSWIEVQNKVHTFSAGDCVHPEKEKIYAFLEDLDMRMKKAGYVSATDMVLHDVEEEEKEHMLKYHSEKLAVAYGILNISPGRPIRVIKNLRVCGDCHNAFKYISAIEGRLILLRDSNRFHHFRGGSCSCGDYW >ORGLA09G0041600.1 pep chromosome:AGI1.1:9:6486296:6487972:-1 gene:ORGLA09G0041600 transcript:ORGLA09G0041600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSVEDAKGFAERESTFFMETSALESMNVESAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINVGGKDDVSAVKKSGCCSS >ORGLA09G0041500.1 pep chromosome:AGI1.1:9:6481141:6484722:1 gene:ORGLA09G0041500 transcript:ORGLA09G0041500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AETWNSRLWCSHVVPCMETPEKSFSLPVLPTSQQGAHANIFRSRLASSQHICHGLPTWXIVTSKHSCLSIHRETAFTGQEAFYXFLYTAEHVDAXKKQAQNLWMDHGYEQKSXRDPLGEXTXHGXEQFERCDXSXEACRSVVGRTAQTMHNCKSSMDLVLVPCGLAIMVGYHLMLLHRILRHPHTTVIGYENHNKLAWVERMLQAAAPEESALALGVISDNISAATTLASLCIALGSLIGAWVSSSPAPGAAGVVVLGYGDGSHATATVKCVALLACFLASFTCFIQSARYFVHASFLMSALAGDAAPPVGDAQRAVVRGGNFWAAGLRALYLATALLMWVFGPVPMLACSVLTVAALHRLDANSMPLHHHRFTARSTDASPATAPVAAARSAVARGGRAGNGNTVAFSTATFLC >ORGLA09G0041400.1 pep chromosome:AGI1.1:9:6478681:6480033:1 gene:ORGLA09G0041400 transcript:ORGLA09G0041400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKTQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAGKDKKAMRRAEKERLKEGEAADEEMKKLKKEAKKKGASKESTSSKSGAGKKKAAAGSDEDHSNSPTRSHDGDHVAADEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEEPEKKKKHEASHKEGASNGSTKHVVEEAKSSPYDDLVKEMKDNLSKGANAVQLKGLMTSSALPPQDAMNSLFDALFGGLGKGFAKEVVKKKKFLSAAVPDEASQMVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDVLDEEVITQWYNESVAGGKESQVVKNAKPFVEWLQSADSESEEE >ORGLA09G0041300.1 pep chromosome:AGI1.1:9:6472140:6474163:1 gene:ORGLA09G0041300 transcript:ORGLA09G0041300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGTQMLGVSILRLFSMCQHFVITVTRTKYKEPTKALIXWPNLSNPGGNPAGMMLLLLMENILFDMIVLAGMGLWTLLEGFLLLANALAILNEDRFLGPRGWSMSEVSGNGQTKSLKGQIVGLIYATQFLRMPLIALNVLIIVVKLGLSDIQIFSLQVPFLMEILPTMVMPYVRP >ORGLA09G0041200.1 pep chromosome:AGI1.1:9:6462799:6470574:1 gene:ORGLA09G0041200 transcript:ORGLA09G0041200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGGASPSEPAPPQPAKAKGKKKDENKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESELKKYMADILSVLALTMSAEGERESLKYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDGMTTDSLKELVEQIVSFHMKHNAEPEAVDLLMEVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMLALKIAFEIYMKFGDFANALRIALLLDDKSLELKQIFTATDDFHLKKQFAFIIARHGLSTDIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISEYFSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLSDSQVPLEVLVFAAISLGLVFVGSCNEEIAQTIICVLMERSEPELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLISMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILALAMQPRMLLTVDEDLKPLSVPVRVGQAVDIVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRNNPEYHED >ORGLA09G0041100.1 pep chromosome:AGI1.1:9:6461967:6462446:1 gene:ORGLA09G0041100 transcript:ORGLA09G0041100.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXEGGDDDKGLGGRDGDGGGAGRREARGGGDGDNDLGGAAVELGAGMRWQRRWEAGGGDDDNGLRGAAAELGAGMAMAAAARDDDPDDDDGASTTSRGVQLLRAGLARRFGWPNLASQPAILAGLGHPVDQSVGPRFCG >ORGLA09G0041000.1 pep chromosome:AGI1.1:9:6455163:6455450:1 gene:ORGLA09G0041000 transcript:ORGLA09G0041000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAIEEVMAEAMNSMNAKATEQAVAEAGVEADANAVVGLDAEVLETVAEAKALETMAEAEALDTAVEGDMVTVVSRPSDDPKATTSEEASPEKHG >ORGLA09G0040900.1 pep chromosome:AGI1.1:9:6439419:6440980:-1 gene:ORGLA09G0040900 transcript:ORGLA09G0040900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGRGSPRPCGVEGGDGVARDGRERXRLAAGGGNGDGGSGSPWRGQLGGGMGERLRDCLRGGEGCGGGRTRERLRRQLSAWLDLGDDRWGPRVPPVGLKFTGFSPDFMKTVVSPEPETEVHIGIVNPAAQLLSPCLSRISDSTCPVLLCWPYLRILSLPF >ORGLA09G0040800.1 pep chromosome:AGI1.1:9:6427845:6431004:-1 gene:ORGLA09G0040800 transcript:ORGLA09G0040800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLHRRLLHLAVVFAGLLLPGVRAGIGGAASGDAGALLAARATLTDPTAALSAWRGDDLCRWPHVACDAAAGNAAVSDGVVAGLYLGGLYLAGGFPVALCSLRSLRHLDMSSNDLTGPLPACLAGLQALETLNLASNNFSGELPSAYGGGFPSLAVLNLIQNLVSGAFPGFLANVTALQELLLAYNSFSPSPLPDNLGDLAALRVLFLANCSLTGSIPPSVGKLSNLVDLDLSSNNLTGEIPPSIVNLSSLVQIELFSNQLSGRIPAGLGGLKKLQQLDISMNHISGEIPEDMFAAPSLESVHMYQNNLTGRLPATLAAAARLTELMIFANQIEGPFPPEFGKNCPLQSLDVSDNRMSGRIPATLCAGGKLSQLLLLNNMFDGAIPDELGKCRSLMRVRLPCNRLSGPVPPEFWGLPHVYLLELRGNAFSGNVGAAIGRAANLSNLIIDNNRFTGVLPAELGNLTQLVVLSASDNSFTGTVPPSLASLSVLFLLDLSNNSLSGEIPRGIGELKNLTLLNLSDNHLSGSIPEELGGMDKMSTLDLSNNELSGQVPAQLQDLKLLGVLNLSYNKLTGHLPILFDTDQFRPCFLGNPGLCYGLCSRNGDPDSNRRARIQMAVAILTAAAGILLTSVAWFIYKYRSYNKRAIEVDSENSEWVLTSFHKVEFNERDIVNSLTENNLIGKGSSGMVYKAVVRPTSDTLAVKKLWASSAAASKKIDSFEAEVETLSKVRHKNIVKLFCCLTNEACRLLVYEFMPNGSLGDFLHSAKAGILDWPARYKIALDAAEGLSYLHHDFVPVIIHRDVKSNNILLDADFRAKIADFGVAKSIGDGPATMSVIAGSCGYIAPEYAYTIRVTEKSDVYSFGVVMLELVTGKSPMSSDIGDKDLVAWATTNVEQNGAESVLDEKIAEHFKDEMCRVLRIALLCVKNLPNNRPSMRLVVKFLLDIKGENKPKAMKITEAPPAT >ORGLA09G0040700.1 pep chromosome:AGI1.1:9:6421802:6422266:1 gene:ORGLA09G0040700 transcript:ORGLA09G0040700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAMAPSPPKPVSPRQLSLGDLRADLVRVGRAHVAEPPAAPFTPRCPRHRCRCRVGHRPLRRKRPQLALTPPDREDGFRPSWRRLRTSTPRRWPR >ORGLA09G0040600.1 pep chromosome:AGI1.1:9:6418816:6419904:1 gene:ORGLA09G0040600 transcript:ORGLA09G0040600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAEVCCDSAVVVGAEAEARARARAGRRRRAGVEGAGRWNATATAAGVAAEEAATRKRRASGGEAGLVVVAKRHGAASVAGRRREMEDAVSLREAFAAPADGEVAAARCDFYGVFDGHGCSHVADACRERMHELVAEEMGARSPAVAAAAAAREPASWTGVMERSFARMDAEVIAGCRAESGSCRCEGQKCDHVGSTAVVAVVEESRVVVANCGDSRAVLCRGGAPVQLSSDHKPDRPDELERIEEAGGRVIFWEGARVLGVLAMSRSIGDAYLKPYVTAVPEVTVTGRSDADECLILASDGLWDVVSNEAACEVAQSCLRRGRQRWCAEAAAVLTKLALARRSSDNISVVVVDLRRGNAL >ORGLA09G0040500.1 pep chromosome:AGI1.1:9:6415175:6415492:-1 gene:ORGLA09G0040500 transcript:ORGLA09G0040500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTRRPLRGRATTVDDLDDALLTRVLVRLASPHWFIRAAATCKRWRRIIGAGGGSPCRAVEFHRRGRNPIVGHYYYPGRGSGGAVFVPSASPSLQPRVDGRR >ORGLA09G0040400.1 pep chromosome:AGI1.1:9:6400821:6402110:-1 gene:ORGLA09G0040400 transcript:ORGLA09G0040400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTTTLDDIPDILLKHVLIGLSSLVCIVRAAATCRRWRRIIARSDYTRALRFPPLLDAGHYQAVDLRYAAAPRPCGGKIVYVPSASVDARRFALDFLPGGGSAASRSSWKWELVDSEGGLLLLAKTRRRRFPELIVCDPLARRYVVIPPIPDKKYSHCLAVFFWNWNGGPNLSDFTLRCVLHEGIDGAAGGVTTARVYDFKRHYXSHHRKYWDRWFARGGAIDDGVHLRGATLHLAGRAACWIFFGNDDADGADAVLALDMRYPSACKFMLARVPDSLRGGSCGDRLGFRFIDGDNPNDVRLVSVVGGDLKVFLRRDGSGDAWEPEKSLSLRDATRGMPGRKDSYFGGAAAAKIVSAGAGYVVLTPAEETWLFSVELATMEVELKHSRNRYAGESFPYHLPWPPTLSAHVSYCKRNRKGLCFQICVC >ORGLA09G0040300.1 pep chromosome:AGI1.1:9:6394632:6395936:1 gene:ORGLA09G0040300 transcript:ORGLA09G0040300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRRRRRRQRRKRASTKQLTAAATVQDVPDHVLEMILLRVDSSACLVRAAASCRRWRRVVADAAFLHSFRTLHGAHRVAGAYHTVDPAYGRPLPGGNFVFVPSTPLAAGDSCCFALDFLPYGGRDSWELLDCRRDLLLLSKKRPRFGGVATSRRFTDLVVCEPLTRRYQVIRCPANLKFFMCLGVFLLDDDAATGVGHAGGVSNFRVIAVLFDHHRWLDYRGMPMSMMFSSSGSGGDGTWQMVQWETIDDVDLPHWIEHITFVGRANGRIYWGLENEDGATLVLDESTTEFSITMFPENIWAPYDKYTFRVIGDGDDGALRVVRVINNDLKVFTQLAGAGEWVLEKTVSLPEATRGQPGHEEGLFFQHGEAMIVAASAAYVLVMPREKAWMFSVELDTMEVEREHDRNWYPGPAYQYESLPWPPALQVCTDG >ORGLA09G0040200.1 pep chromosome:AGI1.1:9:6387457:6388872:1 gene:ORGLA09G0040200 transcript:ORGLA09G0040200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPMATTERRRRCGQRQRRDKHKRGSSMKVAGAGDGAAAVMTTVEDVPDHLLEVILLRLDSSVSLLRAAAACTRWRRVVADAGFLRSFRSLHGARHVVGRYHTVDPCFGSPPSAGGSSVVFVPSSPLVIGVDSRFFSLDFLPDYDDGASWSWELVDSRGGLLLFSKKRKSTSRWAAMADARGFSFPDLVVCEPLTRRYQGIASPVCFRRHPCLGVFLLDGDAADADDTGSGGIGMSNFRVVAALHDRTWQHDRAVPLACVFTSGSDGGWRVLQSAAASAVDLPERFDFINFAGRAGGCLYWGIDGEDGAMLVLDEATMRFSIDMFPETIRASYDKWTFRVIDGGDDGDALRVVRVMRNDLKVFAQLAGSGEWVVERLVSLPEATRELPGRRETYFRQEAKIVAANAAYVLLTPQEKKRWLFSVELETGKVERRHERNRYAGAAYSYELPWPPALLACADYGGGRRRRR >ORGLA09G0040100.1 pep chromosome:AGI1.1:9:6375018:6375239:-1 gene:ORGLA09G0040100 transcript:ORGLA09G0040100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTKGTFTGVHNVIGAHGPLMGRLAIAGPIAPRLDGPERLLRPSRGRTCHGEVVFLRQIVFALHPSPETPLA >ORGLA09G0040000.1 pep chromosome:AGI1.1:9:6373076:6374380:1 gene:ORGLA09G0040000 transcript:ORGLA09G0040000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTERSRRRREGKLRKAWRKEAATAGPTSVNDVPDEVLELVLLRLGDSLALLRAAAACKRWRRLVADAGFLARFRSLHAPHVVGHYHVVDPTFAGALRGGNHVFVPERSPSPLDRRRLSLDFLPEPDSDRAWWELADTRGGLVLLYQNKWNVSFPDMVVCEPLTRRHQGILRPQETKYRICFGVFLIDGAAADETGGCISMSNFRVLSAVCESETSYWYYHGTPRPRAYVFSSGVDGGXRATESDSGSDIKLPSLQLIYFVGRAGCSLYWGLDGKDAMLALHKTTAEFSLVTIPAIVGESYHPSTFRVIGGGNDGTMRVVRLIGDDLKIFTQLKGSGGEWVVEKLVRLPVATRGLPGHDVGLFHHYARIVTENERYILVTPRVATWLFSVEVETPVVEREHVRNKYAGAAYTYELPWPPVLQACIAGRRRSRR >ORGLA09G0039900.1 pep chromosome:AGI1.1:9:6370437:6370913:1 gene:ORGLA09G0039900 transcript:ORGLA09G0039900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:I1QMX0] MASGGGGIGEHYMRVIRGDDGYDDGGGQHQEKPGAAVSVAKGVAAAAAAGSMLSLAGLTATGTVVALIVATPLLVLFSPVLVPAAFAASVLAAGLASSGALGAAAVGVLAWMYRYLQSPSGEHAPAGAGKVEHARALLDAKAHDVGDWVQHRLDQART >ORGLA09G0039800.1 pep chromosome:AGI1.1:9:6360706:6362733:-1 gene:ORGLA09G0039800 transcript:ORGLA09G0039800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QMW9] MAIITRVATAALMVAAAVLLGLAGVGHAQLQNGFYKGKCGANDVEAVVQGIVRARFARDAPIVAYLLRMQFHECAVNGCDGGLLIDGPGTEKAASPNLSVKGYDLIADIKAELERRCPGVVSCSDIQILATRDAVALAGGQPYAVRTGRRDRRQSRASDVVLPAPDSTAAQSVAFFRKLGLGEFDTVLLLGAHTVGATHCGVIKDSRLYKYGGRAGATDPALDPYYAFVYKTWVCPNAAASDGNVVFLDDQWSALRVDSNYYKQLQRRRGVLPCDQNLYGDGASTKWIVNLLANNSDLFPSLFPQALIKLGEVNVLAGAQGEIRKDMYSQCGKDGVAVPRIRHAGERDRSRASNMRERSGSCVIPLIFPTHLLPFSRTRFSNY >ORGLA09G0039700.1 pep chromosome:AGI1.1:9:6357459:6358533:1 gene:ORGLA09G0039700 transcript:ORGLA09G0039700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QMW8] MAMARVATAALMVAAAVLLGLAGGGHAQLQNGFYKGKCGANDVEAVVQGIVRARFARDAPIVAYLLRMQFHECAVNGCDGGLLIDGPGTEKTASPNLSVKGYDLIADIKAELERRCPGVVSCSDIQILATRDAVALAGGQPYAVRTGRRDRRQSRASDVVLPAPDSTAAQTVAYFGKLGLSAFDAVLLLGAHTVGATHCGAIKDSRLYRYGGRAGATDPALDPYYAFVYKTWVCPNAAASDGNVVFLDDQWSALRVDSNYYKLLQRHRGVLPCDQNLYGDGSTRWIVDLLANNSDLFPSLFPQALIKLGEVNVLTGTQGEIRKVCSKFN >ORGLA09G0039600.1 pep chromosome:AGI1.1:9:6348884:6350940:-1 gene:ORGLA09G0039600 transcript:ORGLA09G0039600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWVWQAHPQHQLLLLLNRDEFHSRPTKAVGWWGEGSKKILGGRDVLGGGTWMGCTKDGRLAFLTNVLEPDAMPGARTRGDLPLRFLQSNKSPLEVATEVAKEADEYNGFNLVLADLTTNVMVYVSNRPKGQPATIQLVSPGLHVLSNARLDSPWQKAIRLGKNFREHLRKHGDDEVEAKDIVERLMTDTTKADKDRLPNTGCDPNWEHGLSSIFIEVQTDQGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHTVHYQIE >ORGLA09G0039500.1 pep chromosome:AGI1.1:9:6337072:6337293:1 gene:ORGLA09G0039500 transcript:ORGLA09G0039500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGEDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFVSCTRGFTKVFRTLSMSLIRGFRLPTSCINRGGA >ORGLA09G0039400.1 pep chromosome:AGI1.1:9:6302628:6305494:-1 gene:ORGLA09G0039400 transcript:ORGLA09G0039400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHATCDDVHEHAINVSHGETASTSTSHQDLHSDSDDSHQDDRPSTSTQTPSPQSSASTSPTAYNTRNLSFPRRDSMYGHGRSIWNSGLWISFELVIYVLQIVAAIFVLVFSRDEHPHAPLFAWIIGYTIGCIASIPLICWRCAHRNRPSEQEPEQPPAAYPNLTSSQSSEGRNQRSSGTVLHFGCITISCPRPSILAYHFKTAVDCFFAVWFVVGNVWIFGGHSTSSDSQEAPNMYRLCLAFLALSCVGYAIPFVMCAAICCCFPCLISLLRLQEDLGHTRGATQELIDALPTYKFKPKRSKMWVDHASSSENLSEGGILGPGTKKERIVSAEDAVCCICLTKYGDDDELRELPCTHFFHVQCVDKWLKINAVCPLCKTEIGGVVRSFFGLPFGRRRVDRMAGRGIASSRFTV >ORGLA09G0039300.1 pep chromosome:AGI1.1:9:6295376:6299758:-1 gene:ORGLA09G0039300 transcript:ORGLA09G0039300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEVVAVAVAAGTSRTVLVTGGAGYIGSHTVLQLLAAGFRVVVADSLGNSSELAVRRVAALAGDKARNLSLHKVDIRDKGGLEKVFSSTRFDAVVHFAGLKAVGESVQKPLLYYDHNVAGTIILLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPHNPYGRTKLIAEEICRDIYHSDSEWSIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPFVQQVAVGRRPSLTIFGNDYATKDGTGVRDYIHVVDLAEGHIAALRKLFESSIGCQAYNLGTGKGTSVLEIVNAFEKVSGKKIPLVIGPRRPGDAEILFSSAAKAEREFKWKAKYGIEEMCRDQWNWASKNPFGYASPDSTKQNGHSH >ORGLA09G0039200.1 pep chromosome:AGI1.1:9:6289363:6290046:1 gene:ORGLA09G0039200 transcript:ORGLA09G0039200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGVAGGGGAEDGGRGEAEGGPVTIADREAEEAMDSVILKSFPSHAVFGEENGWRCIEKSDDYVCVLDPIDGTKSFITGKPLFGTLISLLYNGKPVF >ORGLA09G0039100.1 pep chromosome:AGI1.1:9:6279026:6284984:1 gene:ORGLA09G0039100 transcript:ORGLA09G0039100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLKQAAYPFNIRRSVQDLITHTDDLVARRHDIARQIEAADRDGGMIPTHEARQWLDRVESARLSADTIRGRYEHRCRMFGGCSLNLWSNYRISKRAAERLAIVRSYEVVLSPITIDPPALAAVNIPIESVQIHSQESILEEALRCITEGPSAIIGICGPGGVGKTHLLKRINNNFVGDSTFRLVIFVTATRGCSVQTIQTQIMERINLNRDGDSVTRANRIVRFLKAKSFLLLVDDLWGGELEMGSVGIPYPLKNEGQLKQKVVITTRSPTICELMNVTTHVKVEVLEDDEARELFMEYNGHKGLYSDPHIGDLAKELVKELKGVASQLIHFGKEMRGRKDPKRWEDAIFVVKTSDTTHLQDEDQLSLGISRWQPRICGQHQLNLDVSQSAAEKLHEVQECLDNQPSDIVVDVLQTPTEYIPIQSFELRSQNIVLQDALRYIADDSVEMIGIRGAAGVGKTHILKKINNSFHEHSDFQFVIFVTASRNIREQIARRLGINQDDRDAKLVTRISKFLEKRSFLLLVDDLREILDPGQMAVSKKIKVTCLEQDEAIYLFRQNVDMGILHSSPRIEELANTLAKELSGLPLALITTARAMSSRHHPTGWEDAIREMHDLFRHKDNPLNMEKGVYQPIKFSYDSLRNDTLKQCFLTCSMWPVDQNIRKDELVQCWMGLGLVDEPNIRSSYNEAYKLICDLEAACLLESGPNNDVKMQNVIRDTALWISHGKWVVHTGRNSLDANIARVIQRFIAVTYLDLSWNKLENIPEELCSLTNLEYLNLSYNFSISEVPKCLGFLIKLKFLYLQGTNIKTIPDGVISSLTELQVLDLLNMYFGEGITMSPVEYVPTILPELGAINNLKEVDIVIEGSFQYELLSHCCNLPLRLVALRKMEQSCALFRLSESIFQDNLLGTTLNYLEVSDSDMNVIEIFRGAEAPNYCFEALKKIELFNLKMLKHIKCFRLSPHDMFPSLSVLRVSFCDRLKNISCTMYLSKLQHLEVSYCNSITQAFGHNMNKSTVPTFPCLRYLSFAYLDGLERICDSDVTFPQLETLKFTGCPNLMSLPFNKGTVPLNLRELQLEDVKLWKNLIWEEEGILDLLEPYLKIKELPTEEELTKALQSMLPFYP >ORGLA09G0039000.1 pep chromosome:AGI1.1:9:6258295:6258613:-1 gene:ORGLA09G0039000 transcript:ORGLA09G0039000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TDLVADPVATVAGGVASATDPTTDQEATVSALGRAVLVDGSMMVCAVLGMFLDLWWCGCNEEWLDVST >ORGLA09G0038900.1 pep chromosome:AGI1.1:9:6193560:6197352:-1 gene:ORGLA09G0038900 transcript:ORGLA09G0038900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTASLHPCVCKASPAFRPASSLGARTQPKSTATNPKRPLFQELQRRLSFRIDEASKALETAKQGLLDTLVDSTFKFSDQPMLPSESNFAPVNEISEAIEILQIEGEIPEDFPEGVYIRNGSNPLFGALHSTVSIFGKSSEIWVEGEGMLHAIYFTKNSSDTWSVSYANRYVQSETLKIEKTRQKPCFLPAIMGDSAAIVAAYFLNYMRFGKVNKNISNTNVFEHAGKVYAVSENHLPQEISIQNLDTGDSWDINGEWKRPFTAHPKVAPGSGELVIFGSDAKRPFLMVGVVSADGTQLKHKVDLKLDRCILCHDIGVTVKYNIIMDIPLTIDISRLIRGNQLIKFEKDSYARIGVMPRYGDAESVMWFDVEPFCMFHFINCFEEGDEVVIRGFRAADSIIPGPRISLNKNDLLSDPSKCSVKQGINEEFFSRLYQWRLNTKTKAVSGQYLSGTEFSMEFPVINDHYTGLHHSYAYAQVVDSLESSYGVNEKVILKYGGLAKLCLEEADNVIAETSEDLIKTEYHGFGEDQFFSGAAFVPRVGGSHEDDGWIISFVHIIDAQTFEGAPVAKIVLPQRVPYGFHGTFRSSLANTMT >ORGLA09G0038800.1 pep chromosome:AGI1.1:9:6180921:6183239:1 gene:ORGLA09G0038800 transcript:ORGLA09G0038800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSANSXQTKAQSCEGLNAEGIAGGPAWGELAEAPWRAQGDGGGLAETAAALSVLLEKCKNVEVNVQQDDQQRSIPLLLHLTSQSDKNGSTPLHFAASLKTSIEGFTSRLCEHFRPKQSPTTLLLGLNESAIYQPDNRGSYPIHVAASNGILKVVITLLKRYPDCATLRDIQGRTFLRVAVEKKRRNIVAYVCERPGFSPILNMQDSHGDTALHLAVKLGYHMLIFQNPRYMISQLLALSGGTVGYSRQDHFFEKYSKKRDEVIDSNDMTSAAQVLGISSALIATVTFAAAFTLPGGYRADDHTDGGTPTLAGSYPFDAFIISNSLAFICSLLATVSLLYSGIQSRDISIRRRYYAFSMLLMQSSTTSFNYEPWECTWC >ORGLA09G0038700.1 pep chromosome:AGI1.1:9:6158992:6163113:1 gene:ORGLA09G0038700 transcript:ORGLA09G0038700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEDMVHHPPMDQLQGFEYCIDSNPSWGEAIALGFQHYILSLGTAVMIPTMLVPLMGGNDHDKARVVQTLLFVTGIKTLLQTLFGTRLPTIIGGSYAFVVPILSIIRDPSLAQIADGHTRFVQTMRAIQGSLIVSSSIQIILGYSQLWAICSRFFSPLGMVPVVALVGLGLFERGFPVVGRCVEIGLPMLILFVALSQYLKHVNVRHVPVLERFSLLICVALVWVYAHILTASGAYKHTALLTQFSCRTDRANLISSALWISIPFPLQWGAPTFSANHAFGMMAAVVVSLIETTGAFMAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFPIFAAIYCVMFGIVAAVGLSFLQFTNMNSMRNLFIVGVSLFLGLSIPEYFSRYTTSAQQGPAHTKAGWFNDYINSVFSSPPTVALIVAVLLDNTLDVREAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >ORGLA09G0038600.1 pep chromosome:AGI1.1:9:6107016:6107249:1 gene:ORGLA09G0038600 transcript:ORGLA09G0038600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQVVYKANFLMHRWKVLLPKERYREALNILLEKLKAKIESLRPVNVLPYNISVSVLLLLGLRSLSRLDVFLGRACKSF >ORGLA09G0038500.1 pep chromosome:AGI1.1:9:6051092:6051199:1 gene:ORGLA09G0038500 transcript:ORGLA09G0038500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGRGAVVPLQTGPFLALSSLGPLHPRRRPLRG >ORGLA09G0038400.1 pep chromosome:AGI1.1:9:6037286:6038098:1 gene:ORGLA09G0038400 transcript:ORGLA09G0038400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRQWEAAGRTDAAAAPGDRAWSWDALPYGCIHNSLMPSPPLLLAIEEVMMSLLADDGLVLCLGAEKLISEYFRPAPSSIKFRSERSNSSTSTISDQQQQQCCGFLLLCGGNGVGRRHARQNRDDELEASCGIKAVRQTAASSPSRRSSSMYETNK >ORGLA09G0038300.1 pep chromosome:AGI1.1:9:6010337:6013870:1 gene:ORGLA09G0038300 transcript:ORGLA09G0038300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKRLNIVHSSCHHGNGEGSSSGQSLPQVEPPLPYEDEVIHVEQQDDEPLNMPVQDEEVKKRKGTTLRYVWDLPPGKRIVVKCNRLGQPIGDEGGLLGQFLGTLARNGAYCPLDKMTWRKIKADEGDLTILQFVQTKFLYPPSCVQWILKSIGRDWRRYKAALKDKYFNPKKKRSALYKLCPDDVEKDQWIPLIKYWKSKKGKALSAKNKRSRSMLQNPHSAGTKSYARWSEDLVTLENLIDEQPELAQNDQGRVAWEGDALNKVLGKEKPGQVHGMGLLPVPKQVYGRTSHHLKNINITTVNDSSSDEETHVRGEVGELKKLVKTLGQRIEELENKGTSNGNSEPTMATSQRTFDDGIEEGVVRTNRKNKRRCEEQQNMHHDNILDLCGKKHQEADNNIGSPCQDDSSSQPHLAHDLRREMNKKKHRNLEKFAKTIEKQDTQKKTAHHMAQNRVHSSSMKVGTTIILVTAKYPNKETVAYATYLSSNPRDKVDGVEIGNEFTKVVINHPLKEDEELVQKING >ORGLA09G0038200.1 pep chromosome:AGI1.1:9:5963412:5963758:1 gene:ORGLA09G0038200 transcript:ORGLA09G0038200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWQFPASKVSVHVLQVVVVVGGGEGGGLQGGGGVRPLLPLRVPPAAAPVARXCLRRRRRRRHHEAAAVWIRRPAAVRSRGAARPP >ORGLA09G0038100.1 pep chromosome:AGI1.1:9:5925551:5925772:-1 gene:ORGLA09G0038100 transcript:ORGLA09G0038100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LASTRLRDADPAPLPRPPVASSSATPPPPARSDGSLLHRTTNLWIRWPLPPTADVVHRLLHPLAPPPFPTLVG >ORGLA09G0038000.1 pep chromosome:AGI1.1:9:5864921:5873995:-1 gene:ORGLA09G0038000 transcript:ORGLA09G0038000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILICANAPPRLALLDRRRWSPAWDRRRPDHHTGMHARRPRGNQNILDEPRDMEEMVNTIRVMLRSMGDGEISASAYDTAWVALVKNHNGSDSPQFPSTIDWISHNQLLDGSWGDDLYFLIHDRLLNTLACVIALMEWKVHGDKCEKGLSFIRENIYRLAQEEEAWMPVGFEITFPSLLEMAKDLALDIPYDDPALQKIYAQRELKLKKIPREILHSVPTSLLHSIEGLRGLDWKRLLKLQLSDGSFLNSPAATTYALMQTGDKKCLEFLDGIVSKFHGGGK >ORGLA09G0037900.1 pep chromosome:AGI1.1:9:5850741:5852407:1 gene:ORGLA09G0037900 transcript:ORGLA09G0037900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRPPGGSSDKKSCQNVTPDKDSSMNFCHQQYPQHLFSQPPYAMNFPFPPFPHYPPYTQNLQYAVPPQYAPYTLPPPAGAMPSPFLPAPVIPSKALSDQGTPRSVTGPGEQGTDNAEPEKATKRLYWTEKEDIRLISAWLIYYKTDRYWEKVVAEYNSTIPATRRRELQHVKGHWHKIFRKVAHFHDCWCRVKAKYPSGHSEGMQLMDKTWLMYNEEARVMYLEEAEHNFAFDHCWKAVWNQPKWKAYMSCLFTKRTLQSDSWEYMSSSEDSEEMPGKEIGEEVCMTSKEAKVKRSTSSSEMQEDMLIKNPEELTEVEPSISNEKLLLASLKQQDACTKDTGISKKQSELLTADTSWPTGFRLVDTSELNEHQQGLAVRDDMLEKESRPQGFDAQDNERATRENIPRKETQPRICKAPKFSRKRKGKASSSSCEVQEDIKHAMHLQTMLNNDRVKMSEVQLRLSKEQLELARIKQEEAREKKETTLYKKYTELLLADTSRFDEFQKAEYEKALRHIGGMLFSKDGN >ORGLA09G0037800.1 pep chromosome:AGI1.1:9:5840335:5841449:1 gene:ORGLA09G0037800 transcript:ORGLA09G0037800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKTQRNDLGLGSMTENIVRNKYATCSIVSRMPSSTGTGQYFRESSWSRKLKETCIGSKQWRWITLLSIVGPRDSILKCPVKFRVEFEIFVEVQGCVKACVELALT >ORGLA09G0037700.1 pep chromosome:AGI1.1:9:5809599:5809802:-1 gene:ORGLA09G0037700 transcript:ORGLA09G0037700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPAPRSRTTPPSKPGPPRAHPHRGALAFIPTFDGRLHPLLRVECYTRSRSSVCDPHLLLLGRHYG >ORGLA09G0037600.1 pep chromosome:AGI1.1:9:5767265:5768834:-1 gene:ORGLA09G0037600 transcript:ORGLA09G0037600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHPPSSYSDKKTCQNVPPEKPSSTSFCHPQFPQHLLSHPAYAMNFPFPPFPHYPPYSQNFQYAVPPQYAPYSLPPPDGAMPSPYVPATVMPSKAPSDQGTPHSVTGPGQQDDDDAEPERTARRLAWTEEEDIRLISTWLINYKTDKYWDKVAAEYNSATPGARRREVKHLKNRWQRMINKVAHFNDCWCRVMAKYPSGQSEGMQQMDKTWLMYNKEAHVMYLEEAKHKFTFGHCWNAVWDQPKWKEYISSFSTKRVMRSESGGYVSSSEDSEDMQEGKCLVDPLDMLPKNHEDMTEVQPSVSNQKKQLELLTTDASWPIEFQLGRHQLMTGTSKLNEHQQGVAVRDEMLEKESGPQDFEVLDNERVAREDEPKKETQPHQGFKARKVNRKRKGKASSSSCEVQEDIKHALYLQTMLNNDREKMSEVQLRLSKEQLELARIKQDEANVKKETTLYMKYTELLLADTSRFDEFQKAEYEKALKHIGGILFSKDVN >ORGLA09G0037500.1 pep chromosome:AGI1.1:9:5719399:5719767:1 gene:ORGLA09G0037500 transcript:ORGLA09G0037500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTRWLQRPPVLRELPDEVAAVVTGSRPYSATGSRHADTWGWDADARPHLATSGQRPATSSRIGARRHLAARPRKKGERGRGGRRRESTGGGGRRREAEEKRIRPMGVGSEQGGGYDGDFF >ORGLA09G0037400.1 pep chromosome:AGI1.1:9:5676927:5678171:-1 gene:ORGLA09G0037400 transcript:ORGLA09G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDVGLETEAQSVRSWRSPRVLNRKAVFHSSTTIRYRHIYECKNFSIGIFCIPASSIIPLHNHPGMTVFSKLLYGTVHVKSYDWVEDTTQLLKLSKVRPAKIVRDGEMSAPCGAMVIQPKDGGNIHAFKAITPCAILDILSPPYSSEDGRHCSYFRRCRKADPSGILSNRSREPEFVWLEEHQPPNSFVIRRDLYKGPALNL >ORGLA09G0037300.1 pep chromosome:AGI1.1:9:5666534:5672971:-1 gene:ORGLA09G0037300 transcript:ORGLA09G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGHHHHLAEVALLASASEDLAAVGAGEREGWLDDPAVLPSLAPRARALAVASAARSVLAVVPVAGVGGGVTVRPALGPDDGRISAVEWVPLVGEDAEEAGGEGVAVAVGTDAGWLLFYSLAGDLLHKQSIYPSKILKLNFRERKENAWEDSGSDELSVVFPGVIARFDGADLQNMLQKSLHEVKSHLWKDKSEQEDAEEDSSFGRIPFQIWNVSKLSSCADAAIVGLMPPPLLELQSSQRHYCAITVGEDAVVSAYRLSEDRSRSIVGAILSRGVAATFSTISSLSKIIWRSEPSPTKKSRPKPQSFAKTSPLTCLKDSPRKGERLTLSPSGTLAAITDSLGRILLLDTHALVAVRLWKGYRDASCLFVEMLLNKDKASSSLHTEYTKSDYCLCLAIHAPRKGIIEIWQMRTGARLLTIPCPKGSRILQPSTRFMSSPFSSLYSPLEVYLFNGDSGQLSVLNRHIG >ORGLA09G0037200.1 pep chromosome:AGI1.1:9:5649227:5654085:-1 gene:ORGLA09G0037200 transcript:ORGLA09G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMSAAGGGGGVGKVERLSSIDAQLRQLVPAKLSEDDKLIEYDALLLDRFLDVLHGLHGDDLKDLVQECYEVAAEYETKHDVQKLDELGNMITSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAMTESDIEETLKRLVFDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCSQIEDLMFELSMWRCNEELRSRADDLHHSSKKDAKHYIEFWKKVPPNEPYRVILSDVRDKLYNTRERSRELLSSGYCDIPEEATLTNVEQLLEPLELCYRSLCACGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQEWLLSELNGKRPLFGPDLPRTDEVADVLDTFHVIAELPADSFGAYVISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLESAPAALTRLFSISWYRQRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEQLVKVAKDFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPSAPKPEWRALLDEMAVVATKEYRSVVFQEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHALQKDIRNLHMLQEMYNEWPFFRVTLDLIEMVFAKGNPGIAALYDKLLVSEDLQPLGEKLRANYVETQKLLLQVAGHRDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKRIRDPDYHVTLRPHLSKEVMDGSKPAAELVKLNPGSEYAPGLEDTLILTMKGIAAGLQNTG >ORGLA09G0037100.1 pep chromosome:AGI1.1:9:5646644:5648308:-1 gene:ORGLA09G0037100 transcript:ORGLA09G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSLLPFFFLLFLILHATKLDADSDQFICNGFKDTDLSLNGEASVTSRLLNLGNIPQKSSHASRSFPSSAGKIPSFSTSFVFVISSDYANRSANGFALVISTNIRSQNNLQDSSLISMILQDNQLASIGFSSATGPTHSGHYILGWSFTTDGEAQPLNHSALPLEVAHDFAKQDNLKPPNNQRQEQDRCQLPNHNILAIVILSVLVAMSVLVAVIVVLLCRKKKAGKCEDWEAKCGPCSFRLLPIKKELLLVYDYMPNGSLDKYLHDQDNTPTIGWAMRLGIIKGITSGLFYLHEDWEHVVIHRDIKTSNVLLDTDMNGRLGDFGLARLHDHGTDAHTTHFAGTWGYIAPELSRLGKATKATDVFALQGVLMTTL >ORGLA09G0037000.1 pep chromosome:AGI1.1:9:5643075:5643395:1 gene:ORGLA09G0037000 transcript:ORGLA09G0037000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCRLLLNFRSLNLSLHQPAAAEIRRRSGGGTAGCSSPRPAPPLPPRRATVRRRHQIVDPVPPPGGRAPEQVDSGPRPPLLRAHRGAPPSSDLTATLLLLPPIFLVE >ORGLA09G0036900.1 pep chromosome:AGI1.1:9:5633543:5638321:1 gene:ORGLA09G0036900 transcript:ORGLA09G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMGAEEARTSRVVVAAAGRSLNPNAKEFVPRWHRHAAAADDDDAARRTKLSADAPEFVYEGFWRGVDGLTGYGYGYGYGYGDGHDGAPEELVVVVSERLNPDAPEFTAAASIRRRRSPGSGNGISSTRHWSRRGSRNFSRQGRSAPFSSRVRRAQKEEFVRRTIFVSDIDHTVTEDMLAELFRSYCSVVVDCRICGDHSSGLRFAFIEFQDESDAYAALDLDGYVLGICPLRVSPSKTAIMPVNPSFLPQVNVTDLKYFCEEHFGQVFRLKLLGDDGHPTRIAFIEFAEVDGAINALNSSGIFASGQPIRFNNPYYTG >ORGLA09G0036800.1 pep chromosome:AGI1.1:9:5623466:5628951:-1 gene:ORGLA09G0036800 transcript:ORGLA09G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT1G18030) TAIR;Acc:AT1G18030] MAHQKREATSDNGGGDEEWASKRPKVVGAAAEKEHILTSDASHETNGDEAQGGDASRKENTVSTNPCVSDEKAATNSNVSSGHGVILTSVEADAAEDKGCRHTMEDAWVLLPDASMESPGNLRCAHFAIYDGHGGRLAAEYAQKHLHQNVIAAGLPRELMDVKAAKKAIIEGFRRTDECLLQESTKGNWQDGATAVCVWVLGQTVVVANAGDAKAVLARSTSADGEGAVDDAKSQLKAIVLTREHKAIFPQERARIQKAGGSVGPNGRLQGRIEVSRALGDRQFKKVGLIATPDVHSFEVTRKDHFIILGCDGLWGVFGPGDAVEFVQNQLKETSSATLAVRRLVKEAVRERRCKDNCTAVLIVFKH >ORGLA09G0036700.1 pep chromosome:AGI1.1:9:5614464:5615495:-1 gene:ORGLA09G0036700 transcript:ORGLA09G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQYYATSSLVIGYALCSSLLAIINKYAVTKFGYPALLTALQYLTSAGGVWILGKLGFLCHDPFNLENAKKFAPAAIVFYLAIFTNTNLLYHANVDTFIVFRSLTPLLVAIADTAFRKQPCPSKLTFLSLVVILGGAVGYVITDSAFSLTAYSWALAYLVIITTEMVYIKHIVTNLGLNTWGFVLYNNLLSLMMAPFFWFLTGEYKSLFTAIESRGERWFQVDAFVAVALSCVFGLLISFFGFATRKAISATAFTVTGVVNKFLTVAINVLIWDKHSSPFGLICLLFTIAGGVLYQQSVTKKGITAPQHEPESSEQTKDDNEGIELDEEKQSLVPTSKSSNA >ORGLA09G0036600.1 pep chromosome:AGI1.1:9:5609762:5613191:1 gene:ORGLA09G0036600 transcript:ORGLA09G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASARGFWGRHRRKILVSLGVAGAGYAAYRYLDSHRRQLVRVEQRALEERAAEEIIKNQLQTHFENVQKISDTTTLPFAMHYLRSRIMEELDISHLTEKLMHGKGESSAPALTPKEKYDTWEKIKILSFTRTVSSIWAMTLLSLYVRVQVTILGRHLYLDFARVTDGAQLQEGSDTFSKSGHKDFLATADYLATYGINALITKMQHAATEILKEKQLKDPMGIDEVLETILQILKQFMGLCEDNSWINYLVPENANVYAQLMAVSSSGFDDSSLLKDVRKLDQLMSETRIVLSSDDFRNIMDRSLKKIASVVVEDLAVQIGAPIPPPGLPLAKLLAKVAQLSLPLLEEPDKNKHIQIIRSMPEVELFYTFLYANMPPET >ORGLA09G0036500.1 pep chromosome:AGI1.1:9:5605751:5606233:-1 gene:ORGLA09G0036500 transcript:ORGLA09G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTKNSDTGIDKNCFIRAYQAVMVPKCGYIRKAVAAARGGITTTVEFDLSTLPGGVDAFDKAARDCYGANFQLSVRNAAALLCASAFLDMQPPLARWPDASTRPRSWRQGRAPWLRRHGKASAPASRGEVGEAVRQPPGPLPTRGCLAPLRPGVAAAAPA >ORGLA09G0036400.1 pep chromosome:AGI1.1:9:5600013:5603387:1 gene:ORGLA09G0036400 transcript:ORGLA09G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding XIGPXEASDLLQYNNPSQRPPARRRYLLLEFXYFSLSSXCLPHCSPTFLGXNPEYYHLRFLNNHICHQLNYHLXLIMSTRRWPSSTELCVESLWFWLMQMRGKSLMRLXSFGSLSSSKXHGKLRGYLKITAMSCSAAPLSRKKSILDRISKVRKFLDEICRDRVDLGLIDQEGLCRKESRISRCTSSLLDPLEVYGREDEKKLIISSLLDGCLTFKKRRLKEHEYETCKAGAVRLISIVAMGGMGKTTLARLVYNDARVQNHFDIQAWVWVSEVFDEVRLTKAAIESVTTKPCDLTELEPLQRQLHKEVKGKKILLVFDDVWNEDTIKWETMKRPFSAVATGSHMIITTRNENVSTIVQAKKVIHLGGLQKDDSWALFCKLSFPDNACRETELGPIGRKIVEKSDGVPLVLKTLGAMLSLDTSLEFWNYVLTSDLWELGPGWDHILPILKLSYYSLPAILKQCFTFLAAFPRGHKFDLEELVHMWCALGFIQEDGAKRMEEIGHLYVNELVRRSFLQNLQLAGSREKFVIVHDLIHDLAKSIGGKEILVKKCCGSSVGDCNTSANNHLRYLAVLVGTTPFYSDNKLVPFTLPVAGHFPLRSLSFQSKWRTYLRSCVRNNLRTFFQVLVQSQWWYNLEGCLLHSPHLKYLRILDVSSSDQIKLGKSVGVLHHLRYLGICXREIPEAICKMYKLQTLRNTYPFDTISLPRNVSGLSNLRHLVLPREFPVTIPSGIHRLTKLQSLSTFAVANSGSGAATLDEIKDINTLQGQLCIMDLQNITHDRIWEPRSANLSKKKLTRLELVWNPLPSYKSIPHDEVVLESLQPHNYIQQLVISGFRGLNFCSWLGDRSLFSLQELELCKCYYTDHLPPLGQLPNLKQLKLTSLWKLRSKGPEFYGDCEAPFQCLETMVVQNLVAWEKWWLPENHPHCVFPLLHTIDIRGSHKLVCLPLSNLHALAAITVSSCSKLETIVGLKERCEVTAGNSGLKAGQTNVLPPLGRVKVTACPSLEEPLISMLRRQTEIGFYWEQSPSTSPTDIDDFGKTMERLWQGI >ORGLA09G0036300.1 pep chromosome:AGI1.1:9:5572817:5577831:1 gene:ORGLA09G0036300 transcript:ORGLA09G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGDPPHLVFFLPDHVLVFLLSDPGISILSAPARLPLEATASTGAHADRRCSRSIPLRPPPALPDLLGACFCADEGRKTPKTLIFPRDERNASSVCRCCREVGVRYKVANDIQELNKKLERITPTLLQELFREDRQSNITTPQHDEFITIGRNIANECDNLFRLLRGNQAGQCLFAIVGAVGVGKTTLAQKIYHDTRNNFRTRLWVHVSNDSRNLGIWRGESFLGTGETAVQRVVLREYLINDRYRRLLLVIDNVWEENGWNQFLGQDFCHGGDTVLLVTTRHECVARTMGIARCHRIRRLSEDDGWLLLRTTANLRETEATGNIQDVGRRIVQKCSGLPVAVRTIGYHLRGKTLEDEWESVYLEDFVATYPEIRNSIDASYMKLSYLLKRCFLYCSLYPEGYVIEKQCIMQQWIAEGFFSEVLLQVQEEEAERCYQELIDRCLLLPEDEAHGVTGAKMLNLFRSFAIYRSQDENYVSNPRNIGRNFKPWRLCVTNGGRVEDIPDDATSLRSLFLFGSPQINGKSLEFIFSKLTSLRVLDLRHTQVDNISTYLKKLHKLKQLRYLNLSNTRISSLPASIGSLTMLQFLILKNCPLLKSLPRCVGHLKKLRSLDISGTPMLNVIQFNLLELTELNCLQGFVPTTSVQQNNNGDGWKFEEVRPLGNLRSLQMVKLERASLSRDDLGQSNLHGKPNLKELELCCSSADPQNRDRDAEHIKAVFEALKPAQCLVSLKIANYYGDQFPSWFSNSHLTVLQRLTLDLDDCLPSLDLPPLGQMMNLKFLKITASNLLPDANNRQLRGEPRNGVAFPRLEQLVLGKMESLAPWSVLQEDDLPLLRVFHLDGCSQLNSIPSWLQSCGKLTSMKIKNIDTLQEIASLPSLKELEVHNSGRLQTVLNIRRLEDLTISDCPVLATVDGVPLLCSVHIKEQSAQLPQWLQQKSFVLRRLDIIGTEELLDRCSSPIAQYGSIIQATAEHVYAKLVDGSLYFSYNKSTGSFQRSRRCIERLTVDGLHNNAVPPYNWRAWMVYTLYAILVIASCFLFNGFPRXVDKTSQHPQ >ORGLA09G0036200.1 pep chromosome:AGI1.1:9:5556870:5557277:1 gene:ORGLA09G0036200 transcript:ORGLA09G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHLVGAAATLLLPCAHRPTAHVVSTVTVTPLDLALDGRLCHRLRSHNHPSSLPTPPPRAGTSSTPPGAGLLLDLGFGGLISTSSLALVPRPLQLGGQKSPIGPYLQLEFVSMPLGWRRRGGRAAIAAVARFMF >ORGLA09G0036100.1 pep chromosome:AGI1.1:9:5548166:5553494:-1 gene:ORGLA09G0036100 transcript:ORGLA09G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRAPLLVGPRAAADGNPAPPGESSKGPGLDGEFRRLVDIADEGVKLVNDAELRLKKRACDNPKDLAAWLRRLQSAKEDLDDALDEFRASMAAQRRRPELEDRKKSIRHWFSHSSANHEVDKKMKITTEKLNKKFHGILQNGRELGLQPIKLQRQSRISEFPGDLSPQYTLVGDIEQEKLKLINKLTGSESTSAVIAIFGLGGIGKTMLARKVHDDLLTESAFSTVVWVNGSKSFTKKKLLRAILSSSGGKPGEAKKKSNEQIEDMLVTILGAKKFLLVLDDVWADQIHQDFLKVSLQAQQGSRILLTTQDEGVLRQIASDDIHKVNKLSFPDCWSLLCSSACLDEQDCDALTDIGITIIQKCNKVPLAIKVLGGLLGTKNPRREEWQEVISESEGWTLENVPDGMEEICLPIYLAYYSLPYHLKLCFDYCLQLPEGFVIRPQIVTQLWIAEGFIREQDNRNPEDIAEQYYKELVLRNLLQPEIGCFDMSKCTVHDCVKSLLQPSTKDKKSTDSTEGTKFFRSFRTAFVYKNPSGDRGLNWLINLRSFINLRSLDLTGTCIRYIPKSLEHLHHLRLLNLSLTQVLELPESIESLSNLQFLILRCCYWLETLPEEISNLVSLRSLDLEGTTPHIVLSRLSALEQLTALHGFIVDHNAAVPDNDHQNGWPMKELSPLNSLRSLQIMGIDRVPDESRAQEANLASKSHLTHLELCGSSTSDSQVFVPEEEQDRWLSVLCGLQPPQCLEYLKIASYYGSSLPDWILQLRNLQRLVLTDCKLCDSLPALGQLQQLKFLTINGCPKLRIIEWRTGATTKLVFPKLEQLDLSDMQALESLDRFKHGDLLSLTKFHLENSPKLRSLPSGLGYCKVLTSMKIVGADSLQVIDNLPMLKELVVQDCRELVKISNLPVLQVLVVVDCSMLQDLRGVGDLRHVRLVDRVTKELPDWLTGHEAPLLQTFTIVGTTELLRKLVPNTKGWSAIRNMDRVYANLPDGAPFLAYNKGKPDFQMIKTIVSPQLEDPSADVILGKLVRMASQTGLADTVKRYFLPPLAIALVFLLLVTRDFTLIGVFLAFFAACVAGFSVIYIQKTSS >ORGLA09G0036000.1 pep chromosome:AGI1.1:9:5531990:5532946:-1 gene:ORGLA09G0036000 transcript:ORGLA09G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPSSRKVRVFCSDPDATDSSDEDDQNKKERRFSREILIPMESSKASKPVKTLVQCGTKTVKDSEKEPTSKYRGVRRRAWGKWAAEIRDPVRKSRKWIGTFNSEEEAAAAYLAQSNQFHEELMALKIQSSVSEQEDLSSSVTISCVSSSQSCDQKIQAKPQEHKRVSVVVNRETVEQKFKAQPQAQKIKAQPEVQKRVSVKISHETEDEHLLNLPSMPKGKEISMGAVLGRIDEIPVSNCVGHIDEFPPDDFTRLADAFPVSDFIGMADVPLGDDYIGLADISHLPLPITDLKFDLDAELNWDGFNFASLEQELNCL >ORGLA09G0035900.1 pep chromosome:AGI1.1:9:5530700:5531173:1 gene:ORGLA09G0035900 transcript:ORGLA09G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKRSPPLPPLSSVAAVVLLVLLLLFTFMATEANAQVFCRSQFNLANEACSLRTFSGPNPAVPLRQLNESAVAVMAEHREREHGHGGGGGGGGRLRSHRADPYDTACCRRLMGIDNACICQAMSYLPVFMSRVKHAIKLTPVPGCDVSFECAAAY >ORGLA09G0035800.1 pep chromosome:AGI1.1:9:5526098:5526784:1 gene:ORGLA09G0035800 transcript:ORGLA09G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETAAAAMLEAGVGRFRGPSVAALLAEMWAPLAVALAALATLPSLLRRLQVLILRLRSRGKEVISSHISTYYSSGDDSDSDGTDEDEEEEDDEESSSGEEEKGRRRERRIGYYEGVADDDEDGCFPWGGAVVRTWQDLPRRISGGARLLAPGTSSAAAVRLWDSITASGGGGAWWDADEGGRAPAAEAPPVVLGWRRDHPHPHADEHAARRRRRRAGLLAAVATSPK >ORGLA09G0035700.1 pep chromosome:AGI1.1:9:5517172:5517558:-1 gene:ORGLA09G0035700 transcript:ORGLA09G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARPLTDAVEASSQGTFRPDREKDELSLALQTLEHLGRTRGKGVIPWKIGFKEDIHTYMSQMRSKRDTEVKIADLKYRVSSYELSMQEEVARKVDERMAAHRSHDPQPYITPAMVSPSGNRSSSASTG >ORGLA09G0035600.1 pep chromosome:AGI1.1:9:5487659:5487961:-1 gene:ORGLA09G0035600 transcript:ORGLA09G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRIRRDDGSGDNPAREGAASADPTWHGEARGRCIRPPFRAPRTRIRLRWCVSGVDAGGSTADPVTGRLRATAEAAVDRAAAPRVRTPKDMEEQRRTPP >ORGLA09G0035500.1 pep chromosome:AGI1.1:9:5448112:5451935:1 gene:ORGLA09G0035500 transcript:ORGLA09G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDQGLMAFRRIRGDEQEEDRDGGGWPEAKRKRQTVPSFISVIRGAMAAEKIQKLGLDLEPFFRKAVQEELERSLSKHGHLLYRSPPMLVNSVDSSLKLAFAKRLQLPIFTNNKLVDVDNNPLQVHLLHMSSTTTSHHHLPMIKKLEVLVLDGDFSHGDEGWSSDEFSGAIVREREGRRPLLVGTLNVAMADDHLGVAFIDDVAFTDNSSWTRSRRFRIGVRAVAVAGSGDGGGLRIREAVSESFMVKDHRGESYQKHFPPRPDDEVWRLKNIRKDGPIHKRLESERVRNVQGFLNLHATNPEKLRKLVVMSDRLWKATLHHAKTCDFGAAEMMQVKQSSIEAYQNWDQLDEAETNKTASGNLGQAHEGSLQADEIGTVSGPHFQSRNQMDSEDSLSAAAVTEDADDALWSPCMTSDGHGLIMWKNNTSVWDQMN >ORGLA09G0035400.1 pep chromosome:AGI1.1:9:5441046:5443684:-1 gene:ORGLA09G0035400 transcript:ORGLA09G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIM zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT1G60560) TAIR;Acc:AT1G60560] MGTEAEAAEVVESVSDLAVQDPPGEEFSAADLRWVKYASSEHQRDDVALIPYERMDAFIAGECSNPECPTRFHIERGRKRDRGTLREVRSDDYLLYRMYWCSFGPENYGEGGTILPSRKYRLNTRNRAARPQSMRGCTCHFAIKRLYARPSLVLIIYHERRHINKSGFICHGPLDRDAIGPGARRVPYVGSEIQQQTMSLIYLGVPEENILQTHMEGIHRYCGSDAKVDSLASQYVQKLGMIIKRSTHELDLDDQASIRMWVDRNKKSVFYYQDSTDTDAFVLGIQTEWQLQQMIRFGHQDLLASHSSFGVSKLKYPLHTLLVFDSRQHALPVAWIITRSVTKQDTLRWMKALTERIYSVDSTWRIGGFVIDDPASELDPIRDVFSCPILFSLWHIRRTWLKNIIKKCSNSEVQREMFMQLGKVMYSIWSEKNPMDALEQLFQDFVDQTTFVQYFKSFWVPKLEMWIDTIRSLPLASQESSGTIEGYHLKLKVKAYDDSQLDALQRVDWLVHKLTTELHSSYWLNLYADESGSFPEVKAEYIASTSWHRALQIPDDAVIFDDKEPFSAKVTSQKDTSQMWTVWNPGSEFSLCDCSWSMQGNLCKHIIKVNMMCGPRKDFQPSLSFQSFQHVLLDLWQKPMDDSFSLDLSVAWVMQMQERIQKVTELATADGIAEVSNRLPIQWTNKKGRKVAAKRTSPLRVLPHSNGIVQKDFTPKKNRKRKRLSTVSG >ORGLA09G0035300.1 pep chromosome:AGI1.1:9:5434625:5435092:-1 gene:ORGLA09G0035300 transcript:ORGLA09G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSRLSWLWRAPARALGRARDMYVRGMTGCARCVPADAAFGYPVFVPSSAAASMRSNSFGSDSRFGGGADDDLRELIRAASQRRAAEQEREARAVARSQSMASGISMARIDEDAPCEEFGGAGVMHFPRSQSCVGGVGGRIAHCHRKVAALA >ORGLA09G0035200.1 pep chromosome:AGI1.1:9:5403815:5407961:-1 gene:ORGLA09G0035200 transcript:ORGLA09G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELREIFWEMAGYMSRGPPNGSVYVCNLPPGTDETMLADYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSTIQVHIAESKNKDTYDNSASLNNSAGLGGQDELDNGAGRGRGHGDGPGKAWQQDGDWLCPNTSCGNVNFAFRGVCNRCGAARPAGVSGSSAGGGGRGRGRGNDDAKGGSRAAAVGGPPGLFGPNDWSCPMCGNINWAKRMKCNICNTTKPGHNEGGVRGGRGGGYKELDEEELEEVKRRRKEAEEDDGEMYDEFGNLKKKFRAKTQQTENAPTLPGSGRAGWEVEQRSTGREGRERSRDRGREHDYDERDSRNRDRGSHGRERRRSRSRSRDREKERGRNRGRDHSYERSWERGAERDRDRYG >ORGLA09G0035100.1 pep chromosome:AGI1.1:9:5389125:5393061:-1 gene:ORGLA09G0035100 transcript:ORGLA09G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPLLSPLSPSPHLLHPLPEHAEVSTFSPPLSPCPSPASSYKERIIFGAHPPPPPPPPPPPPPPRGRRYYRRVSGDDLDVPSCSSSPSPPSDEENPPPNPPSLFDFIGGRTNLHRSRTAPAMAPLNAAAIAAAAASGDSRNPPPPPRRPAIVLHAFLFLLAYLAMGVTFYAALPGNFTSSAGPTHPVADALYFCIVTLCTIGYGDITPATPAAKLFSISFVLIGFGFVDILLSGMVSYVLDLQEHLLITALKNPRSVRKHRHNYIFDLKKGRMRVRMKVALALTVVAICVGVGAAVLKRVENLGWLDAVYLAVMSVTTVGYGDHAFQTLAGRLFASAWLLVSTLAVARAFLYLAEMRIDKRHRAMANWVLSRDMTVSEFLAADIDNNGYVTKSEFVVYKLKEMGKISEKDIMMICDQFQRMDSGNCGKITLSDLLESHQLVTDLNEKKKGKKS >ORGLA09G0035000.1 pep chromosome:AGI1.1:9:5387039:5387836:1 gene:ORGLA09G0035000 transcript:ORGLA09G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Chromosome transmission fidelity protein 8 (InterPro:IPR018607); Has 127 Blast hits to 127 proteins in 63 species: Archae - 0; Bacteria - 0; Metazoa - 70; Fungi - 17; Plants - 31; Viruses - 0; Other Eukaryotes - 9 (source /.../ BLink). [Source:Projected from Arabidopsis thaliana (AT5G52220) TAIR;Acc:AT5G52220] MQIRVRCGCGEAGCPEWAIVEAAFTFTVGYHELAGTKVALKKPLLVLRKKKTTAVAAETELEVIGVIRHKILFKDRPKALISKPQVKEKKTLPLPAPAAAPPPQSS >ORGLA09G0034900.1 pep chromosome:AGI1.1:9:5383675:5385723:1 gene:ORGLA09G0034900 transcript:ORGLA09G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGNNMGSDNGANNNSNLAARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVQGLTIYHVKSHLQKYRLAKYIPDSSADGNKAENKDPGDLLAGLEGSSGLQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLGGVKSETPAAGASVTLPSDQFPDSERTDPSTPAPTSESPTQGVPSNRDNGGQNEATKSPQRDDSLSRHEPLTPDSNCQPGSPTASPKHERAAKRQRGNGAEFSETDFALPHSIFESSSGSEFQQCSMSYSGH >ORGLA09G0034800.1 pep chromosome:AGI1.1:9:5371615:5377192:1 gene:ORGLA09G0034800 transcript:ORGLA09G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSRLGXSTTGRTAARRGVRRGEGPAWCXPPIPSPASGGRPTSTSASSTPSPSSAAPRKQHLKTILRTMGVKGLTLFHLKSHLQKYRLGKQSGKEASEQSKDASYLLDAQGGMSVSPRVSTQDVKENQEVKEALRAQMEMQRRLHEQVEVQKHVQIRMEAYQKYIDTLLEKACKIVSEQLASSGFSISDNDLPELSGGVMCGSADTLSSSIFHQLSVSPINLHSPEGKPTPSGIEGQMILQKSPELKRKSC >ORGLA09G0034700.1 pep chromosome:AGI1.1:9:5350121:5356126:-1 gene:ORGLA09G0034700 transcript:ORGLA09G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGRGGGGGGGRGRFGGGGGSRFSAARDDPPPRRSSSGWGVAPPSRHLWVGSLSPGVAAADLSELFLRCGDVEGISRDPGRSFAFVTFAREEDAVAAVRELQGIHLRGAPIRIEFSKGDKGSSSSMDDRYSQHADQRRFTERGRNQQSSPEKSTDKSKRSRPAEPSEVLWIGFPVGLKVDEATLWEAFSPFGEVVKITTFPGRTYAFVQYTTIAAACRAKETLQGNLFNNPRVSICFSRSDSVSAEFGKGSLDAPYSPHLNSSVRPIFREQDFEDFPRARPFDSPPRDMYMPSPHYGPKRLSRDHDDVGFSRDNYLRYGPGVEPDPRSNFEPFRIRGLGPERRMSEDPYEQHRRSPAGDAPWHNIPFERSQGALPLEDSRYAREDPYPFSKKLRTGEAHDSELPEYPFSEFDRGKVGSAYPRRPFYGVPDDDIHPRGYQLAPMHGRNHVDPLRNPTPLVDRHIPGHAQDSFSRHVEVERSTPEYHEPLLKEEWKWDGTIAKGGTPICRARCFPVGKVLNFMLPEFLDCTARTSLEMLSKHYYQAASSWVVFFVPENDADMAAYNEFMNYLGDKQRAAVCKLGERSSLFLVPPSDFSEQVLRVPGKVSISGVILKFEQSDPEVSSPTRKPETFVSHLNHDVRAHEDLDALRRINPPDIRPLPQGSDYLGLSPGSYNPASAHLVPPYKFGNAPSYLGSELAHQKHPPDSHREIAHDKQQQHPDVLPSRWSDNIYNPSPGSGNLNYLAESAIPHTSTDRTPEAYSFAPQGVPKVSTSGYAPVADEASNMSYPPMQPASQQVVRPQQPPSLPLSLPPEQLAQLATLLAQQNQPGKEPVESLNKESGFIRNPHGHSSMMPHSSGSIPVQNSLPPAPPSASQLQVHAPPVQGSVPPNPSIMHTPNAPMPSHNTLPLPPMHPSGNPAHSSMPLRSFVPPLPEGPPPLRQHTSSALQAQPALPSGPQTSQQPSAQEDHHGDPQKRLQATLQLAATLLQQIQQQSKPGGQK >ORGLA09G0034600.1 pep chromosome:AGI1.1:9:5332525:5337064:-1 gene:ORGLA09G0034600 transcript:ORGLA09G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRCAGGGEGMLARLRRAAARRIGLSCASFFSHAATSPSPPPKTISCSALNAPADSTDEDQEKLEEPTSTRMADKNLCAICLEPLSTGSVDIDNGDRPAIFTSQCSHSFHFLCIASNIRHGNVTCPICRAQWSQLPRDLKVPPLLQNNQSDPILRILDDNIATSRFNRRSSIRAARYNDDDPVEPYTLTEHVDPCLRFALIPSPVAAHHHALGHYPCGRVMPLQQHCQYSSSSMLSPPQIASPSGQRRAYLSVSLAPQPAMDLVLVASPNGPHLRLLKQAMALAVFSMRAIDRLAIVTNATTATRAFPLRRMSSHGKRMALQVIEHLCCVGGTDPVGALQKGLKILEDRAHQNPSSCILHLSDHPIRSCFGVDMNRFNIPVHQFHVGLGFGVQNGFVMHEFEELLARLLGGVISDTQLRIGEHGGVVRLGELRGGEERRIPLDLVSDCGFILVGYSYLEGGREDQFRTGEVAVGFEEKGNNRYCGVRDAGGLSIGGERRSSCCAERWDYLDPFMARRWAKHFNVYRA >ORGLA09G0034500.1 pep chromosome:AGI1.1:9:5325957:5331517:1 gene:ORGLA09G0034500 transcript:ORGLA09G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEDDRRRGGGGGSSTPGMNLKNLVSREYFGHKKKVHSVAWNCLGTKLASGSIDHTARVWSIDPHGHSKVKDIELKGHTDCVDQLCWDPKHPDTVATAAADKSIRLWDARSGKCQVVELSGENINITYKHGGTQIAVGNKEDELTIVDVRKLKALHKFKFNYEINEIAWNKTGDLFFITTGLGNVEVFGDPSLDDTLHVVGKLNAHTAGCYCIGMDPLDRYFAVGSADSLVSLWDVKELLCIKTFTKLEWPVRTVSFNHTGEFLAYASEDPFIDIANVQTGRSIHQIPCKAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFRIFGFEST >ORGLA09G0034400.1 pep chromosome:AGI1.1:9:5298998:5302723:-1 gene:ORGLA09G0034400 transcript:ORGLA09G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QMR5] MAMMAMGAASWAPIPAPARAAAAFYPGRDLAAARRRRGAAAAVAAVAARRPFVFTPRAVSDSRSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGNNISGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYQKFIQAHRETDADITVAALPMDEQRATAFGLMKIDDEGRIIEFAEKPKGEKLKSMMVDTTILGLDTERAKELPYIASMGIYVFSKDVMLKLLHENFPAANDFGSEVIPGATEIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKVLDADVTDSVIGEGCVIRHCTINHSVVGLRSCISEGAVIEDSLLMGADYYETEMDKKALSETGGIPIGIGKNAHIRKAIIDKNARIGENVKIINVDNIQEASRETDGYFIKSGIVTVIKDALIPSGTVI >ORGLA09G0034300.1 pep chromosome:AGI1.1:9:5294272:5297564:1 gene:ORGLA09G0034300 transcript:ORGLA09G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGGTEGLFANQTLEITDDALSAYRNQGGFDFLGRTVDQIHTTEQVNAAMSTCCDLDLDGLVIIGGVTSNSDAAQLAETFANHNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAISAGKYYHFVRVMGWKASHVALECALQSQPNMVILGEEVAFSKLTLKEIISKICDGVQARAAQEKYHGVLLISEGLIESIPEMFALIQEINILHSNKVPENNIPSQLSPWATALYNYLPPFIRREIDTEQLLAHLVEAEMNKRMKEGKYIGRKFSSVCHFFGYQARGSLPSNFDCDYAYAMMSVKRHSRSPGAVPTGKPVIHPSPVDLQGKAYALLREKASSFLLDDFYRTPGGIQFDGSGADVKPITLTVEDQDYLGDIKLLQDYLEKVRNIVKPGCSREILKAAISSMSSVKDVLKVMSAPFYAELPLFNLN >ORGLA09G0034200.1 pep chromosome:AGI1.1:9:5281897:5282296:1 gene:ORGLA09G0034200 transcript:ORGLA09G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVATTILVVSGISGEVVHGRDDDEAVTWIWANGDNVDSGKWVVQDLLLAPARAGAAAYGDLAIGLPLRGDQVPCLRMVIRDLAILKLPPSATTSPLWPLCSRTEKK >ORGLA09G0034100.1 pep chromosome:AGI1.1:9:5280316:5280933:-1 gene:ORGLA09G0034100 transcript:ORGLA09G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLAAATTTVPAIGVLILLLALAPSPATATVPARRSAVSYYASVENRLPAAAGMELVCRALGPGFDVYPELSVVPRGRVPRGGARVAEVLIEPGPERVAWVLCSWGYEGNYLANLKLFDTEWPEAAACQDPAASGGELCRLVFEDDAVSVVAPGGERRVVGDLPVKRCRRHWLLFSTGCTYPDHPNPYAGRLLRNALEFFAV >ORGLA09G0034000.1 pep chromosome:AGI1.1:9:5278689:5279286:-1 gene:ORGLA09G0034000 transcript:ORGLA09G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHARVALFAAALAAVLAASTAGFISNEAVGASSAASGGAGRSLLQAKKDCPVNFEEANYTVITSRCKGPMYPPALCCQALKDLACPFTAYINDAQTTCAASMFSYINLYGKYPPGLFANTCKEGANGLECPEDTPQMKPGEDKAASSAATIVAAVARPVLAAVSAFLMLIVS >ORGLA09G0033900.1 pep chromosome:AGI1.1:9:5270904:5273926:-1 gene:ORGLA09G0033900 transcript:ORGLA09G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAAVGLLRPCGATTAAAPLQLRNPSPRGFGVGVGQPLLPPRGLRLSAVAPRAGISARRIGLVPVSPEQEDERRRGARDVAVAATAAAAGEAGAEEGGGLAKTLQLGALFGLWYLFNIYFNIYNKQVLKVFPYPINITNVQFAVGTVIALFMWITGILKRPKISGAQLAAILPLAMVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSALFLGEMPTPFVVLSLVPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNITLFSIITVMSFFLLAPVTLLTEGVKVTPTVLQSAGLNLKQIYTRSLIAAFCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTGVALAGVFLYSQLKRLKPKPKTA >ORGLA09G0033800.1 pep chromosome:AGI1.1:9:5267335:5268906:1 gene:ORGLA09G0033800 transcript:ORGLA09G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGAMVAASGAGRPEYPGGLTMFVSMACLVAATGGLIFGYDIGVSGGVTSMDPFLSRFFPSVYRAQSAAAAAAGGNQYCRFDSQLLTMFTSSLYLAALASSLGAATVTRVAGRKWSMFAGGLVFLAGCALNGAAANVAMLIVGRVLLGVGIGFANQSVPVYLSEMAPARMRGMLNNGFQMMITTGVLAANLINYGTARIAGGWGWRLSLALAAVPAAVMTAGALFLPETPNSLLERGRRGEARRMLQRVRGEGVDVEDEYNDLVAAGEASHAVASPWRDILRRRNRPPLVMAVAIPLFQQLTGINVIMFYAPVLFRTLGFGGGASLMSAVITGGVNMAATLVSVLAVDRVGRRALFLEGGAQMVASQAAVGALIGARLGWSGTAAIPAGYAAAVVAAMCVYVAAFAWSWGPLAWLVPSEVMPLEVRPAGQSITVAVNMAMTFAVAQAFLPLLCRLRFVLFVFFAGWVAAMTAFVALFVPETKGVPIEDMAAVWSDHWYWKRFVDGDGDGARRRGDIEMGHK >ORGLA09G0033700.1 pep chromosome:AGI1.1:9:5260459:5263057:-1 gene:ORGLA09G0033700 transcript:ORGLA09G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSSGAGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMSCVNHETGAVDSRKFGVLANWQREYTMETILTQLKKEMATPQNRKLVQPPEGTFF >ORGLA09G0033600.1 pep chromosome:AGI1.1:9:5253883:5259388:1 gene:ORGLA09G0033600 transcript:ORGLA09G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase [Source:UniProtKB/TrEMBL;Acc:I1QMQ7] MECVRSGSGVLDPRCSPRFLGKKGGSLTSCGKATSTNLAICTKHEQNLHGNVKPSQLAASGSSYSVHRSPVLKQRQNLSARSTSADVYTTFDENVRAVSSHAAEEKVGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKEGYASIGGGSPLRKITDEQANALKVALKKKNLNANIYVGMRYWYPFTEEAIDQIKKDKITKLVVLPLYPQYSISTSGSSIRVLQNIVMEDSYFAGLPISIIESWYQRDGYVKSMADLIEKELSIFSNPEEVMIFFSAHGVPLTYVTDAGDPYRDQMEDCIALIMGELKSRGILNSHTLAYQSRVGPVQWLKPYTDEVLVELGQQGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWGRVPALGCTSSFISDLADAVVEALPSASALVTKKVDESDSDMDLMHYLSKMFFGSILAFVLLLSPRLISAFRNTLL >ORGLA09G0033500.1 pep chromosome:AGI1.1:9:5246167:5250578:1 gene:ORGLA09G0033500 transcript:ORGLA09G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMTESWIEGSKNTSAPESVSCSVSRYESSPPRRLGKRNIYHLLAQREISPRTKHQAKNIWSGSPDCATGSIELAFWVTDARHDLFSWAESQSLHRWSARYCLLLPAPRSTIAAAFSPDGRTLASTHGDHTVKIIDCQTGKCLKVLLGHRRTPWVVRYHPLHPDIVASGSLDNEVCLWDAKTSRCIGSHFFYKQIASIAFHAKGELLAVASGHKLFIWDYNKRDEASDPPMILRTRRSLRAVQFHPNGAPYLLTAEVNNLDSADSELTHATSSGYSNSPSAVFFAIMNSACCPYSESRFSSPCLIWPAYVRDDGSICLLRNDWVSGSSDVQQPSDSETQQAGHMVTPMDVCPGEPGVNNYDDEVSASLSNRIEMHTPSWQNSSRFHNSSAATDLHRIDIRQVSDLSSDTPNPEMPAHSRIDVPNSMPMDLFASSNTIDVQMFLRDVEAGHHHNNYTGGSHSWELPFLQGWLMAQNRTGLRATLPNNEVIGDLPISGTAGTDNVMNESSNMYSFERVGPSSSIPITMDSLRVLSKHRHMLASVPGGAGTSLQGAQNGEAHVNVVSLGVGSEFATSLFAGDGAELPCTVKLRIWRHNIDNPCAVLAPEACCLTISHAVLCSEMGTHFSPCGRFLVACVACLLPQTEVGEHVSQSPVQYDSTGAGTSPTHHPLPSRRVIYELRVYSLEEETFGTVLVSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSLLRGIFMDGKTTIPVYTVLEVYRVSDMELVRVIPSAEDEVNVACFHPSPGAGLVYGTKEGKLRIIQHNVADY >ORGLA09G0033400.1 pep chromosome:AGI1.1:9:5240159:5242901:1 gene:ORGLA09G0033400 transcript:ORGLA09G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding family protein [Source:Projected from Arabidopsis thaliana (AT1G76570) TAIR;Acc:AT1G76570] MPPPLLLRLRSPAPPAAVAAGSLSRRRRRRRAGLAPVRASWQELAGVLVFSAVPFTAVKALANSPLGARLRRRLDDRKAAAAAEADALRSAARQARTASSWYGDERPRWLGPVPYEYPAHLTGEYPGDYGFDIAGLGRDPVAFANYFNFEILHCRWAMLAALGVVVPELLDLFGVVHFVEPVWWKVGYAKLHGDTLDYLGIPGFRIAGGQGVIVIAICQALLMVGPEYARYCGIEALEPLGLYLPGDINYPGGALFDPLGLSKDPVAFEDLKVKEIKNGRLAMVAWLGFYIQAAVTGKGPIQNLVEHLSDPLHNNILSSFV >ORGLA09G0033300.1 pep chromosome:AGI1.1:9:5234992:5236455:1 gene:ORGLA09G0033300 transcript:ORGLA09G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10630) TAIR;Acc:AT3G10630] MPGSPIQTPPPEPKPHRRRRLLSVPALLAVVVAVLLATSPNPLPRLLRSLLGPNPSLLRSKERGPPSGTSPDARRPPCVLWMAPFASGGGYCSEAWSYVASLEEHAADAAAVNFTLAIAHHGDLESPEFWLGLPEESKNMAYRLATARCELFRAVVVCHSEPGAWYPPMYESLPCPPTGYDEPAFVIGRTMFETDRVSPEHVRRCNQMDAVWVPTEFHVSTFVKSGVDPSKVVKVVQAVDVGFFDPAKHAAIPLPIGVPVMVPDDSRLDPVNSKGKGFVFLSVFKWEQRKGWDVLLRAFLQEFSGADDVVLYLLISAYHSDTDFDRKIRSFVKDSSIEKPMDGWAEVRLIDEHIPQSALPRLYKAADAFVLPSRGEGWGRPVVEAMSMELPVIVTNWSGPTEYLNEENGYPLDIDRLTEVTEGPFKGHLCAEPSVDRLRTLMRHVFSDREEARRKGKEARENMVERFSPAIVATIVADKIQQALAST >ORGLA09G0033200.1 pep chromosome:AGI1.1:9:5226002:5226601:1 gene:ORGLA09G0033200 transcript:ORGLA09G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGRRSAHVDAAVIAAALLLAAATTAPAAVEGAVSSWESNSDEDYHIFVDNRMSDNMHLSCYAVQGGGRSEFYHSFRADPGREVQLPYLQPAPNARLVCKWACAGNYLKGVTLFSSSWREATSGECRRRGGGCNVVFDGHEMFVDGRSGGGGRRLLGDLPQHECQKMLLVFNRRCWFKSHRHPYVGRAMNGLTDYLMA >ORGLA09G0033100.1 pep chromosome:AGI1.1:9:5220227:5224090:1 gene:ORGLA09G0033100 transcript:ORGLA09G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) TAIR;Acc:AT2G31340] MAAASAGAAGRRRAWRVIPRPVLETVLHNHALRPRVPQPLVLHGPRGVGKSTLLLRRLLPRWSEPPHAAAFVDFLRPGSDAPWSLLLPAAEGAAPSLADLRLRLESALEGLARDAVLRGAVGSKDVLAALSRSHGLHTALTRLAGPAARRGGRGGGGYPVPTLWARAVLAASSSAHGDDSTFCIGEGEATNCSMEEKAYMQEAMAALRVAKEVLGMQEGWRKEAVREMNRTGRYSRPLANSATDWPCLLLDVLSGAAEVDFFQPKLVLNNVDVLRKATCEDDTMVPAAMYHDSLIWRVIALGANEQCLPVIMSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVPEFFSEKEWKVVDEVLGTNPRQLSEIYMLKQNADSTGVLHDQNIEEIIDIYLAHLQVSVVNPAMEAALGMVQKFASDVREGKVPENRLSFGAPWRHPPQGDNPDASYKWAKIQLMDFVQSFVNTEFGVNYLADDSLEIFDDPAVLAMSEVGLLYQQRDPSFMRPITRGIQRCLARWLVQQRLQLSFQESIAFLWQRAIRGRSYRHLMKEVGYK >ORGLA09G0033000.1 pep chromosome:AGI1.1:9:5217291:5217827:-1 gene:ORGLA09G0033000 transcript:ORGLA09G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHTGVGGGVRSWRRPEKAGEGVAPGASKRGRWRPFVLGGAMPCSDGRSSARPLQQGRCPVAAGRVACSRHARTAASRAGGHEARAVSPIRGGRGGARSRREEQRSVAVVLGTPTRRGSSRAHPVRGRGVELVFRPPWTISSRASSPTHSTAFEVQNPLNSPKIHAVWWEIGVIFPSSG >ORGLA09G0032900.1 pep chromosome:AGI1.1:9:5181830:5184848:-1 gene:ORGLA09G0032900 transcript:ORGLA09G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEGSSSRRWALGDPDVVEVSPEAAAAARWSSARLKRKRTTVAHEIIDLDANDDPDGVMIICEKASSHKSNHSVSHPSDWPKHPKSGLAEDVPGPSHQPGTSTVLPWGCTTPGRPRKHKEIKTVDNKIDEKYKAFKQFDTVTDHSDHYYSMSGKGNVPEVKKPSKGWVRRIQHEWKVLEKDLPGTIFVRVYEDRMELLRAVIVGPAGTPYHDGLFFFDVYFPSQYPKKPPLVNYRSGGLRLNPNLYDSGKVCLSLLNTWSGHGCEKWNPSNSTMLQVLVSIQALVLNAKPYFNEPGYAASANTPQGEKMSMAYNESTFLLSCRTMLYSLRNPPKHFEDFARVHFCKYGRNILVGCKAYMNGAQVGCLAGNGVHDVDEGDKSCSANFKGSLKTLFDELIKEFTRIGVHCHEFQPQRVNPGSTRAKADTTLRL >ORGLA09G0032800.1 pep chromosome:AGI1.1:9:5179975:5180675:-1 gene:ORGLA09G0032800 transcript:ORGLA09G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTCQLLLPSSPSILSLLHRPLFFINRDKQAGDDDVEMAEGRNNKVADGGGFGMVLLEMLTGMRALDTGRPALQHSLVEWAKPYLADRRKLAWLVDPRLEGQYPSRATQLTLRCLSGDPRSRPSMAEVVAQRPPVLPRRHLLQPTSSSLSRRPLQPASSSLHRPGAGER >ORGLA09G0032700.1 pep chromosome:AGI1.1:9:5158731:5172950:-1 gene:ORGLA09G0032700 transcript:ORGLA09G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAVASPLPPAAAVRRRPRASASGREVISQCWKCEINQDQPLGNSLRIGHSQGSLQRHGSRNLLAAAAAISIEQAEVSTYLPKGDMWSVHKFGGTCMGTPQRIQNVADIVLGDSSERKLIIVSAMSKVTDMMFNLVHKAQSRDNSYVTALDEVFNKHMAAAKDLLDGEDLARFLAQLHSDISNLRAMLRAIFIAGHATESFSDFVVGHGELWSAQMLSYAIKKSGVPCSWMDTREVLVVKPSGSNQVDPDYLESEKRLQKWFSRQPAEIIIATGFIASTAENIPTTLKRDGSDFSASIIGSLVRARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIRNMFNLSAPGTTICKQPANENADLDACVKSFATIDKLALVNVEGTGMAGVPGTASAIFSAAKDVGANVIMISQASSEHSVCFAVPEKEVAAVSTALHVRFREALAAGRLSKVEVIRGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAVHSRFFLSKTTLAVGIIGPGLIGGTLLDQLKDQAAVLKENMNIDLRVIGISGSRTMHLSDIGVDLNQWKELLRKEAEPADLDSFVRHLSENHVFPNKVLVDCTADTYVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSNVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLRLELSDIPVKSLVPEALRSCSSADEFMQKLPSFDQDWDRQRDEAEAAGEVLRYVGVVDVANRKGRVELQRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFCDILRLASYLGAPS >ORGLA09G0032600.1 pep chromosome:AGI1.1:9:5154360:5157459:1 gene:ORGLA09G0032600 transcript:ORGLA09G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1QMP7] MAFWGVEVKAGKPYTHRHDPSHGRLRICQATLGSCDSATRTIVQCNVGSKTPIILCSLNPKLAEMCHLEVELEEDDEVVFSVLGQSSIHLSGYYIRSSGRSNAGDDESESYGEDVGESDTDEEFNASDDSYESDFIDDGDVEVSEDKSRSDSVDDGDACSTPDHHKKKDKVQKRRRLKKKHPADSSDDNNDDSSHRPVVRRKAYSMFDSCSEDEDNMSVPVSLAKKENTKDVDETKYPNSELNDDTTKKSNGAKKRKGDAISQDHAPLMDLTNADEPLVSKEGRTKKKSMKKGGKQLEVGDGKHSNKIRTLEDGLIVEDLSTGNLDAEMASNGSKVSIKYVGTLQDGKIVESNVGEKPYKFKLGAGKVIRGWDVGICGMRVGDKRKLTVPPAMCYGSKAIGEVPKNSSIIYEIELVKVRSKSTS >ORGLA09G0032500.1 pep chromosome:AGI1.1:9:5135832:5138163:1 gene:ORGLA09G0032500 transcript:ORGLA09G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDTHVVVAATIARETLDNEVDNDHGHSCNVNGFPRKPDSNKGLPSEEEDDDEGKGDNRSDRIAALVPNHALGLLLDDDHDWPLLHHDPRHLVCMVLHYVLHYISYPECQTEKTNDGLDDYDDVEHMLLILSNAYPIEVGEAPKVLTAASLQYFLVQVMN >ORGLA09G0032400.1 pep chromosome:AGI1.1:9:5114502:5118146:-1 gene:ORGLA09G0032400 transcript:ORGLA09G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPFMAAAAFLTLVVVLFRAPAPAIAVGEEAAALLAFRRASVADDPDGALASWVLGAGGANSTAPCSWDGVSCAPPPDGRVVAVDLSGMSLAGELRLDALLALPALQRLNLRGNAFYGNLSHAAPSPPCALVEVDISSNALNGTLPPSFLAPCGVLRSVNLSRNGLAGGGFPFAPSLRSLDLSRNRLADAGLLNYSFAGCHGVGYLNLSANLFAGRLPELAACSAVTTLDVSWNHMSGALPPGLVATAPANLTYLNIAGNNFTGDVSGYDFGGCANLTVLDWSYNGLSSTRLPPGLINCRRLETLEMSGNKLLSGALPTFLVGFSSLRRLGLAGNEFTGAIPVELGQLCGRIVELDLSSNRLVGALPASFAKCKSLEVLDLGGNQLAGDFVASVVSTIASLRELRLSFNNITGVNPLPVLAAGCPLLEVIDLGSNELDGEIMPDLCSSLPSLRKLLLPNNYLNGTVPPSLGDCANLESIDLSFNLLVGKIPTEIIRLPKIVDLVMWANGLSGEIPDVLCSNGTTLETLVISYNNFTGSIPRSITKCVNLIWVSLSGNRLTGSVPGGFGKLQKLAILQLNKNLLSGHVPAELGSCNNLIWLDLNSNSFTGTIPPQLAGQAGLVPGGIVSGKQFAFLRNEAGNICPGAGVLFEFFGIRPERLAEFPAVHLCPSTRIYTGTTVYTFTNNGSMIFLDLSYNGLIGAIPGSLGNMMYLQVLNLGHNELNGTIPDAFQNLKSIGALDLSNNQLSGGIPPGLGGLNFLADFDVSNNNLTGPIPSSGQLTTFPPSRYDNNNGLCGIPLPPCGHNPPWGGRPRGSPDGKRKVIGASILVGVALSVLILLLLLVTLCKLRMNQKTEEVRTGYVESLPTSGTSSWKLSGVREPLSINVATFEKPLRKLTFAHLLEATNGFSAETLIGSGGFGEVYKAKLKDGSVVAIKKLIHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKHGSLDVVLHDKAKASVKLDWSARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDNNLDARVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPTEFGDNNLVGWVKQMVKENRSSEIFDPTLTDRKSGEAELYQYLKIACECLDDRPNRRPTMIQVMAMFKELQLDSDSDILDGFSINSSTIDESGEKSM >ORGLA09G0032300.1 pep chromosome:AGI1.1:9:5108311:5109839:1 gene:ORGLA09G0032300 transcript:ORGLA09G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELMDLRKDPPTSCSAGPAGEDLFHWQATIMGPSDSPYAGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHVYKSQRPRYEETARAWTQKYAMG >ORGLA09G0032200.1 pep chromosome:AGI1.1:9:5042425:5042646:1 gene:ORGLA09G0032200 transcript:ORGLA09G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTIEVLCACGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTLSMSLVRGFRLPTSGINRGGA >ORGLA09G0032100.1 pep chromosome:AGI1.1:9:5006037:5007221:1 gene:ORGLA09G0032100 transcript:ORGLA09G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLHGDVLESVVERVPAPDLAAAALVSREWLRAVRAALRRRMLRLPWLVVHVIHLRGQRRHAAAYDPRSGAWLAVPTAPPARHGATSPPQPHSHVRLMRGASGDRVCALSLSGLAVARDALGMDDDALIVALKAPGVWRVDPVLAAVGDRLVAMGGGCRLALGDGEDTSAVEVHERGGWTHCGAVPAALRESAAAAATWLSTAATDQRVYVADRATGTASWFDPAKQQWGPTSRLRPDAAVSTWGLAAGRAGAEKIILFGVKHADSRVVIRSWEVDGDSLSLSHGAAAAHDTMPSEMSERLFPHGDDGEEETSSPSIGVCGNTAGGYVYNAAVPATGAVLYELRRGGVEGGGVERWAWVACAPVVAEAEALGRVILACSPVGLHELADERLAH >ORGLA09G0032000.1 pep chromosome:AGI1.1:9:4968073:4968425:1 gene:ORGLA09G0032000 transcript:ORGLA09G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDFDQNNPIDCDLNNPADCEMMKMEKDKKNVLEKMSFTMHIHLSLLTIVTAIPATSPTPPMPTEKPSLALAMSSSMIHRLHVFQ >ORGLA09G0031900.1 pep chromosome:AGI1.1:9:4929345:4930103:-1 gene:ORGLA09G0031900 transcript:ORGLA09G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELETEVTDLTAQQLQLEEEHQAWGSEIDSLKAQLQVHSDQFQQLSDQYNDRRGMMDTLEEQLRESQEHVSQLEEQLRAATISTTGASTSTAVGRDRYFFLTPPYPPAFHALLGEASMLATESAPYLVDPTVTQPPAPEIVHTPLIPTPSPQLGSSLETPIQVDSKTEGTDTEPEIEPDITDPSENETPVPRITFLGGPRTLSTARKSTRPPGKRPKPDLEATTSEPWGLRFARASDHPLPAPGSCGWLDD >ORGLA09G0031800.1 pep chromosome:AGI1.1:9:4905071:4905502:1 gene:ORGLA09G0031800 transcript:ORGLA09G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRMSLVGAAMLALLYVVASFQGVSGAASGGPPSSGGARTPTSGGSPGGSGTRSLAASTSSARAESTPAGVRGSPAGAGGSPSGGSGSTPAGGGRSFAGGGSADDGDDGTGISSSGGRMLSGSYDVEHFFIYFMLVVLAAF >ORGLA09G0031700.1 pep chromosome:AGI1.1:9:4894791:4895294:1 gene:ORGLA09G0031700 transcript:ORGLA09G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGKMRLVGAAMLALLYMVASFQGASGAAAGGSPSSGGGRSSGGSSSSGSSSGGSGTRSPVGSASPSSGRNPATTGSPGGVRSPATARAGSPGGGTGTTPAGAGSPGGGRSPVGGGTIPAGAGNQGSGSWSGDYGSRSSDGGGRMLCRPYNIEHIAIFFMLVLAAF >ORGLA09G0031600.1 pep chromosome:AGI1.1:9:4842346:4842789:1 gene:ORGLA09G0031600 transcript:ORGLA09G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAQAQEIVEALELGEIESGQGLNQEMGLGRPGDTRWGSHYKTVQHILIMYRSIRKVLLQVEKDRSQSTEAIKAQTAFQSFVSFEIVFMAHLLNTILGYTDDLNTALQKRAQDIVNAVELIVXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA09G0031500.1 pep chromosome:AGI1.1:9:4782973:4783209:1 gene:ORGLA09G0031500 transcript:ORGLA09G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSHAIAILFIFLVASPPSPSDARMVPNDDAQHVPPTPAKGGAGRSRALWSAPSDGVGH >ORGLA09G0031400.1 pep chromosome:AGI1.1:9:4766009:4766456:-1 gene:ORGLA09G0031400 transcript:ORGLA09G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRSGLPSSTGGKGKGVAVVGANPWYSDDGSDGSKSSSESERTVTDDYFGIFVHPGHGDQEVDSRKANRHEADHSETDSERTGSAGLGKIANSAGNSHHFSDVDSGLSPEGKRQKSARFQPEFIKLK >ORGLA09G0031300.1 pep chromosome:AGI1.1:9:4722511:4725831:1 gene:ORGLA09G0031300 transcript:ORGLA09G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSSLQLRLLRFLVKRLGGIRLHGEENSHLRVGGIVEFALLIKLTVAKLELLLHLGRLGAWPFGVKDDYCGVRAMATIARVWLGLGLRPAIPPEASASTARCPCCFLLSMRDRREEEVPDPDEASALLPPPLPKEEKMLCQDHHELITAAASQLLGEKIGGNSSLGSHMKVGGTVEFALSIKSSTATPGGDAASRLKCNHFCVD >ORGLA09G0031200.1 pep chromosome:AGI1.1:9:4717417:4717971:-1 gene:ORGLA09G0031200 transcript:ORGLA09G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKASETRLTRRRRRRAVETPRRAERERRRASVGLHEGVRKEKGQANLTVHTEDKLLLQLQRRDAERAKTSSAAPPTGRVVVAPAVRPR >ORGLA09G0031100.1 pep chromosome:AGI1.1:9:4694414:4695699:-1 gene:ORGLA09G0031100 transcript:ORGLA09G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKPSVLPSSTGGKGKGVPVVGANPWHSDDGCDGSKSSSESERTVTDDYFGIFVHPGHGDQEVDSLKANRHEADHSETDSERTGSAGFGKIANSAGNSRHFSYVDSGLSPEEKKTEKXKVSTXGRLMTLTIVIYFQRILSGGSEMQTPGANSYGANSGHQRDADSGMYLSFSEKTQVQTNKDIPESWKVHPRKDEVDPDGWVVEIHLRNDQKTKDK >ORGLA09G0031000.1 pep chromosome:AGI1.1:9:4672918:4673259:1 gene:ORGLA09G0031000 transcript:ORGLA09G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSADNLVIYVATTQRGGGQQEWDKWNELDMRTSPISTTMAGEDRSTINFT >ORGLA09G0030900.1 pep chromosome:AGI1.1:9:4654933:4656539:1 gene:ORGLA09G0030900 transcript:ORGLA09G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHHELIAAAASLFLGEKIGGKFDSRVKKSEWRSTLYERGTRDLCAHVIAVRFSLPIYNSSKNIIRIREHVHMIPIYNEK >ORGLA09G0030800.1 pep chromosome:AGI1.1:9:4647149:4647589:-1 gene:ORGLA09G0030800 transcript:ORGLA09G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGPGPTSSGFXGPDNCDLFAALASNLDRRDADLPNLADKVHKLKRGMTMHAYNNAALLTTIRVDCWIMEQHLVDLIDTTVVSRRAAPEPGGSIALDGGGGEAXCLLVFVARAGIHDLFQRASSYFSKLGDGNDSHIFFLLQITRKM >ORGLA09G0030700.1 pep chromosome:AGI1.1:9:4644616:4645956:-1 gene:ORGLA09G0030700 transcript:ORGLA09G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSRRFLRIRHLLLPSRPSSSTSSSRGTLPGNRSHEEASGGRAGQSPSPSRTLAIAATARAQQESSFTAKGHAQGIQGEGATAAQRRQAKEKASPDLVSEAXQQEKAGGGIRALWSRADELRILEAMANHVNTHRSTLRDTCCLFAALASSLDKRDADLPDLADKVHKRKRCHWKGVFTVPPTASSSGSCCASGGTSVPLSSAARRAERERRRRASVGLREGVLKVKGRANLTVDAKDKLLLQLERRDAKRAKTSSAAPPTRRVVAALAVRPR >ORGLA09G0030600.1 pep chromosome:AGI1.1:9:4642228:4644112:-1 gene:ORGLA09G0030600 transcript:ORGLA09G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRGGALPLSAPRAAAGSLRGGGGRGAALLHPTCLSPPGTTGRALGLSCQMKRTRWKPVFALETGGPSNADNQDFEDDGGFLGRTRLGRLIQAAARELLEKLNSARNKSPTKIFLVLLGFYTANALATVLGQTGDWDVFVAAIVVATIEGIGMLMYRKPASRPPGRFWSMITMVNYWKAGVCLGFFVDAFKVGS >ORGLA09G0030500.1 pep chromosome:AGI1.1:9:4637742:4641095:-1 gene:ORGLA09G0030500 transcript:ORGLA09G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT3G52155) TAIR;Acc:AT3G52155] MRPPPAPLSGRASPPPHLLLLSNSSLAPSLPTVAAACRMPPAAAAAARSVSVSTAVDAPTAAAAEPARGDAAPAPAAPPRRRLILLRHGESAAGERLTRDHDRPLSKAGRAAAISVSNKLQQMGWIPELVLCSDATRTKETLKILQDHVKGLSEAIVHFIPSFYSIAAMDGQTAEHLQKAICQYSSDEILTVMCMGHNKGWEEAASMFSGDSVVLKTCNAALLEAEGKSWVEAFSLAGLGGWKLHGIVKP >ORGLA09G0030400.1 pep chromosome:AGI1.1:9:4621937:4622701:-1 gene:ORGLA09G0030400 transcript:ORGLA09G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGALIPNDYGDKPPPPPSESSEWDATTKMKKKKKRVGGGDDDWEAAFREFIAGDDDDDDGGVSMFPSGAGTMETTTEVAPAAAVVERPRRRRRVRRSYPYRGVRQRPWGRWASEIRDPVKGARVWLGTFDTAAEAARAYDAEARRIHGHKARTNFPPDEPPLPAPSQAPFCFLLDDDDDDGVARGNSPASSSAPDSASACTTSSTVASGERGDELILLECCSDDVMDSLLAGFDVSSEPRSVLVWFLQFFVK >ORGLA09G0030300.1 pep chromosome:AGI1.1:9:4605563:4606261:-1 gene:ORGLA09G0030300 transcript:ORGLA09G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVSSSPSSSSSSSPARHHKTRRSRRKLAVDEDWEAAFREFLSRDHDDDDDDDGQHVVLAPLIRGSDKCVHGHEVVASTVGGGASGGRRRADDDDGERRRRRRREKRSYPYRGIRQRPWGRWASEIRDPVKGIRVWLGTFDTAEGAARAYDDEVRRIYGGNAKTNFPPSPPPPPPPPEQPAAERSPSTTTPTTAEDSGDSRILIECCSDDLMDSLLAAFDMTTGDMRFWS >ORGLA09G0030200.1 pep chromosome:AGI1.1:9:4591291:4599985:-1 gene:ORGLA09G0030200 transcript:ORGLA09G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLVSASPPGGLAASPPPPAAIPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRTQSGFSLSPKPFFANFGAIVTFAILGTFIASVVTGVLVYLGGLTFLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSLVRSQAAAGENFFMMVFQFLETFVGSLSSGCITAQNILNYFKGTFGIVVLELDLSLLLYPFPKFYMETLFAKHERLCILSIDHVKLQYLCITIYHLLIACFTVNYFIYYLILCNLDHSVYLFKYAGLDIDKYMLAEGLGLSGIVSILFTGMVMKHYTFSNLSNNSQRFVSAFFHLLSSLAETFVAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSANELPGGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVIGDENRSIENYDDNNGYIPPTYEEGSSSGGGLRMKLKEFHKSTTSFTALDRNYLTPFFTSQTDEDDDVFGEQPQNQRRGFYDQ >ORGLA09G0030100.1 pep chromosome:AGI1.1:9:4586467:4589100:-1 gene:ORGLA09G0030100 transcript:ORGLA09G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAPPPPPPQRTDMPAAVDLPPPPPPLAIPLPETTRRPRRRTREVSSRYLSSTTPGPVPSSPRLSTSSSRTPSPRAHRPRAATPFANENHPPPPPPPSTASRRRAVLKLFDDGSGGANPRASAAAAAGTPRALHRSTSGPAAAAASTARRGYPRMPTPARATSCPSSSSAAAADDAASCCSSDTGSTFTDLSEVDGIALPAAPCESPPLLGPASCRGGRLSSELRSSVPESGGSVRALNPLCYRSLNSALSGCPAPAGKAAVNAARPPQPHGVKAAESKKVAMIGGRKVPGKQEDVHQLRMLENSYLQYRFMNARAEAVARAKASVAEKSLFGLEERITALRVSVAEKKMEVERMRREQTLRSVVDAQVPHLDQWCDLEGDHSSSLIGLTSALYNSSLRLPVIGNVRANSEEITEVLNSSVQLLEPVSSCVKNFLPKVQEVDDVAAKLAQVIASERVAIEECGNLLYQAHNLQMREYSLRSQVMQLKQQDEPK >ORGLA09G0030000.1 pep chromosome:AGI1.1:9:4546526:4550974:1 gene:ORGLA09G0030000 transcript:ORGLA09G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRSLLSLATLSSLSATLLPVIATAPETTLGLRTHCFPLLRRAFVPLTRGDLQCLSSKAIAKLRAAAAPTMSTRAGAVERTTEMVATVPPVFLLTHTRGIPLHSSPRVALRFHDLEGCISPNVCVGITGSSSRVEGCSLVATGESPDARSSSWRHPLLGCSPKDLAPGIFNLSRRKGNNVHLSLAILNPITTISEIDELVRLGSRLQEVMLLENTPDDIRWKWTPNGEYSAKSTYEAQFQVCVQTLSKMQITWPKNAASQEMCGI >ORGLA09G0029900.1 pep chromosome:AGI1.1:9:4528055:4528381:1 gene:ORGLA09G0029900 transcript:ORGLA09G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPEDTCMKWLDTKPLSFVAYFSFGSFASLGTAQTEELTRGLHAAGKPFLWVVRATEEAQLPRHLLDAAMASGDTLVVRWSPCATGCFVTGMTARSSSACAPSWMGRS >ORGLA09G0029800.1 pep chromosome:AGI1.1:9:4503303:4503700:1 gene:ORGLA09G0029800 transcript:ORGLA09G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TCTIKVVNQEPNRQTHHXHPRALFGVDAADVGRGCGVLTERRGHGLMGGEDPDNGNGAVEDGLGRRWRHFR >ORGLA09G0029700.1 pep chromosome:AGI1.1:9:4492633:4500543:-1 gene:ORGLA09G0029700 transcript:ORGLA09G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like protein [Source:UniProtKB/TrEMBL;Acc:I1QML8] MAMSRLSFRPRPLDIHKKLPILKSAREFEDDDPTAAAVAVARAGVLLRQSAPELTAATTATEGEGNPTPTKKNIQEIPTPQFDAVDTYERDYTRTFAQPTCYIRGRGARAEIGEFVEYDLDNEDEDWLEDFNNERKNLNPEKLEVLLFKLEILDHKARERAGAITPTFIGPVPVLLQLDAAMEALQYLSVRYGVFQAVYSYWKDKRERWQKPILRRLQNEGCTKLTMNSWVCKPSAIEYKFLSGWFCPSYAQVWRTGGTVTLLFHDSCFSVALLLTIAFVFVKPPPPVNDTNPYNVFRPREKAHRLHTRRLLGKLCGGIISISDQVSILYMHNVFFLFQMQRRENNIQSFEKLRMVRRNLDQAKALMDALVKREETKREAMECEVNLRRIQMKYKHEAQLVDEGTALSGFQQVSSRFGSSEDDYADSDDTTTEQPYIRPPVFRPRFADHKLSVIPTLRIKRERELKRRPQQNGWVFKRDPEEPVLLFTRPLDPEKLLAAGIKPPPDPPIENGATMPPFRCRGRIGRGGRIIFDRWNPLLQTPIGQETSYYVPYSRRPPSPES >ORGLA09G0029600.1 pep chromosome:AGI1.1:9:4490981:4491634:1 gene:ORGLA09G0029600 transcript:ORGLA09G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRLPPLRSKKNSQQKRRMPPLYTAAARPPQECWSIRERVNFRIAIGRFGQDWPRVAQFISTKSTGQICVYAEEYFLKRHTHSPVKKKRILIISSGC >ORGLA09G0029500.1 pep chromosome:AGI1.1:9:4488013:4488555:1 gene:ORGLA09G0029500 transcript:ORGLA09G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRGKRRARGVFRLPCATAPPLRRVQLHRPSSSPTAGAAAAPVVLPCRSCSSRGLEERVILRPPGHCLRPRHPTPTRLLCLHPLPRCSRSTPPARPDSAPSPPSPFLPWKPSLVESRDWTQRFFQGLGVGAPLPAPAELHGTYSALIRGVLSSSTVSTSASLCISCTLRRSPSPSPPS >ORGLA09G0029400.1 pep chromosome:AGI1.1:9:4478917:4479448:-1 gene:ORGLA09G0029400 transcript:ORGLA09G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNVPSKGVKIPIDSSYWNDYSTRVVCDIFADQVATGLGLDDARKAVTTIAARWKQLKSDIAGCTKFMKAGLQNEELLEKMFEDIHNTDANH >ORGLA09G0029300.1 pep chromosome:AGI1.1:9:4472383:4476817:1 gene:ORGLA09G0029300 transcript:ORGLA09G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGLPRIAVVGAGIFARTQYIPRLREIAHLVLLKTIWSRTKESAEAAAELARDFAPEIQPRWGDAGLEEIMGDASISAVAVVLAGQVQVDLSLKMLKAGKHVIQEKPASGSTMEAETALSVYNSFPNQFPYKPIWALAENYRFEPAFVESRKLMSDIGDMMNIQVIVEGSMNSSNPYFNSSWRRNFVGGFILDMGVHFIAGLRMMVGSEIATVSSISRHVDKALPPPDNICSLFQLENGCAGVFVFAVNSRTPKILWRVDGTRGTVQIERGIASGKHGYQVLFTNENGQCQTTFYPFCGVNEELKAFVHDIVQANKDGDHKAEPRSSYVEGARDVAVLEAMLESSAKQGTMVQVKKF >ORGLA09G0029200.1 pep chromosome:AGI1.1:9:4468148:4468773:-1 gene:ORGLA09G0029200 transcript:ORGLA09G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPPPHFLALPRHAVQTLLCRHAAVPHVGRRAQRAHXXXXXXXXXXXXXXXXXXXXXXXXXXXXMSGITNKEFAKLALHGQNYLTWASDIHIVLGAKKLR >ORGLA09G0029100.1 pep chromosome:AGI1.1:9:4446271:4446839:1 gene:ORGLA09G0029100 transcript:ORGLA09G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGLSTAFSPPRGSWIAVRIRHGARPARSNLSLRRRSAGGGAIGVRAEVSFVDGDEAKRLVAEEGYTVLDIRDRTQRERAHIKNSAHVPLFVENDDGDIGNTNTPLLSLSLSSVLVFYFFYFKRPNYVDSDK >ORGLA09G0029000.1 pep chromosome:AGI1.1:9:4443591:4444828:1 gene:ORGLA09G0029000 transcript:ORGLA09G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNLRNSGLDEVVMKTGKAAGIGLASGTVWGGVVAMHFNGPHVGSNVKYPELVRIGKVSGNYAASFALLGATYVGIEQSLENCRKKKDYINGAVAGFTAGATVLGFRARSLPTAVLSGCAIALTSVLLDVTGMKTTDEEAKTGKAHH >ORGLA09G0028900.1 pep chromosome:AGI1.1:9:4436212:4436565:-1 gene:ORGLA09G0028900 transcript:ORGLA09G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMQLKLLLPAAVAVPPPSEIAGGGVEPFDAELAYYDSSWNTMITAEEQLLRPITGYLSWPEQEAEEDDDQGEEEEDEKNEIDRLADKFIERCHERFILEKQESYRRFHEMLARSL >ORGLA09G0028800.1 pep chromosome:AGI1.1:9:4427418:4430663:-1 gene:ORGLA09G0028800 transcript:ORGLA09G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKQFMPREQPSGLEGRTVDVGNVRVHVREPVAEGGFSCVYLARDAVNPAKQYALKHVVIQDEESLDLVRKEIMVMRSLKGHPNVVALVAHAVLDMGGRAREALLVMEFCEKSLVAALESRGAAHFDEQQVALIFRDVCNAVFAMHCQTPPIAHRDLKAENILLGGGGAWKLCDFGSVSTNHKCFDKPEEMGIEEDNIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYLKSAFDGESKLQILNGNYRIPELPKYSSPITSLIKDMLQSSPDVRPDITQVWFRVNELLPLELQKDLPDGSPSGSAFESHITEDEAPSRATISPSTDNTRSTSSEDPSNLRSQGLSKAAESKGSMGAFWSTQHAQELAFVDDKGPAFDQETVHQVSSMQLQSKNHNTPTHNTYRQSLSASVDSSPGDFEIRFSPNGSEYGLEKTKETKSENKTNVHATNFNSFVADFDNLKVNFQNNVSSLNATRRLKEQQLEAERREIQELKQALATASATQSVKEFKENSKAELSPPSTSLDTPPREKIEGTPPELRQGLFTSSPGTPSPDPKPWSAFPEEPKAQAAVTVKGAHPRSVRTLRASNSNKASSLGQSNTSSSADPFAFGQDSFKAAPSRMSNLGNGSQSLNALKAEAKQDSSYQPAGWTGF >ORGLA09G0028700.1 pep chromosome:AGI1.1:9:4417405:4421454:-1 gene:ORGLA09G0028700 transcript:ORGLA09G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQIHHLEQEAYCSVLRAFKAQSDAITWEKEGLITELRKELRVSDVDHRELLNRVNSDDIIRSIREWRSAGGPQAMLPNNAQPMHDLAPSPTTSGRKRQKTSQSFPALPAPPPVMHSQQLALQGPPSSSTAKKGASSGAKGKKTKPGQKVPGGPSVKAMTSSAGPSGRGPHMNRNFPVGLVSFEPSEALHINPLINRKVMSRWPEDNSFYEATITDYNPETDLYALAYDINTANESWEWVDLKQMGPEDIRWQGDDPGIYQGVRGAPGSGGKKSSSRGGPTPGTGRGRGLPKHVSRKDFPPSQNGVGKRSSDDIDILHTESLIKEVERVFSVNNPDPLEVEKAKKVLKEQEQSLIDAIARLAEASDGESDEHNRVRRNAPYAGSQHQANYADAMAVDGGHMLGGADAV >ORGLA09G0028600.1 pep chromosome:AGI1.1:9:4404009:4404833:1 gene:ORGLA09G0028600 transcript:ORGLA09G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASVKLREDGAAPLLRAKLPVALFSVPAVASLTAGDPANLRLSLATAAPALPSLRLSYAPNRATSPLSLAVVLGSGPGGSPSSSGAAASAITMAVEVNTAGAVSFSLALKPSLGDFAVRKRFDSAAAGGGGGSGSSASAASEVTMRSAIPVRGGAAAVSVRWGVRIPAEVTAGGEEGAAALALRRLPFLVLGKVTVERRPPPPPASTAEETTTTTVEKTRRENERLTRELDELRAAATEKTERKMTSAAAGRRSSGWRSPEMAGDRKTVDLGR >ORGLA09G0028500.1 pep chromosome:AGI1.1:9:4378461:4381362:-1 gene:ORGLA09G0028500 transcript:ORGLA09G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSRRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKRECLEVEFNNVLRKWRLLFSEAKNKVQILKSGDSGQALGENTKASVHDELFLEVNRILAQQKNEFETFDLLVKSGTSAHGILGLNWLHQLLLLGIYIWDVRLQHILQYETRTNFMVMENILFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMTVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVPDA >ORGLA09G0028400.1 pep chromosome:AGI1.1:9:4371242:4371670:1 gene:ORGLA09G0028400 transcript:ORGLA09G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEEWAVSSSIALKATRRRRRDTRRQGTVARTGTGGSGLSGTCTCRRATRCSLHSEASSPSMPSSDLPSTDAAAEISTRRSDTARLRRWLRAKLAVAKNRLAGMAAEISLLKSVVGSTKDAIVTRKKRAAVEEICRRCGR >ORGLA09G0028300.1 pep chromosome:AGI1.1:9:4367479:4368057:1 gene:ORGLA09G0028300 transcript:ORGLA09G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRSASAVEAAKEAAANVGASAWAGKEKTKAVVEATVDKARAPDTAARDAADARKADRIREVEATKRHAMRANAAAKERATAATYHPSSAAAPPPPAQAQPVGVGGRAIDSSAAPAPAHTAAGAGVVNSGVAPPGAIAGAGGALGRPAAAAGGDGSAVDAPGGGDVEGHAGGVPVAATEGTGAGYPPAHV >ORGLA09G0028200.1 pep chromosome:AGI1.1:9:4345408:4348379:1 gene:ORGLA09G0028200 transcript:ORGLA09G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVTTGLQMAAARPCIPACQRLLGSRAALPSFARALSTQTGFASCRKTASAGPFVSLNHKRFAVRAMSAHGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEITKVYPLDAVFDSPEDVPDDVKANKRYAGSSNWTVKEVAETVKNDFGTIDILVHSLANGPEVKNSLLETSRKGYLAAVSASSYSFISLLQHFLPIMNPGGATISLTYIASERTIPGYGGGMSSAKAALESDTRVLAYEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLASPLASAITGSTIYVDNGLNTMGLALDSPTLST >ORGLA09G0028100.1 pep chromosome:AGI1.1:9:4320151:4329182:-1 gene:ORGLA09G0028100 transcript:ORGLA09G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding REAMGKNTLVDSNLLEETKESSGLMKGKQPNSFVGTTKNGHVDHSKLESSNFPDLGYVPLRADSNRIGGCPRLFTPSLRDCVTFTVLLIWTPSQVLWMFCRIAQNTADQDALGDEVYMCDQYVANMDSEMAENTSDSVWADISGYSSCSGPS >ORGLA09G0028000.1 pep chromosome:AGI1.1:9:4314133:4314748:-1 gene:ORGLA09G0028000 transcript:ORGLA09G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNSTTELLDSNFLLKINLIGNRTKIRKDVKCWNFEMIVDSDRTCFMDFVQSVVDKYPPRYLEVAHVQYYDDVLKTFLEVYSDQELLVMFDLHNKKKVVEMFIVYANPSEPFKPITEWEFEEEEQPDNNTEPDGENYLSNPNPLNEHVGIDDENMYLESVPINQVLPEEKEPNID >ORGLA09G0027900.1 pep chromosome:AGI1.1:9:4308982:4309296:1 gene:ORGLA09G0027900 transcript:ORGLA09G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASDSIHYFIRHMCHHAAARGSSAFSAASSASSSAAPVIPVVVRPASAEHAAEMERLISQLPLFTLASSLAVLPKSSRARSRHPLLLRAVSGEEGDCRRRTEG >ORGLA09G0027800.1 pep chromosome:AGI1.1:9:4279481:4281336:-1 gene:ORGLA09G0027800 transcript:ORGLA09G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFYQSLLLSVAAVTVLQLLKLLLVRHRRPRTPPGPWRLPVIGSMHHLVNVLPHRKLRELAAVHGPLMMLQLGETPLVVATSKETARAVLKTHDTNFATRPRLLAGEIVGYEWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVMMRVNEIRAAGPTTPVNLSVMFHSVTNSIVSRAAFGKKRKNAAEFLAAIKSGVGLASGFNIPDLFPTWTGILATVTGMKRSLRAIYTTVDGILEEIIAERKGIRDEKISGGAENVDENLVDVLIGLQGKGGFGFHLDNSKIKAIILDMFAGGTGTSASAMEWGMSELMRNPSVMKKLQAEIREVLRGKTTVTEADMQAGNLRYLKMVIREALRLHPPAPLLVPRESIDVCELDGYTIPAKSRVIINAWAIGRDPKYWDNPEEFRPERFEDGTLDFTGSNYEFIPFGSGRRMCPGFNYGLASMELMFTGLLYHFDWSLPEGVNEVDMAEAPGLGVRRRSPLMLCATPFVPVVSAN >ORGLA09G0027700.1 pep chromosome:AGI1.1:9:4258758:4259324:1 gene:ORGLA09G0027700 transcript:ORGLA09G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNTLGHSILLEESKEPIKQMKGKQEDSFAGTVKNDHVDHSDLESSNLPDQGKKNVPKDLEALARATKNVPEDVEISEIKSNDLDNKTGRNIGKRNRQDDSGSKTKFILIPYGLAMFITIKNWETVR >ORGLA09G0027600.1 pep chromosome:AGI1.1:9:4257380:4257703:1 gene:ORGLA09G0027600 transcript:ORGLA09G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDNNSAGDRELGRLNRRHLHEEDDEANSPVQRTTTDDNERRPTTSSDGGTARLDVSGGPPVACDGCEGAAEMLLHLANPTAATEGGGDGDSGGAARLKQRRRRRR >ORGLA09G0027500.1 pep chromosome:AGI1.1:9:4246438:4246920:1 gene:ORGLA09G0027500 transcript:ORGLA09G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGTTGEEVKKTIDLVSNDGERFEVARDAALLCKTLRWMIKGGYGRIPLPSVASPILARVVDYLARHAAAAAAMDDDGLDRFDRDFLAGVDQDTLFDLLLAANYLQADGLLDLACKKVAAMMTGKSPEQMREIFHIVNDLTPEEEKEIREDIAWALN >ORGLA09G0027400.1 pep chromosome:AGI1.1:9:4236877:4237705:-1 gene:ORGLA09G0027400 transcript:ORGLA09G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPPAGSGGHRAEEMAAAREAEEVATAIDGHKAHPSTTRSALRAVPVHGLHLGSRHGPLAVGPCRAGPKARAAHRAFFEISLFYIPTLWVVPYNTFIFPLYSLFRIPELCTDPACRGSSQAQHGCRARPCLGRTKSRGHRASDHMAIYSAGTKLKTGVVPVLTVHSVIFHNRLTRINPADDEEEDELWPRTWRAHRTLRRWGQSM >ORGLA09G0027300.1 pep chromosome:AGI1.1:9:4234493:4235193:1 gene:ORGLA09G0027300 transcript:ORGLA09G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSTYPNPAQGYYQGPPASAAAGQDNTAAGGGKANASKKDQPGFMDNLLACLPCARPAEAKNDC >ORGLA09G0027200.1 pep chromosome:AGI1.1:9:4168910:4169470:1 gene:ORGLA09G0027200 transcript:ORGLA09G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNTILALFIWAMVMVIFAAAMPAKARMEGIHPQGCRCCYFRLRPMIQCAKACCGSDDENCCLVNN >ORGLA09G0027100.1 pep chromosome:AGI1.1:9:4160793:4164437:-1 gene:ORGLA09G0027100 transcript:ORGLA09G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKTEVNLLRLLDSAPRQQNQAKLIHYVTTSRELLEKLAAENTSEGISSIAKGRLNEYSERIEELAARLASLVPGYENAVEAIRKEESYLEGEQIRSPIALSPGLRRLLIALQEIEQPTNAKERNVAEPLRLDEAAQANIEKYRNLQEDLTDEIVELARQLKDSSLMMNQSVQATEKILDSTERAVAYSLAGTDRANARAVEVSSLTSKTTCFQWFLLFVMTCMFIMVVLLIRVT >ORGLA09G0027000.1 pep chromosome:AGI1.1:9:4154206:4156170:1 gene:ORGLA09G0027000 transcript:ORGLA09G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFAAALLALLLAAMAAPPAEAAVGVNWGTLSSHRVPPPVVVDLLRANRIGKVKLFDADPAVLRALAGSGLQVMVGVTNAELAAVAGSPAAADAWVAQNVSRYVGRGGVDIRYIAVGNEPFLTSYQGQFQSYVIPAMTNIQQSLVKANLASYVKLVVPCNADAYQSASLPSQGVFRTELTQIMTQLAAFLSSSGAPFVVNIYPFLSLYQSSDFPQDYAFFEGSTHPVVDGPNTYYNAFDGNFDTLVAALGKIGYGQLPIAIGEVGWPTEGAPSANLTAARAFNQGLMNRVMNNKGTPLRPGVPPADVYLFSLFDEEQKSILPGNFERHWGIFSFDGQAKYPLNLGLGNPVLKNAREVPYLPSRWCIANPAQNLDNVANHLKLACSMADCTTLDYGGSCYGIGEKANVSYAFNSYYQQQKQDAKSCDFDGNGMITYLDPSMGECRFLVGIDDSKSSAVSSCGCGCGVCCGVWVLFFWVFMYLRMLGSV >ORGLA09G0026900.1 pep chromosome:AGI1.1:9:4138462:4139569:-1 gene:ORGLA09G0026900 transcript:ORGLA09G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNTLGHSNLLEELKESVKHTKGKQEDSFGETAKNDHVDHSDLESSNLPDPGKKNVPKDLEALAGAKKDVPEEVEFIEMNSNDLDNKMRRNIGKRNRQDDNGSKTKELVGP >ORGLA09G0026800.1 pep chromosome:AGI1.1:9:4124699:4125452:1 gene:ORGLA09G0026800 transcript:ORGLA09G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAWWAGVLRRPSLGGSVTYGAWGWLAMMTPEVEACNRDNVWAMLACGVKRKMASGRLRYSSVDVLTESLLLKLHSNSLGEALAWWRVVLTTEKDLSSLSPFVPPTILRQRLGAYAQLFLVGVGGAPIALSLVQWQPVMLSSVWISASPFLDCVG >ORGLA09G0026700.1 pep chromosome:AGI1.1:9:4119541:4120346:-1 gene:ORGLA09G0026700 transcript:ORGLA09G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVVKMPMDTERKKRKAFKAAVGMTGVTSATLDGDKLIVIGDGVDPIALTTMLRRSLGHAELLSVSSGDDKKMGGGGGGHGGMGMGMGMGFGGGHGGMGFGGGHGGKEGKEGGGKVVVDGVHHHHQQQLQQQHAMAPPMQPYPAAPAYYNAAAPSYPVYPSYAGYPQQEQDPGCSIM >ORGLA09G0026600.1 pep chromosome:AGI1.1:9:4106417:4107034:1 gene:ORGLA09G0026600 transcript:ORGLA09G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAKSFGDDFTVYLVDDTPKSISEAYASPDADYWKQAVRSEMDSIIANRTWEVTERPYGCKPVGCKWVFKKKLRPDGTIEKYKAPLVAKGSTQKEGEDFFDAHLLLD >ORGLA09G0026500.1 pep chromosome:AGI1.1:9:4101684:4103027:-1 gene:ORGLA09G0026500 transcript:ORGLA09G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEGTFELKPYVHMWQILEEFCFEQKVEIKVPMVDEKKKSKVMQIISKQCGILSITADMEKGKVTVVGNDRMDVTDLTTVLRKKMPHTYVIIDTVTQVDEKKEKEEKDRKKMEEECKNLCPNIIYPPYQYPPYMVESSGQ >ORGLA09G0026400.1 pep chromosome:AGI1.1:9:4071228:4073536:-1 gene:ORGLA09G0026400 transcript:ORGLA09G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKVEIKVPMTDERKKSKVMQIIAKHSGILSITADRDKDKVTIVGNENMDVTCLTMELRKQMRRTHIVIDTVTPVDEKKEKEKKEKEEKEKKEKEEKEKKKKEEEQNNPKIVCTPYYVHMVDEPSPSCCQM >ORGLA09G0026300.1 pep chromosome:AGI1.1:9:4052951:4054042:-1 gene:ORGLA09G0026300 transcript:ORGLA09G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast sulfur E [Source:Projected from Arabidopsis thaliana (AT4G26500) TAIR;Acc:AT4G26500] MASAAATSSSASLRLLTKPPKPLLSKPHLLTLCAPVSFQRLVARSSASPTPSPSAAAAASGSGVDPAQLPPALRDIVALFQSVPDPRTRYKQLLAYAARLPPMDPALKTDANRVRGCVSQVWVHAAPEEGGAPGRVSFQADSDAQLTKGLAALLVLGLSGAPARDVAMVPVEFIELLGIRQSLSPSRNSGLLNMLSLMKRKALEVATGEVTTEEIGSQEVVQEVAERPAAKEKEPEFAAFGAREEEGSEVHSPEEEQLEEMPADVMEGNGGLGGGRQERIKESLERGLSPVELEIEDISHLHKGHAGVSGSNGETHFNVRVVSEAFQGKSLLKRHRAVYDLLQDELKNGLHALSIDAKTPSEV >ORGLA09G0026200.1 pep chromosome:AGI1.1:9:4042339:4045077:1 gene:ORGLA09G0026200 transcript:ORGLA09G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVFVVLQKIGAILGGWVLNEIRSQFGKKSLIFEVENSVMELASEFRVMQAFINHVGMCSHQNAAYEAWLDEVKSVGFDADDIIEEYAYLIAQTSNEGGLIKSVLHRSESINAWCHIATQLKQIEARLQKLTAMKDRYGILISEQKLGSNPSHDDLKLMSDSLYFYSQDDIVGNEEELAWVTQRLIQGRKSRTVISICGMGGGRVIITARNEDVAILADEDHCIMLKTLQWKEAWNLFSRKAFPSRKENQCPESVVQWAEKIVDKCKGLPLALVAIGSLLSHKKKEENEWKLFYNQLNWQLINNPELNFVIAVLNLSFEYLPSNLKYCFLYCGLFPEDYLIKRKQIIRFWIAEGFVEETGANITMEELAEEYLKELAQRSLLHVAERNVYGRAKSFQMHNLVRDMVVSKCKTYKFSGLVVDHCVTKHKYKTRRISVLEADHASEAPTYGEKVRSFILFDKKVPYSWLETASRDFRLLRVLSLRRASIHKVPDVVSNLFNLRYLDLAYTRVKVIPRSLCRLNKLQMLDLWFTGVVELPREIKLLTEIRYMVATVMSEDNHRIFNCFLPVRFPCEVCHLKDLQVLGYIEASKDMISNLRNLNQLRNLFMMKVEHNYLTELWASIKRMPNLVRLGIISCDSDEVFNMEHLDPLPELETFHLRAKLQDGVLPKMFHGLVKIRDLEMGWSGLQVDPVCTFSHMSNLTELRLYRVYEGVLLSFQAGLFPKLKKLSLADMENLTWIEMEDGTMQSLNFIALIGLRNLKVVPEGFQYLMSLQEMFLQDMPQEFIKRAQREDRVYIQHIPKIRKF >ORGLA09G0026100.1 pep chromosome:AGI1.1:9:4002772:4009295:-1 gene:ORGLA09G0026100 transcript:ORGLA09G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIESFCCVDHRMIIQEMKREHGLRLNNVPILRLNNVQSDHISVLLGVPQHLTKIIRSVVIFNSPVQFARSISFKSIVLSPVRNVLVFHVIFFHLNPXLSVRGPTIIAKHEPRLKQVEMNSSXCRIPLLVFFCTXCMDNRXNPYACXHRPLPKNNARRQXTVSTVGPHTSIADPSTXNAQKSXKXTTKVKPIKQLYNNYIXLFILXLXNNPTYHSCFNFFKVVNKYLMSCTYFNFKLTLFSILLDXFSIFFPSQLCYHIFLXNSKXTKFLYSFFPTQIFSLINFHQSFXRQXCIQNITLNVICXEKTLEDEVAYFLDTSNLYTITKINSQEILVAPPLLKKFXRLTQAEGGRRHIXRKLTLVPIGVTNWVXISAXSLVPVGNRGADDPFPLRLXTGAKGTFXSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSYARHGFLLFPHLFTCHIIFYPRXQLIXCYGHHPSHWVRNGLHNNQEXKXWDSWIKGEKREMIEWEFNLKTPSIGCIVSTHSVYMIWQVXKLLDSFWVGMAYVKGPGTPKAVFGRHAKQSTYYCIINXVFVIFFPKIDXYDFLSNFHIKFFCKKRTVXQFEKRACEKREREVGKGRKNAQHTGSVVTATPDYDGYEWRKYGQKSISKTKHSRNYYRCTNQKGQGCMATKTVQQIENDNSSNSVVKLYNVDYFGRHTCKFGNEMVCPDIVETDSPKYSSINDKYASTRLTNHSDDHQPENDMKPENLFAVPDMSLFSENMWDIIFEDVTMNSTFSLEQEAKDSWIKHQQESTIHLWADELC >ORGLA09G0026000.1 pep chromosome:AGI1.1:9:3990853:3993964:1 gene:ORGLA09G0026000 transcript:ORGLA09G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERVKGTVKWFDATAAMEATAAMAGEEAAVCATCVVRRATRPGTTHRTATTAWEVYNGRWPHQTWPTSTAAAAAVRSFPPDLHYVRVNEPRLSVVHCAGVVVTALPENTPSYNCSLQTQIDDHPQLLGQPNAREGERGITTKGAGLSAAYLAVLVYEGNMMTFRAGWFPKLEKLYLADMEHLSVIERESSTMPIINYVKLIGLKSMMTVPAGFQYLTSLEEVVVEDMPEEFKRRWQGQDHVYIQHIPTYHLH >ORGLA09G0025900.1 pep chromosome:AGI1.1:9:3973369:3975858:1 gene:ORGLA09G0025900 transcript:ORGLA09G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSGGDQQLARHGQELSSQQRNRPIALSRNVLHSEEESSISSLLYVGRLLSSAGGGAPEHMASLRTKLLRDWNPSGAVNFWRATDGAFLIQFYERADLARVLDGAPWCCDGDDLFVLQRAKPDVNLLDQVRFFKAELWVKFYFNYVPVVYLSEASIYALAAHIGELVKCPDKAASFFRARILVDVTRPLVASIDVKLEDGECRSISVEYERVGSILCGTCRILGHSADRCSTGNQRPSIPRNRSRLSRSSTVNRDDGGGSVGSSSSAGSGISPPLPPSEVAAAPPTDEFTSGMGVDDGGVPSLTTPHTRGLKYYFQKYLFLMSSKKKNPAGEQMVCNPADNMEADAQSGPQPVTSSPPHTSQEDMVIEAAGSNQGHTASSNVEIECTPQITPTTESLLQNGIIYPLNCSSNNYFLK >ORGLA09G0025800.1 pep chromosome:AGI1.1:9:3970242:3971912:-1 gene:ORGLA09G0025800 transcript:ORGLA09G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKPNSAQARGGNGGMSSYSTSWTDVVIDRIHGEAKSAYESIPPYFRRFDAFGNDEHPSIFKPRLKPQVNQLELFAITTTSPAHGPGQPPLDSAGGERGQLRRPPTPETDKDHIVVAAVGPYHHRTASAQQQPPPLITCAKKCGIVKYLTGSFNLDVVGFLQWVQRNEERARRCYERESFQMNSQEFAEMLLLDGCVLLFAIFLLRPSIREDKLPAELAADADHGREFRNLSAHISFHMKQTTLDLLVLHNQIPFFVLTELHSRLKNTFFAGVNYSLEELALSCFQDVHPFGLKEGDLSPTTQRDGGGNGGGSGTERFPQRVHHLLHLFHWSLVPGQKYGVDINSIPPREPESHLPSATELEESLTIFTKQKDAKGSRSSCCLDITFESSRMATRGVMRLPALHIHGYSEAVFRNLIAFEQNHLRCGHGVTTYAICMARLLQSDADARLLRNSGILPYTQRTDKEIVDFFRQLVDECRNTCMPDDLIALCKDVAAHHQSRGVRVMKGFVLQCFPKQTITFFVIFGAIISIATLINTVHSMYRYYHPRGNLPPMGR >ORGLA09G0025700.1 pep chromosome:AGI1.1:9:3964358:3965806:-1 gene:ORGLA09G0025700 transcript:ORGLA09G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGQYRQKGLMNAVARVFPDCEHRYCERHLLANMATAGYRGEKYKSFVDSVVYAYTEYDYNRAMDALKAFNAKAWKWLNYLGKEHFSRHAFSSRSRTDLVVNNLSEVFNNYIIELRDKPIVTMLDKIRQKLMVRANQKRDGGQQAMWEISPVVVGKLEVEKKYARYCNAYQSGVGLWEILGLERQYEVNLFSRTCGCNKWQLTGIPCKHAVTAIFAAKERPEDYVDEYFRKEAYLRAYAPLIYPVPGEYDWTTTDSPDIDPPKFTKQLGRPKKSRRRGQDEAPKVTGRARMTTTTCTNCEGMYHNYTTCKKTLRPDLQIRLDALKAKRSREPENQAQRSHEPSSQDHINNHQPSSYQPINEGGTSRGRKLDSRRGCAIGSTMKGNARGTTDRGRENARGTIVRGRGNARGTTVRGRGVGHTSLNQWIP >ORGLA09G0025600.1 pep chromosome:AGI1.1:9:3956341:3958264:-1 gene:ORGLA09G0025600 transcript:ORGLA09G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVARQSWAGREVDGARGSTNGGRWRGEKTQQRGGLIEPDGSTHEKRNGDSNTSINSTNNDFCWPETQPLTYIRFTNWCSVDVCKFDTGALSKDGLLKKGETQEWPRYYAIQTGV >ORGLA09G0025500.1 pep chromosome:AGI1.1:9:3949598:3954874:1 gene:ORGLA09G0025500 transcript:ORGLA09G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTVPDSQDLPRRYGGRVTTFVVLSCITAGMGGVIFGYDIGVSGGVTSMDGFLSKFFPEVYRRMKGTSVSNYCKFDSELLTAFTSSLYIAGLLTTFLASSVTARCGRRPSMVIAGSAILAGSAIGGTAVNVSMVILGRVLLGVGLGFGNQAVPLYLSEMAPPLHRGAFSNGFQLCVGIGAVTARLTNFFTQKIRQGWGWRVSLAVAAVPGGLLTLGALFLPETPNSLLQQGRDKRRVRVLLTRIRGVSDVEDELEDIVAANSDKANSSRGLQMIVTQRQYRPQLVMAIMIPFFQQVTGINAISFYAPVLLRTIGMGESASLLSVVVTGLVGTSSTFVSMFLVDRFGRRTLFLVGGAQMLVSQLMIGGIMATQLGDHGQVSKTCALVLIFLIAVYVAGFAWSWGPLGWLVPSEVFPLEVRSAGQSITVAMNFLVTTAVAQLFLATLCRMRAGIFFFFAAWLVAMTAFVYLLLPETKGLPIEQVRRLWAQHWFWRRFVDTASNGEQAKLDCXRQVSLGELLILRSSLLVDRFSNFCLLVHFLDSTTISY >ORGLA09G0025400.1 pep chromosome:AGI1.1:9:3941475:3943652:1 gene:ORGLA09G0025400 transcript:ORGLA09G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDIALSLDVINSGVSLKGGNCPRSPPHFSITLFYFYKYCGQRPGFIVKAGMKLTLLLLQILFFGLDLVSCAYGEYVFIYSGFAHNNITLDGAAMVTANGLLDITNGSTRLNGHAFYPTPLPFRNFSSGLVQSFSTSFVFGVQSTYPSQGFTFFIAPSKNFSSALPVQFLGLLNSENNGDMKNQIFAVEFDSIKNIEFQDINNNHVGFDINSLISVDSYPAGFYDDKDGTFSNLTITSSEAMQVWVDYNGDIAQISVTMAPMGMAKPLKPLGSANRNLSSVLSEMVYVGFSSAAGRDNTRHYILGWSFGLNSAAPRIDITSLPKMPHFGPKARSKILEIILPIATAVSILSVGTIILLLVRRHLRYSEVREDWEVEFGPHRFSFRDLFHATEGFKDKNLLGIGGFGRVYRGVLPASKLDIAVKRVSHDSKQGMKEFVAEVVSIGRLQHRNIVHLLGYCRRKGITSGLVYLHEEWEKVVIHRDIKASNVLLDAEMNGRLGDFGLARLYDHGVDAQTTRVVGTIGYMAPELASSSKATPLTDVFSFGIFVLEVTCGKRPIKEDVNGNQIMLVDWVLENWQKGSLTDTVDTKLQGNYDVDEASMALKLGLLCSHPFADARPKMQQVMQYLEGEVPIPEDMPPHLSFEMLTLMQNEGFDSYVMSFPSSVTNHSSAASHGSLMSALSGGR >ORGLA09G0025300.1 pep chromosome:AGI1.1:9:3936923:3938182:-1 gene:ORGLA09G0025300 transcript:ORGLA09G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAHDISCLAGALAWVFQLRTLISPNYQSYLVLEPSLVPMSWKSSPIASAMFIIIVGTMVILIVRRKLLYAELREDWEIDFGPQRFSYKDLFHATQGFKNKNMIGVGGFGKVYKGVLATSKLEIAVKKISHESRQGMKEFITEIVSIGRLRHRNLVPLLGYCRRKSELLLVYNYMPKGSLDKYLHDVDNRAILNWAQRFQIIKDVACGLFYLHERWEKVVIHRDIKASNILLDAEMNGRLGDFGLARLYDHGTDPQTTHVVGTMGYLAPEMVQTGKASPLTDVFAFGAFLLETTCGQRPVKQDSQGNQLMLVDWVLEHWHDGSLTEAVDMRLQGDYNIDEACLVLKLALVCLHPFPASRPNMRQVMQYLDKDLPQPELAPTRLGFSKLPLMQNKGFNPSAMSYPELRTSIGTFSGLSGGR >ORGLA09G0025200.1 pep chromosome:AGI1.1:9:3926626:3929400:1 gene:ORGLA09G0025200 transcript:ORGLA09G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVFIVLQKIGAALGREALNVVGTQLQKQPPTLVDVENNMRQLKIEFHVMKAFLTQQQIHFSQDRAYDAWLDEVKNVAHEAEDVIDEYVYLAGQTAKETSKLKKLFHCSKTTSDWHIIATQLSQIKSRLQNLTNMKARYGISANDSEDGSTSSHESLKELTSDSAYFDTEDDMVGNKEESEKVMKLLIHGEETRTVISICGMGGLGKTTLARAIYKKNEIRKNFDCFSWITISQNYKVEDLFRRILKQFLDMNENIPDQTDIMYRVSLVERLRNYLQDKKYLIFLDDMWSQDAWILLDRAFVKNKKGSRIVITTRNEDVASIANNGCSFKPKYLPWGDAWDLFCRKAFHRLDQNGCPQVVMHWAEKIVSKCEGLPLAIVAIGSLLSYKQIDEAEWKLFYGQLNWQLTKNQKLNYVTSILNLSFDYLPANLKNCFLYCSMFPEDHEIRRKQIIRLWIAEGFIEERGDITLEEVAEDYLKELVQRSLLQVAWTKEYERPKSFRMHDLVRDITVTKCKIEKFSLLADNTCVTKLSDEARRVSLVKGGKSMESGQGPRKIRSFILFDEEVQFSWIQKATSNFRLLRVLSLRYAKIVKLPDAVTYLFNLHYLDLRHTEVQEIQQSIGKLRKLQTLDLRETFVEQLPEEIKFLTKLRFLSVDVDCDPSNLHRHFPRFQATRICSEFYLLTDLQVLGDIKASKHVVTNLSRLTQLRCLGICDVKQDHMEKLCVSIKSMPNLIRLGIVSHGEDEILDLQHLGHVPDLEWLHLRGKLHGAGATSNLQNFSKLRYLSIGWSRLQVDPLPAISHLSNLAELYLQKAYDGLLMTFQAGWFPNLRELGLADMDQLRSIDIEAGTMPNLSILVLCGLQNMISVPVGFKYLTSLQILRLWDMPKEFMERTHAEDHVYVKHIHQIRYHALRVKRWKFT >ORGLA09G0025100.1 pep chromosome:AGI1.1:9:3920388:3921657:1 gene:ORGLA09G0025100 transcript:ORGLA09G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT2G19830) TAIR;Acc:AT2G19830] MFNRLFGKPKEQANASALATLDKLNETLDMLEKKEKVLEKKAAAELERAKEFSKAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEDELEAELEELEGAELESQLLEPVAAPPVHPVQVPGTRIPTRPAPQKASAEEDELAALQAEMAL >ORGLA09G0025000.1 pep chromosome:AGI1.1:9:3911509:3918801:1 gene:ORGLA09G0025000 transcript:ORGLA09G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G05970) TAIR;Acc:AT5G05970] MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYTPAPAHPVNGVRWNHTNLIVASAGDDKKISLWHKKGQNVGQLPTSTVDRGDDIEECIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHILVTAGDDGSVHLWDTTARTPKVSWLKQHSAPISGVCISPSSDKIIATVGLDKKLYTLDSGSRRPTHTIPHEAPFSSLAYNDDGTILVAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVTGLCWQRSKPVIVNENSSSEVALLGGSSEESVLMPDPLPSATSAFHSGGVIPNLRSSLAANPSGFLSTSTSSTVEETPYRTRPLSGGPLSKLQAPRSNFSLKDDMDVFSPLVDVQPFTPSSGSLWDDHGSDETKKDDKLGEKKLSTTRKFPFIEDNNEPHPISDWKSISNSRQDDASSATTTSMPSWKSELSITSPETATGNALSDRLTHRQQVSRFGASAFQTGSFAFAGLQDSAPTTGNSLKGSLTSNILMNLQNKGVLSNARPSLDISTSSLQSSLSSGLMAKTMPPVNSDQPGAAQSSSQWRPSTYTDRVSTSSVFSEGLASAFGSPKSKKTGAETKDELLSSLLSRQEAAAASSSANLVANNGVVPPQLPTSGLSADQQGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQEMEMSGVLNLVLEKLEGLTKEVQQLRRENQQLRQQLL >ORGLA09G0024900.1 pep chromosome:AGI1.1:9:3906174:3907643:-1 gene:ORGLA09G0024900 transcript:ORGLA09G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSAPRYPDDGGGGGGGICCVLCWCCCFLFLIVAALAGAAAYALFLYKPKAPSYSVSNMSVSQFDFNSNDLTLYTKLVATVRAENPNEMIGIIYGDGSRTVVSYRGTPLCSGHLPTFYQGFKNVTVMEISMEGRHGFGSGLQSALEESEKEGNVPLDVFVSVPVSLRFGSFDVREVRVNVHCALVVDSISPKKKPTIKSATYQGNWSWGETSSPPIHHVKALKSPMLTQALECSFKLAVVRERLPTLCSIHGDEAGQPGLRRRAHRTGEPRAAAAACQPPVHATAKWLKWEVEDELASAEQDNGMIYYMAVPVDGVTAELLGLQQRLVRSTSLQNIQ >ORGLA09G0024800.1 pep chromosome:AGI1.1:9:3903943:3904407:-1 gene:ORGLA09G0024800 transcript:ORGLA09G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHWRLEISAKYGGQGSXGKDGHGLMEKMCLELDVYTVYIQYGRADRMHEAENLLHIMIENGVRPNNVRCTTLISIRCNEGGMVEARRLFQEMAGNGAKSSLVTYNVMIDGTSRRGAHARLKGSERRWRRSYAAVVHWQCVSGKMDVPLGLFEL >ORGLA09G0024700.1 pep chromosome:AGI1.1:9:3880600:3881118:1 gene:ORGLA09G0024700 transcript:ORGLA09G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding AASQLTDAAEASSQGTFRPDREKDELLLALQTPEHPGRTRGKGVIPWKIGFKEDMHTYRSRMRSKRDTEAKIADLEYRVSSYELSTQEEVARKVDERMAAHRSQDPQPYIPPAMVSPSGNRSSCASMGQVGSQSMDAMQTQDKTTCAVDEITQRTPCELHIPFKNLSIKVCS >ORGLA09G0024600.1 pep chromosome:AGI1.1:9:3852982:3857699:-1 gene:ORGLA09G0024600 transcript:ORGLA09G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT5G47800) TAIR;Acc:AT5G47800] MKYMKLGTKPDTFYTEEAVRSVLSDVPADLIIHVNNTKYQLHKFPLLLKCGLLQRLCSDDDGVDGVDAAPVPVALHDIPGGEEAFELCAKFCYGISINIGAGNFVAAALAARFLRMTEAVAKGNLVAKLDSFFDSCILQGWKDPIAALTAAWRISGWSESRIVQPCVDAIVEKILTPPSKVTWSYTYTRPGYAKKAHQSVPKDWWTEDVSELDIDVFRSLLSTVRAARLLPPPLIGEALHVYACKHLPDPLNHAAAAATANGQSSELETAAAKQRRVLETIVTMIPGDAGAVTGRFLLRLLRVASYVGASPSTRAQLVRQAGAQLDEARAVDLLVPMPSSSDPPAYDVGAAEAVLEHFLALFQRPAPPDERRRMSAAMEKVARTFDEYLRAVALHADFPVGKFVDLAECLPDIARNDHDGLYHAIDTYLKEHPELSKADKKRLCRMIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERAMGGGGASGSNSAVAPDAIAMLAARKEKEDEPPAPAADHKSDVHRPRGDHGERARADGAAMTRSLSASTTKTAGAAAPRTAESRGSRMRNK >ORGLA09G0024500.1 pep chromosome:AGI1.1:9:3837301:3850080:1 gene:ORGLA09G0024500 transcript:ORGLA09G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydrolases, acting on ester bonds [Source:Projected from Arabidopsis thaliana (AT3G27325) TAIR;Acc:AT3G27325] MAGFGGTCRVAAVLVFTAWIALTALTRLLRPVPNGCVMTYMYPTYIPVSTPKNVSSDRYALFLYHEGWKQIDFHHHLSNLNGVPVLFIPGNGGSYKQVRSLAAESFRAYQNGPLEPTFYREASTAFSVNELEGFSIPSRYGRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESHLARSKGRAQSSDNLPSSVILVGHSMGGFVARAALVHPGLRKSAVETILTLSSPHQYPPIALQPSLGQFFLHVNEEWRNGYKTGLSRTSSAKLSNVVVVSVAGGIHDYQVRSKLASLDGIVPSTHGFMVGSSSMKNVWLSMEHQSILWCNQLVVQVAHTLLSMVDPLNGQPFLSSQKRLFVFAKMLQSAVPQSLSWVAPVSGVKPPNLIASGNKEASDLQQKDSLSCPPSLQRTSDGLEKDLHIQLNSVTVLAMDGKRRWLDIKKLGSNGKGHFVFVSNLSPCSGVRIHLWPEKDHSSEQNGVPASKKIVEVTSKMVQIPAGPAPKQVEPGSQTEQPPPTAFLLLSPEEMSGFRFMTISVAPRPTISGRPPPAASMAVGQFFNPEEGMSALSAARIIGSSYIPEEIFLKEDHPLALNLSFSVSLGLLPVILSLRTAGCGVKATGDQLEAEKNKLCKLRCFPPVALAWDPVSGLHIIPNIYSETLVVDSSPALWDSHQGTERSTVLVLADPHCSYEVSLRVSLSAAASRFFLLYSSQILGFMIAVMFFGLMRQSSAWEHDSSVPSVLSAIESNLRLPRAFMFLCFIPVLLFLAFLVFTREQNPPLGTFLLVTMMCYIVANGFTILLILSSKLILYVAAILHVFIKRRWQSWEDGTQSMIVRHFLTLSLPFQSLKIVQITKNNPSIIVAFATVPLVCLVHPAIGLGVLLLSHAFHAHSTLCSFLAASFRSITQKKDLYKSMGDNIILPENKQDGLEQLLPMDDSPTSVKSFTDCQLEVFDCRHGIMILHLLATLMFAPSLVAWLQRIGMGQNFPWFVDSVLCVGTILHGLFGSPPNVSCISFKLPGRRGRDVGLSFLYLVAGYYSFVSSMALAPYRALYALAIIGFICFASRIIETRSTVRGDVISRKHRKHS >ORGLA09G0024400.1 pep chromosome:AGI1.1:9:3836099:3836398:1 gene:ORGLA09G0024400 transcript:ORGLA09G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPASTPSSPQIPPLSTPLPSRPPCLPPRTSLLLNAAAVADGGGDERTPVFLRTCGLGGGGDERTRHWQQQADVASSAAATGEHGGGDERSRPRRGSC >ORGLA09G0024300.1 pep chromosome:AGI1.1:9:3824404:3827215:-1 gene:ORGLA09G0024300 transcript:ORGLA09G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFSKKTKEMWWFTEGVSDNCPTVDVHPATILEGSSHRDGSIYKFCPGFIQVFQIADRHETCLEPMMLSQPMDCQPNRDTCTVHFSTRMMQIFSIKLAKIHMYSGPVQIYGYIAVRDHLDSMLNYVVNRSRDDPIIVKQGSCIEMIGPKRGISMSCSVVLEFDM >ORGLA09G0024200.1 pep chromosome:AGI1.1:9:3820358:3822702:1 gene:ORGLA09G0024200 transcript:ORGLA09G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIHHHLAVPVAPAPPPRGHGPATAARRLTRHRPRCRSGAAAGARGRTMMAVIASSMVEPASGEETAARSAADVVRAFYDGVNRRDMAAVEPLIAEGCVYEDLVFPNPFVGRAEILGFFAGFMGSVSSDLRFVIDDISAGDDSRAVGVTWHLDWKGRPFPFSRGCSFYHLQLDEKQQQLQIVYGRDCVEPAVKPGESALLIIRAVTWIFERFPRLANMLTKCVIGKIIWKSRAPARCKFFMYTAMRGACLTADNLQRRGWHLAPVCHLCSQDRETCAHIFLSCRYTQQVCAPVRGRLGLSSTTPSADLSSWWRSARKSISKQDRKTFDAGVILVT >ORGLA09G0024100.1 pep chromosome:AGI1.1:9:3795509:3804772:-1 gene:ORGLA09G0024100 transcript:ORGLA09G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSCLHLLIILAAGVLQAARAQPDSNGFISIDCGLSGTASYVDNATKLSYSPDAAFTDAGTNNNISVEYFSPANSRIFDNVRSFPSGAAPRSCYTLSSLVAGLKYLVRANFMYGNYDGLRRPPVFDLYAGVNFWRTVNITDAAASITAEAIIVVPEDSMQVCLLNTGAGTPFISGLDLRPLKNSLYPQANATQGLVMVDRVNYGPTDTFIRYPDDPRDRGWRPLIDTTRYVEVSTTKTVQNVAKDLFEVPSAVMQTAITPRNASDSIEVYWTADPSAASAGDPPPGYIAIMHFSELQLVQGNAVRAFNISLNDEWLDRMMPDYLYADADYNTVPFRGSNRYNLTFRATANSTMPPIINALEIFSVIPTTNVPTYAKDVSGITAIKKQYEVKQSWMGDPCVPKTLAWDWLTCSYAISSSPTITGVYENNPDLCIDDTCPSPNGKPKLAIYISVPIVAVTMILVLVLFCLLRRKTKGSANNIVNPHSEPTSHSHGSDSYGHGSIQLENRRFTYKDLQMITNNFEQVLGKGGFGYVYYGILEEGTQVAVKLRSQSSNQGVKEFLREAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLEEHIAGRDRNKRNLTWTERLRIALESAQGLEYLHKGCSPPLIHRDVKATNILLNMKLEAKIADFGLSKAFNHDSDTHVSTSILVGTPGYIDPEYVISKFFFIYYIQSLTTK >ORGLA09G0024000.1 pep chromosome:AGI1.1:9:3793355:3794005:1 gene:ORGLA09G0024000 transcript:ORGLA09G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEITAVEQASKRGIHLLVVVPPVTTGQMLQPTTNLSSHHFIHYLNGTKKDFPNAVAAYVDVRDVARAHALVYENPEANGRYLCVSAVLHRCDNKSRPLIKPYKFSNKRLRDLGLKFTPIKESLYNMILSLQEKGDLPTTVVPRASL >ORGLA09G0023900.1 pep chromosome:AGI1.1:9:3789074:3789728:1 gene:ORGLA09G0023900 transcript:ORGLA09G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEVGHRVCVTGAGGFVGSWVVKELLHRGYVVRGTARDPSARKYPHLQTLEGAAERLSLCYANVMDYNSLLVAFDGCDGVFHVASPVSNDPIYLIKIEGCLHIF >ORGLA09G0023800.1 pep chromosome:AGI1.1:9:3754318:3754542:-1 gene:ORGLA09G0023800 transcript:ORGLA09G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFSIHPIMDLLMGNYYLAFTNESLSMAVTVVFLLLRVYFLYIWVRAAFPRYRYDQLMGLSDDPKLKDQKKARAA >ORGLA09G0023700.1 pep chromosome:AGI1.1:9:3724284:3727159:-1 gene:ORGLA09G0023700 transcript:ORGLA09G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPSWATFFAVMVATVVFAFFLQAVLLRRRGAYKLPPGPKPWPIIGNLNLIGALPHRSIHEISKRHGPIVQLWFGSCPVVVGSSVEMAKLFLKTNDAVFADRPRTAAGKYTAYDCADIVWSPYGAYWRQARKMCVAELFSARRLESLEHIRHEEVRALLRDLHSAGVAGNAVQLGDHLSMATLGVISRMVLGKKYVEKQPAGAETASSPPTTPEEFKWMMDELFLMSGVLNIGDFIPWLGWLDLQGYVRRMKKVNRLMHRFLDRVLDEHDERRRLQGDGFVARDMVDVLLQLADDPNLDVQLTRNGVKGITQNLVTGGADTSAVTVEWAMSEVLKNPAILAKATKELDNVVGSGRLVTESDIPHLPYVDAIMKETMRMHPVAPLLIPRMSREDATVAGYDVPAGTRVLINTWTISRDPSLWDSPEEFRPERFVGSGIDVKGRDFELLPFGTGRRMCPGYSLGLKVIQLALANLLHAFSWNLPDGIAAGELSMEEIFGLTMPRKIPLLAVVKPRLPDHLYAEP >ORGLA09G0023600.1 pep chromosome:AGI1.1:9:3697592:3700413:1 gene:ORGLA09G0023600 transcript:ORGLA09G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKAEGGKMVCVTGAGGFIGSWVVKELLLRGYAVRGTARDPSSQKNSHLQKLEGAKERLSLNYADVMDFDSLSVAFNGCEGVFHVASPVSVDPRLVPVAVEGTKNVINAAADMGVRRVVFTSTFGAVHMDPNRSHDTVVDESCWSNLEFCKQKDWYCYAKTAAEMVAAEQASKRGIQLVVVLPAMTLGQMLQSTINPSIRHIADFLNGSRKTHRNAVAGYVDARDVARAHALVYEDPKAHGRYLCIATVLHRSELIQMIRELFPQYPITCNKCEDSKQMVQPFKFSNQRLRDLGLTFTPIKESLYNTLICLREKGHLPPYSSL >ORGLA09G0023500.1 pep chromosome:AGI1.1:9:3668055:3681165:1 gene:ORGLA09G0023500 transcript:ORGLA09G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVSIPAAVRRTIQNIKEIAGGHTDEEVYAVLRECNMDPNETTDRLLNQGTFHEVRRKRDKKKESAKESADARWRPGMQGRGGKGGWGNSSRQLSNSSDITGRNAPAEKEIGVNPNMDKCNSSVPVNPNTDTKTSTSISSLSVGQSNGSSEPVASMEKSSLAIGQLQISDSKGISDLEGECIPALDPVLTPAPEVHGHGETVSTKHAYASQLAAGEKVVSNDVSTASQGTSRSSGSSSTVVPSGSRPSSSCSSRAQQLSSVQKVVPNKEWKPKSTNKPAHAENVICDKVPVSVETVPQSILVSDSIHKEDTTSGVETRPSDMRLSDKQHVIIPDHLQVAESEKYGLSFGSFGACFEQSASFSKDTESEKCSTPQCESSQDADEVLDEPAASHQGVSSTVEMAAESDLQQLPAETADNILPQKVDSSSSIPEVAESDQSNDTIASHVPQDSVETTTPYPPQQSHGDQIPSLETSESQARLVQQVNDSSAGYYTQFYRPPADFDGRISPFTASGAAIKYGNLSVMPTQTGHAQEGINSFVLPSVGSTPLATPTPGAVPNSVGIPQQPLQLFRQHLGVLPQYPPNYFPYSQYPLYVPPQPLHFMVPQPPSTGGMYPPVSAAVAPPGKYPTNTYKPGANNGTQTHVGNHGAYGTYDSSPSIYTNNTMVASGTSVESDDISGSQFKETNVYIAGQQSEGSGVWIPAPGRDISGLQPSNYYGLPLQGQHLAFAPAQAGHGTFGGIYHPAQTMAGAAVHPLLQPPQAIAGVGEMVGPPANGYQQPQRAQMNWPNY >ORGLA09G0023400.1 pep chromosome:AGI1.1:9:3654504:3662419:-1 gene:ORGLA09G0023400 transcript:ORGLA09G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phosphoglycolate phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT5G47760) TAIR;Acc:AT5G47760] MANGLPNPLLTADAARSLVDSVDAFLFDCDGVIWKGDQLIEGVPETLDLLRKMGKKLVFVTNNSRKSRRQYSKKFRALGLEVTEEEIFTSSFAAAMFLKLNNFSPEKKVYVVGEDGILEELRLAGFECLGGPEDGKKNILLEANFYFEHDKSVGAVIVGLDQYFNYYKMCYSCRYASLCIRENPGCLFIATNRDPTGHMTSVQEWPAGAGTMVAAVSCSVQKEPIVVGKPSSFLMDFLLKSFNLETSRMCMVGDRLDTDILFGQNTGCKTLLVLSGVTTLPELQDASNTIHPDLYTNSVYDLVGLLQQ >ORGLA09G0023300.1 pep chromosome:AGI1.1:9:3624413:3625519:1 gene:ORGLA09G0023300 transcript:ORGLA09G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATATATATGRRAALTFRRLLSSHSAAPVPLPLLGYFHHPAPVPPRGCPPRPHTTLTVPAFQPLAASSTSPRRLSLDFVPDLSHFTILLLRHRERHDAFLVCDPVSRRHALFHPPPVDEYSSGGIFSAALLSRDDAAAGDPGGDGDGGGLRFGAVCVAVNLGCPCAWVAIEFDPDLLECLAVRAAGSLYWHIRNNSWTLALDTATLPFSFLRAPAAMWDSTTHHRYRVGEMPGAGGRLCVASLEPPGLLELWVLGSGEGSDHGWVMERRVRMLEVLDAVPWLSRNVLLRHLVLWLSDIDAGRTGKVFIATARFGRFSYHLDTGEMECLATEDGMEYGQPIFPYFSATADG >ORGLA09G0023200.1 pep chromosome:AGI1.1:9:3613974:3616433:1 gene:ORGLA09G0023200 transcript:ORGLA09G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETLQTLLPPPPAEEAPTTTVAALTDDTLRNILHRLAPADLLRAALACHRWRRAAARCVTGAPPPLLGYFFHPADTPPPVHLPFSASRGRLHPAAFSPVDASSSSPRLSLDYLGGTKGFIIYDVYLGLVLLLPTSLPSGTLPRILVLDPASRRRALLPQPPRDALPGDRWRGRRHIVGAAVLSRAHPSRLCFDAVCLTVDDKHPRAWVASYRDGECSWRALPRDTGVTVAFDPYWFEGRCVHAAGDIYWHICHSGRLLKLDPATLSFSYLLAPSELGDSNKKFRIGETPEDGRLGMATVEDQEMQFWVRGEASGSDNGWFLRKRMNMRRVLDTIPGLPRDMMSRIISIWLSDIDAGRTGKLFIKTQGYGRYSFHMDTGKLERLATEDGKEYGHPIYAYYVAWAPAFLAPELEGDDY >ORGLA09G0023100.1 pep chromosome:AGI1.1:9:3603598:3604758:1 gene:ORGLA09G0023100 transcript:ORGLA09G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCKTQKQTRERKTPLLARRSPPLGDGDTGQPLRRRGLPPPVAPPSLLLFHSPPRPLPPPHSGSPVPTKGDPPCPGAPTVVATFHPLTASSPRLSLDFLPDAADFELYDSHLGLLLLHHHNRPFLVCDPVSRRHARFHPPPLLCGRIVGAALLSREAEADDPGDGGLRFEAVRVAVDDDRPRAWVATHRDGVCSWRALPRSRDVAIEFDPHWPXYLAVRAAGSLYWHICNNACALALDAAALQFSFLRAPAVMWEPSAHHKYRVGEMPVEGRLCVGSLEDEGFSSGCVGAARGATTGGCWSGTCACRRCSTRFHGCQETSCSAMPTFGSATSTPGARARCSSPPLGLGASRTIWTLESWSAXRWRTAWSTGTPSSPSSRHLSFAASLN >ORGLA09G0023000.1 pep chromosome:AGI1.1:9:3597509:3598633:-1 gene:ORGLA09G0023000 transcript:ORGLA09G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASRGAALACRRLSRRLLSHSSSASVLPPLLGHFHQPMVVPPVGLATAPPEMEKLTVPVFHPLTASSSPRLSLDFVPDLSHFSLYDSHHGLLLLRHYNCEYFNPRLFLVCDPVSRRHALFPPPPADEYAGGRIFGAALLSRQADAADGGLRFEAVCVAVDVDRPRAWVATYRDGECRWRALPRSRGVAIEFDTHWLEYLAVRAAGSLYWHICYNPCALALDTDTLEFSFLRVPALMFDGTSNTHKCRIGEMPEDGRLCVGSVERQELLLCVRGSGDGSDNGWVVERRVRIREVLDGVPWIPKNSFLRHFNLWLRDIDAGRTGKVFIGTLGYGIFSYDLNTGKLENLATEDGMQYGHPILPYFSAPVDAGSD >ORGLA09G0022900.1 pep chromosome:AGI1.1:9:3588014:3588811:1 gene:ORGLA09G0022900 transcript:ORGLA09G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1QMF0] MVSLDLIHNVVGIVGNVISFGLFLSPVPTFWRIIKNKDVQDFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIEAVYLTIFFLFSDKKNKKKMGVVLATEALFMAAVALGVLLGAHTHQRRSLIVGILCVIFGTIMYSSPLTIMSQVVKTKSVEYMPLLLSVVSFLNGLCWTSYALIRFDIFITIPNGFGVLFALMQLILYAIYYQTTPKKQDKDLELPTVAPIAKGTSIVAPVSKDDDINGSTASHVTINITIEP >ORGLA09G0022800.1 pep chromosome:AGI1.1:9:3585569:3587337:-1 gene:ORGLA09G0022800 transcript:ORGLA09G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRHLGFVVVGTFFLPFPLGISQRSEKKSSDYCPKCKLIPIPKSQLTAYDPQIPKSNRPLSPTSSSRGRSGLSAPPWSRRVPSPALAPAARHLLASPGHGGRLERRRRGMQTERRRARRRTDVGGGKGGGGIDGRGWRPDRQEKEGQVRRRPESVVAXWAHRCAPQGGCCCRKEAATTVSRRGXCPXEGATPPGKGTAGRRRASCEVAGRRMSSPRAKALYEGHRGPWLTGGEXGQWAPAAAAAAAGAGYGGGRHGLWWQRGSVGDSDGRGGSGLHACGAPTV >ORGLA09G0022700.1 pep chromosome:AGI1.1:9:3582870:3583208:1 gene:ORGLA09G0022700 transcript:ORGLA09G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRVDVIHKILRERIEQYNRKVGIENISRIVQVGDGIARIIGLSEIMSGELVEFAEGTRGISEFGIQKCWDCTNGQWVDDTRGQFYKSNMKNCSDTRERGLLGSCYKCSV >ORGLA09G0022600.1 pep chromosome:AGI1.1:9:3579186:3579983:1 gene:ORGLA09G0022600 transcript:ORGLA09G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1QME7] MVSPDLIRNVVGIVGNVISFGLFLSPVPTFWRIIKNKNVQDFKPNSILVVTINGIGLVIEAVYLTIFFLFSDKKNKKKMGVVLATEALFMAAVALGVLLGAHTHQRRSLIVGILCVIFGTIMYSSPLTIMSQVMKTKSVEYMPLLLSVVSFLNGLCWTSYTLIHFDIFITIPNGLGVLFALMQLILYAIYYRTTPKKQDKNLELPTVAPVAKDTSIVTPVSKDDDVDGSNASHVTINITIEP >ORGLA09G0022500.1 pep chromosome:AGI1.1:9:3567517:3570077:-1 gene:ORGLA09G0022500 transcript:ORGLA09G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREANNPTKSSKAMGRDLRVHFKNTRETAFAIRKLPLGKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPAKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPESQIAARKA >ORGLA09G0022400.1 pep chromosome:AGI1.1:9:3559513:3562256:-1 gene:ORGLA09G0022400 transcript:ORGLA09G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVPDVVTDKKCDKTMAASSEMSQSQEVISRGGKLGTASSRETLLGDVATSSGVKEQDKDSCSVDESVKLDGNEDAEKSSLRGSVKDSSASAKGSDRASSLTKVSGSTKVSDHAADIVGSGKSSVYRASAGSDVSDESTCSSMCSSASKPHKSNDSRWEAIQMVRTKEGSLGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKIMDKASLAGRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQPGKFFPEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLLKSSNPGVDPNQKGNPSYCVQPVCIEPACIQPSCVTTTTCFAPRFFSSKSKKEKKAKTDIASQVRPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQSLRFPESPVVSFAAKDLIRGLLIKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPDIPKPVEIPRSVASTSQKATTTAEKGSDNYLEFDFF >ORGLA09G0022300.1 pep chromosome:AGI1.1:9:3528141:3532877:-1 gene:ORGLA09G0022300 transcript:ORGLA09G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASEEAIKQFSALMELLDEPLKTTFQHVHQGYARGTLVRFLKAREWNVPKAHKMLMDCLNWRIQNGIDSVLAKPIVPSDLYRTIRDTLLVGLTGYSKQGQPVYAFGVGLSTLDKASVHYYVQSHIQMNEYRDRVVLPKASKMFGKQINTCLKVMDMTGLKLSALNQIKMLSTITAIDDLNYPEKTETYFIVNAPYVFSACWKVVKPLLQERTKRKIKVLYGSGRDELLKVMDYETLPNFCKREGSGSSNDSSDGVDCYSYDHPFHQELYNYIKQQALNEDSIGPIKQGSMHVDVPTPDLEEAKIMETIESELHKFSGANGLSHSFNRIKIEGP >ORGLA09G0022200.1 pep chromosome:AGI1.1:9:3519076:3520017:-1 gene:ORGLA09G0022200 transcript:ORGLA09G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQGHPLLQDQPHLGILTNGLAPTENKLDMVQCDENNKVFYAAHQLQGAALECWKGYYATDKDPQAISWAEFSSILHYMDVSPGFIKTKDHTKDGVCTLCSQGYRYELMWCPRNKPRNCACARCGEIGHSASEHEISCSSCEQSHLLGECPMSKLTCYLCEGDDHVPIKCPLSSILTTVTQHQREAYQEVIQQVIGETNKMIVTPVKKFREVDDSSLQYQCKRGSESASKVACSACGEQGEAASYKAKCMSKTRKASSKTKNVVCFSCNEMGHFANRCTLRHQTPAQDKTIPKAILTKRTTPTTGGEGKKRRKL >ORGLA09G0022100.1 pep chromosome:AGI1.1:9:3507155:3510314:1 gene:ORGLA09G0022100 transcript:ORGLA09G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMPSHFIGRRQVEGDCRLLEQVIAVILLVGVAFEMVLTKLLVGAELLGQWDVSDALLETPTTTGPGNAPQQHILTPRRLEFSMINNVAHYDFNGHTIVPLSCIIQGSTQNNNKTIFVAENSALYKNDSVKAMCSRAMEDYFLLPLSDQAYSEYLQ >ORGLA09G0022000.1 pep chromosome:AGI1.1:9:3464551:3465726:1 gene:ORGLA09G0022000 transcript:ORGLA09G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENGDSRFVRDLVSPTPAPPLLPMQQALRALSSLQIIQGLRVASPERLPQPSSGQRRILPMAPQARRWRADGSTTMSVASLPLNQVRAIGLFLNTFLVSTMRRQEGPLYHNAIVIPTIAPAPGPAPPTTQMLAMENTMPATVEPVTNHKIINHVIMHFMRETPDIQRLHGVVSPVAVHGNGNPLSCIYCGLVFALRSSEIPGFLPPPGFSYPEPMGPPPLLSLLPPARDASRTAAVCSDPHHFAVTMQYMPKQEMADLIWSSEIPSIHILSAAGGQHVGTVPSLTGITGDTTSMVNLMQMPAIHMEQHMLPPTMLNSSASPECITSTAVPSTLNMMPMRDILIDQLSLPPAISSSSASGVLCEYVMPEHEDMVRLTLGQSSTMDLDLSL >ORGLA09G0021900.1 pep chromosome:AGI1.1:9:3449194:3449481:-1 gene:ORGLA09G0021900 transcript:ORGLA09G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHQQKMQMPPSSKDDEPAAATRPPPPKREERAEKAPEMEKKVVVTVVNGEEEDDDSGSTDNGRRRCICSAAGQPAGHFRCVCAETDGSDEPDE >ORGLA09G0021800.1 pep chromosome:AGI1.1:9:3447371:3448168:-1 gene:ORGLA09G0021800 transcript:ORGLA09G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1QMD9] MVSPDLIRNVVGIVGNVISFGLFLSPVPTFWRIIKEKDVKDFKPNSILVVTINGIGLVIEAVYLTIFFLFSNKKNKKKMGVVLATEALFMAAVALGVLLGAHTHQRRSLIVGILCVIFGTIMYSSPLTIMSQVVKTKSVEYMPLLLSVVSFLNGLCWTSYALIRFDIFITISNGLGVLFALMQLILYAIYYRTTPKKQDKNLELLTVAPVAKETSIVTPVSKDDDINGSTASHVMINITMES >ORGLA09G0021700.1 pep chromosome:AGI1.1:9:3429123:3430067:-1 gene:ORGLA09G0021700 transcript:ORGLA09G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGSGSGGAALGRVGCTSLVSGCGYGNGGRTARVLDPAAPTSGGCKGRPKTVDRTAKIECEMLEEQQGKLAHGQDGAPRRRCSRHGAQCSLPHQDKGESKVALPAREKAKGGGGKGALPPSILGGGAGVACRSSVTMLVACGMWSRGRGDNGG >ORGLA09G0021600.1 pep chromosome:AGI1.1:9:3424398:3427059:-1 gene:ORGLA09G0021600 transcript:ORGLA09G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACERERGRVFPKRRERRGEEENMRXRKKGGEEHVSSVGARAAHVEREGGCVRGSRAIQTTIAVREENVLVCDKDRHSNSKMLSEDMESCIHMYKEQKYLMMVIMLTTNKG >ORGLA09G0021500.1 pep chromosome:AGI1.1:9:3422145:3422888:1 gene:ORGLA09G0021500 transcript:ORGLA09G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1QMD6] RPLPLLVNRSSFESIDASHHPLLTQNPQHLVPFVGAQGGNLDYLETLPSPRLLATHLPLSLLPSTVTTMGCRIIYLCREPKDAFISRWHFDNKIAQGAKINIDTTFTMFLEGCSPFGPFWDHYLQYWKESLQRPRDVLFLRYEEIVFDPLKVVRDLAGFIGVPFTEEEVKSGVDQEVVRLCSFKALSDLDINRNGGVERAEGKIFIAYSVLFRQGKTGDWVNHMSKDMGEKLDQLVEDKFKGSGLKF >ORGLA09G0021400.1 pep chromosome:AGI1.1:9:3407095:3408771:1 gene:ORGLA09G0021400 transcript:ORGLA09G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKINAINHHHLIDISPELGGANAIDGPKEMKELGIVDGAVEMKELGVAAAVHGAGEMKELGGTASIDGAADKKELGGAVAGAGGAAETKWLRKLTSSSVNTAVLRDLIARTPMLWYLGERSGTILRPRSRRAGVDALHAVRAVAIGPFHRRDHWLPFPDDAKLPFLRYLQDQCGLDVEHYVAALADESDRLRDEFADDDVGDDVAAEILEDEEKFLQMVLLDSCFILVVSMMLSKVCTDGDKASCVSRAASISREYFILHMAVSQHAEDIKLDMLVLENQVPFAAVKLLAASCSKLKLLRPVEELVLGCFDDILPKRASPAAGDTEPFQHVLHLFHWSRVPTSKYCILSTPRKLLKIKKESERLFPSSMELCRSAVWFRSAAASCGDLDMWFWGRTASPVAVMTIPCLDVHEYSATVLHNMIAFEKHFHWAHGACVTAHVARMEGLVRCPQDAAFLRRRGVLSSMRKTDAELVAFFRELGEETVGARLPDEYAEMVDAVACHRSRKVSWWCGGFVLHFFPSPWVVVSLVAAAAVIVVPSLLQTVYTILSYVKTT >ORGLA09G0021300.1 pep chromosome:AGI1.1:9:3405093:3405590:-1 gene:ORGLA09G0021300 transcript:ORGLA09G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPASAANNGGGNWPGQDSCLTAAPGRGAHSKRLNGSSGSRGKKSPGPAGVKVRMEAGVYVAVHALRVGENVVAADGSPGSQDPLQRVWLEVHVWAADAGVQGGGHATSVVDDERRRP >ORGLA09G0021200.1 pep chromosome:AGI1.1:9:3379881:3382530:-1 gene:ORGLA09G0021200 transcript:ORGLA09G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAASSSLPAAAFSPPSSLSSTSSSPHALRSAVAGAARAVRCSAAKDSILYALEHDEMFNSAEVIQWESGKSINSIAAAQGIRIRRRCRPRYPSEGSGADKAVPRNMLEQIIWDKEVEVSQRKAKKPLQKVIESSQHAPPARDFVGALTAAYSRNVAPALIAEVKKASPSRGVLREDFNPVEIAQSYEKNGAACLSILTDEKHFQGSFENLETVRNSGVKCPLLCKEFVIDIWQIYYARSKGADAILLIAAVLPDLDIKYMLRICKNLGMTALIEVHDERELDRVLKIDGVQLIGINNRSLETFKVDTSNTKTLLEKRGDLIRDKGILVVGESGLFTPDDVAYVQNAGVSAVSLLITRFQLSWSYMCFKFPAEILYGTSEMD >ORGLA09G0021100.1 pep chromosome:AGI1.1:9:3368799:3376785:1 gene:ORGLA09G0021100 transcript:ORGLA09G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLAVCAVSFHLCLLLSSTSSLRLNPTTEDTANHGRRTAYHFQPAKNWQNDPNGPLYHNGMYHLFYKYNPHSALWDIGNLSWGHSVSGDLLNWAALDTALDPTSPFDANGCWSGSATILPGGLPAILYTGIDAGKEQVQNVAFAKNPSDPLLREWEKPAYNPVIALPPDVPGDNFRDPSTAWLGRDGLWRIAVSAEVDGVASTLVYRSEDFVRWERNAAPLHASRAAGMVECPDMFPVAENGEDGLDTSTNGAGGVRHVLKLSVMDTLQDYYMVGTYDDAADAFSPAEPERGDDCRRWRRLDYGHTFPRKMWLAKDGKQLLQWPIEEIETLRRKRAAGLRRGTRLGAGAVQEIVGVASSQADVEVIDHSVVESFGGGGRACITARVYPEHVATSSSHLYVFNNGSDAVKVAXLEAWDLATATVNVVVGDHHGLAAPALQLEPTRTTQ >ORGLA09G0021000.1 pep chromosome:AGI1.1:9:3364244:3364720:1 gene:ORGLA09G0021000 transcript:ORGLA09G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPSHCCGALLDRIEFPAQAVVAVPRMALLQYSDQHFCYHQWCPTTIAEGGKLMRANGKTMLVKAAAFHTLPLLCATTRRRVALPLDVKGLQPHQVAIATQLPRALPTRHPTITYHHPILISSTSRRPTTTEKTWRVWGKKRNDRQEEDEVWVMLYFF >ORGLA09G0020900.1 pep chromosome:AGI1.1:9:3329956:3330318:-1 gene:ORGLA09G0020900 transcript:ORGLA09G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:I1QMD0] MARHPGLLLILLAAVAAVATTSRAQWVGGWNVIEDVAGNNQIQRVGAWAVGKHNQLGTNDRLQFVRVVAAEEQVVQGSNYLVVIDAASSRKKTRELYVAVVADLVGATTYQLSSFKLATK >ORGLA09G0020800.1 pep chromosome:AGI1.1:9:3320234:3325447:1 gene:ORGLA09G0020800 transcript:ORGLA09G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLAVCAASFHLFLLLASTSSLRRAPTEADTANHARRTAYHFQPAKNWQNDPNGPMYHNGMYHLFYQYNPHSALWDIGNLSWGHSVSGDLLNWAALDTALDPTSPFDANGCWSGSATILPGALPAILYTGIDASKEQVQNVAFAKNPSDPLLREWEKPAYNPVIALPADVPGDKFRDPSTAWLGRDGLWRIAVSAEVDGVASTLVYRSKDFVRWERNAAPLHASRAAGMVECPDLFPVAERGEDGLDTSANGAGGVRHVLKLSVMDTLQDYYMVGTYDDAADAFSPAEPERGDDCRSWRRLDYGHVYASKSFFDVRKNRRVLWAWANESDSQADDVARGWSGVQTFPRKMWLAKDGKQLLQWPIEEIETLRRKRAGLWRGTRLGAGAVQEIVGVASSQADVEVVFKIPSLEEAERVDDPNRLLDPQKLCGEKGAAVRGGVGPFGLLVMASGDLHEHTAVFFRVFRHHDKYKLLMCTDLTKSSTRAGVYKPAYGGFVDMDIDDHKTISLRTLIDHSVVESFGGGGRACITARVYPEHVATSSSHLYVFNNGSDAVKVAKLEAWDLATATVNVVVGDHHGLVAPALELEPTRTTQ >ORGLA09G0020700.1 pep chromosome:AGI1.1:9:3303653:3304445:1 gene:ORGLA09G0020700 transcript:ORGLA09G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDMIRNVVGIVGNVISFGLFLSPVPTFWRIIKNKNKNKKKMEVVLAAEALFMAAVALGVLLGAHTHQRRSLIVGILCVIFDTIIYSSPLTVMSQVVKTKSVEYMPLLLSVVSFLNGLCXTSYALIRFDIFITIPSGLDVLFAAVQLILYVTTTGPHPRSRTRTSSCQPSPLSPRTPASPLSARTTTSTAALPAMSPSISLSSH >ORGLA09G0020600.1 pep chromosome:AGI1.1:9:3273257:3274054:1 gene:ORGLA09G0020600 transcript:ORGLA09G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1QKJ0] MVSLDIIRNVVGIVGNIISFGLFLXPVPTFWWIIKEKDVKDFKPNSILVVTINGIGLVIEAIYLTIFFLFSDKKNKKKMGVVLATEALFMAAVVLGVLLGVHTHQRRSLIVGILCVIFGTIMYSSPLTIMSQVVKTKSVEYMPLLLSVVSFLNGICWTSYALIRFDIFITIPNGLGVLFALMQLILYAIYYRTTPKKQDKNLELPTVAPVAKDTNIVAPISKDDDVNGSTASHVTINITIEP >ORGLA09G0020500.1 pep chromosome:AGI1.1:9:3219054:3221484:1 gene:ORGLA09G0020500 transcript:ORGLA09G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKGSPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGNSQGQDLAAKSEQPSGQGLYPAKPNSSKKWFCCMQPTAAES >ORGLA09G0020400.1 pep chromosome:AGI1.1:9:3213957:3215034:-1 gene:ORGLA09G0020400 transcript:ORGLA09G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAIPKIGSCRKVCIGLRRNARFSLRKSARRITKGVIHVQASFNNTIITVTDPQGRVVFWSSAGTCGFKSSRKTSPYTGQRTAVDAIRIVGLQRAEVMVKGAGQADTVGIALRRALLGEIEGTCITHAKFGSVPHGYSTIAGIEESVQEILLNLKEIVLRSNLYGVRTTSICVKGPRYITAQDIILPPSVEIVDTAQPIANLTEPTVFRIELRIKRDRGYHTEVRKNTHDGSYPIDAVSMPVRNVNYSIFACGNEM >ORGLA09G0020300.1 pep chromosome:AGI1.1:9:3196398:3200057:1 gene:ORGLA09G0020300 transcript:ORGLA09G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRLSAFIVLLQASHDSESLALFLNNEPEFIDELLSLLSYEDEIPEKIRRLGILSLVALCQDRSHQPTVLSSVTSGGHRGILPSLMQKAVDSIINGSTKWSTEFAEELLSLVSMLVSSTPGSLALQEAGFIPTILPLLKDTDTHHLHLVSTAVHVIEGFLDYHNPSSALFRDLGGLDDTIARLKIEVSQVDIGSKKSEEPQSMSKGKEVESSLPPPDMQTVHSEALISYNRRNLMKALLRTISLATYVPGSSARVDGSEENVLPPCLCTIFRRAKEFGGGVFSLAATVMSDLIHKDPTCFTVLDAAGLPQAFIDAIMGGILYNSDAITCIPQCLDALCLNSSGLQLVKDHNALRCFVKIFTSRSYLKALGGDTAGALSLGLDELLRHQSSLRSSGVDMLIEILNTISKVGCGGESSSCTESGNSSTPLPMETDVQGGTSRSEVGTSEVGSSEKMVDASLDATSSSIESYLPECICNVGRLIETILQNSDTCRLFSEKKGIEAVLQLFKLPLMPVSVSVGQSISVAFKNFSSQHSVSLARAVCSFCRDHLKLTNELLGSVSGTKLVNSDHVKQSPLLKALSSLEGLLSLCNFLLKGNAFMVSELAFADAEILRELGKVYIEVTWQISLLSDSKVEKQDMEQDDVPGDASVSNLSERDSDDDTNAASVTRHMNPVSVRTSSVSPWNMEQDIISAVRSAASIHRHGRHTLSRIRGRLSGAMDAAHTDIDSPFSPGESSQSHDTIKKSPDVVVSELLTKLGHTMRSFLSTLVKGLPARRRADSSLTPASRSLVIALAQLFLTALGYSGHSTAGFEMSLSVKCRYLGKVVEDMAALTFDSRRRSCNSAIVNSFYVNGTFKELLTTFEATSQLLWTLPFSVPTTGSDQASSISEKVSHNSWLLDTLQSYCKLLEYYVNSSFLLSPSHNQLLVQPMVTELSINLFPVPSEPESFVRILQSQVLEAVLPVWNHIMFPECSPSLITSLISIVSHICSGVGALKQSRAGVGAANQRLTSPPLDESSIATIVEMGFSRARAEEALRSVRTNSVEMATDWLFSHPEEFVQEDVQLAQALALSLGNTTEASKEDGCNKNGPSVVEDKGVILLPLDDILAVSTKLFSSGDDMAFPLTDLLVTLCNQNKGDDRQRVILYLFEQLKRFPSDSSVDAGALYSFARLLALLLSEDSSIREIGAENGVVPHVLNLLENLKSRTEKTDQTWNSISALLLIL >ORGLA09G0020200.1 pep chromosome:AGI1.1:9:3130127:3135372:1 gene:ORGLA09G0020200 transcript:ORGLA09G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNIGSRDVCVVGVARTPMGGFLGALSSLSATKLGSIAIEAALKRANVDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCSAVNKVCASGMKATMFAAQSILLGINDIVVAGGMESMSNAPKYIAEARMPMLFKAMSVELLLGTVVLLHGRLFRLKFLLGEGNHRYLLTKMRAWTSLTQXNXRSSVLVSRRMVVLLLLGMLLVXVTVLPHXYWXVGRRHKNLDCKLLHESKDLQMQLKLLNYLPLARHLPYLRLLLMLAWSLLVLITMKLMKPFQLLHLQIKSFLEFLQKKLMYMEELCLXDILLDAVVLEFWSPCLVFLGRKVAKSELLVFAMAEAEHLLSFSSLHKKPCKAQYIFLFYSRNSLLRPVIFGSGANW >ORGLA09G0020100.1 pep chromosome:AGI1.1:9:3119251:3123897:1 gene:ORGLA09G0020100 transcript:ORGLA09G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G61620) TAIR;Acc:AT3G61620] MEYVNPITGFRVDGRRPNEMRQLKGEVGVVARANGSALFEMGNTRVIAAVYGPREVQNKGQQVNSKDALVRCEYRMADFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPRSQIDIFVQVLQADGGTRAACINAATLALADAGIPMRDIVTSCSAGYLCSTPLLDLNYIEDSAGGPDVTVGFLTKMDKVTLLQMDAKLPMDTFETVMDLAIEGCKAIANYIREVLLENTKRLECQRG >ORGLA09G0020000.1 pep chromosome:AGI1.1:9:3115310:3116169:-1 gene:ORGLA09G0020000 transcript:ORGLA09G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSSGQIKVHIYLYGPLGRMPHIHHIXHSRDGVGVRSGAELEYVGSGAELEYVRGSHEVVISESTNGIGGGVELESMPTVVTKNSSWLDSGSVPTAATKKPSVYRRCGVIETPMGMPSSWLLDGEVRIYEDNAGGGDKSVDSVAYA >ORGLA09G0019900.1 pep chromosome:AGI1.1:9:3108473:3111402:1 gene:ORGLA09G0019900 transcript:ORGLA09G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT5G47710) TAIR;Acc:AT5G47710] MEEEEAGVRERRGVLKVVVASGTNLAVRDFTSSDPYVVVRLAAMNKKTKVINSCLNPVWNEEMSFSIDEPAGVIKFEVFDWDRFKYDDKMGHAFLELQPVAAAAKLRRALRLTTGETKLRKVAASVDNCLMCDSFVTYADGEIVLDARLRLRDVESGELFVTVKWIEANAK >ORGLA09G0019800.1 pep chromosome:AGI1.1:9:3102596:3103048:-1 gene:ORGLA09G0019800 transcript:ORGLA09G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNAWSMTYIAHELTILKNFVDGDDALQLELEALENDFQDYGVCVDVVNVVFDVEELPNSDEDQHANGNEPSQPRDLTNIQKRAIYAMLLEKSTNGKLEIDTTSIVA >ORGLA09G0019700.1 pep chromosome:AGI1.1:9:3099184:3099606:1 gene:ORGLA09G0019700 transcript:ORGLA09G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTPSCRPPPYPPYSLPPWLRPRFPTRDKWAGIPDFNLAPSPMVASSLIPRTWPASWSSRRTMTSSPNWTINRTKGLCDNLEDQHMDGIEELVEVEEAVVLQDDADAIADDEGVDEFAKTRESMLRLLVPMYFNILQK >ORGLA09G0019600.1 pep chromosome:AGI1.1:9:3094526:3096484:1 gene:ORGLA09G0019600 transcript:ORGLA09G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRTPLLVAAVLLLLLPQATPIATASPVAFSFPSFSLRNLTLLGGASLRATSVSLPPPSSRALFPLPLPFPRNASFSTSFVFASPAAARPASSLSFLLLPDLLAEGLAAKNRSLPLELTFDASRNLVSASSAGVDVDGNSTAAVDLRNGNEVGSWVVYDASLARLEVFVSHASLRPPTPALAADADSIAARFAEFMFVGFEVTSSSGNGSSDGGFLIQSWTFQTSGMPAVDPASRSSHNVSDSVDSAPALDGLAGHKDGRRRWLALGLGIPLPIVFLGAVTVFVVMSLKKWGSGFKKGLGAKAAVGKPRQYTYQHLFSATKGFDPSLVVGSGGFGTVYKAVCPCSGVTYAVKRSKQSRDSYNEFNAELTIIADLKHPNLVQLQGWCAEKDELLLVYEFMSNGSLDMALHPCSEAECHVPLSWAQRYNVAVGIACAVAYLHEEHDKQVIHRDIKCSNILLDSHFNPRLGDFGLARLKDPNTSPRSTLAAGTVGYLAPEYLQMGKATEKSDVYSYGVVLLEICTGRRPIESAAPDSMNMVNVVDWVWNLHSKGKVLDAVDPTLNGEYDAGQMMRFLLVGLSCVNPFSEERPVMRTVLDMLEGNSGLLSVPRKKPLLVFVPNAPIDLEGIVSECNQSTVSSGLYELKIDLN >ORGLA09G0019500.1 pep chromosome:AGI1.1:9:3036201:3060427:-1 gene:ORGLA09G0019500 transcript:ORGLA09G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAPTPSPSRPLCRSSDDGGRRGNVGDLLRSPFTAVVAALRGHHAATSGDDTAPSTDTAPPEQQHTAGGGELDGVDDGSGRRRERLDDGVFLTWEDVWVTAVDSRGKAATILNGVSGCARPGEVLAIMGPSGCGKTTLLDTLAGRLDSNLKMRGQILINGRCQKLAFGTSAYVTQENMLMATLTVREAIYYSAQIQLPDTMTVANKLARAEDTVREMGLTSALDTRIGGRSSKGISGGQQKRLSICLEILTRPRLLFLDEPTSGLDSAASFHVMSRITDLAAREGMTVIAVVHQPCSEVFELFHGLCLLTAGSTIFFGPASTAAEFFASNGYPCPPMRNPSDHFLRTVNKDFDKESEEKLQYEPAVADEAIDILVNSYKSSDTSEVAKQEMRQINEMDRRMIGRNRAGFVTKTFVLTRRSFVNMYRDIGYYWLRLAIYVAISLSLGMIFYNVGYGPDSARSRSSMLMFIGTLLTFMAIGGFPSFVEDMKIFGRERLNGHYGVVTFVISNTLSSTPYLLLTAVVPGAIAYYLTGLQKQIDHFIYFALVLCSCTMLVEGLMMIVATIVPDFLMGIITGAGIQGIMMLNSGFFQLPNNLPKIVWKYPIYYISFHKYALQGFYKNEFSRLVLQNDLEGHKTITGEKVIVELFQVETGHSKWVDLAILCGMIVIYRLLFVVIIKVLDMAKPMLKGVMLRCYTKSVIHGLEXPFCS >ORGLA09G0019400.1 pep chromosome:AGI1.1:9:3025404:3031980:1 gene:ORGLA09G0019400 transcript:ORGLA09G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07700) TAIR;Acc:AT3G07700] MAMMMADLSFSSSISNVKLQRFRASSNETSLIKRSPVFRAEARSTETEKFGTNGHAIKMVPTTELKRSKSGVRVRPDTVNGSPSGAVNGSTKVAINGSPNAAVNGSMKAVTNGSVNGTSLVKGSNMSALVKTQKRMRPNDDPFEEELKVLPSDEGFSWAKDNYNSWQRSADIWSFVLSFRIRVLFDNAKWAYAGGFSEEKQKVRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKAKAFIEKEMGCPIEVVYKEFDDRPIAAASLGQVHRAVLHNGERVAVKVQRPGLRKLFDIDLRNLKLVAEYFQSSEAFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYVPGIKINNLTLLDNRGYSRSLIASRSIESYLIQILKTGFFHADPHPGNLAIDTDGSLIYYDFGMMGEIKSFTRERLLSLFYAVYEKDANKVMKALIDLEALQATGDLSPVRRSIQFFLDNLLSQTPDQQQTLAAIGEDLFAISQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKQRRTSAPELVREIRKQANSARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAARKANVLQMATMYTAIGGTLLNVGVTLSSQGNQIVANGSFVGAGIFLALLIRSMQRVKKLDKFETMI >ORGLA09G0019300.1 pep chromosome:AGI1.1:9:3014119:3014379:1 gene:ORGLA09G0019300 transcript:ORGLA09G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLRATGWRMMGPRHATARPNPATLCLPPPRHPFDPEPGHSWSCASDGRYRCGGAAGFSFPPAAYIDLVVMFLCCRGVLQYSWVY >ORGLA09G0019200.1 pep chromosome:AGI1.1:9:3009933:3010969:-1 gene:ORGLA09G0019200 transcript:ORGLA09G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRVHVISLSLSLPLSLFSPRSLAWLARAAAGGRKEGTRRVVEVGPETARQWAKVEDKYAVTAIGVAALVGLWTAIGAIKAIDRRQASTFARRA >ORGLA09G0019100.1 pep chromosome:AGI1.1:9:3004567:3005170:1 gene:ORGLA09G0019100 transcript:ORGLA09G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGGGDSGGGLTGGRRPLVQQGHEQDAFAAARLSQRAQCSTLRCHCGGRLGFAKVRGEASMAPCLTRLRPVSFFSPEISGGVRGRFCEQQY >ORGLA09G0019000.1 pep chromosome:AGI1.1:9:2989410:2999567:1 gene:ORGLA09G0019000 transcript:ORGLA09G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGGGSGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRSRYNRVFEFDYSIGGRACHMLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQAVFAGGRSQPKPHARKTERSISTXLIKYLLIFFNNELIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGDDRNIILSYGPCQFPTLGFIVERFWEIQAHXPEEFWTINCSHISDEGTASFGWIRGHLFDYSSAVVIYEMCVKEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNIDLHSIVHEQVAHPNWGIYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEINIAGEQFNASGLVVLAKNYLDVYRFDSWGGTLLPTYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLFHSFYSVFCAMWVXIPVWNVLIKACHLRMTITNLYSQFKKXSFXYVVTVVLFFVXKNILVAFXLFTMRSXXPCSLFQQLXHLILEXLAHLLCLLKLTSLAVWIKQELALMQRCMITXKGYLTVVMQPKMQIHGSPQQIXTRHWXWVTMKWGELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNVETVRPCAACNESEMFLKQRPTGEFMVGCRGFPQCRNAVWLPRSLSEAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMEISRFGSHSQTATPARNPNQTASGVRQGSSRQDLHTSFHPAGQFTNGQTPVVNPQCFRSTHTQSSGNASGQVHCTSCGEPCVLRTANTEANRGRKFYKCQDPSCGFFAWEDDVENSAPRGCGGRGRRGRSSSRQSSESASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVHGSCFICGDPTHFANVCPNRGR >ORGLA09G0018900.1 pep chromosome:AGI1.1:9:2972185:2972465:-1 gene:ORGLA09G0018900 transcript:ORGLA09G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGKKFGGGRPPTGTPSLAWSSVVVVVSLLAGASIVHNIYKPDMTIPPVESTDGGEQS >ORGLA09G0018800.1 pep chromosome:AGI1.1:9:2950663:2951541:-1 gene:ORGLA09G0018800 transcript:ORGLA09G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVTGAMGSLLPKMVQLLKEEYNLQIGVRKKIESLLRELDSVYAVLRVVGESMEPAGPHMLRRLRKKIGKLFKKVKVCRKIAGAIQDIDKKLKEVAARCGRYTVDDIVVAKPEYQATIDPRLLNLFKKATELVGIDGPMDELIEMLALGDDIHPSMNKPKVISIFGFGGLGKTTLAKAVYDKFKPGFDSGAFVPIGQHPDMKNVLRDILIDLDKQRYMHSIMTLLDERQLMNELQEFIQKKRYVFRLGSCTTYIF >ORGLA09G0018700.1 pep chromosome:AGI1.1:9:2942733:2948296:-1 gene:ORGLA09G0018700 transcript:ORGLA09G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XSHFHMKTPKSYCIREXLVVKISVWIVIHQLMHVRKFXRNVAVFHXLSLQWLVCXPINQWRTGPXYTNLLVWGMKAMTIIFPEDYYIEKNMSIWKWITEGFIHEEKAAGIGLFELGEGYFNELINRSLILPAEAEDKGYIDGCHVHDMVLDLVHLLSAEENFVTVLDGSEELVLLSRNSRRLALQCKSSEPNVECPLLANKGVEQLRSFVVTECCDISMASTGSHVIRVLALQNCLILDHCSKHSLHHVWSLLHLRYLGLQYIDSIELPEDVGHLKFLQVLDLLGTQIKELPESMGLLTKLVCLRANRIYKVSAGLIEELTSLEEIWIEAENDDRIQFMKALGKLSKLRVLQIRLSTYELDERPNRDLLDCLHNLHSIQTVDIYASSGRNVGRRARFPSMPSAPVLANLEILQVSDVVELIISSKPLLSRIAGDGFEGTGHGNTXKVTRAQLPQTGFQLHYDNKHGGGTSGDVYFQKLRIFKAPRSLVWFDIHNIICNEKAIMPSLESLKFTVHVRFLKDANLLCFDNQLGFGNLGRTSLQRVEADIYCAGAHTKEVEEAEAALAQAAAVHPNHPTLKIVRIFEDRLLSPYKELLVANKLLLISYNSSRPRXVVPDRNITYKTAFKNVKARVVKDDVGYFDFHWLLHNPNIRKFEVCIDCEDATLEEVEEAEAAACCAANDHPNRPALEIIRRDEDKMMLFDIHQEKFSVSINYENASLEEVEEAETAARYAVDVHLNRPTLELKRYGEEKMALSDQDQTEQKPCTTL >ORGLA09G0018600.1 pep chromosome:AGI1.1:9:2938515:2941769:1 gene:ORGLA09G0018600 transcript:ORGLA09G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferredoxin thioredoxin reductase catalytic beta chain family protein [Source:Projected from Arabidopsis thaliana (AT2G04700) TAIR;Acc:AT2G04700] MMSMASTTASPFCPSPMLRGRKCTVRVQAGAAGADASDKSLEIMRKFSEQYARRSNTFFCSEKSVTAVVIKQGLADHKDQLGAPLCPCRHYDDKAAEVAQGFWNCPCVPMRERKECHCMLFLTPDNDFAGQDQAITLEEIKDATSKI >ORGLA09G0018500.1 pep chromosome:AGI1.1:9:2908350:2913273:-1 gene:ORGLA09G0018500 transcript:ORGLA09G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDEPLYPIAILIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDIVDWFVPVVKRLAAGEWFTARVSSCGLFHIAYPSAPDQLKAELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQNYLKTEVMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEHSREQLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKEATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQQLLPVVINSSKDRVPNIKFNVAKVLQALIPILDQSVVEKNVKPCLVELSEDPDVDVRYYANQALQACDQIMMSS >ORGLA09G0018400.1 pep chromosome:AGI1.1:9:2901292:2903457:-1 gene:ORGLA09G0018400 transcript:ORGLA09G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKVSSITTTNENEGVSIRSDEVTGKSSTDGSTSSAAGKSSNLSLDALAKAKKALQLKKELSEKLKKLPVLNNKLGVTSTDTQIPKKETQPVSSSGASEMAAGAALTEKMAATAGAVGIPGLANIPNLDAVKRAQELAAKMGFRQDPQFAPLINLFPGTSSELTVPQKPAKAPVLRLDAQGREIDEHGNVINMTKPTNLSTLKVNINKQKKEAFQIIKPDLDSLAKSSAHFDERMGINQNKLLRPRRPGFQFIEEGKLSRQAELQRIKNQFGEAQAKELKVKQAQLAKAKAEVDMNPNLIEVAPGRPPKQKQKEEIPEIEPWDAKILLSTTYDDFSMEKVNMEKITIYVEHPEPLEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGLLEPPKPKVKMSNLMKVLGAEATQDPTRMELEIRTAAAEREQAHVDRNIARKLTPSERREKKERKLFEDPNTLETIVCVYRIRDLSHPQTRFKVDVNAQENRLTGAAVIADGISVVVVEGGKKSIKRYNKLMLNRIDWAAAVDDDDDADEESDKPVNSCALVWQGSVAKPCFTRFSVHNCRSEAAAKKVFADASVPHYWDLAVNFSEDSS >ORGLA09G0018300.1 pep chromosome:AGI1.1:9:2896578:2898331:1 gene:ORGLA09G0018300 transcript:ORGLA09G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1QMA4] TAQCYLIASMGLQLLWSFGLACLDIYSLQTKRDLHNPVLVSLFVVGDWVTAILSFAAASASAGVTILFERDVHFCRMYPQLSCGRYELSVILAFITWSFIATSAVSMFWLLASL >ORGLA09G0018200.1 pep chromosome:AGI1.1:9:2891718:2892193:-1 gene:ORGLA09G0018200 transcript:ORGLA09G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAIRSTNAESNLEMHPWKICKMGTADRLVGFIDIDHSVLHNLDDQESSGSNSPCEVNVIERVVEDIHEEGEIRFEDELRAPVPPREPVEPVRTPSKHFTVVNSLLSRTNPARSFSRSLTTYR >ORGLA09G0018100.1 pep chromosome:AGI1.1:9:2883897:2886038:-1 gene:ORGLA09G0018100 transcript:ORGLA09G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAAGTWVKLEQKGDGPGARSSHAITLVGGTAYAFGGEFTPRVPVDNAMYAFDLKSQCWSALDASGDVPPPRVGVTMASVGATVYMFGGRDQEHKELNELYSFDTATNRWTLLSSGAGDGPPHRSYHSMVADAAGGGKVYVFGGCGDAGRLNDLWAYDVAAGRWEALPSPGEACKPRGGPGLAVAGGKVWVVYGFSGEELDDVHCYDPGTGAWSTVETTGGGGGGDKPSPRSVFCAAGIGKHVVVFGGEVDPSDLGHLGAGKFSAEAFALDTETGAWARLDDAGEHHPGPRGWCAFSAGEVDGRRGLLVYGGNSPTNDRLGDIYFFTPPLA >ORGLA09G0018000.1 pep chromosome:AGI1.1:9:2873134:2882132:-1 gene:ORGLA09G0018000 transcript:ORGLA09G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDFKAIPLIDISPLVEKIDDPNMANDKDLLQVVRLLDDACREAGFFYVKGHGIAESLMKEVRDVTHKFFQLPYEEKLKIKMTPQNGYRGYQRLGENITNGKPDMQEAIDYYAPIEPGKYGDLAKPMEGTNLWPKYPSNFDALLKHYISLLRDLSRKIMQGIALALGGPVDAFEGRTAGDPFWVCRLIGYPVSTDILEEHRTDTGCGAHTDYGLLTLVNQDDDICALEVKYLVNIFQVKNQSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAIEPVEFCREREQVVSPSMKRLYTGSI >ORGLA09G0017900.1 pep chromosome:AGI1.1:9:2818683:2819249:1 gene:ORGLA09G0017900 transcript:ORGLA09G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVWYSRDEEDLEVKPKPKPKDKVEGEGGVATVAFKSSSSSKERLFNNLSDDDDDSYHYSYFMAQGRKVMTQKPSHTSLDVDSSDEESDNELDDVLKSFSKPAMQHLAKLMRALDSKEQSLERQEELLILEKKRNLALVESLAKECAKNEQLANELNLANGSLASLRDVNETLQEKFASLDKSHKDF >ORGLA09G0017800.1 pep chromosome:AGI1.1:9:2815889:2816305:-1 gene:ORGLA09G0017800 transcript:ORGLA09G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:I1QM99] NEINERELSALKAVIKCIEEHKLDEKYPIDPLQRRVIQLEKAKADKRRAVEAGKPQSKRPRANGSVYAPHITSFSDKSFYQAAAPQRHSYPYERQYVYGAEAHHHPTMISSAPYGMSPAHTTYYGNGYQVQYQVPYIH >ORGLA09G0017700.1 pep chromosome:AGI1.1:9:2808133:2812248:-1 gene:ORGLA09G0017700 transcript:ORGLA09G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:I1QM98] MSDMESMATLMESTGSKLQQLQRAFAELESQSAVSLNLKWKQLEDHFHGLEQSLKKKFDDLKRQEEEFEETVAKSEQMLEQQEAVVVAKELTSLEKLQQKRDAALAVIFGKSKLNLSTPLINPISKSVNNNAVLNGNIVGSLSVKWPKPATAHGAYLQDENTAVKPRSQLVVLCEEMNVNGLHKFIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXISEGQVLTASIKERAKKIALEWKSKLDSLDFDASNGNCLEAHAFLQLLATFGIFAEFAQDELCKLLPSVSRRRQTPELCRILGLSQNMPGVIGVLVENGRTIDAINLAYAFELTNQFEPVELLKAYLQEVKSVPHFKTGKISLQVQNEMNERELSALKAAIKCIEEHKLDEKYPIDLLQKRVIQLEKAKADKRRAVEAAKPQSKRPRANGSVYAPHTSFPDKSFYQAAPPQRHSYPYERQYVYGAEAHHHPTMISSAPYGISPAHTTYYGNGYQVQYQVPYIH >ORGLA09G0017600.1 pep chromosome:AGI1.1:9:2802508:2805788:1 gene:ORGLA09G0017600 transcript:ORGLA09G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLWVVAVAVAVAVMAAAVEGKSYNITKILAAHPEFSKFNEMLSKTRLAYDINRRQTITVLAVDNSAMASLDHFTLPTIRHILSLHILVDYYGSKKLHALSHGATASSSMFQATGSAPGTTGYVNITSHKGGKIDFISEDADESAKPSRYVKSVKEIPYDISVLQVSSVLSSSEAEAPVPPPAPVNLTELLSKKYCKSFAGLLAANADVFRAVNETKDNGLTLFCPIDAAVAAFMPSYKNLTAKAKTAILLYHAVPDYFSLQLLKSNNGMVTTLATASESKKDYSYDVQNKGETVTLDTRVVNSAVTATVGDAEPLAVYAVTKFLKPKELYKVVEAPAPAPEPSKKHKSAADDSSDDSSDDSGDVKAHKGAAAPAPLARWVTAAAAAAVAALMLMA >ORGLA09G0017500.1 pep chromosome:AGI1.1:9:2777799:2787199:1 gene:ORGLA09G0017500 transcript:ORGLA09G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATASDPASIGPAAAFLAMPGWSPGPPIPEGMESFTNGDVRLLKHERSIIAEDDLDNRWQAATGEAVSEVIFLSKHTAVSNRPALTVHPIGWLFLGVPHLGEDETPPQGGRPGWAALPNPRIGPWLRLMQKIAVDQGLVPEFEITLEATHHGPVTNTPTMFVEIGSTEEYWCRQDAAQAIALVLWKGLGLDEGNTVGSWQGNSEKVLLGIGGGHYAPRHMDIVIKDGIWVGHLLSGYSLPMEVSPQGNGKSSSDVGGMWKHSIKVSYDATKAAFPGGQVIAHLDQKSFKGWQKNAIMSYLQELNIRIGKPNDFI >ORGLA09G0017400.1 pep chromosome:AGI1.1:9:2748013:2764567:-1 gene:ORGLA09G0017400 transcript:ORGLA09G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAITVSTSDPSIPFGFENNMKCEVSTVVELSVPPSFIEGRYTFVLGWSKWSQRLWRLWRGLWNSVYCISKNHHMHVKTMGYXMRLPISWNACCLEGLPARFTHWNPVSXMMLLSSGRLSKLILSVSAHKRSISAIXKVGALFADCFLMAQPGFNCSNSGDDLQRFRLTLSPECLQQDYVIAENTESSHTASPNGMVSIAQHFAVVHLHCIPLLLTLVQKLCQSPALDVIEDTNFNMRLSFGQRILKLVHGLAMEFPCDASDAMMLCSVARCTDSLPVLFKLKFKFANHDRVFSGDGVGTVLLQILDEFLQLIHIIFCNSDICCTVQVCILASLLEIFSPEKWKYDRSAACLMPPLVYSPHIVQYVLKLLNDTKRWTSRVDRDRPGKDVLGYSCNSETDGLSCHARSKKVPLLKKYTSEEYLQLIFPSVEQWLDDLVHLIFFLHEEGVKSMPLLEKPQMSCTKQVTLSELESVASHEEEALFGNLFAEARSTGVADSVEQPISLGSGPSSSQHGPIQLAADLICFMKMSIFSPEWCTAIYVDACRKFHSNHLEQFLSILQCPAFCSDESIATTSLSEVNSLHINTACFELLQMFLISHECPASLREDLVDKVFNAENGMYTYNNYTLALVARAIISGASSIYNLGRKVFVQYVGYLLEKANDKSSSSLDLNNFCRILPCAFHLEILLVAFHSTTGPEKADLINIVLSSLEKMRQPPLGVNASGLTRWALLLSRLLLVLRHMLLYPVTHPSWLLMRLRSRMRDILLKEGQSRSMNDYLPSFTAEVVEGIFADTVKEYASTSSLFPQLIDVTPAHAEIYFDKSALEALGLNFANLGSNISEILGVWKGRKAEVAEDLIAERYLFLICWSTLSGIGYSGGYEGLLNPDFADVNFFISFALSVSDDASSLLDANLPSVIFGFLKLLQSEILCGPSVLESWDFLRKGAWLSLILSLINTGFWGHQTSGKPDVDLQGKQVVQDAEIFGKSLLTFISENSGHCLHVLSSLLETYLHAFKEAYISFVEKGRVCEDHCYPSWLLKHSAFDKSKHPLLFEKVGSNIRMLEPICDLSSRIDRVATKLGDGRKEYFLLKCLLHGFPVNSASNNSAILSCVLVINEIIYMLNGCIKIMQPNDRDLVDVGVISKLLSMIMTIKSDRMFTSIHKLCDSIFMSLIDQNDDLAGYSDLFVLKQLEGYLADINSKEIMDNEVKEIIVFTIVDLVEDLRSKTNVFKFFLGEAEGAPEGANSLFALEQADMSVFIDVLDKCQSEQVNLKILNLFTDILGDGLCPDLKQKLQHKFIGMDVSCFSSWLEFRTLGHSMKIESTNSTTSGPTALRELTMDFLMRLTCPSSETLAKELQHHLFDSMLLLLDKAFMSCDLQIVKAHFHFIAQLSTDESHFKELFEKTLKLMENMVGNEGLLHTLKFLFTCVESVFGDAGSNRSALKRLSSKSSGNSFGSGSLIPKQLKNSDSLVLRTNQESNSTVDCDASSGEEDEDDGTSDGELVSIDRDEEEDGNSERALATKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGTSSVSPPVTSSFQPILPYHEDVEPVADSGSDFEDDISTEAENCIKLSVPKGFSDELPVFLKNLDVEVRMLELCKKLLPMILSQRELNLLKDRKVFLGGEMPMSQASDIFQLKKAFKSGSLDLKIKADYPNSRELKSHLANGSLTKSLLSISIRGKLAVGEGDKVAIFDVGQIIGQPTAAPITADKTNVKPLSRNIVRFEIVHLIFNPLVEHYLSVAGYEDCQVLTLNSRGEVTDRLAIELALQGAYIRRVEWVPGSQVQLMVVTNKFVKIYDLSQDNISPLHYFTVADDIIVDATLVPSSMGKLVLLVLSEGGLLYRLNVALAGDVGAKTLTDTVLVKDAVSMHKGLSLYFSSTYRLLFVSHQDGTTYMGRLDGDSSSITELSYICENDQDGKSKPAGLYRWRELIAGSGALACLSKFKSNSPLAVSLGPHELFAHNMRHASGSNAPVVGIAAYKPLSKDKAHCLLLYDDGSLNIYSHTPNGSDSSTTLTAEQTKKLGSSILSSRAYAGTKPEFPLDFFEKTTCITCDVKFNSDTTKSSDSESIKQRLSSDDGYLESLTSAGFKVTISNPNPDIVMVGCRIHVGNTSASNIPSEITIFHRVIKLDEGMRSWYDIPFTTAESLLADEEFTIVVGRTFDGSSIPRIDSIEVYGRAKDEFGWKEKMDAALDMEAHVLGGSSASGKSGKKAQTMQAAPIQEQVLADALRILSRIYLLCQPGFCTDTIDADMELNNLKCRSLLETIFQSDREPLLHSAACRVLQAVFPKKEIYYHVKDTMRLLGVIKSLPSITSRIGVGGAASSWVTKEFIAQIHTVSKVAVHRKSNLASFLETHAVAILNVTRKLGYAECLALHSNEKSGVSVAPAVALLKKLLFAPYEAVQTSSSLAISSRFLQVPFPKQTMIANDDAPDNHAKASAASNSATGNAQVMIEEDPATSSVQYCCDGCSTVPILRRRWHCNICPDFDLCETCYEILDADRLPAPHSRDHPMSAIPIELDTFGGEGNEIHFSVDELTDSSVLQAPADRTIQTSPSSIHVLDASESVDFHGSMTEQRTVSISASKRAINSLLLSRLIEELSGWMETTAGTRAIPIMQLFYRLSSAVGGPFMDSTKPENLDLEKFVKWLIDEINISKPFPAKTRCSFGEVSILVFMFFTLMFRNWHQPGTDGSHSKSGGSSDLTEKGPVHVQVSTTTLQSSNDDHDKNEFASQLIRACSALRQQSFLNYLMDILQQLVHVFKSSSINGEGGSSSSGCGSLLTVRRELPAGNFSPFFSDSYAKSHPTDLFMDYYKLLLENTFRLVYSMVRPEKEKSADKDKSCKVPNTKDLKLDGYQDVLCSYISNAHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQYSHEVKKLHKIINKSGGFRNPVPYERSVKLIKCLSTLCDVAASRPRNWQKFCLKHTDLLPFLMDNFYYFSEECIVQTLKLLNLAFYSGKDANHNAQKTESGDIGSSTRTGSQSSDSKKKRKGDDSSEGSSEKSCMDMEQAVVVFTGKDGDVLKRFVDTFLLEWNSTSVRHEAKSVLFGLWYHAKSSFKENMLTTLLQKVKYLPMYGQNIIEYTDLMTCLLGKANDSTAKQSDTELLNKCLTSDVVSCIFDTLHSQNELLANHPNSRIYNTLSCLVEFDGYYLESEPCVTCSCPDVPYSRMKLESLKSETKFTDNRIIVKCTGSFTIQSVTMNVYDARKSKSVKVLNLYYNNRPVTDLSELKNNWSLWKRAKSCHLTFNQTELKVEFPIPITACNFMIELDSFYENLQASSLESLQCPRCSRSVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFHFMAKPSFSFDNMENDDDMRKGLAAIESESENAHRRYQQLMGFKKPLIKLVSSIGEQEIDSQQKDAVQQMMVSLPGPTGKVNRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMTYLHQKNSNDTDALPACSIPRSPSSCYGCSTTFVTQCLELLQVLSKHATCRKQLVSAGILSELFENNIHQGPRTARTLARAVLSSFSEGDADAVQELNNLIQKKVMYCLEHHRSMDISQSTREELLLLSETCALVDEFWEARLRVAFQLLFSSIKVGAKHPAISEHIILPCLRIISQACTPPKSDSGEKEPGMGKSSLMQAKNDDTVGHSVTNLSTSKTQSELSGKIPDGSRRRQDISLLSYSEWENGASYLDFVRRQYKVSQAVKGLPKTRHDSQKSDYLVLKYGLRWKRRACQKSSKGDFSKFALGSWVSDLILSSCSQSIRSEICTLISLLCPSNSSRQFQLLNLLMSLLPRTLSAGESAAEYFELLGTMIDTEASCLFLTVRGCLTTLCSLITKEVSNVESQERSLSIDISQGFILHKLVELLNKFLEIPNIRARFMSDNLLSDVLEAFLVIRGLVVQKTKLINDCNRLLKDLLDSLLVESTANKRQFIRACISGLQKHVKEKKRRTSLFILEQLCNLICPVKPEPVYLLILNKAHTQEEFIRGSMTRNPYSSAEIGPLMRDVKNKICHQLDLIGLLEDDYGMELLVAGNIISLDLSISQVYEQVWRKHHGQTQHSLSNASQLSAAASSVRDCPPMTVTYRLQGLDGEATEPMIKELEDEREESQDPEVEFAIAGAVRECGGLEIILSMIQVIMLRYRSFFCCPCNMLRSINLYRLCRHIFNNLXDISEKILYLXILFYV >ORGLA09G0017300.1 pep chromosome:AGI1.1:9:2744748:2747824:-1 gene:ORGLA09G0017300 transcript:ORGLA09G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLREDELRSNQEELGSVLNLLKYCCKIRENRCALLRLGALGLLLETARRAFSVDAMEPAEGILLIVESLTMEANESDISIAQSVFTTTTEETGAGEEAKKIVLMFLERLCPPDGAKKSNKQQRNEEMVARILPNLTYGEPAAMEALVLHFEPYLMNWSEFDRLQKQHEENPKDETLSKNASMQRSAVENFVRVSESLKTSSCGERLKEIILEKGITKAAVGHLRESFASAGQASFRTSAEWTVGLKLPSIPLILSMLKGLAKGDLPTQKCVDEEDILPLLHALEGVPGENEIGARAENLLDTLANKENNGDGFLAEKIQELRHATRDEMRRRALKKREMLLQGLGMRQEFASDGGRRIVVSQPIIEGLDDVEEEEDGLACMVCREGYTLRPTDMLGVYAFSKRVNLGATSSGSGRGDCVYTTVSHFNIIHYQCHQEAKRADAALKNPKKEWDGATLRNNETLCNCIFPLRGPSVPPGQYTRCLDQYWDQLNSLGRADGSRLRLLTYDIVLMLARFATGASFSTDCKGGGRESNSRFLPFMIQMASHLVDGSANQQRHVMAKAVTSYLSSSPSTPESPVRLSALSGARGGSGSSEETVQFMMVNSLLSESYESWLQHRPAFLQRGIYHAYMQHKHGRSTLKLSADRSSSAVRSDEGSSADSNDSKRLFAIVQPMLVYTGLIEQLQQFFKKGKSSGTQKVDEKDGSSGGNLEAWEIMMKEKLGNMKEMLGFSKDVLSWLEDMTSSEDLQEAFDVMGALPDVFSGGHTTCEDFVRAIIHGAKS >ORGLA09G0017200.1 pep chromosome:AGI1.1:9:2739270:2743903:-1 gene:ORGLA09G0017200 transcript:ORGLA09G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRHGMKAVVVVVVIAHVLLCHVAGGGGGVPAVIVFGDSTADTGNNNFIQTMARGNYPPYGRDFAGGVATGRFSNGRLAADFVSEALGLPPAVPPYLDPSHSIHQLASGVSFASAGTGLDNITAQILSAMTLSQQIDHFRQYKEKLRWAKGEAAAHHIISQALYILSVGTSDFLHNYLVFPIRGNRFTLPRYEAYLAGAAAGAVRAVHELGGRRVKLAGLPPLGCLPVERTINPDRPGDCNEMYNMVALSFNARLKRLIGRLNWELPGAQVEYVDQYSILSAIIAKPWEYGFGNSMQGCCGTGFVETGILCALDDALACDDADKYVFFDAVHPSERAYKIIADAFINTTSPVFH >ORGLA09G0017100.1 pep chromosome:AGI1.1:9:2683137:2683649:-1 gene:ORGLA09G0017100 transcript:ORGLA09G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITAINFDDTFDFETMYTADDAGSLQAHNVANDEMQVFATNDQMKVFEANDEIXVFATNDQMQVFEANDEMQVFEEMSDHADTMHTSVATSATTATANTISSEDSGTDDSEAQSAPSQDFLCSTQVPYLALTFNSEEEARAHYNRYAKCVGFSIKINTSRKSAKDGERDK >ORGLA09G0017000.1 pep chromosome:AGI1.1:9:2648102:2651329:-1 gene:ORGLA09G0017000 transcript:ORGLA09G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAEKRGGINGLVVSHSAVDMFSMTIIENSRHRDGSIYRNKAFEGHFCITNRDETRLEPMMFSEPTDCRPSWETCSMHSACAMMQIFSLKLAKIPIDNDCIQLYGYIAVRDDLDKLLNYVVNYSRDNPIIMRQGDLIEMTGPKRGISMCCSVLLEFDMRIKKGEQEKDDLQLIDGAIDYIGLITATFPFTKRINGDCGAVDITLARVYWAVEATIQVIISDVQSGFNLYLSSLCFVSDVWKEIQLFNGPIGESCGLRRYVVAVSLDTWMHLKLKVGQKGSNHYVERYCSFKAINHGCSSQQILGELASISMKVTWSTLLD >ORGLA09G0016900.1 pep chromosome:AGI1.1:9:2639594:2639851:-1 gene:ORGLA09G0016900 transcript:ORGLA09G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRTPGGMGKQGGTPGERKSGGDGDKKERKFEPHPPPPRRPPAKKLPVAAASTMQWSTDLDRALRSRHPGQYTRQAPSLHLPHF >ORGLA09G0016800.1 pep chromosome:AGI1.1:9:2637677:2637982:1 gene:ORGLA09G0016800 transcript:ORGLA09G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNHIMALKVLFKSQLKQSQVKYQLRGEVEIQSHLRHPNILRLYGYFYDQTRVYLILEYALKGELYKEL >ORGLA09G0016700.1 pep chromosome:AGI1.1:9:2634220:2635153:1 gene:ORGLA09G0016700 transcript:ORGLA09G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRAYWDKALTKIFLDLCIAEKIKRNYNKKGLTNIGWQNLYRNFREQTGKNFDSKQLQNKFSTMKRQYKLWKSLKNMSGGGWDNNSSTIRCDDDWWEDRIEENRDAGQFHGKPLEHEDELTTLFGCMDTEEGTMLCVGGIGERTPSGGSDDNLTPMSNDNVGLSSAGRVAQRAGKEQVVDSPPPKKSKNMEYYVGCISESMLERSRNESSVLRGEQDEMKELLRLVEEDGVAQGSELYFIATDLFRSAARRAAFRCIYPAENRKAWLRWTWDNARKK >ORGLA09G0016600.1 pep chromosome:AGI1.1:9:2599211:2610235:-1 gene:ORGLA09G0016600 transcript:ORGLA09G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:I1PIF4] MPSSPTPATAPISCSPSPPLHLHLTARRQTLAPPMWRRLPARRLASALLSSSAPLPHPLHRSLLLLLPAASQRLAPSQTLPRFASSSAAVAAESVSSEEVDELHHAIGEIARGDPSVSAPAPAAGQEGHRRRSGRGKHSAEAMAVHGVGYHKYAMLRRRQIQIETEAWEQAAEEYRELLADMCQQKLAPNLPYVKSLFLGWFEPLRDQIIAEQELVGERGARASHARFFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRIHKFLEKTKKKSNKEMDNEEEGGDSDIAKEQERLRKKVTDLMKKQKIRQVRNIVKKQDNSKPWGQDAHAKVGSRLIELMIETAYIQPPASQSADGPPDIRPAFTHEMRTVAREQQKSSRRYGVIKCDPLVRQGLDRTAKHMVIPYMPMLIPPISWTGYDKGAHLFLPSYVMRTHGARQQRDAVRRAPREQMQSVFEALNTLGSTKWRVNKRVLSIVDRIWSSGGRLADLVDRTDVALPEKPDTEDEDKLKKWRWTLRAAKKENSERHSQRCDVELKLAVARKMKDEDGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYDGRIAFTENHLEDIFDSADRPLEGKRWWLGAEDPFQCLAVCINLTEALRSPSPETMISHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYTGIATRVVEIMKNDALKDPSTDPDAARARLLLDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERDMICDDSELFSASCYAAKVTLTALGEMFQAARSIMNWLGDCAKVIACENEPVRWTTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRQGLNFAGVHDSYWTHACDVDTMNKILREKFVELYDTPILENLLESFEKSFPELKFPPLPERGDFDLKDVLGSPYFFN >ORGLA09G0016500.1 pep chromosome:AGI1.1:9:2549048:2552256:-1 gene:ORGLA09G0016500 transcript:ORGLA09G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDFKAIPLIDISPLVGKIDDPSMVNDEDLLQVVQMLDDACREAGFFYVKGHGIADSLMKEVRDVTQKFFQLPYEEKLKIKMTPQSGYRGYQRVGENITKGKPDMHEAIDCYTPIEPGKYGDLAKPMVGSNLWPKYPSNFDVLLENYISLLRDLSRKIMRGIALALGAPVDAFEGTTAGDPFWVCRLIGYPVSTDIPEEQRTDTGCGAHTDYGLLTLVNQDDDICALEVRNQSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAVEPVEFCRERTGGVAKYEKVVYGEHLVQKVLTNFVM >ORGLA09G0016400.1 pep chromosome:AGI1.1:9:2519175:2523134:1 gene:ORGLA09G0016400 transcript:ORGLA09G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVAESCVDGVVMEMVAAYCGRFYAAKPELAARRIEAIGFQVGHQLTERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRVSIDPSVESMDATDNDSATLGDSAAQTTSMLLYFPCGIIRGALTNLGISCSVTADMSNLPACELHYSFS >ORGLA09G0016300.1 pep chromosome:AGI1.1:9:2505310:2505804:1 gene:ORGLA09G0016300 transcript:ORGLA09G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSDESRAARQPQAARRRRTAPSLLAAAAPDGALPPRRRLALPPLRHRRRRWQQQAARRRHHRSPRRLLSPRRRPQVLPDLQALPPDLEAPELRSLPPSPSAPGRRSPHLHHLELLGTRSGLYVVGDHRIWSPPCSGHRLLRLLRRHRLFVYSATELGSPSPPST >ORGLA09G0016200.1 pep chromosome:AGI1.1:9:2497471:2498151:1 gene:ORGLA09G0016200 transcript:ORGLA09G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVEDGATAVLGNSSSSGARRRRQRRRSATATAVAEAETAALGDGNSARGEAEAPKDGDVMAAAEEVEAARGGEAEVAGDGDGWKRRWQGDGSAATALGGGGGGRGGGTRGSRDGGAGGSRDGGARWQRGRGSGQRERERAAAGMVEVRHRRRGKHRQGGGQAVAKKRGWVESKRRRRGADAGEEERTGWSARPTVCSGEGLGVDDGVQALIHVLIQLTMWIVG >ORGLA09G0016100.1 pep chromosome:AGI1.1:9:2493346:2493781:1 gene:ORGLA09G0016100 transcript:ORGLA09G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAEIGGHLKLSCLLLQRQVEDDSNLGAVTLLFQASPDCVQRNNESISLQIKKKVSKLDVPTGVVCVAAAPRSRSAKHLLSGCKLKQLNMKSIKEKKSFEMMSRNIIICLL >ORGLA09G0016000.1 pep chromosome:AGI1.1:9:2448336:2449995:-1 gene:ORGLA09G0016000 transcript:ORGLA09G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRKRIGVDHAEHQYVLLQKDMMSALHDAYKGVKGIQQTEENDMIDIRDPSLKKKEKIDAPTLSKECLKDMELPVVVKENRKKQVGYEEGERPNCSILFPSTTTIIPCENLAYKERKQYEEQENMVSTSFVVLPQNQIMHYAKSTTWQNRGRFSV >ORGLA09G0015900.1 pep chromosome:AGI1.1:9:2432268:2432735:1 gene:ORGLA09G0015900 transcript:ORGLA09G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEMDWIAARFVLSAIMGVHPLVAVDDAGADDEHFPVDDAAAVHGHASPPPVALPAAVLAPEEVAGAVVCAVCTEEVAARQAVVRLPCAHWYHAGCIGPWLRIRTNCPTCRAELPREPAAADWRVPRRPAVAETAGSRLRREASYTMLAGTLPS >ORGLA09G0015800.1 pep chromosome:AGI1.1:9:2407612:2408307:-1 gene:ORGLA09G0015800 transcript:ORGLA09G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFNGIGSRASAGGMADLARHGPSRTVGSGSGKARHEQTWQRRRQRLQDGGEVLLPLVELAAGVAPDAATARFAGRAHRCHRTGRVVVVERDGEKEERMKEEDEYDMWVPRADEEENKKQTAVARF >ORGLA09G0015700.1 pep chromosome:AGI1.1:9:2405288:2405779:1 gene:ORGLA09G0015700 transcript:ORGLA09G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGDWITARYLLSSILGRNPLVVDYVDEESFPVEDPPPVAGGARGGRQAVVSQPPVVRATAGVAGTVCSVCTEEIAVADAVVRLPCAHWYHAGCISPWLGIRSTCPMCRAELPASDDAAEEGSGAGREKPPRAARAGTSAGGGVRRDASYELLAGGGVLSG >ORGLA09G0015600.1 pep chromosome:AGI1.1:9:2371729:2375321:1 gene:ORGLA09G0015600 transcript:ORGLA09G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAGLRLGPNGEAPPARATASAGAAXGGRREVPVHAAAMYXIQEPVREMLRXQIHTXXVSNGHGYGIFPPDRTXEGEGKYXHAITSXRSLQEASCRETPEQQKPDSCIQEQTTRTXMNCATAIIXNFDFQSDKACXEVXTHTPVFXGDVRCPWDSXLNILDWGCKNVMSIALGNTLYLWNSADGSIMDLVTIDEDDGPITSVSWSCDGQRIAVGLNSSDIQLWDTSSNRMLRTLHGVHQSRVGSLAWNKNILTTGGMDGNIVNNDVRMRSHVVHIYRGHEDEVCGLRWSGSGQQLASGGNDNLVHIWDVSMASSNLSLGHNRWLHRFGDHLAAVKALAWCPFQSNLLASGGGGDDRCIRFWNTHTGLCLNSVDTGSQNSLALWKYPSMVKLAELEDHTARVLCLAQSPDGFTVASVAADETLRLWKIFETSEDAKPVFKTFVLVFQDNVRVGPWGTDCSYFQDLIALVVTMVTGSQKL >ORGLA09G0015500.1 pep chromosome:AGI1.1:9:2370282:2370787:-1 gene:ORGLA09G0015500 transcript:ORGLA09G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFRGHNGEDDFGPIVNASSXRQGTKGGEEDRDVVPTAWWRRSGVGVEIDWAVMIPILAVVEKEHVGNPPDHREAFGAKLAALVL >ORGLA09G0015400.1 pep chromosome:AGI1.1:9:2367029:2367655:1 gene:ORGLA09G0015400 transcript:ORGLA09G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVRRERGAVKKPTISSPFDAVSEDLLRLPNMASLVNAALACKRWRRAASDPAIFRRFFPLRRPPLIGFILTDRGDSVPYSCPNHYFVSATTRKPNLASAAADCDIFFEDVPDIDSGEQRGGGYFDEWRLRGCDGGRLLLSRGCGGFDLAVYDPLARTAIFFSAAKLPWVVPQGQVRDCRRRRRRLVPGHRHRRRHVLRRLLLQHR >ORGLA09G0015300.1 pep chromosome:AGI1.1:9:2350144:2351183:1 gene:ORGLA09G0015300 transcript:ORGLA09G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMCSIRGVESRPPGGFVNFINTPSNYVHHVAEGSPSQHINVVNGHVARTDKRLSWMKDEDLRLISAWLNNSNDPIESNFKKNDKYWGDVAAAYNSTTPKNRSRLVKQVKDRFGKIRKKVGNFCCSWKEVNSLYASGESNEDLMNKAQKIYENDFKDGPFLFMHCWNELKKQPKWHAYLEQLDKSNKRKADYSDVIPLDDEEDIPRPIGAKASKAQRSCKEKSKVQVCTIELEDDIRKFMDAHAATKEGQNEVLETQIRVSSDNLAAKKLSRQTAMIETYRDLMNKDTRKMPDDVRSELVHMLKCMREEIFNKNQ >ORGLA09G0015200.1 pep chromosome:AGI1.1:9:2348793:2349074:1 gene:ORGLA09G0015200 transcript:ORGLA09G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRKREVAPPPQAVVSSTAPFAVPTSQAAPYPYGGLGFPPPPTAWLASPQPQAMPGSSAFHPPAAGKTDVHPDLEEWYVCQLALLVLNLPC >ORGLA09G0015100.1 pep chromosome:AGI1.1:9:2345593:2346729:1 gene:ORGLA09G0015100 transcript:ORGLA09G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCVRSESGGVKKPMTSPPIDAVGVDLLRKILLRLPNMASLVNAALSCKRWRRAASDPTILQRFLPLRRPPLVGFILTDRGDKPVPRHCPNIYFVRTTARKPNLASAAADCDIFFEDLPDIDSDEDDDDGRGFYSDEWRLRGCDGGRLLLSRGRYGLDLAVYDPISRTAIFFRPPQAFRCSFHMVRYAIVVDDADASFRLIGICDDTSAAMFSSRTNKWTLFDFDAEADLCYRFTDRDGMSAGRFVYWRSNTKNNKNVERILLLDVGTMNWTVIVAPFQVGESYCVADMAEHGGLCLVSSQEQNLQLWVRSSGSGTINGGWLLKKEISLLHQFGYLKKLRSEEWMKRVRVQRLAMSTWSSGPSGNLIPIFSSSISAP >ORGLA09G0015000.1 pep chromosome:AGI1.1:9:2333273:2333614:-1 gene:ORGLA09G0015000 transcript:ORGLA09G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSATNAAPARPGRRGGGAGGSGEGEKEAPPPLSSISAEPRRQLGSLARRRPPPTSCCPAPHRTTRPGEQERGGERWRRAPLPPSLRLPRVRRSCGGVAEKKEAAVVGIGS >ORGLA09G0014900.1 pep chromosome:AGI1.1:9:2326363:2331437:1 gene:ORGLA09G0014900 transcript:ORGLA09G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G42660) TAIR;Acc:AT3G42660] MKGRAVKLREAHKAGSPVFCSVAWGQGGQHVVTASAADVAILIHDAAAVAAAGGRSSGSAAAAALSTIRLHKDGVTALAVAPGSGASLASGSIDHSVKFCSFPEGVFQSNIARFTLPIRSLAFNKKGTLLAAAGDDDGIKLIATIDNTISKVLKGHKGSVTGLSFDPRNDYLASIDTFGTVIFWDLCTGTEARSLKRIAPTFGSDHSINNALCWSPDGQFLAVPGLRNNVVMYDKDTGEEVFTLKGEHEQPVCSLCWSPNGRYLVTAGLDKQVLIWDVKSKQDVERQKFDERICSLAWKPEGNAVAVIDVTGRFGIWESVIPSTLKSPTEGAPDLNSTKVPLFDDEDDEERPSTSGGLDDDDDDESLGELGPFNHKRLRRKSTYHDHSNGDSEDEDLILQMESRKRMKDTHRDNKEVADKAIGDSATSVRLVTARMQTAFQPGSTPPQPGKRNFLAYNMLGSITTIENEGHSHVEVDFHDTGRGPRVPSMTDYFGFTMAALNESGSVFANPCKGDKNMSTLMYRPFSSWAGNSEWSMRFEGEEVKAVAVGAGWVAAVTTLNFLRIFTEGGLQMHILSVGGPVVTAAGHGDQLAIVSHASDCLSSGDQVLDVKVLKISECAQSLSSRFVLTPASKLSWFGFSENGELSSFDSKGILRVFSGQFGGSWIPIFSSIKARKSEDESHWVVGLDANNIFCILCKSPESYPQVMPKPVLTILELSFPLASSDLGANSLETEFMMRKLHLSQIQKKIEEMAALGLDTIALDDEAFNMEAALDRCILRLISSCCNGDKLVRATELAKLLTLEKSMKGALMLVTRLKLPILQERFSAILEEMMLNNAKIANTSGVFSNSNTNYSPSPALSTQAVPPAKVVQNGNSLKLPTLPKLNPAAQRSNPTESNKAELEQADNLKEISTKVSPAQTPLVKIPKNSEMGVKTKKDNDGASHATTVDQNPKGGSGQVGLKNKSVDSCNGVQPQRPVNPFAKSSSSKEQPSSLLIPSRRRRSKMRRLTKLTARRXKC >ORGLA09G0014800.1 pep chromosome:AGI1.1:9:2311168:2311958:-1 gene:ORGLA09G0014800 transcript:ORGLA09G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTAFPFFPDLRSWLLAAVSSGKLGSTAANFTYTLRADLTCHHPLYHRDAPDEKAAIEEVRPTLDREKEVSPSWPIEHLRRTTRADRRMGHRSLLYEVVELDLLIGLPYGLSFSAVDHHLHRRNKSSEAKQECAVEAWHNADAFPPAARKALGQETGGVPLIFD >ORGLA09G0014700.1 pep chromosome:AGI1.1:9:2303859:2307700:1 gene:ORGLA09G0014700 transcript:ORGLA09G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRRLDGGSQPCRVGWTGASMGRGRRSAGARRGRRSGTGAQSGARRRTRSGTQRGCGAGATARDAGGELMRVGPPKQRDAGEERRGAWSRRSSEMWERRGEKRRGRKGSMRIQRWGQKRFSKRNINLHREAYRFVPSRNAGKAIHPANKRHGVHPDTSLVEGVQSQDNLVEKLAAVPEVILSPSKKSKRRGSDIIQHALERAEKIKADRNLENQKAKEDQLEDIFLLQQLCSEIMDETWLRKYGPPQMKGETDLLTPGEIDIRYVWRNRLACLLREEEIKWYRRAKTKDILEVAINIIIGVAQKVISPTQTAFIPGRNIIERVVILYETIHEIHRKNKSGVILKIDCEKAYDKLGNFPVRYLGIPMHFRILSYKDRKTIEGRIEKKLSSWKGDEHKNKYRLNPKIKGTLGVHNLEIQNQCLLSKWLFRLINE >ORGLA09G0014600.1 pep chromosome:AGI1.1:9:2285742:2299618:1 gene:ORGLA09G0014600 transcript:ORGLA09G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSYASASSGELGVVAGGGGRSVRVIPLRHPQEAVAGSSPSWWSVVMVKARGMGPRDWAEAALPCLSWMRTYRLKEDLQADLAAGITVGVMLVPQAMSYAKLAGLHPIYGLYTGFVPLFVYAIFGSSRQLAVGPVALVSLLVSNVLGGIVDSSSELYTELAILLAFMVGVLECLMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQIKYFLGYSVTRSSKIIPLIESIIGGIDQFSWPPFVMGSSFFVILLIMKNLGKSNKRLRFLRASGPLTAVVFGTIFVKIFHPSSISVVGEIPQGLPKFSIPRGFEHLMSLMPTAVLITGVAILESVGIAKALAAKNGYELDPNKELFGLGIANICGSFFSSYPATGSFSRSAVNHESGAKTGLSGIIMGIIIGGALLFMTPLFTDIPQCALAAIVISAVTSLVDYEEAIFLWSIDKKDFFLWAITFITTLIFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNRLQYPEAYTYNGIVVVRIDAPIYFANISYIKDRLREYELNLPNSNRGPDVGRVYFVILEMSPVTYIDSSAVQALKDLYQEYRDRHIQIAIANPNRQVHLLLSRSGIIDMIGTGWCFVRVHDAVQVCLQKVQSSSSSSIKLSPQASGDLADSVTTPKVQQRYSFLKNLWKSQVGDGCTGSEVQPLLRQNLV >ORGLA09G0014500.1 pep chromosome:AGI1.1:9:2259732:2275958:-1 gene:ORGLA09G0014500 transcript:ORGLA09G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLEPEFPGLPQRCDSCRSAPCAFYCLADSAALCATCDADVHSVNPLARRHRRVPMGVVAAPGAGGAFVVRPAGGVNSSWPIREGRRCYYDGEEDEEATSWLLFDPLKDSSDQGLPPFGDALVADFLNLGGGAGEKEDASSSKDCSSSHGKSSEGSHEFAVPGEPVPERQGFGAVSMDITDYDASNFRRGYSFGASLGHSVSMSSLENMSTVPDCGVPDITTSYLRPSKSTIDLFTAAAGSPVAAHSIMSPPQFMGAIDREARVHRYREKRKTRRFEKTIRYASRKAYAETRPRIKGRFAKRSDTDLEVDQYFSTTADSSCGVVPTF >ORGLA09G0014400.1 pep chromosome:AGI1.1:9:2152805:2157974:-1 gene:ORGLA09G0014400 transcript:ORGLA09G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine/threonine protein kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G08160) TAIR;Acc:AT5G08160] MGCSFSGLNALYDTVGGGGGDIWVNDYRFRVVRRLGDAGPAGSFVFLVKEVVAAATASDGTGGAVPGASGLAKKKGIDPSHISADGTYALKKVLIQNEQHLEQVRQEIRVSSQFSHPNLLPLLENAIIAVKGVQDGSQNHEAYLLFPVHLDGTLQDINKNMLEKKEYFPTISILQIFRQLCAGLKHMHSFDPPYSHNGVKPDNVLITQRKDQPHLAILMDFESARPARIAIRSQADAMQLQEWASEHCSAHYRAPELWECPTHADIDERTDIWSLGCCLYAMMYGKSPFDYELDEAAGESLQSVTKSAQIKWPTEAGPSYPDSLRKFVTWMLQPHPAVRPHIDDIIIHVDKLIAKYSI >ORGLA09G0014300.1 pep chromosome:AGI1.1:9:2090227:2090709:-1 gene:ORGLA09G0014300 transcript:ORGLA09G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTIRRCRPSPAGRGHIAAQSPIPIFPSRCLAISRSPRPFLQIRAKSCSPSSAPPLGSRSYAVSLQPSTAREDRPGAEVGRRGAHRRLARPSLPRAPTSRFRADCRYSSGLAEPKQEASTKLMKVMKASYEVVCNIKCRLAGLDLFPLMLFQFAAETHV >ORGLA09G0014200.1 pep chromosome:AGI1.1:9:2085382:2087688:1 gene:ORGLA09G0014200 transcript:ORGLA09G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p (PB1) domain-containing protein / tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G62390) TAIR;Acc:AT1G62390] MGKSGAKKKKPAAAASASAAATKSSPAATEPKAPTQSQPPAANGAAPHLVDPGVLLRRAHELKEEGNRLFQSRDYGGALRQYELALRLAPRGHPDRAVFHSNRAACLLQLRPVDHKAVAEECSLALQAEPLFPRALLRRARALEALGRHELALTDALALLALDPDHQDAVDLVHRLRSRILSPSSSASGSATSTPEPTSRPSPAALGASAVVAGLGPSLPARPFPKKPSPPPPPAQQQQSVPPMSKFNPSPPSPKLVPFSNSPPSSANASAAESSQKVTPIPLVPSSLLLKDKALMDKKVVTRSRPLKLVYDHDIRLAQMPEKCSFRTLREVVASRFPSSKAVLIKYKDADGDLVTITCSAELRLAESCVDIAGSEVIEDGARHGQKLPMLRLHIVEVSPDQEPPMPTEEEKLEQDNELLVKGEDNSPHASAAVVTDAEVTKQDVENVVAEAEQNTLTGKKDCGHAECKEAEIDDWLLQFADLFRNQVGVDADAHLDLHELGMELCSEALEETVTSEEAQALFEMAAAKFQEVAALALFNWGNVHMCAARKRIPLDESAPKKVMSAQLCTAYDWVRDRYALAGSKYEEALKIKPDFYEGLLALGQQHFETAKLHWSFALADKVDLSAWDSSQTFKLFDSAEHKMRAATEMWEKVEEQRMAELKEPSSEALKKRRKQHNADGQGELTPEEAAEQAAVMRQQIHLFWGNMLFERSQVEFKLDIADWKKNLDASIERFKLAGASESDISAVLKNHFSNTVSECEDKKIRKS >ORGLA09G0014100.1 pep chromosome:AGI1.1:9:2063105:2069066:1 gene:ORGLA09G0014100 transcript:ORGLA09G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEGRLADMIQSLVKKVEEVNVMGSKLEIIDKKLEQYGEQLGKVQAKVDLSMDSLGQVQMEQAFVTKALKEGNPHAAPTSTRPGKAPILQTPSTASTSSTPPTPPPLPNLNGYASVQPIHSAARDHAEVKHKRNWTPKMDFPKFDGLKDELHYSVAAQLPENVHQAFQVALIFESAHRMKKGVHKKEGYLTKGIDTPAKVPGDIWKAQQLKEYRKTHGLCFKCGDKYAPRHVCAKQEGPQLKAMEVEQNSEMLTDEMLDVVACLETQPENMFLSIQALSGSVPPKTIQLRALLDVQSLSPSAILDRRMVKRGNAAVVQVKGIGGFVFGNERSESKEDSYFERFLDCISNGTIPDDSRPVLLNVLKEDHEDAELVRGALETFVSAVTPIETSKNRGSACISEL >ORGLA09G0014000.1 pep chromosome:AGI1.1:9:2021877:2034832:-1 gene:ORGLA09G0014000 transcript:ORGLA09G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QM61] MVIAVEGGFVHEEEEVDHPIRYLPLGRVYSSSAPCPLPKKPRSAEDGKPPVIVYYRRRRKKPRVEGPPPSPATAPPMLHPREDDEDEEVTRRKGSLKYELLSLGQAPPALGGDGEEPARRRCLRRSGGAERRGYFSEPKRRQRQGVHKEAASSAGRRWLELEIEAADPLAFVGLGCKVFWPLDEDWYKGSITGYNEATKKHSVKYDDGESEDLNLADERIKFSISSEEMKCRNLKFGISNLNKRGYDELLALAVSLHDYQGLDAGDLVWAKLTGHAMWPAVVVDESNVPANRALKPGRLDQSILVQFFGTHDFARIKLKQAVPFLNGLLSSLHLKCKQARFYRSLEEAKEFLCTQLLPENMLQLQKSMEKGSSDANSNKDVHSCDNLSEDKTAESGGDYDEMTQIELGNLRVSKLGRIVTDSDYFHNKKHIWPEGYTAFRKFRSVKDPHVVILYKMEVLRNSDIKARPLFRVTSEDGTQIDGSTPNTCWKEIYCRLKEKQRNVASGLDRDVCQGSGSYMFGFSNPQIRQLIQELPNARSCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLNGVLWLCNLCRPEAPRVSPRCSLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRQPSTERPSLESNLAKPAVVVQTDAVPPSGCARTEPYNIHGRRGQKQPQVMATASVKRLYVENMPYIVSGFCQNRVGHDAISEPIQSVGFLDVAHQEAVGNVSSMIEKYKSMKATFRRRLAFGKSRIHGFGVFAKVSHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDINPWEELTYDYRFVSSDQRLPCYCGFPKCRGVVNDVEAEGQSAKIRVNRSELFQQ >ORGLA09G0013900.1 pep chromosome:AGI1.1:9:2015991:2018935:1 gene:ORGLA09G0013900 transcript:ORGLA09G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prenylated RAB acceptor 1.H [Source:Projected from Arabidopsis thaliana (AT4G27540) TAIR;Acc:AT4G27540] MSSSSSSFKPNPLSLSVPDPALDRWLRDSGYLDILDSSASASASASTSSSPSATTTTAAASSTSTLINPTSAAAAVLAFARTLASVLALNPFARLSTTDLAAPTPSWSLAFLGPPGAASYSWPPTSTQARLRVQENVRRYARNYAALSILVFACCLYRMPMALLGMLAILIVWESVRYCRERWGLATRAPGVGQFLLHSAQIATAVLVYVCSLQFALVYAIGLSYAVMMLHASFRKLTPSSLPDPGNRNRRLQPK >ORGLA09G0013800.1 pep chromosome:AGI1.1:9:1984113:1986656:-1 gene:ORGLA09G0013800 transcript:ORGLA09G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLETLDVPLAHSDMNGSSTVQGEPSDENELIVDDLDAIWNELNTSLHVSRYVTDSVMKGTISAVEQESARQIASKDAEIAFLNEKLHQFRNSGLSLSEGRDKLYEEIYNLRQQLVTLSKSLLNSEWGLSVSHYNNFEGAEDESKHRGNEKSSKDGITKENGSKASNEDIFIDPTVLKHMDRDELVAHFNKMMNQMKRQHDSTLQEKTEEIFRLKRENLKKEGPNPWHLRNNKEFELMRKKIWEVITKLDEVLVENKRTIRIKSDVFPGQQDKIKVVDSHNHQLQGAPTDNEEEECITLIKASHFTPIETNYLNQIRRLESDIEDASIVTIVREETEKILVTEFISEIKMGLHGYEMEFNMNLDFWSIIQKEAIAEAASNINSLLLKYNEENSCAEAQSLHMQEMDKLKLNVDTFNLVIREKEKYLSQIEFKAIEDHLDFLRHELDSLRGKVAKQDSCISDKCRDFDVIVSRLEQALQHVHRNEIALKELNDRFRTVSDSQKEVEKQNKVLHAIIKEKEKGFSSSISKEKEFTECMRCVVESMRGFENLVTDQQTIIAHKVQHNESRFSLLKEQCKILAKEGNTLRKKALRYKEISETRASNLQKAELEVDLLGDEVEALTDLLAKIYIALDHYSPVLQYYTGVMEILNMIKKHLNMSK >ORGLA09G0013700.1 pep chromosome:AGI1.1:9:1979169:1982590:1 gene:ORGLA09G0013700 transcript:ORGLA09G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYENGDSPAAAAGDGGVILGVDGGTTNTVCVCLPVAMPPPESPGAVPVLSRAVAGCSNRNSVGESAALETLEQVMAQALTLVNTDRSAVRAVCLAVSGVNHPSDQQRMLDWIRDLFPGHVKFYVENDAVAALASGTMGKLHGCVLIAGTGSIAYGVTEDGKVARAAGAGPVLGDWGSGYGIAAQALTAVVKAYDGRGPHTNLTREILRKLELSSPDELIGWTYADPSWARIAALVPVVVSSAEDGDEVANKILHDSVQELADSVVAVVRRLKLCGEDGMDQFPLVLVGGVLEGNKKWNISGEVVRCISKVFPGVHPIRPEVEPAIGAALLAWNHHRKGLKLENGS >ORGLA09G0013600.1 pep chromosome:AGI1.1:9:1971154:1974261:1 gene:ORGLA09G0013600 transcript:ORGLA09G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSLSTLSLCTQSPSPSPVASARLVAPAVLGFAGAPRFPTLRAAPRRLTARAVAGDAEDEWGKEPAADQGGAAAAVAEAPADVPVTSEVAELKAKLKEALYGTERGLRASSETRAEVVELITQLEARNPTPAPTEALTLLNGKWILAYTSFSQLFPLLGSGSLPQLVKLEEISQTIDSENFTVQNCIKFSGPLATTSVSTNAKFEVRSPKRVQIKFDEGIIGTPQLTDSIVLPEKFELFGQNIDLTPLKGIFSSIENAASSVARTISGQPPLKIPIRTDNAESWLLTTYLDDELRISRGDGSSIFVLFKEGSTLLY >ORGLA09G0013500.1 pep chromosome:AGI1.1:9:1961270:1962028:-1 gene:ORGLA09G0013500 transcript:ORGLA09G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSMMEGCLLDVQHVQPFNGKGVDMTPTKCLTLGPGSTTTRPAIENSLAAPKHVFPATMNSFTPSTTASAIATPSPSIKTNKAEGDMVQVEMKPDETFQELCAKMIAMLNSMLVTCRDIKVESTASVGITRVVAATSTNTKSIPNTLEVSDDDNSISLVDTNGLCMVTATKCLTKGNEQMINNDDDDMATEDLVKLTEVNSKFTVLQTSFNNPCFGHQAIFVVHLTCYGCLDRSSEYTASFPPVLGEQLR >ORGLA09G0013400.1 pep chromosome:AGI1.1:9:1957256:1957642:-1 gene:ORGLA09G0013400 transcript:ORGLA09G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVIEFLCAYGLDATVELGVLLTAGLERSKHSFLWGVHTPVASDTDSMMSQEVRRGGVAPRGVHGQDSGEERHTGVAVVGAIRGGATHPMISVFVTHCIWMAYISGGEMGLAARAGEGCHGVAEQA >ORGLA09G0013300.1 pep chromosome:AGI1.1:9:1942547:1946919:-1 gene:ORGLA09G0013300 transcript:ORGLA09G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:indole-3-butyric acid response 1 [Source:Projected from Arabidopsis thaliana (AT4G05530) TAIR;Acc:AT4G05530] MEVKCRRLEGKVAVVTASTQGIGLAIAERLGLEGAAVVISSRKKKNVDEAVVGLRAKGITVVGVVCHVSIPEQRKNLIDTAVKNFGHIDIVVSNAAANPSVDNILEMKEPILDKLWDINVKASILLLQDAAAYLRKGSSVILISSITGYNPEPALSMYAVTKTALLGLTKALAAEMGPNTRVNCIAPGFVPTNFARFLTTNDTIKNELIDRSTLKRLGTVEDMAAAAAFLASDDASFITAETIVVAGGTRSRL >ORGLA09G0013200.1 pep chromosome:AGI1.1:9:1935838:1937974:-1 gene:ORGLA09G0013200 transcript:ORGLA09G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB/MDM2 domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G26810) TAIR;Acc:AT4G26810] MVLRRATAAVGDCPKKVAKLVDLVNLPTALREFAGGQSQMSHLSFFLRVWSHIKSNNLQDPSNRNIVNCDDKLKTVLLGRSKVELSELPMLVKLHFPKFPKS >ORGLA09G0013100.1 pep chromosome:AGI1.1:9:1931653:1934072:1 gene:ORGLA09G0013100 transcript:ORGLA09G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVGWLVLLLPALLRRGSSGVAAAGKVPAVIVFGDSSVDTGNNNFIPTIARSNFWPYGRDYADGLPTGRFSNGRLATDFISEAFGLPPCIPAYLDTNLTIDQLASGVSFASAATGLDNATAGVLSVITIGEQLQYFREYKERLRIAKGEAEAGEIIGEALYIWSIGTNDFIENYYNLPERRMQYMVAEYEAYLLGLAESAIRDVHSLGGRKMDFTGLTPMGCLPAERIGNRDNPGECNEDYNAVARSFNGKLQGLAARLNKDLPGLQLVYADTYKILTSVVDKPADYGFENAVQGCCGTGLFEAGYFCSLSTSLLCQNANKYVFFDAIHPTEKMYKIIADTVMNTTLNVFL >ORGLA09G0013000.1 pep chromosome:AGI1.1:9:1922558:1926040:-1 gene:ORGLA09G0013000 transcript:ORGLA09G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASRFVLLSRLPAAAASSRFLRPLAAAGSLLPAALAPFAPPAAGARCFATQPATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVMDPPPGDPSNPEPTRDEIIDGYIKTLAQIVGSEDEARHKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVRNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANERTRRNDRPRNFDRSRNFERRRENMHNFQNRDVPPGQGFNSPPPPGQGPVLPRDAPPMPPPPSPPNPGAPPSYQPHAPNPQAGYTNYQGGVPGYQGRAPGYQGGNQEYRGPPPPPPSAYQGNNPGYQGGGPGYHGGNPPPYQAGNPPPYQAGNPVFAGGAPGYQGQGGNPSYQQGSDNYNAGAPAYKRDEPGRNYQ >ORGLA09G0012900.1 pep chromosome:AGI1.1:9:1907469:1911015:1 gene:ORGLA09G0012900 transcript:ORGLA09G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVIPLSQQLEYFKEYIEKLKQAKGEDVANEIITEALYVFSIGTNDFIINYFNLPLRRAVYTTAEYTAYLVGEAAAAVRDTHELGAHKIIFAGLAPIGCLPSARTLNHDAPGECNEEHSQVAVAFNTALTEAIGKLNDELTGLRVVYSDTYSVLSAILSNPSYYGFVNIAQGCCGTGLIETSVLCGFNDHLTCQDADSYVFFDSVHPSERTYQIIANKIINTDLKLVL >ORGLA09G0012800.1 pep chromosome:AGI1.1:9:1895240:1895617:1 gene:ORGLA09G0012800 transcript:ORGLA09G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTTKMTSQQLGCCWVLLIALLSCSAATASEVPAIIVFGDSTVDSGNNNYILTVAKGNFPPYGRDFDGGVATGRFSNGRLVTDFVSEALGLPSSVPAYLDSTYTIDQLATGVSFASGGTGLDSLTAR >ORGLA09G0012700.1 pep chromosome:AGI1.1:9:1830852:1831160:-1 gene:ORGLA09G0012700 transcript:ORGLA09G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMVVMEREVRVTLRGDRLGQVPRCEARPEPRDPRRELLVRRRADGGLGLHHVRGHSCFFSSRYAIGAEATEAAREAAGGGGEECHGGRARLLRPRRFWSI >ORGLA09G0012600.1 pep chromosome:AGI1.1:9:1821924:1822754:1 gene:ORGLA09G0012600 transcript:ORGLA09G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTQHHPTAAATAVILLLLAVSPAPSGADPDDERCLSSLQQSLSGLRNWSKASFSAPCEGFISHLQGVTCNNGRVYKLSLPGLSLAGTIPPDLSNCTNLQSLDLSSNALSGAIPPELSGLLNLAVLNLSANRLSGAIPRDLARCAYLNVIDLHANQLTGSIPDELGLLVRLSTFDVSYNRLSGPIPVLLANRSGTTGRFNATSFVGNKDLYGYPLPPMRGHALSVLAIVGIGLGSGLLSLVLSFSAVCLWLRATDRTATMPGEEGKISHLMPDY >ORGLA09G0012500.1 pep chromosome:AGI1.1:9:1800074:1805690:-1 gene:ORGLA09G0012500 transcript:ORGLA09G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLTKYQFFSSRATALHLRRRELKLLPFPSFPCSSSSAPNTCSQQSHLLLVSSAGPREQTLLPADSRSSLPHGITDNGTRKEGRRRRRGRKRKETAKDEGECVPSAEEVSIRVNTLYESGDPIGKKELGRCVVQWLKQGMHSMAIKYASTEMQNDGATFLLDGGSSEDNLGFVMLAQPYLSAIPMPKGQEALCLKASTHYPTLFDHFQRELRDVLLKQQNQGLISDWRTTQSWMLLKELANSAQHRAAARKPKAPTTHSTLGISLDKTRLMQTKIEDFVKKMSDLLHIERDAELEFTQEELNATPVMDGNSKKPLKPVEYLVTHGQSQQEQCDTICNLNVISSSTGLDGQHLVLFRVKDNHRLPPTTLSPGDMVCIRTCDNRGEITTSCMQGFIYNLGEDGCSITVTLKSRHGDPTFSKLFGKNVRIDRIQALADALTYERNCEALMLLQRKGLQKKNSSIGVVATLFGDKEDMMMMEQNNLADWGESTIHDDELLKKNKYDFDASQLKAITLGLNNKRPVLIIQGPPGTGKTGLLSYLIACAVRKGERVLVTAPSNAAVDNMVEKLSDTGLDTVRVGNPARISPSVASRSLGELVNRRLQKFTEEFERKKSDLRKDLKHCIQDDTLAAGIRQLLKQLGKNFKKKEKEIIREVLSNADVVLSTNIGASDPLVRRIGCFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDQRQLAPVVLSREAMQGGLAMSLLERASSLHNELLTTKLTTQYRMHDSIASWASNEMYDGFLKSSPSVASHLLADYPFIKETWITRCAFLLLDTRMPYGSLNIDCEEHLDPAGTGSFYNNGEADVVSQHVLNLVQCGVSPTAIAVQSPYIAQVQLLRDRLEDYPEASGVEVSTIDSFQGREADAVVISMVRSNTLGAVGFLGDNRRMNVAITRARRHVALVCDSSTICNNAFLARLLRHIRQHGQVRHVEPGSFGGDSGLGYTPPALPSIS >ORGLA09G0012400.1 pep chromosome:AGI1.1:9:1796608:1797591:1 gene:ORGLA09G0012400 transcript:ORGLA09G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAAMSSSSSSSPCITFIAESMILPTRNIRLFAPIFLLIFCHTFIFLGITAIHVNPLAPSLDSIHSLATGVLVHVYAPKNTTDDGQGQATATDSLIRGHAIVYLAYLVSRLTVQVVAVVAGCTTYSGKRLSFTELLGWEVATTERIRGPLITAMFMGVVDLSTATLLVLAAHMTAFVGGSGMASILGSLLFLAALVLYIHLGAVIPVSIAVSSAEGRWAAPALWLAWRLMKARRKEAGVLTLIACLVPAAICPVYTIAAALSDELLFTFYVWLLGVVFGFFLLPVALQLLSTTAATVFYYHCVEAQVVAHVCDVSVDDRDVVVQV >ORGLA09G0012300.1 pep chromosome:AGI1.1:9:1773618:1773818:1 gene:ORGLA09G0012300 transcript:ORGLA09G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRIANRGRGRAGGRGRGRGHHNEEVENNHDNEDVGNNSIAESTIPNRGGCWRLLNANSIPPTSA >ORGLA09G0012200.1 pep chromosome:AGI1.1:9:1764958:1765905:-1 gene:ORGLA09G0012200 transcript:ORGLA09G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQRSTPPFYNFLKEGFLLPSRNRSLFVAVFLLVVASTSVLLLVNDLAVQPIAVEILLDAKALNTTDPMSPDFTKLVKEIQDDTRELMIATAAYSLFAVVIGFAIRIIILFGAVATFSGEQHTFGTLLGKARTQLKGPLLTLAFVFVLEIAYVALLVAMAGLLAFLMVKKYYVPFLLLSLFVLVGFIFLVYFSVLCSFSVVVSVAEPWCHGAGAFGRAWRLVKEKKRRAVLFVAAISVLASIVSAVYKLSMAGARSSIVAGLLLGLVFAILMGAVELFGVCSLTAFYYECKGSNEVVATDQYVRVSTDEQPKP >ORGLA09G0012100.1 pep chromosome:AGI1.1:9:1761961:1762209:1 gene:ORGLA09G0012100 transcript:ORGLA09G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMATTDATIAHIMDEQDDIKVKASKCWNPIRPPDALLILNGRQISIQALFSAREYLMESSRSPLSNGSSLIAKFHLGPLGL >ORGLA09G0012000.1 pep chromosome:AGI1.1:9:1760405:1761355:1 gene:ORGLA09G0012000 transcript:ORGLA09G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTSANFSSCFTFLKEALVLPTQNPKLFTPVFLLIALPSFLVLSTNVLFVQPLSMDMAELAIKLQTTDPSSAEYRMILEELKHDVTQLILVVVAVELVALVLGFVNQCVGFFAASSTYSGDRYSLPELLRKAMKGNLKGPLITIAMLTVLRVTYMALLGVLIYSVMQVQRHYLIKVLSVQVLLFVLCFLAFLYFNVVGMVSVAVSVGDTERRGIRALRQAWRLMTRVRRKEGLVLVVAICLLSIAVSPVNLVAAAYTKNMVLGLCLLVVYALLSGAEQLFYFAAATVYYCQAMDSKGEAMDYAYAKIPTGEANC >ORGLA09G0011900.1 pep chromosome:AGI1.1:9:1758942:1759239:1 gene:ORGLA09G0011900 transcript:ORGLA09G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHRGEGNDGGIRMISSDELCKHLRIRDGGRARQDGVDDEAGEEEEEPKLEHDKHEGHCMAGSAATSNLGSEI >ORGLA09G0011800.1 pep chromosome:AGI1.1:9:1755055:1755471:1 gene:ORGLA09G0011800 transcript:ORGLA09G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHAPTTPRPTPVFTDRSRNLDDVVALGYPDLGAPAWIWKQAPRRRRAPAVLCPRPPPASLPPSPPRARPTPFPPALADLLHPSRRDAAPPTSWGARFSATGPRRPATPSPSSTWWPPPWLPNGGRWWRWRSRPPAR >ORGLA09G0011700.1 pep chromosome:AGI1.1:9:1752203:1752795:1 gene:ORGLA09G0011700 transcript:ORGLA09G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLGGCRILQDTPSPFHVHRGQGHLAQTLFLILITLVGAVLLLVCRFXTNNTMSDSIRPASPPASICSAPFLLFFFLFYTSFTPMVFAVIKTMVAXSGSWEKEQEDILYTVGGLIQMLFQWRCPLVS >ORGLA09G0011600.1 pep chromosome:AGI1.1:9:1711962:1712357:1 gene:ORGLA09G0011600 transcript:ORGLA09G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRILPIPHRLSAASGGRWMRLIISALAAGSRMQLTTIAVQAGEAGHFCGSTGNHRGKVYARLVD >ORGLA09G0011500.1 pep chromosome:AGI1.1:9:1698061:1705794:-1 gene:ORGLA09G0011500 transcript:ORGLA09G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein [Source:Projected from Arabidopsis thaliana (AT5G24670) TAIR;Acc:AT5G24670] MAWELTEVPGNPTPSLRGSTVEVIAAKIEPKLANSLIRQLSQACPLENLRHVKRVRRCCEYGEKSELSIILCLATGPEHCSEMFPQDVKKIVGTYELNTFIAKVARFPATSKEEWEEQCKLWPTSYHPPHDLDGVSGFKECELPSIFNCMRTALRLSEVGNAAVVVDPSTMQIIAKATDQTLQHDSLKSNKCAELNSDSPFSSLEVTEKKGSRLFLSNSNVSTCNSLNMEASCLNPWGWMKPRPSEQKSLPCEGGFPWHPLRHAAIVAIENAAERDKVMFPSIISSTKPNSDGNMEYYSVNESAKRLKVDRNDDKKIAHEAICDDLSETRPYLCTGFDIYLVWEPCSMCAMALVHQRFKRIFYAFPNPITGALGSVYRLHGEKSLNHRYSVFRVKVPESYSNSSGDCSDKC >ORGLA09G0011400.1 pep chromosome:AGI1.1:9:1672893:1678296:-1 gene:ORGLA09G0011400 transcript:ORGLA09G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLPHQGKLRRDVKEEALDDGDAAARAEASPFHKRSRLALQHWSTDGGSVSNQQSSQHGFLDEPSPLGLRLKKSPSLVDLIQMKLVQAGKAKDVQHSGTASASEKLKASNFPGSVLRIGSWEWVSRYEGDLVAKCYFAKHKLVWEVLDGGLKSKIEIQWSDICAMKVVSPENEPGILEIALSRQPLFFRETNPQPRKHTLWQATSDFTGGQASIHRRHFLQCPPGMMNKHVEKLVHCDPRLYSLSQQNDINLDNPYFESKSSIFEDAEGIKGQDFEHKDDGDQLAPQRFTELLPPHSASGRIDTEARQLSGTPDKLLQHFPCSVSGTQVIKQDAASGDCERQESIYNWNGIKVPGIRRSMSKSEIANHIGNHIYRQMYSGNLPAVHRGDSTSSKVTLDGITRFLLGSTQIISDGDGDGSMSKLTFDELTRQLLNDSQITNAADERMLMSRVNSLCSLIQRDSGSGQTNPSSSIHGDNEMQERKSQPYALPVSADSGSNTSLPPRQESFGDLLTHLPRISSFPHFL >ORGLA09G0011300.1 pep chromosome:AGI1.1:9:1643348:1644107:1 gene:ORGLA09G0011300 transcript:ORGLA09G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELSPSCRLGGWDSPRGEGRGGPAGEQAATSFQIFLWSFACTPPPRLALDSPSAPASSFASPPSTLHRARLPLYHATSTLLLDDLYHTIAHPTEEAVAGPRRRQHPAPMGARRAVTNAGRRSSHRLNASRGASDGGRLSPLSGQAAGGSPALRDSACPPFGRDGNSVLTVIIDQFRPSILPK >ORGLA09G0011200.1 pep chromosome:AGI1.1:9:1633771:1638769:-1 gene:ORGLA09G0011200 transcript:ORGLA09G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1QM33] MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDNGMIVFKLERERPAFSVSGDTVFYVKDRFLRFFEYSTQKEVQLAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRTDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVTIFDLQQRLILGELQAPAVKYVVWSSDMESIALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITRAIGNNIFCLDRDGKNKLITVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYMDKVGFMCKIAGQNNNLMGQFHNALYLGDAMKRVEILENAGQLPLAYITAATHGLTEIADRLAAELGENIPSLPEGKTRSLLIPPAPLTASGDWPLLRVMRGIFEGGLDATGKAELEEDDEAAGADWGDEDLDMVDASEAMANGGDGFDAEEGEANEEDGEEGGWDLEDLELPPEAETPKNAGNALSVVFVAPPPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFLDLHMGSHSYLRALATAPIIPVAVEKGWSESASPNVRGPPALVFTFSQMEDRLKAAYKATTEGKFPEALRQFLNILHTIPLIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLGSAMGLCYKQKNFATAEHFARMLLENNPNESQAKRARQVQQQCSGKKDSCELNYDYRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELAVVGADASGLLCSPTQLR >ORGLA09G0011100.1 pep chromosome:AGI1.1:9:1628348:1628713:-1 gene:ORGLA09G0011100 transcript:ORGLA09G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGTEEWRRNTDTHKMSAEEVRAAGVEASMRPPGRGHGPGEVLHQRGRMPYGPGTMALAGLGIFGVLGYLVLYHKARPGTPATEVAKVAVGHGDPVAGRDAHKTPEDAAAAAAAARQGK >ORGLA09G0011000.1 pep chromosome:AGI1.1:9:1625901:1627049:1 gene:ORGLA09G0011000 transcript:ORGLA09G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKWIGVHVFSFVYPEGSLLLVNFMQDVSKNGIISYDIEGIAECTQIRYFASLLLDIAILPTEIMLTGRHWAMHLMLPWPPPHENSIVLLIDVHAFAKLGIANMVEAKEEMKLFVAKLYVLDLSCATPSIGHFGNHGPFQLLVCKIWPQFLLWKIWSSEAEIKLLIVGHPKQYIEDTIMVLVEVSLYDLGGNCSFFKAERGVLTGNKHFYGEQLEFLSDKLELRKKHMLKIIDACYESWSTRKWIDVTRKWLHWMLGGGEHASNSSWYLCWTLKVDIKLKKLLQGANKISSKLKNGGDIREAFEQ >ORGLA09G0010900.1 pep chromosome:AGI1.1:9:1619042:1619326:1 gene:ORGLA09G0010900 transcript:ORGLA09G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVNGDSIWHTMELLCAYGMWSKIWKESKFGTIGYVKFLSFTRGFPKVFRTLSMSLIRGFRLPTSCVNRGGASGFPVSLLRAIELSFELGFRV >ORGLA09G0010800.1 pep chromosome:AGI1.1:9:1601899:1604419:-1 gene:ORGLA09G0010800 transcript:ORGLA09G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDETAAAAPATPALSGHGRTVCVTGAGGFIASWLVKRLLEKGYTVRGTVRNPMDPKNDHLRALDGAGERLVLLRADLLDPDSLVAAFTGCEGVFHAASPVTDDPEKMIEPAIRGTRYVITAAADTGIKRVVFTSSIGTVYMNPYRDPNKPVDDTCWSDLEYCKRTENWYCYAKTVAEQGAWEVARRRGVDLVVVNPVLVLGPLLQATVNASTEHVMKYLTGSAKTYVNAAQAYVHVRDVAEAHVRVYECGGARGRYICAESTLHRGDLCRALAKLFPEYPIPSRCKDEAAPPVKGYLFSNQRLRDLGMDFVPVRQCLYETVRSLQDKGLLPVLPPTADDHHHPSS >ORGLA09G0010700.1 pep chromosome:AGI1.1:9:1574943:1575683:1 gene:ORGLA09G0010700 transcript:ORGLA09G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRRRPASAIPSSSAPADELPGRGGDALGAERLEGSVPAGELVKAKATKKPVAFARVWSEADELRILECLAAHVEKHGAPPGRSQLPEVLAGRGLDKEEFTVSEIYEKVRRLRTQYDKMLSGPRPVPGDNRFELSCAIWGNPVAPPPTSPAPEKSSIPASGTRGRRDLEELRPLYPYLVDEVERISTNELGGHVLKMGLEFIDDGTAVRMNGMAKKQRVLELKTMLKLDSMRKEVTRTLLNNMD >ORGLA09G0010600.1 pep chromosome:AGI1.1:9:1536908:1548946:-1 gene:ORGLA09G0010600 transcript:ORGLA09G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAATPSPSRPLWRSSGGGGAIVSKLLRSPFTTVLEAVRGRAAPDDTPPPVQAPPAPEHNCAGAFDGIAVVAGDGREERLDGGVFLTWEDVWVTAVDSRGKAATILNGVSGSARPGEVLAIMGPSGCGKTTLLDTLAGRLDSNLKMKGQILVNGRCQQLAFGTSAYVTQENVLMATLTVREAIYYSAQIQLPDTMTMAEKLRRADETVREMGLTGTLDTRIGGRSSKGISGGQQKRLSICLDILTRPRLLFLDEPTSGLDSAASFHVMSRIIGLAAREGMTVVAVVHQPCSEVFELFHVLCLLAAGNTIFFGPASMAAEFFASNGYPCPPMRNPSDHFLRTVNKDFDKESEDRLRCMPAVADEAIDILVNSYKSSNTSEVAKQDMRHINEMDRVTIGRNRAGFITKTLVLTRRSFVNMYRDIGYYWLRMAIYISISACLGTIFYNMGYGSDSIRARSSMLMFISTMLTLMAIGGFPSFVEDMKIFSRERLNGHYGVTTFVISNTLSSTPYLLLIAIIPGAIAYYLSGLQRQIEHFVYFTLVLCSCTMLVEGLMMIVATIVPDFLMGIITGAGIQGIMMLTSGFFQLPNSLPNIVWKYPMYYISFHKYALQGFYKNEFSGLVLNLEGPITVSGEKVIAELFQVETGHSKWVDLAVLCGMIMTYRLLFVVIIKVLDIVKPILKGMTFRCNTKCIHGIENLCAPP >ORGLA09G0010500.1 pep chromosome:AGI1.1:9:1516677:1520183:1 gene:ORGLA09G0010500 transcript:ORGLA09G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTTPRGNETSELGANDGESSSRNSQPNNIEETNPLPEVSNDDAVLIIGQAIELKDEGTRLFQRRDYEEAAIKFGEAIKLLPKEHNDIAFLHCNAAACYMHMNPEDLDHAIEECNLALEASPKYTKALLKRARCFEALDKLDLACKDVQKVLSLEPSNVTALELSESIKELMEEKDVLLEKQIVSPEAEEPKAILAKDKIKRKVSRKFRNSIVEEEVWEMIHEEDMQEDDGAAEEEKCNGNNHVQNDARPEENDTEQMHIKQNRSSEEKYREETRPKQGQCSRGASNDGKTVLQHSSLNMEEMCVNLKHGQEKHQKHLKEIHVRGSHGQETHPFCSGVDKRQKHIDQIQTNSLGMQEKHTDKFERYANGSREKHFLDRHIGRGEDKQEKRSTLKPTIHGRDKHKNHKDVNKRAMKSVKFVCGDDIRIVVIPEHITLMQLMDIARYKYTPHLKSILLKFMDKEGDLVTITSTEELRWVEELDPLKPVRLYIKEVSPDREITRDLVMPTTSYSKLERNHNSMSECGSSRHGGEKNSYTDDWMVQFARLFKYHVGFDSDAYVDLRDLGMRLYYEAMEETITSEEAQEIFQSAEAKFQEMAALALFNWGNVHMSRAKKRLLLSDDASQESILLQVKNAYEWACAEYVKAGKKFEEAVDVKPDFYEGLIALGQQQFEQAKLSWRYADACKIGMGTEVLELFNHAEDNMEKGIEMWEGIEYLRVKGLSKSKKEKVLLDKLGLNGHLKEFSADEAFEQASNMRSQLNISWGTILYERSVVEFKLGLSSWEESLTEAIEKFKTGGASLPDISVMIKNHCANEKTQEGLSFKIDEIVQAWNEMYDAKKLKNGGSSFRLEPLFRRRPSKLHNILEHIHYT >ORGLA09G0010400.1 pep chromosome:AGI1.1:9:1493352:1494700:1 gene:ORGLA09G0010400 transcript:ORGLA09G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARTEVTTVKLIGPRCAINFIEEYSRRHNYNCTFDLWIWTNDPDAILKMAWLGLASPDDEPVPIDTPVPDIDPMHNPPLSGPKDGWSSPVIIHLDTLEDHQPRPMLYKQYEWSYGAYDDVSRSRSRPLPIPCRLETSAVQGPDEDPDGNGGRPHPRYRSRSRGTREARSSKKTPRESKRLSSSRQMVIRRFKQPLTPRSLKMIADLVKKGGCKKLKLKAAKGKAAVAPA >ORGLA09G0010300.1 pep chromosome:AGI1.1:9:1485747:1486552:-1 gene:ORGLA09G0010300 transcript:ORGLA09G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGDNGKKAMPQAENKEIAAKDAQDIRRQADEFNSWLDRMEAMDSQELKQYKEQNKDMFNSQKKAAINKIQQKEKKKRKRTVLSPILGAVXXXXXXNDVDPSASGPAA >ORGLA09G0010200.1 pep chromosome:AGI1.1:9:1479174:1481543:1 gene:ORGLA09G0010200 transcript:ORGLA09G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMFIKSHTAQEIIGGIVDSDNAKTYLANIQENFKSSSKNYASTIISKMLSSTYNGTMVHVSNSLQGFSTVRKNVVRQQRVILDPLALQLMLKKVLMKIMMKVMSINRIMRHRMKKKHPEEDAQRHMNNDENQQLRRSQQARKFAIPDYHEVYMNEDIGKVDGPTSFKEAISSKNSSKWFE >ORGLA09G0010100.1 pep chromosome:AGI1.1:9:1475361:1475720:1 gene:ORGLA09G0010100 transcript:ORGLA09G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASPGTAVLASRRLLAXAAARQRKGRGRAAAAARVAPPESLDAGGDAGDSLNCQGLKLRLPNFSNKNYRFLLSRLRTMTYHIHLQAAFKLPQDIEKSALGSTINNGKQKVHKGTHNT >ORGLA09G0010000.1 pep chromosome:AGI1.1:9:1471333:1471974:-1 gene:ORGLA09G0010000 transcript:ORGLA09G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSQLNPNATPFVPPPRSSFEESLSGRKASEKQVGDSEKDETADKSSEYELPDSLSLDDYGESLGKLNISAESSSKGAASTALDPSHYEENGVDNHLAVVESLSKMFPDVSADFIVEALRAHDFDTELTIDMLADLCEGDD >ORGLA09G0009900.1 pep chromosome:AGI1.1:9:1449450:1457414:1 gene:ORGLA09G0009900 transcript:ORGLA09G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGMGKQRWEKMLLQAAFDGNLRLLRKMARGLVTTGRGRGEADVLAAVADGGSGSRALHLAATEGRMDVLTYLVEDLRLDVNQTNDRGETPLFLSAFFGRTASTRYLLDHGADPMIVGKSGSPLHAAAGKGHCEIVELLLSRGIGIVFDSLYGTPLHTAAAHGQCSTMKILLDHHANPDKVFNLDDTPLNMAISSKSLECVKLLIQAGADVNFRDSNGATYVMMAANYGFSGIMKCLLDAGANPNIPDQVSYTISFIFQLPXAINARLDISXQNPNTDGNQLKILSALTGSSVVSSLFLHPTKPRSMDXCXRGLVGVVVXVDCCSVGLFLGRIRDXSWXGLVGVMAXVDCCSIGFLVCTPPGAEYLYSXVSFPRTCRGVFGTSSFSGVLVESKXVSRSLPKVGLFDVSXVSGVCHNFYTDSWKTKFSMWWKNXTEETKWXTSVSVIPEIDTLHQEETHCLDKVXCTVWYVLHCVYCIEMVMILLFLPTVRFLECNFQGFMCKNMLVXWVSCGAQDGGLAWRHCGVVFLVFLAVVLLMFGIFTMTPPLWLPTFYXFSMVHCASAHVHLSWVGFDLLVVAVGVFGAGIVVGVXNFGCLHQVWHEPAILMHASWLAACSFVFLRFLFSFLGLVPXMPSSWCWYHGVPCRGQISGXEKKGXAXIGKWSRLREKYTHMLVSLYSALGLGPSPDDSATLLANRSLCWLRLENGKQALADANMCRMFRPHWIKACYRQGAAFMLLKEYGNACDAFSDGLKLDPANVDIENALRAALQAVKNDRCVKNNQ >ORGLA09G0009800.1 pep chromosome:AGI1.1:9:1447330:1447731:1 gene:ORGLA09G0009800 transcript:ORGLA09G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGIDEGIGTAADEEDVGAGGGGKSAPAVADGAEVGTGRGGEEAAAPAAGMRQQSTRGRRTGSGGREESAALAAAVHAGRISSEDAAARRQNMRGGGGASEEEKRSLHVWSDVFAAGGGGAKYSARMDGAD >ORGLA09G0009700.1 pep chromosome:AGI1.1:9:1430606:1430986:1 gene:ORGLA09G0009700 transcript:ORGLA09G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANRVFLPRIPLCPSDDDMFPFHFKRKQFPVRLSFALTINKAQGQTIPNAGVYLPEPVFSHGQLYVALSRATSRTNIKILWMPVEDKKQKKKSKRTGVKDNEKKGKELSKQAATYTKNIVYREVLTD >ORGLA09G0009600.1 pep chromosome:AGI1.1:9:1421925:1425010:-1 gene:ORGLA09G0009600 transcript:ORGLA09G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLAGQTPLFLSSIHGRAAATRYLLDHGSNPAIDKTVLPLHGAAVKGHCEIVELFLSKGVDVDLYSIAGTPLLTAAISGQHSTMKILLEHHDDFNTTPIEVAANHGRRDIVEMLFPLTSPISTLSDWSIDGIISHVETFGLKPRVFVPLSAHL >ORGLA09G0009500.1 pep chromosome:AGI1.1:9:1383953:1392023:-1 gene:ORGLA09G0009500 transcript:ORGLA09G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQEQEKMLLQAAYDDNLRLLRKMARGLDTGQGEAAVVAAVAGRADGNRALHLAAARGSMDVLRYLVEDLHLSVNQFNAKGETPLCLSSIHGRAAATRYLLDHGSDPTIDKSVLPFHAAATKGHCEIVELFLSRGVDVDLDSITGTPLLTAAMNGQYSMMKILLEHHADPNRVVNHNGTPLIMSIVSGSLECVKLLIKVGADVNFRDPNGVTCVMVAANHGSPVIMKCLLDAGANPNIPDEFNRTPIEVAANRGRRDIVKMLFPLTSPISTLPDWSIDGVISHVQTFGLKPRDNDLSKRKSAELKLQAREAFEREEYMLAGQHYTNAIELTTNAHDKATLLANRSLCWLRLSTGNGALADANMCRMLRPSWPKACYRQGAAFMFLKDYGKACEAFADGLKLDPANEDIAKALRDAQEAMKDQMEHRG >ORGLA09G0009400.1 pep chromosome:AGI1.1:9:1373755:1379778:1 gene:ORGLA09G0009400 transcript:ORGLA09G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPLFPALLLFLCSSSLALDSVSEPTLSWTCGDDQVAILDTSDGGRNLSVNGELVQDRVLGCQKLRSYYVSRCLRCGQQSEAWRGAWKHYCREGSESSNAQNIPRKLLRQPSMNDAKIEDDPCKNMGIHGNNQDDNDSLEGQDHLLAVPGVILLCCGLMIPCFHAEKKEVSRHNTTSIQRNAVESIASLDVSTSSEKVPPTPHRIPPSPSRFAPSPQIARVGSVNLTVQQILRATQNFSPSFKLGEGGFGTVYRAVLPDGQVVAVKRAKKDQFAGPRDEFSNEVELLAKIDHRNLVRLLGFTDKGHERIIITEYVPNGTLREHLDGQYGRTLDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTESYRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEILSARRPVELKRAAEERITIRWTFKKFNEGNRREILDPLLEDPVDDEVLERLLNLAFQCAAPTREDRPTMKEVGEQLWEIRKEYGKSVRRV >ORGLA09G0009300.1 pep chromosome:AGI1.1:9:1359720:1367732:1 gene:ORGLA09G0009300 transcript:ORGLA09G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGDRSTDPSSGPAPGSRGGGDGRFGRGPSRWSSGGGGGGSGSPPHRFSRGGGGGGGDGGGGGGGGGGGRFHPYRGPSDHSGGGGYRSGGGGEYGEPGSGPRHRYGSGRGDHSDHDNRNNYVKLFIGSVPRTATEDDVRPLFEEHGDVVEVALIKDRKTGEQQGCCFVKYATSEEAERAIRALHNQYTLPGAMGPIQVRYADGERERHVFIYLLGAIEHKLFVASLNKQATAKEIEEIFAPYGHVEDVYIMKDGMRQSRGCGFVKFSSREPALAAMSALSGNYVMRGCEQPLIIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDSWHPSSPRSAPHQFNNFGSDNPMAPKGSTVTSTTDTATFRPQMFSGNGSLSSQTAVPSSSHMGMNPPPMAQGHHLGGQQIPPLQKLPGLPQNFPVQLQNNQQGQPLQGPAQQIGQLQVPQSMGPGSFGQNMLSGQLPVSQPLMQQNASVGAVQAPSAVSNSMQAIPGQQHLPSNVAPQMLQQPVQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQQQQSNSNHQQPTQGQPVQSSNPGAPNAIIPSNINTIPQQATSPAVPLTCNWTEHTSPEGFKYYYNSITRESKWDKPEEYVLYEQQQQQQQKLLLLQQHQQKLAMQQLQSPPQAQTHPAMQPVQQIPQAQQGQQQMQMKQQELNYTQLQTPGAIDPSRIQQGIQSAQERAWKS >ORGLA09G0009200.1 pep chromosome:AGI1.1:9:1349489:1355008:1 gene:ORGLA09G0009200 transcript:ORGLA09G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G35430) TAIR;Acc:AT5G35430] MEPKEAPPPPPPQSPSSTEEEGMLSVTAAMARDAAVLFQSRRYADCAEVLAQLLLKKEGDPKIFIIFQVLHNMAIAESFLDGCPDPKKLLEILGNVKRRSEELACASRQQTDSANGTGNSVSSGSRGSGIIPLISAANNATTYGDEFDTTIITFNTAVILYHLHDYESALSILDPLYRNIEPIDETTALHVCFLLLDITLALQDASNAADIIQYLERSFGVVSATNQNENANTAQQQSAQPKPSAKISTPPDSDSNTCAGGSENLSAGNFSDDTLEFESFYSTLDGGNQNLGRPILNDFSRASADLAATAADLKVRLQIYKVRLLLLTRNLKVAKRELKVLMNMARGRDSSTELLLKSQLEYARGNYRKAVKLLSTPNNRSEPAMLAMFYNNLGCILHQQRSIQTSVWCFSKALKYSLSLRSEKPCKLTAISQDKSCLISYNCGIQHLMCGKPLLAARCFREAMPLLCNRSLFWLRFAECSLLALEKGILTSSGATSCNDEIEIDVMGSGKWRHLVINPVKPSHSSESGEEVSLDKYGNLISLRFARQCLLNAQILLDPSTKENLVIASGTEESNQTSLQGQKGSGQKNTTNTDSKPPGPALTNANGEQKGISNLNVTLQSSLALYDDICRKENLKIKQAILGDLAFIELCLQNHLKALSIAKLLQQLPECSRMYVFLSHVYAAEALCALNRPKEAAEQLTVYLRDGDDIELPYSIENCEKALVEKDSDGEDSVAPVVTKLSSGESQYSESLKPEEAQGVLYIDLGMTAAVQGELEQANYMVSRGVALLPNNPRAVLASVYVDLLQGKAQEAIAKLRRCRTVRFRRHSVAVSSLDRTGRPFDDFCS >ORGLA09G0009100.1 pep chromosome:AGI1.1:9:1333667:1335133:1 gene:ORGLA09G0009100 transcript:ORGLA09G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWWRRGVDAAVAEWMQQAPKGHGSGSRQWRWSGSSGFSKFKSENYSPFNAAATFNRCGGIDLGKGERGVGGAADLGDDRSRVAVRWEGGGAVETTLGGSVDHNSKSRSERAWGGRSGEEFLAVDGSITGGMADGGGAGGRQDHWRAPAADRMVMATWENDESGWRGCVVVKESARGAIPYTHRVMLSDWLLGVTRSNRICKDKDSACSLPPLVLPKSSFDKELAILLKACKNKEGGGISKSKV >ORGLA09G0009000.1 pep chromosome:AGI1.1:9:1328635:1330868:1 gene:ORGLA09G0009000 transcript:ORGLA09G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKEQLQLVSLHSDDYNPYLPRFTQIQRIRSTWSKTLRLSVFPRSSKERIPVMSYVSCVVIALPRAARAMSDLLQLGSCPVGLP >ORGLA09G0008900.1 pep chromosome:AGI1.1:9:1300297:1301649:1 gene:ORGLA09G0008900 transcript:ORGLA09G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRISSSESERPVGSYRFHIPRQPPRIQAEGGKRHMIIGDRRHGGTALWRRGSMLPPSLPRWGVQDGHGHVDGSSRQHPSELGSSSALSVLIGGWIWRSAAAQAHGEVIDGGRCEDEAGDHMQPPPASSASMAMLSEERISASGIVVRERQLHGYGERPFLPCLATMAAKGTAREISTKKIAKKDHGEVGDLTMAMLMPSWTSTGNHSSRNPTRCLEQLPEPSKRAHSEAYGNMQLATGDLIVGLHKQATITVPPPNISEIGGHLTAHKNNEMTVGKRVQHIIDVSVAKEATRSLVSSARQSRRGPYECRKCGTMFSSGQALGGHMKSHNSDERWGDKRVPSAFVGSFLSLITPIDVSNVSVPSSRNPHTSSIPNKEEGRVLVMGAAPLNSVPKGSFRLFGENIAEAPKEEPME >ORGLA09G0008800.1 pep chromosome:AGI1.1:9:1294647:1295643:1 gene:ORGLA09G0008800 transcript:ORGLA09G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHQHVPLDEYQSNLRAICAYFKEQWPSTKIILITPPPIYEPVRIRDMYGEDDPSKLPERTNEAAGTYAQACLTVAKELNHPVIDIWTKMQQFPDWQTSALWYGSISSDLIPKLDVWMGLITVKGCHLCYQ >ORGLA09G0008700.1 pep chromosome:AGI1.1:9:1289540:1289836:-1 gene:ORGLA09G0008700 transcript:ORGLA09G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSSPEPEEDCLIIKIESXSQVFAFLDGAVGESGEERDAKTEALVEVLAAVRMSGKKQGLEREGMGRRQQRGEGREGEEEEEEEKVAESDMWVPLS >ORGLA09G0008600.1 pep chromosome:AGI1.1:9:1224268:1237641:1 gene:ORGLA09G0008600 transcript:ORGLA09G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFFKATLLQHVNDLLLAIRELLDNADSVVQELEKSIKPAMMELDELQQKIKNMEHIEKIAHEIDNLKKKLAWSWVYDVDRQIEEQTVKLLKLKERIPACQEKIDGHASMIVKLKEELTDKERNARSLVEKSREVTMMKEKLEDDIAQAVALKIELEREHVRGTNVLKNMNNRVKQLQKQIHDFQEQYIQYTQDESSKAENDKCEIQKEINSLHSNVTRLKEEERGLHETQMVIVKSIQNMETEIVENRKKITQFKAHIRDLQQRQSDKVSTFGGQRVRNLLKSIERQERRFNIPPLGPIGVHVKLASESWSFAVECALGRLLDAFIVSCHRDSVILRECAKEVNYHNLQIIIYDFAKPRLNIPDHLLPSTTHPTVLSVIQSENPTVLNVLVDQGSAERTVLVRDYEVGRSVAFDNRIQNLKDVYTSDGYKMFSRGPVQTILPPHRKGNAGRLCTSLGEKIAEMESEIADMERIISQRTRDMKKPNDKREDIELKIKNLKRKRVEEERLLESKKVQLDDIRKTSADINRVTSSDTSELEAEMMQVEVDIEQKELLVQKTNLRLTKALQDENDRRACYKEFIDGVYREVGPSNVLEKEIERVKDKLQTAEQGKAYYEGIMETKVLPDIKIAEAEFEDLQKLQQESFKKASIICSESDVETLGGVAGSSPEQLSATINKLELRFHKESSRYTESIDDLRALHIKKKEKIEDKQQLYAGFRDKLNSCQKALDMRWKKFQRNAALLKRQLTWL >ORGLA09G0008500.1 pep chromosome:AGI1.1:9:1183492:1186682:-1 gene:ORGLA09G0008500 transcript:ORGLA09G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAYMNQFSCLSGLWFLMLDKGVPRHPGPLKVRPHWEALALQSEYVELVAVNDSFITTEYMVTLDLAKGVYAKFIDWDEQMFDQETYARFLDAVSRNDPDIAKFLMVMALCSTVVPIKSNDGTITYQAQSQDEEALVTAASKLNMVLASKDSNTAEISFNGCKFYYDLLDILEFTSDRKRMFAVVKDV >ORGLA09G0008400.1 pep chromosome:AGI1.1:9:1155148:1155721:1 gene:ORGLA09G0008400 transcript:ORGLA09G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKFHFPIGDPEGRGTKNTYASLVSSIVTRITTRAMATVEGKPVCGPSGYFEIILFPKPGTQFAAKHANGRVRLLFNYQNLYLVAFKVQGKWHKFKDLTPKIAPDYASIKRKKHCEAKNLPFESNYGVRGMAANLAQLKTCKRCSSRPVLCFRRCSDSHC >ORGLA09G0008300.1 pep chromosome:AGI1.1:9:1124245:1127129:1 gene:ORGLA09G0008300 transcript:ORGLA09G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQEDSFDLPLFVEEEDEAGAAAKQRRREQSQKPRRPRAPSFLDTPEVKERRARSSALAAKYWEHDPKTGISYYTRACFRDLTTFDLDKETQYGPMRFTDSIINKDHMLTSSLNVLSLKVKSSDVGYPINLYGTVIVRDGLDFNCIFIFRRNRDNCQVIQSENENIILTGPTRGIVFHGEIFFEINLKIKENEECNDKEFSKGLLEMKVYTSKSMIVSETLESRLSEVELVSASVKEALEGTVEINILSGPEVFHGKITACTTDVPNDIILYDSDVDDATTVGDDRVMQLLRRVVVVSVNEMLILNIDAHNIHPNDNLSSRTLRFTPFTRGLDEEVISCGLYKMRVKVVWSTLMMD >ORGLA09G0008200.1 pep chromosome:AGI1.1:9:1117072:1117899:1 gene:ORGLA09G0008200 transcript:ORGLA09G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYKQLTTSNGIRLLDTXIKKFMDKILTMQEHRTKVASRRAQRNESTWFARCTSLLSLALAMVVAPARSRLKPGDNNEEDLVLTVAPLRSVDESPSPPQKPAVADPSPSRDLGENPQPLLLSLSDATPDFKQXSGIECDVQS >ORGLA09G0008100.1 pep chromosome:AGI1.1:9:1114720:1115404:-1 gene:ORGLA09G0008100 transcript:ORGLA09G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTIAGVDLPTWRLSPSPPAYKRDPRLPPLSPHTAALSLLSPRPPCRSLLVPPPSQLRPPLAGGRDLIGAXRRHHPLRRFLLFPVHPSVEHEDRGNDDDTDDMKFLAVSSSLPATPSTSRRRVCSHINFKWPYLDRL >ORGLA09G0008000.1 pep chromosome:AGI1.1:9:1094101:1095603:-1 gene:ORGLA09G0008000 transcript:ORGLA09G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMQMEMEAQAQAHVLLFPWPQQGHINPMLHLASVLLDAGLHVTFLHTDHNLRHRFARPHHPTRLRLLSIPDGLPDDHPRAVGGLIELLDSMRTAGSAAYRALLLTESSRSRPDSLDDAPPPVTCVVVDGVMPFAITVAEEIGVPALAFRTESAFAFLAYLSVPRLLELGENPVPSDEQVRGVPGMEGLLRRRDLPRVVPTKQDDVDAEEADPVPVLLTIADTAAHCRNSRALILNTAASMEGPAIARIAPHMRDVFAVGPLHARVATNTIALEKHEDDDDYGCKAWLDGQDDRSVVYVNLGSLTVLSSEQLAEFLHGLVAAGYAFLFVLRPDMVASSSAVLQEAVEAAGERALVVEWVPRDVHYVLRHRAVGCFLMHGGWNSMLEAAVEGVPVVCWPFFADQPVVSRFVAAIWKTGLDMKDVCDRAVVERMVREAMESPEIRASAQAMARQLRLDVAAGGSSSSELQRLVGFINELSAVHVRSRDADENSSPAASLLT >ORGLA09G0007900.1 pep chromosome:AGI1.1:9:1083679:1084050:1 gene:ORGLA09G0007900 transcript:ORGLA09G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGGAAGWRGIHVQELGRRSTISSRASLACRLPLVFIQKTKEEDGREHRRDQKAMDGAWWLALDDLDTTIAGNRLYY >ORGLA09G0007800.1 pep chromosome:AGI1.1:9:1069208:1073236:-1 gene:ORGLA09G0007800 transcript:ORGLA09G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVCGKRASSFFEDLPHSPSSPPSKRACFRGGSSPSRPLADPALVAQIRPRFPSVGLEVIENALEECENDFDSAIKFLLNLHVGPTECNVDPIYQSPSGMSTELQVADEGILAGNEAAVPIGNAPCADNFPSSSTQWVEILVNEMTNASNMDDAKARASRVLEVFEKSMTAHVGAMGSFQKESSVYKEQFEAITRENTILKKAVAIQHDRQKEHDGRNQEIQQLKQLVAQYQEQIRSLEVNNYALSMHLRQAQQANSIPGHFHRDIF >ORGLA09G0007700.1 pep chromosome:AGI1.1:9:1061981:1064784:-1 gene:ORGLA09G0007700 transcript:ORGLA09G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIATTTWQQFEIAELICKFDRNNRTKTLGSFQSCSSIEHLFRLVVALTAILGDDICDARVAIECSAYRCNPQLAIGARDEEISMVPEEPVLVDNNNQEENSLQQLNLLADTSEWFKNMDDDDESPLYG >ORGLA09G0007600.1 pep chromosome:AGI1.1:9:974875:975992:1 gene:ORGLA09G0007600 transcript:ORGLA09G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQPADHSSSEEDDAIIDLLDRSVYDQRILNEAVQHYPHDFPQIPYGRYLLVDFGFPSRMGFLALYHMYGAIGIDWDEEERRHSKDEKSTSTFSTPLCEEGLLL >ORGLA09G0007500.1 pep chromosome:AGI1.1:9:960895:961182:-1 gene:ORGLA09G0007500 transcript:ORGLA09G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRIPAASSLLLPPPCLGRPRWAPGQSPPPAMPDNATPYYAKGRPRGASRLPSRPPCPPPHWTAATHSAPGDTMASLCRTKGSFPFTASIVS >ORGLA09G0007400.1 pep chromosome:AGI1.1:9:954108:954731:-1 gene:ORGLA09G0007400 transcript:ORGLA09G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAAARRRPSLGRQKIEIRRIESEEARQVCFSKRRAGFFKKASELSILCSADVAAVVFSPAGKAYSFGHPSVEFLLDRFLSSSLPATAGREEGSSVSVVAELNRQYGELRAMVDAHKARRERAEKTMEKQRQRQRAAWMDPEAEVGRMAPEELMALGTKLVAVQGGVAARADQMLRDALLLGRRPNTTTTTTRAPPGFFHLHPHF >ORGLA09G0007300.1 pep chromosome:AGI1.1:9:927783:940865:1 gene:ORGLA09G0007300 transcript:ORGLA09G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT1G72440) TAIR;Acc:AT1G72440] MAEAKGKPTSTKKGRKAAASVVAVGDDIDSLKSDVASFASSLGFLGAAAGSSGFDDSDFRKSGPIIPPKTSKSAQTTDAPPNPKPATTTTKKPHPLDIHGTNATTKSVSGAVTTNYPLMKATALSGQWYADAGELEASVLGARKQVLPSVGLQEMQRISEGKRQLAEKLMAQYTVEYDMVKRGSGDLKLLEISAKSGTSADKVSAFTCLIEDNPIANMRALDSLLGMVTSKVGKRYAFTGFDALKELFLKRLLPDRKLKSLIQHPLDILPETKDGFSLLLFWYWEDCLKQRYFLNNNKFGYLYEKFVIALEDALKDMLPNLKDKAMKTVFILLKEKAEQERRLLTALVNKLGDPERRAASSAAYLLTSLLSAHPNMKMVVIDEVDSFLFRPHVGLRAKYQAVNFLSQIFLTSKGDGPKIAKRLVDVYIALFKVLMSCSRATEGVKHSKYGKKTNENGKKEKGNDFNSHVKHEDPCAGSDLEMDSRILSALLTGVNRALPYVASSEVDDIVEVQTPILFRLVHSVNFNVGVQALMLLYQISTKNQIASDRFYRALYAKLLSPASVTSSKPELFLGLLVKAMKNDVMLKRVAAFAKRLLQVALQRPPQYACGCLFILSEVLKAKPPLWAIVLQNESVDDGIEHFEDIVENTDCPAITSRTTDKCNDILATLEKCNSDAEDACDTIECVSPISSGEKDGKGTSARLTLQASYNPRHREPSYCNADHASWWELTALALHVHPSVSTMARTLLSGNNIVYSGDPLTDLSLPAFLDKFMEKKPKGNRIAEGKWHGGSQIAPAKKLDQSHHLIGEELLELAEKEVPPEDIVFHRFYMNKTGPIKPKAKKKTAILDEDTGELFADDVDDASDESDDEMQELGIGSIEDGEYDYDNLDATTFEEEGDLLRYDSDVELHDISDDISSGADSDTEALEGANHSDGNDSDGEEPVQGQKRKHGAKSGASPFAKLEDYEHLMDGEAEKPTFKKGRKHSVTRDSKEKREGLSSKEKSGSRKKRSKRSE >ORGLA09G0007200.1 pep chromosome:AGI1.1:9:908286:912746:-1 gene:ORGLA09G0007200 transcript:ORGLA09G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPDDNSSSSPSSKTNPSEKGEEITVVEEPILFDNNQEEDNSSNPLNLLADTSELFRNMDDDESPLWLNVLLRTIFWRKCDVHDQLENAHRAEESIFCINCLKTICPHCTHDEPSHQLLKVRRYIFRSVVRVKDMQNFGIDMSYIQTFKCNGHKVVHLRPIKRSEHHRPKDGTPHCTSCHCWLHNAPSLTCSLSCKKKVGISPDDFSGPEASTRVSRSRNHASNVNQKHPTNTKLRKKPRKQANPERAPFF >ORGLA09G0007100.1 pep chromosome:AGI1.1:9:902249:902785:-1 gene:ORGLA09G0007100 transcript:ORGLA09G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEARKVCFSKRRADLFKMASELSVHFNADVAAVVFSPAGNRAYSIGDPSVMDRFLSSLPAPAPPAETEPEPEVDWSVMEELSRQCGQLQAMVDAHKARLEKAEEKLRESGAAAWMMDLEAEVGRMAPEDVLAMVTKLAVLRDGVAERAHEMLREALLAVAAPTPTTPTTPPPAGF >ORGLA09G0007000.1 pep chromosome:AGI1.1:9:896324:896675:-1 gene:ORGLA09G0007000 transcript:ORGLA09G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQYELNSDRDPNKSPTGLGDPSDGSYIIVRGVTGSAWEYGEENARGGEGRAGAIDYFLYIDARYFARVPSTSQRLLPLRSASSGNDL >ORGLA09G0006900.1 pep chromosome:AGI1.1:9:885836:886741:1 gene:ORGLA09G0006900 transcript:ORGLA09G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTTTTPTTPDSAEAAAAGRSPRRKRTRTRTRASSPSSPASSPAVIAPSRFLICGFLIPVDSWLIAAWFLAISARIGGTDGAPPPPLLRLQRQRQHRHRGHHRRPRPPPRPLLPPRHRPARPPARRPLPPRAHRRPAARRGRALLARRRPPPLLHPPHPPPGALPHPPRARPPRALRRRAPPPRPRGRAGRRGRRVPVHLRDEAGVREDQGRGGGDGGGGDVQDGARGAAGRQAAVARRGGRGEGGADAGAGQGVPQGRRGRGGQGGAPRREVARAHRRRLRPRRGVRPHARQRQSSHG >ORGLA09G0006800.1 pep chromosome:AGI1.1:9:883418:883618:-1 gene:ORGLA09G0006800 transcript:ORGLA09G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPSPPRQHRAVASAARGGRSGGRPEAPSLLQIQRKGSRAAPPPLGTYGESPPMLPSKTVGLQLM >ORGLA09G0006700.1 pep chromosome:AGI1.1:9:871770:877829:-1 gene:ORGLA09G0006700 transcript:ORGLA09G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67130) TAIR;Acc:AT5G67130] MAGLPFLLALHIALLLLLPCSCQVGDSCSSARDCGAGLYCGNCAATGKTRPSCIRDLAIQPTSIVKGLPFNRYSWLVTHNSFSIVGEPSHTGVERVTFYNQEDTVTNQLRNGVRGLMLDMYDFNDDIWLCHSLQGQCYNFTAFQPAIDTLKEVEAFLSENPTEIITIFIEDYVHSTMGLSKLFTAADLTKYWYPISEMPTNGKDWPSVTDMVAKNHRLLVFTSDSSKEASEGIAYQWSYLLENESGDPGITGSCPNRKESQPLNSRSASLFMQNYFPTIPVENEACKENSVGLPQMVQTCYTAAGNRIPNFIAVNYYMRSDGGGVFDVQDRINGVTLCGCNTIAACQAGAPAGACKDTGAPNRTSSSVNGNVYSGTIEFKSPASAASISNANIPSKFVGLLLLGLVLIIKPF >ORGLA09G0006600.1 pep chromosome:AGI1.1:9:866406:869484:-1 gene:ORGLA09G0006600 transcript:ORGLA09G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLLRSADAAVAVRPTTTAPPPRPRLHRPSTLPLPSSCACGPLRAYAAPAAPAPAAHNNGVYTVGDFMTKRPNLHVVTPATSVDEALETLVQHKISGFPVVDDTGKLVGVVSDYDLLALDSISGSGLTGTNTSMFPEVDSTWKTFREIQRLLSKTNGKVIADVMTYSPLAVRESTNLDAATRLLLETKYRRLPVVDSSGKLVGMITRGTVVRAALKIKKTAEETA >ORGLA09G0006500.1 pep chromosome:AGI1.1:9:858127:862742:-1 gene:ORGLA09G0006500 transcript:ORGLA09G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:I1QLY6] MAAAAAQAQAQQAASSASEGGSPASSAAAAAAAAASFPATSLYVGDLDVSVQDAQLFDVFAQVGGVVSVRVCRDVNTRRSLGYAYVNYSSPADAARALEMLNFTPINGKPIRIMYSNRDPSLRKSGTANIFIKNLDKSIDNKALYDTFCVFGNILSCKVATDASGESKGYGFVQYERDEAAQAAIDKLNGMLMNDKKVYVGPFIRKQERDNSPGQVKFNNVYVKNLSENTTEDDLKEIFGKFGTITSAVVMREGDGRSKCFGFVNFESPDDAAQAVQELNGKKFDDKEWYVGRAQKKSEREMELKEKFEKNLQEAADKYQNTNLYLKNLDDSVDDDKLRELFAEYGTITSCKVMRDSNGVSRGSGFVAFKSAEDASRALAEMNSKMVGSKPLYVALAQRKEDRKARLQAQFSQLRPVPLAPSVGPRMPMFPPGVPGVGQQLFYGQPPPAFINPQPGFGFQQPLMPGMRPGAGPMPNFIMPMVQQGQQPQRPAGRRAGAGGMQQPMPMGQQQMMARGGRGYRYPTGRGMPDPAMHGVGGGVMPSPYEMGGMPMRDAAASQPVPIGALATALANAAPDQQRMMLGENLYPLVDQLEHEQAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRTAQQIQTNATPEQQLASLSLNDGVVSS >ORGLA09G0006400.1 pep chromosome:AGI1.1:9:845685:855955:-1 gene:ORGLA09G0006400 transcript:ORGLA09G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPGRGQRRIDAAIDHLSEYGFSRPIIRQTINELLADTLYGRNGWVFLEEGSYRIVVDRLLEKQANQQEQQEEDLQRVWVTHGSLHPTTLSKYKVQARNNPQPRLEVTRQRMDLDGGKRRRRGLYQQWADTGMGQLGLEPHAWLHDPHYNFEKYHHEGGTTAMEPLPENGVQTSQAEVPAAASEPAKVVAAVADEMPDSTTSVPLPITAARHTASTRRPCYGWLIESESEDDELDNGVSAVEQSNPPSATNHKMSNGWSYVEQARPSVAMRHEMEPDTHHRGMLSKRRRPSGWDVRPSY >ORGLA09G0006300.1 pep chromosome:AGI1.1:9:832611:832886:-1 gene:ORGLA09G0006300 transcript:ORGLA09G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPIKNSMPIVEMHEVHAEAEPTVEEMQEALFQKQKEEQLQLQLFQQEEELEDQQPQVVRSLSFNLMHSFLDDHPILMLHLTINWLLGV >ORGLA09G0006200.1 pep chromosome:AGI1.1:9:821484:821780:-1 gene:ORGLA09G0006200 transcript:ORGLA09G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLEEAPLEDKSMSIIEVHNVMPAETEQQVEDADPMLVDLPAVEATLPLPEAKVTYGTRRPCYGWIEEYESESDNEEQPARLICKRKRPSRWDVKPIN >ORGLA09G0006100.1 pep chromosome:AGI1.1:9:810125:818722:1 gene:ORGLA09G0006100 transcript:ORGLA09G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHGEDCCVKVAVHVRPLIGDEKLQGCKDCVSVVSGKPQLVLLLQVQIGSHSFTFDHVYGSSGTPSAAMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTACKEGSHIGIIPRAMATLFDKIDKLKNQVEFQLRVSFIEILKEEVRDLLDPATAAVGKLENGNGHATKLSVPGKPPVQIREASNGVITLAGSTEVHVTTQKEMTACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKADPIMTLDGMPIEEMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPVADEIKRMRQQIEYLQAELVSARGGVVLDDVQGLRERISMLEQKNEDLCRELYDLRNHGYTDPCEPELQKIGTGYTKGEGLKRSLQSTEPFDVPMTDSVRAGSPKDIDDEVAKEWEHTMLQDSMGKELNELNRQLEQKESEMKMYGSDTVALKQHFGKKLLELEEEKRAVQQERDRLLAEVESLNADGQTHKLRDAQLQKLKTLEAQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHSIKAQKVQLQHKIKQEAEQFRQWKATREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSSGRDNSAGMNGTSPGSHMTEKSLQKWLEQDLEVMVHVHEVRNEYEKQSQLRSALGEELAILKQEDVMSGAASPPRGKNGNSRANTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRGRWNQLRSMAEAKSLLQYIFNVAADARCQVREKEMEIKEMKEQMTELVTILRHSESRRRETEKQLKQREQAAVTATTSPGNGNGSVKHSADDPNTPLSPVAVPAQKQLKYSAGIVNSPSKGVPAFNKQHLKMVPMAQLPVGKKVSIAGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETMTRTRPRPQLLPQRPQRVM >ORGLA09G0006000.1 pep chromosome:AGI1.1:9:776759:777986:-1 gene:ORGLA09G0006000 transcript:ORGLA09G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLCLSFLLSSLPLLTDRAGVGGDARRGSGADDDGGRRIRFINIHMNAESGRMTYIVKRRKYLLFSPNRVHYIE >ORGLA09G0005900.1 pep chromosome:AGI1.1:9:722156:725822:-1 gene:ORGLA09G0005900 transcript:ORGLA09G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNWMYNGWHYGSAPSDEWIEKTNMFLDRAFSIPDLVQNGSIKCPCGRCRNYMRHTRDIIEAHLCKFGFKENYETWTAHGEEHIRNNEPVSLLAHEEGFDQPDRMDEMLLDIGAVQPPGIDEEPTSSASAFYRMVDGANESVHDNTMHTSLSAIARLLALKSEYNMSIAHYDDTLQLIHELLPPDSKLAEDFYHSKKLLQGLGDDIEIATTYISDEITNEKDLDYLAKCNSPTQGDEELDDEEDDEEDEEEAEPEAIIYDPEDF >ORGLA09G0005800.1 pep chromosome:AGI1.1:9:719177:721919:-1 gene:ORGLA09G0005800 transcript:ORGLA09G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLRHRSLASKKRPASQLSNTSSANGASSRSVPSTNGTQVNPANSNAEGQSQGSHRASTEQAEQQATQRGRKERSRTSLAVPRPGRKVGLIPRGEMQFKYVNWHAAEYKYTSQLGVILKREYPGTVKDLDNDGRIIRSRPALHWADYYLKENEEYGETCAERVRLFSTREELKAEANRVLQQYANKRVNDMMYQLRVDAVKLYFFKVRGEKIKDEVARTIELSEEEYLLARVEWVSESDWPSLCHHWDTEQYLEKRKKAQDSRLQSEDDSRNRGGSRPFTETQQWLAHDFGPEKATGLNTFGVMKSGAKNVDSTGTHGRIDNQKAEKIMADYTAVTSSGTQGDSDLHELDPKALYSICNGLPHGRLPIGNGAVSKSTVIAAGKETAPRPSTPSANQTLRNENLKLTRENAELRRRMDSNERLIRTGVEPPSEEDVVPPANEDLMSPAHVQRTGSSHAGSQQEAENDGTTDTNMGSEENVVCEVNIGCEANNGCNRNQVCSSGRAIAA >ORGLA09G0005700.1 pep chromosome:AGI1.1:9:717241:717964:-1 gene:ORGLA09G0005700 transcript:ORGLA09G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEDAVSSLGTGKEDEKEGRVGGAIEARVVGKDSSKDGGRQGREAGAMCDRPRDREQFWRVFGQKPSFLFSPRLARYLPPFGLLLFDPTIFNFPNMTTIFNLPKIIPCPYVCQSKSPPSNPAESFLGNQNCSRVFDPLDLLFPFSPKSERKTQDKNDRGRSPSSSATAE >ORGLA09G0005600.1 pep chromosome:AGI1.1:9:714557:715006:-1 gene:ORGLA09G0005600 transcript:ORGLA09G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPAGILVAIDSLDLAQRQRKEEPAEGAEDLIVGSPSNGDRRGEHWRQRRRXLRRMAVQTTMTMAAAAVAAWMAGQTDFSEDNSRNSGYVDGGLNDNRSGRDDHERQHKRQLRGWRAXGGGSYRAAMNASYEXRGEGKEEGVKVGSTR >ORGLA09G0005500.1 pep chromosome:AGI1.1:9:707399:708991:1 gene:ORGLA09G0005500 transcript:ORGLA09G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCPSAPRYHHHRHPPRNPPPPSPPPADHAPPQPLPTPDDDAPAAEDHTADLPDDLLAVVFGLLGSADRKRCSLVCRRWLSVDAASRLRLALDARAPLHAALPGILARFPAVSKLALKCDRRAESVADPTLALLADRLGPALRRLKLRSIRLVTDDGVAALAAAATNLRKLSVGSCTFGAKGIEAVLRSCLHLEELSIKHLRGLAQSEPVAVSSLRLHSLCLKELYNGQCFSSLITNSPNLKTLKIIRCSGDWDPVLQDLPQDAMLAELHLEKLQVSDRGVSALSGLEVLYLAKAPEVTDVGLGKLATRSPRLRKLHVDGWKANRIGDRGLAAVAQKCAALQELVLIGVNLTSASLELIAANCPALERLALCGSDTFGDAEISCVATKCAALRKLCIKACPVSDAGMDKLAQGCPRLVKVKVKKCQGVTPECAERLRASRNGALAVNVDTPGGAGELQDARSVDESGVLENAGSDTLPDDLDDRIGGPDLSCGSSGRPLGWKARMGAFMSRSLSVSMFRRRPRVSCYES >ORGLA09G0005400.1 pep chromosome:AGI1.1:9:668979:669320:1 gene:ORGLA09G0005400 transcript:ORGLA09G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VELPSGGRQQEVGAASSLAGGWRGRGQQRRRKETPLGPVRHGRENRGDNGGGSARTEERLASAEAGEARPVAAEPGAVRGGTTEVPVQQHEAWRRGGGGWLSRPEIHEPEFLS >ORGLA09G0005300.1 pep chromosome:AGI1.1:9:660924:666378:-1 gene:ORGLA09G0005300 transcript:ORGLA09G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAVLSRAAARLLRPPLPLRTRHLCALPSSSSPAPSEAEILAEIDPIVDLVKDILHSARYGDGAFLSPDDQKAVVEKVLVHHPTSEDKIGCGVDAIMVGKHPDFRKSRCLFIVRTNGETEDFSYRKCIKEYIKQKYPSQADDFIQNHLTWQFTRRPK >ORGLA09G0005200.1 pep chromosome:AGI1.1:9:656994:657329:-1 gene:ORGLA09G0005200 transcript:ORGLA09G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARMAARRPALTRRHSSAYQLRRSTRRVWPCQGCSAGGAGGVGDTGISPAAPHPHTHPVTASPLRRRQQPQPPSPLLAPSCKQKGVSSDASPYPTLVSLPRFLSGYSVA >ORGLA09G0005100.1 pep chromosome:AGI1.1:9:656591:656989:1 gene:ORGLA09G0005100 transcript:ORGLA09G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding HXRXVHYSKLGTTTSSLSYRALEALARPESPDYRQTKPPSRTNTSPQPPKDSMAKSGSRQPKEGRSIKEGRRPGHPEEELKAEDRGIEDLGVIHVSSKDHRPSRDNPYSSDKPPPTSPPAQREERWGEQQSN >ORGLA09G0005000.1 pep chromosome:AGI1.1:9:649188:649985:-1 gene:ORGLA09G0005000 transcript:ORGLA09G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLSFSLVLCMSLHPENFQNIPSNMHCFQDLSHNSHYFQNKLLECEFSLFRATPSPSVGRAVVLHPPGAAVIRPPPLAPATPSPVRRCHRRTRWRAGGEGSSGGGQRVSSSGSGGRQRASSSVVWVADQSAPIADHPSSPASMLAIASDGNLVLSDGTTGHALWSTNVTAGVNSSASGGGGGAMAVLANSSNLVLRLPDGTALWETFEHPGNTFLPGMKIGVIYRTRGGVRLVSWKDATDPSPGKFSFGGDPDRPLQVVIWKGSRVS >ORGLA09G0004900.1 pep chromosome:AGI1.1:9:647067:648226:-1 gene:ORGLA09G0004900 transcript:ORGLA09G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAPMVTSHEDAKKAYADFEKKVKRTIYIDHLSPQVTSSVLKAALAQCANVVDVEFIVNYTIPYDIPSAALVELDDEIQAKAALDLMNDFPFIIGGMPRPVRATCAKPDMFRERPPRPDIKKEFRWVKQEDGTDYEGMKKLRILAKRQEVENMALIKNQLEEEKELAKQQQELLDGNYKKYDMLENVVQNGNMKSLAQHYGVSLADEF >ORGLA09G0004800.1 pep chromosome:AGI1.1:9:640764:641137:1 gene:ORGLA09G0004800 transcript:ORGLA09G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIGDSAATPARSIGDSAATRVSQAYENRINHGRGFRASGHNLEANRPTMAAAHTDPRSTMVSSQ >ORGLA09G0004700.1 pep chromosome:AGI1.1:9:635877:636428:-1 gene:ORGLA09G0004700 transcript:ORGLA09G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVGAPLLLHARRAAGASPVGAAPALEDGAIWGVIAAGVSLHADYLPCVSHLTFTASFVDPRPHHDAESEMFGTVSTDVRSTSGDGLVLVRFYDSRNRLPTVRSCGGEPMREWSTRRQSHERDRASTWSASAFIVSRHYLNHRPLRQALPQPPVAQASFASTARRPGELRLSRPPPGRALP >ORGLA09G0004600.1 pep chromosome:AGI1.1:9:623114:624382:-1 gene:ORGLA09G0004600 transcript:ORGLA09G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATLFDSLYCPEEHLDLFHDTAADDDLHLDLHLHQPPPPPPLLDDDLPALFHALRGKEDPLRPAADDDGYGGVSAREAAVGWALRAVARLGFSALTAALAVAYLDRCFLGGALRLGDRPWMARLAAVACVALAAKVEETRVPVLLDLQLCAAERADPNEAYVFEDKTVRRMELLVLSALGWRMHPVTPLSYLQPLLGTAHAARLHHCDTALLALMPDWRWPRHRPSAWAAAALLATAGWCRGGGGDDAELLALIDAPKDEMAECAKIISEEAAAAAAGGIVIGGENKRKRAAGLYSAPASPSGVIGASACFSCDSSSSSVDSLFAALEPPGRPIKRGAAAATTADPLPADEESRDAWPPYAA >ORGLA09G0004500.1 pep chromosome:AGI1.1:9:620264:620611:1 gene:ORGLA09G0004500 transcript:ORGLA09G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRSPRGDDNAWIWPLWLDSGPPTLDLPGSDKEVTLADGFRQGADGGKADSAGDGKRTFGKASLANDGRRLCDVAGGSRRPAHRKQRRWGIGMATVAVESAVARATGGRRWRQ >ORGLA09G0004400.1 pep chromosome:AGI1.1:9:610267:611052:1 gene:ORGLA09G0004400 transcript:ORGLA09G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVPALPPTAVVTGEITGVNIIGGRPVSWLALAQSVAARGSWPKSASSDSQEVIEAGVEHPSKSSHRRXSSASLSSVKPRGGLFGSDDNGDKEVQGRRGGELDGGKKQGHGSGAARHSALVRLILSSIARCSGAKRDLTGDGRRGGARAQWGDAFGCAPAVVGKRDAELCRV >ORGLA09G0004300.1 pep chromosome:AGI1.1:9:605126:605347:-1 gene:ORGLA09G0004300 transcript:ORGLA09G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGYSIWHTMEVLCAYGMRSRIWKESKFGMISYVKFVSCTRGFPKVFRTSNMSLFRGFRLPTSGINRGGA >ORGLA09G0004200.1 pep chromosome:AGI1.1:9:570774:577635:1 gene:ORGLA09G0004200 transcript:ORGLA09G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAGGVSYQRFPRVRIRELKDDYAKFELRDTDASMANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSAAAMAMRFSRDCDACDGDGSCEYCSVEFHLAARATDSDQTLEVTSNDLRSTDPKVCPVDQARAYQHALGGTEPFDTAAAADQRGILIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIRINEELMETLTLEEKRNLVESSPTKVFNIDPNTQQLYQVVVEDAEAYTYDDEVIKKADAMGKPGLIEINAKEDSFIFTVETTGAITAYELIMNAITVLRQKLDAVRLQDDDADLGELGAHLVGG >ORGLA09G0004100.1 pep chromosome:AGI1.1:9:561564:566646:-1 gene:ORGLA09G0004100 transcript:ORGLA09G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPLFLLLLLTGVTAEPAHPGYAEGDGSSCDVAAVAVAERREEFDGGRIVDISHYYREEMPEWESADGTGGGFLRLVRSMRNGSDIANFSELRLTAHSGTHVDAPGHVFDHYYHAGFDVDTLDLAILNGPALLVDVPRDSNITANVMESLHIPKGVRRVLFRTLNTDRKLMWKKEFDTSYVGFMKDGAQWLIDNTDIRLVGVDYLSVGAFDECIPAHLVFLEKREVILVEALNLEHVTPGIYTLHCLPLRLRGSEGSPARCILIK >ORGLA09G0004000.1 pep chromosome:AGI1.1:9:556252:558636:-1 gene:ORGLA09G0004000 transcript:ORGLA09G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79540) TAIR;Acc:AT1G79540] MRCAAAARSFLPLPSTTRIHTTATASSPSLAAELDAADALHALLSTLPPSLPALLPCLSLLSPTLTPHAISDALLCAAIPAASRLRLFLFSALSPRLRSRPLHAHAVSLLLRLSSHADEAMFDALADARAAGLPASSSAFAALVAAHSSAGRHADAVQAFSRMDEFQSRPTAFVYNTILKALVDSGVILLALALYNRMVAAGCAPNRATYNVLMDGLCKQGMAGDALKMFDEMLDRGIMPNVKIYTVLLSSLCNAGKIDEAVQLLGSMKDKGCLPDEVTYNAFLSGLCKVGRVNEAFQRLVMLQDGGFALGLKGYSCLIDGLFQARRFDEGFGYYRTMLERNISPDVVLYTIMIRGCAEAGRIEDALSFLDVMKKKGFVPDTFCYNTVLKVLCDHGDLERAHTLRSEMLQNNLVLDSTTQTIMICGLCKRGLVDEAMQIFDEMGEHGCDPTVMTYNALIDGFYREGRLEEARMLFHKMEMGNNPSLFLRLTLGANQVRDSESLRKLVHDMCQSGQVLKAYKLLRSIIDSGVVPDVVTYNTLINGLCKARNLDGAVRLFKELQLKGISPDEITYGTLIDGLLRAHRENDAMMLFQNILQSGSSPSLSIYNSMMRSLCRMKKLSQAINLWLDYLPKKYNFPVESEVLANAHKEIEDGSLDDGVRELIKIDQEYGSISSNPYTIWLIGLCQVRRTDDALRIFHTLQEFGIDITPACCALLINYLCWDRNLNAAVDIMLYALSKSIILSQPVGNRLLRWLCICYRRQDAQALAWRMHLVGYDMDVYLREPTKSLLYSQ >ORGLA09G0003900.1 pep chromosome:AGI1.1:9:547584:550039:1 gene:ORGLA09G0003900 transcript:ORGLA09G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNLHACHWHLHSMSSSMAISLLLLLLLLGAGHMTQPTAAALNQDGVLLLSFKFSLLADPLASLAGWGYSDDTPCAWNGVVCMAFPSSSASEAARVVSVVLPNAQLVGPIARELGLIEHLRHLDLSGNALNGTVPVELLRAPELRVLSLAGNGINGALPDQVGQLRSLRALNLAGNALSGPIPANLTLLPNLTAVSLANNFFSGALPVGGFPALQVLDVSSNLLNGTLPPDFGGAALRYVNLSSNRLAGAIPPEMASRLPPNVTIDLSYNNLTGAIPTLAPFTVQRPTAFAGNAELCGRPLDSLCASAADPPINGTARSPPAIAAIPKNPTEALPGDDTGAPASGSGQQGRMRMATIIAIAAGDVAGIAVLVVVFMYVYQVRKKRQREEAAKQRMGVVFKKPEPDESPDGIGRSLSCCLRKKAGDESDSTEEVTDTSASKEGVVAAKAKTDDKKGKDGGGGAVLVTVDGEVELEMETLLKASAYILGAAGSSIVYKAVLADGAVLAVRRIGSDDAGVRRFSEFDAHMRAIARLRHANILRLRGFYWGPDEMLLIHDFAANGSLANISVKRKTGSSPISLSWSSRLRIARGVARGLAFLHDKKFVHGNVRPSNILLDADMEPLLADLGIHRLIRGGDTLKPAAAAGAGRFGSKRSAKSLPDLSPPPGASPLAGPSGSGDTAVAQYQAPEGVKNPKANAKWDVYSLGMVLLELVAGRALTSLELCQWSSAEESGQQVFRLADAALRGEMAGREEALASCLRLGFACCAMAPHKRPSMKEVVAAMDRIPSPSSSAQ >ORGLA09G0003800.1 pep chromosome:AGI1.1:9:535163:535655:1 gene:ORGLA09G0003800 transcript:ORGLA09G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding YITTAALLPQAWPSTRRPSLPDDLEVIKGIMPCFESTSELFTNFGKCSIMLIRCLEDDLAVVSHVFPYAIAEFPYKYLGILFSIKKLPIAAYSRSSTQSTIVCQLGSANSWLW >ORGLA09G0003700.1 pep chromosome:AGI1.1:9:519118:530094:1 gene:ORGLA09G0003700 transcript:ORGLA09G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSCLLAAVRPHPPPPPRPLSPSFIPSALRHRHRLSQAPPLATSLPRPRPPWCRFSASSPPPPPDDPDDYELLDTTGNCDPLCSVDEVSSQYFEANYKPKNDLLKALTIIATALAGAAAINHSWVAEHQDIAMVLVFALGYAGIIFEESLAFNKSGVGLLMAVCLWVIRSIGAPSTDVAVQELSHTTAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNISTRNPRTLLWVIGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVISANAGGAWTPIGDVTTTMLWIHGQITTLNTMQGLFLPSVVSLAVPLALMSLTSEANGSSQKSSSLLSSEQMAPRGQLVFAVGLGALVFVPVFKALTGLPPFMGMMLGLAILWILTDAIHYGDSGRQRLKVPQALSRIDTQGVLFFLGILMSVGSLESAGILRQLANYLDANIPNADLIASAIGVASAIIDNVPLVAATMGMYDLTSFPQDADFWQLVAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYFRKVSGFALAGYAAGIITYLAAQNLPLSLPTSLAEIPFISGS >ORGLA09G0003600.1 pep chromosome:AGI1.1:9:497969:498279:1 gene:ORGLA09G0003600 transcript:ORGLA09G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNTTSNDPKTTKDELAPPAPTAAEHGGGKDAVTKTVQTVEVKESVGQEPVLKPTKVVHQIPADQAKDTPKQD >ORGLA09G0003500.1 pep chromosome:AGI1.1:9:490210:495430:-1 gene:ORGLA09G0003500 transcript:ORGLA09G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNC-50 family protein [Source:Projected from Arabidopsis thaliana (AT2G15240) TAIR;Acc:AT2G15240] MLPTTASKGRGAARSAPPLFGPYLRRIVKWQQMDIEYTFWQMVHLCTSPKVVYQHTKYHKQTKNQWARDDPAFVVILILFLVFATSAYCAAYGESPSHAALTITSVVLVHFLFAGIVLATLCWFLTNSYLREEPNSHVVEQRVEWLYAFDVHCNSFFPAFVILYVLQYFLSPLLVAHGFFPALLSNLLFVVAISYYHYLNFLGYDVLPFLDRTTFFLYPIGLVIILSPLMILIGFNPTRYFLSLYFR >ORGLA09G0003400.1 pep chromosome:AGI1.1:9:484186:484792:1 gene:ORGLA09G0003400 transcript:ORGLA09G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIFKKNTTALFLAALVILASLLSSCDADQDCVVRTLLNCIFSRCSQVCGYKPGAHCTDISKCCCPVGSPSK >ORGLA09G0003300.1 pep chromosome:AGI1.1:9:466846:467742:-1 gene:ORGLA09G0003300 transcript:ORGLA09G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSRRHQPTSPSARRSRTLRICSLRGPVAPWSRHQCQRPPVADFLAVFKLVAAGRCLRPSLPLAVFANLLPRRAQRPLLLCRPKLRALAACCWLHRWLTRSHGAGWERGRRPRRRVAGDRRWAAELGLAVTGEEYGSAVSSEPACGRRRRWRAVSGKRARRRHRGRQAASARGPSAPPLSFPSRLRPLHPVSAAVLLPQSPPFSPHRRRCAPPHQRRRLPPCVLAAVARSPPARLLGKTAAVAAPMACGGSVAQEKKKEKGEKKKKKGERITYHRQRGMPRRQDHGKTRVWTGMIQ >ORGLA09G0003200.1 pep chromosome:AGI1.1:9:425388:432048:1 gene:ORGLA09G0003200 transcript:ORGLA09G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILAWAADVVGGAGAANDDEADDARAVASAAMTPEQRLRAADLDAKAASLRRSIHDLRLRVPPPHVAQRLPHLHAHSLASSAALALQLNAHSSTKEQALQREITLQEENAAYEKAISNCRQKIQEKQMEVTLLRSNLKEMEISEQDLKAQLDNAQNEQYASQNKASAAASDNTGNALMEAESLINLKSNDLKEKNEELKLLESSVRVLEMEWSVVEGESLKNPTPAQREKVLEKQLHSLIEQLTAKQAQAEGLIVDVHAKEKELERLNNLHKNIQSRNNDGSVARNPFRAVHEDSDAKAVRRPYQFGLRTEGLKRLMILRSAFVLYILVLHIVVFIKLSVSKQ >ORGLA09G0003100.1 pep chromosome:AGI1.1:9:395455:396828:-1 gene:ORGLA09G0003100 transcript:ORGLA09G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQMEISLSVNLVSQKEGDSCSAEGLMGMWKQECYFDPNQVCWHRREEGARKLDGRTRRRSNETLDKFGTFGEESCIPAWRAQHLRQKGEQPATSGAVPGGALTERGTTTVPAEAVLDGAPEEWGTTTAAAGARCGGVXRGVARRHDSECAGPAAVKTRQRRRWSSCGLRRQPGFGRSEEDRECARSKE >ORGLA09G0003000.1 pep chromosome:AGI1.1:9:352231:352554:-1 gene:ORGLA09G0003000 transcript:ORGLA09G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYSSAPSGGHRRDWWRQVGTDGRSLVESGGLGMQQQQLLHTTAKSVATLPVTMDVTELGVWRSTVDGLGRGRSSTGRLKRRGSACSKVRRGGSTIGRFESYGPWL >ORGLA09G0002900.1 pep chromosome:AGI1.1:9:332121:333080:-1 gene:ORGLA09G0002900 transcript:ORGLA09G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASCRRGGGGDVDRIKGPWSPEEDEALQRLVGRHGARNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFTPEEDDTILRAHARFGNKWATIARLLAGRTDNAIKNHWNSTLKRKHHSSLLADDLRPLKRTTSDGHPTLSSAAAPGSPSGSDLSDSSHHSLPSHMPSSPPHLLLPQHVYRPVARAGGVVVPPPPPPPPPATSLSLSLSLPGLDHPHPDPSTPSEPAVQLQPPPPSQMPPPTPSCVRQEPPQMPFQLQPPPPPRPSAPFSAEFLAMMQEMIRIEVRNYMSGSAAVDPRSSPDNGVRAASRIMGMAKIE >ORGLA09G0002800.1 pep chromosome:AGI1.1:9:330447:330688:1 gene:ORGLA09G0002800 transcript:ORGLA09G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINTRPPEATTYKSTRCENAISDLARHGFEEEDYPVVDYESDLQTDVSTTVR >ORGLA09G0002700.1 pep chromosome:AGI1.1:9:299144:299350:1 gene:ORGLA09G0002700 transcript:ORGLA09G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHIHLPPPFSFSRSGSGRGGEGRRGGEVVAGDQEWPFLLSVRPSPFFPYRSATVVVVEKSDTKAG >ORGLA09G0002600.1 pep chromosome:AGI1.1:9:295585:296270:1 gene:ORGLA09G0002600 transcript:ORGLA09G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLELRTEYEAHIAHTSFNRASDQAVLQLKESGEWRGSHLGDLDDAFLFEELGEALAVDVVGXVPDVYLGLRRRLHVLADLLYVLRLLRNFRGGLDGFLLLIISSAASSTSGAYPSSWSDSSGTSDSSAALGSAKSSAAADDEEDWKESDAASCACERQRVERMWRRGVDGERRRRGRAXGGRRRWRLWRKASGGVSEEG >ORGLA09G0002500.1 pep chromosome:AGI1.1:9:271197:272864:1 gene:ORGLA09G0002500 transcript:ORGLA09G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLHPRXSRISPRGTFARGKAIPLRSRVSFARERPLNFAIALSDSDFAMLSHFSAANIGIALSKGDTDGLFPXIVRYPISSTPIPKLMNDLKLMAVTFSRQPSTRVERYEVFSPVPSLSKPPTNKTSSLRLCGERVVATSRVSVDPAARSGASDVSYPTERDDGWMEVKLAEFSNDERMLTEAAVIVDFREVNDHVKKSGLIVEGLEFRPTIH >ORGLA09G0002400.1 pep chromosome:AGI1.1:9:266809:267423:-1 gene:ORGLA09G0002400 transcript:ORGLA09G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAKQKAMEKRYGTFEEAYDTLPRILNILKNRNPGTYVAVQDRESIRPPNYLVIQRAFFAFGACIHAFQCSWPVLCVDGTFLTGKYRGQILTAVGADANNQIIPVAFAFVESENYESWLWFLQHLKWGVMQKRTSICIIHDRNVGLLKAIKELQEDGDGAYYWPDMHSRWCMQHMGANFFKQFNSRRLMNIFKRLCKANQSTKFDE >ORGLA09G0002300.1 pep chromosome:AGI1.1:9:252126:258405:-1 gene:ORGLA09G0002300 transcript:ORGLA09G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSCCCFLPSRWRRRSRRSRRRRRGADGFLSSNLQLRWEAXAAAEEWEKEKHYKERHVRQTRVKXKNKGGVSTCXEKKYWRXSCRXYRVTSSKKEQSKGNXMECIMLCNXECEFKFIWNWLMEHFDPATNQLVFPGRGAIDVNEESMKSVLGIPMGDKDVSYEMESEAKEFVLNLLGINDGISPSLTSLGIQLEKLKLVDDKYLHMWIIYAISSVLAPTTATTVSPRCYPSVVDAGNIKNLNSCKFVISTLQKAAKAGKNTNSACLLYMTILYLDSLSFKNLNVPVEGYRATVWTNESINQAILADTSADGSFGALSENDRIVIAVQNLCEGFSGLVTKFVRQISGLDFVDPRGSKPRQMRMNRKKLAQRPKKSSARXRSRCNFKXXXRFCCXXRRSGRHXGRXIYXXRWRFXXXXGXRRRRXRXXRRRRXRXGXVRKXXQXWCXNWKEWXAGXCCNXCYWLQRRXHKXRIGSGGKGADDVTGCNGDDTNEGIASGGKGADDVIGKGKQVDEGIGFGDKEKHEEKQAPNAAAQNVPESEKQPVQKAEKYPFLTTTIDSHEVTNFNLGFDSSQEVVQTPKGQEATGTSRGKEFPRIITNEDYGSFTTEDYEKEPIADDCEVEEETHVPHEYNKRVVKPAKFKRSPFIDYKNKKQFVVSRVINEVYDDICKNGGRTKSRRNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIFVGFELDRNELKKHFDQTRTFFSHSPGIGXWEXXGWSLFRGVPXSESXKIRGLRFAEGRRXRRADFSIXSWYCIHQDHVGXVLHESNLSLFYFCKQKQPGLWFLYSEICGVVGWQTVASIXTKXHPQHXETTYTQDALVSRKSCAMDASIMGQRAXSYTXGKV >ORGLA09G0002200.1 pep chromosome:AGI1.1:9:248770:249255:1 gene:ORGLA09G0002200 transcript:ORGLA09G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WMIPAINLDYTFDFETMYTAGDAGSLQAHNVANDEMQVFEANDEMQVFATNDEMQVFEANDEMQVFEEMSDHADTMHTSVATGATTATANTISSQDSGTDDSEAQSAPLQDIPCSTQVSYLILTFNSEKEARAHYNRYAKRVGFSIKINTARKSTKDGERDK >ORGLA09G0002100.1 pep chromosome:AGI1.1:9:222283:225045:-1 gene:ORGLA09G0002100 transcript:ORGLA09G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSAQHSRQGSSHIPLRCSPTQPPAGSDPIPSSMNLSEEWRFLFPVSSVFAPPSLAVANRNENGNGNGYGPLLFSPLPPPATLHTNIPFPAFQFHPPHSKSTGDALRYFLSSTASFLPTPDLHSLSSSLSDSTTFRPPPPPSNLLATILLRAPSTSLLLFFPSGHNADHLSYATLHSTAAPLSAVQTLTHGFMHPGHRIHHLAATSSCPPPHSHSPAAATPLVHGFLLAATTYSVNWFKVESSSSSSTSPPALVPAAKQAFDAAVVHACWSKHLHSDCLVLLDNAHLCCFDLHQRRGSVLRVGTATATATEGGACLSCDYGPQPWTAVVATTKAILLLDLRYGPDHPGHCKVLARVGMQGLFDPDPPLNSECHYLAFCKAPFDDFLMSVATERLLLVLDVRQPLTPVLAWQHGLHNPSHLAMFRLSQLRPSKEHEWASSSGIAILAGSFWSTEFNLFFCGPKDQGSSSSQNAHHLYAWDVPSRISLIGQHCSCSNGLMREIFTDHEPITRNTVVGYHVLPNTLLQDESSSSFTGFALIWLTSSGKLEMQRFRASGDFDEHVMCDGSHHQSAACTTSSIISPDTTAHGEKFSSRYKFLKFHYLSKYLEGNLLSALENHNVVNKGSHQIVISEDVSAFAKENSPPCYRSVSDLLCNASVPMNIFETGCQHILNNGLSSDSLLVTFSKYKDMLACSKGKLIYEYPEVPARSRNNDEHRPFLLAKPSGTGNKLTSEAISGDALVGPLLPIPLLLAIEDRNKGTIESSTCQGETSSVSRRCREALEACVPKTSNANATRFSGWYASRELRKKPYFVYEPQIDDRLTLDETARKEGKKAHMDENLTTFVCGKAGVPHSGPKQAASNLFDCNCSPVRMDFELPFVDVQPAEQKAIQSLKNQFLSWQNNFRPYKDFCNSHHIQLQKPQR >ORGLA09G0002000.1 pep chromosome:AGI1.1:9:219043:221106:1 gene:ORGLA09G0002000 transcript:ORGLA09G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGRDSNMQQLIPIAPPPKASSGTTGKELVVVDGTGKASGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLARMDADYQKRKQMAEFELRREERLKEAEERTAKKRLKRQKKKQRKKEKKRSKTNNGGEQPNGGESSGGDEDLDDEDKP >ORGLA09G0001900.1 pep chromosome:AGI1.1:9:212814:218252:-1 gene:ORGLA09G0001900 transcript:ORGLA09G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of RAD51 D [Source:Projected from Arabidopsis thaliana (AT1G07745) TAIR;Acc:AT1G07745] MAATSASGGAGCFPRPPPSGGEVQEKKQDEEGQCFLDGMDLLKDATENKRFLPTGLQGVDALLGGGLRQGQLTEITGQSSSGKTQVCLCSASHVAARQLGVVMYLDTSNSFSPSRIARIVDGFPISLVREPKNVRLERVMSSIICKSVFDIFDLFEVLHQLELSLKSKVNNGGNKICLLIIDSISSILAPINGGKYPRGRSMMISVAMILKKLAYEHNLSVLVTNHMVAGNGAPKPALGESWKTVPHVRLVISRERGSKICAATVLKHTLLASGRVMKFAVPS >ORGLA09G0001800.1 pep chromosome:AGI1.1:9:207932:211444:1 gene:ORGLA09G0001800 transcript:ORGLA09G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1QLT9] YARKFXPFWLGPCXMQGWXPGAREGCCPKSXNCSSCPPRAGIXGEQECGFISPWSELSTLIRILHLFLQWXLWXKQQTSHXRNLFAXVCKRIALQPVLIGQFRRPVLACENCLISRVSKDDGAAENNDEKQGDEAKQSKNVQAELQPHIRVLDSDHGENSKQATKEMESNAMDEDASFGLEHTLGGNVVQAASQEENASQTHEDNDAADHIIQQTDPPILVSEDDGTAEDNDEAELQPRIRVLDSGMTIEDLAKGNVGAKIASCGKKVYVKYVCMLSNGDTVDPTGESSTCKFKLGAGEVISGWDLGIDGMRVGGIRRLGIPPHLGYGDVGRGNIPPNAWLNFDIELLKVKSGRKKARKVKKSRRAAAETSSTAR >ORGLA09G0001700.1 pep chromosome:AGI1.1:9:193852:199457:1 gene:ORGLA09G0001700 transcript:ORGLA09G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1QLT8] MATFWGLELKPGEAYTHHSAPARLRITQAVLGSCDQGWTTLQCDTNDRETVRLCVLNPGLAVACHLELELQKDENVLLSVDGQNSIHLSGYYTCSHSGNHGRNSQKPTSKAVGSTGFNKKHQDISDKAPVIEEILDDQTVQQQQQQGVNISSKDVEPSHKNGHGQNSEWATCGNGTDDDNDNNGAMFYPSSRNKMEVDEPTGSKDNDYDYWLPFLDATVKRKASEIDGENVYTEKGELKTPKIENVLSDQSVDMDQVNEQTCSKNVEPNAIDDVKPTRGHKNTMEVVLPLLDSSVKRKAAEIDGEKVQIEKAKLKMPKTEDVPSDQNNANQVNEQICFKTVGSNAIDDAKLSLGHQNTLEDLDKSQELNVSQTKGQNDVADQITNQDTPTITSSDERYIFTGALETDIEQKNRGAENEQVEVHRCPFEVLDNGIKVEHLVEGNAKAKVASKGKQVCVRYCGRLINGEVIDPTNLDDDTHTFRLGAGEVIPGWDIGILGMRVGGKRRLTIPPAQGYGDVATPKIPANSWLVYEVELLEVKRAKRAR >ORGLA09G0001600.1 pep chromosome:AGI1.1:9:183076:184206:1 gene:ORGLA09G0001600 transcript:ORGLA09G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAX interacting protein 4 [Source:Projected from Arabidopsis thaliana (AT2G28910) TAIR;Acc:AT2G28910] MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPENNKHQPPSSSVSANAAAANAAAASAPSASAPSSSSAAASSDNAYTSFQGLLALARITGSNSDETRGACRKCGRVGHLTFQCRNFLSVKEDLDMEDDIDAGIRAASQANAQAKLDEFRKKTSGGKDAEGSDDEDEDDEEDSDDSSDSDIDPELERIIAERERAKSGRKHSRDEEKKTSRHRSSSRGRSKHRRSTKRSDTEDDLEEERSKDKKKKSRRKRHERSDEDSESDSDKKRHRKSRKDRKRRRSHRRSDDTSDEDESGGEDRRRRRHRKRQHHHRKGASDGDSGSGASDSADDRKRSSRRRRHRKSESSGSDGDERHGQGAKRSKEKRGKEEC >ORGLA09G0001500.1 pep chromosome:AGI1.1:9:171839:178349:-1 gene:ORGLA09G0001500 transcript:ORGLA09G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRP38 family protein [Source:Projected from Arabidopsis thaliana (AT2G40650) TAIR;Acc:AT2G40650] MANRTDPLAKSIHGTNPQNLVEKIVRSKIYQSTYWKEQCFGLTAETLVDKAMELDHTGGTYGGNRKPTPFLCLALKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTATVADVYQYLEPLYNDYRKIRHKLSDGKFTLIHVDEFIDDLLTKDYSCDTALPRIQKRWVLETSGTLEPRRSALEDDFEEEEEDKEDEQPMDIDEPNGREKHDHYRGRSPTRDRDRERKHERHHRDRDYDRDRDYGRGRERDRDRDRERDRDRDRDRDRDRDRDRHRIRDEDYSRDRDRARDRDGRERERRDRDRGRRRSRSRSRSRDRRERDREDGEYRRRRDRGSASPRGHAEDGGSRDEPKKRKEKKEKKGEGNAPDPNDPEIIEMNKLRASLGLKPLK >ORGLA09G0001400.1 pep chromosome:AGI1.1:9:164073:169631:1 gene:ORGLA09G0001400 transcript:ORGLA09G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT3G19440) TAIR;Acc:AT3G19440] MAALLYLRRRAAAAALAGVAPRPQWLATAARRGALGSGDDGGETGERGKSPWLQLPPFAPLDAAAAARAISRGGGEGGDGEQGATAIKWVRRCCPDLPTSLVQKLFRLRKVKKNVVTAEISSADASAEQHRLRRVSAKDQLMPGDILFLPVNLKESSVAEKTKKFDNRNEINFLRGLEIYKDEAIIVVNKPPGMPVQGGVGIKNSIDVLASMFEENSSEAPRLVHRLDRDCSGVLVLGRNQLSTSMLHAIFREKTADALADGTQHVLQRKYVALVIGTPRHPKGLLSAPLAKILLQDGKSERLTVRASSNAASVQDALTEYRVIESCPQGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHQKWMPLPLPRTIDEELLRKRKLPFGLVVGGGSIAEEQPQLHLHCKQMVLPDVSVALHRLQSPDVNPDFSDLEKLNFVAPLPLHMRLSWEILKSVKI >ORGLA09G0001300.1 pep chromosome:AGI1.1:9:158067:159044:-1 gene:ORGLA09G0001300 transcript:ORGLA09G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIVRPSKSDSHSDSDSHAHHPPPEPPQESSDGEDLELESSEELDSHGAPTKKAPFVAPPPPPPPQQNGKEVSDSPSLPTNSAIVLSPLPPPLQANKNHQDQDSESDSDDDEPPLPTNNAIVLAPPNNQESESDSDSDDDQESALKANKIVSSSGDDDQESDSSDDETLPALQANKNASPSDDDEDDDQESDSGDDDVLLDPALQANKNVLAFNGKRKVPPQEVGQSLQQPKKKKMEAPAQGNTDIDKQFKEKIASYIFLGKVVSVLDEEHPDLFKEAFLKLADSKASALDAKIKQLTLAQVRVSLKGRDLEKELIKLLSGFLK >ORGLA09G0001200.1 pep chromosome:AGI1.1:9:150349:153475:1 gene:ORGLA09G0001200 transcript:ORGLA09G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSETDFSFKDANKLCSLIRKQQALAKKKRKWLRSLIPREDGLIKPIKRPKFLKDVYLAESYVRSDEVSCEKVIANVEKCYGFQSDGYSHHIVQDGLQLFKLQKGKDGSLSPQGLADMQLIINKLSNEALHSVANIATHNRVSFEKTRPAMKKIIEDHLPQYLANLHDENDMSQLSHILTNPVSYRSNSLNITTPISPKMLSSIDQALNVLSTLTIQALVAMKRKLDEVSFTPKFSFVPRISRKAHMVTVIRKECNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVLNAIWSIQKLKKGDLKLLRAILCQGSNDEMLLKTTVRRYLIDCLFECDEGDLPDEALRAIALCNQMPLRQKIDFTEQRKGAELEAVLNVSSSLRALVYHCTGGQTDDQLMNCESECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMVNPVSVSGHFSSGAGSNMKKPTLHEVVGANEVEIRRSSMGLSEICDDAAILAHKLLGKILDNTLLAENKVNGLAGYSLDGSTSHGPQGTFWTTIEPSLFLFWSCFVLVCNALQGSYDIFAIDPAEKKNQKADIVIKAIENVLPNLPKRSFFPLHPFIAYKHTNTLCLCSCMDKVRRMLHDDKQ >ORGLA09G0001100.1 pep chromosome:AGI1.1:9:142310:146453:1 gene:ORGLA09G0001100 transcript:ORGLA09G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHAPGGALPLILLVVSCCGRVVSGASPAAAALRRVGSCSGGLCDQLLLPLGYPCTEHTVETKDGFLLSLQHIPHGKNKAADSTGPPVFLQHGLFQGGDTWFINSAEQSLGYILADNGFDVWIGNVRGTRWSKGHSTFSVHDKVNTLHWNEWRALGLRRSGDGDIDSGKCSILLRIRGKWWQAQGVRQEAGAVKGKPHLCPARKLLCRPIWKE >ORGLA09G0001000.1 pep chromosome:AGI1.1:9:133594:134586:1 gene:ORGLA09G0001000 transcript:ORGLA09G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWWSWRSLFSSLANANGGGSNADASSGSGTSSPLVREAQQAAARRRSTRTKKPPEEEAAGSQPQPKTRPSPASKASKASKAKALLQLGDGEPKKKPAPTPTPTQKRSNKRKRVAPAFERSWSRADELTILEAMVNHANTHGGALPDTSDLFAALASSSLDRRDADLPKLADKVHKLKRWYDNARLPQRCPTDDDDDTRRLFQLCGKVWGPPSTVLRTSPRQRHKVVGVLVQGNGANPQQAAAPKVKEKRVRRELSELYVLYPSLAQEVKAHANEYGELIGTAFQFIGDDEARCYDDRYRKMLVDKLNMKKEHADLTRSLLCTLAGYIN >ORGLA09G0000900.1 pep chromosome:AGI1.1:9:121451:121837:-1 gene:ORGLA09G0000900 transcript:ORGLA09G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWNNTMAFQDNAIDAEIVGGHHARKRVFIPRIPLSPSDDISLPFKFKRKQFPIRLSFAMIINKSQGQTIPNVGIYLPEPVFSHGQLYVALSRGVSRKTTRILAKPKKEVDPTGRSTRNIVYKDVLDW >ORGLA09G0000800.1 pep chromosome:AGI1.1:9:110135:110458:-1 gene:ORGLA09G0000800 transcript:ORGLA09G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEEDGDRFIEMVSAGTLYLSGEWERKYWSCSRGKDRYPYPVGYHAVRHFSGISYTMQIHQGPRGPLFQV >ORGLA09G0000700.1 pep chromosome:AGI1.1:9:98865:110028:-1 gene:ORGLA09G0000700 transcript:ORGLA09G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFLRSQIFLHVHENENNFLNLQVTSTQGDSSTGPTPDIAWKNFHKKTAPKVRDWQRKRSFPQKIDGVELFGFKNASVQRLLRELIVRSTGAVQLNLPHPVTSDADSPLSRKVEAEISDGNEVCMDKTGGPAKRSMRPSQEEGTAKRVHYQNISTSTDKCHNELDIVADEGSNEDATGSRCTSSSLEDMPCNSTHTLVDDNLSEFVADSPEQVALSSSSYLSSQKSDLESAEREVAKSMMSILLPQAIPFLNKTHRKKKKVKHKKREETISTTTALAENPSADGCRGVAVSTSTCEGINIKTSQTYSHGQSFCEMVKDCCGDDDGMIDEPGLKSDDMKVVADSFEDDEQGWCDNKSKSMGARCHDDDACSKESLPYGNREDHDGHSECQMGIDDGTNTPDVVYDHERGQYVLSEALLACLEEEFGEKDNSCPANYNQIDVGRRQGEQHFEDPRSGINDDSSISVGVSDKSNLRSGLIDGYAQASAKSWTGNSRHGESLTNLLQSPVHSNAHNNSEKMGGKFDDTEFVDKFVAFDKYGMKRVNTVTVWPVDVRTKTGKRNHPLEEQKECQTGCRNGNENPMVSIGCGSYVCGRVPPKDEDNACHEHAPPDVNHLNGPLCRHKETSSRVSNLHLDLMGCYLHPMPVLSIVLNTKNNSSLLIYVLCGLLESCERFLYVYTIVPKDQQETAPYFVGYTPLLLSSLERSCTGNLPFERSGLQFTPDGQFLVLLGSIRMPYCRKQIIDCSCSLCKLDQCEDNYLKIVSVDLGYVSLLTKLMAYGSLSCILICEPNYIVTVEDGRNLHIWMMAAGWRIISEEYVIPSSGNVGNSIIELRRMPKSSTLIVGHDGTGSFCLWDISKRTLLATFTAPGIIVFQIQPVVSCSLQEDIILASVSDIERRLREITVTGVSRKADKESILSPGKDTAIWILISSASVAEYQSDLRAKEHNARWRLALLANKTVIMGTILDPRATAVDVCGNHGFAGTHGGLLYAWELSSGRKLAGTQCFNSGRVSCVAVDAKSGVVAVADDGCQLVLYSQNKVLSNARAEGNMFRIK >ORGLA09G0000600.1 pep chromosome:AGI1.1:9:60860:62069:1 gene:ORGLA09G0000600 transcript:ORGLA09G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIPPTTEEEEEEEEGPAREKSRRRGKWPLSDQVKILSALAAHREAGEELPSGRALFDEVKQQLSRERFTARDLNKKVSHLRDRYLDHIYKPPPALRRPHQHHTTLFDLSHKVWPQFQHPPQAQAVHISDGADSASGPIVISSDDSSGHIVISSNDGAGADSDAMVVSLSSNGSAPGPIVLSSDEEEEPARKKSCRENWSFSDAVKILTALAAHRQAGGELPSGHALFDELQHQLSRERFTARELDKKVKSLKDRYMDELYRPPAARRRPQNSTLFDLSRKAWPDFHFQPQPHVEALQISSSSSSSSASSSSXSDAV >ORGLA09G0000500.1 pep chromosome:AGI1.1:9:49753:50790:-1 gene:ORGLA09G0000500 transcript:ORGLA09G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIPPAAEVEEEEGPAREKSRRREKWPLSDQVKILTALAAHREAGEELPSGRALFDEVKQQLSRERFAARDLDKKVSHLRDRYLDHIYKPPPARRRPHQHHTTLFDLSRKVWPQFQHPPQAVHISSHGADSPSGPIVISSDESSGHIVISSKAAAAMAPTGALASPTPTIVIISSSSSWTSSSSSSTSTGSVSVGGDEEATSRLRRGPYRCWAVDDEIKIIDTIAALRRDNMGNMPDAAVLLRALQAADPPLLRRGLDAATLSQKVYSLKIKFRSAAMTAATNAGKKRLRNKRNKALYHHSKKAWPEELRQAKATAANNIQVRRLRTSYGGTRVGFSSLSSISP >ORGLA09G0000400.1 pep chromosome:AGI1.1:9:21571:25622:-1 gene:ORGLA09G0000400 transcript:ORGLA09G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEAILQKQKKQAEEMLAPLPFPHLTAAEETPPNPETPISGEAVAVAVAVRRKERKRKKEEAAGVGKKEKTRKSREGPPAPEARRKERKRMLMPRQSPHDQIHGIQVQANPPPLGGGRDEAYCRSSCKKIRVLSNREIIKMRIQLRKHQPLPQGIFDPETIMASNSNSIQQDPNHSSPFGAFFDQFCYKPTRQDRTPPLPRTPDLLVRPPPRDHLSSASSQLMTNHTCKINSTCKTTTFKTRSGPNQGNTKVKEMARVNKERKPAPLLTRAEKRSDKYRRLPLDQLVPPPRSPHKLLQEKYASDPWKVIVICMLLNLTQGKQVRRKVKGFFKRYPDAQAAFSADPEKMAKYLAPLGLQRVKVNRIQRFSKAYVEEEWTYITELCGVGKYAADAYAIFCAGRATEVVPADHKLVDYWKYVCFELPMIQQSQDMQEARVTEMEHAVPKVEELAVCC >ORGLA09G0000300.1 pep chromosome:AGI1.1:9:15460:15879:1 gene:ORGLA09G0000300 transcript:ORGLA09G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDPNRSPTGLGGPSDGSYIFVRGVTGGAWEYGEEYARGGEGRAGAIDYFLYIDARYFARVPSTSQRLLPLRSASSGNDL >ORGLA09G0000200.1 pep chromosome:AGI1.1:9:10674:13617:-1 gene:ORGLA09G0000200 transcript:ORGLA09G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLPVVPAHGEDKKKKKKKKKKPSQEEEALRLPLPPPDRKRKKASEPVNSPERAKKKKKTATPHEPPSAKQQKRPLPFQRTWSPNDEVLILEAMAAHRQEHGKVPTAAELFPVLNGRLDRKRLTYKKLADKLRTFMRRHGRDAKNGPPTQAHDRRLYDLSRNVWVSQTQPPNLIANANSNIAGGQPNQHDAMPTAGKAFVKMRDSYPNLTQALLLLVGTDLEKALTAIDETKAQALDLKVSNLKKELSEAVMESATIQSTESSKIPCFPSTKLQPEFGAEIEKNFQLEHLDEMKGAQVKLARMEQEILELKQNFLAFQSQQMVDSKQQQDKSSAKGIICESSESGLRSIVADNNILCNTLQKEMVVQQKLSCGKTKEVTSKHRHPQKLVVFPF >ORGLA09G0000100.1 pep chromosome:AGI1.1:9:4911:6762:-1 gene:ORGLA09G0000100 transcript:ORGLA09G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTNVHISAALMVSLVLAATVVAHVEVGEVGEYLEKRSQEARLKNHVGPLHDLVNTATRFHEGLLQRANSRRSMLGDEAHMQKRSTTPEAEASSVESGDDHQVVQDHNGIQL >ORGLA08G0194100.1 pep chromosome:AGI1.1:8:20281895:20282692:-1 gene:ORGLA08G0194100 transcript:ORGLA08G0194100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1QKJ0] MVSLDIIRNVVGIVGNIISFGLFLXPVPTFWWIIKEKDVKDFKPNSILVVTINGIGLVIEAIYLTIFFLFSDKKNKKKMGVVLATEALFMAAVVLGVLLGVHTHQRRSLIVGILCVIFGTIMYSSPLTIMSQVVKTKSVEYMPLLLSVVSFLNGICWTSYALIRFDIFITIPNGLGVLFALMQLILYAIYYRTTPKKQDKNLELPTVAPVAKDTNIVAPISKDDDVNGSTASHVTINITIEP >ORGLA08G0194000.1 pep chromosome:AGI1.1:8:20279147:20279614:1 gene:ORGLA08G0194000 transcript:ORGLA08G0194000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSLTLSRLPVAALGLPFSSCSPPPPRLRFPFAARRARSLATRASSSSPDSSFGSRMEDSVKRTLADNPVVIYSKSWCSYSMEVKALFKRIGVQPHVIELDQLGSLHPPPSPPHALYCIYISVSIG >ORGLA08G0193900.1 pep chromosome:AGI1.1:8:20277051:20278856:-1 gene:ORGLA08G0193900 transcript:ORGLA08G0193900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNPNPHQWRLRRRRLASSLPSPTPDAPLPPLPYPDPNLAQSMLFSAQSPQAQPQAPPPHIQPPASVSAEAPSGDEKNKKKKKRARASQEMVRITNLSIADHLHYRSLVRRARLTFEALRAIYQRQDLATAGGIRNRFDLRASSKMLSKGLWMHRDIRTVGSIPGLLVGDSFFYRAELCVLGLHTAPQAGIGYIPASIVDQGHPVATSIVSSGGYLDDEDSGDVLVYSGSGGRLRNRLDHSADQTLQRGNLALHYSCHYGIEVRVIRGHACDHSPSSKVYVYDGLYRVVTSTFGPGKSGRDVCKFKLVRIPGQDDLGSKAWHTAAELKDALDSKIRPPKYISLDIAKGKEPFRVPLYNKLDDDRSPLFYDYIACPDFPTTQQLLKRQTQRGCHCAELCGSRCSCERKNRGADGPVYTSDGILLRGRPLVYECGPLCGCPMTCPNRVTQQGMKHRLEVFRSKETGWGVRTLDLIQPGAFICEYAGDVLSLDSHSGDAPLPPMEDGSSIIDPTKFPERWREWGDASVVYPDRVPHFPLFAGARYRLDVSQRRNVACYISHSCSPNVFLQYVIRGNEDESYPHMMVFAMETIPPMRDLSIDYGLD >ORGLA08G0193800.1 pep chromosome:AGI1.1:8:20267973:20268255:1 gene:ORGLA08G0193800 transcript:ORGLA08G0193800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYNQFSLKTSGTPELLSLLITLRSERIGTKVHGTGKAYIYCSRNILKTIRIAVLAVIGHWDSQWHLG >ORGLA08G0193700.1 pep chromosome:AGI1.1:8:20260271:20260966:-1 gene:ORGLA08G0193700 transcript:ORGLA08G0193700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDIGEGESCCGRRKQQQQQNISSSKSRKCCPLRRSRKGCMKGKGGPENQRCPFRGVRQRTWGKWVAEIREPNRGARLWLGTFNTALDAARAYDSAARALYGDCARLNLLLAAATAGAPPAAAATPSVATPCSTNDDSNNSSSTTHQQQLTTMLQLDDDNYTLQPSSSDQEDFETYVTRLPKAEDFGLEGFQEVPLDVLDEAGGGISIWDLSICPADFMAAAAATTAKSS >ORGLA08G0193600.1 pep chromosome:AGI1.1:8:20251023:20252271:1 gene:ORGLA08G0193600 transcript:ORGLA08G0193600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKLLSMVTLLTIAQIKESSTRLVKNHDMLYMPSNLGDLKEVVVHIQRDIKTVGDSMSIKMQYLQSTANDIANVVGKSLENHMQLLDGQSKAMVSLINL >ORGLA08G0193500.1 pep chromosome:AGI1.1:8:20249360:20249929:-1 gene:ORGLA08G0193500 transcript:ORGLA08G0193500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAAAGRGGGRPGFGMWWRLARWSTLIRRHRTRWRLVLHRHPVTVGISGGRAGGGDRWQIGSPRKASAATWPREDFVRRGSVEQNSVEGVGGDVNPGGGQAMGIGGGRAGGDGRSVRQRTAPRLIGRGALMAERCPASRVRERNLGEARCESEREGDGPGGAEGGGIRRLRVVGGGIDLDRRDFTEMI >ORGLA08G0193400.1 pep chromosome:AGI1.1:8:20233364:20243145:-1 gene:ORGLA08G0193400 transcript:ORGLA08G0193400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGNWRPTQGADPAASGGIDPNAPAPAPAGGDWRSQLQSEARNRIVNKIMDTLKKHLPVSVPEGLNELQKIAVRFEEKIYTAATSQSDYLRKISLKMLSMETKTQQNPGNAQVIQNQNPPGSVPGLPQGSNPTQSSAIPLMSQQQARQPNSTSVQSSLTNLGQNLPGVNQTSTLQNMSGMPQNTMNNGLAQGASQDIYATQRQMAGRQQQQQQSQNQLIYQQQQIMMKQKLQQNSLMQQQSLLQPTQMQSSQQPMMQIPSSLQPGQSIPQTQPMVQSSSQGGIQQNALNSVPQSVQSLLQQPTQSAMRQQQHSQSSMHPQPSLQQAQPTQQPNIPLQHQLMGQQQNLQQNQLIGQQNGAVDMPQQQRLPVQSNNLLNMQQTQQMLNQQSMPSHQQQQLGSQANISTLQQQQQQNQQQQQLLGTVPNVPNIQRMHMLQTKAVQQPQQQQHAQQSSLGLMQPQSQHNQLQQSQQHLMSQFQAQPNQLQQQLGMPQQPPMQQRLQTSAGMLLQQNNIDQQKQFMQAQRGLQEVSSSTSVDSTAQTGHTGTGTGDWQEEAYQMVKNLKDQYFPELNDLYNKISLKLQHVDNMVPPQKPTEQYEKMKSFKLMLERTMHVLQLNKGNIQPALRDKIPAYERQIISILNSQKRPRVQGQQTQFQQSAGQGPTSNIPQQQQPSQGLQQHDNHTNQMPQASMPSMSTGLQSSGAAGIQHLPASPATNFGVPATQQNVTNAPQATSNLEVTQGSNFNSLQHGSMSGALQQGSTGPMQGTLNAQQQASSSMLSHNSVGTMQANANSMQANANSLQQLKQQQQEHHMMQSQQMKRQMFQQFQQKQMLQQQLPAQQQLQKQQQSQMQVPQHHSGNDANELKVRQGAAMKPGIYQQHLAQRNNFYNQQIKQGSAFPISSPQNLQASSPQISHHSPQVDQHNLLPSQVKTGTPLQSANSPYMPSPSPPVAPSPIPVDSEKPLSNLSSSLTNTGQATHQQTNMAPQSQSIAVNTPGISASPLLAEFTSVDGNQANMPTQVLTKSSAAERPLDRLLKALRTTQRQSLSAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGTGTSKKMKRDTSAMPLNVSSAGSVNDSLKQTFSIGTPELQSTATSRVKWQKTEINHALMEEIHEINQQLIDTELHVCEDDAESFGATSEGTEGTVIKCTFTAVAVSPSLKSMFASAQMSPILPLRVLVPASYPKCSPVLLDKFPDEQRNSDDLSTKARSKFSILLRGLAEPMSLREIARTWDACARKVIAEYAQQTGGGSFSSSYGCWENCVGA >ORGLA08G0193300.1 pep chromosome:AGI1.1:8:20231542:20231907:1 gene:ORGLA08G0193300 transcript:ORGLA08G0193300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPAGGLCCGVPSLPTYNYKSLLKWRRQSEEEEIGDRKSNHPIQDSKRGWINRVIEMNSPGGVNEWEDSPGEMESEAASAVGMGMMEVDADDRHPPSSSLPIDADFFNSFPDDFDDQDLA >ORGLA08G0193200.1 pep chromosome:AGI1.1:8:20228192:20230180:-1 gene:ORGLA08G0193200 transcript:ORGLA08G0193200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transmembrane kinase-like 1 [Source:Projected from Arabidopsis thaliana (AT3G24660) TAIR;Acc:AT3G24660] MRSVMMCCLLLLLVSAAAGAEGKSEVALLLERVKPALQGEGEVGGNAQLATWTASTPLCQWRGLRWSTAATLPRELPCGNLSAGLAHHPVPDDLLLLLSIRLPASALAGHLPPELAAFSALSSIFLAHNSLSGPIPLALGNAPALSLLDLASNRLSGSLPLSIWNLCSGNARLSLLRLHGNALHGPIPDPAALAPNTTCDALSLLDLSANRLSGPFPSSLVTTAFPALRSLDLSDNRLHGPIPHGLAPIHSLNLSYNNFSGQLPPDLASLPPDAFLANSPALCGPPLPHHCLPSNPLTSSAVAAIVIALMAAAVVLASLSIGWAQGRWRRAPLPPEEGTLTEDGEGKLVVFQGGEHLTLEEVLNATGQVVNKASYCTVYKAKLAEGGGSIELRLLREGCCKDAESCAPAVRRIGRARHDNLVPLRAFYQGRRGEKLLVYDYFPGNRTLHELLHGHGEQSQGMRPALTWARRHKIALGVARALAYVHAGHGEAHGSVRSSNVLVDEWFVARVAEYAVHRLLVAAAVGKADGYRAPELQSRGRCSPRTDVYAFGILLLELLMGRKASGELPAVVKAAVLEEVTMMEVFDAEVARGVRSPAEEGLLQALKLAMGCCAPVAAARPTMAEVVRQLEEVRPRNSSRPSAIYSPAEPRSDAGTPTAAVV >ORGLA08G0193100.1 pep chromosome:AGI1.1:8:20225651:20225989:-1 gene:ORGLA08G0193100 transcript:ORGLA08G0193100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFATTIAAATSALTSISATEVRHAAVQEEATGLGHRQAGAVAKGRNAAGDGLGLRAEQLWLGDDDGGEVRTGEGEGQAGAVVKGRDAAADGVGLRAEHPRLGDDDGSEVR >ORGLA08G0193000.1 pep chromosome:AGI1.1:8:20212519:20214413:-1 gene:ORGLA08G0193000 transcript:ORGLA08G0193000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVKKRRLSHKTARRGKFLVKADDAVYDELVKLADAGKDADATQLPVDEDLPGMGQFYCLHCDRYFASESVKEEHYRSKRHKKRIKQMSGPAPHTQLDAELAAGMGMPDNGLKLMSM >ORGLA08G0192900.1 pep chromosome:AGI1.1:8:20204542:20209828:1 gene:ORGLA08G0192900 transcript:ORGLA08G0192900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette subfamily B1 [Source:Projected from Arabidopsis thaliana (AT2G36910) TAIR;Acc:AT2G36910] MEEEIKGRVVVLGADAAADPELEAFHLPSADQPPHSHLLHHHHSPQSHPQPDAPAAAAPPPPAPLTPPPKSPPPPPHIQTTDLPPPKPLPPAPLRQLFSFADGLDYVLMTLGTLGALVHGCSLPVFLRFFADLVDSFGSHAAHPDTMLRLVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTRMRIRYLHAALHQDVSFFDTDVRTSDVIHAINADAVVVQDAISEKLGNLIHYLATFVSGFVVGFTAAWQLALVTLAVVPLIAVIGGLSAAALAKLSSRSQDALSDASGIAEQALAQIRIVQSFVGEERVMRAYSAALAVAQRIGYRSGFAKGIGLGGTYFTVFCCYALLLWYGGHLVRRAHTNGGLAIATMFSVMIGGLALGQSAPSMAAFAKARVAAAKIFRMMEHKPSMEREGGVELEAVTGRVELRDVEFSYPSRPDVGILRGLSLSVPAGKTIALVGSSGSGKSTVVSLIERFYEPNAGTILLDGHDLRDLNLRWLRRQIGLVSQEPALFATTIRENLLLGRDGATQEELEEAARVANAHSFIVKLPDAYNTQVGERGLQLSGGQKQRIAIARAMLRNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQGGAISEVGTHDELMARGDGTYARLIRMQEQAHEAALVAARRSSARPSSARNSVSSPIITRNSSYGRSPYSRRLSDADFITGLGLGVDSKQQQQQHYFRVQASSFWRLAKMNSPEWGYALVASLGSMVCGSFSAIFAYVLSAVLSVYYAPDAAYMDRQIAKYCYLLIGMSSAALLFNTVQHLFWDTVGENLTKRVRERMLAAVLRNEIAWFDMEDNSSARIAARLALDAQNVRSAIGDRISIIVQNSALMLVACTAGFVLQWRLALVLLAVFPLVVAATVLQKMFLKGFSGDLERAHARATQIAGEAVANVRTVAAFGSEAKIAGLFEANLAGPLRRCFWKGQIAGSGYGVAQFLLYASYALGLWYAAWLVKHGVSDFSKTIRVFMVLMVSANGAAETLTLAPDFVKGGRAMQAVFEAMDRRTEIEPDDVDAAAVPERPRGEVELKHVDFAYPSRPEVQVFRDLSLRARAGRTLALVGASGCGKSSVLALVQRFYEPNSGRVLLDGRDLRKFNLRSLRRAMALVPQEPFLFAATIHDNIAYGREGATEAEVVEAATAANAHKFISALPEGYGTLVGERGVQLSGGQRQRIAIARALVKQAPILLLDEATSALDAESERSVQEALASSSGSGRTTIVVAHRLATVRNAHTIAVIDDGKVAEQGSHSHLLNHHPDGCYARMLQLQRLSHSHVAPGPSSSTTTHGT >ORGLA08G0192800.1 pep chromosome:AGI1.1:8:20183768:20186724:-1 gene:ORGLA08G0192800 transcript:ORGLA08G0192800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G60790) TAIR;Acc:AT5G60790] MVSDASKKKAAQKKAAAAAKRGAKASSSSSSSSSAADKAANGIAALKLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLSSEIEASDMSALQAVICCDEERMKLEKEAEILSAQDDGGGDALDRIYERLEALDASTAEKRAAEILFGLGFNKQMQAKKTQDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQSKKLKLYSGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKVLVFRFTDVGKLPPPVLQFVEVSFGYTPDNLIYKNLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLAPLDGMVRRHNHLRIAQYHQHLAEKLDLDMPALQYMMREYPGNEEEKMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCEKQAVTRWEGDIMDFKEHLRSRAGLSD >ORGLA08G0192700.1 pep chromosome:AGI1.1:8:20177158:20178762:1 gene:ORGLA08G0192700 transcript:ORGLA08G0192700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGEQQQLEVLHALDVAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYRVDGSPSPGTLPPHVSASVNGVAFVGTLSGQLFFGWLGDKLGRKRVYGITLMLMVLCSLASALSFGHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGIITGGLVAILVSASFRAAFPAPPYGEDPVASTPPQADFAWRIILMLGALPAALTYYWRTKMPETARYTALVANNAKQAAADMSKVLQVVEMRNIGNNGGSRRPFGLFSGEFVRRHGLHLVGTSATWLLLDIAFYSQNLFQKDIFSAVGWIPKAATMSALEELFRIARAQTLIALCGTVPGYWFTVALIDVVGRFKIQAVGFFMMTLFMLALALPYHHWTAPGKNHVGFLLLYGLTFFFANFGPNSTTFIVPAEIFPARLRATCHGISAASGKLGAIVGSFGFLYLAQSPDRSKTEHGYPPGIGVRNSLFLLAACNLLGLLFTFLVPESKGKSLEEMSGDAEAQEEAPPPLQAVL >ORGLA08G0192600.1 pep chromosome:AGI1.1:8:20167684:20168094:1 gene:ORGLA08G0192600 transcript:ORGLA08G0192600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGWDDQGNGGGETTKYRGVRRRPSGKFAAEIRDSSRQSVRVWLGTFDTAEEAARAYDRAAYAMRGHLAVLNFPAEARNYVRGSGSSSSSRQQQQRQVIELECLDDQVLQEMLKGGDDQYRSAAGSKRNNY >ORGLA08G0192500.1 pep chromosome:AGI1.1:8:20163658:20164407:-1 gene:ORGLA08G0192500 transcript:ORGLA08G0192500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALSGSGSGSLLVLSVSVVGILFTSILLLAYYLFLACSWRRRSHQTARPTPPLPSFFLATTSTAADQPRRGLGLEEAAIRRIPTLRYHQQQQHNKQQQCGVCLGEFREGERLRRLPPCLHSFHIDCIDAWLATALTCPLCRAHVTVDTNHIAAAAAATTSTRHDDDQLLSGVHQPMRRSFSLDSCHLYLAIILHPHQLSDSDTRSRSRREPKPAVLESERPSRTLRRSFFSFSHTTTSPVPTPILPI >ORGLA08G0192400.1 pep chromosome:AGI1.1:8:20156090:20157128:-1 gene:ORGLA08G0192400 transcript:ORGLA08G0192400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLGSPCGACKFLRRKCVKGCVFAPYFCHEQGAAHFAAIHKVFGASNASKILMHLPVSDRCEAAVTMSYEAQARLRDPIYGCVAHIFSLQQQVVSLQAQLESLKAQATQGYGDGCSISSPQNDSCGNMLTSIVQDEQQFVGPTMASNSSVKNENHSYIANGHLAPMSAQSSQGFEAELCMAEYSNTNPCCSVQGNWYHDMEDLQSVAFAYLNQA >ORGLA08G0192300.1 pep chromosome:AGI1.1:8:20152337:20154915:-1 gene:ORGLA08G0192300 transcript:ORGLA08G0192300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDFRSHRAALFDGIEEGAIRSSAYSSQIHEHENDQAMDSLHDRVSVLKRLTGDIHDEVENHNRMLDRMGNDMDASRGFLSGTVDKFKMVFETKASRRMATMVASFIAVFFLIYYLTK >ORGLA08G0192200.1 pep chromosome:AGI1.1:8:20144795:20145502:-1 gene:ORGLA08G0192200 transcript:ORGLA08G0192200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:I1QKH1] MASKEPSGDHDHELNGTSAGGGEPKDGAVVTGRNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVAEAIAHFARRRQRGVCVLSGAGTVTDVALRQPAAPSAVVALRGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGTLTAAGPVMVIASTFANATYERLPLDQEEEEAAAGGMMAPPPLMAGAADPLLFGGGMHDAGLAAWHHARPPPPPPY >ORGLA08G0192100.1 pep chromosome:AGI1.1:8:20134991:20136709:-1 gene:ORGLA08G0192100 transcript:ORGLA08G0192100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1QKH0] MGSVSPPAPEEDAAAVESAGAAARMFWHETKRLWAIGTPIAIGTITNYAISSVTTMFIGHLGNLPLAAASVGLSVFATFALGFLLGMGSALETLCGQAFGAGQVSMLGVYLQRSWIILLGATVLMVPVYVLAEPLLLLVGQDPEVARAAGRFTLYILPGAFAFAVNFPSGKFLQAQSKVGVLAWIGVAGLAFHVGITYLAVSVLGWGLPGAAAAYDVSQWASSLAQVAYIMGWCREGWRGWSMAAFHDLAAFLRLSIESAVMLCLEIWYLGLITVLTGDLDDAQMAVDSLGICMNINGYEGMIFIGLNAAISVRVSNELGSGRPRAAMHAVVVVVAESLLIGLLCMALVLAFSDKLALVYTSDAHLLRAVSRIAGLLGVTMVLNSVQPVLSGVAVGGGWQGLVAYINLACYYLFGLPVGYLLGYYFNLGVGGVWGGMLCGVALQTLILLFVVWRTDWKAEAAQASARVHKWGGTDETKPLLQGDHSDRD >ORGLA08G0192000.1 pep chromosome:AGI1.1:8:20124475:20132270:-1 gene:ORGLA08G0192000 transcript:ORGLA08G0192000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1 family protein [Source:Projected from Arabidopsis thaliana (AT1G63770) TAIR;Acc:AT1G63770] MARRLISPCQGSGLLNAGFLGVFSSHHMIHLLCFSSLFLPPNSIPCLYQYHRLPLSSSHRSSQLKNCYLPNLRKEVSHWTRPATPSLSLYSARLASKRTTCSVATEPPPSTTEEPEMDLPKEIFLKEYKKPDYLFDSVNLEFQLGEDKTIVTSKIAVSPGTEGTSSPLTLHGHDLKLLSIKVNGKDLKSEDYMVDSRHLTVSRPPGGTFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMATYTCRIEADKTLYPVLLSNGNLIEQGDLQGGKHYALWEDPFKKPSYLFALVAGQLDCREDSFTTCSGRKVTLRIWTPGQDLAKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRTYQFPQDAGPMAHPIRPHSYIKMDNFYTVTVYEKGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAMCDANNTQLPNFLQWYSQAGTPTVKVSSSYDASSQTFSLKFSQEVPPTPGQPVKEPMFIPIAVGLVDSTGKDMPLTSIYSDGMLQSLTSDGQPVFTTVLQFNKKEEEFIFNNIPEKPVPSLLRGYSAPVRLDSDLTESDLFFLLANDSDEFNRWEAGQVLSRKLMLSLVADFQQQKTLALNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRTFIKKELALQLKDDLLSTVTNNRSSEAYTFNHDSMARRALKNTCLAYLASLNEPDTTELAFIEYKSATNMTEQFAALAALSQNPGQVRDDTLLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVQKLLGHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDESRQALAKAQLEMIVSANGLSENVYEIASKSLAA >ORGLA08G0191900.1 pep chromosome:AGI1.1:8:20122194:20123069:-1 gene:ORGLA08G0191900 transcript:ORGLA08G0191900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVTVSSARDLKNVNWRNGDLKPYAVLWVDDGAKCSTRVDLDNADNPNWDDKLTLPLPPSSRLDDALLYLDVVHANAAEGVKPLVGSARLPLRDVLDDAGVGARVSRSLRLKRPSGRPQGRLDLRLAVRESARYYDPSPYPAPYGQPAGTRDPYGAPPPAYSSGSGGQYPYGGGYGSGGYAAPPAGYPSAYGAPPQPAYGGAPPVAAYGAAGGAPVVVEETKKKSKMGMGTGLAVGAAAGVLGGLALAGGASYLEDKFEEGVAEKVEDDLDRDDDYAAGGYDDDY >ORGLA08G0191800.1 pep chromosome:AGI1.1:8:20110379:20112208:-1 gene:ORGLA08G0191800 transcript:ORGLA08G0191800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein [Source:Projected from Arabidopsis thaliana (AT5G23940) TAIR;Acc:AT5G23940] MAAVDNAPPAPVVTVTSTRTVAPAAAKCVLATFDLPYITFYYNQKLLLYRLPNGASDFPDAVARMSASLSDALAYFYPLAGRIRQDDHDGSLSIHGQHGAEVIEASADHVSVDQLAGEECSEEAEKVMQLLVPYTGVMNLEGLNRPLLAVQLTRLRDGVAVGCAFNHAVLDGTSTWHFMTSWAELCRGGGAPSLLPVHNRGMARSVRVNLPLPASAEAHEKTDPNGPKVPLVARVFSFPPSAVARAKAAANAALPPGAKPFSSFQSLAAHIWRAVSRARALGPSDITVFAVFADCRARLSPPLPPAYFGNLIQAVFTGVPAGMLLAGPPELAAGLLQKAIDDHDAAAITRRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFRVYDVDFGFGRPERVRSGANNKFDGMVYLYPGRGGDGGIDVELSLQPEPMQRLDKDQDFLQMRAP >ORGLA08G0191700.1 pep chromosome:AGI1.1:8:20102790:20103947:-1 gene:ORGLA08G0191700 transcript:ORGLA08G0191700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGSGGGGGGGGISPYLVQSQHGHGGGVDGMEMEEGGGFMGEQPQCHPLLYNLSVLKDRVQQLHPLVGLAVAHNAHAHGPLDVSAADAIIQEIVAAASSMMYAFQLLCDLGTAPTTAPSQETAAASAVVVKNNDHAADAGQMEDDHLMQQQWQQNGSRQHDYSSHAHAPPVFHSETAAPAGATSATDTIIELDAAELLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKSKAALSNPTKLLAKGGDETMAAAARKYSCPQEGCRWNRRHAKFQPLKSVICAKNHYKRSHCPKMYVCNRCGRKHFSVLSDLRTHEKHCGDHRWLCSCGTSFSRKDKLIGHVSLFAGHQPVMPLDAPRAGKRQRSSSASVAGNIDDTTGIGMGAA >ORGLA08G0191600.1 pep chromosome:AGI1.1:8:20092553:20096238:-1 gene:ORGLA08G0191600 transcript:ORGLA08G0191600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPSSSSSSAPPAAAEATSLAPGFRFHPTDEELVSYYLKRKVHGRPLKVDAIAEVDLYKVEPWDLPARSRLRSRDSQWYFFSRLDRKHANRARTNRATAGGYWKTTGKDREVRNGPTTVGMKKTLVFHAGRAPKGERTNWVMHEYRLDGQTTIPPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWEEDDEDVGLLPVEEKDNSDDQEKEISGAMEKGYLQMSDLVQNLVDQNENGTIALPVSDNSNNSNHSEDVDGNSGDILSDQNLGSNFLHHVEPVEQNGLVLNENMFSSANAGDLFNISSPNDGFLELKDFADIADLENPLANESTIWPSDGWPWKSTDSMEAVNGASNEFSPLAGEQIFQPEELEQLLQSLQEDSHMGSTISDPPHSSITNLAKPEEDCLMFYDAPFDSSMCDDGFRQLNGFLGSPSTNLSGIDMVDDGMPYYDAMDDNLFNDLLSSVQPSAGSSSHAFSGPVLTQEVNNTTYTYSPTQKVLEPNFVVGAPSSARLPEAGSQLNYVVLPDSQTKSSLIGKRFVKILDSISAPPAFAAAEFPASLRKSLAPISGAHHNTIRVSAEVISIGSLTPASQDKWSLEKDEGMELLFSAGFEPDTRVHFGCNTITAVLRGGFCLFFFSAIMLLVSYEVGMCIYGK >ORGLA08G0191500.1 pep chromosome:AGI1.1:8:20088354:20091713:1 gene:ORGLA08G0191500 transcript:ORGLA08G0191500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lactate/malate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G58330) TAIR;Acc:AT5G58330] MAAIDLSSPARSSPAPLSPRRGSLHLLLRRPRRPTLRCSLDAAPKQAQAQGPPAAVAAEEAPTARKECYGVFCTTYDLRADEKTKSWKSLVNVAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSIQALEGVAMELEDSLYPLLREVSIGIDPYVVFEDAEWALLIGAKPRGPGMERSALLDINGQIFAEQGKALNSVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNAKINGRPVKEVIKDTKWLEDEFTKTVQKRGGVLIQKWGRSSAASTAVSIVDAIRSLVNPTPEGDWFSTGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELVKDVAMDDFLWERIKKSEAELLAEKRCVAHLTGEGNAFCDLPGDTMLPGEM >ORGLA08G0191400.1 pep chromosome:AGI1.1:8:20084728:20085612:1 gene:ORGLA08G0191400 transcript:ORGLA08G0191400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCTWALGRVVLAVVFLVALAAGDAAPPKVHRNHGKFTAGPWKQAHATFYGGRDGSGTLDGACGYKDTSKEGYGVQTVAVSTPLFGAGAGCGACYEVKCVDSPDGCKVGAAPLVVTATNLCPPNPGQSNDNGGWCNPPREHFDLSMPAFLQIAQEKAGIVPISYRRVPCVKVGGIRYTITGNPYFNLVMVSNVGGAGDVAGLSVKGNKRVKWTPLKRNWGQEWQTSEVLTGESLTFRVMTGDHRKATSWHVLPPDWQFGVTYQATKNFN >ORGLA08G0191300.1 pep chromosome:AGI1.1:8:20083647:20084304:1 gene:ORGLA08G0191300 transcript:ORGLA08G0191300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGSARETRAAEMEAGWAREARPMEGGRIGARGATGSGGDNLGVWRSCRWVWRGLWRTKTGRRGTPVQGSHMSAELVWRWSISALAVDSVIAGRKPSLALLSPDGRRWWFSVASLLEDVVLASPRGRSRLCPFVGLSGGRSHLVAAGAVLAFSWVCVLAMSVCGWWYFFFLFPGYDHPGL >ORGLA08G0191200.1 pep chromosome:AGI1.1:8:20079660:20082309:1 gene:ORGLA08G0191200 transcript:ORGLA08G0191200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:I1QKG1] MQAILAAAMAAQTLLFSATAPPASLFQSPSSARPFHSLRLAAGPGGAAAARALVVADATKKAVAVLKGTSQVEGVVTLTQDDQGPTTVNVRVTGLTPGLHGFHLHEFGDTTNGCISTGPHFNPNNLTHGAPEDEVRHAGDLGNIVANAEGVAEATIVDKQIPLSGPNSVVGRAFVVHELEDDLGKGGHELSLSTGNAGGRLACGVVGLTPL >ORGLA08G0191100.1 pep chromosome:AGI1.1:8:20070673:20073231:-1 gene:ORGLA08G0191100 transcript:ORGLA08G0191100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGTRTRRRAATASPGRNKVWVEPPGKTHHLRSPPPPPSPSSSKRVAVVYYLCRNRHLEHPHFIEVPLASPDGLYLRDVINRLNVLRGKGMAAMYSWSCKSRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPPDRQQNGVGEPKVETLKHPPEESPHSRGSQEGCSSSSSPSAVTKEASPPPPTPQPQQQAQSATLLPSSSASTNREDDQCRTPRSGSSGNMSPEPAGRVAPPLSEASSPGPLEYRVCKPIGAQDASTQTDDSERDAPERNSRMAGVCTENSTSDSEIQECHPRSTQPSPKGPGVVRESPPVCSSDASPGGRVETLESLIRAEASRRSSFRALEEEHLFAPMGVKFKPANLLMQLITCGSISVKDHRSFGLIPTYRPRFTQVEFPSPMFSTPLALRHLDNIPCNARTIGMRIPESEYFSGSLVETKKQDESGKGGTPTLKRSSSCSEDRVCRVSDSNRDMESMAESGSFRCLPQTIKMISCKQSRSGTILSPNSDVRNSCSQQECSTRSSPLGSSKSASNRMTDLSLGKLSSSRVESFHEEKEKVIKIEESLLLELGL >ORGLA08G0191000.1 pep chromosome:AGI1.1:8:20066013:20068414:1 gene:ORGLA08G0191000 transcript:ORGLA08G0191000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1QKF9] MAAEADARKVCGLPERVQLHGAMLALQFGYAGFHVVSRLALNMGISKLVFPVYRNIIALILLVPFAYFLEKKDRPQLTLSFVVQFFLLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALRIERVRLDRRDGVAKVLGTLACVAGASVITLYKGPTIFGPKLQLQAVAEVPLRAAIAGEGKNWTLGCVYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGVIQFLIIAAFMERDADAWAFHSGSELFTILYAGFIASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLTLGESFYLGGIIGAVFIIAGLYLVLWGKSHERARLAKDAAAIATDRDAAPCRIIAAGKQSSSVTQPLLLPTSSSSDNAV >ORGLA08G0190900.1 pep chromosome:AGI1.1:8:20058268:20058706:1 gene:ORGLA08G0190900 transcript:ORGLA08G0190900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTGGSAPTSRFVSSGVGAGNVHPLRKGEVRGDPCDIPFIYKRRTLPYESRGRLWKNQIPEKGWPSPSLHIQNLETL >ORGLA08G0190800.1 pep chromosome:AGI1.1:8:20043407:20044018:-1 gene:ORGLA08G0190800 transcript:ORGLA08G0190800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQASAAKCHLLAAWAPAKPRSSTLSMPTSRAPTSLRAAAEDQPAAAATEEKKPAPAGFVPPQLDPNTPSPIFGGSTGGLLRKAQVEEFYVITWTSPKEQVFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNAGRQGVGQNFRSIGKNVSPIEVKFTGKNVFDI >ORGLA08G0190700.1 pep chromosome:AGI1.1:8:20041247:20042523:1 gene:ORGLA08G0190700 transcript:ORGLA08G0190700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRDLLAWYAIRGMYSDVLALAVTMVLDHEDFTGVRVKSGTLFDNILITDDPEYAKKFAEETWAKHKDAEKTAFDEAEKRRLEEDDEDEADDDKADVVAEQTKDSDDEKPQDIKVSADEKPNSSKYDSSLCEEG >ORGLA08G0190600.1 pep chromosome:AGI1.1:8:20037224:20039495:1 gene:ORGLA08G0190600 transcript:ORGLA08G0190600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSADMERIFKRFDTNGDGKISLSELTDALRTLGSTSADEVQRMMAEIDTDGDGFIDFNEFISFCNANPGLMKDVVKINYTPSVSQSVAAAAAGNDDIDAAASGKDDIDAVTAAGNDEFAAAVACFNVAAATAAGKDDINAAAAGKDDIDAATTGNDEFATAAACFNAAAAGKDEFDAAAAACFNACRNPPLAAT >ORGLA08G0190500.1 pep chromosome:AGI1.1:8:20034943:20036811:-1 gene:ORGLA08G0190500 transcript:ORGLA08G0190500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIAGAATRRLLLAPARRRAFWSSPWAEESPRSPGPSADENKKKKPSSHHRLAAVMDAVADRKLPPELRGRANAVRSETDIINVVEQRIWHSMEEGHFENLPGKGKPLNLNSNPHADPAEDTLYRILSRNGCAPEWVELNKEIRGMIARWRSALRKAWANRSEDDHSTWHDDHRLLQEQIRQINDKVFRYNLIVPFGRQMFGLNWDKELDKLKQ >ORGLA08G0190400.1 pep chromosome:AGI1.1:8:20029132:20034095:1 gene:ORGLA08G0190400 transcript:ORGLA08G0190400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1QKF3] MGLLMRPVALLIYCTTASLFFLTSSSAAAIAGGTPDCSEEWGYVQVRPKAHMFWWLYRSPQRVNNKGSTPWPTVLWLQGGPGASGVGYGNFMEIGPLDTNLKPRPSTWLSKADLLFVDNPVGTGFSYVEGGDRTLLARTDAEAATDLTTLLSQLYRSNNTRLQGSPLYIVAESYGGKFAVTTALAALKAIHAGRLAASLAGVALGNSWISPEDSVLSWGPLLYQVSRLDENGLYLSDSLAQQIKAQVKAAQFLEAENTWQSLESIILEQANYIDFYNFLKDDSSSDANLEQQQRQRLLASLGQSRRRYSSYLSSKVTTEGGFEGIMNTVIRDKLRIIPKNVTWSEQSDDVFEALAGDFMKPRILEVDELLNLGLNVTIYSGQLDLICATKGTLDWIQKLKWDGLKNFTNSRRVPLYCSGGEAAGTQAFLKSYKNLKFYWILGAGHMVPIDNPCPALKMLGDITQSPAQ >ORGLA08G0190300.1 pep chromosome:AGI1.1:8:20019927:20020857:-1 gene:ORGLA08G0190300 transcript:ORGLA08G0190300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESEGAAGTTRLPEWLETLLSTRFFLACGAHPASPRNECNMFCLDCPSPSPPFCYYCRSHRHQSHRVIQIRRSSYHDVVRVTEVEDVLDISGVQTYVINSAKVLFLNERPQPRGAGAAAGKAAASPYNCQICARALLDPFRFCSLGCKLVDTKTGGRGATVQPGDATNDDAAAAGGSSKNGGARPQGRRRKGIPQRAPFGS >ORGLA08G0190200.1 pep chromosome:AGI1.1:8:19998650:20000190:1 gene:ORGLA08G0190200 transcript:ORGLA08G0190200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDLVNAGEQQQMGSKRAAAEDGDGGVDDSREYYCRRGVRHLCNSGITRLPGNYVLPASDRPGQAAGAAAAAGGSVKLPVVDLSRLRVPSERGAVLRTLDAACREYGFFQVVNHGVGGEVVGGMLDVARRFFELPQPERERYMSADVRAPVRYGTSFNQVRDAVLCWRDFLKLACMPLAAVVESWPTSPADLREVASRYAEANQRVFMEVMEAALEALGVGGGGVMEDLAAGTQMMTVNCYPECPQPELTLGMPPHSDYGFLTLVLQDEVAGLQVMHAGEWLTVDPLPGSFVVNVGDHLEILSNGRYRSVLHRVKVNSRRLRVSVASFHSVAPERVVSPAPELIDDRHPRRYMDTDLATFLAYLASAAGNHKSFLHSRRLY >ORGLA08G0190100.1 pep chromosome:AGI1.1:8:19983554:19988494:1 gene:ORGLA08G0190100 transcript:ORGLA08G0190100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASPPVPSKVDASSRPDRSPRPQSLEISWDSQAALCKRAESCELEAEAPSINPTLLPVLEDLLIELYAILRPKPDDYEQRHLMIDVFNKIAEEIYGKKKGFPVVEAFGSFTMDLFTSKSDLDLSVNFNADFHSQFARKDKISVIRNLAKVLYAHQRNGRCHGVLPVVTAKVPVLKVIDKGTGVECDISVENKDGMSRSMIFKLISSIDERFQILCYLMKFWAKAHDVNCPRDRTMSSMAIISLVAFHLQTRRPPILPAFSALLKDGPDFPSIQRNVSLVEGFGSRNKESVAELFVSLMSKLLSVEGLWEQGLCASNFEGSWIFKTWERGVGNLSVEDFLDRSQNFARAVGKEEMQKISECIRVAVLNLNNFFRGKIDAPKLKNLMFEPLRQDELISNPSLKRPKRKDHPTHGPESNPQQQKKAKHIIGPESNQKQQKKVKHTVNPGPAASRSATNLHRPTAFVPQIRPIQPINQVSHIPQPLVDPMFAYGLPPQQHLHSAPLYSQGLLGQQQGNFIHLNPGIQPQQQAQHMFVPLLEQQPVINGFHPYDFHLAQLIQHNENIALQRNIAYGTYPYYRR >ORGLA08G0190000.1 pep chromosome:AGI1.1:8:19980630:19982646:-1 gene:ORGLA08G0190000 transcript:ORGLA08G0190000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQPKVAMHKITTSLHLVHRILDSILDRAYDDKLDRLYESVNNDDQRGGLKILASQAHHIHELAKEVQINFSGYMEMQRKEEKRFGSMISSLKKENQDIRSMLKIAVTEKEAAENRLCVLKGDKDQGRSAILQIAEKGLHKVGFGFIMDVITGESEREENMSSNSATEISTTGRESDQGVDSLTSVVGKTMENMQNEINDLRHALHKSRSDCDRLQLLAAEQAQKIVKYELHIKDLEEREIFLLHSVEELTVDLKEVEREAARWREACELEVEAGKDAIKQLNQEVALLTEELRRVKADLEAANSKLQLKEKLAATAMAAQAAADECLKLADSRSAGLQLRIEELTKQIEQEDEHAGKERGSAHRRTRYACWPLRRLRVISASSSARKWFVDQNGRLPPGTEALLQIRI >ORGLA08G0189900.1 pep chromosome:AGI1.1:8:19979123:19979662:1 gene:ORGLA08G0189900 transcript:ORGLA08G0189900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQPSEKKPPASGGEKKAPLPKVVTLNKIXSFSGTDMGGQNECIRPGXTQSXGFXGSPTRAWSWC >ORGLA08G0189800.1 pep chromosome:AGI1.1:8:19974288:19978382:1 gene:ORGLA08G0189800 transcript:ORGLA08G0189800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dehydratase family [Source:Projected from Arabidopsis thaliana (AT3G23940) TAIR;Acc:AT3G23940] MQSLALTSPSLPAPATASGRRRRRLERIRATAVSDEPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGVSSVWYEGNTCNMHLLRLAEAVRDGVREAGMVAFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNSYDIVSAFQCYGEFVTGSISDEQRKNVLRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLEECRLAGKYLLELLKMDLKPKDIITEKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLQLTLDDFQKVSDQVPFLADLKPSGKYVMEDLHKIGGTPAVIHYLLEQGLLDGDCMTVTGKTLAENAKIFPPLSEGQQIIRPLDNPIKSTGHIQILYGNLAPEGSVAKITGKEGMFFSGPALVFEGEESMITAISENPANFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQNGDKITIDVVKRVIDVELTEAELEERRRKWTPPPHKAARGALWKYTKLVSPASRGCVTDE >ORGLA08G0189700.1 pep chromosome:AGI1.1:8:19969430:19972881:-1 gene:ORGLA08G0189700 transcript:ORGLA08G0189700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:I1QKE6] MDPRSKADRIVRRTAMIGAATAAYFLLTADYGLDYPNPMYYKHAPKTCRNFLELSRRGYYDNVIFHRIIKDFIVQGGDPTGTGRGGESIYGAKFEDEIRPELKHTGAGILSMANAGPNTNGSQFFITLAPCQSLDGKHTIFGRVSKGMEIVKRLGSVQTDKSDRPIHEVKILRTVVKD >ORGLA08G0189600.1 pep chromosome:AGI1.1:8:19961653:19968201:1 gene:ORGLA08G0189600 transcript:ORGLA08G0189600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G11540) TAIR;Acc:AT3G11540] MGRPGMDSTEGRESNGVVPERNGGAVPAKQQLDGKDTLRYANILRSRNKFAEALQLYNNVLEKDEANVEALIGKGICLQAQSLPMQAIECFNEAVRIDPANACALTYCGMIYKDEGHLVEAAEAYQKARNADPSYKPAAEFLAIVLTDLGTSLKLAGNTEEGIQKYCEALEVDSHYAPAYYNLGVVYSEMMQFDLALTCYEKAALERPLYAEAYCNMGVIYKNRGELEAAIACYERCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVVYTVQGKMDAASSMIQKAIFANSTYAEAYNNLGVLYRDAGSITSAVQAYEKCLQIDPDSRNAGQNRLLALNYIDEGFDDKLYQAHREWGKRFLKLYPQYTSWDNPKVTDRPLVIGYVSPDYFTHSVSYFIEAPLAHHDYSNYKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKKVASLVREDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPTIDYRITDSLADPPDTTQKHVEELVRLPESFLCYSPSPEAGPVCPTPAILNGFITFGSFNNLAKITPKVLQVWAKILCAVPNSRLVVKCKPFCCDSIRQKFLSTLAELGLEPLRVDLLPLIHLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLTKVGLGRLVAKSEDEYVSLALDLAADVTALQELRMSLRGLMAKSPVCDGENFTRGLESAYRNMWRRYCDGDAPALRRLDLLQEEPCSNNNKQDFDDNQVAKLADLKAQRVDAAVDGDKQSQLTAHAAVVGEVQQAPIMVNGVSSPVSSGKVEANGHISR >ORGLA08G0189500.1 pep chromosome:AGI1.1:8:19955402:19956734:1 gene:ORGLA08G0189500 transcript:ORGLA08G0189500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAINDLMTRGLIRMVSVHSSQQIYTRATNT >ORGLA08G0189400.1 pep chromosome:AGI1.1:8:19951008:19952891:-1 gene:ORGLA08G0189400 transcript:ORGLA08G0189400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVVAAASRVQARLAARLAPRLLLSSGGKVLGEEEKAAENIYIKKMEQEKLEKLARQGPSPGEQGSSTPAADVKAEGGPTAGASTVKNKNYTLISCAVGVLGASAIAWYRLSKPEKSEEVAN >ORGLA08G0189300.1 pep chromosome:AGI1.1:8:19948505:19950140:1 gene:ORGLA08G0189300 transcript:ORGLA08G0189300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSALTSLPLRLRSPAPVAAACGGMRLMSDGKGRVLSEEERAKENVYVQVYLVAPSPPLVSSALVLSQIRSSAHRYCCCVFFLSFRLAGGAEDGEGEDGEAQEEAREGEGGGRQGQSRRLRQEYGGGIQLGVMCFCLKTDLFHMPMLDTGVHTCPRMKE >ORGLA08G0189200.1 pep chromosome:AGI1.1:8:19944463:19946454:1 gene:ORGLA08G0189200 transcript:ORGLA08G0189200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:I1QKE1] MSKLQSEVLKEAISQVVGESKEKGRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAMYNWAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPIRVF >ORGLA08G0189100.1 pep chromosome:AGI1.1:8:19940881:19944132:-1 gene:ORGLA08G0189100 transcript:ORGLA08G0189100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Kinase phosphorylation domain (InterPro:IPR019315); Has 8882 Blast hits to 4920 proteins in 346 species: Archae - 10; Bacteria - 184; Metazoa - 3955; Fungi - 1221; Plants - 712; Viruses - 24; Other Eukaryotes - 2776 (sour /.../BI BLink). [Source:Projected from Arabidopsis thaliana (AT3G52220) TAIR;Acc:AT3G52220] MYHPTRGGVRGGRDQFKWDDVKVDKHRENYLGHSIKAPVGRWQKGKDLFWYTKDKKSDSEDALKEEIRRVKEEEEQSMREALGLVPKRSSRPKGNRLDKHEYAELIKRGSTAEDLGAGHAEAAQVQGLGLYKGPRREEESSSFNLDPPEMVPAEQADNPPLETKPEREDSDDDRRSKRRREERGGEKERKRERHSEGKERRRDKQEKRSRHESDDRSKRHRKDKHKRRHDSDSD >ORGLA08G0189000.1 pep chromosome:AGI1.1:8:19937893:19939715:-1 gene:ORGLA08G0189000 transcript:ORGLA08G0189000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vesicle-associated membrane protein 727 [Source:Projected from Arabidopsis thaliana (AT3G54300) TAIR;Acc:AT3G54300] MNGNKQSLIYSFVAKGSVVLAEHTAFSGNFSTIAVQCLQKLPPNTSKSTYSCDGHTFNFLVDRGFVFLVVADEAVGRSVPFVFLDRVKEDFMQRYGSSIDEEGQHPLADDADDDDFLLEDRFSIAYNLDREFGPRLKDHMLYCINHPEEISKLSKVKAHLTEVKGIMMDNIEKILERGEKIELLVGKTETLQSQADSFHRHGRELRRKMWLQNLRFKLMVGGAVAALILFLWLIICGGFKC >ORGLA08G0188900.1 pep chromosome:AGI1.1:8:19924347:19926585:-1 gene:ORGLA08G0188900 transcript:ORGLA08G0188900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATSEIKKKILASKALYMSHMEAFQNVVLLHKANSNSTLEDISSLSAASCCSLDQLLACVEGEAQKIFGDIQNLLADHRSEVAHFTQELRESFRISLDRTKDMSSFILGLFDKYVEETSKLQSHSNHTHEAQVKSLEDFQKAYEEQSKSEEQKLLADITSLVSKHVTRQRELVGGRLNSLGDAARGNKAFLDEHTSAMEVVTKDAKRKWEMFAEQAENDCKVGSNFSAAKHCRMETILQECACTVDTAAQQWKASHATVNDLCRKQIAEVEALVRSAIETNEQHEAEIASSRATAEEHASNSSKDLLQDVDNMLQEARNSSSRVVSTVEAHLGEIQHLQESHSSHTAGINTHADNAFQSSYKDYEPTGETPVRSEPEVPSKDAIESLRAMPMESLMDEFRENHPYEPSKDRRPSLIPRSPLATINN >ORGLA08G0188800.1 pep chromosome:AGI1.1:8:19923389:19923967:1 gene:ORGLA08G0188800 transcript:ORGLA08G0188800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) hydroxyproline-rich glycoprotein family [Source:Projected from Arabidopsis thaliana (AT3G44380) TAIR;Acc:AT3G44380] MDSSPSPAPASRGRWSWGSALVGAASTAAAAAVLLCRPRDPTFELISISLSTFHFRPPAALDIGLTLTVHATNPNVVPVRYGPSTVSILYGGAHLGTARLDAGEQPPTSCRLLHLPARLDAVELAHHARSILADTARRHMELDAAVKIAGEAAVALWSRRFSVSIDSHIVVDPVFLDVIEQENHSEMQLYLT >ORGLA08G0188700.1 pep chromosome:AGI1.1:8:19919651:19922231:1 gene:ORGLA08G0188700 transcript:ORGLA08G0188700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G03880) TAIR;Acc:AT5G03880] MAATALHLPPLLLARRLRFSSAAASTSTSHRTTRLSAQLDDTAAASTSTSTSDKPAAASSFAPPPDFKPPEPKTFEVKPGQSDDIVTASLAIPFRLGTGVFALGYSVSLVSPDEVAPDEYALDFQGRKVKESSKIGQCPRPEKPIEIYEFEGCPFCRKVREMVAVLDLDVLFYPCPKNGPTFRPKVLEMGGKQQFPYMVDPNTGVAMYESDAIIKYLADKYGDGTVPIMLSLGILTTITAGLAMSGRSGKGSKYTPAKLPPEPIELWAYEGSPFCKIVRETLVELELPHLLHSCARGSPRRQEFLKKYGIFQAPYIEDPNTGVKMFESADIIDYLRATYAA >ORGLA08G0188600.1 pep chromosome:AGI1.1:8:19913598:19915588:-1 gene:ORGLA08G0188600 transcript:ORGLA08G0188600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT2G27480) TAIR;Acc:AT2G27480] MADYNRYGYGGYGSTPSAPPASSYGYTTTPSAPPASSSSSYGYGHGGGGYPSSTYPPPPPSSSQAYPMGMGGFLVFPPGTHPDVERAFRAVDRDGSGSIDERELQDALSSAYHRFSIRTVRLLLFLFNKPASHSPSRMGPAEFVSLWNCLGQWRGIFDRYDRDRSGKIEKDELREALCSLGYAVPPSVLELLIANYNNGVSSRGALDFDNFVECGMIVKVCHFSSICSPPIMIGSCKEAAKNLMTDLFIYLFFGSA >ORGLA08G0188500.1 pep chromosome:AGI1.1:8:19905462:19908745:-1 gene:ORGLA08G0188500 transcript:ORGLA08G0188500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uridine-ribohydrolase 1 [Source:Projected from Arabidopsis thaliana (AT2G36310) TAIR;Acc:AT2G36310] MGSNEQIHRDKLIIDTDPGIDDSMTILMAFRAPTVEIIGLTTIFGNTTTKNATQNALLLCERAGHPEVPVAEGSAEPLKGGEPRVADFVHGSDGLGNLFLPAPTSKKVDENAAEFMVNKVSQFPGEVSILALGPLTNVALAIKRDPSFASKVKKIVVLGGAFFAAGNVSPAAEANIYGDPEAADIVFTSGADVDVVGINITTQVCFTDEDLLELRNSKGKHAQFLCDMCQFYRDWHAESDGFHGIFLHDPVSFTALVHPEYFTFKKGVVRVETQGICTGHTLMDQGLKKWNSENPWSGYKPISVAWTVDVPNVLAFVKELLMAP >ORGLA08G0188400.1 pep chromosome:AGI1.1:8:19898753:19904550:1 gene:ORGLA08G0188400 transcript:ORGLA08G0188400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:I1QKD3] MMASDRLDAERIIEYFKGKSILITGATGFLGKILVEKILRVQPDVKKIYLLVRAIDQASANQRVQSEVTETELFSVVKEKHGKGFSRFIEEKVVALAGDIIYDDLGLDPPLLQHLADNLDVIVNGAATTNFYGRYDVSLDVNVLGVKHLCQLAKKCRGLKVFLHVSTAYAGGEQEGLIQERAFEEGWALREGMHLDVDAELRLVADVRREVEDDDDKARRKAMKELGLARARHFGWSNTYVFTKAMGEMVLSRMLLQSSSPAPAITGAGGVVVVRPSIITSIQRDPVPGWMQGTRTIDTLIIGYAKQNLSCFLGDLDLVMDVIPGDMVVNAMMAAAVAHSGEAGQERPAVYHVSSSLRNPAAYSVLYEAGRRHFTEKPRVGKRGEVIPTKEMHFFKTIASFQVYMLVKYRLPLEILHLVNLLLCGLFSRLYSNLARQYRYVMHLVDVYGPFAFFKGCFDDINLERLRQRMGKSRNPQDDEMFNFDPKTIDWEDYFYRIHIPGVLKYILK >ORGLA08G0188300.1 pep chromosome:AGI1.1:8:19896092:19896220:1 gene:ORGLA08G0188300 transcript:ORGLA08G0188300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIKSPIVGFLLYSVKEINILKEAYPEVTEFKEDAAWQFIY >ORGLA08G0188200.1 pep chromosome:AGI1.1:8:19887237:19891411:1 gene:ORGLA08G0188200 transcript:ORGLA08G0188200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALTSQLNALVNNMFAMGLLDDQFQQLQMLQDSTAPDFVSEVVTLFCDDGERIICELARQLEKPNVDFDRVDSYVHQLKGSSASVGAQKVKNTCIQFREFCQQRSRDGCLKTLDLVRTEFYDLRNKFQAMLQLEQQIQACYPKH >ORGLA08G0188100.1 pep chromosome:AGI1.1:8:19882260:19885119:1 gene:ORGLA08G0188100 transcript:ORGLA08G0188100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKHFTYVILGGGVAAGYAAREFAKQGVKPGELAIISKESVAPYERPALSKGYLFPQNAARLPGFHTCVGSGGERLLPEWYSEKGIELILSTEIVKADLASKTLTSSADATFTYDTLLIATGSSVIKLTDFGVQGAEANDILYLRDIEDADKLVAAMQAKKDGKAVVVGGGYIGLELSAALKTNNFDVTMVYPEPWCMPRLFTSGLAAFYEGYYANKGIHIIKGTVAVGFDADANGDVTAVKLKNGNVLEADIVIVGVGGRPLTHLFKGQVAEEKGGIKTDAFFETSVPGVYAIADVAAFPMKLYNEIRRVEHVDHARKSAEQAVKAIKAKEAGESVPEYDYLPYFYSRSFDLSWQFYGDNVGEDVLFGDNDPTAAKPKFGSYWIKDGKVVGVFLEGGSAEENQVIAKVARAQPPVADVEALKKEGLDFAAKV >ORGLA08G0188000.1 pep chromosome:AGI1.1:8:19875345:19879524:1 gene:ORGLA08G0188000 transcript:ORGLA08G0188000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclophilin71 [Source:Projected from Arabidopsis thaliana (AT3G44600) TAIR;Acc:AT3G44600] MATASDAPASSTITTATDDAEVERDQGNGNGAVSAAPAAVGKEAAAEEEEMIGPAPVPPRPRKKRPLQFEQAFLDALPSAAMYEKSYMHRDVVTHVAVSPADYFITGSADGHLKFWKKKPAGIEFAKHFRSHLSPIEGLAVSVDGLLCCTISSDRSVKIYDVVNYDMMFMMRLPFVPGAIEWVYRQGDVKPKLAVSDCNTPFVHIYDTHSGSNDPIISKEIHAGPVKVMKYNHVHDVVISADAKGLLEYWSPSTLKFPEDAVNFRLKTDTNLFEIAKCKTSVSAIEMSNDGTQFVVTSPDRRIRVFWFKTGKLRRVYDESLEVAQDLQKSDIPMYRLDAIDFGRRMAVEKEIEKTENVPQPNAVFDESSNFLIYATLLGIKIINLHTNKVSRILGKVENNERFLRIALYQGDKGNKKVRKIPSVAANVNDSKEPLSDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLAVSDLGKTATTSLPDNLVMHTSMGDIHLRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKVKTDKNDKPYQDVKILNVTVPKT >ORGLA08G0187900.1 pep chromosome:AGI1.1:8:19871018:19874826:-1 gene:ORGLA08G0187900 transcript:ORGLA08G0187900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein tyrosine phosphatases;protein tyrosine phosphatases [Source:Projected from Arabidopsis thaliana (AT3G44620) TAIR;Acc:AT3G44620] MAGAAAASSAACSSPAPNPTTRYPVRRRVPRPPLVAASRHCTASPFPTTISISSSGLGQARPRDPFLNPRLRFAAAAAAAEGEGEMAAEASAAAAEGAQAKPFSVLFVCLGNICRSPAAEAVFRNLVSKRGLESKFLIDSAGTIGYHEGNKADSRMRSASKKRGIEVTSISRPVKPSDFRDFDLILAMDRQNYEDLLSSFERWRHREPLPDSGPKKVKLMCSYCKRHTESEVPDPYYGGPQGFEKVLDLLEDACESLLDSIVAENASVSA >ORGLA08G0187800.1 pep chromosome:AGI1.1:8:19869830:19870729:1 gene:ORGLA08G0187800 transcript:ORGLA08G0187800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSCFGDSSVGIAAAAAGDSGGGGGALDRSLQAATTTVYGASLHSGKELLIRVTWTRSAAGATGLAVAFDDALSPSSRCAHHVLHKKRGSRSLATAAGTAVGVHWDTAEATYASGSSPEPTGDYYLAVVADAELALLLGEGGAARDLSRRFGDDGGGAVVLSRREQLRGAATAHTTRCRFREGGAEHEVAVHATRGGGGGGEGEVRVSIDGKRVAEVRRVGWGFRGNRAAVLADGEVVDVMWDVHDWWFGRGGGGGGAGAGAQFMVRARAEKEGRLWMADQPPARGGFFLHVQCYRR >ORGLA08G0187700.1 pep chromosome:AGI1.1:8:19866033:19867052:-1 gene:ORGLA08G0187700 transcript:ORGLA08G0187700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQPPNAVAVRATAAGKEPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSLTVLQRAVRSWNAHNHKHPVAGGGVRFCVNFGDIVDGFCPKDRSLAAVQAVVAEFDRFHGPAYHMLGNHCLYNLPRSKLVSLLRMPSGRAYYDFSPFPGYRFVVLDAYDFSAVGWPRGHPVAAAARRFLDERNPNADKNSPSGLAGVDRRFVMFNGAVGEAQLRWLDGVLRGAARRREAAVVCSHLPLHPGAASPSGLMWNYEEVMRVVHRHGCVAACLAGHDHRGGYGVDARGVHHRTLEAALECPPGTDAFGRVDVYPDGLTLVGAGRMGSTDMRLQLHSPVTELS >ORGLA08G0187600.1 pep chromosome:AGI1.1:8:19862386:19863913:-1 gene:ORGLA08G0187600 transcript:ORGLA08G0187600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTSVTSLAMAMAAAASADATQAPHKLSALPFHHLLFSRAPPLLRASRRLPLAPLVASSDAAAAAEAGVEWSETEEADEGSQEEEEEEVVEEQAEAEEEVVASVEEEEEEAVEEEFAAEPPEEAKVYVGNLPYDVDSEGLAQLFEQAGVVEVAEVIYNRETGQSRGFGFVTMSTIEEADKAIEMLNRYDINGRLLNVNRAAPRGSRVERPPRQFAPAFRAYVGNLPWQVDDSRLLQLFSEHGEVVNASVVYDRESGRSRGFGFVSMASKEELDDAISALDGQELDGRPLRVNVAAERPQRGF >ORGLA08G0187500.1 pep chromosome:AGI1.1:8:19859923:19861642:1 gene:ORGLA08G0187500 transcript:ORGLA08G0187500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQHRLWSSGASDKNKAMVEQLQRYGIIKSSKVAQVMETIDRGLFVPPGASPYFDSPMPIGYNATISAPHMHASCLELLEKHLQPGMRALDVGSGTGYLTACFAIMVGPEGRAVGVEHIPELVTSSIENIKKSAAAPQLTDGSLSIHITDGREGWPELAPYDAIHVGAAAPQIPQALIEQLKPGGRMVIPVGTMFQELKVVDKNQDGKVSIRDETAVRYVPLTSKDAQLQAN >ORGLA08G0187400.1 pep chromosome:AGI1.1:8:19856773:19857930:1 gene:ORGLA08G0187400 transcript:ORGLA08G0187400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVVVVAAAAVAVAVVVLLGAAAPAASAMEFGDKDVASEEALWELYERWRGQHRVARDLGEKARRFNVFKDNVRLIHEFNRRDEPYKLRLNRFGDMTADEFRRAYASSRVSHHRMFRGRGERRSGFMYAGARDLPAAVDWREKGAVGAVKDQGQCGSCWAFSTIAAVEGINAIRTSNLTALSEQQLVDCDTKTGNAGCDGGLMDNAFQYIAKHGGVAASSAYPYRARQSSCKSSAASSPAVTIDGYEDVPANSESALKKAVANQPVSVAIEAGGSHFQFYSEGVFAGKCGTELDHGVAAVGYGTTVDGTKYWIVRNSWGADWGEKGYIRMKRDVSAKEGLCGIAMEASYPIKTSPNPAPKKIKKVTDDDEDDDDEEHRHHDEL >ORGLA08G0187300.1 pep chromosome:AGI1.1:8:19841285:19849973:-1 gene:ORGLA08G0187300 transcript:ORGLA08G0187300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGSWDAIDWNQIKEPRPPRSSSRGMEDFLLEDEEVYAQGHGVVLLNTDEAGILSVTNFRLLFVSQATKGVIELGTIPLTAIEKINDDVKLQPLPRQHDKKQPRELLQVIGKDMRVIVFDFLPKTKQKNEVFDALRRYSKPTHLWDLYAFSCDPSTVYQKSDPKMRLLKEYHRLFRKWFPHSGSEFEKDLRNEWWRVSKVNSTYSLCSTYPSALIVPRSISDEDLLQASSFRSGRRLPVISWCDPGSGAVLARSSQPLVGLMMNFRNNTDEKLVSALCTQIIDATGSLRKLYIVDARPRANALANGAKGGGSESASNYPRSEVLFLGIQNIHTMRDSLFRLRDYVDTHGSVSSNGTSSAVSLVGDRRNRGSTWGGGNLNSMTQFSSMLGEWLNHIQSIMVGASWIAAQIVQESASVLVHCSDGWDRTTQLVALACLLLDPYYRTFNGFQALVEKDWLAFGHPFAERMGVPTITDNNSGSQFELLRQPSLGTLSNSPNRGALGSSVSTSNTTSGQSQTSNNSSPILLQWLDCISQLLRLYPSAFEFSSKFLVDFMDCVLSCRFGNFLCNSEREREQSGAVSSCHCMWTYLADLRASGGSFHKHRNPFYDPLKHNGPLVPPAAALAPTLWPQFYLRWTCPIESQGGDLESQWHAMNKKYTEAMKAKDTAESRVKDIKTKMESMQLELQREKRASSSALAMAQRAQRESVAIRKAVRSLGCTVNFGTNESQVEKTEGLTYSFRRDTDFESQHEKSSDFSVSITAIEDSLVSETPSNHICESLCPFRTREGCRWPDAACAQLGSQFVGLKANFDAFDRLSVQDSYFGSE >ORGLA08G0187200.1 pep chromosome:AGI1.1:8:19838729:19840011:1 gene:ORGLA08G0187200 transcript:ORGLA08G0187200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGINGNKGRCYDFWLDFSECMSRCRQPTDCGLLREDYLECLHHSKEFQRRNRIYKEEQRKLRAAIRRREEAAKEKAEGAPAVSAQH >ORGLA08G0187100.1 pep chromosome:AGI1.1:8:19830905:19837615:1 gene:ORGLA08G0187100 transcript:ORGLA08G0187100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxisome 1 [Source:Projected from Arabidopsis thaliana (AT5G08470) TAIR;Acc:AT5G08470] MEVEVRVVGGARSCFAALPLHLIHALSRTSVSGDLPPVLALHLRAAATDTAARWSLAWSGAASRSSAIEVAQELAECISLPDGTVAQLSVARSLAKADSVCIEPFSEDDWEILESRADLAEETILTQVGVVYEGMKFPLWLDSHNIVKFVVTSSSPKKSLVQLVPGTEVAVAPKKRRENSSQDVQKQSALKEEAQTKALLRVQAADRKYVHKFKYKGVELGVILSYAVLVHPDTAARASISNLQLVTVSSKSSPKRLAQKGKEVTQKKGILLPKERVREVVVYILFSDSVSKGHVMLPHSIRHYISADIHSWVYVKTFSANVKNDQPILTISPLHFKMQEKVAHDGSELGSQEANIWRKTSIPSENRDPFQEAHFGNNDDVLSADVDNTSESILEHKILIKQWLVGQLKDMGLHVGNSEISPVVLPTKVLLHFEAVDQKHNRGKEFLYLLKVGFENSSYSNSQGNAELSWSIQTDDLENLELKFGRLELGEPESFDSVLDDGFSNGFKLTRSSLGWMENAMSDVTKRLSVLLSSTSLRLFDQLKLPFPGHVLVLGPRGSGKTALVRAAAKYFEDHKEILAHVIYIDCSKLTVGKAKETKQTIEDSISEALLHAPSVILFDDMDNVVSVSSDPQAPQSSSSSDSIVRYLADIMDEYKDKARNACGYGPIALMASAQSLQSLPQDLTSSGRFDFHVELPVLAIPEREALLKHHVEEHELQCSKEVLSEIASKCDGYDAYDLDILVDRAVHAAASRFVLPSSASVNCAEPTLVKEDFLKAIHDFLPVAMRDLSKYAPADGEGGWEDVGGLNEAVTIIKETLELPSKYPNIFTKAPVRLRSNILLYGPSGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAAAAAPCLLFFDEFESIAPQRGTQSAGVSDRVVNQFLTELDGVESLTGVFVFAATSKPQSIDAALLRPGRFDRLILCDFPGWHERLEILKVHSREVSLASDASLEEVASLTEGFTGADLAAILRDAKLAAVHKVLEDRNNGISDTQPCISKELLISTAREARPSTSAEQKMQYDMDFGQFVSSRKSVSTKARESKGKKVTLA >ORGLA08G0187000.1 pep chromosome:AGI1.1:8:19825106:19829818:1 gene:ORGLA08G0187000 transcript:ORGLA08G0187000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QKB9] MVRKNGWQLPAHTFQIIAITVFFLLVVAFYAFFAPFLGKQVLEYIAFGIYTPVAFVVFILYIRCTSINPADPGIMSKFQNGFRNAPTSGTGLQDTNLPGRGDVATGTNSPTSTFKSSLDGRSNHAGLAAGDGNNLSSQPPRSSSCSLVGGLICALFVEEDCRTLVDSEHQADGEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFLALMITSLLWLAIEIGVGIAVLVVCFVNKNSESIIQDKLANGLTRPPFATIVAIFTLLSIIACIPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPQEEEEEDGVNIVYSPTNSATTGFSGGSSLGLPYKGSWCTPPRIFVDQDEVIPHLEAGMVPSTIDPDMAGNAERANRAKKQVKISAWKLAKLDTNEAMKAAARARASSSVLRPVDARHRGGHDAGDLSSSGNGSVRSSVSAGAKEQRRRASSLPSSCAQSVASQDEYEQSGSSVMSSPVRLHKLAPPPLPAAHNVPPRPPPPVNAAPEAAIPRPPPPVPPATRISNPMFQSATSYVRENRRASVVWDQEAGRYVSVAPAPATARPGGGGGGAEQPAARAPPFLANPGGEREPLSAARSRNNPAAPAPTNAAPSSSSTLPSERLTYSGQSIFFGGPLLGGAAAAGEPRRDEAAGTRARGGESFPVFAPGTFQKKPPPFRR >ORGLA08G0186900.1 pep chromosome:AGI1.1:8:19817305:19820660:-1 gene:ORGLA08G0186900 transcript:ORGLA08G0186900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQVDEVEGGERRRRRPLAEYAAELEAVSLSVRAAPPLRLKALVRACHHALALFDLLGVEAGFWKTEYAERLVALERAAEAMDSAEELVDRDVAAGRVRATGTHSNNLVRVKRGIELKRALFQLMLAQLQQQRPGGGGVSFDGVVSMAYAAVFARYHDKNVQSTVADSICAIPVKSISDFFATINETDESAAAEMQKYIDAANGIISYIDELFASRGVSADF >ORGLA08G0186800.1 pep chromosome:AGI1.1:8:19815665:19816501:1 gene:ORGLA08G0186800 transcript:ORGLA08G0186800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7,8-dihydroneopterin aldolase [Source:UniProtKB/TrEMBL;Acc:I1QKB7] MAGKKEEEEAVVYRGDKLILRGLRFHGTHGVKPEEKKLGQKFVIDVDAWMDLAAAGDSDDISDTVSYTEIYRIAKNVVEGPSHNLLESVAQSIANSTLLKFPQISAVRVKVEKPHVAVQGVLDYLGVEIFRQRKA >ORGLA08G0186700.1 pep chromosome:AGI1.1:8:19808095:19812582:-1 gene:ORGLA08G0186700 transcript:ORGLA08G0186700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAHGGSDRPGSMDSQEPRVDAEQKPVLSDNPEEQTIPSKDEKVLEPTISHDSNGINLPSEGQAQAGTSNIGGGHNAAYPQTMYSSQAQPFYYQGPGYDNPSNEWDGYPPYVSVEGLEAGPAVVYNDDPQLMYHGGYGYDPYAHYSPISTPVPAAVSGDGQLYSPQQFSFSAPYYQQSVPPGMPYLSSPTPISQGEAMVPIDPTQGAFIAETLSPNSFLFGPRPEWFRSSEGNGAFPSPAASPQPAGGVSGPFGQSNFPMASGMQSPQHRPFYGFGTPSDSYGRVFSHGGYFPQATNYGGPFPSFGLNGRSSIPMEKGRRRGRGNALLCSCNGSLDFLNEQSRGPRATRPKKQPEDGGKDEKPSAGVDCELYNRPDFVTEYKNARFFIIKSYSEDNVHKSIKYGVWASTTNGNKKLDSAYREAKEKEEHCPIFLLFSVNASAQFCGVAEMIGPVDFEKSVDYWQQDKWTGQFPVKWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGMEMLKIFKDHEEDASILDDFDFYEERERALLENKARLHQQHQLPSSTVVEPKKPLTVATDLVGHITKSFAQAVRLGEAKNVSPNSADKGASGDSSVAAKPFEVKEAGLA >ORGLA08G0186600.1 pep chromosome:AGI1.1:8:19801293:19801775:-1 gene:ORGLA08G0186600 transcript:ORGLA08G0186600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIIGARSTTTTTTTAAAGDVNLDLRLVHSTAAGRQHTAATRNPAPPLAAAGDDRAFSCTYCRRRFYSSQALGGHQNAHKLERSLAKRSRELSAGVTTAAAAPPPHPELGWPYPPQGGGGAAAAVVSWIADGGRRYGYRVHAAAAGGDAEDIDLSLKL >ORGLA08G0186500.1 pep chromosome:AGI1.1:8:19793816:19797162:1 gene:ORGLA08G0186500 transcript:ORGLA08G0186500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multipolar spindle 1 [Source:Projected from Arabidopsis thaliana (AT5G57880) TAIR;Acc:AT5G57880] MAPPASRPPTPTPTPTANAAASSSRIESPSLRAALAMALIHYNRLPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLPPPTDGDAGEHWVDDVLRRRFVRLEYNTEDEVQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEIINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAISQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLISDSFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVLSKLLY >ORGLA08G0186400.1 pep chromosome:AGI1.1:8:19780357:19785559:-1 gene:ORGLA08G0186400 transcript:ORGLA08G0186400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDGGAVKGETTVPRRKVALGWRGAAKAEGADEPRQSEQGEDAGGAVKKERAPAAPRRRGLCGEDTCGTAMRFLWRELLAARSESAQHKQAMAESDKNGEDKCEMRDTVDKKKQEWKLLMEDPKLTWEEKVVEVLHIVRCRGFTEYNHKLLRSLPTRFHTHNIAFFDLDKESKLGRGPPVKKALASSEYWRMMDSVNVIAIKVTESDVSYPISIFGTVLARDVYDYRCVYLFRRGRDDAQIITSPEDTLLLTGPNRALAASDNIYFEFHLKIKGDEGVDKDFSKGLLEHSTICYTKQPMTLSLESLLSTIEFVYTPVPCAVEASVAVSIKGLVSSKFSGKVTAWTSGDDENKIILYDSEVKGTNRALGPGGSIDLTRRFVAVKLDDTLVLNVSVSEGDHHEEAELFELVVGHDDDEEECIRQQGPYELQVKVVWTAGLEESWRRSSRSLPAMLV >ORGLA08G0186300.1 pep chromosome:AGI1.1:8:19777119:19779828:1 gene:ORGLA08G0186300 transcript:ORGLA08G0186300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:I1QKB2] MQKAVSSVAFAVAAVRRPTRRCHLPALPGDEVLVKVNELMSIEIQITYSYYSLPFCRPDNLTESAPTLWQLLHGDRQQRSPYQFEMRVPKKCQIVCRVLVGEKEAKELMEKMEDEYRVNIVKHQYEAQWNGANSRLSTCDANANRFILSSDSPPEIEVGEEIIFTYDVNFEESDIKWASRWDAYLSMTDDQARWFSIVNSPVTLIGLSVAMAMTMLRSLHRDIFRYSKLETQNEAQVETGWKLVHGDVFRPPSNPVLLCAYAGSGVQLFGLRNVVLLTWVLMGMLAGYTSSRLYKMFKSGSEWKHITMATAIQFPGFTFVIFAILNTLLQDENSSATVPPTTMCALVLLXSGIAPPLVFLGGYLGYKRPAIEPPVEINKTPRKIPKQAWYISPVFSILIGSIFPFTIVFIELFFGLIFIWYHQFYRGFGFLLITLVLLLVACAEISVAFCYYQLRSGNYKWWWRSFLTPGCSAVYLFLYATFFFFAKLSIVKPVSVMFYFGYMLVVSYAFFLLTGTIGFFSCFFFTRFIYSQRAFG >ORGLA08G0186200.1 pep chromosome:AGI1.1:8:19774756:19776596:1 gene:ORGLA08G0186200 transcript:ORGLA08G0186200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:I1QKB1] QKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCDPHANKIVINSYTPQEVEAGKDIIFTYDVGFEXXXXXXXXXXXXXXXXXXXXXXIPEQAGYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFSFFVLTGTIGFCACLWFTRLIYSSVKID >ORGLA08G0186100.1 pep chromosome:AGI1.1:8:19754030:19757469:1 gene:ORGLA08G0186100 transcript:ORGLA08G0186100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:I1QKB0] MRPPAMLRWPAAAAALALLLLLIAAAPPTAAFYLPGVAPNDFQKQKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARTDRDALVFQGGYHVGVKGQYAGSKDEKYFIHNHLAFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCDPHANKIVINSDTPQEVEAGKDIIFTYDVGFEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFSFFVLTGTIGFCACLWFTRLIYSSVKIE >ORGLA08G0186000.1 pep chromosome:AGI1.1:8:19739550:19740275:1 gene:ORGLA08G0186000 transcript:ORGLA08G0186000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARETLRKSASSSSSSPYAAEAHARSTDDGPVASASCDARLERCCREVGAAVAVVEEPERVVSGGGALPEFVGEGGGEGIYRVPLRAAMHPGRPPPLEVRPHPLRETQVGSFLRALACEPRRRQLWAGSESGVRVWGLDDVFAAAGCGARRGDEESAPFRESVPVPPVLCVEADAANALVWTGHKDGRIMSWRMDLAAGGDDDDAPLFREALTWQAHSRTPVLSMVITSYGEKLDYLL >ORGLA08G0185900.1 pep chromosome:AGI1.1:8:19732019:19733068:-1 gene:ORGLA08G0185900 transcript:ORGLA08G0185900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGGFIELKLRYEDLAAGDFVRSDDIYAGGHTWRVLCYPRGGGAMNSNGQRIGGEYLSIKLDLVTRSTNVRAIFAAFLVHLDGHPSPVHAKSFVAVYPLGAGGRGGGKAAAGWMYFASRSELEKKFVSGDGWVTVVCGVLIPSDSPQALSPPPPPPPSSGGGGGHIGRLLYGAADDTADVALVVGGETFRAHRAVLAARSPVFKAALFGSMAEATAPSVALRDMDPAAFRAVLHFIYTDALPDDIDELAGFSPVDMFQHLLAAAERYELGGLKLLSTKKLLDNVTPENVAGIIVCAETYGCPELKKKCLDYLAREDEHFRKAATTQGYLRLLQDFPSLMDEIRAVIER >ORGLA08G0185800.1 pep chromosome:AGI1.1:8:19720750:19722806:1 gene:ORGLA08G0185800 transcript:ORGLA08G0185800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISPNRLQISSTAAASSLACKLQSKKKKRKTKRRMDGGGGNWRPTQGADPAAAGGIDLSAPAPAPAGGDWRSQLQSEGRTRIVNKIVETLKKHLPVSGPEGLNELQKLAVRFEERIYTGATSQSDYLRKLSLKMLSMETKTQQSPGNAQVIQNQNPPGSGII >ORGLA08G0185700.1 pep chromosome:AGI1.1:8:19716231:19717316:-1 gene:ORGLA08G0185700 transcript:ORGLA08G0185700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASGFVELRLDYSATNASAIGDPINSDLFTAGGLTWRVNCYPRGDKADNNGDYISLYLELISKSKNIKAIFDAFMVDEHGNPSDGSNRLVQVYPPAGYPAWGWPRFVKRSNLSSVFVVDGKVRIMCVVVVLRDDDGDGDGNRVPLPSPGVTGGHLDGGLLPLPPPNIGVHLGGLLDSEDGADVTFVVVGGGGERFAAHRAVLAARSPVFRTELFGCKSESTSPSSSCITLQGIEPAIFRALLRFIYTDELPADAGKLHQGSSSTNVFFKHLLAMADRYALDRLKIMCGQRLLDNMTPDSVAAILVCAEMYNCPELKNKCIDFFAVEENFRKAVFTDGFALLMQKFPVIVAELKKRVEKL >ORGLA08G0185600.1 pep chromosome:AGI1.1:8:19711149:19712135:-1 gene:ORGLA08G0185600 transcript:ORGLA08G0185600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGFIEYKFDYQQIHKLAIGERLPATTISTGEHNAKIMCYPHGFGDGNGEYISLFFVMLKQIDPKIKVIFEAFLIGKDGTPSSFHAKRTMQCXASQDGYDWFGWHRFVMRSDLESLDGMVTFICGLVVLRNDDDGDDHVAVPPSNLGSQLAAMVGSAVGSDVSFSVGGETFHAHRAVLAARSPVFRAELLGSMAEATMPCVTLRDIEPATFRALLHFVYTDVLQIIEGTSGHLLQHQRLLAAADRYALDRLKLMCAQKLWESVSVETVISTLCCAEMHNCPELKNRCIDLVVAKDNFMEVAVTKDYFHLGQSFQSVIEEIKPRLKK >ORGLA08G0185500.1 pep chromosome:AGI1.1:8:19688549:19692401:1 gene:ORGLA08G0185500 transcript:ORGLA08G0185500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETGYYDVLGVSPTATESEIKKAYYMKARQVHPDKNPNDPKAAENFQALGEAYQVLSDPTQRQAYDAHGKSGISTEGIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDNFGEDEHIDTRRLHERMQAVQKEREEKLAETLKNRLHIYVQGNKEEFVQLAQAEVTRLSNAAYGTVMLNTIGYVYSRQAAKELGKKAIFLGVPFVAEWFRDKGHFIKSQVTAAAGAIALMQLQEDLKKYLSAEGHYTEEELEMFMQNHKKVMVDSLWKLNVADIEATLSRVCQMVLQDGSVRREELRARAKGLKTLGKIFQRVKLNNDEGEASDMRNIDNMDDNDGSSPDTSPRREPPYNPIPNPPHAQSPYVEAPQFGGTYYPFNFPMPTAPPGAQRDPIP >ORGLA08G0185400.1 pep chromosome:AGI1.1:8:19687480:19687731:1 gene:ORGLA08G0185400 transcript:ORGLA08G0185400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHACAKFPHVSLKLQQVLQRSSSAFVVPPAFELEIKFGGWLPCEGFCFLPFHALHLIEKETLSCTEKREEERELEKEEESLN >ORGLA08G0185300.1 pep chromosome:AGI1.1:8:19684544:19686160:-1 gene:ORGLA08G0185300 transcript:ORGLA08G0185300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSRAAAAAASLPLLLLVALLVAAEGRRHKDGGGDEEAKACDKGWECSGSRFCCNDTITDYFKAYQFEELFAHRNDRSLAHAAGFWDYHAFITAAALFEPRGFGTTGGKEVGMKEVAAFLGHVGAKTSCGYSVATGGPLAWGLCYNHELSPSQSYCDNSNELYPCVEGVEYYGRGALPVYWNYNYGIIGQGIKQDLLNHPELLEQNATLAFEAAIWRWMTPMKRKQPSAHDVFVGNWKPTKNDTLSKRYPGFGATMNILYGDLICGQGSIDKMNVIVSHYQHYLDLMGVGSDKAGDNLDCADQVAFNPSSKNLDS >ORGLA08G0185200.1 pep chromosome:AGI1.1:8:19680983:19683683:-1 gene:ORGLA08G0185200 transcript:ORGLA08G0185200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) TAIR;Acc:AT4G32320] MELTNVPHIAASSRFFSSCSRCTCRIRSGKTRIGASAAGRRCSSDDSACSEPSEQQNADFFSGPISSLCYTRRDFASVALLPFILPHVHIASAAEPIDGSIIQNGVRNVLSKVKAAGMLRLAFHDAGTFDIADKSGGMNGSIIYEVDRPENTGLNKSIKVLGKAKEVIDLVQQVSWADLIAVAGAESVALCGGPEIPVRLGRLDSSTADPAGKLPEETLDATALKTLFSKKGFSTQEMVVLSGAHTIGGKGFGNPNIFDNSYFKVLLEKPQPSSSGMPAMVGLRTDWALTEDDECLRWINLYAQDQAKFFADFKDAYIKLVNTGASWRSA >ORGLA08G0185100.1 pep chromosome:AGI1.1:8:19679784:19680014:1 gene:ORGLA08G0185100 transcript:ORGLA08G0185100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFVCFFAGLCIGISIQANDEEQQRRLRLVELERRGEEREKQVAEMVSREEDRERERKKNLDKLDQIVQILRNL >ORGLA08G0185000.1 pep chromosome:AGI1.1:8:19662540:19664059:-1 gene:ORGLA08G0185000 transcript:ORGLA08G0185000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1997) [Source:Projected from Arabidopsis thaliana (AT4G31115) TAIR;Acc:AT4G31115] MRLVAAKPCCLFRISSVAAVAAGRRRPWRAAAASGNAHAEAEGDEDGQSLSARARGRRARLSARRRERIVVVEGGGVGGIGEFLRQPAGVESLLNTRALQSFAAVDDAPGANTFRCTLQSIGFLGFQVAPVLDLRVAPTCHDCTVEMLSCRFEGSGSVEQQNELFSAFMSNHITWKDDGEEPCLDIDVNLEVTLEVYTKPFSMLPLSAVETPGNLLMQGLLDRLVPLLGEQLLRDYHSWVQLQQQQPEISSLETAEIDAS >ORGLA08G0184900.1 pep chromosome:AGI1.1:8:19659727:19660296:1 gene:ORGLA08G0184900 transcript:ORGLA08G0184900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPAAHAPKNLGLRGVRRRLWGRWAAEIRVPRGHRAAARLWIGTFPSPAAAALAYDAALYCFHGGAPPGNRAFNFPHAPRLRIDDRRRHALTPGHVRAIAERYAHDVGSVLFRPLPPPPPPVAAAAVPVFAAPAPPMAPAPANHAADPYYCNEPDTTTDEDVMAAADRLLSMDIEEVAALIAIVQQGE >ORGLA08G0184800.1 pep chromosome:AGI1.1:8:19651716:19656035:1 gene:ORGLA08G0184800 transcript:ORGLA08G0184800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G67325) TAIR;Acc:AT1G67325] VCLQVDNRSQSAGKRARTDGGRREDDWVCPSCQNVNFAFRTTCNMRNCNQSRPTDYTKDMQKPMQTPPPHFPMSGGYMSPGTPPSMYLGGGAPPYGTSLYGGPALPRYGIAQFPGGSGYPYGYGGRLPMGSPYGPPMHMAGPPYSAGSMMGPGGMYGMPMDRYSLGLPAGPGPMGARAGSYSEEGSQKKPAGAGRDNDWKCPNCNNINFAFRTVCNMRKCNTPRPENQGSKPDGARGPKPKMPEGSWKCEKCNNINYPFRTKCNRPSCEAEKPFQTNNANDSSADQDNQLLSCNIVKLLSKLQLSHDFQDNNEQTKVDPPGGPAGVPTSSHALRMAALSELQNSVKS >ORGLA08G0184700.1 pep chromosome:AGI1.1:8:19648896:19650389:-1 gene:ORGLA08G0184700 transcript:ORGLA08G0184700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSEEEETSSMSPWSSSLLSAAASSQPLLLNPAYARSKSVIHDELRSFRVFLQWCALDHSSRAARAASYAAFLALALAVPAAVSLSLRADAGASPVSASAITFNRVAQAPATGLAAISFAALASFFRRGGGLRQLLFLDGGLRDDTAFVRRGYARELDRAFRLLAALLLPSLCVEAAHKAVFFFATVRVEPPLPLPGVGVPWRAVALVATVASWVYRTGVFLLVCVLFRLTCELQILRFEGIYHMFDVEARAAAAEIFAEHRRIRTQLLATSHRYRAFILSCLVTITVSQLGALVVALSSKDGKSFANSGDLLVGSSVQLSGFFMCLFGAARITHRAQRIVSIASQWHMSMEAVAHHAKSAPLPAAPSSSASDVDAPHQPELGTTTTAACAYRSRHALVTYLCHNSGGITLFGFTLDRGLLHTIFAFEMTLVLWILSKVLS >ORGLA08G0184600.1 pep chromosome:AGI1.1:8:19645398:19648107:-1 gene:ORGLA08G0184600 transcript:ORGLA08G0184600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPCLLRVLAVSSCLCLLADAARLLAAAPAEEADALLKLKAGIVDGGGALDTWAAGTSPCDGGTSAWAGVVCSKGSVLGLQLEKEGLSGELDLAPLKSLTGLRTLSFMDNEFAGAMPDVKGLGGLRAIFLSGNKFSGEIPADAFAGMGWLKKVSLSRNGFTGAIPASLAAVPRLLDLQLNDNKFTGKIPDFPQKDLKVFDVSNNELEGEIPASLKSIDPQMFEGNKKLCGAPVDAKCEAPSPPATTSPPAATSGKIGTSPSPPAAAETTTTGTVPAEEGTQGATKPTKGSTSFGVLAAFLGTLAIIGFAVVALQRRREYNTQNFGPAASTKPTLPSAPASPATKPTHAAAAATAAAATTGGGGARSSSVSGSTARGGGGKAGEQGRLTFVRDDDRGRFFELQDLLKASAEVLGAANLGVCYRAKLTGGHSVVVKRFKEMNRVGKEDFEEHMRRLGRLSHPNLLPLISYYYRKEEKLLIHDYVPNKSLAHLLHGEGRRVKKLVHWPARLKLVKGVARALQYLYDELPMLTVPHGHLKSSNILLNDRFEPLLTDYSLVPVMNQSHSAQLMVAFKSPERRQFGRSSKKSDVWCLGILILEILTGRPPSYDPPPQPEAATANGDLVGAVASTPEGEWLEKVVDADMIRKGEDEESKGEMVKLIKIGMACCEAAVDSRWELKTAVESIEELKGGKEEDANDEHSFYSSIDGDEFASVAIN >ORGLA08G0184500.1 pep chromosome:AGI1.1:8:19644215:19644652:-1 gene:ORGLA08G0184500 transcript:ORGLA08G0184500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSPLPPPQDAIANLVAALATAYAATAIGKTDDLPATLTQAQAAQDAITVSDNTITTTPSPSTVVVQPGVRDVVLATTAPTPRAQDTVPTNMTPALPPPQRSKRQDACVCCPRHEKLLYHASVLREKRNGSTYAQLVRANFN >ORGLA08G0184400.1 pep chromosome:AGI1.1:8:19640011:19640849:1 gene:ORGLA08G0184400 transcript:ORGLA08G0184400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKDGYLDLVLIPAGIVFPIVYHVWLWHVVRRRPLSSTVGINAATRRLWVLGMMKDNEKKAVLVVQSMRNVIMGSTLMATTAILFCTGVAAILSSTYTVKKPLSDAVFGAHGEYMMALKYVTLLLAFLLSFLSHTTAICTLNQATFLLNTLPSSSSFAADIAGLPVTKDYVADVLERGFLLNLVGNRLFYAGVPLLLWIFGPVLACLCSVVMIPILHSIDVVYVDGSSKGEANARVEMVYESDESVMQV >ORGLA08G0184300.1 pep chromosome:AGI1.1:8:19624422:19631228:-1 gene:ORGLA08G0184300 transcript:ORGLA08G0184300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isoamylase 1 [Source:Projected from Arabidopsis thaliana (AT2G39930) TAIR;Acc:AT2G39930] GEPPALPLRAPARRRGGPGAAWAGAARRARRRNAAVSAGNAGRRVGLRRSVASAVEVRVGEDEEGVEEEEEEEVEAVVMPERYALGGACRVLAGMPAPLGATALDGGVNFAVYSAGASAASLCLFTPDDLEAGEVTEEVPLDPLFNRTGNVWHVFIEGELHNMLYGYRFDGMFAPHCGQYFDVSNVVVDPYAKAVISRGEYGVPGPGGDCWPQMAGLIPLPYSTFDWQGDLPLRYPQKDLVIYEMHLRGFTKHSSSNVEHPGTYIGAISKLDYLKELGVNCVELMPCHEFNELEYFSCSSKMNFWGYSTINFFSPMIRYSSGGIRNCGRDAINEFKTFVREAHKRGIEVIMDVVFNHTAEGNEKGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRGCSLWDPVNVYGSPVEGDMTTTGTPLATPPLIDMISNDPILGDVKLIAEAWDAGGLYQVGQFPHWKIWSEWNGKYRDIVRQFIKGTDGFAGGFAECLCGSPHLYQAGGRKPWHSINFVCAHDGFTLADLVTYNKKYNSSNGEDNRDGENHNLSWNCGEEGEFAGLSVKRLRKRQMRNFFVSLMVSQGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEESSDLQRFCSLMTKFRKQCESLGLADFPTAQRLHWHGHQPGKPDWSETSRFVAFSMKDETKGEIYVAFNASHLPAVVGLPERPGYRWEPLVDTGKPAPYDFLTDDLPDRAHAVHLFSHFLNSNLYPMLSYSSIILELQPDD >ORGLA08G0184200.1 pep chromosome:AGI1.1:8:19621943:19622425:1 gene:ORGLA08G0184200 transcript:ORGLA08G0184200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAQWCGAAGLDRWVGRWRCTLSPAALPVPSRRTRRKGGVVGCVSVPREVAAAAAVEPAAPPEAEAETEVSYLACSLSSPALCRSSVWCNRAILTPTGRAHGSARQQWCPVVVLSRKSNRLPFVTVLTLTSLRRPIYHRRFRSLGARLDPGQGTP >ORGLA08G0184100.1 pep chromosome:AGI1.1:8:19620689:19621687:-1 gene:ORGLA08G0184100 transcript:ORGLA08G0184100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80290) TAIR;Acc:AT1G80290] MASHRRLRLLLLAATLAAAAAAVSGVEEEEAFCGVESMPDAATLRPDRLTVLLSGYSERRLPLLRAIAGAYAAHPLVLAVVVLWSNPSTPDSLLSSFPPAVTLHRTASASLNSRFLPHPSIRTAAVAVADDDVLPDAAALSFAFAAWQQRARPGTLVGFFPRSHHLDLARGRWAYGAAARQQARYSMVLTKLLVLGAGLLREYSCSPELAAARAVVDRERNCEDILMNFVAAEESGEGPVLVEAGSIRDWGDPRNDDADAGAGAGGEEMKAVGLSSTGGAAHWEKRGDCITEFHRLLGRMPLRYSYGKVVAAAGGEQALCSKRGRLVRCDQE >ORGLA08G0184000.1 pep chromosome:AGI1.1:8:19618981:19619676:-1 gene:ORGLA08G0184000 transcript:ORGLA08G0184000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVESPGGGVTQKRKGGEEPELFICPGDVDGGLPLACRATKMRRLVRDDDAAAADDVAMGEAPSAAEVAAVGEGAMVVYEPVDSVGGVGLLGQLRRRLRPWASLRAGAEWIRDMLREADSRTVRRLLSGAEEEEEDGAGMALVPWGSAPAAAGDSMAEDTVGVSSSSSEEEEDGEGSAEAMEVEEEGAHLAGAGAAAGCGEGYLFRRWPQHCMPPLPAIGQASPVMWSW >ORGLA08G0183900.1 pep chromosome:AGI1.1:8:19604302:19610558:-1 gene:ORGLA08G0183900 transcript:ORGLA08G0183900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1QK88] MASSGGGGGGGEEGEGRGATKVNQELWYACAGPLVSLPPQGSLIVYFPQGHSEQVAASMRKDADAQIPSYPNLPSKLICILHSVTMLADPDTDEVYARMTLQPVSNVTQCDKETLLASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPRLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLLAGDSVLFIRDAKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSQFTIYYNPRASASEFVIPFAKYQKAVYGNQLSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKTSHWRNIQVAWDEAAPTERRTRVSLWEIEPIIAPFFIYPSPLFTAKRPRLPGMTDDETEMDGLLKRAMPWVGEEICKKDLNIQNSVVPGLNLAQWMNMQHSSSLPGTVVQPELLNSLSGKPVQNLAAADLSRQISFHTQFLQQNNIQFNTALVPQQNQQTEQLAKVIPTPNQLGSVIIPQKVVQDCNSEQRQHVVTQPVQGSQPNINIPQPQLVVQAQLQQPQVILQAQLQQPQVVVQAQLQQTQPSVQSHTVLQGGLQQIQLLQQQQPHVQHQQIPQQLHHQQQQTQQLQPVQQVQQSVQEHQQIKIQPVHVSMDASMNTQVADHQMKLQLLKALQPQQPLISEQQKMLLDLQQQVINSQSAPQQCVQVTNQAISLHNSNTIQYPTQQKVQSHQVQDLTGNVIPNSKSDIATSMGASSLHVAGGRQLLKTDDVPSTSTSPSTNSNPVLLQSIPSSSKNQSLTTAGKTSQSSVVLGPTIEQDTKPYQNVKQTVMIPKTTEQRPATGQDCINNNPQMDYLDTSSSATSVCLSQADGSLQQNFPPSSFHQHHLLKDTVPDSEFEVTDPRNNLLFGVNIDGQLGLPLNADLLANDIGTDKYMDQLPGNGISNFISSKDSQQELSSSMISHSFGVADMAFNSIDSAINDTPFLNRNSRSAAGPAHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKHDVARMFGIEGQLGDQNRVGWKLVYEDHEKDVLLVGDDPWEDFVKCVRCIRILSPQEEMQMRLVGDFGDSFLPNQACSSSDGGHPWRITGD >ORGLA08G0183800.1 pep chromosome:AGI1.1:8:19601609:19603009:-1 gene:ORGLA08G0183800 transcript:ORGLA08G0183800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04610) TAIR;Acc:AT5G04610] MAVSSELEGLQDVEPSRFIAFSFPNPLLLLDHASDPYAHGGHEFLRVAVLDHSSPHPSPRTAAMLVPAGRHRDWIFSTRAGHLHLLLASRSQCSHLSRLILVGPELSAPSPSRVVVVAAAARPDPDPAHARLLPLLLALCPRDAFGGDAIPDVPLLSFHDDLLRLAPVKVVAGPVVGEMVVEDVAVDCAPRPAELRRRLRFKRMPFLVQTQVRLVRQLSPGDSLMLDALDEVGGGSLQPEVGGELVQPYLQAMAAGLAVIAPSMDESFRLGGKPRCLCAGIGGGALLMSIRMGLQCDVLGIEADGVVLDVARSHFGLVEDEFLQVRVGDAIQMIQDFAHQGDPDMNFSAIMVDLDSSDAICGVSAPPLEMTKESILLASRTILHRDGAFILNVIPPAADGSFYKGLIDVLRHVFSELYEINVSNGENFVLVATVSPVETILADSSGSVLTKLRKLAGDFLEHITRI >ORGLA08G0183700.1 pep chromosome:AGI1.1:8:19592376:19597632:-1 gene:ORGLA08G0183700 transcript:ORGLA08G0183700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAGGNHQQQQQQQQRLRQQQQQQALLMQQALQQQQQYQSGVLAAAAAAAMTQMEPISNGNLPPGFDPSTCRSVYVGNVHPNVTESLLIEVFQSSGLVERCKLIRKEKSSFGFVDYYDRRSAALAIMTLHGRHICGQAIKVNWAYASTQREDTSGHFHIFVGDLSSEVNDATLYACFSAYPSCSDARVMWDNKTGRSRGYGFVSFRNQQEAETAITEMTGKWLGSRQIRCNWATKNNAEEKQETDNHNAVVLTNGSSSNPGMEASQDTGSKENPENNPDCTTVYVGNLGHEVNRDELHRHFYNLGVGAIEEVRVQQDKGFGFVRYSNHGEAALAIQMANGLVVRGKPIKCSWGNKPTPPGTSSKPLPPPLPSYQPVPMAGVPQGFSAADIVAYQRQLTLSQVAAGQIAGQHGLAGQVSAGLLAAGSQALYDGYPNQSSAQQLMYYN >ORGLA08G0183600.1 pep chromosome:AGI1.1:8:19590181:19591362:-1 gene:ORGLA08G0183600 transcript:ORGLA08G0183600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G42630) TAIR;Acc:AT3G42630] MGSLLLPPWPLPSPASPSARLLLPELHSPARLAFPSSPSAARPPRRDGAYCPRAAPPHADAAAALMLAHAEAGDFASARSMWAQLLHSSAAPRLRAAAPRLLPAYARLGRCDEALLVVRELCARDPGAARALYPLAVTCFGAAGELALMEDAVREMARHGLPVDSATGNAFVCHYAASGTVPQMEAAYRRLKASRLLVSVAAIRAMASAYISHRKYYKLGEFVTDVGLGRRAGGNLLWNLYLLSFAANFKMKSLQRAFLDMVAAGFTPDLTTFNLRAVAFSKMCMFWDLHLTADHMRRDGVAPDLVTHGCFVDAYLERRLARNLNFAFDRLGAGEPVVATDAVVFEAFGKGGFHASSEVLLEATGGERRWTYYKLLGVYLRKQHRKNQIFWNY >ORGLA08G0183500.1 pep chromosome:AGI1.1:8:19586819:19589727:1 gene:ORGLA08G0183500 transcript:ORGLA08G0183500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G78910) TAIR;Acc:AT1G78910] MLCRRRRVGAAVRWLSRLAPPAPAEADPVVVRVDGSNVARLGKPKPGPRQRQMLSLPPFPGGGDGDPLPGRKAAAPRRVTAVSWVKHYLADVPQEVVQAHFNKRLVYSECSDHEVSVETIKSQKHHLKKIKHNDVMEPGMRIHLPVSVAEGEIKKRYETIPTATLHPNKDEIEYLRRLVIHKDSAILVLNKPPKVPMKGNLPVHNSMDVLAAAALSYGNEEGPKLPQVHRLDRESSGLLLFGRTKESFTRLHWLFTSVNLAKTNSQVWNAACEAYMQRYWALVIGTPKEREGIISAPLSKVLLDDGKAERVILAHPSGIDGAQEAVTAYRVMGPTIHGCSWIELRPLTGRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQNPQPDFEPFTGEPYKLRRPEGLEIQKGSVLSKVPLLHLHCREMVIPNIAKFLSSNGEWHENGAPWSKEKPNLLRFIAPMPAHMKISWNIMSSYLV >ORGLA08G0183400.1 pep chromosome:AGI1.1:8:19582030:19585959:-1 gene:ORGLA08G0183400 transcript:ORGLA08G0183400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEEEGVARGGGGKKLALASIGFADVRVGAVAGGGGAGAGGGYKEDLLVVGLPKDDDFDVAKVVGDVAVGLPDVGAAVRNFLRNREVGEFVSGALAGAMTKAVLAPLETIRTRMVVGVGSKHIFGSFVEIVEQNGWQGLWAGNTINMLRIIPTQALELGTFECVKRSMTEAQEKWKEDGCPNIQIGNLKMELPLHLLSPIAVGGAAAGIVSTLVCHPLEVLKDRLTVNREAYPSIGLAFNKIYQTDGIGGLYAGLCPTLVGMLPYSTCYYFMYETIKTSYCRAHKKKSLSRPELLIIGALSGLTSSTISFPLEVARKRLMVGTLQGKCPPHMIAALAEVFQEEGIKGLYRGWAASSLKVMPTSGITWMFYEAWKDILLAPELHT >ORGLA08G0183300.1 pep chromosome:AGI1.1:8:19578315:19581013:-1 gene:ORGLA08G0183300 transcript:ORGLA08G0183300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMCAHASTPAIAGGDTVLEQLRASRAAIVSVLATAVEAEAAIDAAGDRLGDMYSGLPSSSQLQSQAVAARALRARIDRAVAPAGPLLAALRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAALRAVHEAEAEEMRFEGPLDEALLGLQDLFEALLLRLKHPGGGGEVSDVEEEYELGTDDEVDAAARIARTLAGNDCLDICLDIYVKVRYRRAAKAMMRLNPEYLKSYTPEDVDAMEWEALESAMALWGPHFHVAISGVLAAERRLCARVLAPLPPAVWPECFAKIAARIAAAFFRFADGVAAAAAREPQRLFRLLDMLDAVARERGRLDELFSGESATLLAIRERAREVERALARAAAAAFYEFGLRVETHYVAAAATGESGHVPKIVRYAVNYLKCLASDDYRGTMDAALRAGAGDDDGGDSEALAEAASNVLEALHRHVEAARRALPDAVASHVMAMNSYWYIYMRARGSELASLVGDDTMRRRYKASAEEAAWEYQDAAWGPLVRLVSGSSSGAAKAWPSPEEARKKAAAFADALEERARRHGAEYKIPDGDLREQIKAAAAKAVRGAYAGFLRANDSAVASGGGRREFLPVDAIEGMVRRVFDEMGDGGGVAGSAGRTRSRRQSGNLEGFEG >ORGLA08G0183200.1 pep chromosome:AGI1.1:8:19563923:19577063:1 gene:ORGLA08G0183200 transcript:ORGLA08G0183200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASRLEDEEAVKMCRDRRDFIKQALEQRNRFASSHFAYIESLRRVSMALQWFVAGDDHHELIFDPFISSLKQQKPELLGLPYGSYEKRTIHVSKYLRSGPNPSVSVEEQPRPVETIRIESHYPTVNFGGMDRFFAAQSSPMRPSSYYTPPYDRPNYPPPSPQEPVRNSYYMSYDRPSYPAASPQEPTRNSYYASYDRPSYPPLSPQEQESSQWDFFWNPFSSLDSFAYPRPRSSYDNVVTDDELARLQRVREEEGIPELEEEDDECQQHEQVHQKEEQVDDDDNDDFDDDDDDDDDDDDDGDDECDHSDERCMPSNGGACPVKTEVNGKQETKGFESKGVQCEPRNKVELEIKAHKKELMRNKVANAEETPGFTVYLNRRPASLAEAMKDIDSQFLGICDAAKEISVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLAPTSSIEDLYDNETNSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIDYEKRLNHLRNQDVKGDEPSSVDKTRAALRSLHTRMKVSIHTVQSISARIEVLRDEEMQPQLMELIRGLSRMWRTMAERHKAQKRTIDDAKLLFLQRHPTAAAATATAIALSAPDAATPPPAAVALECEIRAWRGALETWLSAQRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMATESAVRLIGGTGSGNWSKDFSAFDSSLGSLSGEGLGFVDNNSGVYGGWRESVPNRSGSAPPSMEGSLAALGHMIGQQSGNLEATLGGKLGNVADSSKSEEQLRADPAYCDYYGSKVNLNPRLPPPLMSRESRRFMNRVGKVKEWRVVSQDDSNKGSLFIPRSTLSTHREEPEDDRSPRLDSSSAEDAQGSGKSGSNFDSHYTCMNLGDFASESFQQKAASLYDSSTHPSNSNTGDGISDHSDINSSTNFSIDAVKTSGLNSWTPVPVTNTVRSTHSNSISSTSVPSSSSPDNNPSMQTSQQEKPSIDIKHGNDVPGSGSILTELDTVNSNMKNLRISLDSHDTIHVKQQWPDNVLQQFGPSPLVQGDPIQMIPQGTHLPHVPFVENLSHTQLKLPTGDMQQFLPPPGMTTPFYAPNSFGSPYYQNLHPASVLPTPFGTAGYALSGSALPPVMTSYAPQVSVATPVDSPITPSFSGRPSGFPSPGNLSAGAEFVQPYKMYGQQLGVTMQPSVPDPNFFQFFQHPSLLSYAGINQYNTMGPRVSVVGNPADSFDPQKVLSQPAYPSDQRLQLPRTGIYNSPAARRGGAAPNYQGMTPYVGAPMTYPTSPVFQGQTFTGVFSPGRRNDSVRFQTPSRNMTAYSGVQGQREREKFDDPKACSFLEELKSNRARRVELSDIAGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMTDVFGNYVIQKFFEHGTPEQRRDLATKLVGHVLPLSLQMYGCRVIQKALEVMELDQKIELVRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFRGQVASLSMHPYGCRVIQRVLEHCGGDSQGQCIIDEILESACVLAQDQYGNYVTQHVLERGRGHERTQIISKLAGQVVTMSQNKFASNVIEKCFQHGDMTERDLLIREIVKQTEGNDNLLAMMKDQYANYVVQKILETCNEQQRELLLSRVKGHLQALRKYTYGKHIETPSQILEKLAYFFTGGVCLEPSR >ORGLA08G0183100.1 pep chromosome:AGI1.1:8:19550766:19555404:1 gene:ORGLA08G0183100 transcript:ORGLA08G0183100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1666) [Source:Projected from Arabidopsis thaliana (AT3G20260) TAIR;Acc:AT3G20260] MDFFKIKKLGKARKSGGGGGEVVESEEETKAGNNAASDEQKGKILEDDPAAAAAGAGMDADAGNGAVEGQEEDDDDDDFITNEVKRRLKELRKNSFMVLIPEEECAEVEEDGEEEEEEGSSSREWMESDVGDGFPLCGFDSLYEKYCERMAVFDKMITQLLKDPGSFNISKKSPRSASKLASTLRNLSFKRRDDLQEDCEHLQQQQSEDNPYQTLETAYVGHVSLSWEALHCMYVHLSLILAAQPDNPTTYSCAAQAFQQFQVLLQRFVENEPFEQGSRVEIYARSRSSLSKLLQVPTFQVADGKYNAEDQVEPSIFASDLIKLLEESIMTFRLFLKKDKKKNSALMSVHSHTGSSIQQVQSSLDKKEVKVKELFKKKKGWKSKTWPATMEEVQLLFALIDIKVVSRVLRMAKLSKEQLLWCEEKMSKLDLSDNKLRRDGSPILFPC >ORGLA08G0183000.1 pep chromosome:AGI1.1:8:19542736:19546943:-1 gene:ORGLA08G0183000 transcript:ORGLA08G0183000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISRLLLLLAAAVVLVIATGVAAQEEAAEAVVEVEEGIVERAKEEAEAVALRAELQQLRDKISGLESGISERSKELKAKDDSIAKLEKLIEEKSQKIASLQSEITSLQAKGSVAAEEQAGKANARAVELEKQIDKLKKDVEAQSVKKATLENRANDAEKRVQELNAKIDALQKTNDEQKRKLQSTERALKVAEEELMRLHLEATTKSKQLTEVHGAWLPPWLAAHSAHYMEVISGHWNEHGKPAINSFLQKASEKSAQAKKWAEPHVETAKMKWVPVKEKLVVLKKNTEPYVQKVSSKSVEVYEASRDAVKPHVAKVKEFADPYFQEAKKFSKPYIDQVAEVTKPHVEKVRTTLKPYTKRAVRVYGSFLESATTYHRQAQSTILDYLHQHEVSKSLATKELVWFLASALLAIPVYIIYRLLMEAFCSKKPKRPPHGGNHGHRRHKRRHADK >ORGLA08G0182900.1 pep chromosome:AGI1.1:8:19529334:19530860:-1 gene:ORGLA08G0182900 transcript:ORGLA08G0182900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSVGVHGILLLAAAAVLARCLLAAAVNTPVVQCASGTTKCTVTNAFGAFPDGSTCHVAAAAFPATEEELVRVVAGAAASGTKMKVATRLGHNFMKLSCPGGDGLVISTNALNRVVGVNAARMEITVESGVTLSELIDAAAHAGLALPHAPYWLDLTVGGLLSTGAHGSSVSGKGGAVHEYVTGMRVVTPAPASEGYAKVRVVNAGDPDLDAVKVSLGVLGVISQVTLALQPLFNRSVSFRRGGDGDLAERAVAFAGEHEFGDVVWYPARGEAVFRIDDRVATTKTNTISSGDFQNVIQSSRSTEQQQHGDVLPFQAGISVPLSRASAFIRDAQRLLDMNPGALCGGENGGILIRYVKASTAYLGKTEDSVEFDTTSCRHDGDAAMPEEMEQMALRKYGGVPHWGKNRNVAFDGAIAKFPKAGEFMKVKDAYDPDGLFSSEWSDQVLGVAGAGGVNVARNGCGMEGLCVCSEDEHCSPEKGYLCRPGMVYRDARVCRRVAGDAYADA >ORGLA08G0182800.1 pep chromosome:AGI1.1:8:19524314:19525022:1 gene:ORGLA08G0182800 transcript:ORGLA08G0182800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKASTVAEKVPKKPRREDTATADGGPTVEDEPTAVALGCPEFIGGLVVSFCKRSGSVVPAAHHAPSTEQREGEFFNRNAFHYLRRYAVSLSSDNCRVPQSPCPAGPSFKADPHHTIEVNFLVRSTRCAGYFDFS >ORGLA08G0182700.1 pep chromosome:AGI1.1:8:19522127:19523074:1 gene:ORGLA08G0182700 transcript:ORGLA08G0182700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQQRRRSPPTILAAILLLSFLATANLAGAIDPAGRRRNVVVFWGGNKNEGSLRSVCDSGLYNIVIISFYSLFGHGRYWDDLSGHDLRHIGPDITHCHFKAVYVLLSIGGGDGKDYSLPSSKSAADVADNLYNSFLGGSRPGVYHPFGDDVTVVGIDFFIDRGQPDHYYEIAERINYDTRHWRDPIGFKLTATVSCAYDDSDPRMKKALETYLFRRIHVRFYDDPRCSYNHAGLAGVMAQWNRWSASYPYNGKIYLGLAAANLTGKNDMVAVGELYRKLLPAVQKTDTYGGVMLWNSYYDSITHYGRYVSAWA >ORGLA08G0182600.1 pep chromosome:AGI1.1:8:19518586:19519479:-1 gene:ORGLA08G0182600 transcript:ORGLA08G0182600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPLPFALAAALLLTIISGHLAAPATADGYVGGLAIYWGRHADADEGTLRQACDTGRYTTVIITFYNVFGYHPGNYNLDISGHDVTAVGADIIHCQKSRNVTILLAIGGYGGGYSLPTSQSAADVADNLWNAFLAGRRAGVSRPFGHDAAVDGVDFFIDQGGADHYDELARRLHGYGAGVILTATTRCSYPDHRLEKALATKVFDRIHVRMYGAGEIERRCVISSRYSWEKWAAAYPGSKVYIGLVASPEQDEAWVFQKDLYYEYLQFVTKLPNYGGLAVYDRYYDKKANYTGEG >ORGLA08G0182500.1 pep chromosome:AGI1.1:8:19498402:19498623:-1 gene:ORGLA08G0182500 transcript:ORGLA08G0182500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGLRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTPSMSLVRGSRLPTSGINRRGV >ORGLA08G0182400.1 pep chromosome:AGI1.1:8:19493361:19497149:1 gene:ORGLA08G0182400 transcript:ORGLA08G0182400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCSASSVTRSNSGPINRYHFGTDDHQIRFPDDPRDRIWQKYEDVSEWTDVPDTVNGIVQNSPNDTYNVPSAVMRSVSTPLNDSRMDLSWSSDSSMNVDIATKFFVVLYFAEVEAIQGNALRQFDIILDNNTLVSAFSPISMMTSVFSGIVQGSGSHGISLVATSISNLPPLISAMEIFVVRPLNESSTYSEDAHSMMIIQTKFSVKRNWAGDPCSLATFSWDDLNCSYTPHGPPRITGLDLSHNNLSGPIPDFLGQVPSLIFLDLSSNNFSGSIPTNLLQKSQEGLLTLRTENNPNLCGTDKCGLILNQSKRKTKLVLEVVPPVVLVFVVLLILAIFWYCRKKRPDVTGATNPFENRRFKYKELKLITDSFKTIIGRGGFGPVYLGYLENGTPVAVKIRSQTSNQGNTEFFG >ORGLA08G0182300.1 pep chromosome:AGI1.1:8:19492396:19492617:1 gene:ORGLA08G0182300 transcript:ORGLA08G0182300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTPSMSLVRGFRLRTSGINRGGA >ORGLA08G0182200.1 pep chromosome:AGI1.1:8:19460502:19462412:-1 gene:ORGLA08G0182200 transcript:ORGLA08G0182200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVPAARHRWLPWNGLARGSSRTPHCHAAAAAVSSWHVHDSSSPCASSWSWASQVGDRIQLRPFSAAPAIVGDGIEDVDDRRIKQMRRRRDTRIAQKAFMEYLHVTRGICFSDAEHMSKRSPIFISKLLEKVKDAAKEPTEEGEEVPFRSKVKKREMRDERVSKALVRLFNFHPINEFEPFFESIGIAPGEYEPLLPRDLMFLNDDETMLDNFRVLCNYGIARTKIGRIYRDATEVFSFGHGVLASKLKALEDQGLSKTSVIKLVMSSPVILVRDPNVELKILRWLDDVGIQRDWLGRFLSVKKSYNWGKMVQVPQFFSDLGFTNEGIGKLVRQNPDFLFSGSGKMLFSAVLVMLKAGFGKKELVDLFLNFPNLSVDNFTRNLRRGIFFLAEIGVSEEDIKKFVVSNGSILGSVQLKKPNSIMTHLSVGKKRLCRMVMEDPQLLMKFSLGAKVSRIPKVDLHEASFKEKVKFLQNLGFMEGSERMTRGLKAFRGKGDELKDRYDFLVKTGLDPEHVVQMITMAPHVLNQKIHVLESKISFLVNDMGYPLSTLVIFPSFLSFTVERTKLRFLMYNWLLEKGVIATGLALSTLLATADKHFVKRYVVRHPMGLEVWEKFKTEVASTKNNTPCTPDD >ORGLA08G0182100.1 pep chromosome:AGI1.1:8:19454071:19457995:1 gene:ORGLA08G0182100 transcript:ORGLA08G0182100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEERRRFSNLRGVRWRVDLGILPASPGASVDEHRRAAADSRRRYVSLRRRLLVDPHVPKEEGRSSNLIVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPTCQAMLRRILLMWCLQHPEYGYRQGMHELLAPLVYVLQVDIDKLSQVRKLHEDCFNDDFDGVPFPDTDMVFSYKPRKDPKWSFGADNQNDSERSSKSNTLDELDPDTKEIILLSDAYGAEGELGIVLSERFMEHDAYSMFDGLMDGGSGVVRMAEFFSPSSVGSSSNLPPVIEASSALFHLLSIVEPTLHNHFIELKVEPQWFALRWLRVLFGREFCLNDLLVVWDKVFACSNNMLLSSDEEYNFRILCSDRGAFIAAMAVSMLLHIRSSLLATELDVFCLQRLLNFPTNIDVQKLIEKANSLQSIAIDANTSSSSFLLKRDSYEFDRVHSNLSSSTPPRTPLHPVSESYWEEKWRNVHKDGTTPKEVERGNSFSKQLKKSLTQKLGLSRTESDPSPVKVLSVSSNDTRNSVRRCLLNTLSDDLDRSNELAGKTQEDEFPIISVHREHPLSSAEPSKLKAAGENVNVSASCVAKLSPLKKSVVEPANENATQGTKCVPEACSSGENSPVFYAARASAGNEPLNGQDTDSESSSVTSNSFAGDHDRDEILKDEPSSSNDDNKTIQDSEAASSDKSPDRNGTSERAVVSNERKPFISKFQWLLKLGRPSVEGNMEKSSGETSADKQDGDTSCSPSDGNSNNSRGSVKLASGDKKVMGSLKNLGQNMLENIQVIESAFQQDRGQPGPVENFSNNILGGKGQVTAMAALTELRKISNLLSEM >ORGLA08G0182000.1 pep chromosome:AGI1.1:8:19448198:19448638:1 gene:ORGLA08G0182000 transcript:ORGLA08G0182000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSASASSAAAFGAKTPRLGPSSSPISTFARPPLAPRPPAGSTPASTSTARAGVRAPRAVAAGDDVVAAPRLLRLALLLGILLSLAVPLRLLGLRLVPVALPLPLLLVVVVPFTEPLPTRCQGQVTSPASPPPPRYHLLVLRN >ORGLA08G0181900.1 pep chromosome:AGI1.1:8:19443318:19444709:-1 gene:ORGLA08G0181900 transcript:ORGLA08G0181900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRLMAADSRSPRDGKHLEVLGYYDPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVERILYRAGILPPPPMLAMAHKGGPRDRRPIDPMTGRPLDLEGVTVVDDSHTPKSGDGAPNEETA >ORGLA08G0181800.1 pep chromosome:AGI1.1:8:19440595:19442075:-1 gene:ORGLA08G0181800 transcript:ORGLA08G0181800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRSWRAPACVIFVLALVAQWWSSSTAASCSFTISNHCAQTIWPATLAGAGTPQLATTGFRLDPGQSVQVPAPAGWSGRIWARTGCDFSGAGGAAAAAAAGAAACQTGDCGGRLECGGTGATPPATLFEVTLGKGGGGAGAGDLDYYDVSLVDGYNLPVVAVPQAGGATGGGGGCATTGCTADLNRSCPKELQVDGVDGGGGSGTVACRSACEAFGEEEYCCSGAYATPATCRPTAYSAIFKTACPRAYSYAYDDGTSTFTCSAAAYTIAFCLPPTGSNTSGVTPLISSPSPANGQNGAGGSTPPPAGNNGAGISSYQPPPTEDINGAGSADQPAWMTMPSSASKRMMPSSSAASTRHNQLWSLLLLLPALLLFHFKQELL >ORGLA08G0181700.1 pep chromosome:AGI1.1:8:19437094:19439954:1 gene:ORGLA08G0181700 transcript:ORGLA08G0181700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQVESGSEAAAATAAAVLTAPLSLEGGLAAELRPANLVQRVLSLFRNVRPGSDLSHFQLPATFNLPKSQLQLYGEGVYCVGKDYLRRCAKGSDAVERFAAVVGWSISTTRPPIFGFAPYNPVLGETHHVSSGSLHVLLEQVSHRPPVSALHATDDDGEIELVWCQNPIPKFHGTSVEATVKGMRHVKLLKFSENYEIDCPNLLIRLLPAPSVEWSGTVRIVCKESELEAELIYYRSNAFLGLGGDPRCVKGKIFSSRSGEIICEIDGHWDRIVSAKDAKTGKVSVLYDAESAIADLKTPVVRNQEGVSPSESVVVWGEVSDAILKKDWERSSQAKRRVEDTARRLDRERNDKGEVWIPKHFSLSQDKKGSWECSPLEKSVPPAPIIVPS >ORGLA08G0181600.1 pep chromosome:AGI1.1:8:19428060:19430726:-1 gene:ORGLA08G0181600 transcript:ORGLA08G0181600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17365) TAIR;Acc:AT3G17365] MTGGGGGGGGTAAQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLAVYVRRHHRLLLAGCGNSVFGENMVDDGYQDIINIDISSVVIDQMKKKYRDKPHLKYMKMDVRNMAEFESGSFDAVIDKGTLDSIMCGQNSQENASQMLEEVNRILKDKGVYILITYGDPSYRLRLLKDLQLWTVKLHVIDRWERSREPSWELTKPLPLDGDSTSVVSLLGPKPDVHYIYVCIKGSDDGKSHLDSRAEVNGEA >ORGLA08G0181500.1 pep chromosome:AGI1.1:8:19423969:19426639:-1 gene:ORGLA08G0181500 transcript:ORGLA08G0181500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAGTAAASLPALPSHQPRSRLAPRSLALPGGRSCCGPLRAAAAGGGGGAKDDAQAGVTPNGSPVIKPKSDSALSSQNGVLGSTKTDKPHTTLSTHTTTDSSGSRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQARFAHLCTVMSGMHHRRTGYPFGSLVDFSNDSMGHPIFSLSPLAIHTRNLLSDPRCTLVVQVPGWSGLSNARVTIFGDVYPLPEDQQEWAHKQYVAKHQQWASQQWGNFYYYRMQNISDIYFIGGFGTVAWVDVKEYEAIQPDKIAVDGGEQSLKELNAIFSKPLREFLSSEGEVDDAALISVDSKGIDIRVRQGAQFNIQRLAFDVPHKVETLEEAKRALHKIIKTTSK >ORGLA08G0181400.1 pep chromosome:AGI1.1:8:19417531:19422433:-1 gene:ORGLA08G0181400 transcript:ORGLA08G0181400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVARASASPPPASASTGGDRKRGRSSPSLPPPPPPGPPPQGPHGSKRHRRDEGGGGGFDRRRLGPGVGYDNPDDRRYGNDHGGAGGRGGYGDERGQGRNFNRAPDWTDSGRGGWNDGPANSRREGLMSYKQFMQELEDDVSPDEAQHRYEEYKSEYITTQKKAYFDLHKNDDWLKNKYHPTNLEIVMERRNELARTAANQFLQDLQNGSLDIGPGLTSSATNKSGNSVDDADANGKKGKLGKSQDDLYYAAPKAHPVSSEPRRIRIDVELAQALICKLDSEKGIENNVLSGSDHDRAEKDKSHGSMGPIVIIRGSSAVKGLEGAELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVKADSRTFNGASSNAAEWEKKLDSFWQDRIQGQDPMEILKAKDKIDAAASEVLDPHVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVQKHLKLKHADLVRELTSKVRENIYFDNYMSDPKAPGGTPIMQQPAPREKVRQRPPIESRLRDERGNRRFDRNDSPTHDGSSDNPDDAYDSYGDPVMHGAFPPDIPAPPVLMPVPGAGPLGPFIPAPPEVAMRMMRDQGGPPPFEPTGGPRPRRPGRGGLPMGGPSPILAAPLPPPHMHDPRKIRSYQDLDAPEDEVTVMDYRSL >ORGLA08G0181300.1 pep chromosome:AGI1.1:8:19398998:19416153:-1 gene:ORGLA08G0181300 transcript:ORGLA08G0181300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:I1QK62] MAQGRLLTLLPPPPPESRSTGRDRSEESDVEGMLDDPFDVVPQKKKEASSVDRLRRWRQAALVLNASRRFRYTLDLKKEEEKEMIRRKIRAHAQVIRAAFLFKEAGEKDLREAYTGIKLETASRSFPIELEKLTALNRDHDSVLLQEVGGVKGLSDLLKSNLEKGISLNADDLLQRRGIFGANTYPRKKRKSILRFIFEACKDLTLIILMVAAAISLTLGMTTEGADEGWYDGGSIFLAVFLVILVTAISDYRQSLQFRHLNEEKQNIQVEVVRGGKRCGTSIFDLVVGDVVPLKIGDQVPADGVLISGHSLAIDESSMTGESKTVHKDKKEPFLMSGCKVADGYGSMLVTGVGTNTEWGQLMANLSEDNGEETPLQVRLNGVATFIGMVGLTVAGAVLVVLWIRYFTGHTKDPDGTTQFVAGTTRAKKGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVQAYFGGTMLDPCDDIRAVSCGATELLIEGIAQNTTGTIFVPEDGGDAELSGSPTEKAILSWGLKIGMDFNDARSKSQILHVFPFNSEKKRGGVAVQSDAGVHVHWKGAAELVLSSCKSWLALDGSVQPMSAEKYNECKKSIEDMATSSLRCVAFAYCPCEIERIPKEDIADWKLPEDDLTLLCIVGIKDPCRPGVKSAVQLCTNAGVKVRMVTGDNIETAKAIALECGILDANGAFVEPFVIEGKVFREMSEAARGDIVDKITVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDNFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRQPVGRREPLVTNIMWRNLFVQAIYQIAILLIFDFSGRSILRLQNDSREDAEKTQNTFIFNTFVFCQIFNEFNARKPEERNVFKGITKNHLFMGIIAITTVFQILIIEFLGKFFKTVRLNWRLWLVSVAIGIISWPLAYLGKFIPVPVRPLQDYFKPTCWRRASRRDEEESGQS >ORGLA08G0181200.1 pep chromosome:AGI1.1:8:19396879:19397226:1 gene:ORGLA08G0181200 transcript:ORGLA08G0181200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYMVYEVERFGRIVSKSCKDLWRSSTQDITYHAGSGGGRRRSAAAASGGQEVRRVSVPAAEMRRRLRARALLPGLPPTPLRLRAQGLRRQQRREAAPGSLATTVLLPSALCSLN >ORGLA08G0181100.1 pep chromosome:AGI1.1:8:19389481:19390752:1 gene:ORGLA08G0181100 transcript:ORGLA08G0181100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVARGGMMPAGHGFGKGKAAAVEEEEDEVNGFFVEEEEEEAAVSDASSIGAASSDSSSIGENSSSEKEGEEEGEEVESKAKEVAVEVEGGGLGFHGLGTLESLEDALPIKRGLSNFYAGKSKSFTSLAEAAAKAAAKEIAKPENPFNKRRRVLAAWSRRRASCSSLATTYLPPLLAPDHAVVEEEDEEDDSDAEQCSGSGGGNRRREPTFPPPRLSLHAQKSSLTPRSSNPASSFRSPRSFSLSDLQNAGSYN >ORGLA08G0181000.1 pep chromosome:AGI1.1:8:19373252:19373626:-1 gene:ORGLA08G0181000 transcript:ORGLA08G0181000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAAPPGDLVLPPLPPIKTTAPPPPPPARTSSSTASPAPSDEARAPLKKEEEEEPTTPTSEESRLRAPTVCPPAPRKPARTAKRKSPPPSSSPSTLVFFPVQRDLAAVFRSLPPKKRIRAG >ORGLA08G0180900.1 pep chromosome:AGI1.1:8:19354926:19360224:1 gene:ORGLA08G0180900 transcript:ORGLA08G0180900.1 gene_biotype:protein_coding transcript_biotype:protein_coding CWPPVSSSANSTIXNPRRSPSTIRCQKQRSRPGSTMRGSVSTRVGSRAAMASTSRSSCSSMTLTTMTPARSTPSSRSSXRTSMARRRRSTRGARSRAAPQPGRPARRHGGLPRRLGRLLLRRWRRRDAHPRAPHRARRAVAGVQGGAPRLHGGGHHAVLHAARHRAGDVQSSTALRLHRRLATXFASDDGGVGGXVLPRIASGGGQIRARXAEAHIYLFLDVEVSXSCXHLHHGHGRRIPXXXWMQXRVXAVGELSVVFVARFSDADKNNRLFWRFLGWYRFVKRSAVDSLHAIDGVVTFVFGLVILRDDRHHPIAVPPPNLGGHLAAMVGSADGSDVSFSVGGETLIRAHRAVLAARSPVFRAELLGSMAEATMPCVTLHDIELATFRALLHFVYTDALPPRDILSPSFFKKLFAAADRFALDRLKLMCAQKLWESVTVETVAETLACAEMHSCPDLKSRCLDFFVEENNFRKVVVTGGYLRLMQGFPSVIDEIKARLEI >ORGLA08G0180800.1 pep chromosome:AGI1.1:8:19334495:19337577:-1 gene:ORGLA08G0180800 transcript:ORGLA08G0180800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHDDGGGGGAWGGGDGDSLFEGMVLFTPSLSVDPDPEPPVAEAPDPKPPTPRDEAAAAAAAGADGAASQQQPPPPLDEDLFSDLTLLSPQSPVDLSGAHQGQDQDHSAAAPPSPVPAPAAPVAVLARQASSSASSSLRKKKRAVRIGYGRSPQPAPPSPRATTTTVAATASVIHGSILPDASMHHQSAPTIPVHHLEHLDNGVELAAAVAVVDPDENSLHVKEEDGELEEDGGAGAEAMGIEERLALLRSQISGKLESIQQRATAVAAKRRQLAGRRRKVAEDVGCAASRHKDLERELEEACEAEDFERAEKISDSLAALEKDKDRLLTALRDAELNYDSVDLELQDVLDSRIAAEEKAASLLEHFAKDATDHTDSVSKEAEEISLKEIEGWRESMELLETKKLETEVESELVLAARSGLEDSIEHLVEDDKREKDMLSKKGDTLAEELTELLKLVRLKEAEIAENNARIQEVQERITAVVSRFYGSQTDIDLKLKTLQEAQTKMDSEAQALALKKNEIDSFISLAEQKDSKLRESINACSSEAKACQQSVEIRRKLASSILKSREDRIGLLKMEEEILQDIQVLRQQTSDARISLQEISSRKAVIQQDIATFKQKLSFIDKRGPELEAEKRVAAAARNFKEAGRIAAEAKALNSEKEELHAKLEKAATDLEVIEKDITATTDKIQECEGLIILKEKESAMTSYKRLRLDAAAARAELTAATETDDSEEVEVLRKEAEAAESKAMELKTCYDLELDDNEIMFQPVVPIVFITNSTGQHLLEIASSFGLSP >ORGLA08G0180700.1 pep chromosome:AGI1.1:8:19332300:19333828:-1 gene:ORGLA08G0180700 transcript:ORGLA08G0180700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTTKGKVCVTGASGYVASWLVKRLLESGYHVLGTVRDPGNHKKVGHLWNLAGAKERLELVRADLLEEGSFDDAVMACEGVFHTASPVITETDSSKAAVLDSAINGTLNVLRSCKKNPSLKRVVLTSSSSTVRLKDEADLPPNVLLDETAWSSMEFCESLQIWYAIAKTLAEKAAWEFAKENGIDLVAVLPTFVVGPNLSHELSPTTTDILGLFQGETTKFTMYGRMGYVHIDDVASCHILLYETPRAAGRYICNSAVLDVNELVTLLARRFPSYPIPKRSRTPGKMELFFLLI >ORGLA08G0180600.1 pep chromosome:AGI1.1:8:19330029:19331030:-1 gene:ORGLA08G0180600 transcript:ORGLA08G0180600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G38160) TAIR;Acc:AT4G38160] MASGGSSNAGSLTQWLREKGFDEEAIGRMSRRCKNLHGLDAGEASGVWDYLLNVVKIERRKLRYVVTKCPKVLTLSVDDKLVPTVQCLTTLQAKPGEVAQAIVKFPQILFHSVEEKLCPLLAFFQTLGISEKQLAKLLMVNPRLISYSIEAKFSQTVDFLVGLGIDKEGMIGKIMAKEPYIMGYSVDKRLRPTAEFLKSAVGLEGSNLQRVIMSFPDILSRDVDKILRPNLAFLRSCGFSKDQVMALVAGYPPVLIKSVKHCLEPRMKFLVEEMGRDMGEVVDYPQFFRHGLKRSLEYRHKVLKQMNSRCSLSEMLDCNQKKFAMKFGLHAAV >ORGLA08G0180500.1 pep chromosome:AGI1.1:8:19326268:19329614:1 gene:ORGLA08G0180500 transcript:ORGLA08G0180500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTASEVFMETTICCAETPRASHSSSYLQHLHSRSVVSTHGNILDISPRFSYHKPTTNKDKMLCRRYSLNLPEHGVITSPEQSEKTISKSVADLVWEIAALEEEVVRKELHLLSLYRAAFDQHLGVSPRVSTQVDQEIHHQKSRKKADEGALRLRNIKESASYNLPTVSTVSDSKRGLSRSSSGHSSLANFLSASIAEYVPKISCKLSEDIVRCISAVYCKLASQSSQNSADFETLSTPSFSSSSSTFSLKHRVDSWSPRCHYNVNTSSDKYDSLNEKSEQYNGMIVCPRIYIDAEKFEYASKMLETVRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKNTDMILKAAYNVGGLSVNAQIIQNLIIGCQSHRTSVWVWTLFTPLKKSASGSSIHPYALHPPEPLAHFALSTGAISDPPVRLYTAKKVNHQLDQARTEFIQASVIVRKQTIFLPKVLHHYAKDAALELPDLVEMACEIMPEAQQKEIRQCLRRRIDKCVEWIPFKSSFRYTIHRSLAE >ORGLA08G0180400.1 pep chromosome:AGI1.1:8:19316008:19317012:-1 gene:ORGLA08G0180400 transcript:ORGLA08G0180400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1QK53] MAQLGRLPDAESVVVVPTATGDDVVLASLPTREGWWTAFVRYHGCWMTPQGATSVSLVRAQFAPRPDDVLLATYPKCGTTWLKALSFAIANRSRHPVVGAGAGDHPLLTTHPQDLAPFIETPFRHLHPLAALDALPSPRLLSTHLPHQLLPPRAAELGCRIVYLCREPKDVVVSTWHFMNKVGNGFFLDLDEAFELFVDGCSLYGPIWDHCLGYWRKSKLAEFLRVPFTDDEVDAGVVEEVVRLCSFEKLSRLPVNSSGVVDRIGGRPMENSSFFRKAKVGDWKNSLTQEMAQKLDAVIAEKLKGSGLSF >ORGLA08G0180300.1 pep chromosome:AGI1.1:8:19311939:19312931:-1 gene:ORGLA08G0180300 transcript:ORGLA08G0180300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1QK52] ELEADSVLTGEEEDESLLLSMSSSSNKLQTREGWWTTFVLYDGCWMDRQAAMSVSLVRAQFVPRDDDVLLATYPKCGTTWLKALAFAITNRRRHHVVGAGDHPLITQSPHDLVPFIELPFRHIHPLAAALDAISSPRLLGTHMPHHLLPPRVGCRIVYLCREPKDVVISTWHFMNKVIEGFSIDFDKAFELFVDGCSPFGPIWNHCLGYWNKHVEEPDRVLFLKYDDMMADPAGHVKKLAEFLRVPFTDDEADAGVVEEVVRLCSFEKLSCLPVNSGVAGRVGVDERPMKNSVFFRKGKVGDWKNYLTKEMAKKLDAVIEEKLKGSGLTF >ORGLA08G0180200.1 pep chromosome:AGI1.1:8:19303413:19307152:1 gene:ORGLA08G0180200 transcript:ORGLA08G0180200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFLVLLFLLATSASSSSTSTSLATNTTDVGRDGGDNAVSFSFSSFHAEARGVNVTVVGDANINGGALQITPDSLNDASRYLTNKSGRVLYAAPFKLWHREKGGGEAVNGSTAGKRVASFSTVFTVNVFRPNGTVPGEGFAFVIAPSAAAPPAGSTGGFLGLTNAATDGNATNQIVAVELDTEEQPYDPDDNHIGLDVNGVVSVATTSLKPLGIEISPVDPVKYDVWIDYDGAARRIEAYMEVSGKARPASPVLAAPLDLGATVAEWSYFGFSASTGLKYQLNCVLAWNMTVERLPRDDDGGGDHGKGLTLALAIGVPVAAAALAAALGYLYVAKRRRKVSGGDDGSGITGTMIRSLAGGPREFEYRELRKATNNFDERMKLGQGGYGVVYRGVVVGDHTSPGGAGSAVEVAVKKFSRASTQGQNDFLAELSIINRLRHKHLVRLVGWSHDNGELLLVYEYMPNGSLDQHLFGAATTAAERRLLGWDLRYSIVAGVASALHYLHDEYDQKVVHRDLKASNVMLDAAFSARLGDFGLARAIETDKTSYMEEAGGGVHGTVGYIAPECFHTEKATRESDVYAFGAVVLEVVCGRRPRCDIDGFCFLVDWVWRLHRDGRVLDAVDPRLDGAFDAGDAERLLLLGLACSHPTPAERPKTMAITQILLRSTPPPEVPPFKPAFVWPAIDGGIDTTTSTASSHVSTNMTSTSTWSGNFMRGSRSHAPPPPEQDASDSVV >ORGLA08G0180100.1 pep chromosome:AGI1.1:8:19298658:19301512:1 gene:ORGLA08G0180100 transcript:ORGLA08G0180100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPRHVASCLCLLLLVTAAAAQRLPVKSYSYGSFYRDDPSVSSLLFRGAAGVSNGALQVTPDSRNLNNFLSNKSGSVLLPEPFTLWRRLDAAAAAAGNGSSTSTRVVSFNTTFSMNVYYDNESRPGEGLAFVVAPTADGPPPGSHGGFLGLTNATLEATPATNRFVAVEFDTFKEPGGYDPDDNHVGLDVGTVASNKTASLAGFNITIATNKTAPANYTAWIEYDGAARRIAVYMGVRGAPRPATPVLASPLDLSELVPERAYLGFTASTGVSFELNCILDWNLTIETFPADKKSKGWVVPVAVAVPVAAIAAAAFVVARMARARRSMERRRQERLEHTLTNLPGMPKEFAFEKLRKATKNFDERLRLGKGGYGMVYKGVLSAAAVDDDDGRPPAATEVAVKMFTRDDAKCVDDFLKEVQIIHRLRHRNIVPLVGWCHKKGQLLLVYEYMPNGSLDQHIFRRGAVHEQRPALSWESRRDIVADVAAGLHYVHHEYGPMVLHRDIKASNVLLDASFRARLGDFGLARVLDLDRSSFTDLGVAGTRGYIAPEYSVGHKATRQTDVFAFGVLVLEVVTGRHALLGDPACPMLSDWVWRMHGRGALLGAVDQSLGTDGFDAGEATRLLLLGLACSHPNPGDRPTMPEVLQILSGSAPPPEVPQLKPSFVWPPDGGASAHYDLIDIGALTSGSLAAGGSSAAAAATAADDDSARATRDTASAGLRPPNSTGDFFPALSSGR >ORGLA08G0180000.1 pep chromosome:AGI1.1:8:19293156:19293844:1 gene:ORGLA08G0180000 transcript:ORGLA08G0180000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASFWSWTLLLATLMVMMMVPTTTGSQIVAGYSDRPARGCTRTDGPCPCRVPGFALPVSTLPKIMREKSSLLCFCFRQKFISKSGCRAGLIGIHILTLK >ORGLA08G0179900.1 pep chromosome:AGI1.1:8:19287592:19291973:1 gene:ORGLA08G0179900 transcript:ORGLA08G0179900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREVGPQVAPPMFLHQIQPLPPHATAAKKRGNPWPAAAVAAAEAKGGGNWNPRMWDWDSRALTAKPSSDALRVNAGLSHHQQQQQQSPPAVAKAAEALRQGGGGSGGLNLQLGLREDAATPMDVSPAATTVSSSPSPPASSAPAQEPVVRPSKRVRSGSPGSASGGGGGGGGGGNSGGGGGSYPMCQVDDCRADLTNAKDYHRRHKVCEIHGKTTKALVGNQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPTDVASQLLLPGNQENAANRTQDIVNLITVIARLQGSNVGKLPSIPPIPDKDNLVQIISKINSINNGNSASKSPPSEAVDLNASHSQQQDSVQRTTNGFEKQTNGLDKQTNGFDKQADGFDKQAVPSTMDLLAVLSTALATSNPDSNTSQSQGSSDSSGNNKSKSQSTEPANVVNSHEKSIRVFSATRKNDALERSPEMYKQPDQETPPYLSLRLFGSTEEDVPCKMDTANKYLSSESSNPLDERSPSSSPPVTHKFFPIRSVDEDARIADYGEDIATVEVSTSRAWRAPPLELFKDSERPIENGSPPNPAYQSCYTSTSCSDHSPSTSNSDGQDRTGRIIFKLFGKEPSTIPGNLRGEIVNWLKHSPNEMEGYIRPGCLVLSMYLSMPAIAWDELEENLLQRVNTLVQGSDLDFWRKGRFLVRTDAQLVSYKDGATRLSKSWRTWNTPELTFVSPIAVVGGRKTSLILKGRNLTIPGTQIHCTSTGKYISKEVLCSAYPGTIYDDSGVETFDLPGEPHLILGRYFIEVENRFRGNSFPVIIANSSVCQELRSLEAELEGSQFVDGSSDDQAHDARRLKPKDEVLHFLNELGWLFQKAAASTSAEKSDSSGLDLMYFSTARFRYLLLFSSERDWCSLTKTLLEILAKRSLASDELSQETLEMLSEIHLLNRAVKRKSSHMARLLVQFVVMCPDDSKLYPFLPNVAGPGGLTPLHLAASIEDAVDIVDALTDDPQQIGLSCWHSALDDDGQSPETYAKLRNNNAYNELVAQKLVDRKNNQVTIMVGKEEIHMDQSGNVGEKNKSAIQALQIRSCNQCAILDAGLLRRPMHSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRFNSGRSFKWERLDFGTI >ORGLA08G0179800.1 pep chromosome:AGI1.1:8:19266730:19270033:1 gene:ORGLA08G0179800 transcript:ORGLA08G0179800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAASSGGGVEVREAELAVEEAPAATSEGRVGEAVSDPFVVAGSDLSGEASNLEAKPDPAVLGEGGEAVESNAAPGCAETVHEEDGVDGGCEAGAVEEEDAPMAIGHVLPEAPVANGHVHSEAAPATETAEIAGSVEDGMNGRIADEHDHPDTSIAESKGCVVEKVNGKEAAPEIADSSVLVEEGVDGQELEGVDDRIAGEHDHTYTSTVESEVHDDSLIKQVDADATGLMEQEAISSEQDGSDVPIENGHTHVGVSADCGEGAKSDVQVDQSNIEEANANSVKPVEEVAALIQDGLGGPVSNGHGHVDASGHGYGTGADLDVKGSNSKGEDTETFEELVTATVDYDRSDIAMANGHDQVERSFDSGEVETKSEVCDSKEKSGECATDAMELVKQEATTGEQGTEAVSVVNGCDHPNTNADSDEAPMQILVTSKESGIVQSVVEVVESVHLEGTLKIDQQTEGDQKVANKKVTEEEILTNGYEQGDAKVANKEVPEEEILTNGHEHVEESAGITSVLEPLVGDGQQDFIAVNLLENRADDNREDALEDAFTSGIDEAAITSGVDEAAMEADASTVEKNDDTAIDGTETKEKHEKTNGDILQGLDLSKDNVECGVNGDEVSTFQPVESISCSTVEIEREEISDQQQTSASLQDAEQALSATNGNHLSDNSELKQESDMEDIDGANLCADPGVVPALHGETTSSDLPDNDGAEVENSTPACDLGASSGAPTGDNDSKENSAAAVAQVEDVPSQDDAQVEEDVPSQDDDNCPADGAPGEICSENANAFTTSSCVAETEYVQDIASTTVDIIHDKHNDDDENINTDITGNHSEPKLEINIDNEDRGDIQVIKPYPVYLMKVPRFMSESHWEKIQDAQICLDELTQKRDAINVLRQKKKALCDDYREKLEAARQEERGARTAHGDKRNDLNSVQSMIGRMNRANSIQEIDDMIAMKERIIAHESISLKEEKRLLQDIKELKAQKKAAVFQYGLKSRNG >ORGLA08G0179700.1 pep chromosome:AGI1.1:8:19255873:19256298:1 gene:ORGLA08G0179700 transcript:ORGLA08G0179700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CP12 domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT1G76560) TAIR;Acc:AT1G76560] MASPSLSSLFSAAAPLAGGGGGAGVRTLGSPASVRPVSHQQRRRRLVVASVKWRYKGTARKEAALSELIERKVAEATEACAGEAAGEAGCRVAWDEVEEVSQAKADLRRRIAEGADDPLEPFCSHNPLADDCAVVYDDDDE >ORGLA08G0179600.1 pep chromosome:AGI1.1:8:19249485:19254687:1 gene:ORGLA08G0179600 transcript:ORGLA08G0179600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVTHLHRLSHRSLPSPPPSRPPTTTSLPFLLSRRLLSDDAAPPPAADVPNKELKRRLETYYGVDDEAELPSVTEAVLERKLADVHSETDDELIEELRSKPLPEVRDRDFESDFEEMHDTDEELDNLYNARQYVEKKIKSDEFFNMDDDKWNKMIKKAVDNGHLGNMNECENILEDMLHWDKLLPDEIKKKVEAKFNELGDMCEKGELEPEQAYELFKEFEDKMVSECTELMEAENPTDVDEFSKMENKSVKLDDPPGEGPVLRWESRIVFAPGGDAWHPKNRKVKLSVTVKELGLSRHAFRRLREVVGNRYNSGKDELTITSERFEHREENRKDCLRTLYAVVEDANKANKLADDARNAYVKNRLKANAQFMERLKVKTQKLRVAA >ORGLA08G0179500.1 pep chromosome:AGI1.1:8:19248133:19248419:1 gene:ORGLA08G0179500 transcript:ORGLA08G0179500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARIASIAGSGDGGALRRPRCQIRRLLLYSGDLHLQIRQDGGASSIERWVVGGWREEREPLYLAXEGLGLEDLQR >ORGLA08G0179400.1 pep chromosome:AGI1.1:8:19246008:19246337:-1 gene:ORGLA08G0179400 transcript:ORGLA08G0179400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IWWRRPAFAWLTSSTSSHATRHPASPAASPAHASVASATFRSISSDRAASFLAVPLYLHLTNATTNRRLRCRCEHSRTDAGVPSVRTPAAAAAEKREVRDGDATGNAEK >ORGLA08G0179300.1 pep chromosome:AGI1.1:8:19241986:19245359:1 gene:ORGLA08G0179300 transcript:ORGLA08G0179300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:I1QK42] MSQPDAAADDPSPSPSPNPPPPPVAWPEDGALTREWVAGLTATLDWCSRSLPADRLPDVLPAALVQRLVLAASVILHREPNLVRVDPRPDQAVVVVGDVHGQLHDVIFLLRDAGFPSDDRVFVFNGDYVDRGAWGLETFLLLLAWKVLLPNSVFLLRGNHESKYCTSVYGFEQEVNFKYKGQGPQVYRKFLRCFEDLPLATIIAGCVYTAHGGIFRGTIVMPSKRTKKGKKGNKYKANSSVDPTLMKLGSLDELLKARRTVLDPPWEGSNLIPGDVLWSDPSLEMGLSSNKERGIGLLWGPDITQQFLYTNNLKLIIRSHEGPDARDKRHDLLGMDNGYTIDHHVECGKLITLFSAPDYPQFQASEDRYNNCGAYIVLNPPDFSTPVFHSFEAVKPRPKANPYYDFEDVIDSDEELNLGAMDDGSASS >ORGLA08G0179200.1 pep chromosome:AGI1.1:8:19239255:19240665:1 gene:ORGLA08G0179200 transcript:ORGLA08G0179200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRGWSRTVGNTRSFVGNALGGLRGWSNLASWAVAGTLAYYLWVRPARQLQKEQEERAALAAASDPYRYVEKRKPIPDPQDTGLIYGKKKDPTKSDN >ORGLA08G0179100.1 pep chromosome:AGI1.1:8:19231533:19235565:1 gene:ORGLA08G0179100 transcript:ORGLA08G0179100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl coenzyme A reductase [Source:UniProtKB/TrEMBL;Acc:I1QK40] MEVRRRAPLPPPPGRVQAGDALPLPIRHTNLIFSALFAASLAYLMRRWREKIRSSTPLHVVGLAEMLAIFGLVASLIYLLSFFGIAFVQSIVSSSDDEEEDFLVGPARGSSAAAAVAPPPPPSSPAQCSLLGNPHDDAARERMPEEDEEIVSSVVAGKVPSYVLETKLGDCRRAAGIRREAVRRITGRQIEGLPLDGFDYASILGQCCELPVGYVQLPVGIAGPLLLDGQRFYVPMATTEGCLVASTNRGCKAIAESGGAVSVVLRDGMTRAPVARLPTARRAAELKAFLEDSVNFNTLSMVFNRSSRFARLQGVQCAMAGRNLYMRFSCCTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKEDVVKKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSHCITMLEAVNDGRDLHISVTMPSIEVGTVGGGTQLASQAACLDLLGVKGANRESPGSNARLLATVVAGGVLAGELSLLSALAAGQLVKSHMKYNRSSKDMSKVIS >ORGLA08G0179000.1 pep chromosome:AGI1.1:8:19224686:19226286:1 gene:ORGLA08G0179000 transcript:ORGLA08G0179000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHHQAAAAPVTTTTDGGELRAMDLYEKLEKVGEGTYGKVYKAREKATGRIVALKKTRLPEDDEGVPPTALREVSLLRMLSQDSHVVRLLDLKQGQNKEGQTILYLVFEYMDTDLKKFIRAHRQNLQKIPVPTVKILMYQLCKGVAFCHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRSFTVPLKKYTHEILTLWYRAPEVLLGAAHYSTPVDIWSVGCIFAELATNQPLFAGDSEVQQLLHIFKLLGTPNEQVWPGVSKLPNWHEYPQWNPSKVSDLVHGLDADALDLLEKMLQYEPSKRISAKKAMEHPYFNDVNKELY >ORGLA08G0178900.1 pep chromosome:AGI1.1:8:19221013:19223178:1 gene:ORGLA08G0178900 transcript:ORGLA08G0178900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT1G76450) TAIR;Acc:AT1G76450] MAAAVTTTTTATTTHLCRGLSSSAAAAAKPRRATTLRCGAAARVEGLGRREALLGVLLSTATAASAPVAAVAATAELQEGFRTYEDEANKFSIAIPQDWLIGAGEVSGFKSVTAFYPDQVADSNVSVAITGIGPDFTSLKSFGDVDAFAETLVNGLDRSWKRPPGVAAKLINSRAANGFYYIEYTLQNPGEQRRHIVSAIGMAFNGWYNRLYTVTGQYIDEDGDVDKYRAQIEKCVQSFRFT >ORGLA08G0178800.1 pep chromosome:AGI1.1:8:19210030:19212905:-1 gene:ORGLA08G0178800 transcript:ORGLA08G0178800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEQGLMAGRDLFGMPKSPPAAAAAPPQSVRMAYTSDGTPVFAPVSAAVSAPPGYQPGGAAGGNGAAALADSGGEPVAKKKRGRPRKYGPDGSMSLGLVTSPTAAASTPVAQGVPGPFSPTQPKPQASFLSSVSPDGVKKRGRPKGSTNKPRIDAVGSAGVGFTPHVITVLAGEDVSAKIMSFAQHGNRAVCVLSANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLLTDHGGQRSRTGGLSVSLAGPDGRLLGGGVAGLLIAATPVQIVVGSFNSEGKKEPKQHAHSEPASTPSKAVPTAGMGPNSPPSRGTLSESSGGAGSPLHPGIAPPSSNSQPPFLSSMPWK >ORGLA08G0178700.1 pep chromosome:AGI1.1:8:19206086:19209033:1 gene:ORGLA08G0178700 transcript:ORGLA08G0178700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGELAAEKHVRYIVTVEKRKDSFESLVMEHIRLNGAYWGLTTLDLLHKLRAVEADEVIEWIMSCYHPESGGFGGNVGHDAHVLYTLSAVQVLCLFDRLDALDVNKVADYIAGLQNEDGSFSGDIWGEVDTRFSYIAICTLSLLHRLEKINVQKAVDYIVSCKNLDGGFGAMPGGESHAGQIFCCVGALAITGSLHHIDRDLLGWWLCERQCKEGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKDKLAKFILNCQDKENGGISDRPDNAVDIYHTYFGVAGLSLMEYPGVKPMDPAYALPLDVVNRIFLRKEH >ORGLA08G0178600.1 pep chromosome:AGI1.1:8:19204585:19205148:1 gene:ORGLA08G0178600 transcript:ORGLA08G0178600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQSTELKVEMVALHEKRVRKCLSKVKGVERVEVEGSLQKVVVTGYANRSKILKALRRVGLRAEPWSPRNELLSAYAAGSLMAANNYYHTFF >ORGLA08G0178500.1 pep chromosome:AGI1.1:8:19199710:19202368:1 gene:ORGLA08G0178500 transcript:ORGLA08G0178500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGGGAGGGGRGPRDMRLTMQEAAKKLSLWDSATFRPILTHVELEPILAAAGFVALPTPPPPTTTQGGGAAGAGQPVAWREYAFIGVAAAGRRRRSGNAAVAAXXXXXXXXXXXXXXXXXXXXXXXXXXXLGAVEFYLGALRVASLFHVRCMPVTTAQDRVFDKVFRVMRSHGVEDDGLIVYRDGTLDDATYAVCSEHSPVQDVGYHVIPGNTCVELGYLKSGRIAGNCDEETCCRGGAAAADIARARKLEPQ >ORGLA08G0178400.1 pep chromosome:AGI1.1:8:19193053:19196634:-1 gene:ORGLA08G0178400 transcript:ORGLA08G0178400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein [Source:Projected from Arabidopsis thaliana (AT4G38220) TAIR;Acc:AT4G38220] MASKKSSTQASPMAAAAASLLLGLLLVLPVPAISSSDAEEAVVSRFREYLRIDTAQPAPDYAAAVAFLRGQAGAAGLEARTLELVAGKPLLLLRWPGRRPSLPSLLLNSHTDVVPSEPHKWDHPPFSAALDEASGRIYARGSQDMKCVGMQYLEAIRRLRSAGFIPDRNIYLTFVPDEEIGGHEGIEAFVASKEFKDMNIGLVLDEGLASPGEEYRVFYGERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEAIRRFRTSQFDLVKSGAKAEGDVVSVNLAYLKAGTPTPTGFVMNLQPSEAEIGLDIRLPPSVHTEALEKRLAEEWAPSSRNLTFEFKQKTSVLDNFGKPAVTPADSSNVWWALFEEAVKRAGGKLGKPEIFPASTDARYFRVLGIPAFGFSPMTNTPILLHDHNEFLSKDEYLKGIGIYESIIRTLATLKDSNVDYESRAEL >ORGLA08G0178300.1 pep chromosome:AGI1.1:8:19190023:19191504:-1 gene:ORGLA08G0178300 transcript:ORGLA08G0178300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKIERENLEKEKSVLMGTASSQDNQDGALEITVSGEKYRCLRFSKAKK >ORGLA08G0178200.1 pep chromosome:AGI1.1:8:19182603:19184707:-1 gene:ORGLA08G0178200 transcript:ORGLA08G0178200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGVEAWVFDTVDGLVGEVMRLHRSLPARPAVEEVEAAEALAVAADREERARADAVARLRRSPAVPGELLCVAQEMHRALAGFQCREQKRDAARLLELEALHTLFDDLIQRASQCLPSTSTRAAPRIAAPAAATTTTSTAAAGSSSSSAVGNAELHASSGTNGFTASRVAGTSTSTGRVSMDDSYVRKAKAAMWDGGAAATNSHLPRGPVEANSVAVRADGNYGDDNEKLSLIKLASMIEVSAKKGARDINLQGKLMAQIEWLPDSIGKLTGLVTLDISENRLLALPDAIGKLFSLAKLDIHANRISQLPESIGDLRSLIYLNMRGNQLSSLPSSIGRLLNLEELDVGSNGLSSLPDSIGSLTRLKKLIIETNDLDELPYTIGHCVSLVELQAGYNHLKALPEAVGKLESLEILSVRYNNLRSLPTTMASLTKLKEVDVSFNELESIPENFCFATSLIKLNVGNNFADLQYLPRSIGNLEMLEELDMSNNQIRVLPDSFGNLKHLRVLRAEENPLQVPPRDIALKGAQAVVQYMSDASKRTTKSEPMKPKKTWVHFCFFSRPNKRKHDRIDNAT >ORGLA08G0178100.1 pep chromosome:AGI1.1:8:19178657:19179389:-1 gene:ORGLA08G0178100 transcript:ORGLA08G0178100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVAYRKLSPASRRGGGAARAWVXXXXXXXXGVAARLQRAARRRCVGVGCGGARRLTWAGLCVGRGVAVAAPARRISSAAGSYDPASYARNFDDGVWKAEEGCAGAARFAGNRLIPD >ORGLA08G0178000.1 pep chromosome:AGI1.1:8:19174910:19177606:1 gene:ORGLA08G0178000 transcript:ORGLA08G0178000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHCSALDGIVTLWQVQPKGPAISLLSTTNCFSPKHRWPEDVAWHPDGELIFAMYSADNGDSQVSVMNRSISGQKKVSFLPVKPHSNEIINNINFMLCDWWK >ORGLA08G0177900.1 pep chromosome:AGI1.1:8:19174219:19174892:1 gene:ORGLA08G0177900 transcript:ORGLA08G0177900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWLGFFRGAGDNIFDAIAVAASDHPAALRSRRDAFAQRLYMLLHPEGAASIPSICSSDRADVIADDGGVPCREDPVVAETERIKDVLLNDQERQSLPLPDTLTRLEVHTR >ORGLA08G0177800.1 pep chromosome:AGI1.1:8:19170616:19171137:-1 gene:ORGLA08G0177800 transcript:ORGLA08G0177800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAILETIKPRRSVERENLPVTNATGKGRGGDHLIGLRRRMSSFSVRIQPLMSSAGAGGAFRRATSMPSVKALAAQAGAVRRWWGWGLGWVMNRRPAFARDLEMNDDEAAALGCHCRGTWRHVFYRLRAGARRLLGRDGLPLSAHDFRYDSVSYAHNFDNGDAAAAARPEP >ORGLA08G0177700.1 pep chromosome:AGI1.1:8:19159494:19163857:-1 gene:ORGLA08G0177700 transcript:ORGLA08G0177700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHHQALDLHLLLSPIPKPLLATTLPFKCARTLQAALAVPIRSQFHAAIWEKYNTIINCLLWKYLQKPLYFRTEAWVVEMTTLQGQLWQVKVADQSGKTSTGALGSRYDDE >ORGLA08G0177600.1 pep chromosome:AGI1.1:8:19155665:19157527:-1 gene:ORGLA08G0177600 transcript:ORGLA08G0177600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC (No Apical Meristem) domain transcriptional regulator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G76420) TAIR;Acc:AT1G76420] MGDALWEMLGEEMAAAAAAGEHGLPPGFRFHPTDEELVTFYLAAKVFNGACCGGVDIAEVDLNRCEPWELPEAARIGEKEWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVVAAAAAGGALIGMKKTLVFYKGRAPRGEKTKWVLHEYRLDGDFAAARRSTKEEWVICRIFHKVGDQYSKLMMMKSPASYYLPVSHHHPSSIFHDLPPVPFPNPSLVPFHHDLPTSFHPPLLQHSHANSKNSSSNNGGFVFPNEPNTTNSSDNHISCNGAMAAAAFPSFSCASTVTGKGGPPAQLGVNAGQQEPPPPTWMDAYLQHSGFIYEMGPPAVPRGA >ORGLA08G0177500.1 pep chromosome:AGI1.1:8:19148535:19150073:1 gene:ORGLA08G0177500 transcript:ORGLA08G0177500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial editing factor 19 [Source:Projected from Arabidopsis thaliana (AT3G05240) TAIR;Acc:AT3G05240] MAAARAAAAVDAYQHNTLIRAAADHRSLLLAFRAMLREGVAADHFTFPFALKALAQAHHRSPPPCAAATATATTTLGCLHAQMVKSGHGANVYAASALVHAYVSRADAASARAVFDAARHRNVVTWTAMIAGHAAAGEAREAVALFREAVARGQEVNGITVAQVMGACAQSRDLESGRWVHATLRRWGVEPILLDVALATAVLHMYASCGGLDAAFEVFDKMPRRNEVSWNAMAEVCSRHGRQDKVLEVFPGMHSVGMKPDKVTWLSILRACTSKGAAGLGQGVHAYMEKTIGHRHVAVCTSLMDMYSKIGNARSALQIFQCLKRKDLMAWTSMIIGLAKHGHGKDAVQLFNQMQHGGVVPDHVAFVGVLTACSHAGMVDEARKYFDSMWNHYGIRPTIKHYGCMIDLFSRAGRLAEAEGMMQMMPIQPSVTMWGSMMNGCKVHGRADIAERVGRQVAELNPQFGAIYVIMSNIYAEIGRWHAVEHTRRLMWQTGLKKIVGSSGTEVQMLCS >ORGLA08G0177400.1 pep chromosome:AGI1.1:8:19144996:19147678:1 gene:ORGLA08G0177400 transcript:ORGLA08G0177400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRPAHARHMESNAARAAAAAGGEGVGDHDDDGEEEEEEKWREALAAAWGQSRAEREAIRARYAAVKDMIRAEKDGADMRRLGVAMGEIEQLHHKVQRPKEQVADGEALLELVNSLAITAKSKKKDGPTPSEFVTSLLTKFGVRASLLDASIESFSCSDLGAMASPLFMTATGCQTMNGALNLAIEERRKRDARRLFDRFPSKPAGLYETIPDLDERNDTDKNMAVMFKLLRKNKCVKLENLILNRQSFAQTVENIFALSFLVKDGRVEIDVDDKGNHFVVPRNAPAAELITSREVINSQYVFRFDTKDWKIMEGVVEPGDELMPHRQNNIGEHYNNAKSYSASEPQRKRDEFAQREGMDETLIKPCAEDVILKRKRRSEAESLKHWFSSCKWQ >ORGLA08G0177300.1 pep chromosome:AGI1.1:8:19136975:19138111:-1 gene:ORGLA08G0177300 transcript:ORGLA08G0177300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDDDRPLLRARVPIGVLGLPLHSGLSAGGDPRELRLDLSTAFSFGPAIRLSYRPNDPALPFSVSVRAGVGPLGSPARAPFSLAAEFNLLSGNPGSPAFFLLLKPRLGDFSLSHTLRSSPHPGNKVGEVSDGDGHGREVNYKAFSFAAAGKSGGGVGALLSGMRLTTRSVLPLWGRASLRFNWGLRAPPELQAALAADDAMVGASRSRKGGARVPVSKMPLLVIDKISIEQSPRAADKTRGNADSSPPAPAIAAAADADAADGTGRGGEGFSLVRRQLEALNAESGMLRRAVEDLRAEVGSRRAAVSTAGAPDTWRTPPAPPQPAQPYHYSSPVKPDRRGSGKDMAAAENATKPSSDELGDELKRALEARLR >ORGLA08G0177200.1 pep chromosome:AGI1.1:8:19130213:19132981:-1 gene:ORGLA08G0177200 transcript:ORGLA08G0177200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPDRATPASRRSRRLRRRRRRGPGAGRSWGTSEDLLLACAVSRHGTASWDAVAKEMQSRCPSTAVFTPTTCRLRFRVLHRRFSGGVTAENEDADGGEEEEEPDAAAVAGWVEELRELRVAELRREVEKYDLSIGSLQSKVKRLKEEREKSISGETKPPPAKEEEEDVRKGSPEEAGAVEDRVSGHESGRSCKESNSSDLKRPENDPAVADDDDREAEEEEEAAAAPAAGDIAVKEEASGESVAGSKEADAEKESSDVQSSASPSRRRRRKGGGGGEEAEAASPSVSVPLPAAEAEPLVAFLESVRTSKAGAVFERRLDSQDGERYSGTIRRHVDLETVRSRLVGATAAACYASASEFYRDMMLLCANALVFFPRGSPEHAAALQLRALVSKQVSKDRQPHAGAKAPAAAAAEEKKKPAKADADIAGPLLEKAPIIVCRKRSSIAKAAAAAAKGEKAEKAEMDKKEKDGSEEKKAAAAATTATAAATAKDKKARGMRTNKSRGPARNQKTAKLSETGEGTKKSDKKGGGGGGSSSAAAAAGGVAKKRNAVDFLNRMNQNGSPSTERVSLLETLKLSAAATEQQKKSSSSSGKGDGRKEAGGSGSKKGAAASTPPGRRIGRPPKRAAAPPTPPPSKRAKDDKPTRKRGKK >ORGLA08G0177100.1 pep chromosome:AGI1.1:8:19125641:19128923:1 gene:ORGLA08G0177100 transcript:ORGLA08G0177100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQPLDSSGTDDDLPPTYQPRGPRVIFNGNGSLPQPSLHSNVDREIRQIEQQAYTGVLRAFKVQSDAITWEKESLITELRKELQISDKEHRVLLKGVTEEEAVCRIRQSRQTGGTQSSSHHSSVVHTPVPAKRQKKSHSVPVTPQAPVITMHAVVGKKGDRQASDRVLKRLPSNNSPMLGSSRRRGRLHPNELIKGYSPLDGFGIPNTGNVVMEVEKVLSNPNMLEIEKAMKLLRDQEQSLLDAIARLDEASDGENEDIVLVASQLGTTVA >ORGLA08G0177000.1 pep chromosome:AGI1.1:8:19122963:19124503:-1 gene:ORGLA08G0177000 transcript:ORGLA08G0177000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRPEEAAAAAPPWSRLEGRVVLVTGASSGLGREFCLDLARAGCLVVAAARRADRLRSLCDEINASAPRASAAAAAVELDVASGGPALEAAVQSAWDAFGRIDVLINNAGLRGGVHSPLDWPEDEWDTLIKTNLTGSWLVAKHVCRRMHDAKLKGSVINISSVSGLNRGHLPGSTGYTASKSAMHYVTKLMALELGAYGIRVNSIAPGIFKSEITAPLLQKKWLNTVVSKIVPLKTHGTTDPALTSLVRFLIHETSSYVTGNIFVVDSGATLPGVPIFSSL >ORGLA08G0176900.1 pep chromosome:AGI1.1:8:19117289:19121745:1 gene:ORGLA08G0176900 transcript:ORGLA08G0176900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1QK18] MEYHHRPHSPPPSDDDVVVIQMNAAAIAAVDERSSTNEVDDAAAGKGGGLSRRTFSQAYKMKHRTPLEFTWRQVALLSFQSLGVVYGDLGTSPLYVFSSILLDDPGEADFVGILSIILWTFTMICLVKYVFIVLKADDHGEGGTFALYSLLRQHVNFKGNMPVPVTHLASDINLKFHSKKRILTSKLLKFLEQSTKWQAVITYIVLAGTCMVLGDGALTPAISVLSAVQGIQSRSSSITQAHVVLLSVIILFILFFFQKHGTSKVSFTFSPIMILWFTFVAFIGLYNIIKHYPPILKAVSPHYIIIYFIRNKRAAWETLGAIVLCITGAEAMFADLGHFNKSSIQMAFSVIVYPSMILAYAGQAAFLVKNPSKLSMTFYSSTPEPLFWPMFIIATLAAIVASQALISASFSIIRQSIALGCFPRVTMKHTSGKHEGQVYSPEINYFLMVACILITVGFKGGPEIGQAFGVAVIFVMLFTTNLMTVVMLIIWESNIALASLFFVFFFSIEGIYMTSLMNKILQGGWVPFAITAFFLIITLSWTYGRSKKDEYELANVMEREEFIKTVTTSSRVPGICIFCTDMMNGIPPIVRHYVQHVASLRELMVFVTIRVLPVRTVLPEERFIIDKLEPVGVYRCIVQYGYMDNHNMEGDDYVASVIASLKEIAENDDEILVLDSALINGSTFVLGRTIIKMGTRHNCLKRFFINNLYRFLQKNFRSNMSSLKINPGKTLQVGMLYEI >ORGLA08G0176800.1 pep chromosome:AGI1.1:8:19114926:19115419:-1 gene:ORGLA08G0176800 transcript:ORGLA08G0176800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDSSDRASLLKIKEQLGNPDELSSWLPATNCCSWDSGIICSDTGHTIQLEAMAGMYGPIPSSFAKLCHLQFLFISGTSISGSIPDFLVKTNLSALSITNSKLNGSIPESLSLLPNLRVLDLSGNMLTGSIPPGLFRGGPV >ORGLA08G0176700.1 pep chromosome:AGI1.1:8:19104017:19104346:-1 gene:ORGLA08G0176700 transcript:ORGLA08G0176700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATRELEGLLPPLFTSSTAFDVASFNHQPVLGAEAFFPLIRLVHSHWTIGFGGLEAARFSSPELKATATGSSDGGGTSSLMKKQINIANIDARGVTSVGSKGSGGAA >ORGLA08G0176600.1 pep chromosome:AGI1.1:8:19091751:19094254:1 gene:ORGLA08G0176600 transcript:ORGLA08G0176600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITPIAELRPGRYDYMICVRISRIWEFRGTNEDDNIKHLDLVLLDQKGDAIYAEIPPDAIPLLNQYLQEGNIVYISKITVNNAKPSYRAVGNPYMIKLNKRTCIIEAKDQPMDFPKYTFDLVSFDKLHDFTSKTDRFLDVIGKIIAVSNAAMISTSSSDYRMRRIVKLQDLSGKTIDLSLSGKRAVEFDGETILEVGQNNHIIAIFVGTSMKILKGTYEFLSGTTACRWYINENDIPEIKMFQKCLPPHADPIQKLYLQSDEDMQRSIEHKTLAELKEIDPFVDKDEKYQCTATIIGIQERKTWCYQACKLCNCKMIWDGSILKCKKENCPCRQYEYKYKIPFIANDGTASLELVLFEKKGTELIGRTAETMKRQYDINQTPPEIKAWIGHKFTFIVKVLPNITINADEPSFEVLTIKERFGRQHSSQGFKATKNLPISSSSSQQLHNLPPLVPILSKKIQHEIEPPQDIQSMEVEPYNLETSTLSGKRAYEDPNSTDQENDEEESTDYGLIQTKGKKKRST >ORGLA08G0176500.1 pep chromosome:AGI1.1:8:19078252:19082033:-1 gene:ORGLA08G0176500 transcript:ORGLA08G0176500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASGGGAAAAAGGGVGGSGGGGGGGDEHRQLHGLKFGKKIYFEDAAAAAGGGGTGSGSGSASAAPPSSSSKAAGGGRGGGGKNKGKGVAAAAPPPPPPPPRCQVEGCGADLSGIKNYYCRHKVCFMHSKAPRVVVAGLEQRFCQQCSRFHLLPEFDQGKRSCRRRLAGHNERRRRPQTPLASRYGRLAASVGEEHRRFRSFTLDFSYPRVPSSVRNAWPAIQPGDRISGGIQWHGNLAPHGHSSAVAGYGANTYSGQGSSSSGPPVFAGPNLPPGGCLAGVGAATDSSCALSLLSTQPWDTTTHSAAASHNQAATMSTTTSFDGNPVAPSAMAGSYMAPSPWTGSRGHEGGGRSVAHQLPHEVSLDEVHPGPSHHAHFSGELELALQGNGPAPAPRIDPGSGSTFDQTSNTMDWSL >ORGLA08G0176400.1 pep chromosome:AGI1.1:8:19073584:19076350:1 gene:ORGLA08G0176400 transcript:ORGLA08G0176400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G57910) TAIR;Acc:AT3G57910] MEPDAADDDYMGDLSHFLPPPTPSSPSRSLGRRRQPPAQQAQGQGKRAKGVVPWQERRRRDRERKQREEDERTMAGLAEAIPETNVGFRLLKRMGYDPAAGGGAGAEPVGIEIRRSRAGLGAEPAVSVAPPQPSVEVAEGERRRVEEMAVELRARQSTQWRSRRVVWDYRKAEAALAQLENREAAPPPPEGEEKAEEEEEEVITEEDLQNILAKLRDEHYYCLYCGCKYESAEALANECPGPNEDDH >ORGLA08G0176300.1 pep chromosome:AGI1.1:8:19066049:19070536:-1 gene:ORGLA08G0176300 transcript:ORGLA08G0176300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLLLPALLFIALACSSNRVHGALNRHSFPEGFLFGTGTSAYQYEGAVDKRGQNIWDTFSRIPGKIADGSNADIANDFYHRYKEDLNLITAMNMDSFRFSIAWSRILPNGTISGGINKEGVEFYNSLINEVIAKGLKPFVTIFHFDTPQALEDKYGGFLSENIVKDYVDYADLCFSLFGDRVKLWNTFNEPTIFCMNGYATGIMAPGRCSPYASASCAAGGDSGREPYVAGHHLLVAHAEAVRLYRARYRAAHGGEVGITQVSHWFEPYDAGSAADRRARRRALDFMLGWFMHPVAHGEYPPAMRRLVGGRLPVFTAEQSEMLRGSFDFIGLNYYTSNYAVAAPPPNKLHPSYLTDNWVNATGYRNGIPIGPPAYTPIFFNYPPGLRELLLYVKRRYNNPTIYITENGTDEANNSTIPISEALKDETRIGFHYKHLQFVHKGIQEGVKVKGYFTWTFMDCFEFGDGFKDRFGLIYVDRATLARFRKKSSYWFADFLRR >ORGLA08G0176200.1 pep chromosome:AGI1.1:8:19052702:19056432:-1 gene:ORGLA08G0176200 transcript:ORGLA08G0176200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLLLALFLVALVSNGAAVHGAFNRFSFPEDFIFGTGSAAYQYEGAVNEGGRGPSIWDTYAHIPGKVEDGSNGDVAVDFYHRYKEDLNFVTDMNMDAFRFSIAWSRILPNGTISGGINKEGIAFYNSLINEVISRGLKPFVTIFHFDTPQALEDKYRSFLSENIVKDFVDYADVCFREFGDRVKSWNTFNEPMIFCAGGYGSGTKAPGRCSPYVSKKCAPGDSGNEPYVAGHNLLLAHAEAVRLYRQKYQATQKGQIGITQVSHWFVPYSDAAADKHAVRRSLDFMYGWFMDPIVFGDYPGTMRKLVGDRLPKFTAEQSELVKGSYDFIGLNYYTTNYAKSVLRRPSKLKPAYATDNWVNQTAYRNGVPIGPPAFTKIFFTYAPGLRELLLYTKRKYNDPDIYIAENGTDEANNSTIPIAEALKDDNRISFHYQHLRFTQLAIKEGVKVKGYFTWTFMDDFEWGDGYTGRFGLIYVDRETLKRYRKKSSYWFADFLKR >ORGLA08G0176100.1 pep chromosome:AGI1.1:8:19042989:19051771:1 gene:ORGLA08G0176100 transcript:ORGLA08G0176100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:I1QK10] MLRPQLNPSSSHHHTTTTSSSSSTQLYFASSSCITSLRRPSPPSLIAGAGCRTTRRRQQGRQRVVVRCASSSAASSASEAARRGTGSSDMAPAAVVKVKAVATIKVTVEGLLNSLRPSKAIDNIRDLIGRSLFLELVSSELEAKTGKKKATVHSYAHKVDDDDHGVVTYEADFDVPTGFGPIGAVVVTNELGQEMFLEDLNLTAGDGAGNSTVLPIRCNSWVQPKSSIDEGTPGKRIFFAKAYLPGQTPAGLRSYREEDLKQKRGNGAGQREADDRVYDYDVYNDLGNPDSNGDLARPVLGGSKQFPYPRRCRTGRPPSKKDPKSETRKGNVYVPRDEEFSEVKNAQFLLKTLQSVLHAAVPAAQSALIDNLSLNLPFPSFFVIDKLFEDGVELPGVEKLGFLHSIVPRLLELLRDSPGDKILLFDTPANVQKDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADLLEEQMRRVMTVEEAISQKRLFMLDFHDLFLPYVHKIRSLKHTTMYGSRTIFFLTDDGTLRLLAIELTRPASPSQPQWRQVFTPSTDTTKSWLWRMAKAHVRAHDAGHHELITHWLRTHCAVEPYIIAANRQLSEMHPIYQLLHPHFRYTMRINALARSRLISAAGIIELSFSPQKYSMELSSVAYDKLWRFDMEALPADLVRRGMAEEDPTAEHGLRLAIEDYPFANDGLLIWDAIKTWVQAYVARFYPDADSVAGDEELQAFWTEVRTKGHGDKKDAPWWPKLDSPESLAHTLTTIVWVAAAHHAAVNFGQYDFGGYFPNRPSIARTVMPVEEPVDAAAMERFLDNPDQALRECFPSQVQATVVMAVLDVLSTHSTDEEYLGGEQTRPWNSDAAVQAAYAGFTARLKEIEGVIDGRNKDRKLKNRCGAGILPYQLMKPFSDAGVTGMGIPNSTSI >ORGLA08G0176000.1 pep chromosome:AGI1.1:8:19034653:19036645:-1 gene:ORGLA08G0176000 transcript:ORGLA08G0176000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLMTDVPFGVLLSGGLDSSLVAAVTKRHLIKTEAAEKFGAELHSFVVGLEGSPDLIAAREVADHLGTIHHEFHFTVQDGIDAIEEVIYHDETYDVTTIRASTPMFLMARKIKALGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEVRVPFLDKEFINVAMSMDPEWKMYNADLGRIEKWVMRKAFDDEEHPYLPKHILYRQKEQFSDGVGYNWIDGLKAFTEQQVSDEMMKNAAKVYPHNTPVNKEAYYYRMIFERLFPQESARETVPWGPSIACSTPAAIEWVEQWKASHDPSGRLIASHNSASANHTNHANANANGNSNGKANCNCAMAANGTNGVGLVVANGTANGKMEA >ORGLA08G0175900.1 pep chromosome:AGI1.1:8:19033088:19034230:1 gene:ORGLA08G0175900 transcript:ORGLA08G0175900.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDPVSMLEKLRGKRIMFVGDSLQLGQWLSFVCLVNSAVPDTPGAKSMERSRTLSVYTVKEYNASIEFYWAPFLVESNSDRNIALGAGGRVLHVDAIEEHGKHWRRADILVFDSYVWWMTGYRIKSVWGSFGDDGYEELDAWVAYRLGLKTWANWVDSNVDPATTRVFFMSISTTHMRSEDWGREGGIRCYNETWPITQRGYRGSGSDRRMMEVMSDVLGRMRTPVTLLNITQLTEHRVDAHVSVYTETGGLLVTDEEKADPQRYTDCIHWCIPGVPDTWNRLLYAHL >ORGLA08G0175800.1 pep chromosome:AGI1.1:8:19030373:19032310:1 gene:ORGLA08G0175800 transcript:ORGLA08G0175800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTSGGGGALSAQAAAALGEGIGLVFGRWTALQMAVENQWGGRDSRAKADQLAESILSWFANSKGKHYYEDLVDMMYDTVSESFNADFEDGSVEEVAEQLLIMHEECLQSNYSSVEKLRNSRAQGNAVSQSRKVLQF >ORGLA08G0175700.1 pep chromosome:AGI1.1:8:19019038:19026125:-1 gene:ORGLA08G0175700 transcript:ORGLA08G0175700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEPRAAAAAEGGEKRAALLREITEEGGFAFVASAEKAAADGDLRAAEAAREMAWEQLHACPRSEVGRAWRDAYALACLHVAALRVAGGGGDGRRAALRALDMGLIMGGDLLRAELEEAIARVVADRSRGCGGGGGDGAGENGADVEKWMEGLTRKRDLADVLKVLPVKSLSCKQIERRSCISLEAFIRDYFLCESPVILSGYIDHWPARTKWKDIRYLERIAGDRTVPVEELITFSQFLEMMWSSDCSANLTYLAQHPLFDQIKELREDIMVPEYCNAGGGELQKLNAWFGPEGTVTPLHHDLYHNLFAQGNPQILVFIQRVDHPLCFEITGMFALHQSSYSDKRLWPYPKWLHVLGRKYFRLYSASISNDLYPHRETMLSNISQVDLDNINVNEFPRTGDVEFMDGILEEGALGAQGSTTMSSSFVDNSVNTNLNQVFPASTMLVWTQVGEIVFPVYTTMPISAGPSMTRNENAVATNQDDSLSKDPPVEAENGTSTTSELEKDSNAAKPCRPDINREPTKMTSEATKSWCPIHKTWKHTLQACWVFLNVRAPAKSVEFSVFLQLVMSIVLFTRQNHDLSSCKVFLSAMKAPSPKVQQSHIPIRDKDKEQGAMPTSDRFVGVIDIDPHEPSVLHLLEDYGSSTTSAPREVLAIDDVGTSARTNA >ORGLA08G0175600.1 pep chromosome:AGI1.1:8:19017020:19017346:-1 gene:ORGLA08G0175600 transcript:ORGLA08G0175600.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELATRRITTTRRLFEIVERCAHADDALRRKNDKPKTGGEKKPATDAPETSKKKNRKNGKRKAQAEVLAAEYANPPKRPNPQSSDTKKAWCPIHKTDIHSFEDCLVFKK >ORGLA08G0175500.1 pep chromosome:AGI1.1:8:19002496:19002831:-1 gene:ORGLA08G0175500 transcript:ORGLA08G0175500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKQTSHKSKRPRDRSPTPSSHDDSDSDWSGGEDAVPQVARVAQRSTQAHGGGGDGEGSSRQPXTPPHQPNVPNGPLRIHTPERDPAVIRQVYDWRRKSEVVAPRRDEDP >ORGLA08G0175400.1 pep chromosome:AGI1.1:8:18985967:18988563:-1 gene:ORGLA08G0175400 transcript:ORGLA08G0175400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRGDQLVVLVHPPPAPRHRGGPGPATRHEIQAAIAKATELRALHAALLQGQGAAAANAVSAYSRSPAASLIRLPPGASPALSKAAAAAVAEDYPVFTPTYDEEALSGMNYIRQDNRSLSENWSGIGLDHEGQEDEVAFSDFDNHNTFSSSNSELHFSSSNEHRRNRMGCRNHPSFLQPALSTDSFIKSASKRTDLAEFKAVTTCNTCKPATISRHPEADVDALKNLSSRVPPQSNYHPSICSRPRQKGPHILSWLLPKSKRKVKSDMSPNTVECENMSQLLKEWGVFSLESLKKELAEANENRDAALQEAAEMKSSLGELTTKLVSLEGYCSELKKALKQATSTKNMISHSKRSARSLAVSRDNSMPVSHEVMVEGFLQIVSEARLSIKQFCKVLIQQVEDADNGLSDKLNLLLQPYQVTLTDKHPKVVLYHLEALMNQAMYQDFENCTFQKNGPPKYLDPKEDRQENFASFVALRNLSWNEVLKKGTKYHCEDFSRFCDQKMSSIVSMLNWSWPWAEQLLQCFFVASKCIWLLHLLAFSFSPPLVILRVEENRAFDQMYMEDIHLDKQRSQNPCQVKIMVTPGFYVQDRVLKCRVLGRYS >ORGLA08G0175300.1 pep chromosome:AGI1.1:8:18984006:18985535:1 gene:ORGLA08G0175300 transcript:ORGLA08G0175300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAWLLCAVLAAATVVYYLACTTSRRARRRRLPPGPTPLPVIGNVLGLRGNMHHALARLARERYGPVMTLKLGLVTAVVVSSPGAAREAFTRHDRRLAARTVPDISRARGLAGRSMIWLPSSDPRWKTLRGVVAAHVFSPRSLAAARGVRERKVRDIVGYFAVHAGEAVDVGEAVYGGVINLVSNAFFSADVVDVGKESAHGLRKTVEDMISAIAKPNVSDLFPFLRPLDLQGWRRWAEKRIDEIYGILDDKINSRLADTDADASTKKHGDFLDSLLELMSAGKIARDDVTNIMFEVFGAGTDTISITVEWAMAELLRNPSIMAKVRAEMDDVLAGKKTIEENDTEKLPYLRAVIKEAMRLHPVAPILLPHHAAEDGVEISGYAVPKGSTVIFNVWAIMRDPMAWERPDEFMPERFLQRAEVDFRGKDFEFMPFGAGRRLCPGLPMAERVVPFILASLLHAFEWRLPDGMSAEELDVSEKFTTANVLTVPLKAVPILASSASELQAS >ORGLA08G0175200.1 pep chromosome:AGI1.1:8:18974204:18975352:1 gene:ORGLA08G0175200 transcript:ORGLA08G0175200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDAWLLCAALAAATVVYYLACTTSRRAQRRRLPPGPTPLPVIGNVLSLRGNMHHALARLARERYGPVMALKLGLVTAVVVSSPDATREAFTKHDRRLAARAVRDTSRVRGLADRSMIWLPSSDTRWKMLRRVVATHVFSPRSIAAARGVPERKVRDIVGYFAAHVGEVVDVGEAVYSGGGQPRVERLLLR >ORGLA08G0175100.1 pep chromosome:AGI1.1:8:18955355:18955765:-1 gene:ORGLA08G0175100 transcript:ORGLA08G0175100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLRSRTLRAAARLRGEKTWVAATPRSVFQRGSELGSHIKDRLANPRARVVSGTARAARRRSCLVNASLAAPADDTTTAVTRPSFSAITGPCSPARRASAWCMLPRRLSTLPITGSGVGPGGSRRHRRRIVPVR >ORGLA08G0175000.1 pep chromosome:AGI1.1:8:18932876:18940329:-1 gene:ORGLA08G0175000 transcript:ORGLA08G0175000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAWLLCAALAAAMVYYYYYYHLACTTRRAQRRLPPGPTPLPVIGNVLSLSGDMHHELARLAREQYGPVMTLKLGLVTAVVVSSPDAAREAFTKHDRRLAARTVPDISRARGLTGRSMIWLPSSDPRWKTLRSAVATHFFSPRSLTAARGVRERKVRDIVNYFAGHAAEVIDVSEAVYGGVINIVSNAFFSADVVDVGKESAHGLRETLEDIILAIAKPNVSDLFPFLRRLDLQGWRRWAEKRYDKVFGILDDKINSRLADADADASTKKHGDFLDSLLELMSAGKIACDDVTTVMFDAFGARIDTISNTVVWAMAELLRNPSIMAKVRAEMEDVLAGKKTIEENDTEKLSYLRAVIKEAMRLHPVAPILLPHRAAEDGVEIGGYAVPKDSTVIFNVWAIMRDPTAWERPEEFMLERFLQRAEVDFRGKDFEFIPFGAGRRLCPGLPMAERVVPFILASLLHAFEWRLPVGVAAETLDLSEKFTTVNALVTPLKAIPILASHQI >ORGLA08G0174900.1 pep chromosome:AGI1.1:8:18923848:18928434:1 gene:ORGLA08G0174900 transcript:ORGLA08G0174900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPLLSTASPRDFRPVCHLRSMHAAIVCGVPPPKRKGWRRWAEKRYDKVFGIFDSVINSRLADASTRKHADAGAGDFLDSLLDLMSAGKIARDDVTSIMFDLFGAGTDTIAITVEWAMAELLRNPSVMAKARAEMNHALAGKKTIEENDVEKLPYLQAVLREAMRLHPAAPILVPHRAEEDGAEIGGYAVPKGSTVIFNVWAIMRDPAAWERPEEFMPERFLDMAEEVDFRGKDYKFIPFGAGRRLCPGLLMAERVVPFILASLLHSFEWRLPAGMTAESLDLSEKFTTVNVLVTPLKAIPILASKNENIRE >ORGLA08G0174800.1 pep chromosome:AGI1.1:8:18911493:18913508:1 gene:ORGLA08G0174800 transcript:ORGLA08G0174800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVSKDLNLPVQPPAMSSSGLLRYRSAPSTLLAEFCDDFLPPAAAPRAASPDADNVFSRFLADHQIRDKSPPATATAAAAHFPDDPTMATQHHHQQQQMMFQHHPQQMASVEGLYRTVSSTGIDAATAAGGGGGGLLRQSSSPAGFLNHLNMDNGYGSMLRAGMAAAAGGGGGVGFRNGANAAAAADSPGGSGGRLKGQLSFSSRQGSLMSQISEMGSEELGGSSPEGAGGGGGGGGRGYLSGYPMSSGWEESSLMSDTNISGVKRQRDSSEPSQNGGGGGGLAHQFSLPKTSSEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMDKQTNTADMLDLAVDYIKDLQKQVKGLNDSRANCTCSAKHQQYSG >ORGLA08G0174700.1 pep chromosome:AGI1.1:8:18895418:18897309:-1 gene:ORGLA08G0174700 transcript:ORGLA08G0174700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGLRLRALGLCRGNRGAFPAAHGGGGGRLHPRRPRPAGAFCSLAVATTGNGAAAAAGPVGSGAEVARAKRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGVRYVVVKIHDSWDEFRDYFMKQDGEKRLLAFTKRGTSIHSDFSYKPGDWLVFGSETKGLPQSALEDCSREGLGGGTIRIPMVETYVRCLNLSVSVGVALYEAARQLNYEQLHYQPELPEEAQGLFPAEDIYA >ORGLA08G0174600.1 pep chromosome:AGI1.1:8:18891705:18893753:1 gene:ORGLA08G0174600 transcript:ORGLA08G0174600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAAAVAVVVVLGVEVAAALNTDGLALLALKFAVSEDPNGALSTWRDADNDPCGWSGVTCVDGGGGRVAGVELANFSLAGYLPSELSLLSELVTLSLPYNQLAGQIPVAITALQKLAALDLAHNLLSGQVPAGIGRLVSLSRLDLSSNQLNGSLPPAIAGLPRLSGVLNLSYNHFTGGIPPEFGGIPVAVSLDLRGNDLAGEIPQVGSLVNQGPTAFDDNPRLCGFPLKVECAGEKEDPRIPEANGGMNPGAAAAVGRPPRRRSSPTVPVLAAIVVVAIVAGVILQWQCRRRCAAATARDEEKESAKDKSGAVTLAGSEERRSGGEEGEVFVAVDDGFGMELEELLRASAFVVGKSRGGIVYRVVPGHGPAVAVRRLSEPDDGDGGSDSGWRRRRAFETEAAAIGRARHPNVARLRAYYYAPDEKLLIYDYLSNGSLHSALHGGPTASPTPLPWSMRLSIVQGAARGLAYLHECSPRRYVHGCIKSSKILLDDELRAHVSGFGLARLVAGGAHKAAAAQSKKLGGAACALRGGGGALAYVAPELRTPGGAAAAATQKGDVFALGVVLLEAVTGREPTEGEGGLELEAWVRRAFKEERPLSEVVDPTLLGEVHAKKQVLAVFHVALGCTEPDAELRPRMRAVAESLDRINA >ORGLA08G0174500.1 pep chromosome:AGI1.1:8:18875460:18877916:1 gene:ORGLA08G0174500 transcript:ORGLA08G0174500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMIYFQGWYGFGRTGVLRSIAEVLPSMKPYPPELRFDRTIYIDCSRWKSKRVMQRKIAEELKLDNETMALFDKQDEEDDFNGVDPCSRDAIPKVSATISRALSQSRFLMIFLNGSDDIPLSTFGIADYFDCVVIWTWSRMCLTVGIPYPESSIRKLEEITDLFIFGRLSYDLSSSEFGALFREEVATIVGRCPCLQNIDLEIVADCCLYGFLMYYHNKQSTNEFVWPAHASNYWTCDGIIXGARALEVSNALHPEVSFECSSYELKRVVTKLKMDPKAPFLLLEDDNKFVYSNRPYRWVFAISNDTIDEAMQTKMASASSIFLATQMYLLGIPDGFFEQCSSLCVLVLSCCAFNFVSPPFLHCQTLKFIGLDRCKSNSTVELQGKWACLQNLRVIDLRYTDWVEIFHEEKMEPMTNQLMEVNIEGVRCSQLTSQLKKRLPCLERLRIINPENEAETSSSSTDINDIFVDKTDLQLLDLSGNKEMKNLPTSISNAGQLKVLILDGCDALEDVVVPNRLPSSLRSFSFDGYGSAAPSRASTIELPLQSCRPVGRGMKDVKTSVISLEGCTQLDNLFLRGLLNLVELDLSGCAIKVLDFGTMVTDVPCLKRLFLLGCEHLRAIRRGPNGGRSTLLELLCIDTRPARKVLGCARPSLAVEHKSFRLQVHACIVDARLARSLLAPIIYYCYFNISITSSMASSSGVVQPEETSKKMTEPSGQKHCGVAGIYGDVFSKVGDTVTTMEAFPQPPTQQLDRHMEIGDGSHSVESEVKQAYESNNLIQLMAWYTGSLHVHDDSACRHALAAGTWYYIRWCRVERCSN >ORGLA08G0174400.1 pep chromosome:AGI1.1:8:18869015:18870732:1 gene:ORGLA08G0174400 transcript:ORGLA08G0174400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYSSAVNLRRSSKRTMYSQSSQFHGGLTQSLGLRKCSRSHPSSYNVKLGLVDCSLHRNIKSSDRPSLRYFVSLVGRQFRCGLSGKEGSLNMKLDMPSRDNFSSMSWNWRGLHQKIGGTTSGLCLGFAVSGIANAEVPVEISISNSAASTSSTHGKEVYTDYSVTGIPGDGRCLFRSVIHGACIRAGRPIPNDDLQRKLADELRAMVADEFVKRREESEWFIEGDFDTYVSHIRHPHVWGGEPELFMASHVLEMPITVYMHDEDAGGLIAIAEYGQQYGKEDPIQVLYDGFAHYDAVQIPAKNAPET >ORGLA08G0174300.1 pep chromosome:AGI1.1:8:18861626:18862768:-1 gene:ORGLA08G0174300 transcript:ORGLA08G0174300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPSPAAPPLLLAALTALAVVASTSAAACSAGDRDALLAIRAALSEAHLGVFSSWTGTDCCTSWYGVSCDPTTGRVADLTLRGEADDPVMAPAGRPASGVMSGYISDAVCRLGRLSSLILADWKQISGPIPPCVATALPYLRILELPGNRLTGEIPRSIGSLSRLTVLNLADNLIAGEIPSSITSLASLKHLDLTNNQLTGGIPDDVGDLTMLSRALLGRNKLTGAIPTSVGSLTRLADLDLAENGLTGGIPDSLGGAHVLTSLYLGGNRVSGRIPASLLQNKGLGILNLSRNAVEGAIPDVFTAESYFMVLDLSRNRLTGAVPRSLSAAAYVGHLDLSHNRLCGSIPAGPPFDHLDAASFASNSCLCGGPLGKCT >ORGLA08G0174200.1 pep chromosome:AGI1.1:8:18858207:18858674:1 gene:ORGLA08G0174200 transcript:ORGLA08G0174200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPTPRHKNPSNLQGLQNMGIKQPMMLFEEPPQASSDKERVQSSLKPEDYSIGLNHLKQYPANGSCHLTTDRRKANVTCFGCGEKGHYANKCPKRRLRVGPRRSFPWQPRRDGCCFSCGQFGHYAIDCTQDTNEEQETRPSQISPEEDQDTNEG >ORGLA08G0174100.1 pep chromosome:AGI1.1:8:18851190:18851420:-1 gene:ORGLA08G0174100 transcript:ORGLA08G0174100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISMRLVMVALVLVGIVLAANQEVVDASTRVATDSPELAEERKKLEKLTAIFSRPPGVCHANEGCRDEPILIDD >ORGLA08G0174000.1 pep chromosome:AGI1.1:8:18844579:18845548:1 gene:ORGLA08G0174000 transcript:ORGLA08G0174000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQAAAVAFSCAAVALPRALALLLAPPARHRPQHCRCPAHRLLLPQAAAARAARPRRRLRSLLPKPQRHADDADDAAPPTAAARPRRPRPFSRSRHRAFMDRERRLASMESKNRSKGKKSSEACPGGSHLASVQKPPRQRPKSNPPTSHQGSDSKNEEFSMPSVSSDSTPSHLSVHSSSQDKEVDGESTDEGNSGSPGSKRKGKRKKGIFVSPTKSVARVKHSDCWKLFKVVDVPSKTEKGVTETKAK >ORGLA08G0173900.1 pep chromosome:AGI1.1:8:18838361:18839589:-1 gene:ORGLA08G0173900 transcript:ORGLA08G0173900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKGGAARKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEVPPEGLKGLGTKVIDETD >ORGLA08G0173800.1 pep chromosome:AGI1.1:8:18832501:18835328:1 gene:ORGLA08G0173800 transcript:ORGLA08G0173800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDNGEVRDEKGVGSDYEPARVSGVSKKLMRKDTRENSPRMAKSSGSRQVQNKLQHKASNNIQSRSPKPRKVVNAAKSAEVRRSDTVRVPSRAPSELSEETDDIVSEAGTVDDSKGNEEAKEIDVLDEAPHCDQSTGTDDEIPEIEEKIVDDEKPVVYQRNEELQSKIDKLEQELREVAALEVSLYSVLPEHGSSAHKLHTPARRLSRMYIHASKFWSSDKIASVAKSTVSGLVLVAKSCSNDASRLTFWLSNTVVLREIIAQTIGISCQSSSTITAINMNGSAKSLDGRSMPMLWTNSSSGKQTKFTGMQVPDDWHETSTLLAALEKIESWIFSRIVETVWWQALTPHMQTPVEGSSTPKTGRVLGPSLGDQQQGTFSVNLWKAAFHDAFNRICPLRAGGHECGCLPVLAKLVMEQCVGRLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDNFGIDDDDSEEDGTDTGSERSAAESKSFQLLNELSDLLMLPKDMLIEKSIRKEICPSIGLPLVTRILCNFTPDEFCPDPVPSIVLEELNSESLLERCTDKSATSAFPCIAAPVVYRPPSLLDVAEKVADTGGNAKLDRRASMVQRRGYTSDDDLDDLDSPLASLIDKSAPPLLSKGIAHFTAQRGVSMENARYTFLREVWLERQ >ORGLA08G0173700.1 pep chromosome:AGI1.1:8:18821165:18821494:1 gene:ORGLA08G0173700 transcript:ORGLA08G0173700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISAAAAGMLRARLRGGGGDGAGRWTTPGHEERPKGHLFNRPPPGESRKWEDRELPCYVTSFLTVAILGVGLSAKPDLTLETWAHHKALDRLQEKDLAAAGQVPREL >ORGLA08G0173600.1 pep chromosome:AGI1.1:8:18808007:18809278:1 gene:ORGLA08G0173600 transcript:ORGLA08G0173600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLDRARALRVLGRGAMGTVFLVEARYGGFRYALKVFDKRSAAATRHDAERRARWELSVLSRLAHPHLPCLLGSAETPGLLAWAVPYCPGGDLNELRYALPDRVFSPAAIRFYVAEIVSALGELHASGVAYRDLKPENVLLRADGHVTLTDFDLSRLLPPKTEAPSSASPPPRMFQGGGHRPRVSARSEIPLFSHATKPDPSPPAANPSAKQQLQSLVRFIMKGDRSELSKKAKSARVSPVSRKPASFASSWGKSFSFVGTEEYVAPEMVRGEGHGLAVDWWAVGVLAYEMAYGRTPFKGKNRKETFRNVLLKDVEFAGDSRRRLPELTDLISRLLERDPRKRLGYQGGADEVRDHPFFAGVAWDMLDVVSRPPYIPPPADDGDEVVGDGEDFSIREYFDKLHQPPPPESESSSSEFSSEF >ORGLA08G0173500.1 pep chromosome:AGI1.1:8:18796206:18796718:1 gene:ORGLA08G0173500 transcript:ORGLA08G0173500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREKKVEEPTELRAPEMTLCANSCGFPGNPATNNLCQNCFLAASASSSSSSAAASPSTTSLPVFPVVEKPRQAVQSSAAAAVALVVERPTAGPVESSSKVSRSSSVNRCHSCRRRVGLTGFRCRCGELYCGAHRYSDRHDCSFDYKSAARDAIARENPVVRAAKIVRF >ORGLA08G0173400.1 pep chromosome:AGI1.1:8:18780725:18788000:1 gene:ORGLA08G0173400 transcript:ORGLA08G0173400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDAAGGGGDGGRYFKADLTGAGVVQLSERVREKLREFVDDYTNNTLVEYVILLLEGGKRKDEAIKELDVFLGKDSRAFISWLWDHLSVNLHLYVQTQEQLQVDNKDDEAPNELPGEQKSSELQLRSKDQTHPERASEPSTTRSRTKRDWKGIGREGNENFPLRSVLKDILHGEEKRSQKVNEIRHPPSSNQRNGRKRDRDDEPQQIKRDLPLRRDVGASCRLLKFAVRDAVKAVQQTSSSTEPSSKRLRSVVSTSSADSLHGKRIETSTEGHLYDKKPERTRQILQVPGAALALRAAAEAAADSTKVRSTGSVFSRLGQGNAVNQTPRSREQQRDYEDFKPATTADDHDSDRYDNDDEISGDITLEDGEAEMNVDSTSDDDVSRDDGITRYGSSDSQVAAYPSVVEKKDVFVKCSVEPETSTMRHSNLIKEEQPGSSSVISMSKTVVVPVNANNLEPSNYETPKDVHVVEKMDITPMNATVTSLTSNIKELAHGEVQKDSQRSAIASSVTSSYSTAHPTEDADSRTLYVSNVGIYVFSSHMVSCPIFLYLCQFYLKVHFGATKDALSRHFNKFGAVLKVVIVTNAATGQPTGSAYVEFLHKESAERALSLNGTSFMARILKYFICFSLVQVVRRSSHEAAHFYGWPGGGRTSMYARHGRMAYPRGGLPGSTFRGRAPMIAGARSLQWKREPSVTDSNTGATVALPSAEQVLPPAT >ORGLA08G0173300.1 pep chromosome:AGI1.1:8:18777446:18778177:1 gene:ORGLA08G0173300 transcript:ORGLA08G0173300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLASLLSPSPLPTTTTSSTSPRAVRLAPAKPLAATLAAVAAAGLLALSPATAAAAAAGEAEFKVYYGTAASAANYGGYGGNASKKDAAEYVYEVPEGWKERLVSKVEKGTNGTDSEFFNPRKRSEREYLTFLAGFRALAPVGAVLDNLALSDVGLQDQIASADGVLSTERRDGGGQLYYEYEIAGAGAHSLISVTCARNKLYAHFVTAPNPEWSRDEAVLRRLHQSFKTVDPAGPPPASS >ORGLA08G0173200.1 pep chromosome:AGI1.1:8:18771643:18776495:-1 gene:ORGLA08G0173200 transcript:ORGLA08G0173200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:indigoidine synthase A family protein [Source:Projected from Arabidopsis thaliana (AT1G50510) TAIR;Acc:AT1G50510] MSPSSSSSSTGPMGVAVSPEVEAALARGGAVVALESTIICHGMPYPKNLQTAMEVEAVVRENGAVPATIAILNGVPHVGLSGEQLKSLAVSGRQFQKTARRDIAHVVASGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRNGEQTMDISSDLTELGKTPVTVISAGVKSILDIPRTLEYLETQGVTVAAYKTNEFPAFFTEVSGCKVPCRVDSPEECAKIIYANKNLHLGSGILIAVPIPKEHAASGNAIESAIQKALKEAEDKNIIGNAITPFMLDRVKVLTGGSSLEANIALVKNNALVGAKIAVALSDLHQRVTNRFRRSAL >ORGLA08G0173100.1 pep chromosome:AGI1.1:8:18763136:18763549:-1 gene:ORGLA08G0173100 transcript:ORGLA08G0173100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGKALEMEKRTVQDRQVWSHVAGLSDTHTHGHLAIQLLHAYWYTQAMDRLTEWMDCSFDMTLQTAKICSTGVMTDNLGVPHGKQSRVERGMVSTKENFGPFDWLEDLCVRLLDPALATRIVATLLPREYRACSL >ORGLA08G0173000.1 pep chromosome:AGI1.1:8:18753990:18757034:1 gene:ORGLA08G0173000 transcript:ORGLA08G0173000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYTSFFKNPYYYYCTSASSFPTAPAAAAAAAAAHLPPPLPPPYAALYPTAGGVGVGVGAHHHHHQYPPAAFFHPPPVHQQHQAPPSPPLREALPLLSLSPTPARRGGVVDAAADSDSDDDDDGDCCYHHLQDQEGAAAGSTATPAAAAARAPLFADLNCIPTCCGDDNDGGDPMDVEVAGTTADIDAAVALRIGLPAGGTEADLLSGLTGAGIEHEEEEEDCKVDGGGSGGDDEVVPLGFSSTPIGKLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMQMHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHAHTALDADDDDGAVSDADAVVRPSSSMAASSLQPPPR >ORGLA08G0172900.1 pep chromosome:AGI1.1:8:18738191:18738768:-1 gene:ORGLA08G0172900 transcript:ORGLA08G0172900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQMLPPRLAFSTRFSCGGGGGGAVVVSGERLAVDARRNDPDETGDEINYNITLKLPAQSAIFIQQEETDIQMFPYQNSYWIPSSTALTDNK >ORGLA08G0172800.1 pep chromosome:AGI1.1:8:18731930:18738114:1 gene:ORGLA08G0172800 transcript:ORGLA08G0172800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSWGGGGGGGGGAVRLWCCGLLLMLLSGGGGAAAQRPPAYKTLSGKAPLVIAKGGFSGVFPDSSQNAYVFALSSTSGDTTLWCDVQLTKDGVGICLRDLLMNNCTSIKQAYPAGEKAYIVNGQRSKGWFPIDYTISSLQSVILTQAIWSRTDKFDFAYLPILAVTNVTDFAKSSSVWLNIEHDIFYRQHGLNMTKYILSISKGGSVQYISSPELGFLQSISGRVNRKTKLVFRFLDATSSDPSSNQTYGYLLSNLTFIKTVASGIMVPKEYIWPVTTNNYIQPAKSIVRDAHSAGLEIYASDFANDRTIPYNYSYDPLEEYLHFVASDNFSVDGVLSEFPLTAAAAIGCFTNLNVSSKTDHGSPLIISHNGASGDYPDCTDLAYQKAVDDGADVIDCSIQMTSDGVPVCMSSINLFDTTNVQRTSFSNRASIFKDIQPTPGIFTFNLTWAEISSSDLRPKISSPESIYYLVRNPVYRNAGNFFRLSDFLTFAKDKDLSGIMIIIKNAVFMANSLGFDVVDSVTKALSDAGYNNQTTKAKEVMIQSEDSAVLVNLKQLETKYKLVYTLPSTIGDASASSLVDVKKFADAVIVERESIFPESQGFIMKETNLVKDLRSAGLAIYAQVFRNEFVSPPWDFFSDVTVEINSYVQLVNIDGIITDFPKTVRRYKMNSCTGLGVNMPSYMNPAEIGVLAQLLNGSQAQPPALAPMPVLNSSDVTEPPFPSAAPKNAPGGAANGSTPAPGASPSGSQAAAVMRAGILPMVTALFASLLI >ORGLA08G0172700.1 pep chromosome:AGI1.1:8:18714488:18715521:1 gene:ORGLA08G0172700 transcript:ORGLA08G0172700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVQAVAAAPSLAAEEAPAVVEAVQDQELVVAAVEEEEATAAAAAAAAAQVEETKPADDQAVAAPAETVAEAEAEAKEAEPAAAEEAEPEAETAPPPAIAEAEAGEAKEPEPEAEAEAAAAPVEEAAAAPVEVAEEAEAAPPAAPEVAAVEATE >ORGLA08G0172600.1 pep chromosome:AGI1.1:8:18702090:18703473:-1 gene:ORGLA08G0172600 transcript:ORGLA08G0172600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALLSASTTAPCRHLRLRLLLLPSAAPPRAGTRSSKSAAAAGVRGRVRVNSLFGDGGGGDDGFRAVRRLVKLNSAVQNRSVRELLELAGDECLYFFGRISSIDVSQVSKNMFLLLHAMMLRHHVSFVLKPTENEGFDLGVKWSLEWKGKKLPWDLDCNITTNHVYRGMLLIK >ORGLA08G0172500.1 pep chromosome:AGI1.1:8:18697020:18700516:1 gene:ORGLA08G0172500 transcript:ORGLA08G0172500.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCPTRSWPGTWSRSCARRRPSRAPWRRRGAYRRSPWRASSAPSSASTSPPRPPSSATSSSPSSPPPPQGAPFTSSAPSPSASASASAAAAGHFFSQQQQQQQLQTFLTASQQYQHRGGAGAAAAPPSAYGVAAAAAGYRYGQPFPQDEGAHLQRLVQMHHHQQQQQQQQQQMAAAAAGVAAAPPTAVESPRPAAASKKESASTGVKRRGGPGGLNKVCGVSPELQAIVGEPTMARTEIVKQLWAYIRRNNLQDPNNKRKIICNDELRLVFETDSTDMFKMNKLLAKHIRPLEAKKDSNRDSKKLKPVDSEPISPAETDVNQLPIILSDALVSFFGTGEKEMPSSEAVKRVWDHIKSNNLEDPANPTMILCDSKLKQLFGCESLTAVSVSELLSQHLFKQPNKL >ORGLA08G0172400.1 pep chromosome:AGI1.1:8:18686673:18688366:-1 gene:ORGLA08G0172400 transcript:ORGLA08G0172400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alanine:glyoxylate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G13360) TAIR;Acc:AT2G13360] MADYVYGPGRNHLFVPGPVNIPDQVIRAMSRQNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLFPTTGTGAWESALTNTLSPGDKIVSFLIGQFSLLWIDQQQRLNFNVDVVESDWGYGADLAALDYKLRQDPSHSIKAICIVHNETATGVTNDLSAVRKILDKHRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKTAKSVRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLRTALDLIFEEGLENVIKRHNRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSAEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGHLNELQLMGALSGVEMVLKDIGYPVKLGSGVAAAAAYLSNSTPLIPSRI >ORGLA08G0172300.1 pep chromosome:AGI1.1:8:18685529:18685936:1 gene:ORGLA08G0172300 transcript:ORGLA08G0172300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEIVDGSTVRSFVDDEAAFNASVDGRFAALDADRDGVLSYADMSGELMALRVLDTHFGVDDGHGGADDGLYRGLFARFDRDGDGKVGLHEFRAEMKEVMLAVANGLGFLPVQMVVEDGSFLKVAVDRELAKAA >ORGLA08G0172200.1 pep chromosome:AGI1.1:8:18683051:18684502:-1 gene:ORGLA08G0172200 transcript:ORGLA08G0172200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLCRVAPGDKHGTFGRRGNENDGLPLRFMLGRKKTTRGSRLSMLFSSLFSTESSATRDSLLSGRHLPHNGASAAAPSSRRWRPHHGGGTLLSGNATLMVSPRMRLISGTLITMVVATYPQHGALPLALRPHKVRVARSNCHKLEVSEIQAIRWICDDFEAQFLNIDLGSKQSTRLDESSRARCFFLVMNSP >ORGLA08G0172100.1 pep chromosome:AGI1.1:8:18676836:18677660:-1 gene:ORGLA08G0172100 transcript:ORGLA08G0172100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESKAAMMVTILLCCSSISPAFAQKHKGPPAAAAVSLPPSPAPSPAAPRHVDLADLLSVAGPFHTFLDLLEKTDVLRTFQSQANGSKDGITVFVPKDAAFASLARSATANLTSDQLKSLALYHALPRYYSLAEFNRLGGAASPVPTLAGGEYTVNVTDDMGTVHVGSMWSNPKISSSVYSTRPVAVYEVDRVLLPMQIFRTDPPMAPSPAPAPDAKPASDAASPLPGKSSSAKAKADDKKSSSSPPSSRRGAGIAGYFLALAASASAGLLLLC >ORGLA08G0172000.1 pep chromosome:AGI1.1:8:18661229:18667086:-1 gene:ORGLA08G0172000 transcript:ORGLA08G0172000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKVVKIRLLEDCPPAPLSSDKKSFGTVNRFSSSDVITKKRKVLVSGGTKHCALSAVAREKTSVPSFIPLSSFPVIDKSTERRIHEFAQKVSSDITIEDIQKKLVVPSTHTPVSKNTDKITLGMVQRSVEAINAALHMLENGASIEDVKSVCAPSDLFQLARWKNKLNIYLAPFLHGMRYTSYGRHFTKLDKLEQIVDRLQWYIESGDTVVDFCCGSNDFSLLLKEKLEASEKSCFYKNFDLIQPKNDFNFERRDWMTVQPDELPTGCRLIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKETERLDRKYPPYELIWEDSHQLAGKSFYLPGSLDADNKVMEQWNMSPPPLSLWSRSDWARKHKEIAKTMGHISKNVWYLDDTQRSVVNTGHAQMANEGDDDLDNKERQEEAPLNASVIDQLLSDTYHDPTSSPGDYWTDTNGRSRQPCNYEGRNDPTHEYHAGMGCGSDMSISSSDKSDCEKQTETMSNSEHGHTGSEAHDHVGSAPVEQPTGFADCDEVTSAGIEYHSLENSPLTERPADAAGVQYKMLEDTPPPLDELVPGFSVQPIVSLPGGGRLSAGLQYQRLEDTLSRGTPEAGAGCRQLEDSLPAPPAASEVDAVVAKYLPQTTSDLPALPFVPAPRIPFPGLQFAPRNDLWQGWYPPPEFLSRGMDHPPFMHGSSGWLDD >ORGLA08G0171900.1 pep chromosome:AGI1.1:8:18641284:18646557:1 gene:ORGLA08G0171900 transcript:ORGLA08G0171900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVLEISIPNGTVRINSSRMVFPAVYPENSTGTMQWXVGNSYFLSDLNIISLVGCNAQVFLRGGGDTLINSCITSCPLSLEPGNGSCSGIGCCQASIAMRLPVYDISATLVEDWGVGPDPRERNFFVYIVDQASFHFNTNMVTKGISNTPEALPAMLNWLILSNSSACSASTNASAPSSAPECRSANSFCKGYNGTTADYDGCRCYCSDGYEGNPYVDGGCRDIDECKSPHIYPCYGDCKNTRGGYDCQCHHGYKGNASILNGCQDINECAEPEKYSCYGGLCINTPGAFVCRCHDGSYGDPFTKEGCRSSKGLTIGLIVNGGSVLLLLGLAAPFILISRNTDFAERMIITLQELEIATNNFDKSREVGTGGHGVVYKGIIDLHVLAIKKSKIVVQREIDEFINEVAILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYHHLHVEGSISLPWDDRLRIALEVARALSYLHSSASMPIFHRDIKSSNILLDDNLTAKVSDFGASRYISINETGITTAVQGTIGYLDPMYYYTGRLTSKSDVFSFSVLLMEVLTRKKPIGGTFDNGDGLVSHFISLLSKGNLYNIIDSQVKEEEDGEVLEVATLATTCTKFKGEERPTMREVEMALESIVSKKSSFYNKNSQSSSRSDENRISALYMSIEGVTKDKTITITKSSTEEEIPLSSRFSR >ORGLA08G0171800.1 pep chromosome:AGI1.1:8:18632315:18635114:1 gene:ORGLA08G0171800 transcript:ORGLA08G0171800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLAIATLHLLQFLAAMPLILSYNIALPGCTDTCGNITIPYPFGIGDDRCFREGFKLVCDPAYDPPKLFMNGPGYEVHKIKLARRVLHLDTGITQMLGGDSYNQKWILDLDDKLFRVSADMNVFITLGCGFHFFIGSSPAAAGDNATGSSNCVSNCRPGYPILATDGTCYGIGCCNASVVEDHNSYTIKLLSLQSSPRAVPFNASMVVVKGEWWRRADNAMLLQQEVLSRLGAIAGAPDAARNVGVRTVVNWMLGRGYVCKCRSGYDGNPYMPNGCQDINECMLPNPPLCFGKCINTVGSYECICPGGTSGNAHIQNGCVSSKLKFSGLIIGIGLGGSLIIVVLILAGIVVRRKFKSRRAKKLKEFFLKQNRGLLLHQLVDKDIAERMIFSLEELEKATNNFDESRKLGGGGHGTVYKGILSDQHVVAIKKLRYAIKREIDGFINEVAILSQVNHRNVVKLFGCCLETEVPLLVYEFIPNGTLHEHLHVNSAQSVPWKERLRIALEIARSLAYLHSTASVSIIHRDIKTTNILLDDRFIAKVSDFGASRGIPIDQNTVTTTIQGTFGYLDPEYYRKSRLTEKSDVYSFGVILAELITRRRPTSYISLEGFNLTEQFILLVSEDRLCEIVDSQITEEQGEEEAREVVEIAVMCLNLKGEDRPTMRXVEVKLEGLHGAINTIRGDQNAQQHAVQLNSPSTEESNSNVVAIGDAGYHNSSRRLSMEEEFWSSMSFPR >ORGLA08G0171700.1 pep chromosome:AGI1.1:8:18626584:18629966:-1 gene:ORGLA08G0171700 transcript:ORGLA08G0171700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVFSLSVLAVLLLQLLLGVATAAAANCSTHCGNIGISYPFGVEPGCYHEGFNLTCDRSHKPPKLFLGDGSVEVLEISIPSGTVRINSSSIVPVSTSSAVGTGSVNKTGKYHTWGGLRKGGPFFISPYKNKFLVLSCSNVQVLLLGGNNSTVNACATYCPPAPKKGQPFQFPMRNECSGIGCCSAAIPKGYTSYSIQIQPANEISEFDAESSVYIAEEGSYNATRLIFETVSALPALLDWAISNSSCGTKPSAAPACRSSNSYCQNYTSYVYNGYQCRCNAGYQGNPYVPNGCQGLSIGLVISGGTVLLLLALCAPLATRKIKLRKKKKTKERFFKQNHGLLFQQLISQKVDIGERMIITLSDLEKATNNFDKSREVGGGGHGVVYKGILDLHVVAIKKSKIVVQREIDQFINEVAILSQINHRNVVKLLGCCLETEVPLLVYEFISNGTLYDHLHVEGPISLPWDDRLRIALEVARAVAYLHSASSMPIFHRDIKSSNILLDDSLTAKVSDFGASRYIPIDQTGVTTAVQGTFGYLDPMYYYTGRLTDRSDVFSFGVLLVELLTRKKPFVHTSSNGDALVLHFVSLHTENNLVDILDPQVMEEGDGEVQEVAALAATCIKLKGDDRPTMREVEMALENLRVKKKHATLDTTSNRYDGDQIAADYLSTRGITDESTRQYTMEEEILSSGTYPR >ORGLA08G0171600.1 pep chromosome:AGI1.1:8:18603950:18605913:1 gene:ORGLA08G0171600 transcript:ORGLA08G0171600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVASTGSGLRCSPNPMPKQQHERRSARVGFVSQLRPRAVRCRRSPHPDARAYAAVVVGAKGGEAGGVGVERKRLAVFVSGGGSNFRAIHEAALGGEVNGDVVALVTDKPGCGGAEHARGNGIPVVVFPKSKSAPEGVSTDELLNALRELRVDFIILAGYLKLIPVELVQEYPKSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEHYDTGRTLAQRVVPVLANDTPEQLAARVLHEEHQVYVEAVAALCDDRVVWREDGVPLIRSHTNPDEYT >ORGLA08G0171500.1 pep chromosome:AGI1.1:8:18599907:18603253:1 gene:ORGLA08G0171500 transcript:ORGLA08G0171500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: WLM (InterPro:IPR013536), PUB domain (InterPro:IPR018997), PUG domain (InterPro:IPR006567); BEST Arabidopsis thaliana protein match is: zinc ion binding (TAIR:AT1G55915.1); Has 30201 Blast hits to 17322 proteins in 780 sp /.../ Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G35690) TAIR;Acc:AT5G35690] MEEKRIARISVTWRGRQLDVDADPSCTVKEFGQLLQDLTSVNPETLKLIVPQSTNKGSKLITPFSVPHSVLTLKEAAISDGKPIRMMGVFNDEIEEVSDNGKRTDLRIIGFEEEEQRLRQRTSGRPQVPLKLPQGQYIFCDFRTLHLPGIELNPPPSEALKRMHMLACDPGIIAIMNKHRWRVGIMTEMAPVGYVGVSPKCILGFNKNMGEEISLRLRTDDLKGFRKYESIKRTLLHEFAHMVHSEHDAKFFALNKQLNDEAASLDWTKSRGHVLSGRKIFDSYEDEFVLEPDSVVVGHKLGGGSSSLASARVLSGSAAYKRFLNASADLESSKDSVTISGNEILVPGTKFELDPDDVGQDFSQENAKVEPDPDDNDDMNVDVGTGASWSSVSRSFTEQDTISHSEPDPDDIRQQSTAGCLEPDPDDSSNVDILSWELRIDGKQNGEPDPDDNGTSKFIPEPVNKMEVETELGSNFAVLNSEPDPDDSSNAILNKKLGIDRSDETTCEAVVEECGNKMEVETEQSRNSTISKSEPDPDDHAANSNIIELQRIEEPVAALCARLQKAIEMLRSQATPAEATSALQTLFKIIKNVIEHPHDIKYRRLRKSNPQFQKSVANYKAAMEVLELIGFCEDVVSDEIGRAETYLVLKRNDPGLLWLAKSSLEVSMA >ORGLA08G0171400.1 pep chromosome:AGI1.1:8:18590477:18594503:-1 gene:ORGLA08G0171400 transcript:ORGLA08G0171400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKITLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDAEEGKVEDVDEEKEEKEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSTNRNKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMEELRQRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDETAEADTDMPPLEDDAGESKMEEVD >ORGLA08G0171300.1 pep chromosome:AGI1.1:8:18587341:18589044:1 gene:ORGLA08G0171300 transcript:ORGLA08G0171300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHLLPLLLGRLVVSGDLRRCPAHLRRIVPLLPSHPHLAAALCAVHFPLFPSSSATFLHNILIRASASSASPRLSFAAFSSLLRCGLVPDRFTLPPLLGSAGKLPAFPRTGAQAHAQAVRRGFLADVFVVNALLAMYGALRDAASMREVFGSCAGVADVVSWNTVIGGYVKCGEMESAKRVFDEMPRRNGVSWSTMVGGYAAAGELDVAREMFDRMPAIGRNVVTWNSMVTGFARHGLLPLARKMFDEMPVRNLVSWNAMLRGYSVNSDMDGARELFDVMPEKDVVSWTCMISGYAQAGRYQDTLELFRAMQTESNVQPNEVTMVSVLSACANLTALEEGRWVHAFIDKHKMVLNNEYNLGAALIDMYAKCGRTDIAVKIFHSLDRKNVSAWNALITGLAMNGNARDSVDAFEQMKRTGEKPNDITFVGVLTACAHGGLVDEGRRCFQSMASTCGVQPEVKHYGCMVDMLGRAGLLEEAEELIRSMPMAPDVMVFGALLGACRMHKRFDVAERVQSEIHSLNLNAQQSGCHVLISDIYAAAGKWFDVLEARQVVQRGLASGNGHD >ORGLA08G0171200.1 pep chromosome:AGI1.1:8:18584890:18586566:1 gene:ORGLA08G0171200 transcript:ORGLA08G0171200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVKVASCAPTEERAYSCGDEDITQEEKMLLQSFPIHESDDYEHEEVNCELAKSGDQICSVPYGLYDLPELNDILSLETWNLCLTEDDRFRLAAYLPDMDQHDFFVTMKELFSGSDLFFGSPMKSFFHRLNGGFYSPEVSQARELLMIFERRRYYHFLKSHHDGMIFKFASMDKVGGQCGASTGLQGKVNSWNDRRHEDPLTGVDISGSPFNRSLSIANEVKDATLPPLKRTKRMDGTVTTHCSAKRKGIVYRDKSMEMSSLKSPVFHFPGELTTCIRLPKGVLKIKTDCASLIDHNEGIHRTPEPMLADQLGIQVSSLPCASALDVHGFAMNSAYCYHINTSKSTLRNLHVRPYQREGTLDTYPHSVESPFGVQIMVPEELKRGYYSRMPNSFHQSTTKHSPEYCNEAPHEKNLLKNFGQQNAVIPESSPDPFTRNTDCHQTNGYMTHGLKTAESISEVLTLGTDTAGPYKHLLEQSETMRYPEGLKLKTPASQSVTEVEEGHRYPFTYKRRKLQKRLDLVDPVKKSTMVDSEPLSALASVANVKIKGNQALKIGS >ORGLA08G0171100.1 pep chromosome:AGI1.1:8:18571689:18575687:-1 gene:ORGLA08G0171100 transcript:ORGLA08G0171100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSDLTPRAGAGAGASPGWPQRWRRRRRRGVERGGAVSGGGGGVFSIGVGGKKLHHGGGGGGEMTEEELAKVEGRVCVNGASAAACLHTQQGRKGTNQDAMVVWENFNTSDSVFCGVFDGHGPYGHFVAKKVRDSLPVKIRTLWKTSANEDTSSHQNGSISGSVNSEESPVVDDEWGEYADDSEKLPEMFLPLKQSYFKAFKLMDKELKMHPTVDCFCSGSTAVTLVKQGLDLVVGNLGDSRAIMGTRDAANNLTAVQLTVDLKPNLPREAARIQQCRGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDYGLISVPQISYRRLTEKDEFIILATDGVWDVLSNKEAVDIVAAAPSRATAARALVDCAVRSWRLKFPTSKSDDCAVVCLFLDHAKSPDLIQENESEEETTEDVAIPDTVAKVDQDIAQGDAHISSEEQITEPALQHSYTLRDVDEIVPVEEPPVSKEPERCGSARSLADCISTNEEEEWSALEGVTRVNSLLNLPRILSGEKRSTSWRKRR >ORGLA08G0171000.1 pep chromosome:AGI1.1:8:18567045:18569840:-1 gene:ORGLA08G0171000 transcript:ORGLA08G0171000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKFLNKKGWHTGSLRNIERVWVAEEKEKEEQRKIQELKKQQDEEREKAAFRKLQEDAGLKPRQERLDFLYESGLAVGKGSSEGFQALQPSAPAAAAAASSSAQASAGSSKAAAPGALFEDKPQSANDAWRKLHTDPLLLIRQREQDAIARIKNNPIKMAEIKKSVEAEKKQKEEKKEKRKHKKRHHHKSKSKRHHSSENSDSEESDGRDERRKSVQASEHKREEKRSRHDKKDHGQDSEDDERRKRQHATSEDDDPRKSRKEKKGQREDSEDDKPKKSRKDRRRHDSEDEEPRRKHQRSEDDEPKRRQSEVSGDDEPRRRRQEMPKHDEYSRRDRSDADDRRGRHYTPSDDRRGRHYTPSDHNSAYPKHDSSDSRHRRPEYGRGNSTSELGSEGQRRQESQQGRNGPTFNRRRGVQHMSEEEREARLRQMQADAEVHEEQRWSRLKKAADDDAKEAASVNANQFRGKNFLEEEKKSIFGTEKGGSATIEESIRRRAYYSQRNAHESNAFRR >ORGLA08G0170900.1 pep chromosome:AGI1.1:8:18556396:18565108:1 gene:ORGLA08G0170900 transcript:ORGLA08G0170900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 5 [Source:Projected from Arabidopsis thaliana (AT5G56290) TAIR;Acc:AT5G56290] MAMRHLITGQGNCAPDGASSSNPFGNLANAIFGQSSKAQSIKELPGSAVNVPTTSELGTAAPLSTIPGSENEFKQDQLPLARGADFIRGGPSHDWVESFRPPGLPEFAVPDTQLKEFEQIFNSTGPTFGPPVMDGPPQRVLSGVLRSFLASGQAGVPFQPVPVPALGLSESDKQCIHDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDSNYRMKGPMHGPYPEMEEYWNQSQSAMRSGPMHNAADKWITEFGKQNNNPEEWAHSFEQQYGSNGWASEFEQHQSQMAMAGGMNMANLAAMEQSRMLAQTLASNNDPKFQQLCYAIGSFGSACLNSQAPDDTFCFFFGRTLNSSSLFQRFAVVNLFFCFTFFWKNSKFFQFVSKMSRGELIIEDNQVKQGSASQSSGWADEFQTQYNANANSWADQFVHEEMSQGADKWASEFSTENNQGGLNENWIDEFSKMRVDDEWAEEFSGGTFGESSADPWVDEFQNQLSASKQNSGASRGVYVFSDMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQGEALRYLHRWLQNHPKYGGIAPPQPTDSPYGPDVIRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIAAFKTALQLKPQDYSLWNKLGATQANSIQSADAILAYQQALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVAMNPKADNAWQYLRISLSNASRADMIAACDSRNLDVLQKEFPL >ORGLA08G0170800.1 pep chromosome:AGI1.1:8:18552573:18555450:-1 gene:ORGLA08G0170800 transcript:ORGLA08G0170800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSDPAVATHPQAGAAAAASSSSGLTFKLHPLVIVNVSDHHTRVKAQAACSGDRASSAAAGGQPPRVFGCVIGVQRGRTVEIFNSFELVLDPVSGTLDRAFLEKKQELYKKVFPDFYVLGWYSTGSDVQDTDMQIHKALMDINESPVYLLLNPAINLSQKDLPVTIYESELHVIDGSPQLIFVRANYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRVRVIHQYLVSMQKGDMPLDNSLLRQVSSLVRRLPAMESEKFQDDFLMEYNDTLLMTYLAMFTNCSSTMNELVEKFNATYERSTARRGGRGAFM >ORGLA08G0170700.1 pep chromosome:AGI1.1:8:18548627:18551118:1 gene:ORGLA08G0170700 transcript:ORGLA08G0170700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G55590) TAIR;Acc:AT1G55590] MEKRRRLVVAGDGEGDGGEASGSAPRGLVESLPEALLVEVVVRLELEAACSAASSCRALRAAAAAAFSAVTSLDLSMFPPTNAILNRILAGNGALRCLAVNCSLLDDSAVGAIAKGSLRELSLLKCSSFSSYLFVAVGERCKNLRSFVLEMAASDDDEHFGICRKSIAHIVKGCGYLENLSLKFFPLLGPGSVDFESLVPIPSTIKVLLLQPVSNWQAKRLFPISTSLKTSISNTLESLSLVLDIITDELVAFITGSLHNLVELCLEDNPMKEPDLHNDLTNVGLQALGLCHNLAHLSLTRGKQNCSSTFRRVTDFGIMMLADGCKQLKTIRLAGFSKVRDAGYAALLQSCKDLKKFEVSTGYLSDLTCLDLDEAAPKITEVRLLCCSLLTSETAISLSSCTKLEVLDLSGCRSIADSGLASISQLSKLTLLDLAGADITDAGLSALGNGRCPMSSLCLRGCKRISNNGIASLLCGSGTINKTLISLDIGNVPRISGRAVTLVAKNCEQISSLCLRNCLLINDSSLETLGSMRHNLGKSSLRMLDLSYCSRLSRNFLGLFEPPFFRGLRWLGVGKNMLERRGCSPTVAELLERKPGLTVCGNACEMGCRNQCHPDIRRQ >ORGLA08G0170600.1 pep chromosome:AGI1.1:8:18544316:18545647:1 gene:ORGLA08G0170600 transcript:ORGLA08G0170600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80150) TAIR;Acc:AT1G80150] MLSLGAIRKLCAAFDAIALTVIAAGLSRTSHHPFSAHAHSSQPDFPTIASCRAAVASSKWRRRHPSTTKESAGVEPAEPPVLVRIKNERDPVRLYELFRANAHNRLLIENRFAFEDAVARLAGARRNDLVEEILEQHKALPQGRREGFVVRIIGLYGKARMADHALRTFHEMGLYGCPRTAKSLNATMKVLLRARLFDEVLQLFESSETYGVELDDISYNTVVKMMCDLGELRAAFRVMQEMEKAGVRPDVITYTTLMDAFYKCGQREVGDGLWNLMRLRGCMPTLASYNVRIQFLVNRRRGWQANDLVRKMYASGLRPDEITYNLVIKGFFMMGEHEMAKTVFGAMHGRGCKPNAKVYQTMVHYLCERREFDLAFRLCKDSMEKNWFPSVDTINQLLKGLISISKDRNAREIMKLVIGRKPSYSNDEVKTFQDILSLGNTRR >ORGLA08G0170500.1 pep chromosome:AGI1.1:8:18540416:18541330:1 gene:ORGLA08G0170500 transcript:ORGLA08G0170500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRIFHCLESHSPRHAVQCTGEDQEKYYDELVTYLEEELGLKMHKARRFDLDCTNHLVKHHPMLPDRNLSAQAQIDSDTINEAPQALPTNISSQIVSCSVDEAPQALPTSSSPISNNTEGLSLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA08G0170400.1 pep chromosome:AGI1.1:8:18537428:18537871:1 gene:ORGLA08G0170400 transcript:ORGLA08G0170400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPSPPPPTSSTKARLGGGARPAPQPPPTSPTSTGRRRVRGRSTPGSTWWSPPATTSPRARSTMRRGAPSRGVPLASRHSAACAAITSSSSCCRSGSERRMFHLSMKMAAPGDEQASTATAAAVVAAAAAADAVGDREGVGVWIG >ORGLA08G0170300.1 pep chromosome:AGI1.1:8:18533049:18533402:-1 gene:ORGLA08G0170300 transcript:ORGLA08G0170300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDDVDFSPHQATPKESMNSKDDAKVQPSSGQTPNKGNYYMGHANAIMMMFQYIRDGCRRVESSMEDXKCKKVEKGHGEKRKVGEGYDPRWSSPIEITMKICNDDVSDEATKKET >ORGLA08G0170200.1 pep chromosome:AGI1.1:8:18528785:18529945:1 gene:ORGLA08G0170200 transcript:ORGLA08G0170200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGGAGDCRPPESSSHENEEKNALVIPCSLAPIKTGKKYDQQQEDEENNWEYDEEEEEFLYDIDEDDDMMEASELIGVKHSGGSIYDPDSHPFHSLYCLDDTRETSLLPMRLSARTDHCQSCWTACIVHHGCRMMQIFSIKIAALSNAAADAPIQIYGFMAARDLFDPLRNYIFNRGRDDPFVLPGHYSDPNSLIRLSGPKRGISLENPAVIEYDLKIKKGEDEKDDLQLIDGVAAFSNLTPFHGVYSRRIHGIHGAVDISLALLRNGKESTIQIKIPKLIHGGIHLSISCFVSQIPEEIKLFDGTIVNPSKLRNFVVAVQLRTVLILDFKITPVVAAAGENGSNQIRRYCAFKATAHAGSIQRIQHYFANIDVHVVWSDLMSQ >ORGLA08G0170100.1 pep chromosome:AGI1.1:8:18517667:18520418:-1 gene:ORGLA08G0170100 transcript:ORGLA08G0170100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTNNHGALMDDWMLPSPSPRTLMSSFLNEEFSSGPFSDIFCDNGSNKHQDGLGKSKAFIDSSREETAQLAKKFESNLFGANQKSSSNGCLSERMAARTGFGVLKIDTSRVGYSTPIRSPVTIPPGVSPRELLESPVFLPNAIAQPSPTTGKLPFLMHSNVKPSIPKKTEDETRHDRVFFFQPILGSKPPTCPVAEKGFSVNHQNQPSVTDNHQELSLQSSSTAAKDFTSATIVKPKTSDSMLDNDDHPSPANDQEENATNKNEEYSSDLIITPAEDGYNWRKYGQKQVKNSEHPRSYYKCTFTNCAVKKKVERSQDGQITEIVYKGSHNHPLPPSNRRPNVPFSHFNDLRDDHSEKFGSKSGQATATSWENAANGHLQDVGSEVLTKLSASLTTTEHAEKSVMDKQEAVDISSTLSNEEDDRVTHRAPLSLGFDANDDDAEHKRRKMDVYAATSTSTNAIDIGAVASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERSSHDLKSVITTYEGKHNHEVPAARNSGHPSSGSAAAPQATNGLLHRRPEPAQGGGGGSLAQFGYGSAGHRPAEQFGAAAAGFSFGMLPRSIATPAPSPAIAVPAMQGYPGLVLPRGEMKVNLLPQSGNAGAAASQQLMGRLPKQHPQM >ORGLA08G0170000.1 pep chromosome:AGI1.1:8:18510768:18516815:1 gene:ORGLA08G0170000 transcript:ORGLA08G0170000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:I1QJU9] MMAQASDLEPLRSGAGALPSSADPDSPSTPRRSRVRELLRSLDRRLSSRGRHHRHAAEGAAASPRGGGGGEPGSEDSDELGDGAPPEWALLLVGCLLGLATGICVAAFNRGVHIIHEWAWAGTPTEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQIKQSLSSQREGVDFMAAIFPTIKAIQAAITLGTGCSLGPEGPSVDIGKSCAYGCAEMMENNRERRIALVAAGSAAGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGEKAAFIVPTYELKSAAELPLYLILGMLCGVVSVAFRQLVVWFTKTFDLIRKKFSLPAVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNTAVAHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVMSGNKEAFEATSPRHGYSSLLPPTDRNETDRRRPDRDDVELAILDDDPYRYGINNEDMLLDDLKVSQAMSKQFIKVTPTVTIKEATRLMHDKQQNCVLVVDSEDFLEGIVTIGDIRRKGFESELSEDTPRNGANSSTLDANSSLVSSCLTRGFQYHGNERGLVTCFPDTDLSTAKVLMEVKGIKQLPVVKRRAGRRNDGRRKVLGLLHYDSIGWCLREELERWKAIYQRENFQQSAVNGH >ORGLA08G0169900.1 pep chromosome:AGI1.1:8:18505599:18508559:1 gene:ORGLA08G0169900 transcript:ORGLA08G0169900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKEAGSFLDVPKDIPIATTKSLTIRTNGGFSSSSDRSNPISPAISITPHLYSPSPPSSAFVSALQSPYISPRVLEPPTPAAAPPPPQEAAAPSPPASYSNSEDTDAPSASRTPPSERYDSGGIDPATKVSDCGGGVQPRVSFSFPVPRVSFTRGSVASPSSNAKLRSCDVYIGYHGNGSLGRFCKWLKSELELQGIASFVADRAKYSDTQSHEIADRIICSVAFGVIVVTMSSFLNPFSLEEIRFFAQKKNLVPILFDTEPPEIAGLFDGKLEDKEGKEAFEGLMRCHEFKLEANETNWRSCVSKTVTLLRSKLGRKSIAEKESEGPEGMPFPRNRHFVGREKELSEIEGMFFGSTVDIQEVDCPRGSAANDRSSGVSDGFADEESDTVRTSNARYISLEMRKCKEPTLEAWIDPVIELSSGKGRNLQKQRSKHRRSRFRCNSKGYGSASVLCITGSSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMNLGLDISAEAEKERGRIRSFEEQEFDAFQRVKRELFRDVPYLLVIDNLESERDWWEGKDLHDFIPRNTGATHVIVTTRLPRVINLEPMQLPQLSYNDAMILIKGKQKNDYPPEEMEVLRKLDERLGRLSFGLWIVGSLLSELMITPSTLFEAVERISLNESLFPLGANDDGFCRNNSFLIKVLVFCFALMDRAKGGSLTSKMIIAGSWLAPAPVSSTLLAATASKLPMKGSMHLLGESLKTAFLCGTHCFLAPHGRKAEVESALLLVKLGLARKATRHPGCWIQFHPITQLFGKIRGGLAPTTAAVNGVMRAGNPSVYSDHLWASAFLVFGFKSEPPSVQLKAVDMVHFIRKTALPLAIDSFMTFSRCGSALELLKVCTNVLEEVEKSYASRIQDLNRGSLCWRKKLQPNHRVDEFVWQEVTLLKATLLETRAKLLLRGGLFDTGEELCRTCISIRTVMLGHGHAHTLAAQETLAKLVRYRSKI >ORGLA08G0169800.1 pep chromosome:AGI1.1:8:18493120:18494094:-1 gene:ORGLA08G0169800 transcript:ORGLA08G0169800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKILSKSGSFQEKVVSHGFKGSNLIEEIILSTPKKSNGDQFLALLRTSTSSASAAASRAKDAADQSTTAAAVAAEEFVKIETINVSELLAGLEEEEEEEERDDGERCSAQECVLDGAAATPARATSFRTVEEFDALVTRSGSSEVAEAASSADQDATGAKPSEQEEDATAAAGNKRRARARQLGELKVPLPPAFDFSKSGSLRDWLLQGGQIFSPGSYVTPKFGTTSPAPPPPPPPQERGGVLHNAGEPQPQHTVFDPELVAQFEQAMERLSEDEERVLEKILEAMGAAAEEEEEEEATATATARLEMPSHRPVMVVQE >ORGLA08G0169700.1 pep chromosome:AGI1.1:8:18483881:18484796:-1 gene:ORGLA08G0169700 transcript:ORGLA08G0169700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYRPGSNALLKSDSILEYVLDTTVYRREHERLRELRLITQNHPKSFMGSSPDQMQFFSVLLKMIGARNAVEVGVFTGYSLLATALALPDDGKVVAIDVSREYYELGRPVIEDAGVAHKVDFRHGDGLAVLDQLLAGGEGKFDFAYADADKEQYRGYHERLVRLLRVGGVVAYDNTLWGGSVAMPRDTPGSSAYDRVVRDYMVGFNAMVAADDRVEACLLPVADGVTLCRRLK >ORGLA08G0169600.1 pep chromosome:AGI1.1:8:18478724:18480031:-1 gene:ORGLA08G0169600 transcript:ORGLA08G0169600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVTLLPVYCTAHSRRLKRTTPASRVSSTAMAAANGDASHGANGGIQIQSKEMKTAIHSNDSPKTLLKSESLHEYMLNTMVYPRENEFMRELRLITSEHTYGFMSSPPEEGQLLSLLLKLTGAKNTIEVGVFTGCSVLATALAIPDDGKVVAIDVSREYFDLGLPVIKKAGVAHKVDFREGAAMPILDNLLANEENEGKFDFAFVDADKGNYGEYHERLLRLVRAGGVLAYDNTLWGGSVALEDDSVLEEFDQDIRRSIVAFNAKIAGDPRVEAVQLPVSDGITLCRRLV >ORGLA08G0169500.1 pep chromosome:AGI1.1:8:18472145:18473102:-1 gene:ORGLA08G0169500 transcript:ORGLA08G0169500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGNGDAPVIKNAHSDIDSTNKTLLKSDALYKYVLDTTVLPREPECMRDLRLITDKHQWGFMQSSADEAQLLGMLLKMAGAKRTIEVGVFTGYSLLATALALPEDGKVVAIDPDRESYEIGRPFLEKAGVAHKVDFREGKGLEKLDELLAEEAAAGREAAFDFAFVDADKPNYVKYHEQLLQLVRVGGHIVYDNTLWAGTVALPPDTPLSDLDRRFSVAIRDLNSRLAADPRIDVCQLAIADGITICRRLV >ORGLA08G0169400.1 pep chromosome:AGI1.1:8:18466758:18468786:-1 gene:ORGLA08G0169400 transcript:ORGLA08G0169400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAADMENGAVGSPAAEVEAGASPGSSPVIKTKGRGLRRWRRIPREQRKEGSAASAAAGSGSAQLHKRRIPLPGGAPRGKLHEVVLEEEEEESSTASVESRFVPPAKLDPSLGVLLVASSGFSVGAGGADSDHSEDRSSGSRSSTAASAPRRHNDLSPFAADRAGRSSRAARARAAEAQYSLRSSNAVNSRRQSIAGNGIHKVLADHCDHGEETPLMARDYCNVENGSVVVRRLAQGSVDSDADAAQRSVGNNGDLGSGMKSSADPYAESISLLQRTQEALENEIKMFAVISKESNDNFDGNDDDWSGLVDLAEPLEGTSQNVKDPESRPEEASYLGRLFLEKTEAEIKSIILTRAAQTWAPLVDDQIALYNAQKSLSGDYKQLELKLQHTKNRAAMLEEMAEKLRAECRVLSGSSEVLRLQSRASRVSLFCFIQFVLLFTAVGTFLARLLPSPTEYVPT >ORGLA08G0169300.1 pep chromosome:AGI1.1:8:18461143:18464148:1 gene:ORGLA08G0169300 transcript:ORGLA08G0169300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGYRAAEQEDRQVDLMGDSDFDDDEYGQPIESKEDTSAVDVKKGKDIQGIPWDNLSFSRDRYRKTRMVQYANFENVPNSGKNSEKVCTPVDKGALYYEFQYNTRSVKPTILHFQLRNLVWATTRHDVYLLSQRSVLHWSPFASEKHKVIDLQGHITPSEKHQGNVSEGFYQAQVSTLAVRGNLLVAGGFRGELICKFLDREGISYCCKSTHDDNGITNSLEIFEKPSGSVHFLASNNDCGVRDFDMENFQICNNFRFPWAVNHTSLSPDGKLVAIVGDNPEGLIVDTNSGKTVHELRGHLDYSFASAWNPDGWTFATGNQDKTCRIWDIRNLSKSVAVLGGNMGAIRSIRYTSDGRFLAMAEPADFVHIFDVGSGYRRKQVVDFFGEISGISFSPDTEALFIGVHDRTYSSLLQYNRLRFYSYLDSAI >ORGLA08G0169200.1 pep chromosome:AGI1.1:8:18451810:18452073:1 gene:ORGLA08G0169200 transcript:ORGLA08G0169200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSPVLGRHHRRAPAGASRRWQRRPRGRRSRRELGGQGLDEEDEVVGPAEDALGGREEHCGDVLDDEELPVREDQRGAHQMSLKTV >ORGLA08G0169100.1 pep chromosome:AGI1.1:8:18443514:18447384:1 gene:ORGLA08G0169100 transcript:ORGLA08G0169100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIHAEDIEISLCDGNSEDERRRRRIGSNLRRKAIHAIKKRGRRRVDCRFPPAISIEDVRDAEEERAVAAFHDRLAAHGLLPDKHDDYHMMLRFLKARKFDIDRAMQMWADMLKWREEFGADTILQDFDFHELDEVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGFKNFSKTARELINRMQKIDSDYYPETLHQMFVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDSSELPDFLGGSCSCSDKGGCLGSNKGPWNDPFILKLIHNLEAGCVREIKPVSDGEERSSSSLRLEQLKWQGMISDISNAESGSDVDDFGSFFQKGVDYGYLTPVHEEVRGTDSLTYYSCDDQTRRDIAPESCKGVQATGMVQNQLPDNRQPSTNRNPHDSGNNGHLDGAFARRSLQNFIQVVVTTFIKLLSFLRLFISRPVRRLENVHSCTVPVPSEEKPEPRSIRDDDMTMCLQRLDSLESLCNHLASRPPEIPREKEHMLLNSFERIKCIEADLERTKRVLHATVVKQKALVETLEAVQESSRARKRLFCS >ORGLA08G0169000.1 pep chromosome:AGI1.1:8:18429139:18431478:1 gene:ORGLA08G0169000 transcript:ORGLA08G0169000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPIPVLDAQPFRVSVSYRRIAAQGAGLFCCCGLWTLVLDRETKGNYCRRVGEVADRNVNTGWHAAPGAAAETAKKRDQESLSHLTCTHEKWVRSTSTTGFTGLASVADDRVSSPPPPPRRSRPKGDTDGDNNLVAAPPNPPPLRLAAARVARRQSRTATRTAAGRSPHEEAQRRDTGGPLGGGKTAADAAAPGTTETDPHPLAADLASPSAVDLAATATGGGKGRRHGSTRKATVGVAAARPGVGGRGPAPKWRDPASLARIWLGCCRSGSSGCRVEAGDMAGVAAAVADGDSDWAAPVVVAAVDDSGRSCVEVTAAVAVEAMAAAAGEAAPVVMADGDDDSGRICGKGGYGWLRWQMRRQGRRRADDRQRE >ORGLA08G0168900.1 pep chromosome:AGI1.1:8:18424129:18426290:1 gene:ORGLA08G0168900 transcript:ORGLA08G0168900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGGGREGSGKITATITKAAISTNKVGTDQIHCLARASCRMGRRNNNSSCCRGRHVPSLLTPQRRRRIRLDLCRXRQRQPLSPHRALLSPLLYRRGRLHRLPPQQXCQRFHLIVLATVTLTGNVVDCSWVACKA >ORGLA08G0168800.1 pep chromosome:AGI1.1:8:18419471:18421456:-1 gene:ORGLA08G0168800 transcript:ORGLA08G0168800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:I1QJT7] MAGALHISCSPAPLLWALLLLTVSPGNAFYLPGSYMHTYSQGEEIWAKVNSLTSIETEMPFSYYSLPYCRPQGGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTKGLNENDAKLLKQRTRDLYQVNMMLDNLPVMRFTEQNGITVQWTGFPVGYTPAGISEDYIINHLKFKVLVHEYEGRNVEIIGTGEEGSGVISEFDKKGMSGYQIVGFEVVPCSVKRDAEAFSKSNMYDSIEPVSCPMELQKSQVIRQQERITFTYDVEFVKSDIKWPSRWDAYLKMEAGAKVHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTCPKLLCVMIGDGVQILGMAIVTIIFSTLGFMSPASRGMLLTGMIILYLFLGIAAGYVSVRLWKTIKGTSEGWRSVSWLTACFFPGVLFMVLTVLNFVLWGSKSTGALPISLFFALLAMWFCISVPLTLVGGFVGTRSAQIEFPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLVLLVIVCAEVSVVLTYMNLCVEDWRWWWKAFFASGSVAIYVFLYSINYLVFDLRSLSGPVSAMLYLGYSFLMAFAIMLATGTIGFLTSFSFVHYLFSSVKID >ORGLA08G0168700.1 pep chromosome:AGI1.1:8:18414651:18416058:1 gene:ORGLA08G0168700 transcript:ORGLA08G0168700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFLLLVAVAAAAAVLSLGDAAPSTAEVFWRAVLPESPLPDAFLRLLRPDTSFIVGKAEAAGGAARTGFPFDYTDYRGSDSPTTASGLDLAGDFGEPAPFGYDYSAQGEGGGAAAAGEQVLAVDAGFNYDKYVGARKLRGGSSTAGGEDDDEPFGYDYKAPSSGSGTAASTTARGVGTGSTTTVFFHEEAVRVGERLPFYFPAAATSALGFLPRRVADSIPFTAAALPAVLALFGVAPDTAEAAGMRETLRTCEWPTLAGESKFCATSLEALVEGAMAALGTRDIAALASTLPRGGAPLQAYAVRAVLPVEGAGFVACHDQAYPYTVYRCHTTGPARAYMVEMEGDSGGDGGEAVTVATVCHTDTSRWNPEHVSFKLLGTKPGGSPVCHLMPYGHIVWAKNVKSSTA >ORGLA08G0168600.1 pep chromosome:AGI1.1:8:18408581:18409315:-1 gene:ORGLA08G0168600 transcript:ORGLA08G0168600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQPIKNAVHIVEIPVTGDGACPDGGNTEVAAFLDKAAEAESSGSHPLGEIAGSAGHLLLLKLWQREESRLGRRACALEALMDAARRDAFYLCAAFLAFHGLSLALLFAASVSASAVSPPAEQRAACCSRWWVPSSLSLVASLALAAAVQLRVCAYWRASRRLRRERGDARALARCVQELRMKGAAFDLSKEPQYGVTRAKCASVEGAGAWGPLRWCYQNIVAACLLAVAAATMCSGKFILCS >ORGLA08G0168500.1 pep chromosome:AGI1.1:8:18405456:18406073:1 gene:ORGLA08G0168500 transcript:ORGLA08G0168500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSTTPPPPPPPPVLGAPVPYPPAGAYPPPVGPYAHAPPLYAPPPPAAAAASAAATAASQQAAAAQLQNFWAEQYREIEHTTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAIARTEVFDFLVDIVPRDEAKDAEAAAAVAAGIPHPAAGLPATDPMAYYYVQPQ >ORGLA08G0168400.1 pep chromosome:AGI1.1:8:18392191:18402481:1 gene:ORGLA08G0168400 transcript:ORGLA08G0168400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAADQERRPHRGDTVTGDWACPDGGNTEVAAFLDKAGAEAEAESSGSHPLGEIAGSAGHLLLLKLWQREESRLGRRACALEALMDAARRDAFYLCAAFLAFHGLSLALLFAASVSASASAAVVSPPAEQPAACCSLAGGGGCRRPCRWSRPSRSRRPCSSGSAPGVRAATRALARCVQELRMKGAAFGLSKEPQYGGDEGQVRQRRGRRCVGPALVVLPEHRRRRHHVLRQVHLVLVGRRLRVEMALPSASSESDFMHVSKKTRCSPRLNKGSASPRLDKSNTAHSNKKTCAVKPTVHRNGPMGKSKCACKKRVETRQXKCGLHERISXGAMQKVVVPLLXRLTSKTSFL >ORGLA08G0168300.1 pep chromosome:AGI1.1:8:18388628:18389077:1 gene:ORGLA08G0168300 transcript:ORGLA08G0168300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLVSRCLCLLLLLLLLAVAGNTVAVTTPGPHGHGNGAARAAVQMPPKYYDDDAAVVGRGAAGVTTVPPADDDDGCWESVVGTSPPCARDVLLSLALHAPRLSGECCTVLARAGDKCVAGVFSGLPSGEKYLPLVKRICSLVSVIF >ORGLA08G0168200.1 pep chromosome:AGI1.1:8:18383424:18387000:1 gene:ORGLA08G0168200 transcript:ORGLA08G0168200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QJT1] MATMASAAAASASARRWRWRWKWRTRDAVLALLIASVLAPPLLLYGGAPIAPFSGPILMGSAASGLDLSNLIARKEVRERLNALKQDAFAAVKEPIQDHTADRPPEKTTDTTSEDSDIRAISNNTSHSTASPDSTIRVLRDQLKRARTYIGFLSSRGNHGFIKDLRRRMRDIQQALSGATNDKQLPKKYYLSHRYTKFFTVGISDDDLCLVSGVHGRIREMELTLTKVKQVHENCAAIISKLQATLHSTEEQMQAHKQEANYVTQIAAKALPKRLNCLAMRLTNEYYSSSSSNKHFPYEEKLEDPKLQHYALFSDNVLGAAVVVNSTIIHAKTPENHVFHIVTDKLNYAAMRMWFLENSQGKAAIEVQNIEDFTWLNSSYSPVLKQLESQFMINYYFKTQQDKRDNNPKFQNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQQDLSALWSIDLKGKVNGAIQTCGETFHRFDRYLNFSNPLIAKNFERRACGWAYGMNMFDLSEWRKRNITDVYHYWQEQMLLISVVLTIYLTKGICSSFLQNEHRLLWKLGTLPAGLVTFWNQTFPLDHKWHLLGLGYKPNVNQKDIEGAAVIHYNGNRKPWLEIAMAKYRKYWSKYVNFDNVFIRQCNIHP >ORGLA08G0168100.1 pep chromosome:AGI1.1:8:18370274:18373507:1 gene:ORGLA08G0168100 transcript:ORGLA08G0168100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase 15 [Source:Projected from Arabidopsis thaliana (AT5G56090) TAIR;Acc:AT5G56090] MMGSRVAAALLRRGRDQASSLMAARLPRGAPAPSPAAPRVRSGSVCGCGGGGGLLTGSRSTGSVFSASRLASFHAFRSIGSKTLMGQCTRKMTTTVAAMNSGVANAAAYSGLKLLVTKGPQAQKAIGIWLFGCATWVFGLVILGGVTRLTRSGLSMTDWKFTGSLPPMSDEEWLLEFEKYKLSPEYKRVNKGMSLGDFKFIYWMEYGHRMWGRALGFLFSVPFAYFIAKGYVTRQLGLRLSGLFALGAGQGLIGWWMVKSGLEEPASEYVQPRVSPYRLATHLTSAFVIYCGILWTALSVVMPEPPAGSMNWVNSAAKIKKLAIPVSAVVGITAISGAFVAGNDAGHAYNTFPKMGDTWIPEDVFAMEPFIRNFFENTSTVQLNHRILATTTLLSVGGLWLAARKVDMHPAIKSLIGSTLGMAALQNVIILLSFNQVTLGISTLLMYVPTSLGSAHQAGALTLLSLMILLTHTLRRPSPALLKSLASAVKST >ORGLA08G0168000.1 pep chromosome:AGI1.1:8:18363165:18367083:1 gene:ORGLA08G0168000 transcript:ORGLA08G0168000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:seed imbibition 1 [Source:Projected from Arabidopsis thaliana (AT1G55740) TAIR;Acc:AT1G55740] MTVGAGVAVQDGGLVALGATVLTEVRDNVLLTPAAGAGMTSGTFVGVRSATAGSRSVFPVGKLRGLRFICTFRFKMWWMTQRMGTSGRDIPFETQFLLVEAADADGSHLAGDGAAAVYTVFLPILEGPFRAVLQGNSDDELEICLESGDPAVESFEGTHLVFVGAGSDPFEVITNSVKAVERHLQTFTHREKKKMPDMLNWFGWCTWDAFYTDVTSEGVMEGLQSLGKGGTGPKFVIIDDGWQSVSMDPAGIASLADNSANFANRLTHIKENHKFQLNGRKGHREENPANGLAHIVNEIKGKHQLKYVYVWHAITGYWGGVRPGADGMEHYESKMQYPVSSPGVQKNEPCDALNSITTNGLGLVNPDRVFSFYNELHAYLASAGIDGVKVDVQNILETLGAGHGGRVLLARKYHQALEASIARNFRDNGIICCMSHNTDNLYSSKRSAVVRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGNHDFNLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSILKIWNLNEHSGVIGAFNCQGAGWCRVGKKNLVHDEQPATVTGVIRAQDVHHLATVAADGWNGDVIVYSHIGGEVTCLPKNASLPVTLKTREYEVFTVVPLKKLDNGVSFAAVGLIGMFNSGGAVTAVRYVEDAGVEVRVRGSGTVGAYSSAKPARVVVDSEAAEFSYDDGCGLVTFELAVPEQELYSWTISIEY >ORGLA08G0167900.1 pep chromosome:AGI1.1:8:18352541:18355527:1 gene:ORGLA08G0167900 transcript:ORGLA08G0167900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G55760) TAIR;Acc:AT1G55760] MSDGARVEAAPRLAQWRVDVLPCYTYRKSEPFRIGLWNWYLSVERNNKQTCVKVFAELSNSAKNTTPAPIASFITKLLISFPPNQKTIVHPGIFDKPLKHEGFVWAIDSTVTGRFVIEIEFLDLKIADPSGGEPASIWASHQIKKSSDNTALSSLARMLQEGILTDITINATDGSIMAHRAILASRSPVFRSMFSHDLKEKELSTVDISDMSLEACQAFLNYIYADLRSEEFLTHRLALLRAADKYDISDLKEACHESLLEDIDTKNVLERLQMAHLYRLQKLKDGCLRFLVDFRKVYEMHDDFKVFLQTADRDIIAEIFQGILTTWSGR >ORGLA08G0167800.1 pep chromosome:AGI1.1:8:18340154:18347806:1 gene:ORGLA08G0167800 transcript:ORGLA08G0167800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRTYGRRQRRRRGSRLSPTRSCAPSCQEEEEDHVVETASAQTHADPPYAKEGHVPSSITATSSSSQQADAVDQDTEDLALKLKDVLHYQPICENHIWYYHLNFTAKTKEADGLDSTSENLFFVEVKRMGIGNYEEMLVSCFCMVNPDNGKPCKGCTNNGTVDMKHPDTDQYFAGHLDAYLPFGCFGKWSDSDDDDKYVKAREAKLRHMYEKTSIMVVVSGESVTIHYHSQLPCGSLKK >ORGLA08G0167700.1 pep chromosome:AGI1.1:8:18329336:18330901:-1 gene:ORGLA08G0167700 transcript:ORGLA08G0167700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEMGCGGQAQGKRGGLRAERPRSSTAAGERTSTAGGGGGHNGGGGRRRSVVAVGELRRPTQPTTLPKKGRSMATTVVRQMNSEYEGPHAELLGDDGQPPNLVEVRDLREDDLHDAKEDHVVCLLQPAALVHRFSTGLQLMVAVLHDPTVITHKSGAAVFGVLEAFESVRLIGCQSDVVELHLLTNRWLWDAQFFPDLPIQSMSEGF >ORGLA08G0167600.1 pep chromosome:AGI1.1:8:18316640:18317035:1 gene:ORGLA08G0167600 transcript:ORGLA08G0167600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRARPAAEPSWPPRPCWECCLHRRVGLVAASIVTVAGVILLLGVAWFVHRYRNQRKWSMKDAASDKSRWVVTSFHKPEFNEEDILSCLDEEVVGVGRAPSSDSKKQATPCDVDSMTSFDEATTKESDGDGC >ORGLA08G0167500.1 pep chromosome:AGI1.1:8:18309150:18312005:1 gene:ORGLA08G0167500 transcript:ORGLA08G0167500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRTYSRRRRRRRGSRLSPTRSRAPCQEEEHVVETASAKAHADPLYAKEGHVPSSITATSSSCQQADAVAQDTEVLPPSGSCLSSSPASYVWARHVKDWGLIFYIRVDLQGSFHTYPDVGGPFQSSQEADKAIDRYLEDHWDPKMRIGQNDDISSIENVIRRCLYWPDGTIKRRTKSSTTWEAKKRMHQFIQALVDKYNDDHNLLGV >ORGLA08G0167400.1 pep chromosome:AGI1.1:8:18293923:18295611:1 gene:ORGLA08G0167400 transcript:ORGLA08G0167400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26900) TAIR;Acc:AT1G26900] MQLRRRGRQIAALLKSASRAGDLLQLHAAMIKSSLFPHHAFPTAKLLASLHAPLPYALSLFAAIPTPTLFHHTALLRALSASVGGSLAAALPVLASARARLPALDEFAFQPLLALCAKRGPDDDAAAASLGRQVHALVVRYGFSGVVSLGNALCHFYCCCGGGGGEGMADARRVFDEMPERDAVSWNTVIGGYVRAGEVTKAVDMFSEMMCCSVGISVTALVTMIRCGWQAEPVHGFCIKVGFCRDAKVASAMVGMYVREKSVECGRKVFDETTKRDLVLYNCMVDGYAKAGQVEEAMGLVDRMRLEGVRPSSGTLVGVLSACGASGAMAAGSRLHEIALEAGLELDTALGTALMDMYFKCGYPSEAVAVFDAMQERDVRAWTVMIMGFGVNGQAGEVISLFRSMEEDGVVPNEVTFLAVLNACSHGGLVSEGKKFMESMVLQYGIFPNTEHYGCIIDLLGRAGRLDEAYELIASLSSQGDATAWRALLAACRVHGNVNLGRMVQARLDNMGDYHPSDAILLSNTYALESRWDEIAHVRDSEDQKIVKDKKEVGCSSIEVSW >ORGLA08G0167300.1 pep chromosome:AGI1.1:8:18289881:18292682:-1 gene:ORGLA08G0167300 transcript:ORGLA08G0167300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCSKQNPSGQQPHCCNHSSSSNAAPKGNNRFAKFGDDYHTLEQVTDALAHAGLESSNLIVGIDFTKSNEWTGRVSFNNQSLHSLGHISNPYEQAISIIGQTLSRFDEDNLIPCYGFGDATTHDQKVFSFYPDNKPCDGFEQALDRYREIVPQLRLAGPTSFAPMIETAIGIVDSSGGQYHVLLIIADGQVTRSVDTGNGQLSPQERETIDAIVKASDYPLSIVLVGVGDGPWDMMRQFDDNIPSRAFDNFQFVNFTEIMSRPVPASKKEAEFALSALMEIPEQFKAAINLQLLGKRRGFPHRTVLPPPVRDFQQYYGCSTVKETQSTSYGSLQKKTSAPKQDSDIGDQQTCPICWLEAKNLAFGCGHQTCSDCGKDLKVCPICQREISTRIRLY >ORGLA08G0167200.1 pep chromosome:AGI1.1:8:18283413:18285634:-1 gene:ORGLA08G0167200 transcript:ORGLA08G0167200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLPIKRIENTTNRQVTFSKRRNGLIKKAYELSVLCDIDVALLMFSPSGRLSHFSGRRGVEDVILRYMNLSEHDRGEAIQNREEIQQEIYSSQQQLQITEDRLRMFEPDPAAFGTSSEVDGCEKYLMELLTRVVERKNNLLSSHMAPFDATTAAMQGADGTQMYVSQADGLATFGGDAAMWGPDGGADPGHPMFSASDPLIYLRDHDVFDANSQVAGLHGGDPCAAGGAAAAAAAVGCVDDDVAGGHAAAADAWRQAYTCTELLSTLIPTTPFPLMPHCLGPEDQYLSMEHGMVAAAQEPVEASTASCSYVPSDENSGTPVMAYDSNPPPANIA >ORGLA08G0167100.1 pep chromosome:AGI1.1:8:18281239:18281940:-1 gene:ORGLA08G0167100 transcript:ORGLA08G0167100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYHVQSPRSILLKMIATKQQPPALPPPPPPPKLILQPRQRTSPAMWCAAIVCFAFSLLLIAAGAVILVVFLAVRPRAPSFDAAGATLNAVYVGSTSPAPYVNGDMTVAANVSNPNQKMDVVFRSAAVELFFGGRRMAAQALPAPFVQRRGQSQIISVHMVSSQVALPPELAVAMVNQVRSNRVVYTIRGTFKVQAKLWFWHYTYSMSALCDLELTAPPCGVLVARRCITR >ORGLA08G0167000.1 pep chromosome:AGI1.1:8:18276247:18280305:1 gene:ORGLA08G0167000 transcript:ORGLA08G0167000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongator protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) TAIR;Acc:AT1G49540] MSPPAAGGLAGARGEGGGAGVGRVFVGAGCNRVVNNVSWGACGLVAFGAQNAVALFSPLRGEIVTTLPGHKATVNCTLWLPTKKDVLQIRSRERHYLLSGSSDGIIMAWKIDSGKGEWTHALQLPVMHKKGVTCLAGRMVSDTVSIFASTSSDGTVVIWKMEDEPTSVGSCEVSCLHSISVGPKPMVSLSLAVLPGQGGHLILAMGGLDHKVHIYCGDQSGKFIKACELKGHSDWIRSLDFSLPVMMGSEEHSLFLVSSSQDRTIRIWKMNSDAVSSAHTTSRKEDIGIASYIEGPLFVSGNTHYQVSLESLLVGHEDWVYSVEWQPPTLLTDDEAHQPMSILSASMDKMMMIWKPEKNTGLWINSVTVGELSHSALGFYGGHWQPDGKSILAHGYGGSFHMWRDVGLGSENWQPQIVPSGHFAPVSDLTWSRSGEYLLSVSHDQTTRIFAPWRSQVSPGDVTCWREIARPQIHGHDINCVAFIQGTGNHRFVSGADEKVSRVFEAPLSFLKTLQHATLLKTDNSGDFDDVQVLGANMSALGLSQKPIYTHAGPRETQSSISNDGPDSMETIPDAVPTVFTEPPVEDQLAWNTLWPETHKLYGHGNELFSICCDHEGKIIASSCKAQSAPVAEIWLWEVGTWKAVGRLQSHNLTVTQMEFSRDNAFLLSVSRDRHLSVFSIRKTDDGAEHHLVAKLEAHKRIIWACSWNPFSYEFATGSRDKTVKIWSVQDSSSVKLLVTLPQFHDSVTALAWTGRDRASNAGILAIGMDNGMIELWNISGGRASTDSSGSGSSPLSFACMLRFDPLLCHVSTVHRLRWQKSDSSDEKSALQLASCGADHCVRVFEVRDI >ORGLA08G0166900.1 pep chromosome:AGI1.1:8:18271256:18274509:1 gene:ORGLA08G0166900 transcript:ORGLA08G0166900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XLQEPNFFLLHNPNHSSLAHTQHYYSNTTPKSNPLFIAKEATRLLVLLACACAVFVPQCHCVGDQGEALLRWKASLLNGTGGGGGGGLDSWRASDASPCRWLGVSCDARGDVVAVTIKSVDLGGALPAASVLPLARSLKTLVLSGTNLTGAIPKELGDLAELXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGKLPFLEISLNLSCNRLSGEIPSQFAGLDKLGCLDVSYNQLSGSLEPLARLENLVTLNISYNAFSGELPDTAFFQKLPINDIAGNHLLVVGSGGDEATRRAAISSLKLAMTVLAVVSALLLLSATYVLARSRRSDSNGAIHGAGEAWEVTLYQKLDFSVDEVVRSLTSANVIGTGSSGVVYRVGLPSGDSVAVKKMWSSDEAGAFRNEIAALGSIRHRNIVRLLGWGANRSTKLLFYTYLPNGSLSGFLHRGGVKGAAEWAPRYDIALGVAHAVAYLHHDCLPAILHGDIKAMNVLLGPRNEPYLADFGLARVLSGAVDSGSAKVDSSKPRIAGSYGYIAPEYASMQRISEKSDVYSFGVVVLEILTGRHPLDPTLPGGTHLVQWVRDHLQAKRAVAELLDPRLRGKPEAQVQEMLQVFSVAVLCIAHRADDRPAMKDVVALLKEIRRPVEGGAAGGGEGKEQNAAAAAAPPAAERRSPARSTLPKGGSSSCSFAMSDYSS >ORGLA08G0166800.1 pep chromosome:AGI1.1:8:18263945:18264322:1 gene:ORGLA08G0166800 transcript:ORGLA08G0166800.1 gene_biotype:protein_coding transcript_biotype:protein_coding STQVWVLEGGAGGRRWIRRYSVQLHGPDRQMVWPHFAHGDHAMTASTWQYSRSHELLYVHRLSGGKGLQCREARINGKSPGKAVGKYDRRTYRNLRTFAFVETTEPLNVYSGHGCRHIGKEISAG >ORGLA08G0166700.1 pep chromosome:AGI1.1:8:18259911:18261337:-1 gene:ORGLA08G0166700 transcript:ORGLA08G0166700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADRPRIVPAGDAFEVYPHISSRRPSTVQGALLRMFYPGAIGLPEFKTPALTWRDYKRSTNERIMSPANRVLKEFWYKCDPTDKVEADKVLEQNFKKKVPQMLFEEKKRATKLYKKGKVPAEDVDEDGNHWPTVQALVSAKPKDFSVTEEGWRLLCEHXSTPEFRKKSLNGKRNRLAGGDTVYQCNGSXGLLPRRSPCNIKKVGNKDLLLLGSTHTRCNKEIMNSYATRGQKKLGVILIKREEMRLMMMRAMILILRKEMRFLKKRAIEDGPPL >ORGLA08G0166600.1 pep chromosome:AGI1.1:8:18258341:18259192:1 gene:ORGLA08G0166600 transcript:ORGLA08G0166600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDGDGDGDDNGWDMPSDPLGEILRRLPPLDRRRSRIVCRQWRDAVDSRAPARPGPAKTLVVAHGAGYVFDDVPGGSSREIPSPCPLADIVGTCNGLLCVVGTGAGFTTGGFVLSNPVTGEALHVPLPTRIGAPWRRWEHNEYYSFAHHPTTGLYKIVPFPVDDRWTGSFDAVQVYTLGEAASWRDVPAPAGSSRRKSCGLVSVDGFTYWVAMDTEKVMSLDLKDERIAVVITLPAPASEPGRQCRLTEAHGRLAVAAIVTQPTNTKTEVITTRFQTFTKGV >ORGLA08G0166500.1 pep chromosome:AGI1.1:8:18256724:18257179:1 gene:ORGLA08G0166500 transcript:ORGLA08G0166500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHRRAARRPAAKCRAKTLFVVDDDRDLPLRRPNERDQQGGAARLPEQXNTGVTVGTEGSQQVQLRSIGYLPAASTGRYKIVHFPVKGVRRGAGVHVGG >ORGLA08G0166400.1 pep chromosome:AGI1.1:8:18253288:18254442:1 gene:ORGLA08G0166400 transcript:ORGLA08G0166400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGIGHIPSDAVVEILVRLPPSSRRRCRLVCRHWRDLVDDRTPEMRSRAKALVLVHAVAHVFDDLPEGRRRQLLPNCRGVDIVGTCNGLVFLCEWSRGFALVNPFTGERLDGAGVPPPPCPRGEEPPFYQPTHAAYAFGYHPTTGRYKIVHFPIQDRRTETFDAVRVLTLGAEEDASTSWRDVPMPAGGSSRRGSCGVVSVDGSTYWITRDTERVMSLDLGDDERVAAVTPLLPARTAGPGCTCKLTDVRGRLGVAVSVSMATSTDTDVWVLEGGGGGGGERRWSRRYSVRVHGVEQQLAWPHFAHGEHVLTTSTHCSIRGFLYAHRLSDDGRRRLQCSAVRINERRPGKVVGSFGACYRRDLRTFAYVETTEPVSVFRLRG >ORGLA08G0166300.1 pep chromosome:AGI1.1:8:18246696:18250543:1 gene:ORGLA08G0166300 transcript:ORGLA08G0166300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQETSIGDLHTDAFVEILRRVAPSARRRLRLVCRRWRNVINSRAPAWRGHAKTLAFVYHRPGPATAAYAIDGLEEDDEGCYRKLWDAAADPSLPAIYSRLRMIGSCNGLLCLYDEGVTGDIALLNPVTGETLDVAGPPGHRLRRQEPYGHVPYGEAFSFTYHEATERYKIVHLAVSDGRLEAVEVLTLVDGAPSPSWRRVAAPAGSSCWLPAGVVSDGQATYWINHGGGDRLMSFDLTDERVATITSLPVAAKNLNAGCLRKARGRLCVGNRIHHDYQNNTEIDEMWFLERDGCESLERWYCRFYLTTRLCMEVQQVVGPHFTQGEHILAHRHGSLYVHRCMSSATRPQCSVAQMHEHWPYHEPMFTCGHASNIRAFSYIETTEPLNVYQCNGGSKIGSVVNNDEEMATGKTTSLGQMLTSMFNDLPSPHPKRQVARRRKRRTNRFK >ORGLA08G0166200.1 pep chromosome:AGI1.1:8:18234023:18234526:-1 gene:ORGLA08G0166200 transcript:ORGLA08G0166200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G04240) TAIR;Acc:AT2G04240] MGISSMPAPKDSVVAYLLYNTAVSIAILADMVRAALVFLGLPVPPSAWEDGDDQLAAIAAAAAAAAAAAGGPSLADRFRSRFRPARFGRRRGGGAGAADCRVCLARFEPESVVNRLPCGHLFHRACLEKWLDYDHATCPLCRHRLLPATTESPSPSPATATPHFARI >ORGLA08G0166100.1 pep chromosome:AGI1.1:8:18224973:18229566:1 gene:ORGLA08G0166100 transcript:ORGLA08G0166100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLETAGATRSWSDDLVSELTDIQKDYSLSSQGTGTSVALSYQGSEACQEESVNGNLGRAGFTEEDNCSQDTDKNQTTAEDKSNGIPAAASTGIEVSKMDKSNKPSFVDRVCQMFVRKSDDVVTTPLVTTDKSEDVQEATTGYEAPATGSQTYSASTDTPFDELLKYFESKHQEVEMPVDLQGILVDKSYITSPSDLNNFLFSPDSNFRQTVVELQGCSDVKMVSWKIDSDGESLKRVITYTTAPSKLVKAVKATEEQSYLKADGNGYSVLLSVSTPDVPCGTYFRTEILFRILPGPELDSEQLTSHLVISWRINFLQSTMMKGMIENGAKQGLQQNYAQFSDLLSQKIKPIDVDAGSDKGQVLASLQRGQESDWKIAFLYFCNFGVLCSLFVTIYIAVHVQLRSSGAHKGLEFPGLDLPDSLSEIVMGGLLFLQLQHIYKKISCFIQAREQKDIFEFDAMDDPPSVMNVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADVWIPLQGNLAQSWQSKLHLRIFLSNSKGSTMVTEYLSKMEKEVGKKMTLRSPRTNTAFQELFSLPAEEFLISSFTCCLKRKLHTQGHLFLSPRTIGFYSSMFGRKTKFFFLWEDIEEIQAVPQSISSWSPSLVITLHKGRGMDAKHGAKSVDNGRLKFCLQSFASFSVANRTIMALWKARSLSSEYKMQIAEEQSQNNDTLQSEDSGIFVGVEDAKNLQMNEVFSSSISANMASLMEVFGGGSFEMKIMNKVGCLNYSATQWESDKPDEYQRQIHYKFSRKLSPIGGEVTGTQQKSPMPNKAGWIIEEVMELQGILFGDFFTIHIRYQIEDLAPKQRACSVQVFLGIEWSKTTRHRKRIEKSVLSGSSARLKEMFILASKQLPHAR >ORGLA08G0166000.1 pep chromosome:AGI1.1:8:18213848:18216867:-1 gene:ORGLA08G0166000 transcript:ORGLA08G0166000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEARSREHGGGGGGGSGSAGKIFVGGLPRDTTEADFVKHFGQYGEIVDSVIMRDKHTSQPRGFGFITYSNPAVVDRVMDDIHEFNGKQVEIKRTIPKDSMQSKDFKTKKIFVGGLPQALTEDDFKHFFQKYGPVVDHQIMRDHQTKRSRGFGFIVFSSDQVVDDLLANGNMIDLAGAKVEIKKAEPKKSSNPPPSSHGSASRSAYGRDSRGHSSGNDYGGLANAYSNYNSGGFGPYRNHGVYGGGSLSGYGGIGEYGVQYGRYYPGLGGSGGMPSFGYASRVGPYGGGFEGPYAGGNLSGYRRGGDESFGGLSSSSFGGAMYGGAAYDPALGGYASGSTPERSRGNLAGGSGRYNPYGR >ORGLA08G0165900.1 pep chromosome:AGI1.1:8:18209334:18212760:1 gene:ORGLA08G0165900 transcript:ORGLA08G0165900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRLELSSLEGHRSSENPESRDEKTEEEVDDCPIEEVRLTVPITDDPALPALTFRTWLLGLISCAMLAFSNQFFGYRQNPLYISSLSVQIVVLPLGKLMAACLPKKVFRVKGTPWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYRREIHPLAAMLLTQTTQLMGYGWAGLFRKFLVDSPYMWWPSNLVQVSLFRALHEKEKRPKGGTTRLQFFLTVLITSFAYYIVPNYLFPTISTISVVCLVWKKSVTAQQIGSGVYGLGVGSFGLDWATVAGFLGTPLSTPAFAIVNIMAGFFLIVYVIVPAAYWADAYGAKRFPIISSHVFSANGSRYDVNQVLDTATFEFSQAGYDAAGKINLSIFFAFTYGLSFATLAATLSHVALFHGGSIWRQTKAAVSGQGGDVHTRLMKRNYASVPQWWFQVMLVAVLGLSVFTCEGFGQQLQLPYWGVLLAAGLAFFFTLPIGIITATTNQQPGLNVITELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPRSMFIVQLVGTVLASSVYFGTSWWLLESVSNICDPAKLPQGSPWTCPGDDVFFNASIIWGVVGPLRMFGRLGLYAKMNYFFLAGALAPVPVWALSRAFPGRAWIGLVNMPVLLGATGMMPPARSVNYLMWGAVGLAFNYVVYRRYKGWWARHNYVLSAGLDAGVAFMGILSYAVLQSRGINGVNWWGLQVDDHCALARCPTAPGVSAPGCPVQ >ORGLA08G0165800.1 pep chromosome:AGI1.1:8:18196560:18198284:-1 gene:ORGLA08G0165800 transcript:ORGLA08G0165800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRPRKPPKDGRVFVRGLAAGTGEADLLRHFDRYGVVDEVSIPGVEADTLTGLPALRFAIVKFGHPEFAGLALADREQVIDGQTVHVGREDPRQSGCHSSGYKPLKQSTRQIGERKRRVGDMIKVVIGPLPEDSLERGLLKYLKQFGSVDAGMLIIDCIIKYISRDGQELTVKIDKSKNAAWSTCEDTFHFSDRRKNSDGRINPNIYRGLINKTPPPAAACAYSYNRTGGIAGKKCNIPNGSCNYPTCPKSYHGSIVNQTHFPHPAAYAYSCNRTGGIAEQMCNIPNGFCNYPTYKLNPNFYRGSSIVNQIPFPYPAAYSYF >ORGLA08G0165700.1 pep chromosome:AGI1.1:8:18190356:18192463:1 gene:ORGLA08G0165700 transcript:ORGLA08G0165700.1 gene_biotype:protein_coding transcript_biotype:protein_coding QADMKQEEDELAVDPGVGAVCDPVTKLRFEAIVCLLDRIPITLQQIDMFGQDVAFRTKRIGNIEALLDFLKGSAAATWGARAGAGEPIDASSPELHRLVEDAETAYRDVRGLQPRMVVQMPRVFHKVCLPKLHQLLVIARRLLAQNVALRRLLLQPVGCDVSPMALAEAAVSSDDFERHGRNKVVIDEFGYEDLLRRRHTGHESQNDADDAEQHGREVREGEYEGLILMRHRDTSHELLQDDARRRRADAEAEQQGGDGEVRDEYEDYLCRQLGAVYSGPDQIYEHDMRGPEPAHSPNTPDQIYVPCERMLPYPSNCDNAEDRIHMACLALKNLVKDMEGIYSPRGTLWQYLEDVISLAHALFLENTKLHRFTDQASHQDLPLQPPQGFPFQQQPQHDGYQQPGVPFQQPQQGGYYGHGQGIMFQRGGYLQDVPFQHWQWQQGGYGQGFMFPQAQHQGGYGQGFLSEQPLPGDPSFMNMQAPYDGDGGVLFQKPQHYHHGHVPSEKGAIQAKGKQKMREPKTVMCPDWCRTGHCSSGDGCEYAHSQDELRVIDAGPKYRTEPCRYWLAGKGCWYGDKCRYKHSTDXLGSHCMSIHSXQVLHMIDLLI >ORGLA08G0165600.1 pep chromosome:AGI1.1:8:18186538:18187090:-1 gene:ORGLA08G0165600 transcript:ORGLA08G0165600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKREDAAADGEITAAVSRAGTSSSSFGGAAICDDVVRNIFARLPARDAIASMALSRHHRRLITSPDFVRLHCRHGAPLPRPHIAYVATAPVVTHRDMLARINSLQERGRQRERYATTQSRTASRRRREGRMTSIFPAS >ORGLA08G0165500.1 pep chromosome:AGI1.1:8:18183648:18185390:-1 gene:ORGLA08G0165500 transcript:ORGLA08G0165500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLXHMQDVSNGILXHLQGARCXQLQFRLHTMAXIFFFRLRLYAATTTTTTTATTXSATSTNVYNKNHXRKLQSKEXRRVKGRHIWRRRNQVEDHPSEMQSMMFTRSAAARRSSRERCSPGWTATXSTAARFTWTARCTSSTPTRRRSSPSTLTTRPSPPSPCPASASPVASRGRTSNRISWRCPGXGAPMCDQKFIAVWLLTTERRWERRCAFRNDWCWPATVAGVWDCGGALLIVIQADDESSIFMYDDATGEVSRLNPPPDASPEKSDYRICWGYKPTLVTPASIVGEFDQEKQRCRDIAADVLAAVTPLNEMHKRKGQEAALHTVCFMEFLVGVMRKLPGNLRRVIAGLDQFY >ORGLA08G0165400.1 pep chromosome:AGI1.1:8:18181069:18182379:1 gene:ORGLA08G0165400 transcript:ORGLA08G0165400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKSIRRSNGHASSSSSILDGDDTSSASGGGMSIYYQKINQRVAVLEKVAVSVHESRASTREAALASLVGALEGFVPAHFIGWHLRGEIVRGCCASIKKGAAAKEARLALRAVALLAVTLGPGSKRRILPAETYNPLEPGPGSKKIMAETFPLVSRILEVSTDAPLVIAALESLAVVAFVDVAAENMDDTEACVKALWGLIRPSTGPKVAGVAWKTSPHVLAAAVSAWTLVLTTTDGWKKKKAAASSPAAWRDTAAHLASLLHSDSRAVRMAAGEALAVTIEMKLLTRDSNGALISGVAARASELANEAAGAGVGKANFVEQKELFKSITTFLAGGKAPASSVRASSSHHGRLTASTWTDIVRLNFLRRFLGGGFLPHLQGKVLMGEEAPLIGQVFVVKEDDMAMDHKKKVEKQRTLNRERQIVSDLKHGSSHV >ORGLA08G0165300.1 pep chromosome:AGI1.1:8:18177185:18178777:-1 gene:ORGLA08G0165300 transcript:ORGLA08G0165300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKREAAAAAVAVADGETTTAAARADSSSSSEGGSVCDDVVRNIFARLPARDAVASMALSRRHRRLITGEEFRRLHCRHGAPLPRPHVAYVATADVVAHRDTAGRVDSLERWAHQRAARGFSTGAFASQSSYDAAPHRSLSYHGFHVAGAAAAAGRRGGTNPMRALAGQKYDNHKYVGTCNGVVLLADKEPSVGFLLNPAIADGERKVSVVPSSPDNDTKYHISGFGYGPRTRTYKLLLCKHKSVANFKRLSNGGIARVPGAPYYLWRADELVVYSLGGGAAEQPRTVLAGLDGDMIHRRSLYMDGTVYLLNADKETVLAFDVDDETIALPGERVAGGKPRSHLKSYLMEMSGRVCVATVDDGDRETNAVWLLITTERRWERRCAFRNDWYWPATVAGVWDCGGALLIALQAHDESSIFLYDDATGKVFHLNSPPHASPEKSDYRICWGYKPTLVSPASIAGEASQDERRRRDVAADVLAAVKPVSEAHERKGQKATLHTVCLMEFLVGVMRKLPSELHHGIADLDQFY >ORGLA08G0165200.1 pep chromosome:AGI1.1:8:18173102:18175249:1 gene:ORGLA08G0165200 transcript:ORGLA08G0165200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAVKQIVPAHQVKPAVDPVHAKASKAGKDGRSDLIAKEILEEQKPAHRRQESSESILDKGPSNVGSDSGVLDVPLTPKGDSGELKEIQGLDCNGNQEKKTSQKSSTSESFASAKVSDGTNGLRKTCGSGKVSDTADSTESGKSSMCRPSTSSNVSDESSCSSLSSSTTKPHKGSDSRWEAIRMIRSKDGILGLSHFRLLKKLGCGDIGSVYLSELSGTRSYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTVVKSANPGLDALQRNNQAYCVQPACIQPSCIQPSCVAPTTCFGPRFFSSKSKSKSKKEKKSKPEVVNQISPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPMVSFSARDLIRGLLVKDPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVELERPPKPAPATEKVAPAANQKGSDNYLEFEFF >ORGLA08G0165100.1 pep chromosome:AGI1.1:8:18161478:18167671:1 gene:ORGLA08G0165100 transcript:ORGLA08G0165100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELFMQVFERRDWVKAQVQEQVVSYSESLACAILAAGRRPPPWLLPALDSVPARGNNTKMSSIDLAHMRNENSLHHAQSQTYQRVKPKTHEFGGCKPGGLHIVNYAGEIDQSQICVSQSVVQEFNIAHSLNEGLPSTSPVEVPHSVMSSLLQEDTSQPVESNLQGIPHSVSSPLPEQITMGVSETNSLTGMTCMASQLPENVSLLSLKSIALEGPDSVFTPLSQKEIADAGDTVSLMEPIAKTESVAGLISMASPQFDNDRLQTNLLEGPDSVPTSLSQADARHTAETDSVEILDQEEDTDTPREYSFPDKRVDENLKILEHQSSECHVRSPPCDGSSLRPDYLANAICEAPKMLSTSQENMLSDEQQGSECHVLSPPCDGSSLQPDYLASTVCEVPKMLSTLQEKCFEAQSEAYDVYVRNDMNGSVIPERFSTESAEKLLRSHDGTECKIFSFDIAMEIDSDSCETVSDKQALATQPSAQHLLHSSRCGEITSKKSDAQSNNSHQGRSVADVIQVQGNSSFEGIEINCQSDHALYSLCSTMSTSMDCQPDILDKMENRADMSGKPQHPVHHLDRLGSSECISLDLERRIVTSNWKSSVSYKVHTSVDSSSQRTMSSLSDIIHFNSLRMKSLSSSSSSLSGNVATVPQDSLPNCSDILSDGDGEYTRKTNNCSVYPGADVKYVAVDDQILNHTDYVSSGCEVLNPENHPSSTPPSTFPSYASSDQQSQQACASNCSNKELGEKCIHDDPGQPVSDGHIPLQNGDNCADFDETVEVHQSCGIPIPANSPTIKERVLEAYHDSTKWVNLSSNLSSKCKINSKITSPLRSKYESLTARFEKLLGPASLVEVEPKWHYPSYDTKMMGVFGNQEDCEIPLTPSFGKYSLQKPSGVCYTSNCTGSITDLACFQIDEDSSTSEASRKYMDVGRLDLPTTTASSRESDHQAHLIIDQAMQNPKENRAPSIRKEVKVTQSLHDRESKGRILGNQNESHKSEANLDKGWKPSNIVTSMTSFIPLVKQKQRPTTVCVKRDVRVKALEVAEAVKHREQKKQNEREMRKAAAELERERVKQEREQKLKQMEQKKKTDARKRQWEDDGRKEKEKKKKCIEEPRKQQKQLGERMHAGNSREDASQKDPDDTEIRKNTVRVVINQLLSDEKTESFPILVTSGSNNVKAVVADGNSGSSGHQIHGRLSDDADKSYEMSPYEDSDEEDGGDLEHKEKVRRRQKHIPPWTRVNLTGEEWLPHPVVISLVTKVTVRLLQ >ORGLA08G0165000.1 pep chromosome:AGI1.1:8:18159619:18160080:1 gene:ORGLA08G0165000 transcript:ORGLA08G0165000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:I1QJP9] MAPKAEKKPAEKKPAEEKAGEKAEKAPAAGKKPKAEKRLPASKGEKGGEGKKERGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >ORGLA08G0164900.1 pep chromosome:AGI1.1:8:18156242:18157127:-1 gene:ORGLA08G0164900 transcript:ORGLA08G0164900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGRTRMEVGRRGRERRCTRSGDDPATSGNGDDSVTTPRQQRAEGASHRPPRPPPPAPCPPPSLETGERGTLGDGMLGELTEEDEADRGLDLPGGDGGLLVVPGELGGLTDKLLKHAVDLLVVGDHVTSLASPATTCRLSLIDPRSLARLTTFQI >ORGLA08G0164800.1 pep chromosome:AGI1.1:8:18153901:18155163:1 gene:ORGLA08G0164800 transcript:ORGLA08G0164800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLAVASLLLVALAVVARPPLALAVKDYPADASAVAKKSPADKADTPTTGKESVAGKTDVVTVAKKSPAGKADTSATCKEYAAAKADAVTVTKKSPAAKADTPTTGKESAAGKANAATVAKKSPADKADTSATATGKEYAAAKADAVTVAKKSPAAKADMPATGKESIAKVDAATVAKESTAGKTGKKAAAKESTASGKTNTEADAATVAKKSLAVKAGTPATGKKYAVTKADAATVAKKSPADKTGKESVVAKADTATVTKESTAGKTGKMVVAKESTASHKTSMEAAAKKSTASKTGMETAAKESTASGKTDTETAAKESTAPGKTDATAAVKESTAGKGDAPAMAEKSAAGKAEASAAAKESPTNKADAAAAGPTSGGYQYVNFVIKNPVKAKEKSSDRADGLPIDPTPDGQMMH >ORGLA08G0164700.1 pep chromosome:AGI1.1:8:18151655:18152470:1 gene:ORGLA08G0164700 transcript:ORGLA08G0164700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLRLAAALLLVVLLPLAVSAADGKAAAAPAKAKAPAAPPPAPPNITASMAKGGCKAFAALVAASPDALSTFQSAADGGMTAFCPTDDAMRAFVPTYKNLTADGKASLLLFHAVPVYYSLRSLKSNNGVMNTLATDGSAKNFNFTVQNEGDKVTIKTDASDGVARIKDTVYDKDPIAIYAVDTVLEPVELFEPAESPAPAPAPVADAPKASKSKKASHRHVADAPGPAGDDAPPADQRKSSKKNDAAAGASCLRWLAALPVAVAVAAALA >ORGLA08G0164600.1 pep chromosome:AGI1.1:8:18148790:18150071:-1 gene:ORGLA08G0164600 transcript:ORGLA08G0164600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGMDCGRRTGGPLHGNLQDVMNNFYPYDLLYDPCSDNPSSFFSICDDPFLSSFGDYEEPNGIGFRFPDWDRGYHRA >ORGLA08G0164500.1 pep chromosome:AGI1.1:8:18143914:18144516:1 gene:ORGLA08G0164500 transcript:ORGLA08G0164500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARADLALVAVLLAACAAVALAAEAQAPAAAPKSSSSSNSSSGSHTSPSKAPSPSKSPEKSGKAPAAAPPKAAAAKAPSGKSEAPSEAPDAESGAESPEAGEESGKSPASAPKDSSSSSSEEEEASSPDSGDMEDETAAEAPTAEEASGPAADGPPEPEADSPAADSPGPGTADEAGSAGMSSGVTAAVVAAAAAAVLSL >ORGLA08G0164400.1 pep chromosome:AGI1.1:8:18139107:18140918:-1 gene:ORGLA08G0164400 transcript:ORGLA08G0164400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDGDAAFHRNEAISAVQDVDQYYGDDDDFDDLYNDVNVGDGFLHNSSSSQPPPSQKLPPPSLPPPPPQKQPPSQQLPPPPQQQQPPPQHSLPPPPPLPQAPPPQQQKVHIPGVAAPAPNHPPSQPNLPPPAAPAPLPPQQHQIQQGGGDGFHRPGGNYGGGPIVVGNGGPAVVGGDGPGGTTLFVGELHWWTTDADLEAELIKYGPVKEVRFFDEKASGKSKGYCQVDFYDPAVATACKEAMNGHLFNGRPCVVAFASPNSVRRMGEAQVKNQQSMAAQTSSMQPKGGRGGGGAGSPQVGGNYGGGRGGGPGGGAGGGGGNWGRGGGGMGRGPAGNMRNRMGGPAGGRGIMGNGGMVAPPPPMLPPGGMMGQAFDPTGYGAMGRMGAGFGGFPGAPGAGPFPGLMQPFPPVVAPHVNPAFFGRGGMGAGGVGMWPDPNMGGWGGEEQSSYGDDAASDQQYGEGGSHGKERAERPPDREWSGASERRREREKDIPPAQEWPDRKHRDERDMGRERDRDYDRERERDRDRERERDRDRERDRERDRERERDRHRDDRDRYGDYHRHRDRDSERNEDWDRGRSSGVRSRSREVDHSKRRRMTPE >ORGLA08G0164300.1 pep chromosome:AGI1.1:8:18131052:18131882:1 gene:ORGLA08G0164300 transcript:ORGLA08G0164300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQQPETGRRPAQQFATVDLRRPKGYAAAPATPQPGSAATAAATAGPAATAAAAAAGEGDPCPRCESRDTKFCYYNNYNTSQPRHFCKCCRRYWTKGGTLRNVPVGGGTRKKSSSSSSSSSSSSAAAAAPAAKRQKTSKKRRVTTPEPLAATTPVLTEAAADSAAKTTTEATSEKKTTTSTTTTTPPAPDTTSEITTEVVVPAVEEDSFTDLLQPDSAAVTLGLDFSDYPSITKSLADPDLHFEWPPPAFDMASYWPAGAGFADPDPTAVFLNLP >ORGLA08G0164200.1 pep chromosome:AGI1.1:8:18120788:18126573:1 gene:ORGLA08G0164200 transcript:ORGLA08G0164200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGNKATHDFLSLYAAAATATDYSPLPRHPDSKPSAPAPPPAQDFFLKTHDFLPQVEKRADQTPPPPPPPHAAVTAEKQLLHQHALAAAGAFTISHAVAAAATAVKQEPPFAPWCQPVAAVDPRGHQWSLPIAARAVAVASSRPQQQQQQPPPPERKGGGGFMDAGSRSSGGAGFDDDDGHAARREVSSSLKELTVRVEGKGGSCSGSAGTDQMPNTPRSKHSATEQRRRSKINDRFQLLRDLLPHNDQKRDKASFLLEVIEYIRFLQEKVQKYEVSYPEWNQENAKVVPWTNIYFRSSWKNAQNKGQVPADHSPDPPELLKNGSPYMFPFTGNSDNNNAVETAAASGAQDQAETNPMSRVSYRSVDTPSPNNVADKVTSQPHAQLVRPSPAENHTVNCDKLNNSDLAIDEGTISLSSQYSQELLNKLNHALENSGIDLSQASISVQINLGKRAMKRSTPAATSTSKELTDPASNSQAMGRQLRLGDGAEEHRQASKRHKSDNS >ORGLA08G0164100.1 pep chromosome:AGI1.1:8:18100881:18102782:-1 gene:ORGLA08G0164100 transcript:ORGLA08G0164100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVPDHTFNNGYSSKNQIEGRSLSWKRVFVQTDKGSVLGIELERGENAHTVKKKLQLALNIPTEESSLTCGDQLLNNDLSYICNDSPLLLTRNHMHRSCSTPCLSPNGKDVQHCDDSRVIEIVGCTSPSARMKQLVDDIVWGIEKGIEPVAISSGMGGAYYFRDMWGEHAAIVKPTDEEPFGPNNPKGFVGKSLGLPGLKKSVRVGETGSREVAAYLLDHKNFANVPPTMLVKITHSVFHMNEGVDYKTKSSDNKTQAFSKLASLQEFIPHDYDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNILVRKLYNDASRFETQTELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSEEDLEYITNLDPIKDAEMLRMELHTIHEASLRVLVLSTTFLKEAAACGFCLSEIGEMMSRQFTRKEEEPSDLEVLCMEARNWVEEREWLLPHADFEGEDDNESTQFDLDSEDDSTTFEASFSNNIRPIKGNSRDPPSKLAEVNEYVDEDDKNEFNKDDVGTCTSPITTWTPSTSNLSISSNELSFSGRRKSHSGVAKNKVTSKINSNSYSGNHSAKEKLPHNSSFAKLSDLSANKWSPFLEKFQDLLQSMFQDRKQTAGRNPWLTQRLGTSCQF >ORGLA08G0164000.1 pep chromosome:AGI1.1:8:18095876:18098153:1 gene:ORGLA08G0164000 transcript:ORGLA08G0164000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13635) TAIR;Acc:AT1G13635] MLTTSSHSRHHHAFERSPNHSMMKNIADRNKHDLLQSAMNHAASKYMQRIYPLGIQRSSSNLTLSSLSLSQNSNDSSLSSSNSSWEPKVPLLYGGTFSPWGDVLVSLERRREEDDDKVSDHDVEGGEEDFDCSEPGSLHRCSWITKNSDEAYVQFHDECWGVPVYNDNRLFELLALSGMLIDHNWTEILKRRDMYREAFADFDPSTVAKMDENDVAEISGNKELKLAECRVRCIIENAKCIQKVAKEFGSFSGYIWGHVNHRPTVGRYKHHKYIPFRTPKSEGVSKDLVRRGFRLVGPVIVYSFMQASGIVIDHLVDCFRFPECLHLADRSWAASKAATLY >ORGLA08G0163900.1 pep chromosome:AGI1.1:8:18071788:18082087:-1 gene:ORGLA08G0163900 transcript:ORGLA08G0163900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QJN8] MAATTTNGVPSRRRRPHVLVLPLPSRGHLLPLLDFAHRLSTRHGVALTVAVTASDLPLLSAFLASTPLAAALPFHLPDASLPENSNHALLAVHLFGIRAPLLSWARSRPDDPPTVVVSDFFLGWAQLLADDLGVPRVVFYASGAFAVAALEQLWNGALPLDPKSSVVLDTLPGSPAFPYEHVPSVVRSYVAGDPDWEVALEGFRLNARAWGAVVNSFDEMEREFLEWLKRFFGHGRVWAVGPVADSGCRGEERLPEAEQLFSWLDTCPARSVVYVCFGSMYKPPPAQAAALGAALEASGARFVWAVGADAAVLPEGLEERTAARGRVVHGWAPQXXILRHAAVGAFLTHCGWNSTLEGVAAGVPLLAWPMKADQFIDARLVVDLHGAGVRAADGAGAVPDPGALARVFADTADAGKLADVRAKTSELAAAAAAAVEEGGSSWIAMEKMANELETAYLESVDR >ORGLA08G0163800.1 pep chromosome:AGI1.1:8:18070021:18070757:1 gene:ORGLA08G0163800 transcript:ORGLA08G0163800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRRRKPPKDGRVFVRGLAAGTAGEADLLRHFDRYGVVDEVSIPRVVGEVDSLTGLPALRFAIVKFGHPEFAGLALDDREQVIDGQTVAIVLRLLLKWEALLQVDIVVLRRLQRQVLLVRSLISQPVELGVLQEQSMRKRDDVLQILPRGSSWRVDSFHVFSQVLESKTCHMDSGLDFNPSCVSLK >ORGLA08G0163700.1 pep chromosome:AGI1.1:8:18053164:18054630:1 gene:ORGLA08G0163700 transcript:ORGLA08G0163700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHAPPRSPASDGGHGDGDGDTKKPHVLVVPYPAQGHMLPLLDLVALLAARGLALAVAVTPGNVPLLAPLLASCPPSSVATVTLPFPAASASGLLPAGCGENTKDLPGHLFRPFMASLAALRAPLLAWCKAQRRRRRRVTAVVSDMFTGWTQPLAAELGVPHVTFSASGAHYLAVSHSLWRRLPRRRCPDEAVSFQDVPGSPTFRWSHLSWLYRTYVAGDEVSEAIRQFFLWNLESSCFVANSFTAIEAAYVDRPLPDLMEKKVFAVGPLSDAVGRCTDRGGKPAVAPARVAAWLDAFDDGSVLYVCFGTQQALSPAQAASLAGALGRSAAPFVWAARGGTPVPGGFEAATAARGMVIRGWAPQVEILRHRAVGWFLTHCGWNSVLEAVAAGVAMLAWPMSADQFTNAWLLAEAGVAVAVAEGADAVPDAGQMADAIASAIGNGGASVRQRAAELGRSTAAAVAEGGSSSVDLEELVSILSSPKLTN >ORGLA08G0163600.1 pep chromosome:AGI1.1:8:18040712:18041824:1 gene:ORGLA08G0163600 transcript:ORGLA08G0163600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTHVSPSWPCHHPLPFSPFLSPLSLFSFGQAAGEDVGDSAGCCLRRGGVGEDEGVGGRRRAVRWRGDTGEGALRGSPAWGTTTAWRTSSSIRTRISASSASSGSSTPVAASDSNNSAMPSDLTVSRASEWRATLAMSSRSGWIEAVVVGRAAQGAPVQPAAVGAGEGAATAPELRRRVRQPRRRGRPTSARRWSASWWAKRTRASYSGMARTGGSCTPGCSSGRRAWRWPGSPACLQSAPPRLVRRTQPPSLRTSTPGSRSSTQAEWDDGAVGGVGGGGSAPAPGARQAVPEEVGDDPIGGVGVVVRVPEDERLAVAAGPRVRQAQAPHRHAHRRPTVAASACDSDCPPASRPRPTPTRSTGSSSFHATR >ORGLA08G0163500.1 pep chromosome:AGI1.1:8:18033542:18037945:-1 gene:ORGLA08G0163500 transcript:ORGLA08G0163500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKFAFFPPDPPAYGVADEEEPPPPGSAAPAAAATARRVSLTGVPWREGVEARRVRTRRGTEIIAVYVRCPKARLTVLYSHGNAADIGKMYELFVEFSARLHVNLMGYDYSGYGRSSGKASEANTFADIEAAYKCLVEVYGTREEDIILYGQSVGSGPTVDLAAQLHRIRAVVLHSPILSGLRVMYSVKKTYWFDIYKNIEKMPLVKSPVLVIHGTNDDIVDCSHGKQLWELCQNKYEPLWIEGGDHCNLQTFPVYIRHLKKFISTIENMPLEKESSSTENEKLLAETETASDGSALSDAPWTTSQRLEPPRKSTRHELPPRLSNDRVDKRRRSTGRREKPRSIVEKKEKSRRSVDTFDRTRDEHDQPDKPRKSIDRLGEMIRSMGLCNVDCFKEPPRSIETTRDR >ORGLA08G0163400.1 pep chromosome:AGI1.1:8:18028527:18032813:-1 gene:ORGLA08G0163400 transcript:ORGLA08G0163400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSRSLGASSVAALRPCAGRVRAPGAGAARGSGSARCGRGVRWEAGSGSRGRLVRVRCDAAVAEKAEETAEEEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLGVTDSSLLADGGELEIRIKPDPDAGTITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWEGVADSSSYVIKEETDPEKMLTRGTQITLVLRPDDKFEFADPGRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEDEEAKEGEEAKEGEQKKKKTITEKYWDWELANETKPIWMRSPKEIEKTEYNEFYKKAFNEFLDPLAYTHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIEEISEKDDKEDYKKFWESFGKFIKLGCIEDTGNHKRLAPLLRFHTSKNEGDLISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDNKESKQEYTLLCDWVKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLNAACKNEPESTEAKRAVELLYETALISSGYTPDSPAELGGKIYEMMTIALGGRWGRSDTETEAATTGDASTETGSSEATVTEVIEPSEVRPESDPWRD >ORGLA08G0163300.1 pep chromosome:AGI1.1:8:18025344:18027357:1 gene:ORGLA08G0163300 transcript:ORGLA08G0163300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGGNGFGVESNASAVHGGMAMAWQWHGGQMSGGSSCAAAPPPVQQPAMDSLAWSSTVSPSTGAATAASGAGFLLPPAAVRGGFGSFPVNSGGIVEPAGDCSSESKKKRRSDEIAGTDHANASNALADSGNETECSKDANGEVIGPPATAAAGGKSKGKGAKDAGEAQKEGYSHVRARKGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKALMLDEIINYVQSLQRQVEFLSMKLSAVNPRIDLDIESLVNNSKDVLRFPGQPSTAPMGFSFSTEMMPGLQLSRPGILQGGVHGMINPDVFTSLMQKQQQNDKGAFREPQMHQTLDGSFRNTAQMPYPQVMSSEELSIRQDQDGFHM >ORGLA08G0163200.1 pep chromosome:AGI1.1:8:18020682:18022114:1 gene:ORGLA08G0163200 transcript:ORGLA08G0163200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARYWQHRCAHSSRVVPGFGKPGVTSRPSRFDYISSSASSSSTIAVIVSPSSSLACLRAPLVHDALLCIHDHSTAPHALPAARLPQHQLPDFGYIDHGYSTHGFIDHGSLGSFALATSTMAQRAIIRVEHSCRFLLQSKCPRCSRVDCGGMLEYMIATYSSPGAQNWNLTQQPHSWALQFHLQGPK >ORGLA08G0163100.1 pep chromosome:AGI1.1:8:18016823:18017638:-1 gene:ORGLA08G0163100 transcript:ORGLA08G0163100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLVRLASDEMPQTLDVSSSSSCYDAFVPVFRPDPSSGFSAASVVAAADRLRSQFLSVEPDLFHDALVAPSPDHLGFPDEEEEEEIRWDCLQLDDDDEEEEGVADLRLEASNAAEEFDWEEVASPSGGAGMDQPEPEWEVLADVPPPPPPPADEGFVYTSDRDVYEVLVGEGLFLKSKPPAARSAVEALPSAVVAAGEDGEGEECAVCRDGVAAGERVKRLPCSHGYHEECIMPWLDVRNSCPLCRFELPTDDPQYESWKASRAAAA >ORGLA08G0163000.1 pep chromosome:AGI1.1:8:18005516:18011354:-1 gene:ORGLA08G0163000 transcript:ORGLA08G0163000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEGPSAEVNETSVEEVNAREDGGVVAPVGIQPGGVAYEGETVGAIVDEMERENSDNERVEEELSHIQYSLPHLLHYKSYQLSSMARRLGVEDPNWRSDPCVYLLPPWCQRPLCLCGDRCQLMASRNPDIRGRRFFRXPNYDREICTTASAYIEWVDTENPVLDLTTCLQEGRXYFASESTEQYLQRKAAYERQCREQQCDWRMLTTALPPWEARPRCRCGDRCQVLRSIKPTTLGRRFFVCPNILNDDFMEPPRRCQYREWIDTRRVLTPPSRVVQLELPEQYRVTKAQFERGDGSSCRG >ORGLA08G0162900.1 pep chromosome:AGI1.1:8:17987362:17988060:-1 gene:ORGLA08G0162900 transcript:ORGLA08G0162900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHHHGGHGEVASLHLLSPPNPPFFGAAEHHHHHHHGLINMALPPQAYFVPAAPPPPPPFQEATAALAAGDDATAALAVFELERILEEAAAAGGGGGNGSPSSGSDGCCYGLQGVAPAAEEERRRRRMVSNRESARRSRMRKQRQLSELWAQVEHLRGANRRLLDELNRALRGCADVRRENSRLRDEKAELAGKLQLLLPRQPAPEKNAADDQSSCSSEPCKNSTTTTTAE >ORGLA08G0162800.1 pep chromosome:AGI1.1:8:17983186:17985419:1 gene:ORGLA08G0162800 transcript:ORGLA08G0162800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPWIPTAGAPPPRAAPAVVYSWLSERHPVKEAGAGAGASNAQESRPRVSPAENSEVETREHEEKVNKYQAVLAARLKAKYFSGKAFGKENVFEEMTIQSETILLSRCPFSSLFADPAKFCREKSCTKEDIYPSLTNASFAKHNHLSLVREDSSK >ORGLA08G0162700.1 pep chromosome:AGI1.1:8:17980007:17980324:1 gene:ORGLA08G0162700 transcript:ORGLA08G0162700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CHSHPLLSLSPLSSLQPPGATGGQGEVRRRRPVTRRRERWGRGSARGAPPAPRSCSSSSPAASVQTPRVDSRKSSRPWRRPSPTRWSSRPVLVKVDGGDEEEEVE >ORGLA08G0162600.1 pep chromosome:AGI1.1:8:17979418:17979702:1 gene:ORGLA08G0162600 transcript:ORGLA08G0162600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEQGDIAAERAARAHGRRTGGSSGGGSGGGDRSHGGEETGDANLPSDGHVAEGGKGGRGWALGASCGLRAVKAHGAELPDGLLVVGSKQFL >ORGLA08G0162500.1 pep chromosome:AGI1.1:8:17976795:17977289:1 gene:ORGLA08G0162500 transcript:ORGLA08G0162500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHITGDDGEGCNSSESGWTMYLASPMHGDDDGGGKRSGSEGSNVDDGYGYISGRGSRKEYEDDGDGDDDDSLASDASTGPAKVKVPSAPDGDDAGGRRKHDGDEDGGGKEEEEEEEDHGLHTKFSMSSGKKAGKTEKGGEGKSSKKGQNKRGSSSRTRFFW >ORGLA08G0162400.1 pep chromosome:AGI1.1:8:17972964:17973173:-1 gene:ORGLA08G0162400 transcript:ORGLA08G0162400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLQQLISSRFWFFLQGLQFGASESACRAAHGEAVVRRRRRGEGRWRSGRRGSKFWANGRRRLVVVG >ORGLA08G0162300.1 pep chromosome:AGI1.1:8:17957489:17959275:-1 gene:ORGLA08G0162300 transcript:ORGLA08G0162300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDESGLKKGPWTPEEDEKLLHYIQKNGHGSWRTLPRLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSILGNKWSAIATHLPGRTDNEIKNFWNTHLKKRLIQMGFDPMTHRPRTDFFAALPQLIALATLRDQLAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAATCSSSPAGSSVPITPTAVGHSGGGQTQTTPCAFSEAPVITSDDVEANLRLLGCGGGGADAFTCHGGSLPPLADLSDVTTTTMTNPLDWCSATASASSCGGGGGASSPFPWPEFFHDDPFITDFL >ORGLA08G0162200.1 pep chromosome:AGI1.1:8:17941793:17944300:-1 gene:ORGLA08G0162200 transcript:ORGLA08G0162200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTFSLLVLNISFRTTADDLFPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYAEEAQKAIDRLDGRNVDGRNIMVQFAKYGPNAEPIRKGRIIEEVEKSRDRSRSRSPRRRHRDDHRDRERRGRSRSRSRERHGRDRDRDHRRHSRSRSRSRSRSRSLSPDYKNRRRGRDDDKRRSKSKSKSRSKSRSRSKSRSKSRSRSRSRSRSRSYHSASPTRHSASPPRKGSTPRRSPARNGSPEKETNGKGSPPSRSVSPSPQRAGSRSPGSDDKE >ORGLA08G0162100.1 pep chromosome:AGI1.1:8:17932330:17939911:1 gene:ORGLA08G0162100 transcript:ORGLA08G0162100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-type ATP-ase 1 [Source:Projected from Arabidopsis thaliana (AT4G33520) TAIR;Acc:AT4G33520] MDPAAPLLALSKAISSSSRSKPSLLASPHHFLLSRGRGSGACGCLPPAPSPPRRTPFAASSASASAARRLAVPGDLLLLSLARLALRGPAPRAEARRWFASLSAASNGPPRGGGGGGGGDGGGGGGGGGGWKRPRASQGTAVAEEASGQEADVIILDVGGMSCGGCAASVKRILESEPQVRSANVNLATEMAVVWAVPEDEDAKNWKLQLGEKLANQLTTCGYKSNLRDSSKASSQTVFERKMDEKLQQLKQSGRELAVSWALCAVCLLGHISHLFGVNAPLMHLLHSTGFHLSLSIFTFIGPGRRLILDGLNSLFKGSPNMNTLVGLGALSSFAVSSIAAFVPKLGWKTFFEEPVMLVAFVLLGKNLEQRAKLKATSDMTGLLNILPSKARLMVDNDPEQSSFTEVPCDTLSVGDYIVVLPGDRVPADGVVKSGRSTVDESSLTGEPMPVTKIAGTEVSAGSINLNGKITVEVRRPGGETAMSDILRLVEEAQTREAPVQRLADKVAGNFTYGVMALSAATYTFWSIFGSQLVPAAIQHGSAMALALQLSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGDILEKFSEVDAIVFDKTGTLTIGKPVVTKVIASHREGDENTKDSCNNEWTEGEILSLAAGVESNTTHPLGKAIMEAAQAANCLYLQAKDGSFMEEPGSGAVATIGEKQVSVGTLDWIRRHGVLHNPFADGENFGQSVAYVAVDGTLAGLICFEDKLREDSHQIIDILSKQGISVYMLSGDKKSAAMNVASLVGIQADKQVIAEVKPHEKKSFISELQKEHKLVAMVGDGINDAAALASADVGIAMGGGVGAASDVSSVVLMGNRLSQLVDALELSKETMRTVKQNLWWAFLYNIVGLPIAAGALLPVTGTVLTPSIAGALMGFSSVGVMANSLFLRMRLSSRQQPIHKPQATISDVLPNAAESEKSYPSKWSA >ORGLA08G0162000.1 pep chromosome:AGI1.1:8:17925212:17928254:-1 gene:ORGLA08G0162000 transcript:ORGLA08G0162000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39970) TAIR;Acc:AT4G39970] MASSTSLLLPTAAAAARRSPSGHRAPPSAVLSPPRRLATLRCLGYARRRAQARHVVACASAASAGSLDALIFDCDGVILESEHLHRQAYNDAFAHFGVSCEPASAAATDAPLYWDEAFYDDLQNRIGGGKPKMRWYFGENGWPTSKIFETPPSSDSDKEKLVDIIQDWKTERYKEILKSGTVKPRPGVLRLMDEVKGAGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPSIYITAAEKLGVQSQNCLVVEDSVIGLQAAKGAGMSCIITYTPSTANQDFSDAIATYPDLSNVGLEDLKLLLQKSLVTG >ORGLA08G0161900.1 pep chromosome:AGI1.1:8:17923039:17923753:-1 gene:ORGLA08G0161900 transcript:ORGLA08G0161900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase [Source:Projected from Arabidopsis thaliana (AT4G30380) TAIR;Acc:AT4G30380] MAISRRSSMLLVMALVLGTVSLATAASGVATFYTQYTPSACYGNRNMGNMVAAANDRLYNNGAVCGRCYAVKCAGAAAGGGGGNPCTGASVTVKMVDNCASSDGCTSTIDLSREAFAKIANLDAGVIRITYNPTYV >ORGLA08G0161800.1 pep chromosome:AGI1.1:8:17903903:17921444:1 gene:ORGLA08G0161800 transcript:ORGLA08G0161800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVFPVVDLRVLTQSDLDELAAASAHAVDPRSSCPDRAVFNESAGSRKQTFSRVRFVPAAAAAAAAASASAAAAAKLPRGNDKEDSFIAYHLRRLFAPDDPSLTEHPSFPQTQTLARSPSPDPDQLTTNSRGVSVDLVSLSRLADPYDAELGKRTARMTTEEELMGFISSLAGQWVNQRMRRKLVDASFFGDHLPSGWRLQLGIERKDRKAWVNCFSYVSFKXXXXXXXXXXXXXXXXXRSFNAGYVNENGASEANNIGNKNNTMVYQTSLAMRPVSPCDLQLGFSGQKQQILPGYGELRPAASGSPQLGGMAANSSIPTRPSQPQFGSMARTDALPTGPSQPGSLARPNFVPTGFSQFASRPPTSVPPADSSQFAGGMARQNIPTTSEPTLVLGYTPQMVNGPPAQLGWDLSLSRMVSEGMLPVLCIWCNSQFHHFGPIDAQHSGSFGFICPACKEKMSGNPNAPNNGPWQP >ORGLA08G0161700.1 pep chromosome:AGI1.1:8:17899993:17900479:1 gene:ORGLA08G0161700 transcript:ORGLA08G0161700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OsEPFL1 6C [Source:UniProtKB/TrEMBL;Acc:I1QJL6] MRTAATPPLAAAAAAVAAVFLSALLLASASRLPPPRRLLPLVGGEVAVAVVAGEEEKVRLGSSPPSCYSKCYGCSPCVAVQVPTLSAPSVPAAAAAHDAAPLVATFTNYKPLGWKCQCRDRLFDP >ORGLA08G0161600.1 pep chromosome:AGI1.1:8:17889026:17896169:1 gene:ORGLA08G0161600 transcript:ORGLA08G0161600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT5G64250) TAIR;Acc:AT5G64250] MGWKGILGFDHGVVQAPLGPDISGPELAAAVANAGGIGLLRLPDWPAPDRVRDLIRRTRSLTERPFGAAIVLAFPHEENLRVVLEEKLAVLQVYWGEFPRERVDEAHLAGVKVLHQVGSFEEAAKAKEAGVDGIIVQGCEAGGHVIGQEGLLSLLPRVVDLVSDTDISVIAAGGIVDGCGYAAALALGAQGVCLGTRFLTTEESFAHPLYKKRLIEINCTDYTNVFGRARWPGAPQRVLKTTFYDQWKNLPEQETEENQPIIGHTIIHGVHRDIRRFAGTVPNATTTGDIDSMVMYAGQGVGLITEIIPANEVVKRLVSEAQHVIREKLL >ORGLA08G0161500.1 pep chromosome:AGI1.1:8:17884691:17886786:1 gene:ORGLA08G0161500 transcript:ORGLA08G0161500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVXRGGSLASPSPXCGRRRGREVGGDRGRHRLSEGGCRLVLSLLLPPPKKATPNAAAVASGNCKYXLLKTEPGEWSWSDPTDGAKDGNLVAAGLCDDPVSGALPWPPPRSQLDRSLVRVGAAQRWSWPCRAESTDVFYNNAEQIIQIAPWDSVCNRQAINSLCALRRGDRCLFYHSGAGAASRHIVSVVEVAREWYEGEGEAASGGAVDVRAIIFRATHWLRFWAQLQRCDEDGEFLKVACRKLEKMVMQLKANYGWRFTNRLE >ORGLA08G0161400.1 pep chromosome:AGI1.1:8:17871254:17872246:-1 gene:ORGLA08G0161400 transcript:ORGLA08G0161400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKRGRLVGWVLLLLAVAIAGAAAATPRQLFLVTQAPVTLTNHHGQLLTGNYSVNLLWYGRFTPAQRATVADFLLSMSSPSAAAEAAAVSGGPAAPSVASWWATTARYHPGAARLTLGRQVLDASLSLGRRLSETSLAALAARLSPHRGSIAVVITAPDVLVDGFCLSHCGLHASATSAAAAAATASPAATRGRGRFAYAWVGNAAEQCPGECAWPFHQPAYGPQAPPLVSPNADVGMDGIIINLATLLAGAVTNPYGGGYFQGPTEAPLEAVTACTGMFGAGAYPGYPGQLPVDAATGASYNAVGVAGRRFLLPAMWDPKTSQCSTLV >ORGLA08G0161300.1 pep chromosome:AGI1.1:8:17858480:17862132:1 gene:ORGLA08G0161300 transcript:ORGLA08G0161300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYRGGGPGAQVTRTAPVWVWLPSNRIRALLTLFVLTSPHLSSLMPPPAPLAPLRSRAHLRLHCRLPPSPSPSPSPLLSRAPSRRWPPPLRASASGRGGASAAAAPTSSALDALLSAGELLCLAPPAICSVVCAARLVFPPPTTTGAPASGLVGGRMFVVQYVLLVGAAAIGSLIRRRQWGRLCQVGGGGGGGAAARGVDFAGRIGEVEESVRGVVAAVGVLSRTVEKLGVRFRVLRRTLRDPINENYLVSLSTEKQTATLAQKNSEATRVLAAQEDLLEKELGEIQKVLYAMQEQQQKQLELILAIGEASRILDDKEDLPGNDTSSTIMEKENEQTDIKVETITGGNNRP >ORGLA08G0161200.1 pep chromosome:AGI1.1:8:17854442:17855404:1 gene:ORGLA08G0161200 transcript:ORGLA08G0161200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAASAAAAGEEGALPQRRRKSAPGQPWAHMETMHLLDAYEERWTRLRRGQLKAQQWEEVAADVAARCAASGAALRKTGTQCRHKLEKLRKRYRIEGARPVTSLWPYFRRMERLERGPLPVSSAFPPPPPAASPPAAASDEDDDDDDDDEEDDEEEEEVEEPIPRNNTRSINGILRDSGGGGGGFSGFAPRPPPQQPPPSFAMLSTAPPRKRVPYEAFQAKVAMADKVKEEEPPPVATRPGGGTNEQLSAVVRDFGQGIMRLERRRMEMQWEIDRGWKETEARHNRMLLDAQRHLHEALAATPPPLKKARREHGGDGS >ORGLA08G0161100.1 pep chromosome:AGI1.1:8:17846108:17849596:1 gene:ORGLA08G0161100 transcript:ORGLA08G0161100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QJL0] MEGNARGGGHSEALKNYNLGRTLGIGSFGKVKIAEHKLTGHRVAIKILNRRQMRNMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIYVVMEYCKFGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQIRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYEKDHVCESLRNRLQNEATVAYYLLLDNRFRATSGYLGADYQESLERNLNRFASSESASSNTRHYLPGSSDPHASGLRPHYPVERKWALGLQSRAQPREIMIEVLKALEDLNVCWKKNGQYNMKCRWSVGYPQATDMLDVNHSFVDDSIIMDNGDVNGRLPAVIKFEIQLYKSRDEKYLLDMQRVMGPQLLFLDFCAAFLTKLRVL >ORGLA08G0161000.1 pep chromosome:AGI1.1:8:17837059:17840765:-1 gene:ORGLA08G0161000 transcript:ORGLA08G0161000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:I1QJK9] MPLAPCPSPPLPSSPSPVRAPRRGGLLRARAVRAAPRPPSKWSLGSWRSLTALQQPEYPDKAELDEVLRTVEAFPPIVFAGEARKLEERLAEAAVGRAFLLQGGDCAESFKEFNANNIRDTFRVLLQMSVVLMFGGQMPIIKVGRMAGQFAKPRSDGFEERDGVKLPSYRGDNINGDSFDEKSRLPDPHRMIRAYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYMELAHRVDEALGFMAAAGLTMDHPIMTTTEFWTSHECLLLPYEQALTREDSTSGLYYDCSAHFLWVGERTRQLDCAHVEFLRGIANPLGIKVSDKMDPKELVKLIDILNPQNKPGRITIITRMGPENMRVKLPHLIRAVRGAGQIVTWVTDPMHGNTMKAPCGLKTRSFDRILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLELAFIIAERLRKRRIASWQLNKNSHLGNIPSLGL >ORGLA08G0160900.1 pep chromosome:AGI1.1:8:17829235:17836308:-1 gene:ORGLA08G0160900 transcript:ORGLA08G0160900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASRTPVSLHSLLVAGGHGKRCVAGAGGRKRGRVQRRHLTQALESFWRHAPRPAPPAAAARGEANRSWQPPPLENPAFEEYYKEQRIVREEEWDDFISVLRKPLPATFRINASSQFFKDICSKLENDFKRYLESEVSDEYGEDAIRPLPWYPGNLAWHLNFSRKQLRKNQALESFHEFLKHESEVGNITRQEAVSMVPPLFLNIQPDHHVLDMCAAPGSKTFQLLEMIHQSKEPGLLPRALVVANDVNAQRCDLLIHNMKRMCTANLIVTNHEAQNFPDCRIANDLSEIYKKDCKPQRLEFDRVLCDVPCSGDGTIRKGHDMWRKWNSGMGNGLHLLQVDISMRGIALLKVGGRMVYSTCSMNPVENEAVIAELLRRSGNSVELLDVSNELPELVHRPGLSTWKVQDKGSWFQNHDDVPCDRKNVILPSMFPSNKSIQEGQSVCDDIEVNTGSNGSFSRNFSIEKTNKVYCDTDGISNSNTTKHSDSTPNSTSSNFPLHRCMRIVPHDQDSGAFFIAVLHKLSPLHECQMVEVTKTKVLDDDESLEEQKKISIDAHTSEDNNLTEVALVTDDVKNDQAELGNRMNKLQDQCKWKGVDPVLFFRDLTVIKSIVSFFAINISFPLEGHLVTRSADPNNARRIYYVSKSVQEILQLNVEVGEQLKIASLGLKMFETHRSKDGCPCAYRLSYEGLPLLLPYISKRILCASPNDFLRLLQYRTVNFAHFINARFGEEAASLIPGCCVVILREGHQNLDLGSITMDPTTIAIVCWRGKATLNAMVSPPDRKELLERITQRFGLKALRVEEENPRRQN >ORGLA08G0160800.1 pep chromosome:AGI1.1:8:17825922:17826182:1 gene:ORGLA08G0160800 transcript:ORGLA08G0160800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEENSRGSGTKISDSAKAEPSKWSEAPQLFDVTGEPPPLPAAAGPADGEAFGQSGSAVGGARRRREAAAAAAARDGRVVLGFGGV >ORGLA08G0160700.1 pep chromosome:AGI1.1:8:17824245:17824556:-1 gene:ORGLA08G0160700 transcript:ORGLA08G0160700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKLVGVGFKARTEREGRELFLKLGYSHEVQFTAPPAVRVFCFKPNLICCTGIDKNRVHHFAGAVRNSKPPEVYKGKGILYIDEVIKLKPGKKQKK >ORGLA08G0160600.1 pep chromosome:AGI1.1:8:17821465:17822715:1 gene:ORGLA08G0160600 transcript:ORGLA08G0160600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRGRHRGDNGRLLLLAAAAAVIASLVGGGAAQPTTSGPGPNYFNPKSFNPSMAVVIVVLVTAFFFLGFFSIYIRRCAGGPLGGPGGYGVGGGGGGRVGGGFTFAAARSRRVRGLDPAVLGAFPTMAYADVKAHKAGKGALECAVCLSEFDDDETLRLLPRCSHAFHADCIDAWLAAHVTCPVCRANLAFDAGVPPPPLAPPHALTTGQDVAAAPPQLAAPEQVTVDVVVADAEETEEERIRREEAAELMRIGSVKRALRSKSGRRPPQFPRSHTTGHSLAAAAAAAVPATADDAAERYTLRLPDHVLREIITSARLRRTTSLQSFRTTAAAGGGARAGRSVRLGQSGRWSNVSSLMRTFSARLPAWGSARRGTEADSPGKDGAKVAGDCTAAVEQHCDGGGGGACPRPLGDHV >ORGLA08G0160500.1 pep chromosome:AGI1.1:8:17813873:17817150:1 gene:ORGLA08G0160500 transcript:ORGLA08G0160500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPRSGVLVFGLVCVFQLSHSSSDDDFTKVRAVNLGGWLVVEGWIKPSLFDGISNGDMLDGTQVQLKSVGLQKYLSANGGGGGNLTVDQDVASTWETFRLWRVSYREYQFRCIKGQFLTASNGDVISATADSPGDTETFYIERNNSMLHIKLLNGGYLQVTNNNQLTSNYPSQPGWDDGMATFEMTIVANNLHGDYQLANGLGPDQAMVVLTEHRKNFITGKDFYFLSKNGINAVRIPVGWWIAYDPNPPAPFVTGSLDTLDRAFYWAHFKSAQYGGSDFDIRIYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSPANIEKTLDVINFLAQRYANNPSLLGIELLNEPSAGAVPLGTLVSYYKTGYQIVRSYSDKAYVIFCQRIGNADPMELYQADLGPTNTVVDLHYYNLFDPFFEKLNATENIQFIYNNRMPQVQALDKANGPLVFIGEWVNEWNVTDASQTEYQLFGKAQLEVYGEASFGWSYWTVRCNSVHWDYEWNKRNRYLIGGSPLESPKYMLLVAGCLLYLLFILT >ORGLA08G0160400.1 pep chromosome:AGI1.1:8:17797605:17799199:-1 gene:ORGLA08G0160400 transcript:ORGLA08G0160400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFSEGYYFGCGGAMAAEAAAGGAWSWSHGYGGGVDQGKGVMELVVDDGVVNAFWDGGGGGESSSPVMAAVPGFIEEPDGGNSSVADAAPPPEHGGAAVVGRDGSAAAPAAAAGRRKRRRARTVKNREEVESQRMTHIAVERNRRKQMNEYLAVLRSLMPASYVQRGDQASIIGGAINYVKEMEQLLQSLEAHRHARRARTDAAAALPFAGFFTFPQYSMSAVPTTTTTTVAAAATENGNAVAGDDADADASGSKPSSVADIEVTMVESHANLKVLSRRRPRQLLRMVGGLQHHRLAVLHLNVASAGHMALYSLSLKVEDDCQLTSVDDIAAAVHGIVETIEQEQQQQQKQSCS >ORGLA08G0160300.1 pep chromosome:AGI1.1:8:17782896:17785234:-1 gene:ORGLA08G0160300 transcript:ORGLA08G0160300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTMQPIGPLPSTKQEGTSRGEKPAEGGSDLSDIDSGWVVLGKSDIVPADLAAQSLRSSPKTIPTWARWVIGGVLHTVVPFYERARYVEDETARNVETAAEVVEHIAEVTEKLASNVADHLPENGCLQKVVEKIEYIAEVVDEDAEKVEAITEKIENFSDKIDAKVEPIFTEIEKEFEDSTSNDGVNANI >ORGLA08G0160200.1 pep chromosome:AGI1.1:8:17779097:17781842:-1 gene:ORGLA08G0160200 transcript:ORGLA08G0160200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEASLLPAASSHTVVRRKRALQLNETVYEEPEYVATKGGTYNVAEMPERLLMAADYRTSPSTAGSNAAILTVRGHSSAAASAAAAHTICALSSAAGNTIATSTATAHSICSWSSAAGKTIATSTATAMTDSSAARSTRSIPPYLIGRMQMDGEEIAVVSSPLVEPDTIAEVPVISMDDDDHPTSPTSTKIAVEGRPQPKLRICSVDVDLSGSPNGHVPEAPQRTAWEATGERSHGFKEGNPERRTVGVGDADAEYRSPDLQARSPSIASELAAVRLVLLVTGASGLDAARARPDDRRRFPIPSAASWLGVPVARARMTALRWVRSSTALRGNSSDAAAAAAAPSPSSASSTPTSSTFLEEMALPLAAPIMAASVVTAASKARVSSWCLPGMAAAPRCCGRGGDGGVRGGGCGGGGGGGDVDLIGGRRDL >ORGLA08G0160100.1 pep chromosome:AGI1.1:8:17772595:17774649:-1 gene:ORGLA08G0160100 transcript:ORGLA08G0160100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT4G09040) TAIR;Acc:AT4G09040] MALPLVRLPPLPAARHPPLLRLPKHSVPPHGGRVSFACSSGSRAAFAACCASASVAPAAEAEAVVEEPEGPRTRLIAQNIPWDCTADDMRALFGKHGSVVDVELSMYNSTRNRGLAFVTMGSEEEALSALNHLNSTTLNDRTIKVDFARSRKKQYVVPSAPMPKHSVFVGNLTWRVRSRHLRELFASTPGVQSVEVVFHTTSPRRSAGYGFVSFSSKEAAEAAISTFNGTVSILKCSLEIKYSTSFGLCTAQILIHDCVLMLFLTYEIYHRN >ORGLA08G0160000.1 pep chromosome:AGI1.1:8:17768937:17769722:-1 gene:ORGLA08G0160000 transcript:ORGLA08G0160000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTASSAAAAPGRGGMEWELEREMVLMAAAGGEHQKKQRQQQPARRAFATDLLQNCDLPPPAKLFGPLPTLQRLENAAAWTSTSPDRKGGDGEGGGGDGGDRLMRALRLSQSRAREAEEKLAAAGASNGELSALLVRDSVVLSAHRLWVMMLEAENSGLRGAAGAAGSAKEGVGEDEDEDEDEDGGARRGAAAWWLALAVCVGIAGIGLAMGKLLL >ORGLA08G0159900.1 pep chromosome:AGI1.1:8:17759548:17760583:-1 gene:ORGLA08G0159900 transcript:ORGLA08G0159900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVLIKLLVVVGCAAAASAATLTVGGSSGWTLDQNYDTWASGQTFAVGDKLVFSFVGAHTVTEVNKNDYDNCAVASNSISSTRTSPATLDLAAAGMHYYICTVSGHCAGGMKLAINVGSGSGSGSGSGTPPSTTPGSSGTPPATPSSPSKPTGGASAGLQASAAVAAAAGVLVKLALF >ORGLA08G0159800.1 pep chromosome:AGI1.1:8:17754124:17754786:-1 gene:ORGLA08G0159800 transcript:ORGLA08G0159800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSALIAMLLVMVVGCAAVASAMELSFIVGDAQGWNTGVNYTAWAKGKTFEANDTLVFRYARNQHTVTEVTKSDYDACTVSGKPISDFEGGALVTFIALSPGEHYFICKIGNHCASGMKLAVTVSNSSDTPRPQPWIGPYSTPASASAHLHAGGAVVAAAVGILLNLALF >ORGLA08G0159700.1 pep chromosome:AGI1.1:8:17751328:17751633:-1 gene:ORGLA08G0159700 transcript:ORGLA08G0159700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRNERPVLRLPPIPFPIQLVSGMGRDGSSMMCSSAKGSLSHSFFTSTYVQYTLFRVLFRVKILQVIRVLIQCADIQQCTHVGICIVLRFCSCILFQKH >ORGLA08G0159600.1 pep chromosome:AGI1.1:8:17750266:17750730:-1 gene:ORGLA08G0159600 transcript:ORGLA08G0159600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHCTVMIRLRNVNIPCCHRGIVCLFHTYPATSPIRAATLQVVQARARRHSLQELHRLNLGATTDGSHDDFLAAVVTLAMQVQQQQHDLVNIDNTSFEHSTGSNSVYNRDGGYMMLMSTASATTRGGEKPRVAGGSRRPRDHGGDMQNVFASCR >ORGLA08G0159500.1 pep chromosome:AGI1.1:8:17734978:17744235:1 gene:ORGLA08G0159500 transcript:ORGLA08G0159500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVASQPRAAMCGASTSKIYTLEKVYGFRLVCRSVVDLRSQKFHTRVYKRKSYFRSSASECEKIIHSARWLEFRRQRVAFQRTRRTIHLIPLASQDDSSGLSVNGSPQVDSASEMDDIRVKLVKALQSEDISTGLVQSIHDAARSIELAFLDHSKSSKNSWFPKEWLGVDNNEWIKPLSYQAAVGSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLSSLEGAIQNELSKREPTLYQWYSSDQNPLVVRTFVNSFENDPRFNSATAICHERQQMNTSESDLSLLMLGLTCLAAITKLGSTKVSCQQFFSMVPDIIGRFMDMLEFVPLSKAYTLTKDIGLQREFLCNFGPRAADPKFSSDRGVEISFWIDLVQKQLLRALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQTYLSSNHLTNLDDSINDIVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYSGDVPAATIEGREDVHKGEIISRVLNVCSYWMTSFIKYSSWLENPSNVKAARFLSKGHAMLSDCMKELDLTKYDMPKDQTFPEAKEHLVARTELASFDKSLESVEEALVKLEDLLQELHLSSSNSGKEDLRAACSDLEMIRRLKKEAEFLEASFRAKTEFLEADASSRPLSPAVEEGRGKTASNANESSTPQKPANRVENKRRPIWDLFGRPSGRRVELVQQTSDQNVSVANVDNKDTQSNDILRFEQLRRELIELEKRVQKSADNAQKEETYVANETLDSSVSSSPVSMPSGPASKKENVITKSVEKVKETTTTVVQGTQLLAIDTGAAMGLLRRALIGDELTHKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAILAFIQRYVPSMIPSTYAPERLDLLRQLEKVKEMGVAEGSSEEMVEAVSSRGDQVK >ORGLA08G0159400.1 pep chromosome:AGI1.1:8:17730680:17733624:1 gene:ORGLA08G0159400 transcript:ORGLA08G0159400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCTANLMSRLSSIYSQHRTSGLRSDRSIMPNSTSNSLRTISSVHLPYNHRARNFHISHAAGDSSEHVIINGQASPSKVVQADAAALGTIAADMAPVVDGFSADDDELDLDSPTEGFSSIPEAIEDIRQGKYVIVVDDEDRENEGDLIMAASKVTPEAMAFIVRHGTGIVCVSMKEDDLERLELPLMVTTKENEEKLRTAFTVSVDAKEGTTTGVSAKDRANTVLALASPNSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPAAVLCEIVDDDDGSMALLPKLQDFARRENLKIISIADLIRYRRKRDRLVERVCVTPLQLQWGSFQSYCYRSLIDGMEHIAMVKGDVGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMTMIEKTGRGVVVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEDLGLPVDSREYGIGAQILRDLGVRTMRLMTNNPAKYTGLKGYGLSVLGRVPLLTPITNENRRYMETKRLKMGHVYGTRPSGNTSTLADGGIKKEQDQIDSASEQE >ORGLA08G0159300.1 pep chromosome:AGI1.1:8:17721113:17725520:-1 gene:ORGLA08G0159300 transcript:ORGLA08G0159300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRIAVSHHRAALPLPTHHNHLRRRHLQLQPFPSSLSLSLPISPQLSPAPPRRHLLPPLLASASAAQAAGPAPAPAAGGGGGAKPVPLLVSLAVGLAVRFLAPRPAEVTPQAWQLLSIFLTTIAGLVLGPLPVGAWAFLGLTATVATRTLPFTAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKWLGRSTLGLSYGLAISEACIAPAMPSTTARAGGVFLPIVKSLSLSAGSKPNDPSARKLGSYLVQSQLQASGNSSALFLTAAAQNLLCLKLAEEIGVKIANPWISWFKVASLPAIISLLATPYLLYKIFPPEIKDTPEAPAIAAQKLKNMGPVTRNEWIMVATMILAVSLWIFGDTIGVSSVVAAMIGLSILLLLGVLNWEDCLNEKSAWDTLAWFAILVGMAGQLTNLGIVSWMSNCVAKVLQSFSLSWPAAFGVLQASYFFIHYLFASQTAHVGALYSAFLAMHLAAGVPAILSALALTYNSNLFGALTHYSSGQSAVYYGAGYVDLPDVFKLGFTTAAINAVIWGVVGTFWWKFLGLY >ORGLA08G0159200.1 pep chromosome:AGI1.1:8:17708540:17708866:1 gene:ORGLA08G0159200 transcript:ORGLA08G0159200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRHPLILFYLFPTTASLFLTPFLFGGSPSHYLSQMVYAISSPATALPLPPPPPSIVVVAAAPIVLPVAARGIGLYGLLLPRHPHGLGLWAHQGPAFNGISASTMPW >ORGLA08G0159100.1 pep chromosome:AGI1.1:8:17704469:17705432:-1 gene:ORGLA08G0159100 transcript:ORGLA08G0159100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPAALLQVVADGGGGVGVEEEMDVDEDMAMCGGRGGGGGEKKRRLSVEQVRALERSFETENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRQSYDALRADHDALRRDKDALLAEVQELKGKLGDEDAAASFSSVKEEEEDPAASDADPPATGAPQGSSESDSSAVLNDAEILPHKLAPAAAADAAASEETEAVVTGAALLHHAEVFFHGQLLKVDDDEAAFLGDDGAACGGFFADEHLPSLPWWAEPTEQWTT >ORGLA08G0159000.1 pep chromosome:AGI1.1:8:17694484:17696873:-1 gene:ORGLA08G0159000 transcript:ORGLA08G0159000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1QJI9] MPPPTMMLPPSPGDSDPSGSRDMDDEDLVEDLLVTVNSARAFVEFRRTQRKECANLLRWLELVLPLLEELRDSAPPLTEDAYHRLALLGRAFSAARRLLRSCHDGSKIYLALESEALQGRFRAVYEKMNSALDGMPYSELAISDEVKEQVELMNAQLTRCKKRADTQDIELSMDLMVILDNKEGERNADRAILERLAKKLELQTLADLRAETMAIKKLISERNGQSGDSTKQIIELLNKFKEVAGVDEKNVLGEVSVTKSLDKCPSLMIPNDFLCPITLAIMRDPVIVATGQTYERRSIQKWLDSGERTCPKTRQRLSHMSLAPNYALKNLILEWCDKNKVELQKREPEPVAEQDDEHQRGAEDIPSLVEGMSSIHLDVQRKAVKRIRMLSKECPENRTLIADSGGIPALIGLLACPDKKVQENTVTSLLNLSIDESNKRHITKGGALPLIIEILRNGSAEAQENSAATLFSLSMIDENKLTIGRLGGIAPLVELLQNGSIRGKKDAATAIFNLVLNQQNKVRVTQAGIVPALLKIIDDKALNMVDEALSIFLLLSSNAACCGEIGTTPFIEKLVRLIKDGTPKNKECALSVLLELGSKNKPLLVHALRFGLHEDLSKIAKNGTSRAQRKATSLIQLARKCY >ORGLA08G0158900.1 pep chromosome:AGI1.1:8:17686837:17689101:-1 gene:ORGLA08G0158900 transcript:ORGLA08G0158900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLRHHAALASSRLLVRDNQRITALARAGDVVAARRVFDAMPRRDAVSWNALLTALWRAGRDLPAARSLFDDMPSRNVISWNSIIAGCLAHGDLAAASAYFARAPRRNVASWNAMLAGLVRLGSMEDARSLFDQMPERNVVSYTTMVDGLARCGEVASARELFDAMPTRNLVSWAAMISGYVDNNMLEEARKLFEAMPEKNVVACTAMITGYCKEGDLQNARRLFDGIRAKDVISWNAIISGYVHNGLGEEATKLYIIMLREGIKPDQATLIALLTACSSLALLRQGRSTHAVVIKAMLESSISICNALMTMYSKCGNVDESELVFMSLKSQDIVSWNTIIAAYAQHGRHQKVIALFHEMELCGLIPNDITFLSMLSACGHAGRVDESLKLFDLMFSKYAISPRAEHYACIVDILSRAGQLEKACSYIKEMPSEAEKNVWGTLLCASQTHGNVQLGELAAKMLVLSDFESSGAYVMLSNIYAAAGMWGEVNRVRSQMKEKGVKKQPGHSWTEIADKVHMFVGGDASHPEMDMILSELRKISFHMQMVTDKTQMMEELVQECG >ORGLA08G0158800.1 pep chromosome:AGI1.1:8:17683936:17685417:1 gene:ORGLA08G0158800 transcript:ORGLA08G0158800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMFSFRSSVRSTSNGDKASNSITEASEMQEKLNKLQEELKNEKKEKARALDEIAGLKKKKNENKVTSNGGDDKLDLVHRLEQLEGEQEAARDSEKKLLVSLGAQTKQLEQTKVSLEEAKLEIASLKDNKKSSEAFSALSSNPSQPARNLRRRGIMSFSFADPGEVETWSLQRELKLAVEAEEKCKKAMDDLAIALKEQTTDARDAKAKLSLAQSELTNARTEMENSKALLKNTEEKLQVALEEAAQLKFESDELAAASKEKERGLVDCIKMFEGDLIKAKEENNKLIESQRVIRDENSRLREMLKHAVCEANVAKESLEIARAENSQLKEDISEKENTLQSIIQDYESLKVSESAAQSSIGELKDMIDAMFSSESTKTSAEASPRDTKGNEVYYDHERTQLEDIRNPARHKKWTVLRKFADIMKKRNSQSAI >ORGLA08G0158700.1 pep chromosome:AGI1.1:8:17677889:17679704:1 gene:ORGLA08G0158700 transcript:ORGLA08G0158700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGTREEMVYMAKLAEQAERYEEMVEFMEKVVTAAAAGGGGELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGAAGHAAAARSYRARVEAELSNICAGILRLLDERLVPAAAAVDAKVFYLKMKGDYHRYLAEFKTGAERKDAADATLAAYQAAQDIAMKELSPTHPIRLGLALNFSVFYYEILNSPDRACTLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGGDEMRDATKPEDEH >ORGLA08G0158600.1 pep chromosome:AGI1.1:8:17672029:17674020:-1 gene:ORGLA08G0158600 transcript:ORGLA08G0158600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGGAAAGPTAAAAAAAVQKQKTLLQKADADVSSLVDNFAALINIARVNDPPVRNTQEAFQMDMRGSRMVHSADSLLKLVSELKRTAIFSGLASLTENVDRRIEIFSQQVEGTERMLERIGQEAAGSLKELEAHYYSSVVRTPPDE >ORGLA08G0158500.1 pep chromosome:AGI1.1:8:17657853:17659142:-1 gene:ORGLA08G0158500 transcript:ORGLA08G0158500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFPPHIMVLSKMQRLYDACDMIFSSPASAAPTIGEIRWLQHLLDGMETADVGIDDGESPPSSSSSSCSSSDDEVSSKDGRLLPARAFTRITYVHIHQCADFSMGVFCFPAGATLPLHDHPEMVVLSKLLYGSVRVKSYDWVTPPPPCSRKSGLARVVAADEVRHAPCKTSVLFPRSGGNMHAFTAVTPCAILDVLTPPYSEELGRPSTYFNDIPIPSLPGFAFLEETDLPEDFSVAGAPYVGPELELVVDMDDDDEDYDDYDE >ORGLA08G0158400.1 pep chromosome:AGI1.1:8:17650068:17652644:-1 gene:ORGLA08G0158400 transcript:ORGLA08G0158400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSRLPSHEEQSAAAAADGSATPSQGIPVVDLGVLINGAADERSRAIRDLGRACEDWGFFMVTNHGVPEALREAIMDACKELFRLPLEEKKEYMRAKPMDPIRIGTGFYSVVDAVPCRRDYLKMFSHPEFHCPEKPAKLREIATEYATCTRALLLELTKAISESLGLAGGRLSEALNLESCFQILVGNHYPACSRPDEQAMGLSAHSDHGLLTLLFQNGVDGLQVKHDGEWLLAKPLPGSFFVIAGDQLEIVTNGRYKGVLHRAVVGGEQSRMSFVSLIGPCMDTVVEPLPEMAADGRGLEFRGIRYRDYMEMQQSNSINEKTALDIVRVMHQAG >ORGLA08G0158300.1 pep chromosome:AGI1.1:8:17643736:17647164:-1 gene:ORGLA08G0158300 transcript:ORGLA08G0158300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:signal recognition particle receptor alpha subunit family protein [Source:Projected from Arabidopsis thaliana (AT4G30600) TAIR;Acc:AT4G30600] MLEELLIFTRGGLILWSSCRALGGAALKGSPIDALIRSCLLEERSADASFSQDTYALKWTFNNDLGLVFVAVYQRMLHLLYVDDLLAAVRKEFSQIYDPKRTFYDDAFNEVFRQLHLEAEARSEEMKKNKQVTGSRPTKVTTKTNRGDTQGSGGGRKKGDSGKDDSDGDSGKEHTLPNGNSKMQENSLKDNSHARSVVVKGKENGDPNDGAFDVNKLQKMRNKGNKKNEVASNVAKNTSKANTKKNLKKNRVWDDTPDDKKKLDFTDPADERGDEVIDQVVVKQGESMMDKDDVVSSDSDEEEEDGEENSGASQKKKGWFSSMFKSIAGNNVLEKSDIQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEEALLRILTPRRSIDILRDVHAAKERGKPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVSLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSAVPTTRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >ORGLA08G0158200.1 pep chromosome:AGI1.1:8:17638391:17642679:1 gene:ORGLA08G0158200 transcript:ORGLA08G0158200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1QJI1] MEDEATSVAAPLLRPRGGVDAEAVKQQLWPAGARVAGEWWVESKKLWRVVGPAIFQRIALYGINVVSQAFIGHMGDLELAAFSIASTVVAGFNFGFLLGMASALETLCGQAFGAKKYHMLGVYLQRSWLVLLMFAVALTPTYVLMEDLLLLIGQPADLASLAGKMSVWLLPQHFAMAMLLPLTRFLQSQLKNWVTAVTAGVALALHLVITYLLVNTLHLGLLGAVAAANVAWWIVVLGQLVYVVGGWCPLSWKGFSMEAFADFWEFIKLSSASGVMLCLENWYYRVLVLLTGYLNNAEIAVDALSICLTINGWEMMIPFGFLAATGVRVANELGAGSGRGARFAIVVSVTTSVAIGLVFWCLIIAYNDKIALLFSSSKVVLDAVSDLSVLLAFTVLLNSVQPVLSGVAIGSGWQALVAYVNVGSYYLVGVPIGAILGWPLHFGVGGIWSGLIGGTAVQTLILAYLTIRCDWDEEAKKASTRMEVWASSK >ORGLA08G0158100.1 pep chromosome:AGI1.1:8:17588958:17589830:-1 gene:ORGLA08G0158100 transcript:ORGLA08G0158100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDEGDGDEEMPPMPLSSGYDAPMQPGLGGGGGGVPKPGGGVGGGGGGGGGGGGGGARYRECLKNHAVGIGGHAVDGCGEFMAAGEEGSIDALRCAACGCHRNFHRKESESPTGVGPAEPSAVSPAAISAYGASPHHQFSPYYRTPAGYLHHQQHQMAAAAAAAAAAAAGGYPQRPLALPSTSHSGRDEGDDMSGMVGPMVIGPMVGMSLGSAGPSGSGSGKKRFRTKFTQEQKDKMLAFAERLGWRIQKHDEAAVQQFCEEVCVKRHVLKVWMHNNKHTLGKKAP >ORGLA08G0158000.1 pep chromosome:AGI1.1:8:17572679:17575025:1 gene:ORGLA08G0158000 transcript:ORGLA08G0158000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSCHDAAASMLLCAEDNSSILWLEDEEGEVGERRSGGCRSMVGDLGGGGGGGGGGVEEEEDMFPPQSEECVASLVEREQAHMPRADYGERLRGGGGDVDLRVRSEAIGWIWEVYTYYNFSSVTAYLAVNYLDRFLSQYELPEGRDWMTQLLSVACLSIAAKMEETVVPQCLDLQIGEPRFLFEVETIHRMELLVLTNLNWRMQAVTPFSYIDYFLRKLNGGNAAPRSWLLRSSELILRIAAGIGFLEFRPSEIAAAVAATLAGEATGVVEEDIAEAFTHVDKERVLQCQEAIQDHHYSMATINTVQPKPASTRRGSGSGSGSASSSSVPESPVAVLDAGCLSYKSDDTDAATIASHGGGRRKSCFDSSPVTSKKRRKLSR >ORGLA08G0157900.1 pep chromosome:AGI1.1:8:17548566:17553616:-1 gene:ORGLA08G0157900 transcript:ORGLA08G0157900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:I1QJH8] AMASISGAAAAAPSSSGASCGLRLRRDHLPRSSHFRLARPSSITDVSRSCSSSSSSPPRSLSSKQSGHADVLAHGAVDKDPIRLWNRYVEWLYQHKQLGLFVDVSRIGFTEEFLRRMEAPMGRAFAAMRELEKGAIANPDEGRMVGHYWLRNPALAPNSFLRDKIETTLERILAFASDVISAKIRPPSSPAGRFTQILSIGIGGSSLGPQFVAEALAPDNPPLMIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFRDAGLEFSKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIKEMLVGAAQMDEETRNTEIKENPAALLALCWYWASDGIGSKDSLLLLSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHEWELEPSVTCGDYLFGMLHGTRSALYANDRESITVTVQEVNPRAVGALVALYERAVGLYAYLININAYHQPGVEAGKKAAGEVLALQKRILLVLNEASCKDPAEPLTLDQIADRCHCPEEIEMIYKIIQHMAANDRALIAEGNCGSPRSIKVYLGECNVDDDMNS >ORGLA08G0157800.1 pep chromosome:AGI1.1:8:17538727:17539710:-1 gene:ORGLA08G0157800 transcript:ORGLA08G0157800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGEAPAAAAAVAPAQAALRPALAFHAGQAVAIPHDVIAPPRKPGPIAVGAQILRAEGAAGLFSGVSATMLRQTLYSTTRMGLYDILKKKWTQENGGVLPLHRKIAAGLIAGGVGAAVGNPADVAMVRMQADGRLPLAERRNYRSVGDAIGRMARDEGVRSLWRGSSLTVNRAMIVTASQLATYDQAKEAILARRGPGADGLGTHVVASFAAGLVAAAASNPVDVVKTRVMNMKVAPGAPPPYSGAIDCALKTVRSEGVMALYKGFIPTVSRQGPFTVVLFVTLEQVRKVFNGVEF >ORGLA08G0157700.1 pep chromosome:AGI1.1:8:17529913:17530279:-1 gene:ORGLA08G0157700 transcript:ORGLA08G0157700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDMAWTVEDAAISDELRRGAWMTERTRPPPLSSDMAWTVEDAAAGDELRHETWTMGPPATMPWCPAPDTSQVSPNTWYHMISRKYRLIPG >ORGLA08G0157600.1 pep chromosome:AGI1.1:8:17518901:17526038:-1 gene:ORGLA08G0157600 transcript:ORGLA08G0157600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGSSSSSSASAVVVAVVIAVVVVVWVVVRRKVRRAAARREEVLRLTRLAQEESEMAEVECARAYYSELFPSVVHATEMVDEAAWGAPPAVVPAQAEAEAEMEARAQPQPQPPVGAKGVCAVCFRPTTFRCKQCKAVKYCSFKCQIAHWRQGHKNECRPPSTDANHDDVAELSVAKERKIEQTSASEENIAETNTAATVKNLNDKTKDMPSEVLASVEVPDDDHSVSEVKLPQSSSQVASLGSRKTESNMKPTTPVENGSYTKDLDEALVCRSQPSPPKISGSGSLINKESLIDSKKHQDCSQTSNSKKYADNNNAQAALPVAVEPKTSRTALHVEVGHSKTKAAGSDNIGVSKMVPSVLTVDKVSPVPGGRSVTPNSSKRADNIAERNSKPSEKSISTANSLATSLKKIVRQQTAPKVVRHYPSEPTHFPYELFIKLYEKVELQPFGLHNLGNSCYANAVLQCLMFTRPLTSYLLGGLHSKNCSKKEWCFMCEFEKLVGEGRQGKIALSPTGILSHLPDIGSSFGPGKQEDAHEFLRYAIDAMQSVCMKEARKSGTHRLHEETTLMQLIFGGYLRSKIRCTRCDATSEQHERILDLTVEIDGDISSLEGALERFTSTEVLDGDNKYKCSRCKSHERAKKKLTISEAPNVLTIALKRYQSGKFGKINKAIRFPETLNLQRYMSPKADDTSPVYSLYAVVVHHDIMNAAFSGHYVCYVKDTHGKWYKTDDSQVKPVSLENVMSKCAYMLLYARCSPRAPSSVRPALMAQDPARVKKDKARVNSGRWHGGGPIHQGGQMYADHMTDDLPHTYDEFGHGPYSPAESPSPSESSSIFTSSDTGSHSTDSSESTRNSTSEDMERLIFGDQVSYFDGSMFGHGENDRMTYSRSKSSLGTSSSGQEVDQYRPDEHRLQGAREGWNQGDESSSLYTNQSKHQFSSKLTEQYRRRLDGTEHDPGEANSVLLRRSARERTAQTFY >ORGLA08G0157500.1 pep chromosome:AGI1.1:8:17514689:17515660:-1 gene:ORGLA08G0157500 transcript:ORGLA08G0157500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSQLGGGEGDGKLVVGGGAMGEKKQQLECFSDEVDSRDGGGGAAEETTAGGGGEGVAAVVVVGKRRRGRPPGSKNKPKPPVVVTREAAAAEPAAAAAMRSHVLEIPGGGDVAGALAGYARRRGLGICVLAGTGAVANVSLRHPLPSGAAAEIGGGAAAAVVVFHGRYEILSISATFLPPAMAAAAPRAALGGLSISLAGPHGQIVGGAVAGPLVAATTVVVVAAAFASPTFHRLPAEYDDAPAPVSGSGADADEHRGRRRTEPPEHHHLTPLHPRGIALATATTTTTTQPVYASACQHEEVWPPAAAAAASAPRPRPPYQ >ORGLA08G0157400.1 pep chromosome:AGI1.1:8:17501876:17504307:-1 gene:ORGLA08G0157400 transcript:ORGLA08G0157400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase D chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G52300) TAIR;Acc:AT3G52300] MSGNGVKKVAEVAAKAGKAIDWEGMAKMLVSDEARKEFNTLRRTFEDVNHQLQTKFSQEPQPIDWEYYRKGIGSKVVDMYKEAYESIEIPKYVDTVTPQYKPKFDALLVELKEAEKESLKESERIEKELAELQEMKKNISTMTADEYFAKHPEVKQKFDDEIRNDNWGY >ORGLA08G0157300.1 pep chromosome:AGI1.1:8:17499536:17501366:-1 gene:ORGLA08G0157300 transcript:ORGLA08G0157300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38090) TAIR;Acc:AT4G38090] MAAVRIAPRLRSLPLLLPGPDAAATAAAVHRSCSSCGGSLAALAPARLRAMSSSSSSSSVSPSPYTTLVGRVSCEREIKKSKFSAIAAPVPDERAAMSFLNEVSDPRATHNCWAYKLGEQFRYNDDGEPSSTAGKPIYSAIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTCLVKPKARVGMEVPFDLLGTVYHQLQHYQAEDIKQDYDTGKDGTVMVMFKLEYEKIENLGNAVNSTCSRKIELLL >ORGLA08G0157200.1 pep chromosome:AGI1.1:8:17497921:17498385:1 gene:ORGLA08G0157200 transcript:ORGLA08G0157200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGCHLLVLLLAFSAFHCSYAARHLLDTAAAAAAPEAAPAQPSMPTVPTTLPPIPSIPAVPKLTVPPMPSVPIPKVTIPPTAAGTIPSLPIPAIPTTIPTIPTVPVTLPPMPSIPTTIPSIPTTIPTTIPTIPGFQMPPIPFMSSPPKTTSP >ORGLA08G0157100.1 pep chromosome:AGI1.1:8:17494296:17495052:1 gene:ORGLA08G0157100 transcript:ORGLA08G0157100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDGECSAAAARKGGSPAVRSHSEAERKRRQRINAHLATLRTLVPSASRMDKAALLGEVVRHVRELRCRADDATEGADVVVPGEGDEVGVEDEEDDEGERDEGCYVVGGGDRRWRRRVRAWVCCADRPGLMSDLGRAVRSVSARPVRAEVATVGGRTRSVLELDVVVASDAADNDRAVALSALRAALRTVLLNREELLAAAATDGYKRPRFSPRCSSLT >ORGLA08G0157000.1 pep chromosome:AGI1.1:8:17483565:17487450:1 gene:ORGLA08G0157000 transcript:ORGLA08G0157000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEHAEKGDGVQECQSSPALSEDNLRHSFRLGDITWVKHTGSWWPAQVVENSCISSKPKKTAKHHVPVRLYGTCVHMYVDPWKSNMEFKMMLKRENKSAMEAFHEAVKKELSHVNSPCDSTEEAGNLKAKTSSKKVRKQKGLKESPASEHMGEDTKDQHSAEQHQELGYTATTGVATRKGRRTREGARQLSPTDGEDQASGKKASIEGSSYKTEKQVGSVYDEEACKMTTTERSVGRREGLRRSACTPMKAYLDPSEDRTSLFSDTSASEGANEVNRTPENSNQHEDDSTIDGTLASHAEIRVMVRDILFSDIIAKQHAAEMAYVDEVINGICCASELNITGDPTPVAKGGRGIKRGGSRAEAESSNITQRSRKGRIDQASSNGKKRAKDTSETMNHDNSPNSFERGPFDSTSRDAAMEELGQVSARQIRIMQSLALIAPSGSPFGKNGLVASTSL >ORGLA08G0156900.1 pep chromosome:AGI1.1:8:17479009:17480169:1 gene:ORGLA08G0156900 transcript:ORGLA08G0156900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAARRKAERWIGVAEKLLMARDLEGCKQFVSQARADDPTAPGADDLAAAADILLATQRRRLATGAPNPYAVLGLDCADPASRDPDVVHSAYRRLSLLLNRSHPDRPCLHAFADAARLVAEAWAFLFDPVRKASLDSSLDAAAAAAAPRPPPAPSPQKQQPQPQPRSPRPASPPPVPAAPEVASAVSTPPARPKRGRPPRAAKPQPTPERQQEAEVEAAATFWTACPSCCNLHEYTRSYEARTLLCPSCRKPFFAAAMATPPPIVPGTDMYYCSWGFFPMGFPGGPAFARPTSSSSSSPTKQAPAALGFYPMGPYSLPLPAQGDAAEGNAAVGSGDGTVTAPSPPPPPAAAAPLPVKPKLVKLGARKRGRPKSSKNKHVVIEIN >ORGLA08G0156800.1 pep chromosome:AGI1.1:8:17473979:17477806:-1 gene:ORGLA08G0156800 transcript:ORGLA08G0156800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVDPPPSAADLAPRIHIGMEWRSRSQIEMERHCPCRIGKEAKVQAKDVMALTVAVAVPMEEAEVQVEEVAMLAVEVAVSTEEIMAPSRGVCAYDGGGGGHCDGGAAHGATEWRRHRAWAAPAFLRRERRRGKSPINRTIVASWAGPNQPTSGCSPSYSESLVKAQVERKSGPVRVPLLLVPGGDCGGDANAEMSVFGGNSWARDARQRKRRLDDLMLPASAASPSSSSPDSFRRLSNGKFACLVCPHRPVLDSPLMLSMHNKGSRHIAAESRLREKELSRQQEINKRLAISPEASVSSSGKQHYGVRSSDMKEKPLIQQTRQAILEAQSSRFIIDSANKKSHDLERTSNSSLCDSQVTPSVPMEKWSGDTVKGKFFAGDRTATKMLAEEQADFQKRQEQELKFTASGWKRDGHGRWYRDENVSHIFFLYGSFFCMLFLVVNSI >ORGLA08G0156700.1 pep chromosome:AGI1.1:8:17467003:17469160:-1 gene:ORGLA08G0156700 transcript:ORGLA08G0156700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:I1QJG6] MVSGPKYDGKYLHSLLREKLGDTRLDKALTNVVIPTFDIANLQPTIFSKFELKYKPLKNALLSDISISTSAAPTFFPAHYFETKDDNGQTREFNLVDGGVAANNPTLCAMSQVSKYIILEDKEDCDFFPVKPTEYGKFMVISIGCGSNHDQKYKAKDAAKWGIFNWLIKGSSAPIIDMFTSASADMVDIHLGVLFSALQCEKNYLRIQYDQLTGSAGSIDDCSKENMDNLVKIGEMLLDKNVSRVDLETGHYVDVAGEGTNRYQLAKFAKQLSDERRRRQNEPSN >ORGLA08G0156600.1 pep chromosome:AGI1.1:8:17461124:17461817:-1 gene:ORGLA08G0156600 transcript:ORGLA08G0156600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGLAREARAVEMKVGLAREARPMEGGWIGARDASGGGGRLGARDVTGGGGGDLGARRSCRWVWCGLWRMKAGRRGPPVQGSQMSAELEWWWSIGASALIRRISSVFLCWSSGGRSRLAVAGPVLAFSWTCVLALSVCGVVAYFFLFPGYDPSGL >ORGLA08G0156500.1 pep chromosome:AGI1.1:8:17444060:17446012:-1 gene:ORGLA08G0156500 transcript:ORGLA08G0156500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:I1QJG4] MAPTTNQSSGSAPLTVNPVVQHAVVGAQLPTTSPCRTPKSPPPSYGSIVTVLSIDGGGVRGIIPGTILASLEEKLQELDGADARIADYFDVIAGTSTGGLVTAMLTAPNDQGRPLFAAKDINDFYLKHCPKIFPPRSIPIVGLFQSMAGPKYDGKYLHSVVQSLLGDKRVNETITNVVIPTFDIKLLQPITFSRFDAQIDVSKNALLSDVCISTSAAPTYLPGHRFQTKDKDGKPREFNLVDGGVAANNPTLLAMTHVSKQILLGKQDDFFPIKPADYGKFMILSLGTGTAKIEEKYDAVQSGKWGMINWVYHDGSSPLIDSFSQASADLVDIHASVLFQALHCEKSYLRIQDDELTGDTASVDVSTPENLNRLVDVGKALLKKRACKVNLETGKNEPDMSRGTNEEELDHFAKMLSDERKARLQKKAFLQ >ORGLA08G0156400.1 pep chromosome:AGI1.1:8:17437005:17439305:-1 gene:ORGLA08G0156400 transcript:ORGLA08G0156400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:I1QJG3] MAPVQTPEQSNGSLTLNPVVQRVLSRGKSLLSPSTPRSPPPSYGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPEARLANYFDVIAGTSTGGLVTAMLTAPNDNGDPLFAARDINDFYLEHCPRIFPPVSKGPLGLFKSMTGPKYDGRHLHSVVQQLLGDKRVDSTITNIVVPTFDIKLLQPTIFSTYDARKDVSKNALLSDVCISTSAAPTYLPGHRFETTDKDGKPREFNLVDGGVAANNPTLLAMTHVTKQILLGCQDFFPIKPADYGKFMILSLGTGSAKIEKKFDAVESGRWGVLGWLFNKGATPLIDSFSQASADLVDIHASVLFQALHCEKRYLRIQDDELTGDAASVDVSTPENLQRLVGVGKALLKKQACKVDLETGKNEPDMNRKSNEEELVLFAEMLSRERKARLQKKQGSMKI >ORGLA08G0156300.1 pep chromosome:AGI1.1:8:17422693:17426601:1 gene:ORGLA08G0156300 transcript:ORGLA08G0156300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKIRLEAGLRLCAPTLVSHLRCEEKGIKLGFGGGWREDKLNQQKIGEVVSVVEEKEKNLGSKIEQVEVKGEARLIVQQKGESSNVEAMLNKEKKSEESKTEGDTKGVVKDGVDKGAQIRKWCGKCRSMGHIAKDCVVRKYCVICSKVSHNTDECFVAQQPKPVAKMMRFPNEEKLEELSNFVSFGPKGTGVQIRVKRWSHEAEAVGKLHTVWVNVEGIPDVMKDYHALCEVGSNLGPVFEIDMELLKLKDCVRIKVGVLDPGALPLTFILTDTKGLMYAAHYHLEEIVEVGWFQGKEKLKQEEDDGHSEGLEVLGVGSSRKGKVVRQDIEKDCDDCCAFSGGKNKGGGLQGQLGQSMSKGEMVRNLSQIEKDRELAEALQMEEQLKVSTEVGQPSGMGGKIKNDGREMNEVMEQLEAEGERVQLIGSEEMESQESGGDNIRGKEDHKSEDEAEFEEGKKNKRLRDKEDKKILEMAMERKEAKNAFINKDANTQVLRDLEVARINLYKKEMGEKENNGELLQKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA08G0156200.1 pep chromosome:AGI1.1:8:17420105:17420869:1 gene:ORGLA08G0156200 transcript:ORGLA08G0156200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF617 [Source:Projected from Arabidopsis thaliana (AT5G42680) TAIR;Acc:AT5G42680] MPSLIDGAASLRSLLRPSSDGRRTTKLSGGGGGGGAGGVGGIFKMFKLLPMLTTGCKMAALLGRHSGGRAAPLLADHAPTVTLFGHRRGRLSLAIHEDTRAPPAFLIELPMLASAMHREMATGTVKLALESDTRSARRRLLEEYVWAVFCNGRKAGYAIRRKDASDDDRHVLRLLRGVSMGAGVLPPPPADRRGGAGPDGELTYMRARVERVVGSKDSEAFYMINPDDGSDNGGAAGRDRECAPELSIFLVRKK >ORGLA08G0156100.1 pep chromosome:AGI1.1:8:17413469:17417034:-1 gene:ORGLA08G0156100 transcript:ORGLA08G0156100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCLPPPPRAAIAAVLTSLLPPPPGASASLAPAGPAAAVARQIRRNDGHHPGAAVGMSSASVAERERESEAGEFTEVVVVRHGETAWNASRIIQVPCSVPPAPSPIYFSEALVFNFGEKLWLGKLGRINDSLVVHLFLGHLDVELNEIGRQQAVAVWYDIFQIAVVFDPALRERHIGDLQGLKYEDAGKEKPEAYRAFLSHKRNRQIPGGGESLDQLSERCVSCLYNIVEKHQGERIILVSHGGTIRELYRHASPMKPLHGKIHNTSVSVILVSGATGRCIVKACGDISHLKETGVLENAFGGDKNSA >ORGLA08G0156000.1 pep chromosome:AGI1.1:8:17408599:17412352:1 gene:ORGLA08G0156000 transcript:ORGLA08G0156000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G50560) TAIR;Acc:AT3G50560] MLRSVSGSNSSRGIAAVVGVGPRLGSAVARKFASEGYTVAILSRDLEKLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYNACEPPADGDGDASPRPTPFLAISPDAFHRALAVSAAGAFYCAHQVIPGMVERGRGTVIFTGSSASVTGYAGYSDLSCGKFALRGLSQSLAKEFQPAGVHIAHMIIDGVIGDPRSGRGGCGGEAASASGADPDAVAQSYWHVHAQDRSAWTQEMDIRSASLM >ORGLA08G0155900.1 pep chromosome:AGI1.1:8:17399221:17399505:1 gene:ORGLA08G0155900 transcript:ORGLA08G0155900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPPHSNHAHHRSAPLTGNLHHCQNLADCHWAWPLPPSTSPPLRRSPEPGETHRAALSLGRGLRRKPCRQPSGPALSGDLLERWQVEKRRRR >ORGLA08G0155800.1 pep chromosome:AGI1.1:8:17397521:17398345:1 gene:ORGLA08G0155800 transcript:ORGLA08G0155800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSGPFPSAEVSILDQHLQFLHDECLFILQLQAFLEHGAPTTPQTLVAMMPKATINVGGRVLSAMTIEHFILRLPYNAKHVRVRC >ORGLA08G0155700.1 pep chromosome:AGI1.1:8:17390124:17390864:-1 gene:ORGLA08G0155700 transcript:ORGLA08G0155700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDMLNSNPEAQLELMNTMLQLEQLSAFPDHHGMVVPCSPTSPCMGAQGGHHHFSSVNHQPAHGVVSSGGANTGDGYRDQYYTQLLPAAAYSNAAGGGRGSEYHTTTTTRPASGGGGDGGVGPAAMREMIFHIAALQPVNIDPETVRPPKRRNVRISTDPQSVAARMRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAAANGHRPPPPTATSAAAATVAYPGLNGQW >ORGLA08G0155600.1 pep chromosome:AGI1.1:8:17370885:17372120:-1 gene:ORGLA08G0155600 transcript:ORGLA08G0155600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEEESEAARQKAAAASASVVPAPFLTKTYQLVDDPATDHVVSWGDDDGGESASSFVVWRPPEFARDILPNYFKHSNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKQLLCEIHRRKSAAATWPPFPPPPPPFFAPRHFAAGAFFRHGDGMLHGRLGALVTTTERRHWFESAALPVAPSSRLLSQLGPVIAPARRAAATPEEEALMQENHRLRRGNAALVQELAHMRKLYSDIIYFVQNYVRPVAPSPAAAAALHGLGVLRPPPAGGKAPASEVRGASGRSATSSSSLTVAEDQPTLLALRLPRTTEKIINEVSGGNRGGSTKLFGVHLSSADEQTSSGASRKRSPPQEQPPTSPAPKRTLVVEHSELRLSIVSPP >ORGLA08G0155500.1 pep chromosome:AGI1.1:8:17369142:17369441:-1 gene:ORGLA08G0155500 transcript:ORGLA08G0155500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAASSSSHHCARLRSRAALLLIVDNLSRIHDIGEVLLIVSHDGYFPEMDEFVRAGTGAPRRCQGSRAAGPPHAPPSPPPCSHRHCPTFIPRDRRRC >ORGLA08G0155400.1 pep chromosome:AGI1.1:8:17360752:17364858:-1 gene:ORGLA08G0155400 transcript:ORGLA08G0155400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSTRARLLLAAAVLLLSAAPAARAQETDDERKFGYVPGTENGPGNWSRLDPRWAKCNTGNMQSPIDLSHERLMRILGYLDYSYLPAEASMVNRGHDIEVKFMGNAGRVVINGKAYKLKQLHWHTPSEHTVNGRRYDMELHLVHDDGNSNTAVIGNLYQIGNPDPFLLMLEPFIRRIAGTKDKSEPIGVVDPQLAKSPDAVYYRYMGSLTTPPCTEGVIWTVFKRAQTVAQYQLDLLREAVADGYENNARPLQKVNNRNISIFIPDPKKD >ORGLA08G0155300.1 pep chromosome:AGI1.1:8:17356739:17357203:-1 gene:ORGLA08G0155300 transcript:ORGLA08G0155300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILPMAFAFVESENTESWYWFLERVHIAVVRMRPNVCLIHDRHAGMLRAIDYLQNGWDEKGLPAKWPDVRSRWCMHHMGANFYKQFKNKHLMELFKRLCAQNQEKKFNELWDKLDELTTKQTDEQSRRPQVEGDEPPIPLGALHDDPPTMRRRSGS >ORGLA08G0155200.1 pep chromosome:AGI1.1:8:17344097:17344354:-1 gene:ORGLA08G0155200 transcript:ORGLA08G0155200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVAITAVLCLLFPRAWPPCDARANMPGNLTRIRVVVDNDQVVTEAGWFDDARGQYGIGALEAMEHGQGDNDGDGDGDDKCNR >ORGLA08G0155100.1 pep chromosome:AGI1.1:8:17335475:17336930:-1 gene:ORGLA08G0155100 transcript:ORGLA08G0155100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRLLVLLAAASLLLATAVPAARAQEETDHEEEFTYISGDEKGPEHWGKLKPEWAQCGAGEMQSPIDLSHERVKLVRDLGYLDDSYRAAEASIVNRGHDIMVRFDGDAGSVVINGTAYYLRQLHWHSPTEHSVDGRRYDMELHMVHESAEKKAAVIGLLYEVGRPDRFLQKMEPYLKMIADKEDREEKVGMIDPRGARGRASVYYRYMGSLTTPPCTQGVVWTIVKRVVIPC >ORGLA08G0155000.1 pep chromosome:AGI1.1:8:17324051:17324636:1 gene:ORGLA08G0155000 transcript:ORGLA08G0155000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPPCGRLTTTSPACGRPATSSSPRAALPSGWRAPQLRWDPNAAWPHICCNRTNRVNNTDLPATMTRSVFSKNAEASSKGKKFDTPTRVSRQKEAATDANLDDHGAESKSLYSREDSRKKFA >ORGLA08G0154900.1 pep chromosome:AGI1.1:8:17316105:17317761:1 gene:ORGLA08G0154900 transcript:ORGLA08G0154900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRARRRRRQVDADAVVAAPVADRAGGVVPGGGGGRPGARRQEGAGEEEEAGPAPPGGPRPPARPGPVRAAPRRRAPPPRPRRRRPPPXRNGDCYEGEFHRARCSGSGVYNFFGKGKYEGDWVDGKYDGHGVESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAAGQSHGIGAQTCSDGSSYAGEFKGGVKHGLGCYHFRNGDRYSGEYFADRIHGFGVYSFANGHCYEGSWHEGKKQGLGMYTFRNGDRRSGEWDAGALKNPLPLSDQAVQRAVLAAQRAADNAFHLPRVEEQVNRAVMAANRAATAARVAAIKAVQNRIDGKFCHTEV >ORGLA08G0154800.1 pep chromosome:AGI1.1:8:17311276:17311491:-1 gene:ORGLA08G0154800 transcript:ORGLA08G0154800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASSAPIASAAVSSAGEPSMVKERLRVLGHLVPGCRKLPAPMLLGPTMWPRWRCRSRPCSHRPLGRHRR >ORGLA08G0154700.1 pep chromosome:AGI1.1:8:17308355:17309846:-1 gene:ORGLA08G0154700 transcript:ORGLA08G0154700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGGGGMDALPDGVVQHILSQLSSARDVAACAGVSRGMRGCVPFLPALYFPRGAFDAAGGAAAADDAIGRMVEAAARLEELVIYCPFSAARLPRWLAVRSASLRVLELRMDSAVSSGAGSGHLDCIGAVANLEELRLWGLTMTRAPAWGQLERLRVLEIVGAAVRDVAVNGAVGACPNLTDLALIGCECSGAVAMTLPLVERCRLDFVGSGNCSLALAAPLVESLEIQGFCWISLQGGIRLKHLTIAKNTGTGSVYNIEIGKLPELEKLSLRGVQWSWGAISSVLQCAGEVKYLVMKIEFCGDHDTLEPFPEVDLVDFFNSHPKLIKFEIHGAMFAAMCQKNSLKNLDSRFSITCLEEVLITVRSPLNAELKLNTLESLVKYSPRMRRMVVRISQMKNCHGSADGFFEEICKFMYMNNGRVRIE >ORGLA08G0154600.1 pep chromosome:AGI1.1:8:17306585:17307619:1 gene:ORGLA08G0154600 transcript:ORGLA08G0154600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSVVLALALWCLVADGEAVWLELSTTATKCFSERIQSNVVVIGDYDILFDGYPTRPILSIQVILGLLLQVTSPYGKVLHHREKVMQGQFSFNTAEPGVYLACFSVDTLDKELGVALELTKLETAVQAVHGNLMYLRSKESDMRDKKKLI >ORGLA08G0154500.1 pep chromosome:AGI1.1:8:17302820:17304779:-1 gene:ORGLA08G0154500 transcript:ORGLA08G0154500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLALLRGILGPGVAGAVFGVGWWFWVDAVVCSAVQVSFLHYLPADIIIFIKSSEALALCGIAGAVGLLVQDALTDKGPSVWTGVAGVLQCVLVLISGVNLLDLPQ >ORGLA08G0154400.1 pep chromosome:AGI1.1:8:17299181:17301069:1 gene:ORGLA08G0154400 transcript:ORGLA08G0154400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSCFNNIFLSDQGHSLTVGIGTPPQPRKLIVDTGSDLIWTQCKLSSSTAAAARHGSPPVYDPGESSTFAFLPCSDRLCQEGQFSFKNCTSKNRCVYEDVYGSAAAVGVLASESFTFGARRAVSLRLGFGCGALSAGSLIGATGILGLSPESLSLITQLKIQRFSYCLTPFADKKTSPLLFGAMADLSRHKTTGPIQTTAIVSNPVETVYYYVPLVGISLGHKRLAVPAASLAMRPDGGGGTIVDSGSTVAYLVEAAFEAVKEAVMDVVRLPVANRTVEDYELCFVLPRRTAAAAMEAVQVPPLVLHFDGGAAMVLPRDNYFQEPRAGLMCLAVGKTTDGSGVSIIGNVQQQNMHVLFDVQHHKFSFAPTQCDQI >ORGLA08G0154300.1 pep chromosome:AGI1.1:8:17295475:17296815:1 gene:ORGLA08G0154300 transcript:ORGLA08G0154300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVLVLALVAATLLPASHCSVSGVGFQLKLRHVDAHGSYTKLELVTRAIRRSRARVAALQAVAAAAATVAPVVDPIIAARILVAASQGEYLMDLAIGTPPLRYTAMVDTGSDLIWTQCAPCVLCADQPTPYFRPARSATYRLVPCRSPLCAALPYPACFQRSVCVYQYYYGDEASTAGVLASETFTFGAANSSKVMVSDVAFGCGNINSGQLANSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDERHRDRPGDVLPVAAAAERGRDGPGHGAPLRRRRQHDRAAGELHADRRRHRVPVPGDDPLRRRHHHRQLPAAEHAHFVRHRE >ORGLA08G0154200.1 pep chromosome:AGI1.1:8:17281337:17285308:-1 gene:ORGLA08G0154200 transcript:ORGLA08G0154200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate reductase [Source:UniProtKB/TrEMBL;Acc:I1QJE1] MAASVQPRQFGHLEPGSAPVCGAASSNGAKAYPPANGIPRRADSPVRGCGFPPLVSPPSRKAPSDGSDDEEEEQEDWRELYGSHLQLEVEPSVRDARDEGTADAWIERNPLLIRLTGKHPLNCEAPLARLMHHGFITPAALHFVRNHGAVPRGDWSTWTVEVTGLVKRPMRLTMDELVNGFPAVEVPVTLACSGNRRKEQNMVQQTVGFNFGAAAVSTSVWRGARLRDVLRRCGIMPSKGGALNVCFEGAEDLPGGGGSKYGTSITRQWALDPSRDIMLAYMQNGEPLLPDHGFPVRAIIPGCTGGRMVKWVKRIIVTTAESDNYYHYKDNRVFPSHVDAELANADAWWYKPEYIINELNVNSVITAPGHDEILPINGITTQRGYTMKGYAYSGGGKRITRVEVTLDGGETWLVCVLDLPEKATKYGKHWCWCFWSVEVEVLDLLGAKEIAVRAWDQSHNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHKGEIGLVFEHPTQPGNQTGGWMARQKHLETAEAAAPGLKRSTSTPFMNTTDGKQFTMSEVRKHSSQDSAWIVVHGHVYDCTAFLKDHPGGADSILINAGTDCTEEFDAIHSDKAKALLDTYRIGELITTGAGYSSDNSVHGASNLSQLAPIREAIKAPAPVALSSPRDKVPCQLVDKKELSRDVRLFRFALPSSDQVLGLPVGKHIFVCASIEGKLCMRAYTPTSMVDEVGHFDLLIKVYFKNEHPKFPDGGLMTQYLDSLPVGAYIDVKGPLGHVEYTGRGEFVINGKPRNARRLAMIAGGSGITPMYQVIQSVLRDQPEDTTEMHLVYANRTEDDILLRDELDRWAAEYPDRLKVWYVIDQVKRPEEGWKYSVGFVTEEVLREHVPEGGDDTLALACGPPPMIKFAVSPNLEKMKYDMANSFVVF >ORGLA08G0154100.1 pep chromosome:AGI1.1:8:17268584:17272057:1 gene:ORGLA08G0154100 transcript:ORGLA08G0154100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGGGRRLPKSSLAPSAASDRTPLLDPHVLHPRNLDFAFSRRDSDAASLCSSRPSSIGTGPSFAAPVTNFSDRASQAAALRVVNAYLAPAVSLRPPLPSAKDIVAAFRHLFECLDFPLHGAFEDDLLFVLRVLRCPFKLTRSALKAPGTPHSWPPLLSVLYWLTLLVNSSESGAGGDDSPAASNDLMLYITNSYSLFISGDDDSVASLDEEYSSKARAHAQAAVEASQALEKEALDLESKRTKLTSGPSRLEALQAEKEALTADVEKFEAVVKSWTVKIQEKEESSVHLEKELEAKLMDQQRIAAENEELMKKVDAQVVNVRDVDRMQREIQSVERDNVKLENGNATLEEKGWELEAAVVGKLEEIEGLVEQCNQALRKLKPGIDFQYMLNTKASSPVELLGTSYKTIMKPALNSLADEARRISILKHDESVELEKQSQRNAKILSEKKNHISFCQTKTDEMVARLDSLDVEIGNHVSRCKADARLMKDELEKKDHHLSTVEKESEEFLKISEKKLEDAKRETDEEIQMCARELLKLIDSVTEYKEFMETSISGMRKDLYETVDDISSLASKAASTRQTSAQFVM >ORGLA08G0154000.1 pep chromosome:AGI1.1:8:17229374:17230738:1 gene:ORGLA08G0154000 transcript:ORGLA08G0154000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPAAASGSASEASDGEAGGAGRRRSPSRSRSPSRSASPSRSRSKTPPHNAAVLSSTPASAAVDFVAASDSDAGADADARLASPRRSRERSPRLHSDSDNSAAATAEAAEAAAAPAFDDGDDEGNATPPPRSRRSSRVEATGVKPISSRPMDASRRPAAASSQSQRRSKRPRSSPTQHSPEQHKRPPRVWNPQDEVTILRALISYRAKNGALPGSSQDTGKLHNMIRGQLSVKASTTQLSDKVRRLKHKYNLILTRVTKSGRDPDLPTEHDREVYELSKKVWGTKSGGAGAGSGGGGGGGGGRVYENAEVVQSDEEQGSRDDSDEDMESGWDDRDHRNRRLKAIVVANGNGNAVTGGRSVHGNGSGKGDVADKGKDMYPYLWEAVEELSKEHPSGTAFRKAFGVLDGSRARAMEEKLNRFRLSEIRQQLRRMDLMKETIKMVLDALEGSDL >ORGLA08G0153900.1 pep chromosome:AGI1.1:8:17224592:17225484:1 gene:ORGLA08G0153900 transcript:ORGLA08G0153900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:I1QS12] MGKTWAIITHLNSIAGPSITLLYPLYASICAMESPTKVDDEQWLAYWILYSFITLLEMVAEPVLYWIPVWYPVKVLFVAWLVLPQFKGASFIYEKLVREQLRKYRARGGAAATVTAGEDHKVHIAKAEHDHGH >ORGLA08G0153800.1 pep chromosome:AGI1.1:8:17216284:17222751:-1 gene:ORGLA08G0153800 transcript:ORGLA08G0153800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLLVLLLLTAAVAGGGGHEGSSSCPFHAHDEPHDDHHHGHGHGHSCGGGGDDSHHVHHHHHGHGHGHDGGIQRRLLPEELAEEADLEFDSFGDHHHHHHHHEHHHHDHHHGHGDFQAELSPLGMWLSAMGCSLLVSMASLVCLVLLPVIFFQGKPSKTMVDCLAIFGAGAMLGDSFLHQLPHAFGGGHSHTHDHQNHNHSHEHSHAHSLEDLSIGLSVLFGIVLFFIVEKIVRYVEDNSQKGAHGMGHHHHHHKRHDRSDKAKLNHAEKDHEDKGVNQAEKEPSHDGAIEKTDGVTRADSKSAIRKRGLSSGSNSADREPVNSESDPAPNKALSSEDSSVSNSNMVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVTKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPEMNDQKTTVKSSMIQLVSLTMGMLVALGISLVE >ORGLA08G0153700.1 pep chromosome:AGI1.1:8:17211080:17212270:1 gene:ORGLA08G0153700 transcript:ORGLA08G0153700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARQWWRRAAAAAKDRRSLYLTRVAALRPASPAAAAALRNAELEAAVIRATSHDERSVDYRSAARVFALARASPAVLQPLMWALARRAGRTRCWAVALKALMLAHGLLLRSDLAPRAARLGRVPFDLADFRDRSSSPTKTSGFSAFVRAYFHFLDTRSLFAAQDMDNNNDDDADDEDARLDGVSRLQHLLDLLMQIRPYGDGMEQGLILEAMDCVVIEIFEVYSQICTGIARFLVGVLGSAPTTPRPRPGETMAAARRRRGLQGMRVLRKASEQSAQLTSYFELCRSLGVLNAAEFPAVERVPDDDIRDLEKLIMSHVVEDRGKEKVSEEKALVAVEDTGVASRTVVTREWVVFDDDDEDDDVAGARQGHFGHYVNPFLGAPWEAVTGSGNLLV >ORGLA08G0153600.1 pep chromosome:AGI1.1:8:17198938:17201886:1 gene:ORGLA08G0153600 transcript:ORGLA08G0153600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCAPTAVPPQDVSGAATEPFRSLQIATAGAAAKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPTCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACSRCSKAYAVHSDYKAHLKTCGTRGHTCDCGRVFSRVESFIEHQDACSAGRPRAAEASSSPGRGGGVVVAAASSSQQQQQQQLFAVPASLSRTASSASPSSDLVVSPVAWPATGGGGPAMASPRAAAPAPAGGSIAAFHHRFTDPALSPPTPCGGRRGGCHTTHSLELQLMPPRTTTTCAGGSLGGTPVATAVGYYASSSPHSPAAALPSRQPVADAMRLQLSIGFGGARDDGNNNSSSSSGEVLSASATRLKEAAHEQLRLAMAEKAAADEARAQAKRQAELADQELATARRMRHQAQVELSRAHALRDHAIRQVDATQLQITCYSCRHKFRARAAAMISSDVASYVSSVVTEGGDAEVDNDGNLHRRRLNADDGMPRSHSRTMAMDLN >ORGLA08G0153500.1 pep chromosome:AGI1.1:8:17155016:17158113:-1 gene:ORGLA08G0153500 transcript:ORGLA08G0153500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGLGDTGRFLFEWVPQLRCFGLGVVGVGAAGDPIPHGHGHTPHGRELELELFFPKCMESPASEAVVTGLPAHQDTMVMYDEFDELLQSFRDGNEEQQLVGFDSSCFLNEKNSDVTCFLDYDGDDLPLALSSVSPMEPLDNTVGQPQAELEHPPSSASSPCNVGPHASDTGASADKDCSSKRPATPEGNETESSKRSRTTAAPPPLSGAGTSVVYPFAVVKPSGLDGGATLADINARILTRPPRPVRHPVGEFACAPRAVAGGDRPAPSGKTVAGFTRLHTAGSGTITIIRTKG >ORGLA08G0153400.1 pep chromosome:AGI1.1:8:17140105:17143149:1 gene:ORGLA08G0153400 transcript:ORGLA08G0153400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1QRJ9] MSSSHTVTVSMDVEAGQKNKDKKGISQDLILAYKTLGVVFGGLVTSPLYVYPSMNLTNPTEEDYLGIYSIMFWTLTLIGVVKYICIALNADDHGEGGTFAMYSLLCQHANIGILPSKKIYTEEENLISNQPVVAGRPGRLRRFIESSIIARRLLLLTAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKPAVEGLSAAILVGLFLLQKYGTSKVSFMFSPIMAAWTFATPVIGVYSIWRYYPGIFKAMSPHYIVRFFMTNQTRGWQLLGGTVLCITGAEAMFADLGHFSKRSIQIAFMSSIYPSLVLTYAGQTAYLINNVDDFSDGFYKFVPRPVYWPMFIIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSKDKEGEVYSPETNYMLMLLCVGVILGFGDGKDIGNAFGVVVILVMLITTILLTLVMLIIWGTHVVLVALYLVPFLLLEATYVSAVCTKILRGGWVPFAVSVALAVVMFGWYYGRQRKTEYEAANKVTLERLGELLSGPGVRRVPGLCFFYSNRQDGGWLTPVLAHYIRNMRSLHEVTVFLTLRYLLVAKVDGKDRVQAVRRLGPAGVYGCTIQYGYADAIDFEEDDIAGQVVGALRERVVDGEEEGERVEAARAAGVVHVRGKMRFHVGKDTRLFDRVLLGFYELLHGACRSALPALGIPLQQRVEIGMLYKA >ORGLA08G0153300.1 pep chromosome:AGI1.1:8:17127206:17131728:1 gene:ORGLA08G0153300 transcript:ORGLA08G0153300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit [Source:UniProtKB/TrEMBL;Acc:I1QJD2] MATPSAATRKKLQRKFRLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEAEEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTSRLLIHGEAGDKAALYRDRYQVLLXRLARDKYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEEQHKITSGFFVENTVILAEGELLSNGIFQVNTCGFPPLEDREASLSMLMGLDFFGGGVTAAEETVRLSTLEKKAMNDRFVILSDVWLDSSERRPWRNXVLFLMVMIVXKQFLPSLFXWAISAPGLAIWHSIHLKNSDCSLESLVRXLQLDLGXRNIVVFYSFLVLKMQALLKPSQGVHFQNIXLRNCRSTSQMQYSXATPADVYVRLXYLTPNYFTMSPHFMVRVKFYTQEIVFFQQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYCPCTKEVELSALES >ORGLA08G0153200.1 pep chromosome:AGI1.1:8:17118441:17122032:-1 gene:ORGLA08G0153200 transcript:ORGLA08G0153200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:I1QJD1] MVLSHASSGRDDAVRCTFATRYACETLPRFRMPEQSIPREAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMDSVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIKEDETAIGVGTVGSSEAIMLAGLAFKRKWQNKRKEQGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDVKLLNNLLTEKNKETGWDVPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYPGVGWVIWRSKEDLPEELIFHINYLGTDQPTFTLNFSKGIQEHHAELHGEHSNTKGRHRGDWSIRNPLQGGRCALGGVLAQGQRQVHRVRHLREPEEVRLDRAGVHHAGQRRARRRPPRRHQGGLQPEPRRAARLGHRQDPARAGRPFGPGAEDLQRHREAAIGRRRRGHQEERPGDREGDLRVLEGPGEEEADRNLLVWLCEKCLNNVACSICAWDGRIGWD >ORGLA08G0153100.1 pep chromosome:AGI1.1:8:17115879:17117381:1 gene:ORGLA08G0153100 transcript:ORGLA08G0153100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNQLWLLWATLAVSLLYYISNRRRRVGGRRRCPPGPMPLPLVGNLLNLRGHLPPALARLARTYGPVMMLKMGLTTTVVISSGDAAREAFTKHDRHLAARTVLDVTRSLGFADRSMIWLPSSDTVWKTLRGVTAASIFSPRGLAALRGVRESKVRDLVGYFRGRAGEVVDVRHAVYGCMLSLVSSAFFSVDVVDLSAESENEFRQSMTFLMEVVSKTNVSDFFPFLRPLDLQGWRRLTERYLGRVTCFLDDVIDRRFAADASANRHGDFLDSLLDLVSTGKIVRENVTTILLDVFIAGSDTITATVEWAMAELLRNPSEMAKVRAEMDGALGGKKTVDEPDIARLPYLQAVVKEAMRLHPAAPLLLPHRAVEDGVEVGGYCVPKGSMVIFNAWAIMRDPAAWERPEEFMPERFIRRGDDDEVDFWGKTFEFIPFGSGRRVCAGLPMAERVVPFMLASLLRAFEWRLPDGVSAEELDMSHRFTIANFRAVPLKAVPVVVS >ORGLA08G0153000.1 pep chromosome:AGI1.1:8:17112578:17112733:-1 gene:ORGLA08G0153000 transcript:ORGLA08G0153000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGALLQVESRGRSYRDSIVSSRRRVLAQARRAARGEAAKKTTQVWRTG >ORGLA08G0152900.1 pep chromosome:AGI1.1:8:17108454:17108783:1 gene:ORGLA08G0152900 transcript:ORGLA08G0152900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFTMPRMLSISASMVASLNGMEKKSPTAMHPHHLFDDAQRTAPAPSSAPSAEPHSAPRRPRASSSPGGGSGVGGRAQEAMRRRRHLVAGHRTPTSPTGRLPCPLPN >ORGLA08G0152800.1 pep chromosome:AGI1.1:8:17087595:17088966:-1 gene:ORGLA08G0152800 transcript:ORGLA08G0152800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homeobox from Arabidopsis thaliana [Source:Projected from Arabidopsis thaliana (AT5G06710) TAIR;Acc:AT5G06710] WSWGXAWGMRXPWRMAGGRSWFLGSGLGLGLGXGEERRRRGGRREDVVGAGRWAAMAAASPEPSVRLSLVSSLGLHWPSETGRSEAAARGFDVNRAPSVAAGAPGMEDDEEGPGAAPALSSSPNDSGGSFPLDLSGHGLRGHAEAAAQGGGGGGGERSSSRASDDDEGASARKKLRLSKEQSAFLEESFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKELAELRALKTARPFYMHLPATTLSMCPSCERVASNPATASTSAPAAATSPAAAPTAAARTAVASPEPHRPSSFAALFAAPLGFPLTAAQPRPPPPASNCL >ORGLA08G0152700.1 pep chromosome:AGI1.1:8:17074659:17075294:1 gene:ORGLA08G0152700 transcript:ORGLA08G0152700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMDAAAARAYLSRLVHHHLYDDEPIDVAAAAVSLLQRSGLHQGGGGGESAPAAAGSIAALPTVEVSEPATACAICKDDLPLAAPARRLPCGHLYHSECIVQWLEMRNSCPVCRSRLPSDEPQDAAAPSDPGPAPMRITIRLSTNRRRVRTSSDAAPPVAASPTQLAQAVTGEGGGGPANSTETVSSEWPPPSESDAVMSDAREGQRFFD >ORGLA08G0152600.1 pep chromosome:AGI1.1:8:17028771:17032497:-1 gene:ORGLA08G0152600 transcript:ORGLA08G0152600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGEGDARWIVQERADGTNVHNWHWAERDCLEWSRSRLTSLLAGLPVLSGEGGLALRTTALDKLDGEAYVNIRKGKVIPGYELSLTLAWEAEATTESGVVKVSGAAEVPYLADENADEDPELRVTVRGDDGPVARRAKDAFLTKGKPLVLEKIREYVAAMAKGGPAKDELDSKKSPTKAAAVEAGGAATAPAPAAEKKEEAPAVKEKKAKAKDKEGFKTIEMTEKFYCRAKDMYEILMDENRWKGFTQSNARISREVGGQFSLFDGSISGVNEELQEGKLIVQKWRFGSWPDGVHSTVRLVFDEPESGVTVISLKQTDVPEEDRYGNSTVVENTERGWRELIFQRIRGVFGFGI >ORGLA08G0152500.1 pep chromosome:AGI1.1:8:17024576:17026428:1 gene:ORGLA08G0152500 transcript:ORGLA08G0152500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34860) TAIR;Acc:AT2G34860] MAGVGCHFLLSLSPPLYSIRRPAAAHRPAKARSHISCCSRHDDAEACSTSKPLTNGKEEEKTTPSRRKCLACLCAVTLISASGPTMLTPNGLASDMMSKPAVCRNCNGSGAVLCDMCGGTGKWKALNRKRAKDVYLFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDAKKLLDKMYNGKILPDS >ORGLA08G0152400.1 pep chromosome:AGI1.1:8:17006309:17007211:1 gene:ORGLA08G0152400 transcript:ORGLA08G0152400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERDGRDQPNIDSFSQLPFIRQAAREKPPSSSSGGSVVVPPAPIRLFGFDVPPDASTTADVLGENKESAAAVVAAEGSKQTASGLDAIGGGGGSRKFECHYCCRNFPTSQALGGHQNAHKRERQRAKHAQFQTAMAMHHGHGQYYPLPDPYAAAFAAYPGHHHHHRFAATAAAAMPPPPHYPSWAAGSRYYSGPGSISQPINGSPVAPAGMWRLPAAASCVGLATTTTTAAPLPARRQERPAATIPSLLGGGEEPVVLGGAGSTSFSPSTSSSSSSASPHERRAQPARKENVSLDLSL >ORGLA08G0152300.1 pep chromosome:AGI1.1:8:16998698:17002109:1 gene:ORGLA08G0152300 transcript:ORGLA08G0152300.1 gene_biotype:protein_coding transcript_biotype:protein_coding PQIGVHRRQEAATVDTAVSNSKNSIRTCIIRYSVWGRKKEMKKKGDGNARRERGPSAQHPLRKFLARTRTRRGSIQYEAGRAVATGRHWVGVGCEVGLHEGEEELEMWTALKMLEVADQALSGPRCQELPDHTYPRFAPSNQATLPSHRGSLSQGPASTPICRWRLARREGIRGWWWPSTSVSIPLRMELWEGGAVVVGSGVIDNMVEMEEGNDGVAEGILEQVNSTIFILKSGLGVGRKRPNRKDRDRDREIRSSIRTETEAMGRHGGSGFSDDEDDCGHEEGGGGGFLG >ORGLA08G0152200.1 pep chromosome:AGI1.1:8:16983979:16984275:1 gene:ORGLA08G0152200 transcript:ORGLA08G0152200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVRWRPWVDAAQPRASTAAATVGHHAGGAATAVGPRGSTAGQRDSDNHWPVRREVRRQREEEARPWSGGPSATAGLHGPARRGAQDWVSTAGEGKA >ORGLA08G0152100.1 pep chromosome:AGI1.1:8:16981238:16982187:-1 gene:ORGLA08G0152100 transcript:ORGLA08G0152100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNAQLLLPSGRLLRLQARRRLAMDTGSAASHRLEKNMLLAYYRKTRLQHHDVDTLKLPLFEWEVDGTLQLEEELWSLPSYQGSPLPRITPTFPAFSMHEANVLHFILDRPGYDDKGWVITVDIKNKSSLGSSNEFRDYLMISTDLSKYSLHPKM >ORGLA08G0152000.1 pep chromosome:AGI1.1:8:16978100:16979026:-1 gene:ORGLA08G0152000 transcript:ORGLA08G0152000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLGHFVPGLAFAILGLWHALNTVRAYKLKGASGFRAAAWFPFPSPVPGLKHLELYLLLSFSVLAIVDQLVDFPLLSFTIQPDALEHATMYLHLAVYASVALAADGVAASSSHHGGAQAQLGEVVAALAASVFGQELFLLRFHSADHAGLEGHYHWLLQLVVTASLVSTSATVVLPRSFAVAVVRSASVLFQGLWFIVMGFALWVPALVPRGCHGAEAGGGAMRSGVACPTDEAARRAVVMANLQFSWVLAGVWAVTAYLCLRVGGSRSMEYRQIQAPSGGDVGALAGDGDATQSQKRVFPVSDNV >ORGLA08G0151900.1 pep chromosome:AGI1.1:8:16974027:16974974:-1 gene:ORGLA08G0151900 transcript:ORGLA08G0151900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGTGFLLVGLWHLYNHIRLFLLRPTAYVAPVWFPVRRARYLELALVIAGAVASILMELVVGPARHQPFDADGTVPSDHLHNFEHASISLALLVYAAAAAALDVARVPHGRAVSQLVAAVAFAQQLMLFHLHSADHAGVEGQYHLLLQGVVAVTLAATVLGVAAPRSFAVSLVRSASLVLQGVWFLAMGVMLWTPALLPKGCFLSREDGHDVARCRADDGGDALARAKALVNLEFSWYLSGTVVLVVALYLRLCRLYPEEPRYMPLVRGDCRDDDDDGGGDGDDNDVEAGKGGAGHVLREPLEIARP >ORGLA08G0151800.1 pep chromosome:AGI1.1:8:16971829:16972657:-1 gene:ORGLA08G0151800 transcript:ORGLA08G0151800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFISCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA08G0151700.1 pep chromosome:AGI1.1:8:16964351:16969291:1 gene:ORGLA08G0151700 transcript:ORGLA08G0151700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BREVIS RADIX-like 4 [Source:Projected from Arabidopsis thaliana (AT5G20540) TAIR;Acc:AT5G20540] MLTCIACSKQLAGGAPPLREQSDDADDAAVARGAGECATPSTRQAIKALTAQIKDMALKASGAYRHCKPCAGSSSSSPAAAARRHHPYHAYADSGSDRFHYAYRRAGSGGDATPSVSARTDFLAGDEEEEEEEEEEGTTADGSEDDEAKEWVAQVEPGVLITFLSLPEGGNDLKRIRFSREIFNKWQAQRWWAENYEKVMELYNVQRFNQQTPLPTTPKSEDESLKEDIPATPPLNSERLPHTLHRSLTGGRTTGYGQPDSLGHQHNLGNGHRQQHHHCYTGHQCYGSVGLASTPKLSSISGAKTETSSMDASMRSSSSPEEVDRSRELSVSVSNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSREKFSEMHARLWWEENRARIHDQYL >ORGLA08G0151600.1 pep chromosome:AGI1.1:8:16956595:16957344:-1 gene:ORGLA08G0151600 transcript:ORGLA08G0151600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREEYRAKRRRLPAAEPDFLAGLPPEIVDDIISRLDIRDVVRTSALSRAWRRRWESVRGLDLSFRSSGPAAAISSVLKRAAAPVRGLGLRVPGRRFRRAVRWLRLIPRKRVQSLDLHFEFVFGEKPSLDPSILSCLELTTLVLEGCIFPPSPPPPSFVGFPELTKLSLSEIDLPRHGGRRLEAMIAASPLLVELSLSNVRSLHHWERWFIRGPNLRSVWIWTDYDYGCRIGGAPAARARHRLRVGHQD >ORGLA08G0151500.1 pep chromosome:AGI1.1:8:16950484:16952100:-1 gene:ORGLA08G0151500 transcript:ORGLA08G0151500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGEAKRRRSPAVEPDYLAALPPEIVDNIISRLGVRDVFRTSVLSHAWRRRWRSVRGLDLDFRSSDPAAAISSVLKRSAAPVRTVTLRVPRRWFHRAVRWLRLLPRKRVQSLHLYFEMISIIEGKHNLDPSIFSCLELSSLSLAGCTFPPPQPPSFVGFLKLTKLSLSEVELPPHGERQLEAMIAASPLLLELSLDNVHSFHHSEVWFVRGPNIRSLRIWAVDQDFGCRIGELPRLEDAAIFLDSEVTTQVLCKTLEGIAHVESLDFNALMHQFSDNPPERFSFTFQNLRSLDLHACLDQISSTSLVFSILRCAPNLEKLEIEIGCYDDLVDDGTVEGFANAQTSDDIFPRLRCLVT >ORGLA08G0151400.1 pep chromosome:AGI1.1:8:16947794:16948779:1 gene:ORGLA08G0151400 transcript:ORGLA08G0151400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLSLLSVPLSQSPLLCSLQEKIGAVAREVGRATAARAAAREAGEAAAKSPWESRSSGEAVATAAGPATRRWVKTRQSCCASPCTKTTGSSSCSGAMATSSCRVRRPEAAEAGEDGDGGDGGG >ORGLA08G0151300.1 pep chromosome:AGI1.1:8:16945340:16947246:-1 gene:ORGLA08G0151300 transcript:ORGLA08G0151300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPPRRKPRLPPPPPEGDPTASAVGASLESLPGELLENIVSRLSLRDAVRTSAISRSWIHRWESAPDLRHYWPRRSRPDAICAVLARYSRSVGQFCTWGIRADAFPHIDEWLPLLAAKGVQTLTLSFWDYSDVNVEYYTLHPAIFACGQLTSLHLERCFLPTAHEGFGGFPNLTLLSLVYVGLPENGERKLEAMIRMSPSLVSLELSNVEVTDDDFEDWIIQAPNLERLTITSDIDYGWQIQDLPSIQDANINIEDYSIDRDFVKLLTSLAQVGELELFIPSADGNVLEGISCSFQKLRSLTLHTNFYKASSILSTFGLLTRAPNLLHLEIEITDHENQSDEVDIDFLNALWTNSLFANLDFVSIKSATCWSNEMRFIEFVLSKARLLGEFYIYHDDTGSYSKPREEAIIELAKYKRASPKAKVFFRDMEVSSLLTIC >ORGLA08G0151200.1 pep chromosome:AGI1.1:8:16940097:16943138:-1 gene:ORGLA08G0151200 transcript:ORGLA08G0151200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLPEHGDSTLEAMISLSLLLEWLDLRSVCTDGNQMDEWVIRAPNLKHLTIESDYDYLWRVEELPSLQTATVKVDDDSTDRDFVQLLTCFAQVSMLELHLLATEDNALDGLSCSLEKLKSLTLHANFRSVSSILCIFSLLMRCPNIGVLDIEIMGSEFPQNDEIDAEFFNTLETNDLFTNLDDITLRNAPCLSNDMHFIEFVLSRVRLLSKFWVFRDDSNSLSKPSEEAVIEIAKYRRASPKSRVFFRSMEVSDYNL >ORGLA08G0151100.1 pep chromosome:AGI1.1:8:16928897:16937142:-1 gene:ORGLA08G0151100 transcript:ORGLA08G0151100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFPAKNGVYHQWVIQAPNLQNFFITGLYDDGWQIGDLTFLEEATVDWPLYSFDRDFVKLITGLSQARELDFAMPVRDVNVLEGLSCSFKNLKCLSLCTSLHLLSNVLSFFCIIRNASKLETLRIKLFDDSTQDDEVDNDFLNGQWTDDLFSNLKSVYVRNMTCKLSEMHFIEFILSKARNLEKNDVCLAEDCSKSNEEAVIELAK >ORGLA08G0151000.1 pep chromosome:AGI1.1:8:16922766:16923587:-1 gene:ORGLA08G0151000 transcript:ORGLA08G0151000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCETAFGGRVEDLPRLDEGRLFGPKCAKFLAGMSQVTKLDFFCNCMLSTEVDVLERLPFLFKNLKNLSLAVNFF >ORGLA08G0150900.1 pep chromosome:AGI1.1:8:16913322:16916133:-1 gene:ORGLA08G0150900 transcript:ORGLA08G0150900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytokinin oxidase 7 [Source:Projected from Arabidopsis thaliana (AT5G21482) TAIR;Acc:AT5G21482] MMLAYMDHAAAAAEPDAGAEPAVAAVDAAEFAAAMDFGGLVSARPAAVVRPASSDDVASAIRAAARTAHLTVAARGNGHSVAGQAMARGGLVLDMRALPRRMQLVVAPSGEKFADVPGGALWEEVLHWAVSKHGLAPASWTDYLRLTVGGTLSNGGVSGQSFRYGPQVSNVAQLEVVTGDGECHVCSRSADPDLFFAVLGGLGQFGVITRARIPLSPAPQTVRWTRVVYASFADYAADAEWLVTRPPHEAFDYVEGFAFVRSDDPVNGWPTVPIPDGAHFDASLLPANAGPVLYCLEVALYQRGGGGDGGGDDMDKRVGEMMRQLKYVRGLEFAAGVGYVDFLSRVNRVEDEARRNGSWAAPHPWLNLFISSRDIAAFDRAVLNGMLADGVDGPMLIYPMLKSKWDPATSVALPEGEIFYLVALLRFCRPYPGGGPPVDELVAQNNAIIDACRSNGYDYKIYFPSYHAQSDWSRHFGAKWSRFVDRKARYDPLAILAPGQNIFARTPSSVAAAAAVIVMMVMMGMKRRDRDEHNDDDDDDRVIVRYIWEGCKRGGFRFDGMDDVSRAVGPAAVARLCSRSCKRENERCKRFPALILFLLIPPGEIGMPFDGDTHLVSLLG >ORGLA08G0150800.1 pep chromosome:AGI1.1:8:16903705:16904250:1 gene:ORGLA08G0150800 transcript:ORGLA08G0150800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSGYNGPGNGDRAGTVGSSRGGATTAQRXRGGAVVVELELGRLDPTGEGRRQGVAAVLGLWQVDPAGDERASCQRPLALSLAPAYPLAARWRHLALSPPAHSLGSPPSPLLVPAHALPRPAAITSPFLRKWRRGEDRTDGWVPHAIGSNEQGQKGYFVLQLTSLHALNRIGSDRIFNF >ORGLA08G0150700.1 pep chromosome:AGI1.1:8:16894291:16894713:-1 gene:ORGLA08G0150700 transcript:ORGLA08G0150700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVDDCSVSINILSVCTDRGPCDGSVVLKSRQRATSLTARNWCRRPWLREKGGYFWRGEGKRLKGERMKGKRWSTGHGWLVVVQMTGRQRRLWTCGCAVGFRGDMFDGYHTIRDGLVELYFASDVSIGVLGMHADQQPHG >ORGLA08G0150600.1 pep chromosome:AGI1.1:8:16889152:16889460:1 gene:ORGLA08G0150600 transcript:ORGLA08G0150600.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGLPTAARGGRSMGGHIEGHRLPTAACDGELPTVAWREASGRSLCCLDDQCVLEMRDVVDATRDDGERL >ORGLA08G0150500.1 pep chromosome:AGI1.1:8:16883341:16883982:-1 gene:ORGLA08G0150500 transcript:ORGLA08G0150500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVMMLPVLLSFLLLPFSSMALTQDFCVADLTCSDTPAGYPCKASVGAGDFAYHGLAAAGNTSNLIKAAVTPAFVGQFPGVNGLGISAARLDIAVGGVVPLHTHPAASELLFVTQGTVAAGFITSSSNTVYTRTLYAGDIMVFPQGLLHYQYNAGQSAAVALVAFSGPNPGLQITDYALFANNLPSAIVEKVTFLDDAQVKKLKSVLGGSG >ORGLA08G0150400.1 pep chromosome:AGI1.1:8:16878345:16879004:-1 gene:ORGLA08G0150400 transcript:ORGLA08G0150400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPLLPVLLSTMILLSAVSTTTTALTQDFCVANLPLGADTPSGYQCRPAATVTAADFYSGALARPGILIRPFNTSLASAFVQQYPAVNGLGISASRVDILPGGVVPLHTHPAGSELLYVLDGALVAGFISSSDNKVYYKEVSKGGMFVFPQGLLHFQYNTGDTTAVAFAAYSSSNPGLQILDYALFANNLPTSYVVKGTFLAEAEVRRLKSKFGGSG >ORGLA08G0150300.1 pep chromosome:AGI1.1:8:16872226:16875316:1 gene:ORGLA08G0150300 transcript:ORGLA08G0150300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxophytodienoate-reductase 3 [Source:Projected from Arabidopsis thaliana (AT2G06050) TAIR;Acc:AT2G06050] MDRPPPDQQRQKQAPLFSPYQMPRFRLNHRVVLAPMTRCRAIGGVPGPALAEYYAQRTTQGGLLISEGTVVSPAGPGFPHVPGIYNQEQTDAWKKVVDAVHAKGGIFFCQLWHVGRASHQVYQPNGAAPISSTDKPISARWRILMPDGSYGKYPKPRRLAASEIPEIVEQYRQAAINAIEAGFDGIEIHGAHGYIIDQFLKDGINDRTDEYGGSLSNRCRFLLEVTRAVVSAIGADRVAVRISPAIDHLDAYDSDPIKLGMAVVERLNALQQQSGRLAYLHVTQPRYTAYGQTESGQHGSAEEESRLMRTLRGTYQGTFMCSGGYTRELGLEAVESGDADLVSYGRLFISNPDLVERFRLNAGLNKYVRKTFYTPDPVVGYTDYPFLGQPKSRM >ORGLA08G0150200.1 pep chromosome:AGI1.1:8:16868052:16868882:-1 gene:ORGLA08G0150200 transcript:ORGLA08G0150200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVLNAPLPPPICRPASCHAASSPPSLPSGATAATSPPALSMDEFNEKFFEEYGCDLTELLFTEEEVRVLMLEYEAEKAHLLSHGEVKETAPAEQVPDAPRPSKKARGENGKAAAAENVEVQTPPPPLVADAPRHSKKRKAPPPPSPPREEEAEDAVFQTTPPPSHKKVAERILNRRFFPPDPSHGGTILWCLCRELLPRGGPRCALHQKAPFRSWMSEQGYVEEAGGAGGWALVPKLSAECGRSLFQRYARWRRRAWMPTRFFLETTQPPTRT >ORGLA08G0150100.1 pep chromosome:AGI1.1:8:16859294:16861222:-1 gene:ORGLA08G0150100 transcript:ORGLA08G0150100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTIPARPLLAAVLAALLLSAASAADSKNNPADQLVALINSNRTASKASTLDDNQGLGCIALQYIKAYEGQCNQVGESKKPPETSFAETFAPNCGVQAATLTKITGRLLACQSNYATPDQAFNFLVNDAKSIQVLHSKNHTEVGAAVSGTSGGGPYFWCVLFSSGKPTTSFKVDGGVPKSVRPGCFSGNNDDCMGANAAVSIGAGTWRLVAALLFSAACVFAL >ORGLA08G0150000.1 pep chromosome:AGI1.1:8:16851460:16857686:-1 gene:ORGLA08G0150000 transcript:ORGLA08G0150000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRSGADTASASAGVTLPPSPLPPPPTEMAGGSSAGTPWPSPSTALTLGLGLGPPAADGSLKSPGLAPPPRRSLRLASGAAASGVASPTTTPASSAPAAGADGASSGSGSASSGRRKGRAQAIPAPVASPTSAGEFGGGGGGGGEVRVWGGGNRSGEAAFISLRSGSRVAKRSMELGVQMGGEMGLGSNGGGGAGGQVHDEMPHRNVDSSGKRRKIGMEIPYVSDSESDNDDDCILPGEDGSRMPVQLCAASDLIELNLFTMSMGRTDEGRMGDSVKTGKKKASGVTIRENDIPIDEHVHGQSSAIGESIELLASPMRSALGENHAGMYSTEEERRQKARYDVKGKGKLDLGNDDSGAGTGIGKFSPDSKGKAKMVVEESSLSVSSGADQMDLNSVISEEVQSFPADHWEPRRRERARQRAIEMAPRFAFFKADEDGHSDDGDDDDNAEELEPVPDPQDWPGPFSTAMRIITDREAKLRARELNSSNLNKSANKVISWIPSKDRKSPLRSAPSLTSLCLQTLSNNAEAIESLAGIPDELKNRLLSSLCHSRKMNVHLLGELMCDNPVTVQLSECSWLSEDDFETIFGKCRTEILQVLQLDLSGRCMPDYMLPATLAKVPNSMPLLKKISLKGNYRLSDSGLDTIISAAPSLSSLNLCECSLLTSTGIENLANKLSLVLTELYIDDCLNVDAMMILPSLQKIKHLEVLSMSGIQSVCNKFVNELIPVHGSNLKELAFAGCLQLTSSSIKTIAGNCSQLSSLDLRNLNRLRDSAMRHLRNGCRLIKKIKLQRNTFSDEAVYRFLEQSGGYLTELCLNNVEKAGNLTAYAIARNCSTHLEVLDLSFCRELTNEALGLIVDSCSSLRILKLFGCTQITDVFLKGHSNSLVTIVGIEGNILKQTGSL >ORGLA08G0149900.1 pep chromosome:AGI1.1:8:16845306:16846677:-1 gene:ORGLA08G0149900 transcript:ORGLA08G0149900.1 gene_biotype:protein_coding transcript_biotype:protein_coding THFMEFRAKHKKDMADEHPIISSDSMFLKAILPTLNVSPCNPLTITGGAGSSTVAAAAFAGGSSSPTPLQVLVFQQQSTGNGNMVISFNSNALPVAMWCPAAGCSPAEGAFSYQGPLPPSMRNHINLLPTALPLQIGIAMDKGKAPLIELPYGIPMDDFLVGQTAYGSAGPSIEAPHATAAAYPYTDALNNNVAAGSLMASPMEPTFSITEPTVLTQGEGSEMNAVATTRNNAAPLMVPDQVTANATMDAEEDIMFSLESLLGLDYDMLPMEDTSAAEAAAADDSAGMDIGWDLDLHDILVENANDFVFLDSIAGSE >ORGLA08G0149800.1 pep chromosome:AGI1.1:8:16831712:16836434:-1 gene:ORGLA08G0149800 transcript:ORGLA08G0149800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQARISFFPDGLRVMIIDDDAKAVRRATATLSQLQYAVVATHSTASAGLRALSGDNVVEIQAILCDVHKVVSSGFDFRRVVESELRIPVIYLLSKMEEEDMVAGEDAEFLNHLLLTATYIVRKPLNPTVMARLWRVVAWRMYCLEERIQANVAANAGAGGEDDDDDDDVVIVEEPQVHFKAVRRTSGGSRKRQLTINVVDDGNRGSGSGGGGGGGADANPTRILQHITSNLQEFRTKHQKKDMAIERPLISSDSIFLKAILPTLKISPCNPLTLTGGIGSSSVAAEAFAGGSSSAAPLQIPVFQQQSTGNGNTVISFSNNASPMAMRVPTDNTMISFNNVSTAPVANAVISFSNISRSAAMQAPAARGQHLSGDVQQLDFPQQKLYFGPFSYQGPPPPSMHNHINLLPPTSFPVTCSMDKGKAPIIELPYGMPVDDFLIGQTAYGGAGPSIGATDAAAAAYPYTDALSNNVATGCLMAPPMGPAFSITEPTVVAQGEGTDTGVDAGTSEKNAIVEAPNNPALLMVLDQVAADAAMDVEEDIMFSLESLLGPDYDLLPMEDVSAPDTAAAGDAAGGSLDGEEGDMNIGWDLDLDDILVENVNDFAFLDNLAGSE >ORGLA08G0149700.1 pep chromosome:AGI1.1:8:16814358:16817453:-1 gene:ORGLA08G0149700 transcript:ORGLA08G0149700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDGDTKNTRTATKTLSTLHYPVVATHTTASAGLCTLSSDNMTDVQTVLCDIKKVVSSGFDFRRVVETEHHIPVIYLLSTTEPEQMVAGEDTEFLNHLLLKATYIVKKPLDQAAMAQLWRVVAWRRCCLEERIPGDSMDDIAAHAGAVGKDGNDNDVIIIEEPQVHFKVVRSRGSRKRQLTINVDSGSSDGADANPRQKLEHKKDAKGPLGQHVASHLQPQEYCTKQQKDLDERRLLSSDSLFLKDILPTLNVSLCNPLILTGGAGPS >ORGLA08G0149600.1 pep chromosome:AGI1.1:8:16803273:16803801:-1 gene:ORGLA08G0149600 transcript:ORGLA08G0149600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMMMFFPSGVRVMLVDDDMKTEMLSFFPDGLHVILVDDGKKAMRTATAMLSTLHYLALGF >ORGLA08G0149500.1 pep chromosome:AGI1.1:8:16797052:16799188:1 gene:ORGLA08G0149500 transcript:ORGLA08G0149500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDVGRAAAREAAMPAACSSSSIGKDSDECSPPGKEEEEGEEVQSAFVGGGGGLAGLEALEEALPIRRSISKFYNGKSKSFACLKEAVSSCGSAKDIAKAESAYSRKRKNLLAYSIMYETSQETAAEVYETGPPKRLASLSRNSLVTLASSSSRSSSSISIEETELTEQLHSPPSPANDENSAVYNAPALQLGSCDPKTSSVPVRSFSMMDLRRLHRPRSSCRLKDQRTTD >ORGLA08G0149400.1 pep chromosome:AGI1.1:8:16781599:16784793:1 gene:ORGLA08G0149400 transcript:ORGLA08G0149400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAIAVYTSPPGAVYTSSSSSELEASSRGSAPCATAAPPSPASSHRHQAIAGGLSCLFSSPTAAPRAAAAQDELGALWHDRSGEATVVGGGGGGGGYSYPQPSSPFKWRDMLHHSPVPLFHSPASSPASRSPSASWLAGRERERLFSSFVRNALGSCVDYAPVAALPLGVSAAVGVGAGELAFELDEHLSEAEPSCEPYARDLLAGAQARHRIFHDELVVKAFFEAERAHRGQTRASGDPYLQHCVETAVLLAKIGANATVVSAGLLHDTIDDSFMDYDQIFRMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMFLAMADARAVLIKLADRLHNMKTIEALPLVKQQRFAKETMEIFVPLANRLGIASWKDQLENICFKHLNPEEHKELSSKLVISFDEALLTSTLDKLDKGLRDEGISYHSLSGRHKSLYSIYSKMIKKNLTMDDVHDIHGLRLVVDTEQDCYQALDIVHKLWPRVAGRFKDYILHPKLNGYRSLHTVIMCEGIHPFEVQIRTKEMHLQAEYGFAAHWRYKEGGCKHSFVLQMVEWARWVLTWQCEAMSKERSSGLGRSDAIRPPCPFPSHSEDCPYSYTRQCNHDGPIFVIMLEHDKMSVQELPANSTVVDLMERVGANSPRCSPYSFPLKEELRPRVNHKPISDPNRKLCMGDVVELTPALPHKSLTEYREEIQRMYERGGFALATTRDGPAS >ORGLA08G0149300.1 pep chromosome:AGI1.1:8:16760510:16762837:-1 gene:ORGLA08G0149300 transcript:ORGLA08G0149300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRTSWKSMLCCVGGGGVAGVEDDVPPERRRVRGGGHHQRLLSSSSSASSASRVSLSSLSSTGTLTPEDLSLTLSGSNLYAFTYAEMRAVTGGFSRANYLGSGGFGPVYKGRADDGLRPGLAAQAVAVKYLDLDCGTQGHREWLAEVFFLGQLRHKNLVKLIGYCYEDEHRMLVYEYMSNGSLEKHLFKSLDGAMPWMRRMQTAVGAAKGLAFLHDADTPVIYRDFKASNILLDSDFNTKLSDFGLAKDGPQGDATHVTTRVMGTNGYAAPEYIMTGHLTDKSDVYSFGVVLLELLSGRHSVDRSRRHREQSLVDWTRKYLKKPDQLHRVVMDPAMEGQYSYKGAQEAALVAYKCLSPSPKSRPSMREVVKALEPILDMNDYLQIGTFVFTVVVEDNKKDDVTKTKQVDGENKVDMRIEATVEEKHQSHHDRYRQKNPNSAIHADIVLQRDGSIGSYTTSLRRHRRTSSYLKERGA >ORGLA08G0149200.1 pep chromosome:AGI1.1:8:16758838:16759299:1 gene:ORGLA08G0149200 transcript:ORGLA08G0149200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIDFLQLEPPREPRPQPAVQAALPVAKSALFVAINGLVAPSYLRWCLACDDGGGGGGGLRRRCFRGEAGGDVEQMWYQMASFATAVLGVALLAFHVASSSAPAALAAWLVWLTKVFTCGTLQFGLNIIHFCIKIIYFKLIRGLDSNLSRE >ORGLA08G0149100.1 pep chromosome:AGI1.1:8:16757565:16758014:1 gene:ORGLA08G0149100 transcript:ORGLA08G0149100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIWPGPPGAQPVGDLRVAQLALLVAGNGLIVSPSYLSSCPCDDGGRCFFQDGVAEQRCFDLANLVTAVLGLALLVGDMAFSTAVPGRSTQSQALATVARWLVGLAKVATVGTFQHWINSFYLCLKTWSITSYIIHPSLHDNPVLVFA >ORGLA08G0149000.1 pep chromosome:AGI1.1:8:16752878:16753228:1 gene:ORGLA08G0149000 transcript:ORGLA08G0149000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFWPGPPGAQPVGDLQVAKLALLVAGNGFISPSSYLRRCCDDDGPCFVDGAGERCLHLANLVTAMLGLALVLGQMAFFSAAAFRPQAALVTVAAPSSPRLGPSSFGSMFSACA >ORGLA08G0148900.1 pep chromosome:AGI1.1:8:16747865:16748299:1 gene:ORGLA08G0148900 transcript:ORGLA08G0148900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPFFLAFAIIALLSRYVPFALPPMARDLLADNSAEPARAAKCAVSVAVAGLMLLVSLQCGAGGEQYCPDVRVEGRALWLNCAALFLGMVVGGVAVVVMPPLAAVSPLVQVMVEHLTRFTETIAVTAFAHDFCIFVKLVRLKQ >ORGLA08G0148800.1 pep chromosome:AGI1.1:8:16714259:16715821:-1 gene:ORGLA08G0148800 transcript:ORGLA08G0148800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSALATLLSMALAAFLLLGLIKRKGSRRGYNLPPGPTPWPVIGNFNLIGALPHRSIHELSRKYGELMLLRFGSFPVVVGSSVAMARLVLKTHDAVFIDRPRTASRKHTTYGYADITWSPYGAYWRQARRICVTELFSARRVASFEHIRADEVRALVRGLFAAASSGRSGAVHLNRDHLSTLSMNVITRMVLGKRFFGEGADAAEGPVSTLSEFKWMLDELLLLNGVLNVGDWIPWVDWMDLQGYVRRMKKVGKMFDAFMEHVLDEHSERRRREGEAFVARDMVDVLMDLADDPSLEIKLGRVGVKAFTQDLIAGGTESSSVTVEWALSELFKNPAIFATATDELDRVVGRGRWVTEKDIPNLPYLNAIMKETMRMHPIVPLLIPRVARDDAAVAGYDIPKGARVLINVWTIGRDPELWDAAEEFMPERFIGSRIDVKGQDFELLPFGSGRRMCPGYNLGLKVMQLSLANLLHGFAWRLPEGMKEEELSMDEVFGLSTTRKYPLQVVVEPRLPVQLYSL >ORGLA08G0148700.1 pep chromosome:AGI1.1:8:16709973:16710598:-1 gene:ORGLA08G0148700 transcript:ORGLA08G0148700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGWRAHRRVAYDGEAKNLTVALSYGDAAAAQQIPCSGVLWFVSQWRKAGELADGDIFDEMGYDELADEEFFVESGPWRFRYSDARPHPTRAPAAATPSSSPARRSPPHLPPPLHSGRRHTFLVPSVSPPPETASAGAPGAHGGGG >ORGLA08G0148600.1 pep chromosome:AGI1.1:8:16707244:16707768:1 gene:ORGLA08G0148600 transcript:ORGLA08G0148600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMSFYWGTSVTILFDGWRTSGWPGYLASLLALFLAAALYQHLEARRVRLRAGRRHRAGGGGGAASSAAGPVVPAASDARALLSAAGGRLGLGLGRRWMKEPRSAASAAAAALFGLSAAVGYLLMLAVMSFNGGVFLAVVAGLAAGHLAFRGGADEADGGVGDDELESPCACA >ORGLA08G0148500.1 pep chromosome:AGI1.1:8:16703570:16705703:1 gene:ORGLA08G0148500 transcript:ORGLA08G0148500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEMTATEVAALLDLKPHPEGGYYTETFRDGSVSVTTAQLPPQYKVDRAISTAIYFLLPSGSVSHLHRIPCAETWHFYKGEPLTVFELHDDGHIDLTVIGPHLEAGQRPQYTVPPNVWFGSFPTLDVESFASDGSVLVKSRKRDPELHYSLVGCTCAPGFQYEDFEMANFDDVKSIAPKAEPFLKYLIPSTK >ORGLA08G0148400.1 pep chromosome:AGI1.1:8:16698159:16700057:1 gene:ORGLA08G0148400 transcript:ORGLA08G0148400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAELEAAERVVMRWDSTSASDEPMLFDGARAEAERFLRAVDDLRRLAPPSPAAVGSPRRLSSGSSSVSAGGGGGAATAVQVAMARLEDEFRHVLSSRALDLEIEALADLGGSLSISSDRSNSASSAELQVVDEDDSVSSLVGRRSSYRSLPSIREIDLLPDDAVSDLRAIASRMAAAGYGRECAQVYASVRKPAVDASLRRLGVERLSIGDVQRLEWKALEAKIRRWIRAARAAVRGVFASERRLCFLIFHDLPISNITVTAAAPATHDTPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDLLPDVSDIFAASKVAESIYVQAAEIRSRLADAVRGILSEFENAVLRDPPKTAVPGGTVHPLTRYVMNYSSLISDYKVTLSELIVSRPSASARLAAEGNELAPSLAELDLPEPDNQTPLAAHIIWIIVVLEHNLEGKASLYRDTALSHLFLMNNVYYIVHKVKDSPDLWNLIGDDYLKRLTGKFTMAATNYQRSAWLKILNCLRDEGLHVSGGFSSGISKSALRERFRSFNAAFEEAHRVQSGWCVPDTQLREELRISISEKLVPAYRSFLGRFRHHIENGKHPELYIKYSAEDLEIAVNDFFEGVPPSPHIRRRSHS >ORGLA08G0148300.1 pep chromosome:AGI1.1:8:16679057:16680173:1 gene:ORGLA08G0148300 transcript:ORGLA08G0148300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:I1QJ82] MMDGNAVDELIRRLLDGKKVKPSSSAKKVQLSEAEIRQLCVTGKDIFLSQPNLLELEAPINVCGDIHGQFSDLLRLFEFGGLPPTANYLFLGDYVDRGKQSIETICLLLAYKIKYPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAVIDDKILCMHGGLSPDLDSLDRIREIARPVDVPDQGLLCDLLWSDPDRESSGWGENDRGVSFTFGADKVTEFLNKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILKPFRGKSQAE >ORGLA08G0148200.1 pep chromosome:AGI1.1:8:16668878:16669120:1 gene:ORGLA08G0148200 transcript:ORGLA08G0148200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSYVATAPSPSTVLAHLAAAKVSILLGLSDPELAYMTSNFLPTSNSARGAVLAVAVAGKLARARGIWRWWLASTSR >ORGLA08G0148100.1 pep chromosome:AGI1.1:8:16665410:16666050:1 gene:ORGLA08G0148100 transcript:ORGLA08G0148100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLCFLNLAHGRYRKLGGFIKNPKRDKLYVKGRWPCYKQPSLSNLCGYYVCEMLRVCGRYRTEFTYLPSIPYNASWFDQKMLINLCVDLCQFIHRDICNHLEEFHDPHSELATDPKFKNLREWEREDAVD >ORGLA08G0148000.1 pep chromosome:AGI1.1:8:16652278:16652868:-1 gene:ORGLA08G0148000 transcript:ORGLA08G0148000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAQPSEPVQAKPTKLAAGEIEAVPEQPMPDQPEPSQPEPSQPEESKGADVPVMVRTHKHKAKSASKKQYMVTAFRGREKDIINAEFDKRKLKGFKQTMDDYLNYINSPDVPHEFENGKPFIYDWQLREGPWQLRRWHDWYIRASTMKGISSFTVAVGENIFWSGPCLLQVHFSDMHPLYRRKRLDANLIAIWCL >ORGLA08G0147900.1 pep chromosome:AGI1.1:8:16642042:16644602:1 gene:ORGLA08G0147900 transcript:ORGLA08G0147900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTGQMWLDVAGRQRLIPERRRGEIRQTRKGEIRRPLQPPRQAVHKGQGRFLHAVRQVHCQAEQGAEARRERRRDRGCQIRPLQHRIRLLQGLAASSKGKLGDARSRSGETGSGSSSITEQPPPRASRLLHPQAAAATVSTSSCGHYVSMPPPSCIRWVLIDYGFHPWPHGPTAMSLDSIVGRIDQAQFQQSFSFVLLCIFV >ORGLA08G0147800.1 pep chromosome:AGI1.1:8:16641138:16641743:1 gene:ORGLA08G0147800 transcript:ORGLA08G0147800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHNCVMYLKNKEKAAGSVKGKTVIPATPRRCRRPDGSNPACKMRWERPPEGWMKANVDGSFDSQLLKGGIGVVIRDWEGAIIFASCKSVCRCSSPLEAELLALREGIYLFLIWTLRPVILETDCLVALQMIQSKERATSELAYLVREIKDLLNGSRQFVLRKIRREQNMVSHFLANKRRCEDLSSRVSISYLVRNNIIPE >ORGLA08G0147700.1 pep chromosome:AGI1.1:8:16632667:16632873:-1 gene:ORGLA08G0147700 transcript:ORGLA08G0147700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLHVMARTECRVADLVAATMELYVSEERRLLLLSADPVAFGLCFFLSPKAAATAAITAAVAPPPPV >ORGLA08G0147600.1 pep chromosome:AGI1.1:8:16612844:16613838:1 gene:ORGLA08G0147600 transcript:ORGLA08G0147600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDETVAGPRPDSGLGKLRKYSSFSPSSSAAAAAAAPGTAPSDAPAATVTRSITIVRPPSLSVPSPRGGGGEYSSSVPSSPASAPDSPFASATTPKGDSWRRLRRKPKTATDAAPEAAAAVGPRSPTVYDWVVISSLDR >ORGLA08G0147500.1 pep chromosome:AGI1.1:8:16598309:16598809:1 gene:ORGLA08G0147500 transcript:ORGLA08G0147500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTTTLAAVSSCLLLAQQLLLLAPATTATSIPVGGGGGGATASSTVPVSSHRDSDDADADVPPFFPFPGGSGAAAGCWNAVLRAEVCAGDVLRSVASLLLHDGERHPWGVHVGAPCCGVLQAVGDRCFRDLLTDSPFRPLYAPLVNHVCGALPVGGGVTPIHRH >ORGLA08G0147400.1 pep chromosome:AGI1.1:8:16588218:16589602:1 gene:ORGLA08G0147400 transcript:ORGLA08G0147400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKNGSLYAVLGVASNCSDADLRTAYRKLAMKWHPDKCGAAGSSAGGGAEAAKVRFQKIQGAYAVLSDPNKRILYDVGAYDSDGDDDGAGEILGDILEAMNKTGPTENGKNESFEDLQRQFEELFLRPPAPAPPTSSSFRSAQEDAGKSSKRRAGRT >ORGLA08G0147300.1 pep chromosome:AGI1.1:8:16558416:16558829:1 gene:ORGLA08G0147300 transcript:ORGLA08G0147300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGLSKLRCMIRRWHSSSRIARAPPSAGELEEGSAAAAAAAAGRAASFHGADEVPKGLHPVYVGKSRRRYLIAEELVGHPLFQNLVDRTGGGGDAGAATVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >ORGLA08G0147200.1 pep chromosome:AGI1.1:8:16549156:16549640:-1 gene:ORGLA08G0147200 transcript:ORGLA08G0147200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVTVAASRGRSHRNQTDAACCLGVRAARAFSQLRAYRHGRYREVQNFR >ORGLA08G0147100.1 pep chromosome:AGI1.1:8:16535154:16537544:1 gene:ORGLA08G0147100 transcript:ORGLA08G0147100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRTLLLLVVVVVVAAAVGGVLGGGGEERTFIVRVDADAKPSAFPTHAHWYEAAVMAAEGGGGGGEWREGGPLIHTYSAAFHGFSARMSPAAATALAEAPGVAAVVPERVRQLATTRSPRFLGLLSSPPSALLADSDFGSDLVIAIIDTGISPTHRSFHDRGLGPVPSKWRGVCSSGPGFPPNSCNRKLVGARFFSAGYEATSGRMNETAEVRSPLDTDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWVGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYYLDAIAIGAFGATEAGIVVSASAGNGGPGGLTVTNVAPWMATVGAGSMDRAFPANVQLGNGQVLDGVSVYGGPALQSGKMYTLVYAGASSGAASSAADGYSASMCLDGSLDPAAVRGKIVVCDRGVNSRAAKGDVVHRAGGIGMVLANGVFDGEGLVADCHVLPATAVGAAAGDKLRKYIGSSTRQAPATGTILFEGTHLGVHPAPVVAAFSARGPNPQSPEILKPDLIAPGLNILAAWPSGVGPAGIPSDGRRTEFNILSGTSMACPHISGLAALLKAAHPTWSPAAIKSALMTTAYIKDNSNGTMVDESTGVVADVFDFGAGHVDPMRAMDPGLVYDITPVDYVNFLCNLNYTEQNIRAITRRPADCRGARRAGHAGNLNYPSMSATFAADGTRATMKTHFIRTVTNVGGGRAVYRATVRSPEGCAVTVQPRQLAFRRDGQKLSFTVRVEAAAPAKKMEPGSSQVRSGAVTWSDGRHAVNTPVVVTVQAPLQ >ORGLA08G0147000.1 pep chromosome:AGI1.1:8:16533432:16533782:-1 gene:ORGLA08G0147000 transcript:ORGLA08G0147000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTSPKKRHVHLDASPSCMTSVVAKGELVGEVPNRLAVPPNHVAGEGSSSPEREEGWGKGGVFTGEGFHADGEHAAFAKEERCVVEVQVIVTR >ORGLA08G0146900.1 pep chromosome:AGI1.1:8:16531343:16532026:1 gene:ORGLA08G0146900 transcript:ORGLA08G0146900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEAAEGQRPRQRQRRQPQPQRQQHPSCGICMEPMPPSEAHRGGGGCAHAFCRACLAGHVRAKVESGGGAGAVRCPDPSCGGALDPELCRGALPGDVFERWCAALCEAMFAGARRTYCSYPGCSEMMVADADDEGCAGVTQSECQACRRLFCARCGVPWHAGVSCAEFGRLGEGERGREDLLLVQAARNGGWKRCPRCRFYVEKSHGCLHITCRCAICDPRLCRW >ORGLA08G0146800.1 pep chromosome:AGI1.1:8:16528378:16529446:1 gene:ORGLA08G0146800 transcript:ORGLA08G0146800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSSSNDAAADLTVLVDDFYFSVLSHGRNDDDDAADGDDGELFPISDEKYASELQLQEVIMSSSVAATTARSSSAPVIFIGECSSSHAASSSSRLTSIPAAAATTLVFCKICMDAVPPSAAHRASRGCDHAFCAACLAGYVGAKIQERIADVRCPEERCRGALDPELCQGILPREVFDRWGAALCEAMVLAAPRAYCPFKDWSAMMLDDAGEAVTESECPSCRRLFCAQCAVPWHAGVDCAAYKKLGKGDRGKEDLLLVEMAKGKKWKRCPKCKYFVEKSQGCLHITCRCGFEFCYGCGGQWGVTHANCSTA >ORGLA08G0146700.1 pep chromosome:AGI1.1:8:16508516:16519046:-1 gene:ORGLA08G0146700 transcript:ORGLA08G0146700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRQAATPPGPVPESQRPRPSFPFPELISSGRLDVHTLINPTVDQFLEAQRALQPRFIYLQGQLLDNEEEIGALVWGDADVSDPQTFSSLICPPIPTIVYLEVPSGEKIAQSLQSKGISYIMYWRYSLSSYAASHFRHSLLSVVQSSCSHAWDAFQLAYASFEQYCVRNNDVQRLMLGPHLLGDAPRIYITPPGNKMAEEEDTSEYFPDIKIYDEDVHLKLLICGAHCTPDSSILNSLEDGLNALLNIELVSAHNISLWSAVAPLHVDSVLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDELLEKHIKKELIESRRLVRVVSVSEDDGPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDKEDVDRLLFFCTNQDEDEAIGNGLYHHLPRWSASLAKDRVKRNFVSKPAR >ORGLA08G0146600.1 pep chromosome:AGI1.1:8:16498126:16498975:1 gene:ORGLA08G0146600 transcript:ORGLA08G0146600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEARLCEEKGKRQRCARRCSGHRRRQENDEAAVLVKREHPSRAVAADAARREHLDRTAASDSAAAACHLWSAFDLMTRRKDPLDGLKLYSDDEHYWQGQFDGSTTATVEYMTGRGGERANVGHSGGDGVVEAERWSSLVTATRWWTSERNTARKGILVSPGV >ORGLA08G0146500.1 pep chromosome:AGI1.1:8:16487485:16491741:-1 gene:ORGLA08G0146500 transcript:ORGLA08G0146500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHTLINPTVDQFLEAQRALQARFMYFQGQQLDKEEEIGRLVWGDADVSDPQIFSSLICPPFPTIVYLEVPSGEKIAQSLQSKDSSILNSLEDGLNALLNIEFQWCKLQDRVSAAPPLHVDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETVLQKPGSTWNCFCKWHSSIFVRXTRCGSASFLLHKPRXRXSYCKWSISPSAKMVCIPCEGQSEGKYGVKTRXFL >ORGLA08G0146400.1 pep chromosome:AGI1.1:8:16471340:16479535:-1 gene:ORGLA08G0146400 transcript:ORGLA08G0146400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQSSSLVNCTLLAVLCGKVTDKQQKPPGLVPEVKRPRLSYPFPELISSGRLEVHTLINPTVDQFRKAQQAVQPNLMYLQGQQLENEEEIGTLVWGDADVSDPQIFSSLISPPFPTIVYLEVPAGEKLAQSLQLKGIPYVLYWRNSFSSYAASHFRQALISVVQSSCSHTWDAFQLAQASFRLYCARNNDAQSVKLGPRLLGDAPKINIFLPENEMVEEEGSSEHFPAIKIYDEDVNMKLLICGAPCILDASLLGSLEDGLNALLNIEIRGCRLQNRVSAAPPPLHAETLPHGVVTMRCDITTCSSSHVSLLVSGSPQTCFDDKLLENYIKKEIVEKGQLVRAVLVREDDKPSSVEPLTSMSVASGASTFEVWMTLPKWAGQVLKYLAPEISYKSLVPLGIACVNGTPVSSFDRQDVDRLLFFCKNEAIVNGLYSHLPRWSASLVKDRLKGTPESKSSTFSANGVGEYQKHPMKGTSLLVKPKLKSAKMRPIPHSSKRQMHPFVGIPPSFIHDASQVKPSLPAPPVRHNALPVAPTTQRKLSSGTSRVEPAVPLNPLPMKKHGCDRLPIGICSEEDFLKDVMQFLLQRGHTRLVPQGGLAEFPDAVLNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMSNHTVTNKMTGVGNTLKRHYETYLLEYELSHDDVGGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPPESANGFRIASAQRNI >ORGLA08G0146300.1 pep chromosome:AGI1.1:8:16469572:16469889:1 gene:ORGLA08G0146300 transcript:ORGLA08G0146300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARALLSSARVAGAGIAAVSCCCRRSFSAAAAAAVRQQEPAAAAAAGTTAKLQAAEGAAAGSKEGFFWMREPRTGNWMPENHFNDVDAADLRSQLLFAKKN >ORGLA08G0146200.1 pep chromosome:AGI1.1:8:16466446:16467228:1 gene:ORGLA08G0146200 transcript:ORGLA08G0146200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSQSIFHLGEEGGVVHCHRGGNHLVAASMGMGGAGGHYGSGTRGGGRRARERERLVVGLQIIVHSQHHHGRHAHAHAHAASVVLKQMVRPRAAAAAAAGRHGAVSCSFLKACSLCRRELSPTKDVYMYRGDQGFCSEECRWQQIAVDEAREREAAVTAGRPERRGLARHHSPHRAAAPVRGRPRTTLAVA >ORGLA08G0146100.1 pep chromosome:AGI1.1:8:16461864:16462293:-1 gene:ORGLA08G0146100 transcript:ORGLA08G0146100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGGGTGSFPRLKGMAPKEDGGLAMGVSEAPVATLKPLGWRRVTNKVDAGVKGGGGDNILHKHAHIGEERH >ORGLA08G0146000.1 pep chromosome:AGI1.1:8:16446261:16456491:1 gene:ORGLA08G0146000 transcript:ORGLA08G0146000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPETLPNSEAVSHAYKFASTWEKNAPLTEQQNAAIAALSRAVSERPFPANLEKSLVKDGGVVVPEKEAALEEGAMDAVLVNTHQFYKWFSELESAMKSETEEKYRLYESTLEERVKTCDGILQQVDDTLNLFEELQSLHLSVATKTKTLHDACDQLLLEKQRLIEFAEALRSRLNYFDELENVSTSFYSQTMNIGNEQFLPLLKRLDDCISYVENNPQYAESAVYLVKFRQLQSRALGMIRSHVLSILKAASSQVQAAIRGNGSAKNVVTEGVEASLIYVRFKAAASELKPILGEIESRSSRKEYTQILSECHSLFCEQRLYLIRGMVQQRISEFARKEALPSLTRSGCAYLMEACQFEHQLFAHFFPASASDVSSIAPLMDPLCTYLYDTLRPRLIYEGSIDSLCELVDILKVEVLGEQLSRRGESVAGLRPVLQRILADVHERLAFCARTHIREEIANFRPSDEDLDYPGKLERSVDATTSSNVSGNSDIYVTWYRPLEKTVSCLSKLYHRLEPTVFTGLAQEAVEVCSTSLQNASKVIAKKATAMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQVSLFDWSRSTSLARTFSPRVLENQIDARKELEKSLKSTCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDSVLAKPLKTQAFASPDKVAELVQKVGTAIQQDLPKVMTMMRLYLQNPSTRLILFKPIKTNIVEAHIQLHSLLKSEYTADEIQSIGMLPIPDLQSQLDALL >ORGLA08G0145900.1 pep chromosome:AGI1.1:8:16444830:16445417:1 gene:ORGLA08G0145900 transcript:ORGLA08G0145900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGGRKTPWTQEEDEALRRAVREHRRQNWAEIALALPRRGPKSCRLRWCQHLSPELDSRVFTAEEDAIILAQQRVHGNKWATIARCLPGRSDNAVKNRWNSALRKLLQGQHARGAGSPPAAAAAAAGDDRDDAPVCLQLFPARAGGVKEAGLFAGEKDVEEEDVATSLTLGLPVLCEAELELRLGPAWPATA >ORGLA08G0145800.1 pep chromosome:AGI1.1:8:16433757:16443647:1 gene:ORGLA08G0145800 transcript:ORGLA08G0145800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPEGRLVELFGAVKSWMPRRGDHSPPPPLPPPPASQVGAGGGGGAASPQPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRAPGDAAREDGERIRVCNYCFRRWLEEEAAARRDVAQPSSPVLSPSASAVSIGSDKSSSTARSSAGTNGQMSSYTNVSYTDFPSMAVEGQGECCEREGCAEKQLPAMEHAGGVEPATYVDNTSDPYNFGINRSDDEDDDYAVFHSDSKTQQLQNSDEYFKTVCFDAHQVDCSHVKESVSPMQDTENFIGSVGVDKTGDHIMDNTEECNTRSSSLYSMEMLENEPVDFENNSSLWLPPEPEDEEDDHDGALCDEDDGEDATGEWGYLRSNSFGSGHCRSRDKSAEEHKRAMKDIVDGHFRALVAQLLQAEKVQLVDKSGKQSWLDIVTSLSWEAASILKPDTSKGGRMDPGGYVKVKCLACGRPSDSFVVKGVVCKKNVAHRRMASRKEKPRILILGGALEYQRISNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYVSSQKLGHCDLFHVEKYVEEHGTAGEGGKKMLKTLMFFEGCPKPLGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPILQMPTASSPNDSLQAFDVQTDGSTFNGFQTMDQTMVMCSPEYKSCKKLGAGSAQTESAHFNGQDKNIACLHGMVPWSSTDPLVQQSASSLCHCPSCSRDLVNKKHFEECQPETSGHTLDNDFNALSAHRTNLESVESGHLFAYNSENGDKIRAKLSVPLNVQISLDDDSSKDDSVIKKDEIPASPADNQSILVSLSSRCVWKETVCQRPHLLRIKYYGNFDKPLGRFLRDQLFDQNNNCISCELPPEAHVYCYVHPQGSLTISVRKLAVKLPGEHDGKIWMWHRCLRCPRVIGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPPKLDFTSQHQEWVEQEANEVVDSAELLFTEVLNALHQISEGRPITGSFDGNMKILELRRNIMELEEILQIEKADFTESLKNLLNKEIRKGKPFIDILEVNKLRRQLLFLCYLWDQRLIFIANSGGKYCDTLGGLRVGSRSSDSNDKSVDTNATTKLEKSSKGSEVLSNAKEGSLKQSQSPLHANDKEPNQPDQSNGNSSRIGAGLNGMEDAIAKINHSNSADVKDNLDHQESSIGVRRVLSDGQFPVNADISDTLDAKWRGENGTVPDTSILKPLALLEGSADLKNQAKAVPTNASLSVRSGDTVEDLSSWLKMPYMTFYDSLNTNSGTAPRFGALADYNPVYITLFRELSQQGGARLFLPTGANDVVIPVFDDEPTSIISYALVSPMYCHQMLDENSKNKDGPDSSLPLPVYDSGNFNPFHLFEDFGSADDLASSISGTRGSFVPDLVHLRVPFEDGGPLGKVKYTVTCYYAKSFEALRRSCCPSELDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGLDYFKYLSESISTGSPTSLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSSSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNAPPTVISPMQYKKRFRKAMSAYFIVIPEQWMPAIINPSKSSSNLCQEDPQNASQE >ORGLA08G0145700.1 pep chromosome:AGI1.1:8:16417171:16419274:-1 gene:ORGLA08G0145700 transcript:ORGLA08G0145700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1QJ56] MSTTFSDFDPITERRHVERQRQERRRVMVAAGAASVILIIIVMGGAAVAYNASFGDGGSSSSSGSASGGGAQPSLHGVSKIIKAMCAQTDYKDACEKSLAKAAANISASSSSSPKDVVRVSVAVIGDAIEKAFDKSSAIVSDDPRVKAAVADCKEIYENAKDDLDRTLAGIDAGGVDGLTKGGYQLRVWLSAVIAHQETCIDGFPDGDLKDKMRDAMESGKELTSNALALIGKASSFLAALHLPASSAASHRRLLSFAFDEDVTKQPEVSRSSGNSLRRLLSFAFDENAPKQPKGNDDDVLVWVNRQERRLLKAKFQNKLKPHVVVAKDGSGKFKTINDALAAMPKKYTGRYVIYVKEGVYEEYVTITKKMANVTMYGDGSKKTIITGNRNFVDGLTTYKTATFNAQGDGFMGVALGFRNTAGAAKHQAVALLVQSDKSIFLNCRMEGHQDTLYAHSKAQFYRNCVISGTVDFIFGDAAAVFQNCVLVLRRPLDNQQNIATAQGRADRREATGFVLQHCRFAAESALGDASRPAVRSYLARPWREYSRTLIMNSDIPAFVDKAGYLPWSGDFGLKTLWYAEYGNKGAGAATAGRVSWPGYKKVISKKEATKFTVQNFLHAEPWIKPTGTPVKYGMWA >ORGLA08G0145600.1 pep chromosome:AGI1.1:8:16413522:16416776:1 gene:ORGLA08G0145600 transcript:ORGLA08G0145600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1QJ55] MASTCSSSIPQLILLLSLTVFLLANAHPMAPPSPPRKAAAPPTAAKGVTGISPVLVSTLRETLDAIKNVASIISSFPIGGILGGGDLRLSSAIADCLDLLDLSSDELSWSMSTTSSSSYQPTNAGAATSSHVGTGDARSDLRSWLGGALGNQDTCKEGLDDTGSVLGSLVGTALQTVTSLLTDGLGQVAAGEASIAWSSSRRGLAEGGGAPHWLGARERRLLQMPVGPGGMPVDAVVAKDGSGNYTTVSAAVDAAPTESASRYVIYVKKGVYKETVDIKKKKWNLMLVGDGMGVTVISGHRNYVDGYTTFRSATVAVNGKGFMARDVTFENTAGPSKHQAVALRCDSDLSVFYRCGFEGYQDTLYAHSLRQFYRDCRVSGTVDFVFGNAAAVFQNCTLAARLPLPDQKNSVTAQGRLDGNMTTGFAFQFCNVTADDDLQRALAGGGNQSSAAAAAAATQTYLGRPWKQYSRVVFMQSYIGAVVRPEGWLAWDGQFALDTLYYGEYMNTGPGAGVGGRVKWPGFHVMTSPAQAGNFTVAQFIEGNMWLPPTGVKYTAGLTS >ORGLA08G0145500.1 pep chromosome:AGI1.1:8:16359110:16360921:1 gene:ORGLA08G0145500 transcript:ORGLA08G0145500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSDDNSLPPGFGTRPWLVQGSRGDTLTFVDVSDLSLHETVVPEVRGKTCLGCMHGDWLLMLDESTADCFLLRISTNPRTKIRLPPLHQPLNFLSTIKMLESPDSPKCTIFIASSPEVEEESYLLYCRPGEDEWTKLVSPFNDIHLSAFMCNYEGKIYSACSNLVVIDMVDGKIQLQRVGTIKDEEKYARGSGCYHVVESCGKLFLLWIEELGCFGNDGLLTAIDVFCLDLELMSWERVESIGSDRTFLISEHYTFSCPSIEGVLQGNCVYLVWSSCDSERLYKFCLDDMTISFQQILPQPTKPWCRAFWTVPASIQSILPMEISAKPNSLLSTKLSKDILLNDLDEHIEDGPGNSLCLWEHLPVDLLELIVSNLSLVDRIRFPTVCKAWSKVSNPIEQAKVWPWLMHISKQDGMCRLFDPLRGEEYNMQVSIFDTNEDRHIFRSSKDGWVFASAGIYGHDIFIINPFTEDIVEPPMFERRYHYNGVSFSSPNPICPNCYFFGINSSLSGKFLNIHTWRHEETEWIEQHF >ORGLA08G0145400.1 pep chromosome:AGI1.1:8:16355884:16358284:1 gene:ORGLA08G0145400 transcript:ORGLA08G0145400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCEIRQPLAAAGLRHEAMAGAGITRRHADFRRCVRPEPARDRCSXGSREDMLGLHARRLAADAGRAYRRLLPPPHQLQSTEQDSVAAVASASGIPINIRDARVSGERELHSTSCQLDRGRRRMLPSSLPPWRXDVDQICVPVXRHQFLISYAQLWRKDLXFRFXSHSDRRDWWQDRATTVGYHQGRRRRXPRCGRHHIIESCGKLFLLWIDDLGCFYDDGLLTAIRVFCLNLETLSWERVEGIGNDRAFLISGTYAFSCPSIEGVLKGNCVYLVWSSCDSEKPYKFCLDDRTISFHQILPQPTKSWSRAFWAIPASTQSISMPQESTIPNNLLWRKPSKDNPPNDFDEHKEDGQENSPWPWDHLPVELLELIVSNLSLVDRIRFPTVCKAWSEVLNPIEQAKVXPWLMHISRQDGTCKLFDPLRSENYNIQVTIFDTNEDRYIFRSSKDGWVLASAGIYGNDIFIINLFTEEIVEPPMLAFLYNYNGVSFSSSNPMCLDCAFFWNQQQXQWXISQYFYMAAWKASLDXARVRVQCVVPSRVQXSYHVRWEVLLSREEGQPWCLRSNKQYLENSXQARAYPCRDGSLXTRPHRKRVLLFGXYGWGVDTCILAXCXXVASSVQTXPYKDFMGXSRGHWWWCLVSXLQIIIWCSIARWWQWKXDILSXIFEGWKACVLXYEQEDVLSIIFLWCHRATXLRVGCAXFAQEXINIRGL >ORGLA08G0145300.1 pep chromosome:AGI1.1:8:16341199:16342461:1 gene:ORGLA08G0145300 transcript:ORGLA08G0145300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNVLNNFNKHEDAQATIKASWQDLPIEMLELTVSNLSLVDRLRFPSVCKQWSSVSNPVAQAKVWPWLMHCVRQDGACKMFDPLCGVEYTMKVGPFDANERHAFRFSKDGWVIVTQGDDSIFVINPFTKEIVKLSMAGGWYRFTGISFSSVPTSPDCVFLGVCSSPKGDGIKVWTCRPNEEEIEDNEIYYEEETEDEERDSEENEINYEEEADQDEEKEAEENEINYDEEAEDEESETEEDYWSEFDFENDEVMFPVACNNPVYFRGEFYFLEQRGNLSVFNPGNNEWRILDKPEPIHADLTPYDEGKEACYMVELRGELIAVFHRNANESPRVLKLDESKMEWVEIEDIGGGALFLDYRASIALPSSEAGHGNRIYFPKFSEDGKQAIFYDMEAKKYSPMFYEAKEPMNCVWFVPKLQSD >ORGLA08G0145200.1 pep chromosome:AGI1.1:8:16339722:16340294:1 gene:ORGLA08G0145200 transcript:ORGLA08G0145200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNNFGGAVGLLPSGFGKKPWLVQAQGTETLSFVDILDRSLHVRVVPDLQGKLCLGCVHGGDWLLMVDEITGGCFLFCLSNSSKVSLPPLREPLGDMGACVVLGSSPLNRDCTVVITSLPEPEESFLLHCHPGDEEWTKLMVPLRSDRLFGKLVNCSGQLYSLSSFRKLLTIDVIDDALHPKILNIEY >ORGLA08G0145100.1 pep chromosome:AGI1.1:8:16334959:16335438:1 gene:ORGLA08G0145100 transcript:ORGLA08G0145100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPERRSSTTTTSSLLGSFRTAVKKVRFLLSFSATRWILSSIVGSRAAPRRRVSFGPAARPPSLLDYEGSAIVSPPARSGAPSRTASLGPSPTRTVTRTSSAASSELLRTWSSSSSPGGGDDDIDRRAELFIANFYKHIQMERQVSLQLRYLDRTPSR >ORGLA08G0145000.1 pep chromosome:AGI1.1:8:16322966:16325026:-1 gene:ORGLA08G0145000 transcript:ORGLA08G0145000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPEQFVFRSRLPDIAIPDHLPLHDYVFECLADRRDRACLIDGATGETLSFGDVDALSRRVAAGLSSIGVCHGSTVMLLLPNSVEFAVAFLASSRLGAVTTTANPLHTPPEIAKQVAASGATVVVTEPAFVAKVSGLAGVTVVATGGGAERCASFAGLAAADGSALPEVAIDVANDAVALPYSSGTTGLPKGVMLSHRGLVTSVAQLVDGENPNLHLREDDVVLCVLPMFHVYSLHSILLCGMRAGAAIVVMKRFDTVKMLQLVERHGVTIAPLVPPIVVEMAKSDALDRHDLSSIRMVISGAAPMGKELQDIVHAKLPNAVLGQGYGMTEAGPVLSMCMAFAKEPTPVKSGACGTVVRNAELKIVDPDTGLSLPRNQPGEICIRGKQIMKGYLNNPEATEKTIDKDGWLHTGDIGFVDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLIAHAAVADAAVVPMKDDSCGEIPVAFVVARDGSGITEDEIKQYVAKQVVFYKRLHKIFFVDAIPKAPSGKILRKDLRAKLAAGIPAC >ORGLA08G0144900.1 pep chromosome:AGI1.1:8:16318988:16322445:1 gene:ORGLA08G0144900 transcript:ORGLA08G0144900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl cyclase-associated protein [Source:UniProtKB/TrEMBL;Acc:I1QJ48] MDEALVGRLEAAVSRLEALNGAAGATARGGYGGQVDHASAHDPAILAFDELVAGAVGRVSAAAGKIGAEVAEVTRVLEKAFLVGKDLLVRTKQTQKPTVDSIAVFMGPLNETILEANSLAEGTRSSHGNHLKAAAGSLAALAWIGYTGKGCGMPLPIAHVEESWQMAEFYSNKVLVEYKSKDPDHVEWAKALKELFVPSLRDYVKTFYPLGPVWQPPGSSTSKAPSAPCPPSASLFSSSAQSSQPKTGMSAVFAEISSGKSMTQGLRTVTADMKSKNRTDRTGVVAAEGKEAHKKPSSSSTKLPSKLELQMGRKWIVEHHVGNKNLVIEDCDTKQSIYVFGCKDSVLQVKGKVNNITIDKCSKMGLLFKGVVAACEIVNCNSIEVQCEGSVPTISIDNTSGCQLYLSKESLETSITTAKSSEINALVPDANSDGDWAEHSLPQQFIHAFKDGQFTTLPACHSGG >ORGLA08G0144800.1 pep chromosome:AGI1.1:8:16309692:16313814:-1 gene:ORGLA08G0144800 transcript:ORGLA08G0144800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTDAIHRLRSSLREPSSAPASASATPPFPSVADAVAAFDSRVGVGAAGATPRCGRCGAAGGLLRGEGSAVCAYCGCPRREGCGGVAAFRGSVAYRWLLGSLGLDGSEPVEFDNESTDSSKTKEALKNGMVLSDLLDLKLSFPPENKETSGSTENNEQSSAEHMLKLSGVNLDSFFAARMENTTTAAVPTQKHTVVQEKQSTDSHGSSSLEMRATYLTGTKTSSQNTNQIEVTPAFANWDADFQSASSESVTEDSKKSDLFNSASNVKASSFPAHVTAISPVVPSGNETYMRSTKLEDSKDLASASGMLVKDESNSGIFPENNIAEFTESSLSKSSAHSDQLPARGDTGVGIDEAFDDWQEFTGGNQGSLSNAGEHMEGPIESNPSEIKTVDTWPVSSMESSNNVTDNSVDDWQAFTSSSGQGGNSVKPIEGSAASQGGDVVKPVGQTASISFEHFSEANSVELWPVGNINELHNTKVVNETNDSFDDWQDFTTSGQGQGAPSNQVGGMIEVSRITQKETSDDSWFTTDVKEEINKDLVNTTNAMLDDFQSFSGSDLAPQSSSFVSGEMMNPSFGQHEGTDTVQSWLGGSNNMGTNMATTNSEDNSFDIWQDFTTSGHQKENISIFERKTTSTSSERAKETDPMDLWLTSNAQESNSSKDANRINDSSGGWQDFANFGQKESMKIPGVGHSAKDSSSNSAELKNHEQINEDSDPFDDWQDFKNSHPLDTSLQVPSNSSFDNSLVRMPDALEGLEFGSFAQSVPSQSQRDNKENSNETNTVSSNHNLERMVGRQQTGDLGSLSTIWPTTSHDTQSVSKPESADANVERLLSQMHDLSFMLKDELSIPDKPVGHSKP >ORGLA08G0144700.1 pep chromosome:AGI1.1:8:16299923:16304371:-1 gene:ORGLA08G0144700 transcript:ORGLA08G0144700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGAASMGRATATPAHGAHSRWPRAVARLRLAFRSPAPAASGGARWIGCFRPAPPAAVKEAKGKRPEVEKEPARGGGEDVWSAQAEAEVAQGGGFPEHLVVMVNGLVGSADDWKFAAEQFVRRMPEKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRRGVKKISFVAHSLGGLVARYAIGRLYEPNNKTKSSSEKSRDEGERLEGFIAGLEPMNFITFASPHLGSSGNKQLPFLCGLPFLERRASETAHLLVGRTGKHLFLTDNDDGRRPLLLQMVDDCDDIKFRSALRSFKRRVAYANANFDHMVGWRTSSIRRQHELPKHRLLVRDEKYPHIVHVDKGATNSNEAEARSDLYDPEEEMIRGLTQVPWERVDVSFQKSSQRLVAHNTIQVKSYWLNSDGADVINHMMDNFIV >ORGLA08G0144600.1 pep chromosome:AGI1.1:8:16293096:16297964:-1 gene:ORGLA08G0144600 transcript:ORGLA08G0144600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: SGT1 (InterPro:IPR010770); Has 1807 Blast /.../o 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G65490) TAIR;Acc:AT5G65490] MATSASASTSSPFPFPARRPPDDTLFYAVYPLPLPTALPPPDLHAALRSLHLSLSSHLAPFLASHLFHRDAFALTIPPPDHTAATSPCALCASPPVPHLHGALRFGDSLADEWLGVSLLFELTRAFPSLAARAWDSDGEFLLIEAAFALPRWLDPDTAPNRVFIFRGELHILPPSLFSGTPSLDAALAAVHDDAVDTRAPDAVQAAIQRRIAGLPEKASENLHTARVIVPVPVAKVLKEEPCLIARAVEGFYDRDIDTMKHAARMEKFLRGPGGEGVEMVRTSVRMTRAMYAQLVQQNFQAPRGYPMPRREEGPEKWMEAELGMKIACGFEMMYQERRREGEEGKGSTWEVYRKSLEATGCFNGLLPGSKEYKRVMEDAMQYYKSSSLFSRTREILSAPVRRIDEILAMPYSAEEFEGSDLPPSDDDSWLYNGEDELAAELCARQQEMEEYETAKQYRKSQRKNVSGSSSSQSNEFNLGEITESMQEFVHKMSSFEGAEVPANRKDMESVDLDVNQFFKAMESVLGRSKDEPGNEAGFDGKSSSSDIDLEDDSDYGSDFGEESGEKGMDNAFMESYSDALNKELSMTTIEKSFARAPHPDTSNEGPSGAADTDGKMTPVDVDLNLVESFLNSYSSQQGLPGPASNLLGLMGVKVPPDGKKP >ORGLA08G0144500.1 pep chromosome:AGI1.1:8:16275104:16279782:-1 gene:ORGLA08G0144500 transcript:ORGLA08G0144500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1QJ44] MATAAAASRAALSRPHAAAAAARASPAAGARVTLPRRRSVRSAVISSSASAAAVAASEPAAGRVTLGAGTDGALWPKPAVLVAEKLSEAGLAVLRGFADVECAYGMSPAELLAKVAQFDALIVRSGTKVTREVLEAGRGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHGIALLASMARNVSQADAALKAGKWQRTKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGAELVSFDEAIGRADFISLHMPLTPATSKVFNDESFAKMKNGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTEEPPAKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVVGALRGELAATAVNAPMVPAEVMSELAPYVSLAEKLGKLAVQLVAGESGGIKGVKVVYTTARGPDDLDTRLLRAMVTKGIVEPVSSTFVNLVNADYTAKQRGLRITEERVSHESPAAEAPLESIQVRLSHVQSKFAGAISDGGDIVLEGRVKYGVPHLTVVGPYEVDVSLEGNLILCRQIDQPGMIGKVGNILGQTNVNISFMSVGRTFRGKQAIMAIGVDEEPDKETLEHIGHIPAIEEFVFLEL >ORGLA08G0144400.1 pep chromosome:AGI1.1:8:16273485:16273802:-1 gene:ORGLA08G0144400 transcript:ORGLA08G0144400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEPVRDTAPMEAMGAGHPRQHLSFLEILEAHGALALPRLTRYRLLQRGGHGRARRGDSAGNPPPSSLYMLSMVPVPRLCCSMYIYDAEAAGRNPCPVLSSPL >ORGLA08G0144300.1 pep chromosome:AGI1.1:8:16262196:16262738:1 gene:ORGLA08G0144300 transcript:ORGLA08G0144300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAGFNATAVAAAKAAVVAPVAAGGAAAHSAWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLEGGAGGRGGNDDGGAPADGVKPAASELPPPIWEEKILVIMAGDVKPTYLATPMSSRASSFGDRSSSNGDQADDEKKKVQEVAMGSLVKDGENGEHSESQRDRDEHHIPEV >ORGLA08G0144200.1 pep chromosome:AGI1.1:8:16256230:16257955:1 gene:ORGLA08G0144200 transcript:ORGLA08G0144200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PQXTHXAPCSCFLRGRRWXPLVCWRWGQCTWYWGPXHGXHHNTNPRRPHHCYHSWFXPLCCXMLXLFRCWGITLCFGPRGIXKTGISHIYIPGDHQACYVKRIQELTCVDVNGIEAEASIAPPTEIDRTGGVGATSNDEXATMTGDDHEGPLKGALEAAVLAEVCEITGNGSGGGGEGCGGGGGGGGGIESMAVAARVQAATTTNLFQSSFTPRSSSF >ORGLA08G0144100.1 pep chromosome:AGI1.1:8:16240533:16241099:-1 gene:ORGLA08G0144100 transcript:ORGLA08G0144100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVGNKKSKGSFCAFCHPSLLLLIVAIQFLMIYSPTLDQYMVMLTTDEFIPEPHLRCDFSDNKSDVCEMEGAISILGRELEVFLVAPRLASISGRSGVNTTGLDANATRWKIQPYTNKGESRVMPAITEVTLRLVTVDEAPPCDEWHDVPVIVYSNGGYCSN >ORGLA08G0144000.1 pep chromosome:AGI1.1:8:16231781:16232622:-1 gene:ORGLA08G0144000 transcript:ORGLA08G0144000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTCLIPSSFSLPSWPAATCQLRRQRHRFLASEKLRMSTLRCFSISWFSFGEASAHCDTSAVVACSFSWTTGDVTPGLLDHRGGGRGERRAPQRWNRIGSXHHXYEPFHQPQHTPGGGRCSPLAGYPRRRKITRTTTSCIYLAGPPPRCVGDAATAQLLFTGRWPSRWPSSHRVDDVAAAHAQLARSSVERLQRASGRWKRGSSYRTPSSQHWPPSVAAACLRHMLQVEEKERRGGQEERERGGEIVANMWGLCGSHI >ORGLA08G0143900.1 pep chromosome:AGI1.1:8:16225470:16229200:-1 gene:ORGLA08G0143900 transcript:ORGLA08G0143900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHIWCWLVVLFSLAPLCCSLSADGLALLDLAKTLILPSSISSNWSADDATPCTWKGVDCDEMSNVVSLNLSYSGLSGSLGPQIGLMKHLKVIDLSGNGISGPMPSSIGNCTKLEVLHLLRNRLSGILPDTLSNIEALRVFDLSRNSFTGEVNFRFENCKLEEFILSFNYLRGEIPVWIGNCSSLTQLAFVNNSITGQIPSSIGLLRNLSYLVLSQNSLSGTIPPEIGNCQLLIWLHLDANQLEGTIPKELANLRNLQKLYLFENCLTGEFPEDIWGIQSLLSVDIYKNNFTGQLPIVLAEMKQLQQITLFNNSFTGVIPQGLGVNSSLSVIDFINNSFVGTIPPKICSGGRLEVLNLGSNLLNGSIPSGIADCPTLRRVILNQNNLIGSIPQFVNCSSLNYIDLSYNLLSGDIPASLSKCINVTFVNWSWNKLAGLIPSEIGNLGNLSSLNLSGNRLYGELPVEISGCSKLYKLDLSYNSLNGSALTTVSSLKFLSQLRLQENKFSGGIPDSLSQLDMLIELQLGGNILGGSIPSSLGKLVKLGIALNLSRNGLVGDIPPLGNLVELQSLDLSFNNLTGGLASLGNLQFLYFLNVSYNMFSGPVPKNLVRFLNSTPSSFSGNADLCISCHENDSSCTGSNVLRPCGSMSKKSALTPLKVAMIVLGSVFAGAFLILCVLLKYNFKPKINSDLGILFQGSSSKLNEAVEVTENFNNKYIIGSGAHGIVYKAVLRSGEVYAVKKLVHAAHKGSNASMIRELRTLGQIRHRNLIRLNEFLFKHEYGLILYDFMENGSLYDVLHGTEPTPTLDWSIRYSIALGTAHGLAYLHNDCHPAIIHRDIKPKNILLDNDMVPHISDFGIAKLMDQYPAALQTTGIVGTIGYMAPEMAFSTKATTEFDVYSYGVVLLELITRKMAVDSSFPGNMDIVSWVSSKLNETNQIETICDPALITEVYGTHEMEEVRKLLSLALRCTAKEASQRPSMAVVVKELTDARHVAGSYSKQNSGPSNS >ORGLA08G0143800.1 pep chromosome:AGI1.1:8:16215873:16219642:-1 gene:ORGLA08G0143800 transcript:ORGLA08G0143800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVWHWFFFFFFTSVSSSWSLTSDGLALLSLSRDLILPHSISSTWKASDTTPCNWDGVSCNKKNSVVSLDLSSSGVSGSLGPQIGLMKSLQVLSLSNNSISGSIPQELGNCSMLDQLDLSSNSFSGEIPASLGDIKKLSSLSLYSNSLTGEIPEGLFKNQFLEQVYLHYNKLSGSIPLTVGEMTSLRYLWLHGNKLSGVLPDSIGNCTKLEELYLLDNQLSGSLPKTLSYIKGLKIFDITANSFTGEITFSFEDCKLEVFILSFNQISNEIPSWLGNCSSLTQLALVNNNISGQIPSSLGLLRNLSQLLLSENSLSGPIPPEIGNCQLLVWLELDANQLNGTVPKELANLRKLEKLFLFENRLIGEFPEDIWSIKSLQSVLIYENSFTGRLPPVLAELKFLKNITLFNNFFTGVIPPDLGINSRLTQIDFTNNSFVGGIPPNICSGKRLRILDLGLNLLNGSIPSNVMDCPSLERFILQNNNLSGPIPQFRNCANLSYIDLSHNSLSGNIPASLGRCVNITMIKWSENKLVGPIPSEIRDLVNLRVLNLSQNSLQGVLPVQISSCSKLYLLDLSFNSLNGSALTTVSNLKFLSQLRLQENKFSGGIPDSLSQLDMLIELQLGGNVLGGSIPSSLGRLVKLGIALNISSNGLVGGIPPLLSNLVELQSLDLSLNGLTGDLDMLGNLQLLHVLNVSYNRFSGPVPENLLNFLVSSPSSFNGNPDLCISCHTNGSYCKGSNVLKPCGETKKLHKHVKIAVIVIGSLFVGAVSILILSCILLKFYHPKTKNLESVSTLFEGSSSKLNEVIEATENFDDKYIIGTGAHGTVYKATLRSGEVYAVKKLAISAQKGSYKSMIRELKTLGKIKHRNLIKLKEFWLRSEYGFMLYVYMEQGSLQDVLHGIQPPPSLDWSVRYTIALGTAHGLAYLHDDCQPAIIHRDIKPSNILLNGDMVPHIADFGIAKLMDQSSSAPQTTGVIGTFGYMAPELAFSTRSSIESDVYSYGVILLELLTKKQAVDPSFPDNMDIVSWVTATLNGTDQIELVCDSTLMEEVYGTVEIEEVSKVLSLALRCAAKEASRRPPMADVVKELTDVRKSAGKLSKPEKTASRSSS >ORGLA08G0143700.1 pep chromosome:AGI1.1:8:16181654:16185205:1 gene:ORGLA08G0143700 transcript:ORGLA08G0143700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPNSGDEGGAPPPTPPPPGARRVVVAHRLPLRADPNPGALHGFDFSLDPHALPLQLSHGVPRPVIFVGVLPSAVAEAVQASDELAADLLARFSCYPVFLPAKLHADFYDGFCKHYMWPHLHYLLPLAPSYGSGGGLPFNGDLYRAFLTVNTHFAERVFELLNPDEDLVFVHDYHLWAFPTFLRHKSPRARIGFFLHSPFPSSELFRAIPVREDLLRALLNADLVGFHTFDYARHFLSACSRVLGLSNRSRRGYIGIEYFGRTVVVKILSVGIDMGQLRAVLPLPETVAKANEIADKYRGRQLMLGVDDMDLFKGIGLKLLAMERLLESRADLRGQVVLVQINNPARSLGRDVDEVRAEVLAIRDRINARFGWAGYEPVVVIDGAMPMHDKVAFYTSADICIVNAVRDGLNRIPYFYTVCRQEGPVPTAPAGKPRQSAIIVSEFVGCSPSLSGAIRVNPWNVDDVADAMNTALRMSDGEKQLRQEKHYRYVSTHDVVYWAQSFDQDLQKACKDNSSMVILNFGLGMGFRVVALGPSFKKLSPELIDQAYRQTGNRLILLDYDGTVMPQGLINKAPSEEVIRTLNELCSDPMNTVFVVSGRGKDELAEWFAPCDEKLGISAEHGYFTRWSRDSPWESCKLVTHFNWKNIAGPVMKHYSDATDGSYIEVKETSLVWHYEEADPDFGSCQAKELQDHLQNVLANEPVFVKSGHQIVEVNPQGVGKGVAVRNLISTMGNRGSLPDFILCIGDDRSDEDMFEAMISPSPAFPETAEIFPCTVGNKPSLAKYYLDDPADVVKMLQGLTDSPTQQQPRPPVSFENSLDD >ORGLA08G0143600.1 pep chromosome:AGI1.1:8:16167695:16168501:1 gene:ORGLA08G0143600 transcript:ORGLA08G0143600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIHAPNFVCQATIVLGLWAFYSVYCYFVTSPAWADEVSYERTVAVDVDPPRVVLVFGGGPIRVGAELLDAVPSLRCIITISAGINHIDLRECACRGVQVVNAGGVYSTDVADYAVGPVRRARRGMRADGRDTAHLDRGRA >ORGLA08G0143500.1 pep chromosome:AGI1.1:8:16159515:16161017:1 gene:ORGLA08G0143500 transcript:ORGLA08G0143500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYTMHAHINYTALPPTSPLQLPLPYLPPPPPPPQPPLLQLQPPPPSSPVSFDTAFQSRISPSILLIILILAVIFFVSGLLHLLVRFILRPAPRDAGDADSGDANVTAFQGQLQQLFHLHDAGVDQSFIDTLPVFLYGAVVGAGRKDPFDCAVCLCEFADDDRLRLLPKCSHAFHVDCIDTWLLSHSTCPLCRRSLLADFSPYGGGCSPLLFVLESGSEGSISDRLDAASSAHLSLVMEQEEEAEPEQKHAEAAEKADEVVVSVKLGKFRSQATEVAAAGGGGGSSSANPEQDVRRCYSMGTYEYVMDERSLLRVAVKPPAKKRPTTQMPGHRVAMSECDCHSKRESFRGLDALPGGKLAQAQPPKPPAKVGKKESFSFSKIWMRGAPRIRKDGASSRRASSFRLSSVLQRAASDVGATAAPKPLRPDVVSPVTESEYNVSAWDKSEKSASGSVADWDLESAAATAAVPGAGAGNGLSSRADEAPSFARRTLLWIRGHL >ORGLA08G0143400.1 pep chromosome:AGI1.1:8:16123111:16123392:-1 gene:ORGLA08G0143400 transcript:ORGLA08G0143400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKSMVAVGRSNGRSSGGGMVSLRRRRPFQLMVLRRLRELKKIVPATSRRSSGGRGGGGADVDALLHRAAEYICALEVKVAVLRKLSAIYGA >ORGLA08G0143300.1 pep chromosome:AGI1.1:8:16114410:16118733:1 gene:ORGLA08G0143300 transcript:ORGLA08G0143300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKELLGVRKSPPLTKRRRKVTAGGAGGGSMAKAIAAYLASDSFMYAPLVSNSPPPPPPPPSSPPAGAGSSDKMVALVQKYRGSWRAFFFEFRPGALAFNIEESEHQRRQRLAAWRASAMTGNXVWETKNFMVWVGVDSLIVLDGMVSWCCSIDISCSFMXTFSGMCTRHLKSKLSEPDNHWMALDXLHRKEAIRPWLCSSRSCRSYRLVXLLKTQEQSCDGCSLSLSAGEATVTFIAAVIKKCSXSTVFRXTMLDISVISIQASDXMLIHAKSRLPETLLXNLXRLMLSTCYSSQNPVYVG >ORGLA08G0143200.1 pep chromosome:AGI1.1:8:16110416:16111186:1 gene:ORGLA08G0143200 transcript:ORGLA08G0143200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVFPALRPTPPASKLPALLPTPPRSQMLPLLPTPCLVILPASFFRAPTPPPSDPKPGRADSVERWDARKKASCSSASSSSSSSSSGLPCRADSCERWDAHKNKKAGGSAASSSSRASSGSPGRADSCERWDAHKTPGSPASSTGSNGIPGRSDSVERWDSSKRPLSRASSAERWDINKKPRPEEDYTLCSGKSNSTSRTMNATTSAQMISKPQTEPMVKPPPALLPFAGPAAYFSAPDPSMLPMPTFLLARCR >ORGLA08G0143100.1 pep chromosome:AGI1.1:8:16104753:16107553:-1 gene:ORGLA08G0143100 transcript:ORGLA08G0143100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYIGHYPMLAYFSIAENESIGRERYNFMQKMLLPCGLPPERDED >ORGLA08G0143000.1 pep chromosome:AGI1.1:8:16103609:16104199:-1 gene:ORGLA08G0143000 transcript:ORGLA08G0143000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWVLCVVARLGDLGEPEAERGEDEDVAGLDAREQAVGVHDAEGEHGQGELLPLHADEELLPLLHATTGAIGANNGKAGGEEDVLEEVWKAWCRREARRWPSSWRGEGVRWRMRRERRSGVSWGRKREREAGGGRRHGGEVAMEGGYEEEVRSSPASGGRVKCGSSSVGVGAGIANWDGLEVSREAGCHHQRTRWG >ORGLA08G0142900.1 pep chromosome:AGI1.1:8:16099488:16100069:1 gene:ORGLA08G0142900 transcript:ORGLA08G0142900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLSNNVIGALNLVTLLLSAHPRRRDLDGHPRRRRRVRPPPLLAGHRAGGGPHGRLPRGPRRRVLPRHLAALGLPPRHVRPHRRPPRLHRLRLCRHQPWRRRDRLRPRVGSTASGTTPRGCGATWGAARTGTRSGAASPAPTCAGACRTGTRRGRSSSPTTSPRSSPAAASRPRAATSRTAAARGGARRRG >ORGLA08G0142800.1 pep chromosome:AGI1.1:8:16097249:16097962:-1 gene:ORGLA08G0142800 transcript:ORGLA08G0142800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLPALRPTPPASNFPALLPTPPRSQMLPLLPTPCLIILPASFRAPPASDPKPGRADAVERWDARKEAGSSAASSSSSSSSSGLPCRADSCERWDAHKNKKAGGSAASSSSRARGGISPGRADSCERWDAHKTPGSPASSTGSSRSPCRADSVERWDSNKRPLSRASSAERWDINKKPRPKKDAVGSGKNNSTSQTTTMVKPPQPFSQFSGPAFFASPDPGMLPIPPSCWLTSH >ORGLA08G0142700.1 pep chromosome:AGI1.1:8:16095819:16096571:-1 gene:ORGLA08G0142700 transcript:ORGLA08G0142700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLPALRLTPPASNFPALLPTPPRSQMLPLLPTPCLIILPASFRAPPASDPKPGRADAVERWDAHKKPGYSVASSSSSSSEIPCRADACERWDANKNKKAGGSAASSSTSKASGGNPGRADSCERWDAHKTPGSPASSTGSTGSPCRADSVERWDSSKRPLSRASSTAERWDINKKPRPKKDAVGSGKSNSTSRTMKTTTSAQMISKSQTETMVKAPLALLPFSGPAYFSAPDPSMLPCPPSCWLAS >ORGLA08G0142600.1 pep chromosome:AGI1.1:8:16087550:16088317:-1 gene:ORGLA08G0142600 transcript:ORGLA08G0142600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLPSLLPTPPASKLPALLPTPPRSQMLPLLPTPCLIILPASFRAPSVSDPKPGRTDAVERWDARKKAGGSAASSSSSSSGSAVPCRADACERWDAHKNKKAGGSAASSSTSSRASGGSPGRADSCERWDAHKTPGSPASSTGSNGSACRSDSVERWDSSKRPLSRASSAERWDINKKPRPEEDYALCSGKSNSTSRTMKTTTSAQMISKPHTETVVKPPPALLPFAGPAAYFSAPDPSMLPVPTFLLARCR >ORGLA08G0142500.1 pep chromosome:AGI1.1:8:16086344:16086694:1 gene:ORGLA08G0142500 transcript:ORGLA08G0142500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQEVKRDLSHTVHGFPADEHHVREVIALTLHSRACVASLSRCLGVTLKMLVLVHRLLADGDPAFEQEVFYAMRRGTRSVHPHPPAPPRRRPLQPASSLLGRRAPFQPASSSLRRP >ORGLA08G0142400.1 pep chromosome:AGI1.1:8:16062538:16067367:-1 gene:ORGLA08G0142400 transcript:ORGLA08G0142400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLFLLLLFFLVVSYAPFLAFSSEPLNPEVEALIAIRQGLVDPHGVLNNWDEDSVDPCSWAMVTCSAHNLVIGLGAPSQGLSGTLSGRIANLTNLEQVLLQNNNITGRLPPELGALPRLQTLDLSNNRFSGRVPDTLGRLSTLRYLRLNNNSLSGAFPSSLAKIPQLSFLDLSYNNLTGPVPHFPTRTFNVVGNPMICGSSSGSHAGNANAAECATVVAPVTVPFPLDSTPSSSSRAAAAAVGRSKGGGGAARLPIGVGTSLGASALVLLAVSCFLWRRRRRHRCLLSGPSSVLGILEKRRDVEDGGGGEVMARLGNVRQFGLRELHAATDGFSARNILGKGGFGDVYRGRLSDGTVVAVKRLKDPTASGEAQFRTEVEMISLAVHRHLLRLVGFCAAASGERLLVYPYMPNGSVASRLRGKPPLDWQTRKRIAVGTARGLLYLHEQCDPKIIHRDVKAANVLLDECHEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALEVGKGSGVIQHQKGVMLDWVRKVHQEKLHDLLVDQDLGPHYDRIEVAEMVQVALLCTQFQPSHRPRMSEVVRMLEGDGLAEKWEANHRPAAMAAAAAPHELGYDHRNDSNGSVFFNDFHDNDSSLSSDEVRSIDMVEEMELSGPR >ORGLA08G0142300.1 pep chromosome:AGI1.1:8:16062144:16062359:1 gene:ORGLA08G0142300 transcript:ORGLA08G0142300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKGLLQRCMCHVRPQINKRKREKKAAAAKDKEACEKKQKRNRRGGAPFSLHLSRGKGLSLIRQKLHTVV >ORGLA08G0142200.1 pep chromosome:AGI1.1:8:16053757:16058907:1 gene:ORGLA08G0142200 transcript:ORGLA08G0142200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPGPAPGTSSSSSSLPSRRRRRPPPRLGRRRGGDDQQPPHPPEASSEALPCAASPPARRRGGDHQPPHPPEAALEALRGEASPPARRRGGDLHPSHPPEAASEALRGAASPPERRRGGDRQPSHPTEAASEAPSGSASPPARRRGGDQQPPPAVAVAVTSEGGVGPRRSFRISLRHRVRVVPWVKPPVARKPKDPAKPPRPSIEALAAEWAKEKAASGAPEEECVLPFLQKDAPKKLDIVKVLGLDGFGYMDTVILSLKSYRKNIPITVGNFVIVMQSCIRLVLKKVMDAVLDMMQVQQMEAFRRLPLPHTFQEFNIDPIKKEELDNGTEPPPYKIKIIPTGLYWLAALGLVTAQMSALTNHFADRKRLRSLSGILLQTQYCGWGSRALEAIEKDDFVIEFVGE >ORGLA08G0142100.1 pep chromosome:AGI1.1:8:16049155:16052954:1 gene:ORGLA08G0142100 transcript:ORGLA08G0142100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRSNGETAAASSDDSSSGVCGGGGGGGEVEPRRRQKRPRRSAPRDCPSQRSSAFRGVTRHRWTGRFEAHLWDKNTWNESQSKKGRQVYLGAYDGEEAAARAYDLAALKYWGHDTVLNFPLSTYDEELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGKWEARIGRVFGNKYLYLGTYATQEEAAVAYDIAAIEHRGLNAVTNFDINLYIRWYHGSCRSSSAAAATTIEDDDFAEAIAAALQGVDEQPSSSPATTRQLQNADDDDDDLVAQLPPQLRPLARAASTSPIGLLLRSPKFKEIIEQAAAAAASSSGSSSSSSTDSPSSSSSSSLSPSPLPSPPPQQQPTVPKDDQYNVDMSSVAAARCSFPDDVQTYFGLDDDGFGYPEVDTFLFGDLGAYAAPMFQFELDV >ORGLA08G0142000.1 pep chromosome:AGI1.1:8:16041180:16042759:1 gene:ORGLA08G0142000 transcript:ORGLA08G0142000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEDTQLQKAIFAQYIMMKKLFMELEEEREASATAASAALSMIRKLQKEKEEQRMEAWQYKRIAEEKISHSDKALEILQEVLQQKELENFYLRNQLLVYKHKLLDVGIDECDIADETITNNIPLFESKTVENLCRNIKRNFSLPTLQMKKLFAEKDTDRNVESAKSRLGGFVCNLSEDELKHISGNASDFKALEVQKSLLTDGDATEEHGEEPNPPSSDLSQQPHLLEESSCSSSLLSNHRDTSSERAIQVGENAEDTRHGDQLKQSHSGIGKEEVQSHPLEESSSCSSFSTSGNHGDICSEREMQVGRNAEDAPHGDQTKESHSGIEAEEVAVHPISDIVDTIKIQERSQGVEEFSCTTNEIITKESELSPKDVPKERRPQALSKLSATRKVGSMNNLYRNVHVITDKSSTPRGKNSTPRAGTERTRSRLKRVQSEKMVEMNDTRKNKEQIIMLKEVYEQLNMIESHMRPSTSQETPRNDQSLESVLEAALSFSL >ORGLA08G0141900.1 pep chromosome:AGI1.1:8:16036968:16038835:1 gene:ORGLA08G0141900 transcript:ORGLA08G0141900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT3G18430) TAIR;Acc:AT3G18430] MGSTSSMLTQYDIEEVQDHCDHAFSQQEIVSLYHRFCQLDRNGGGFVSAEEFMTVPEFAVNPLSQRLLRMLDGLNFKEFVAFLSAFSPRASLQQKIEFIFRVYDTDCNGKVAFDDILSILRDLTGSFMTEQQRQKVLIHVLEEAGYTKDSNFTLPDFMKILDNSDVKMEVEVPID >ORGLA08G0141800.1 pep chromosome:AGI1.1:8:16034308:16035719:-1 gene:ORGLA08G0141800 transcript:ORGLA08G0141800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSTVFLLLSLVCLASPCAAQRVICEAPPCTALSQVKTTPTNRASHVRLPEGVTGAESLAFDSSNRGPFTGVSDGRVLKWGGDSAGWTTFAYSPNYRSSPTCAASSEETESTCGRPLGLAFHLKTGILYFADAYKGLMRVGPRGGQADVLATEADGVPFNYLNGVDVDQDTGDVYFTDSSTTITRRYQENIMRNRDATARLMKYDAKTKQVTVLKDRLPYANGVAVSHDGRYLVVAHTGPAQVFRYWLKGAKAGQYELFADLPGYPDNVRRDAKGGYWVGLNREKITFNVPAAAAAAASPAKHLVGVRLNGDGVEVEELTAASRAVTLSEVVERDRKLWLGSVDLDYVGLLQ >ORGLA08G0141700.1 pep chromosome:AGI1.1:8:16022416:16025187:-1 gene:ORGLA08G0141700 transcript:ORGLA08G0141700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVKTAGRKAAGTVDCALRQPLVPPEKNIAAPAGRRREVASRFKSGGTPAPQAAASSVRRCTSPSLSRASAAEGTASTNRAQSAERRRSSTPSSSSTPSGGGGGAASRPRTPVRVAAATEVHGISRRAASTKPPDGLWASARSVPPLLQKESMAMATSAKKRHKLADGSSSDQTKVQARNVTETERKRSPLRGRNIGNQCENARPSETPNKRVVEQHRWPAAMMGDRGSAVLTSRSNGVANTPIRSVTPSNPTRGLSPRRICPAEVKANGLNQPLNGLAKRLATHESRREDKTESGSDVSSQTSENSKAATRPSRTLSSPVLHRSSSPNKVLSAASPASTAFQSPLRTRPSAPCRSRCCSTSQSGVAPPVFNYIVDARKGKKSASQFENIHQLRLLYNRCLQWQFVYARSEDTLTFQKSSIESILYSVWKSIVQLRDSVTVRRIDVQLLQQELKLYYVLKEQIAYLQHWPELEGENGSTLIGAIEALQACTLRLPVTSGAQADAVAVKNSISSAVDVMQALSSSILYLLSKVEGRTSLVSELSDMARQEKVALGECRELLATAAKLQLGSKAKQAKPKLLTSSFLK >ORGLA08G0141600.1 pep chromosome:AGI1.1:8:16015672:16019587:-1 gene:ORGLA08G0141600 transcript:ORGLA08G0141600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALADDNGDSLHTRAVPRRPVDPRGSDPVFFHSAPPCDGWASPIRRYKDDLLSDPTARGHSVHRAQGFEARLPPLRSARLPSPEAEPSRRSSAAEKPSRFPHHLSHHNQTLTPPTPTMEFARRATAPVDADDGCGVPHPSPRETKQRWGWGVSVQVTMDALRRELWEEGIRQEVIAAEIAEQRELEAKVQRDTGLLCDVPSRLSVSFQPVRGDTFPSPHGELWLGGPMAMPAGASMFRVPVKDRIEEWYRPPWDRTADEENASFNGLYKEATRISLNDHICCLAKMRRKVSSGVKRKRGADTFQMNNKKICVPRSCDGIQVNTQXILFRGALCWPXERGKQCFGIKKGSYWDEEESRNRVLICHTALSTNMELWYLQSQLFKXTGLKKSPKRXEAPRKLRSPEERRQGNGSKGVCKGSGAVCXKEPKVCAKMELQHLQGXLHICIXLGESLPGXKAPTERRKEFKRGNAPCI >ORGLA08G0141500.1 pep chromosome:AGI1.1:8:16007499:16009600:1 gene:ORGLA08G0141500 transcript:ORGLA08G0141500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase [Source:UniProtKB/TrEMBL;Acc:I1QJ14] MAAAMILSCRYLLICSATLALLLLRLCSGLSLDTVREFLTREEDTVVFGLIERAKHPRNTPAYDPGYLAGGGHGHDASFAEMFVRESEAVQAKAGRYQSLQEIPFFPFRVPFTLAPPYNFTTELYSAAALVNVNDAIWSMYFNELLPLLAKNGDDGNYAATVSSDLVCLQALSRRINYGRYVAEVKFIGDQQNYTTLIRNKDTDALMKLLTSEAQEDVVKRRVQKKAMVFGQNVTLDGPVETGNSNSSQTSFKVDPSLVYKLYDKWVIPLTKQVEVEYLLHRLD >ORGLA08G0141400.1 pep chromosome:AGI1.1:8:15990529:15997889:-1 gene:ORGLA08G0141400 transcript:ORGLA08G0141400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDGAVAADAGGAAAAVVQPGNGQTVCVTGAAGYIASWLVKLLLEKGYTVKGTVRNPDDPKNAHLKALDGAGERLVLCKADLLDYDAICRAVAGCHGVFHTASPVTDDPEQMVEPAVRGTEYVINAAAEAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLDYCKETRNWYCYGKAVAEQAAWEAARRRGVELVVVNPVLVIGPLLQPTVNASVAHILKYLDGSASKFANAVQAYVDVRDVAAAHLLVFESPSAAGRFLCAESVLHREGVVRILAKLFPEYPVPTCVAIRCSDEKNPRKQPYKMSNQKLRDLGLEFRPASQSLYETVKCLQEKGHLPVLAAEKTEEEAGEVQGGIAIRA >ORGLA08G0141300.1 pep chromosome:AGI1.1:8:15985041:15985583:1 gene:ORGLA08G0141300 transcript:ORGLA08G0141300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase, subunit Vib family protein [Source:Projected from Arabidopsis thaliana (AT5G58005) TAIR;Acc:AT5G58005] MSTLSPDGDSDYGGGEAAGAVMADVLSKGREACYKARDAFYTCVEKHADKKPTEIATMGLLYPADCKKSRANFVNSCRPSWVKHFDRQYSAKKRVQRLLDGGDDRRGPISLPQPYTFKQ >ORGLA08G0141200.1 pep chromosome:AGI1.1:8:15976712:15976906:1 gene:ORGLA08G0141200 transcript:ORGLA08G0141200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLQRGGDKARQRGTSSLSCTAGLGVSPIRAMSSLTEDKEEEGGDGFGDSYFGMSYYTWALP >ORGLA08G0141100.1 pep chromosome:AGI1.1:8:15972730:15973044:1 gene:ORGLA08G0141100 transcript:ORGLA08G0141100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKSSWPELVGVLATLAATQIGKDRPDVAVEVLPPGAPLTPDFNDKRVRVFMDDNGIVFKIPVIAK >ORGLA08G0141000.1 pep chromosome:AGI1.1:8:15964528:15965883:1 gene:ORGLA08G0141000 transcript:ORGLA08G0141000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QJ09] MMAAAAEEGEGKKGGGAVLQGRYEMGRVLGHGNFGRVHVARDLRTGRSVAVKVVAKEKVVRAGMMEQIKREIAVMKRVSHPNIVELHEVMATRSKIYLALELVRGGELFGRIVRLGRVREDAARHYFRQLVSAVDFCHSRGVYHRDLKPENLLLDEAGNLKVVDFGLSALADHARADGLLHTLCGTPGYAAPEVLRDKGYDGAKADLWSCGVILYVLLAGSLPFPDDNIVTLYRKAQRGDYRCPAWLSTDARRLIPRLLDPNPTTRISVAQLVETPWFKKTSISRPVSVELPPAVADPAPAKEEAEKDEPETLNAFHLISLSEGFDLSPLFEGDSAKGRRDGGMLFATREPASGVISRLEGVAARGGGRMRVTKSGARGVRLEGAERGGAKGRLAVAADIFSVAPSVLVVDVKKDGGDTLEYRSFCSEELRPALQDIVWGAAADPTPTAAV >ORGLA08G0140900.1 pep chromosome:AGI1.1:8:15949349:15953730:-1 gene:ORGLA08G0140900 transcript:ORGLA08G0140900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fatty acid desaturase 6 [Source:Projected from Arabidopsis thaliana (AT4G30950) TAIR;Acc:AT4G30950] MATASGVSTPLQLPSTRRVGGCCSRPGSPAPGKNAFPRRAAGGAPAGTFFLKRDSVYKGQSCHQFLPLKQSGRLQAAVLPVTPPLLDDEEKRKQMCEDYGFKQIGEQLPDNVTLKDVMDSLPKEVFEIDDLKSWTSVLISVTSYALGIFLISKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLIEDTAWQPVFSKEFRTNSLLRKAMIFAYGPIRPWMSIAHWLIWHFDLKKFRPNELPRVKISLASVLAFMAIGWPLIILKSGIAGWFKFWFMPWMVYHFWMSTFTMVHHTAPHIPFKTSEEWNAAQAQLNGTVHCDYPRWIEILCHDINVHVPHHISPRIPSYNLRAAYDSIKQNWGKYINEASWNWRLMKTILTKCHVYDKDRYYVPFDEVAPEESQPIKFLKKVMPDYA >ORGLA08G0140800.1 pep chromosome:AGI1.1:8:15944151:15948611:1 gene:ORGLA08G0140800 transcript:ORGLA08G0140800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 11A3 [Source:Projected from Arabidopsis thaliana (AT2G24270) TAIR;Acc:AT2G24270] MAVAGTGVFAEILEGEVYRYYADGEWRVSASGKSVAIVNPTTRHTQYRVQACTQEEVNKVMETAKVAQKAWARTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAISEVVRSGDLVSYTAEEGVRILGEGKLLVSDSFPGNERNKYCLSSKVPLGVVLAIPPFNYPVNLAVSKIGPALIAGNALVLKPPTQGAVAALHMVHCFHLAGFPKGLINCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIVKGGFSYSGQRCTAVKVVLIMESVADIVVEKVKAKLAKLTVGPPEADSDITPVVTESSANFIEGLVMDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAIMISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKSTVINLPSPSYTMG >ORGLA08G0140700.1 pep chromosome:AGI1.1:8:15934799:15937412:-1 gene:ORGLA08G0140700 transcript:ORGLA08G0140700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVLALAVAFLLGASGYGVDRGAAAASPAAEGVEVAYGSTIKLMHEKTKHRLHSHDVPYGSGSGQQSVTGFPEVDDSNSYWIVRPSPDSSAKQGDAIETGSIIRLQHMRTRKWLHSHLHASPLSGNLEVSCFGGDGQSDTGDYWRLEIEGGGKLWKQDQKVRLRHVDTGGYLHSHNKKYNRLGGGQQEVCGVREKRAENIWLATEGVYLPVNKSK >ORGLA08G0140600.1 pep chromosome:AGI1.1:8:15925939:15929972:-1 gene:ORGLA08G0140600 transcript:ORGLA08G0140600.1 gene_biotype:protein_coding transcript_biotype:protein_coding INHEYGFLQSSVGETNILIGCRVWLHEVDATSLDGEQVIGFHSETPDLADKEGAVVKASDRDKHLVHGVHLVLPVHGFALPEVVQNWNGCSHHDDVHIAGLLNNLVAFFLDTNEDNGEDGSMVGEMHLIEDRCQIHKVAGKVVNTINGEFVVATSMTSSAWMSSLEWATLRMKGVEHAGLMGWRHLQDHDKANGHVGDEERVALGRGEGRRRRGYAARVARRCAGTTLARRRGTSTAARHMQLRGKKSSSKVKKRMCLLIENVH >ORGLA08G0140500.1 pep chromosome:AGI1.1:8:15919716:15920582:1 gene:ORGLA08G0140500 transcript:ORGLA08G0140500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAACVESPDEGVEIREVWAGNLEAEIAAIRDEVDRYPYVAMDTEFPGIVCRPVGNFRTTDEFNYANLEANVNMLKLIQLGLTLSDEGGDLPRRGTGGRRCIWQFNFRGFDPRTDPSNADSIQMLRTCGIDFDRFAAEGADPIRFAELLMSSGVVLNADVQWITFHSGYDFGYLLRLLTGRNLPDNMPAFFDLIRIYFPVLYDIKHLMRFCSNLHGGLSRLGELLDVKRVGTCHQAGSDSLLTLGCYNKIKEVYFKGSTEKHAGVLYGLVIEDGVNRPPPTQPNE >ORGLA08G0140400.1 pep chromosome:AGI1.1:8:15911828:15916824:1 gene:ORGLA08G0140400 transcript:ORGLA08G0140400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25430) TAIR;Acc:AT3G25430] MAAATRAATASAARQVTKRNFAEAVQDLAAHVEACDYVAIAAQRTGAPTGWRRALPVDTPETAYLKAKLAAESFQPLHFAICPFRVDATSPSTLVAYPYNFHLFPRDELQLGMPAYSFSCQSSYLSSMAHSGFDFNMCIYDGSLVNTLRQLILGSESYGSRPSFSIDVCSDRQVQLVLEAANHISDDLVPLVVPDKAGTARAIGSMCLYKIKGRCHVFRIRSYGNHFQMDIKKMEDEHNLKFRGFREVIDLLSSSQKPIISYNCLNDFTMIHSKFVAPLPPNLHEFMCSLRMVFSNVIDISHMWREIGPLRKAKNIQAALSYLQRQYFVPMDVEIPQQDGNNSVTKSGENVLRITKLFAKLSNLLKISPNGQTHSGDKCHTVEEYSYILYPSCTAEESEDDKSSNESNTTRSVRTDNVVFLWGFRETSVKELRSRLASLHHAFSKDFELRLLDNSCSALIFHSSDTAIDLLREINSESPSLNNFFSEGLKAAGFDAYRKVCRSGLWDSDLAEALDGVSSEPSTSTLSGRGTSEICWNTSLMLDLKEYLEC >ORGLA08G0140300.1 pep chromosome:AGI1.1:8:15908538:15909172:1 gene:ORGLA08G0140300 transcript:ORGLA08G0140300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVRNLDLERYMGRWYEIACFPSRFQPRDGTNTRATYTLAGDGAVKVLNETWTDGRRGHIEGTAYRADPASDEAKLKVKFYVPPFLPIFPVVGDYWVLHVDDAYSYALVGQPSLNYLWILCRQPHMDEEVYGQLVERAKEEGYDVSKLKKTAHPDPPPETEQSAGDRGVWWIKSLFGR >ORGLA08G0140200.1 pep chromosome:AGI1.1:8:15901275:15904200:-1 gene:ORGLA08G0140200 transcript:ORGLA08G0140200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1 [Source:UniProtKB/TrEMBL;Acc:I1QJ01] MEASSWDALRKQARRLEAQLDDQMSAYRKLISMKSDGSENDIESDIERSLKQLQQVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLTQEFYRLRSSLRAKQQHASLLDLRDFDRAKFDVEEGADSDQALLKEQAAISRSTGQMDTVISQAQATLGTLMLQRSTFGGITTKISNVSSRLPTINQILSSIKRKKSMDTIILSLVASVCAFLIFIYWMSK >ORGLA08G0140100.1 pep chromosome:AGI1.1:8:15898755:15900191:1 gene:ORGLA08G0140100 transcript:ORGLA08G0140100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLRLRAHAAGLSQRLAPRLLPSRPYISDMRRSAFSDRLLRSLRSEISSRRAPSPPPSAAPFAVDDRPGEQWIRLRRAFGGDDDDDEKEEVRVDATMVDGATAPTRSGEVAGAGPDDAAGPQLRMHISVNVEVTKAARRDLALTFECSAWPDEMEVERVYPVRRGGPAAAQQYMGRQFSELDDEMQSTVHDYLEHRGVNDELAAFLHSYMENKEQTELVRWFKNVECFIKK >ORGLA08G0140000.1 pep chromosome:AGI1.1:8:15894627:15895847:1 gene:ORGLA08G0140000 transcript:ORGLA08G0140000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAIAACFRCAPSAAAAASAASGPAGPSLATSVYETHLGLAALSWTRTSLGLSLRAVLRLSSPATAASVGTCFDEDADEETLAFRVRPWLLWRRRGTRRFRAAGDRRVDLAWDLTRARFPGSGSPEPSSGFFVAVVVDGEMVLAAGDLSDAAYRRTRARRPAGPRPVLLSRREHVAMRDAGRGGRGHRSWVTVRGKEREISVDLMSRGRGRDTGSSGSSSREKDRADVGLSVSIDGERVLHVRRLRWKFRGSERVDLGGGDRVQLSWDLHNWLFPQREPPPADAAAHAHAHAVFVFRFDLGGGGEEASEHAKALCDKAPRRDAAGILRGYFARWGQKDWSETGSERRKRGRGRRLAKASSSSSASVASSTASWASGSTVMDWASPEEAEMQRGDGFSLLIYAWKS >ORGLA08G0139900.1 pep chromosome:AGI1.1:8:15882538:15883343:-1 gene:ORGLA08G0139900 transcript:ORGLA08G0139900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYTASASSRRMGKPALPLIQCPQCELKTIVWRKAKTSENYGRIFYTCPSHQRDGTGCDFWYWEEYYEQYLIKGGYLQACSGSRGKRQVIDLHGEGEGEGEGVGGRQVAEQIEDKQLLTESQKNELTESHHNSEITTGDRTYITR >ORGLA08G0139800.1 pep chromosome:AGI1.1:8:15856078:15860215:1 gene:ORGLA08G0139800 transcript:ORGLA08G0139800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF298) [Source:Projected from Arabidopsis thaliana (AT1G15860) TAIR;Acc:AT1G15860] MRRSSKKSSSSAAAATAGDVQVNEKQNRKRKGVSTNLTSRKAQCGTTRGVSKEIERIDQLFYTYADSSSGMIDPEGIETLCSHLEVPHTDVRILMLAWKMGCEKQGYFTLDEWRSGLKALRADTINKLKKAFPELVQEVTRPSNFQDFYPYAFRYCLTEDKKKCIEIPVACELLNLVLGLQFRPQVDKLVNYLKHQSEYKVINMDQWMGFLRFCNEINFPSLDNYDSDLAWPLILDNFVEWLRENKS >ORGLA08G0139700.1 pep chromosome:AGI1.1:8:15837423:15852986:1 gene:ORGLA08G0139700 transcript:ORGLA08G0139700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT5G35180) TAIR;Acc:AT5G35180] MATITLKPPATAAAAGGEVSEVELSEAGSPDVGSQSSGSGGSGRSTAGSSGWVYHLGVNSIGHEYRHLRFLVIRGKTVAMYKRDPSKNPGIQPIRKGVVSHTLMVEELGRRITSHGELYVLRFYNRLDQTKKGEIACGDPGEARKWVEAFEQAKQQADYDLMTRGVSWNRSQNENELNLDGHRPRVRRYAQGLGKLVRIGKGPEKLLRQSSNLQSHEIINTNFGGDSGDAFEAHEWRYVRTFNGIRIFEDIANTKGGKGVLLKSVGVVGANPDTVFAVVLSSDKHKRYEWDMLTADLELVETIDGYYDVVYGTYEPRYLSWWKTKKDFVFSRQWFRGQDGAYTILQIPASHKNKPPRHGYKRTKINSSTWELRRLNPPGSSTPKCLVTHMLEMSPSFWDRWKRRHNENFDRSIAFALLSQVAGLREYFAANPALTSDLPSTVVKPKQSDSLIIQSELEDSELNDEFYDALARGESFEDEDSDDDDDMIPKAGKVKFKNISWAIAGLAMKPTKASVEKSELVTNSTPVTIDSNHFHGTLRRAKSENDPNSWSEPGGEKFMIRGKTYLIDYTKVVGGDPLLKLIAVDWFKADERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTGLVVDLAILIEAKEEKELPEYILGTVRLNRANPDSAVPI >ORGLA08G0139600.1 pep chromosome:AGI1.1:8:15823074:15826856:-1 gene:ORGLA08G0139600 transcript:ORGLA08G0139600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:I1QIZ5] MAVSLKSSGSFCSTPPQWLHSTRDRILYGYSHSNAKECTCKKTKRPAPLCVKATSTKVELDFNDPSWKQKFQEDWDKRFNLPRITDIYDLKPRPTTFSLKKNRSPAGDENGTPMDKWNGYVNSDDRALLKVIKYSSPNSAGAECIDPDCSWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRHLVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEYGVLRHLEHLLKTKGFCVVCVAEAAGQELLQKSGATDASGNVILSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVITAPKRVNPNSRMWHRCLTSTGQPDFH >ORGLA08G0139500.1 pep chromosome:AGI1.1:8:15820144:15821006:-1 gene:ORGLA08G0139500 transcript:ORGLA08G0139500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRPGEAVPVHWLRHAMFDAAGDDGAAGGGHGRFMDRNKKFRFLSEIMLLQYELTCLTRLNFDDERINSEEESTFMVRSHEDVQVQNLPSVRLHRPPTPRPSAGVGGRVRLWRGGGDR >ORGLA08G0139400.1 pep chromosome:AGI1.1:8:15816805:15817591:-1 gene:ORGLA08G0139400 transcript:ORGLA08G0139400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSANRLLTVLLCLLLLSHQQKVYGLKGISLAFGREEDEVPEKKPRVLAQSNAANLNNNGGYSASPSSADPNRMSERRVRRGSDPIHNRLLYFLKSLFLSLGIKELIRII >ORGLA08G0139300.1 pep chromosome:AGI1.1:8:15813949:15816633:1 gene:ORGLA08G0139300 transcript:ORGLA08G0139300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT1G74680) TAIR;Acc:AT1G74680] MALTRRLLIDLSSRRRLFNAGKFSTTHKKKPVLHEAVSLAGFLRCSRALVSWMVAERKMQPSPAVPPAAEHRRRALLRYVVFLAVSLLAFSCWALVSSRIDGAVLAATAGGEHDDNDGIIVRSSTQAEMPASGGNATSRGAVEVGVGTPAAMITRQPSSGETTTTAASAATCDAESALLRVYLYDLPPEFHFGMLGWDGKAAGAAWPDVAGDPRAVPRYPGGLNLQHSVEYWLTLDILSSTTSGDHRRRRPCTAVRVTNASLADVFLVPFFASLSYNRQSKPPHRGHGSGGRSDRQLQGELVRYLARREEWRRWGGADHLVVPHHPNSMMDARRRLSAAMFVLSDFGRYPPDVANLRKDVIAPYKHVVPSLGDSDSPGFEQRPILAYFHGAIHRKNGGRVRQRLYQLIKDEKDVHFTYGSVRQNGIRRATKGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPFEDVLDYSDFCVFVRASDAVKRGFLLHLLRGISQEEWTAMWRRLKEVAHHFEYQYPSQPGDAVQMIWGAVARKMHLVKLQLHKRGRYQRTLSES >ORGLA08G0139200.1 pep chromosome:AGI1.1:8:15805375:15806454:-1 gene:ORGLA08G0139200 transcript:ORGLA08G0139200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVGVKYRPVVFPNGGAAAAAAGKSKATPASATAAVYRECLKNHAASLGGHAVDGCGEFMPSPAADAADPASLKCAACGCHRNFHRRLPEAPPSPPLLALPPPPPPPPPPPQPPQPQQHLPRTAAVAVAPQLLLHGSHQRREQSPETDRVRGPGHHHDDDAAADDDDSEDSEMSDYDDDRSASPLQAPPPVLCPGYLPSATHMLLSLGSASAPAVAASRPHAAAAAMGPQPPPGAATSASRKRFRTKFSPEQKQRMQALSERLGWRLQKRDEAVVDECCREIGVGKGVFKVWMHNNKHNFLGGHSARRSAAAAAAAPLAPPPVLTDFSINGSSTHAAAADHAAATASGGGGGSPQST >ORGLA08G0139100.1 pep chromosome:AGI1.1:8:15799876:15800487:1 gene:ORGLA08G0139100 transcript:ORGLA08G0139100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTCQSLFLSFSVHLLPPTPPGCGAATRRGSCTEAEAENDPFDVIHSESVAMFHCWAHDVPNLVVSWHGISLEALHSRIYQDLTRGDDERMSPASNHSLAQSVYRVLSEMHFFRSYVHHVAISDTTGEMLRDVYQIPNRRVHVILNGVDEAQFKPDAALGRAFREDLRLPKGANLVLGVSGRLVKGADLVLVAVGQISLSLP >ORGLA08G0139000.1 pep chromosome:AGI1.1:8:15772384:15777626:-1 gene:ORGLA08G0139000 transcript:ORGLA08G0139000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:polypyrimidine tract-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT3G01150) TAIR;Acc:AT3G01150] MPSGSATQFRYTQTPSKVLHLRNMPWECTEEELVELCKPFGRVVNTMCNVGANRNQAFVEFADQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKGTGDSSGNVLLVTFEGVQPNDISIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPRYLLPEHVPTCHLRITFSAHKDLNIKFQSHRSRDYTNPYLPVNPTAIEGIAQPTLGPDGKIKEPESNVLLASIENMQYSVTVDVLHTVFSAFGTVQKIAMFEKNGGMQALIQYPDITTAAVAKQALEGHCIYDGGYCKLHLSYSRHTDLNVKAHDERSRDYTVSSDPSAQMQAAAQAPGPSTPGVAWQNTAPSASFYGSTAAATPVGQVPAWNPNMQAGAFGSASSAYPTQPMMPGSVPHYPGIGSSSGALPVSFQASHQMPQYGVPPAAPHHAPPAGQPMYFPK >ORGLA08G0138900.1 pep chromosome:AGI1.1:8:15770295:15771238:-1 gene:ORGLA08G0138900 transcript:ORGLA08G0138900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:light-harvesting chlorophyll-protein complex I subunit A4 [Source:Projected from Arabidopsis thaliana (AT3G47470) TAIR;Acc:AT3G47470] MASVTARAPVAALRPSASLKSTFLGQSSIRLARAPTTRRNVRAEAKGEWLPGLPSPTYLNGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLLPEVLTKIGLIDAPQWYDAGKATYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKSYSLPPHECGYPGSVFNPLNFEPTLEAKEKELANGRLAMLAFLGFLVQHNVTQKGPFDNLLQHLSDPWHNTIIQTLSG >ORGLA08G0138800.1 pep chromosome:AGI1.1:8:15764720:15768100:-1 gene:ORGLA08G0138800 transcript:ORGLA08G0138800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoate A/B protein ligase family [Source:Projected from Arabidopsis thaliana (AT3G29010) TAIR;Acc:AT3G29010] MAAGVAGARGAGRPLMRLVTMSGVPILRQLHLEERLLRRTGDNWCIINDGTAPATIVMGVSGRVSELVEIEPVLRDKVPVIRRFSGGGTVIVDQGTVFATFICSKTAIPGLQPFPRDIMSWTGQLYDKVFDSFGEFHLRENDYAFSQRKFGGNAQSITRDRWVHHTSFLWDYDMKNMDYLKIPKRAPDYRLARNHTDFLCRMKEYMPSRSVFTEGIISALGDHFTVEPTEPEAVLSDDTGFKPSTKLLSPQDLEDVIASKESLKVQEVEA >ORGLA08G0138700.1 pep chromosome:AGI1.1:8:15754133:15756365:1 gene:ORGLA08G0138700 transcript:ORGLA08G0138700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTSAAWMLHVGGAAAEQASSSSSSKGGGRVVTAGTTTMDTGGYNNGGGGGGGGGNGGGGGDHQESSSSGGGGGQSSRLAARGHWRPAEDAKLRELVALYGPQNWNLIADKLDGRSGKSCRLRWFNQLDPRISKRPFSDEEEERLMAAHRFYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSTAYRRRKLNQAVQRKLDATTASDVVVAHHHPYAAAHDPYAFTFRHYCFPFPAACPAAADEPPFTCLFPGTAATAGRGGGGGMTWPDAMAAGEVIDDGAGGGRYVVAEPPPPPFLVPAAPHGWLGGHEMMVMVNDGGDVAAGVASSYDGMIGRDQGGGGSHFEAAAAPAFIDFLGVGAT >ORGLA08G0138600.1 pep chromosome:AGI1.1:8:15727385:15729373:-1 gene:ORGLA08G0138600 transcript:ORGLA08G0138600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGNCLRGWVCFFPDPRRCWGRRRGVSHLVGGRGEEVREAASWRPTSGSWIPASGRSRRQWSAVQLPASWIPTSSWEVGDAARTTALMVGGAGRCHGSRRREGRGRGRWRRRAAVERRA >ORGLA08G0138500.1 pep chromosome:AGI1.1:8:15695952:15697171:-1 gene:ORGLA08G0138500 transcript:ORGLA08G0138500.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQQQQQHAGEAPASATAAARARLRWTGQLHERFVLAVAELGGADRATPKSVLRAMAVPGLTLYHLKSHLQKYRQAVSRGGNGGGGGSGSLNDRSSSSERQPADHDGDSAADEPRTIAYDGDSDGDAKEALRDSSRSMVQMQREVQRKLQEQIEVQRHLQLRMEAQGRYLQSVLRRAQQVLADHSLASSPEAATAELSELASAVDIECMSSSSPPRHHRQSATTDSCVTTTSSSEAESKAAGSKRLHTSDCTVEQPVQGKRAFNFLQRHNQADQEEEYAGAEDGSSSEIDLNR >ORGLA08G0138400.1 pep chromosome:AGI1.1:8:15683681:15691603:1 gene:ORGLA08G0138400 transcript:ORGLA08G0138400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGGVAEEVARLWGELPVRVDWAAVAAQWAAAAAAARAAVVVPAVRALVAVSLAMTVMILAEKLFVAAVCLAVRAFRLRPDRRYKWLPIGAAAAAAASSEDDEESGLVAAAAAFPMVLVQIPMFNEREVYKLSIGAACSLDWPSDRVVIQVLDDSTDLVVKDLVEKECQKWQGKGVNIKYEVRGNRKGYKAGALKEGLKHDYVKECEYIAMFDADFQPESDFLLRTVPFLVHNSEIALVQTRWKFVNANECLLTRFQEMSLDYHFKYEQEAGSSVYSFFGFNGTAGVWRIAAIDDAGGWKDRTTVEDMDLAVRATLQGWKFVYVGDVKVKSELPSTFKAYRFQQHRWSCGPANLFKKMMVEILENKKVSFWNKIHLWYDFFFVGKIAAHTVTFIYYCFVIPVSVWLPEIEIPLWGVVYVPTVITLCKAVGTPSSFHLVILWVLFENVMSLHRIKAAVTGILEAGRVNEWVVTEKLGDANKTKPDTNGSDAVKVIDVELTTPLIPKLKKRRTRFWDKYHYSEIFVGICIILSGFYDVLYAKKGYYIFLFIQGLAFLIVGFDYIGVCPP >ORGLA08G0138300.1 pep chromosome:AGI1.1:8:15658939:15660132:-1 gene:ORGLA08G0138300 transcript:ORGLA08G0138300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1QIY2] MASAVTISSVGAQAGLISKPRNHGFTSYSGLKAASSVSFESESSFLGRNASLRASVAPRIVPKAKSGSQISPEASYKVAVLGAAGGIGQPLGLLIKMSPLVSALHLYDIANVKGVAADLSHCNTPSQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKSLVEAVADNCPEAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTDEETEQLTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFVQSELTELPFFASRVKLGKNGVESIISADLEGVTEYEAKALEALKSELKASIEKGIEFVHKQQTAAASV >ORGLA08G0138200.1 pep chromosome:AGI1.1:8:15656009:15656736:1 gene:ORGLA08G0138200 transcript:ORGLA08G0138200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXSEVSDLLGSMRSEWPTLACPSNDGIRFWAHEWEKHGTCAAAALGDEHGYFEAALRLRSRLPVLAALRDGGVSPDGGYYTLSQIKGAIQRGVGAEPFVECNRDESGNSQLYQLYFCVDAAGERFVDCPVSPGGRPCGNRIEFPAF >ORGLA08G0138100.1 pep chromosome:AGI1.1:8:15647370:15649175:1 gene:ORGLA08G0138100 transcript:ORGLA08G0138100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47530) TAIR;Acc:AT3G47530] MASHLTRALLSSPPSSAAAAATASAAAALLSSTSPLPAARFLQLHAHLLRTGLLLLPLAPTAASAFLSLAAASLPSHRALPVLLHHLALAPETLPSTFRLNAILRSLRGPDALRFLRRARALGRRGNAFSLSIVLGHCRALAHARQLHANVVAEGHSPDALLATSLVSSYAACGDGDSARKVFDEMPVRDTVAWNVLITCYTRNRRTKDALKLFDAMRGGENGAEPDDVTCILLLQACTSLGALDFGEKVWEYAVNHGYGGELKVRNSLITMYTKCGCVDKAYQVFCETPKKSVVTWSAMISGLASNGFGKDAISAFEEMGRSGVAPDEQTFTGVLSACSHSGLVDEGFKFFDIMCYEYQLKPNVHHYGCMVDLMGRAGLLDQAYELVVKDMRVAPDATIWRTLLGACRIHGHIDLGERVINHLIELKAQQAGDYVLLLNTFAAVEDWGKVAEVRKLMKEKGIQTTPGCTTVELNGEIHEFIAADASHPRKAEIYEKLDEINKHLRIAGYVPNVSSELHDLDSEGKECALAYHSEKLAIAFALLVTPQHRPIRLAKNLRVCVDCHNFTKVFSGVYHRLVIVRDRTRFHHFKEFQCSCNDYW >ORGLA08G0138000.1 pep chromosome:AGI1.1:8:15639852:15641192:-1 gene:ORGLA08G0138000 transcript:ORGLA08G0138000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKTTTRRRRRGVLDLEAQFAFFRSQHRHPVNAAAHALLAWPILFTGLLVLHFLPSPPALPLDPALALALAYAAAYVAADRRAGALAGLLLAAGWAASRALAARLGFALAWKAALATQLFCWTWQFLGHGLFEKRGPAVGDLPEVFLMEPFLILLQILNKQFGYEPYPGFSKNVDKKMEAILRENREELKQRKAT >ORGLA08G0137900.1 pep chromosome:AGI1.1:8:15631123:15633109:-1 gene:ORGLA08G0137900 transcript:ORGLA08G0137900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQRLPAGFRFFPTDEELVTYYLARKAMDATFASAAIRDVDLYTSDPWHLPCDSSAASTGGGGGGECYFFCRRSSKYPSGARVRRATAGGYWKSTGKDKGVYAAGGGGGLVGTKKTLVFYEGRAPRGEKTSWVMHEYSRAPSTNFIRGAQARTHNLLDIIYSEWVICRVFKKQPPIEHWLEMEQEVETTTTVQEHTPNRRRLPPAEAAAAAPPPSGQPWQHTSRRSGDGRAAIDGGNREEEEDEHGLAREESSSPVVISSPSRCTSSPSSRLLNHEHLGASSSDDLPELMEFGDIYGGIAAGGPTDQQASSSNSNSICNFLDEPYYCWNF >ORGLA08G0137800.1 pep chromosome:AGI1.1:8:15624939:15626465:-1 gene:ORGLA08G0137800 transcript:ORGLA08G0137800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKIGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKAINGTLNNTAGDKSAKVTASLSHMAQWESARLEAEARLARESKMRIAASTPSKLHAQSTNPPASTPSPCFDVLNAWQSAKIDLESPTSTLTFAGSNASMLPFSTTTALELSESNSNVWQQRSDELEGEESEWKFVSKQQLQGMHGKETEEHFIGCEESWFPGTANIGAGFTGMLLDGSNMHDTSECWDESSNGQDEQRSQVSEDAENKNYWNGIFSMVNSEQPPLQPPLL >ORGLA08G0137700.1 pep chromosome:AGI1.1:8:15616974:15618597:1 gene:ORGLA08G0137700 transcript:ORGLA08G0137700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVREDSRPLGGLDGLYGVQLAGRSMYSDDEAVKTSIIDPLAREPQEGVSTSRRLLIRRLWQQRPPCLRPIHCSLSCDKHPGETIANVVTSIPFIVLGLQTPRKNLNTALYANSLIGVGIASSLYHTSRGRIRKYMRWADYTMIATTTLCLSRALRNEHPKLLMAASTLLLPFQPLVVSAVHTGIMEVSFAKRASTEPELRMAHNLHKMSSLLGGALFIADDAFPETPYLHAAWHLAAALGVGTCNKLLE >ORGLA08G0137600.1 pep chromosome:AGI1.1:8:15605669:15609005:-1 gene:ORGLA08G0137600 transcript:ORGLA08G0137600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLGGFTKSLAMTVLSEIGDKTFFAAAILAMRYPRKLVLAGCLTSLTVMTALSVSLGWVAPNLISRKWTHHVTTLLFFVFGILSLWEGFKEDGDSEELAEVEAELDANFKSNKAESKSKSKANDDKKKQQRPFVLQFFSPIFIKAFSITFFGEWGDKSQIATIGLAADENPFGVVLGGVLAQALCTTAAVMGGKSLASQISEKMVGLSSGVLFLLFGIMSYLSGPEGEL >ORGLA08G0137500.1 pep chromosome:AGI1.1:8:15600579:15603047:1 gene:ORGLA08G0137500 transcript:ORGLA08G0137500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase [Source:UniProtKB/TrEMBL;Acc:I1QIX4] MQEKANATCRCCSATPAEAQAYSLQRQWICCREPDAQAFAMADVMKEPTISCLPKLSNLSVFTSLPDMWAIGQMFPIIPIQCLGERPAIDGVLSDLTSDSDGKVDHFISGRHSLPLHELPVHGTRGYYLGGTYQEALGGLHNLFGGPSVVMFEVFKQRTDGATAAALAKAFGAMPYLSFYPEAAAMARGESSGMSSDSEVSAAGVAEDDDEWEFMRGLIV >ORGLA08G0137400.1 pep chromosome:AGI1.1:8:15579706:15582269:-1 gene:ORGLA08G0137400 transcript:ORGLA08G0137400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAVGGGDGTALLPWPGSAAAATTSGAAAPLYMSPAAAAAAPFAAGEQLPVEQPFYFDGGGGVAGHNHHPHHHQYGMEAPPPMTMMQMGGGGSSSSRMVVSGLLGTLQAELGRMTAKEIMDAKALAASRSHSEAERRRRQRINGHLARLRSLLPNTTKTDKASLLAEVIEHVKELKRQTSAMMEDGAAGGEAAAAPVVLLPTEDDELEVDAAADEGGRLVARASLCCEDRADLIPGIARALAALRLRARRAEIATLGGRVRSVLLIAAVEEEDPDEAGNDDDGEHGYGVAASHRRHELVASIHEALRGVMNRKAASSDTSSSGAGGGGGSIKRQRMISAHDQQGSFNSSGW >ORGLA08G0137300.1 pep chromosome:AGI1.1:8:15567786:15570314:-1 gene:ORGLA08G0137300 transcript:ORGLA08G0137300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12/ ATP-dependent Clp protease adaptor protein ClpS family protein [Source:Projected from Arabidopsis thaliana (AT1G68660) TAIR;Acc:AT1G68660] MEAAVPSRVALSASRLSNHHHHAVGGERYAIYRGRCPNPAIPMALAAAAAPGKGGGVLDRPIEKVTPGRQSEFDVRKSRKMTPPYRVLLHNDNYNRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGLSVVIICSQSEAEEHCTSLRGNGLRSSIEPASGGC >ORGLA08G0137200.1 pep chromosome:AGI1.1:8:15552234:15553040:1 gene:ORGLA08G0137200 transcript:ORGLA08G0137200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSSSSSPSTLDEYDARFFFPGADVYTAGHRQDEETLEAVLRQPVTTTAAAAAAAAAAAVEGGGGGGGGGAGGSPAAAAAATRRRPFRTDRHSKIRTAQGVRDRRMRLSVGVARDFFALQDKLGFDKASRTVEWLLTQSKHAIDRLTLPDSADAAAAPAFAAAPPPADQHSSAMAAAAASAAKEKGEASSSSTTNASSARARNRGHDGSLPVAPMDERGRRGVELDWTAAAAASTEQPMDGLEYYFQYYNHLEEIMSCDPTTTTDE >ORGLA08G0137100.1 pep chromosome:AGI1.1:8:15545422:15547626:-1 gene:ORGLA08G0137100 transcript:ORGLA08G0137100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WDNKDDGNNDKDNDATAKRGGRQGHGREQVRSAGQGQVGSVGGGEAVGVPPEPWRWADGGQGWQWKRGRLWVRMWQSVAANPTTQASRDEEDSGWLGWGGGDGVTAGLGRKARSCKGEDPSLAGLASTIDMIF >ORGLA08G0137000.1 pep chromosome:AGI1.1:8:15527715:15537808:-1 gene:ORGLA08G0137000 transcript:ORGLA08G0137000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIDNATSRQVTFSKRRNGLFKKARELSILCDAEVGLLVFSSTGRLYDFASSSMKSIIERYNETKEDPHQTMNASSEAKLWQQEAASLRQQLHNLQEYHRQLLGQQLSGLDVEDLQNLESKLEMSLKNIRLRKDNVMMDQIQELSRKVVTT >ORGLA08G0136900.1 pep chromosome:AGI1.1:8:15515994:15516308:1 gene:ORGLA08G0136900 transcript:ORGLA08G0136900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFMLIIIPIYDVLPSGWMMILPMILPQVMQLLPQAYCLCLLNHETSTTIRDSNCKRPSRKSLVGIICSIQIYLRLLEQIICSCTITLSLLSENHKNFCCWVLH >ORGLA08G0136800.1 pep chromosome:AGI1.1:8:15510996:15511218:1 gene:ORGLA08G0136800 transcript:ORGLA08G0136800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRPTPPARWGRPLDRGAAADQPPRIALFDLVNHDGAAPASRYTLSVAFGDEGL >ORGLA08G0136700.1 pep chromosome:AGI1.1:8:15508968:15509324:1 gene:ORGLA08G0136700 transcript:ORGLA08G0136700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRRVEKELGGKIKQCGDEEWLRALSTIPKGDGAQWKASVIEEDGKRAPHCLSSSPLLHAAAPLPCSPSSVEGVGGNRSTPPLLAPSAPRSHPRPSSALPLHVVGGRRQRRRHELG >ORGLA08G0136600.1 pep chromosome:AGI1.1:8:15503756:15504139:1 gene:ORGLA08G0136600 transcript:ORGLA08G0136600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITASVKPEYPVVDRNPPFTKVVGNFSALDYLRLSTISAVSVTVGYLSGIKPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNDSEVARYKYKL >ORGLA08G0136500.1 pep chromosome:AGI1.1:8:15490023:15493128:-1 gene:ORGLA08G0136500 transcript:ORGLA08G0136500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:I1QIW4] MATAPAPVSLSAAASTVPARLLVGRGAAAAPVARRRARMVVVRAKIREIFMPALSSTMTEGKIVSWSAAEGDRVAKGDAVVVVESDKADMDVETFHDGIVAAVLVPAGESAPVGAPIALLAESEDDLQAALAKAQELSKAQPQQAPPPSDAAAPPPPPPPPAAAPAAPAPVAAGTKGIATPQAKKLAKQHRVDLAKVAGTGPFGRITPADVEAAAGIETKPKVVPAASAAPVPLSAPAIGVVPQAAELPPVPGATVVPFTGMQAAVSKNMVESLAVPAFRVGYPIVTDKLDELYEKVKSKGVTMTVLLAKAAAMALAQHPVVNASCRDGKSFTYNTNINIAVAVAIDGGLITPVLEDADKLDIYLLSQKWKELVKKARAKQLQPNEYSSGTFTLSNLGMFGVDRFDAILPPGQGGIMAVGASKPTVVADKDGFFSVKSKMLVNVTADHRIVYGADLAAFLQTFAKIIEDPESLTL >ORGLA08G0136400.1 pep chromosome:AGI1.1:8:15486279:15489168:1 gene:ORGLA08G0136400 transcript:ORGLA08G0136400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 (formin homology 2) family protein [Source:Projected from Arabidopsis thaliana (AT3G05470) TAIR;Acc:AT3G05470] MGMAMRCVLVLFSVSPVLLLFNFEMLEVALHLASREKELDTAAVTPSASLSFLSRFRIMLGMNHHRSRGRRHKRCSEAPAPAPVPAHQARSEAPAPLVHVPRKGMPSTHRSHIAPARSPVHKVKDGGHTKIPRSAIVALGVVGLCLVVLGVVIAAFSVRRSRKFKKVCTKAFKPFRHGSRDQRSPAATRKVSSHPSPDPLTLSSIVQYQQNLPNLKQSSESKSLSIQSTIPMGTELIVSDHAVINNSQSDEVESFHSIPCSDLSAGSITELPQQICDRRAIMNRSEYFLQTHDSPSDSSYQSLSPDCTSRLSPKDQTFIASSHLSLRSKTCPEKSDGENAEINCHDGLEITCISGSMEHQEAPIEERARINFRNPPSQHIFPPSYRTDTSQSKINIAFTMTNSKVESSSKESSRIETSSSMGIPKPAPPPPPQKNPPPNLKGQCYGQPPPPPPLPLQIQVGKDGSPLPRLKPLHWDKVRAAPNRSMVWNDIRSSSFEFEFDEQMIKSLFAYNLQGSMKDEEAMNKTASTTKHVIEHHRLQNTTILLKTLNANTSQVCNSVIQGNGLSVQQLEALVKMKPTKEEEEKLLNYDGDINMLDPAENFVKVLLTIPMAFPRMEVMLYKENFDDEVAHIKMSFAMIEGACTELKSSKLFLRLLEAVLKTGNRMNVGTLRGGASAFKLDALLKLADIRGTDGKTTLLHFVVKEMARSKGLKALEKLNETPSSCHDTPTEREEYSSMGTEFVSELSNELGNVKKVASIDLDTLRNSISNLSCGLAQLRNLVEKDLASDDKNNNFLQCMKSFLNHAENTMQGLKADEAQVLLNVRELTEYYHGEVSKDESNLLQIFIIVKDFLGLLDKVCREMRGTKHNQTLNLVLPLK >ORGLA08G0136300.1 pep chromosome:AGI1.1:8:15484683:15485269:1 gene:ORGLA08G0136300 transcript:ORGLA08G0136300.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSLQFYCMTVRMSIDCNGCYQRIRRALLQMQDLDSHLIDRKQQRVSVCGAFVPQDVAIKLRKKTNRRVEILEIKEIDAGDGHRL >ORGLA08G0136200.1 pep chromosome:AGI1.1:8:15479010:15483363:1 gene:ORGLA08G0136200 transcript:ORGLA08G0136200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELAAASAAARRRWVQWEEVVVSNDRGRRLVHYYLRGAAAGGGGGEVRELAVVGRERSPRHMSYVVQGRFLRSLAAAGVGVGVGVGAVVAVPSPSRSPLPASAEGGAPRKWRSRREVVDWLSSLVSGCNYGSSSMSNRFNENPYDDIEFTDVAASKDVSHTSSVRNNSKEFTWLGPAWLCEKRWKHYRSFCRKGITISVHNFVYILSEEKKRLIAQVEDLYEDTNSTNVVMVRWFDKVDEVGVELPPDVGDREIFFSPGLQDLSVECIDGLAAVLSAQHFEKFQSSPKHSYWQPYICRRQIDEDGVKPFDVTQLQGYWSQEVLRTMFNAASSLKVRFKVTKGASSSDGAQKRKRDAFSETDPQQCVPSAAFGSDSLKNDLEHKTQKQLYPGSRAEVLSQDSGIRGCWFRCFVLKRRGDKIKVRYEDLQDADETGNLEEWVLLTRIAKPDQLGIRIPERPMVRPYHVHSKDPCSFDAGSIVDAWWNSGWWEGIVLQQGNDRRLQVYFPGEKQIADFCEDDLRHSREWAGGKWNSLGERKDITHLLPPTSVHEEGGLLSKPVSQEGNLSSKLESDKRCDDKSLGTKISHDQKHQKRVLADLTNALKFDNLKWRPRKRSRRSGSKRQSDTSSGSGSSSQGDMEESSPCGSFAVLNSAPDEEVCKSSGEPLFMRVSNLVMSR >ORGLA08G0136100.1 pep chromosome:AGI1.1:8:15473323:15474278:-1 gene:ORGLA08G0136100 transcript:ORGLA08G0136100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRIGFSDNKLLDDFGCGDLTRSKYIRHISSQEQLSTRVGCGQVHRIIENDLDKCRVVAPNPPTKTDELSHSAGLLTENTRPGRSA >ORGLA08G0136000.1 pep chromosome:AGI1.1:8:15465562:15465918:1 gene:ORGLA08G0136000 transcript:ORGLA08G0136000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLPYVSIRDDLSVTVRHIQFRLVTLMKEQEEEKEVSVADRDSSNPGRQLHAHFTQKSTLQTAPCAAAASSRPAASLVRFFHLVQFHPVQFTELSTATSVQALFRRLIGPLTDVLAC >ORGLA08G0135900.1 pep chromosome:AGI1.1:8:15455590:15459034:-1 gene:ORGLA08G0135900 transcript:ORGLA08G0135900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPASSLPTAVFAWGSGEDGQLGMGGYEEEDWARGVAALDALAVSAVVAGSRNSLAICADGRLFTWGWNQRGTLGHPPETKTESSPAPVDALAGVSIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEAERKEDGTRALRRDIPIPQRCAPKLKVRQVAAGGTHSVVLTQDGHVWTWGQPWPPGDIKQISTPVRVQGLENVKVIAVGAFHNLALTEDGILWAWGNNEYGQLGTGDTQPRSQPIRVEGLSDLSLVDIAAGGWHSTALTKEGEVYAWGRGEHGRLGFGDDKSSHMVPQKVELLAGEDIIQVSCGGTHSVALTRDGRMFSYGRGDHGRLGYGRKVTTGHPMEVPIDLPPPGTSGGDSGGQWQARYVACGGRHTLAIATWTEADE >ORGLA08G0135800.1 pep chromosome:AGI1.1:8:15451993:15454478:-1 gene:ORGLA08G0135800 transcript:ORGLA08G0135800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMVAAAAPPASLCRPIAVPRAPMRRRRLTPRVKTTPSLRCEDSPKIAQLQVQAMRSSEESSSDEDDEILSELKEKWDAIENKSSVLYYGGGAIIAVWLSSIVVKAVDSVPVLPNILELVGLGYSGWFVYRYLLFKENREELANGFDALKKRITGNEE >ORGLA08G0135700.1 pep chromosome:AGI1.1:8:15447249:15448833:-1 gene:ORGLA08G0135700 transcript:ORGLA08G0135700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNEEHVTLIKEYRGKIEAELSKICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTMVAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGGDEVKEASKGDAGEGQ >ORGLA08G0135600.1 pep chromosome:AGI1.1:8:15436960:15439459:-1 gene:ORGLA08G0135600 transcript:ORGLA08G0135600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEKTTRAGSESSGHHWAGYSCSAGEASSPRLPLRHRPPPRDFRRYIIFGCPYRHPKKSSMHYHFMGYHLMGRPLKLAWFDPKDFAVLRDIPTRGERMPNYLMQTVCVTGFDSSLEIGTIRHALEEILANDHMKKLVTPVNLDGTSTGKAYIRYDVASSYNGALHCDGVSEIGGRILRVTKWPDFSWCKKRRIGRAGCDKDDAGLAVPDQDDTPKWHTPSTGKRTLFDDGSGDEAGVTM >ORGLA08G0135500.1 pep chromosome:AGI1.1:8:15431544:15435688:1 gene:ORGLA08G0135500 transcript:ORGLA08G0135500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSIKTLKGSSFEIDVEPTSKVSDVKKLIEVTQGDNIYPADQQMLIHQGNVLKNDTTLEENKVVENNFIVIMLSKKGSSSAASSTAKEPTKQPSVDRAIPTAPATQPPAPPAPVSEPVTAPVPTATTASAPAAAVTAASTEADNYGQAASNLVAGSNLEGTVQSILEMGGGAWDRDTVMRALRAAYNNPERAVEYLYTGVPEQAEAPAAVQALPVPAAVQPVDPSQAPQSAQLSIPSSGPNANPLDLFPQVLPNASANAGGGNLDVLRNNSQFRGLLSLVQANPQILQPLLQELGKQNPQILQLIQENQAEFLRLINEPAEGAEGNLLDQFAAGMPQTVAVTPEENEAIQRLEQMGFDRDLVLEVFFACNKDEQLAANYLLDHMNEFDDDAPEPPQ >ORGLA08G0135400.1 pep chromosome:AGI1.1:8:15428183:15429267:1 gene:ORGLA08G0135400 transcript:ORGLA08G0135400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRIPREYYDERRGFRDGPPPPLARARPISPRRLEEELSSRRAEMRRIHDDNQRLADEIVGLRQAMPRLKEDLHAASQAIPKLRAEKELESRELTQRNLKLEAELRSLEPLRQDALQLRSEAGKLQSIRQEMTAKVQGLLKELEHQNSENQKIPVMIAERDALRQELVRMRGTLEYEKKARPDLTAQVQAMEKDLVSMAQESEKLRAEIKKRNAPSFSGHGAYGPPMATPGMGLQDPSIGSRYGTGSWAPHDPHGYPQL >ORGLA08G0135300.1 pep chromosome:AGI1.1:8:15409401:15414868:-1 gene:ORGLA08G0135300 transcript:ORGLA08G0135300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAGGAKEDFKGSIGPEGAVDVKQSLVSLGATGRRPGFMIRLCTCTGRPSSLRNLNNSGVSMTCKGCGGESTTDRAGPSCSSKLNTMGLELPRPIDPEVRWKTVNRRQRAARRARTSFSGEDRIRDEIRSFYACGNATNQELAQDDAPVSESEKFGVSILGRRFSDPVGNVPIKKRRFLMDCSPSPPPTPLLVDPYEKLLSRSCGGISSHGKHHKVKTPRLDYMEETKEHFGVDDFSGISILAAAACTSELDDDTLNVECSKSAHCVERKPENITGSSELNFLNNIKEDMLNSLDASNCKSDPLLESSESVPDTKPVVATRLNCENLVESTHTQKDCSASFSALSSANKADDSSTASDTKSSGVTMSINASNPDKSVGGLQDAVVETKHSNGTRDSRLHWDLNVAMEAWDTDCGGDDVPDAADPDPVAFAISSCSDAENVLNKLQVCQAPFDSTIAGNIPDLSEDKTPVIDAPKDASTKSESDFAGDGSSQPLCSLSPQNVPVLESRPLECDGLSAGTKELPDNNDISKVKSQLGSDPDCSSLPPMTERFALTVIEEKLDVSHASALDCVGLSQMVSTDGCDGINSVQMSELGSRVKPFTSRLVSEESTNLATVTVFNKSSTDLGWSNDKLGQTSQQSISELKNQDLLDVDSGTSKIGQSGHDKVEHVTNELSISKKAADVDDDLDLSDSHMNDNPGSSDRGMSHAHEEEGADATISNNLTCTDSSNALICRIDGACVAPPINSECIKSSTTDMDSIADSQSAEQSYLGKVLSNNFMEHCNETEAPHIIKDLAGTGNIVAEEDDSQYEDGELRESGEYWGDDCYEEVKPANYQVLDCKSDAPGISPFPLGSMSKNTGDRVANFNGKHSRNGGGDVSPAALKRSWSTTCIDDGSGTMCAGSTGEKALSVHLRVNGETRMYEMNPGRVIAGSSATVNQSERVNDGLGDDLSSLRTKPTGWDMLPEDQRHSQHDSRDTVDSSNRCVLSTSDTAGGGESLRHMELSSGDMQPRADRPRSFDRAHINELCRSDDGYGSGSKAERTIDIRKSHERGGASRHIQGSSRVEQWVENSNNSRTTWRKSPDYYNYGLPGPRNAAEAAVAKMQSNGFVVAPDGTLVKAVDTANASKMARRVRNNTLSSSYRPLSGRGSPIDRDGGCGVSRGPAHAREASPERRFSTSGNRSVRYGPDMDKDHANVNMSSARCSLASRQRRFPQHRASLNLSRAHSRSPSGSRSRSPHAWTSPRNRREVMVNGSSSLWRHSRSRSPPNYMTEVRMGRMTSPSRQPGFGDRVMRYSPSSRDRAYSQHASTWADGRNCSTVDLPDHKKRYSRRSPPLRVTSRNDRFDVDSHGRPRSGELYRPTQGRLPYGFERGRGNRHDGNGDDQREYADRYETHSAKPYDRNGATKQFRNHTGDKLRPCISAPRSPEPQRRGSPRRFDRGFGRQLGVDLPRGTKEDNKNPFRYD >ORGLA08G0135200.1 pep chromosome:AGI1.1:8:15401060:15401921:-1 gene:ORGLA08G0135200 transcript:ORGLA08G0135200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVCVTVSCYYLTPARIRRVLASQGVRGPPPRPLVGNLRDVSALVAESTAADMASLSHDIVARLLPHYVLWSNTYGRRFVYWYGSEPRVCVTEAGMVRELLSSRHAHVTGKSWLQRQGAKHFIGRGLLMANGATWSHQRHVVAPAFMADRLKVTHRLATAAPLPAAMVECTRQTVRALREAVARSGNEVEIGAHMARLAGDVIARTEFDTSYETGKRIFLLIEELQRLTARSSRYLWVPGSQ >ORGLA08G0135100.1 pep chromosome:AGI1.1:8:15388031:15389345:1 gene:ORGLA08G0135100 transcript:ORGLA08G0135100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVETMQDGGFSTTKLAAIFHECDQGNHPQNRCVTPPFPATNPSPPEDRNLARAHPQIHGHLPAVRYPVLPRPLSDHLRRHLPSPRVNGDSNSIKPALADHTAQLLDLDLLPGAHARKPPGAYSEAAAAKAYPSCDAIPCDQFEVAFQAVELWIADHAVLLVKNSSHAHMHYNQTYWL >ORGLA08G0135000.1 pep chromosome:AGI1.1:8:15369988:15370773:-1 gene:ORGLA08G0135000 transcript:ORGLA08G0135000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAIAGGRRRTARVMTVDGTTYKYRPPASAAAALRDHPGYQVLESEEVRRLGMRARPLDADAPLKPGKLYFLVEFPRLARAPRRTWSGALVHVGGAGERLESMMLSRRSASDVAASPVSSVEAGEGGAVRLRMRLPKAEVARLVKESRDAAEAAEKIMQLCVDRDHSSAPATPVLRRPPPLALPLPAALVSSDKKKVADKKQRQKNN >ORGLA08G0134900.1 pep chromosome:AGI1.1:8:15337090:15343550:-1 gene:ORGLA08G0134900 transcript:ORGLA08G0134900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEFSVLGAVQKFRSLIAGPTPPAAAAEGEEVALRTSGPPSPATPTRSRSGAADTTPPLPARPGGGRRAIALRRQISSPQLLRCHAVRRGDGEDDDEPGVQFFTPGNDYLHDFSDTDSLSVSTPNGVARSLTPSPLESPTWMVGHNDASPTSKRNERLSLDSLGCDTRLNGGIADRSGGDMTRYPADFDANVWLPPSPEDEGDDVEARLFGFDYEDDEAGDSGKLLALGNFNTNKIVGVDTITDIAHKEGLRNAVLGHFRALVAQLLKGECIDLENDNGSKSWLEIVSSLAWLAAGYVRPDTKKGGSMDPTDYVKVKCLASGDPSDSNLVRGVVCSKNVKHKRMVSEHVNAKLLILGGALEYQKVTNKLASIDTILEQEKEHLRAIVAKIESRRPNVLLVEKSVSSYAQELLAKDISLVLNVKRPLLDRISRCSGAQIASSIDNIASARLGQCELFKVHKVSEFSSGKQTNRRSMKTLMFFEGCPRRLGCTVLLRGSCREELKKIKRVVQLAVFAAYHLSLETSFFADEGATLPKVPSRPMVVVNDIRSDPSNYFAGSAGVGIPHGLKPVQGKHSEATRVNGMFKENSISPGSLSLNEEGEGVISEHRESKIPVEHMNCHDHDSFHATESCKGHKIFPCSLDHDIRTSDMVMQYQYLNDSTQLPINDDRQGMVSGKKFQEVDHYGPKPHDDYLMGDADGPNELSGEYFPATDNHQSILVSLSSTCIPKSMLCERSQLFRIKFYGSFDKPLGRYLREDLFDQAYCCPSCKESSESHIRCYTHQHGSLTISVRRLLSQKLPGERDGRIWMWHRCLKCEPKDGVPPATRRVIMSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRFYGYGNMVAFFRYSPVDILSVNLPPSVLDFNCRSRQDWMRRMAVEIYSKMETLHSEVYDFLHHNEKSVTSEDEPVKAGVQRQIIEMKDLLKMERNGYEILLLPVITDSNHSVQVSIDVLELNRLRRGLLLDAYIWDRRLCYIDSLLKKDSHVSNPDIFLDVRLKEWKADLLVGDTKIGKSTNLSQSSGSPRKSLLSREGCLNDTEYRMGETNSQIDLVTHPVDDAEDLDKVFRRFNGETEQPVTTATMGKEPVERLPSLASIFSDKIDLAWTGSSEIQDDLLQGFTKIDEYGSFNFPDNPSYGNSVTPVRIHSFDSKFAIHQRERNGLAPTSLHLSSFRSAEYFGDFTSILKDPMPNIRRACSQRSPGAVEKLNVVLTRTLTYISSASHMIDDGARLLLPQIGYEDDAVIAVYDDEPTSIVSYAMTSQEYVQQVTRKLNSSLSFLHLPNAIDSSHGLDGTLLSQEDHLDSKGTHFKFSFDDESPLSEDKAKFSVTCYFAKHFAALRKKCCPKDIDFIRSLSRCKRWNAQGGKSNVYFAKTLDERFIIKQVTRTELESFVEFAPQYFRYLMESLTSGSPTCLAKIVGVYQVNIKGLKGGREVKMDLMVMENLFFERKISRVYDLKGSLRSRYTSSESKVLLDSNLLEALHTKPIFLGSKAKRRLERAVWNDTSFLATADVMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGILGGPKNESPTVISPMQYKKRFRKAMSKYFLTVPDQWSS >ORGLA08G0134800.1 pep chromosome:AGI1.1:8:15319238:15320480:-1 gene:ORGLA08G0134800 transcript:ORGLA08G0134800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VWQLVWPLMVATDVLVGGGGGSWYLCASWTANRRHGGQIIPEQEPQSKMEFPDLDGLGGGGGGASGSGCRHLARVRVCVGGGDGVCIAPGTSVAVVEVATVSALPSALRHPSSPFAVPELAVALFPSVAPVLAVAPAGVGMCAVIGASSSVGGNGWLVVIYILLQAEAFGSLVIGCLFCSKSYGSMLQVVLSLAISGLKARQKSIGSLSKAPLLLVGRSTFWPSLLIPSSRSRTWFVIRVELGPPVQFRLSGLLEFLRFNDESHGDALLSPVMLTPKIYGSTTNLDLVPFPWRQPKGINGLSSGVHFN >ORGLA08G0134700.1 pep chromosome:AGI1.1:8:15301320:15301805:-1 gene:ORGLA08G0134700 transcript:ORGLA08G0134700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLAPQENVIITAATDIVAAPMPDPPSLRSSTLPDPACHCGPSAGSTATEVPHAGYAAPPSSSHGEEEAARRRRRGRGEEADGVDPVRAVTTKRQRRGGRRCRPRRAATMKRQRRGGAKSHSLEVAQAGADPPMSTGVKKGDRGSLTAARCLMGVGLAS >ORGLA08G0134600.1 pep chromosome:AGI1.1:8:15287055:15289297:-1 gene:ORGLA08G0134600 transcript:ORGLA08G0134600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGAIGRKEEAAGKPEEHSVSRDADYRGGGGGASAAMQWQFPATKVGAASSAFMSFRSSAAAAREEDSKEAAVFDRFSLSGFRPPPRPSPGDAFDGAAAMKQRQFGFDGRQQYAAAAQHGHREKGVDSYGVAAPHHFPSPSPSPRHPVPFGHANPMLRVHSLPNVAGGSPYRNQSFAVGNSVAGSTVGVYGGPRDLQNPKVTQMTIFYDGLVNVFDNIPVEKAQELMLLASRASIPSPPSAARKSDSPISAAAKLTVPEALPARQIVVQKPEASVPLVSGVSNPITIVSQAVTLPKSSSSSNDSVGPKSGGLPLAVTPLSQASPSQPIPVATTNASAIMPRAVPQARKASLARFLEKRKERVSSVAPYPSSKSPLESSDTIGSPSTPSKSLCTDITPSTNNCEDSLCLGQPRNISFSSQEPPSTKLQI >ORGLA08G0134500.1 pep chromosome:AGI1.1:8:15283789:15284250:-1 gene:ORGLA08G0134500 transcript:ORGLA08G0134500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGERRNQSVLWGGERDWMRPRPTRREQTQQHSSTSTSTSTKRARWQGEDDEEDDQINGLPDRLELRRARVPSARLMPQFLYPFVVLLFLLLPHLFFCVCDYDTPIFFLDNKNDLHLRPLRISIWPISQEKLNKNTRGYGTNPHLPTDDISS >ORGLA08G0134400.1 pep chromosome:AGI1.1:8:15262955:15264120:1 gene:ORGLA08G0134400 transcript:ORGLA08G0134400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLITFLLTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDAEEKIVVDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPLTHRPLQPPPSPSPEKKHAERKNTAAAAAVAEQHQHDELWEEESPGFCTDEVPMIHPDEIMVPLRDHPPPVCTAAGASTPTTSSSSSSSSAASSTTSCDEVDAAALLPVLEWPDDAMCLMELDELIAAAAPPSLLWDDDYRLPLPPPPPSPPAMYEELDAFQCYDQQRSAFEQEAAASAWNKLELF >ORGLA08G0134300.1 pep chromosome:AGI1.1:8:15253848:15258248:1 gene:ORGLA08G0134300 transcript:ORGLA08G0134300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G01620) TAIR;Acc:AT2G01620] EPHAALLLSLAYLPLRELLSCAGACRRLRDAVAGDPLLWRRVAVAAPPLARRLTDEALLALTGRAGGTLRSLRLLGCTRVSDAGLLRVVERNPGITEILSMESSTLLKDTMISTRAKIYKLYMPRCTGLTAEGVVKIVQVLYECMGNLNRIRLHGICRMTKHHLDAISSAMYKGNQQEDDQSLFYSHRVHEVLNTNDERRIDVDVCPMCTNVRLVFDCTRDGCRRKDSRAQCRGCFFCVARCETCGGCIDLEELSETELACSDFLCMECWLPLPKCSTCNRPYCKRHENLKVDLSPSPQFTCHRCVEFSSNSLENLEESS >ORGLA08G0134200.1 pep chromosome:AGI1.1:8:15246515:15246856:1 gene:ORGLA08G0134200 transcript:ORGLA08G0134200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGEGEVQATEVWPSVQEATRVLERFKAMPQLDRYKKTMDLDGFIKERTDKLQEKLHKVRRDADESETKILIVEAFYSRCAGLEDLTIEQLTSLGWIADAQLKIVNNHFQKFR >ORGLA08G0134100.1 pep chromosome:AGI1.1:8:15236121:15239924:-1 gene:ORGLA08G0134100 transcript:ORGLA08G0134100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYARRGGDRVSGGGRVQGGGGGGGGGGRGGYVLRGRSGMPPRGPLGLGVNSRPSARTIAKSFSRTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHFDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRPTRTVVMTPEIGQRGSGSSSRPTGPTVNRYNRGAFQAGRGRGRGRGRAPFQSQFQGRGTGSVRGRGQFQGRGRGRRQAGKTADELDKDLETYHAEAMKTD >ORGLA08G0134000.1 pep chromosome:AGI1.1:8:15234955:15235493:1 gene:ORGLA08G0134000 transcript:ORGLA08G0134000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMLEEQGESRRVVRLEQEVLIHIQVRRIKQEDEKARVLLQRLQLLEMRPTATAVIGFREPARPPSPSPLRRAGCIVLVFLFDPFLAGIASDKNAEVTSASKADEAKRED >ORGLA08G0133900.1 pep chromosome:AGI1.1:8:15230169:15230675:1 gene:ORGLA08G0133900 transcript:ORGLA08G0133900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:I1QIT8] MAGRGKAIGSSAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGAVTIANGGVMPNIHNLLLPKKAGSSAKAAAAADDE >ORGLA08G0133800.1 pep chromosome:AGI1.1:8:15223366:15226250:-1 gene:ORGLA08G0133800 transcript:ORGLA08G0133800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRRRDVLLLLLVVVLVARAAAADMAGDRAALLALRDAVGGRHLPWDPSAPTPCGGTWRGVGCSASGDRVTELRLPGKSLRGAVPVGTVGNLTALRTLSLRMNAISGGIPADIGGCVQLRSLNLSGNRLAGGLPEGLFSLALLEKVDLSGNRLTGGVSPEFSRLASLTTLNLDRNGFDGTLPGNLTLPKLARFNVSYNGQLGGAVPASLAGMPASAFLGTSLCGAPLAPCANPSPTPPSPPGDSKGGGKLSRGAIIGIVLGAVAALVVALTVGFLACFLRRATAPRSRSTAAAAAAHDVAEPITVTVARTDMDAAVKQSHSPPPPGEGSTKLVFVGGAPERPYDLDTLLRASAEVVGKGAAGTTYRATLDGGEPVLAVKRLREVSLSEREFRDRVAAIGAVRHDSLPRLLAYFYSREEKLLVYEFVVGAGSLAALLHGNGEKLDFAARARIALAVARGVAFIHRGGPSSSHGDIKSSNVVVTATRDAAYVTDYGLAQLVGGAAAPPTTKRGAGYRAPEVVDARRVSQSADVYSFGVLLLELLSGRPPLDATPDGGAAVDLPRWMRSVVQEEWTSEVFDAAIGNEARAEGEMMRLLQLGMECTEHHPDRRPAMAEVEARIERIVEDACRNADSGSTDGSRSMSA >ORGLA08G0133700.1 pep chromosome:AGI1.1:8:15216169:15222782:1 gene:ORGLA08G0133700 transcript:ORGLA08G0133700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Rad4 family [Source:Projected from Arabidopsis thaliana (AT5G16630) TAIR;Acc:AT5G16630] MRTRSQRDGHHAAAAAAAGPAGASGGSGGDVARGGGTPRRRRRASPAAENGKSPAKVEMESALEDKGKNVKVHAEGYDDAGMTRFGRDGSEKNSLEEEDPDAADMDWEEGIVFAAEHDECYSHELGETVTVEFTDLPSSTEKKTARRLTAEEKELAELVHRVHLLCLLARGRVIDKACNDPLIQASILSVLPQHVLRNSVDTPILKANELRSLVSWFHNTFSVIAQSDNKGSFKSNLAFALQSYVGTAEEVCALSVALFRALNLTARFVANLDVAGLKPDTKSMGTSNQDEPRLCTKALPSSSFVAGHNEYNNLSPVLSQNNTEGSINTTPKQVKVQGCRKSLSKKLSKCKANQRDSSASLSKDSSSSSQYPSTSSNAEVPRRKGDLEFELQLEMALLASAAKSQDNKLATQLNQSTDSLLNSTPPLKKLRKSEEASSNSSVVWSRNRAPLFWAEVFCGGEALSGRWVHVDVANDIIDGEQKVEAASAVCRKPLRYVVAFAGNGAKDVTRRYCLQWHRIVQGRVNPEWWKSVLAPLERLELAATNNTEEMELQTRALTEPLPTNQQAYKDHHLYALEKWLHKNQVLHPKGPVLGFCKGNPVYPRSCVQTLQSRHGWLREGLQVRENELPAKVVTRPKRTFNSQSIQSNSNSNEDGLKPTMELYGKWQLEPLQLPHAVNGIVPKNERGQVDVWSEKCLPPGTVHLRLPRIFQVAKRLGIDFAPAMVGFDYRNTRCLPVFDGIVVCSEFKNTILEAFAEQEEWRQAEERKQEEAQALIRWYQLLCSVVTTQRLKDSYKAPSSEHGPEGPSQDVSQQKGTRESRSSETKTRSSRLQADRPFDSLFPVHDHEHEYPEEDQSFDEETFVRTKRCPCGFSIQVEEL >ORGLA08G0133600.1 pep chromosome:AGI1.1:8:15210227:15214431:1 gene:ORGLA08G0133600 transcript:ORGLA08G0133600.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGALVGGGGEGPQLCVFDLRRGQQEGQELDKILFFHPTDCPILLQLSVIGLCEGIITFARIFSPDDDCEVIESEKHSHVFYQAEADIWMVLVVEKNKDIESTWRCGALQGILKEVHSLFTMFHGPIRTLLDRQPSAELARGHLRTFFTDYLSDFNAGKKIQLPTFRDCLKERGTVQMLTISREVALEVQSLTTVLGSCLGNVMCQSLVLFEDLLVSTTLPPDDTLNLYTYAILRLTPRALLSNATSWSYLRKGTSVHAGPTSSSSNGTASVERPLQREKLYKGKDGFVAAGSTTSEVRGAVAWVPILWFQQAEDRMHLCVYQHKNITILLLIPASSLINGDDGIAHVKRHLLENASQNIVTLELKLSRGWGGENAYHVGGYRYLLVDPDRKVSRASPPGKVTTLSKDSLLSLNRLREEIDLEKSRAKRSDSCHDKDFEVCIRAKNNAWVIAKVTRGRELYMALEKAGETLLYASTAIEKFSNRYCEGAFSTD >ORGLA08G0133500.1 pep chromosome:AGI1.1:8:15192657:15197985:1 gene:ORGLA08G0133500 transcript:ORGLA08G0133500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSTTPDLSLHISLPSGGAAVGTTAPPGLGNGGAVGGAGGGKAAGGGDPWRRLDGSTASTELSLSTPQQQQERSTSAADVLPWRLRQPTAAAASVPVTLPTIPMDGSAAAARAPIRGVPVYSGGGGGGHPFLGGGGGDHRHNRLYNPYHSTAWPSSSPAPAPPPPPAALDPTTSSLLSPSAYHRMLSSTGRLHGVLADTLRGYAGAAAVSGSIGYGSVAAAAAAAMGGYGGAGAGGGFASSRFMPRLLPASRRSMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAAASGPMDGSGSGSGSGDDELLAGDGRKATSSGADADRRMSFTEHRSSSEGAASHAGGGGDGDCSSSAVNSDTIRARSNSPRDLWLSSSVCNMDPQHLVTVEDMEPCRSSSLQVSSHELSSPSLEFTLGRPSWHSIDHD >ORGLA08G0133400.1 pep chromosome:AGI1.1:8:15155224:15157074:-1 gene:ORGLA08G0133400 transcript:ORGLA08G0133400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSLASSHLIDLHRAGSASPSPLPRRIRSSSASGALVAARSASSPWPDPARLPSSFLLKPAIQASDAVLEAASELGFLDTATAVKPSMAXLYEQTSRGLPPAVVLPGRKGITXYLVSITFYVVRIVXYLTGITXYFTSITXYVIGIAXYLIDTXYLAGIKXYLPGIMXFYHVSGIRRYSRGIMXYLSGITRFLQGIGVILGRYHMILTRYRSWASRRRGIVADGRVLPHPCSTLNPNLIAGSRILPRPWSTSELVAGDRVLRRDLVTGGHVHRPRLSRWLHPPRRSSSPVPPPSPRRILRHPRPTPELVAGTVSVTTQDHLPSMRPCPTPELASGHVLHAGARRRQPRPPRRSSVAAAAAASAPPTSASSMLLPWWPSFSRLREVDRERSRWDSIPTGYQYIAATKSKAQIVKACPIEHEYS >ORGLA08G0133300.1 pep chromosome:AGI1.1:8:15146762:15147872:-1 gene:ORGLA08G0133300 transcript:ORGLA08G0133300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTPPSPSLHLSPLSSPHLPSLHLPPAELARAAARVDVGATAVPGRQRRARVRRRHRQRRAGRGVVTRGQRDLTITAPAPVSTVLRAALRPRRHPLPPAPPHAAPSPSRLRERRGERMQSGGRRPSIGDTATREKGRRGSAATWEKRLHGGGGLGGLGGAEVDSHLENKFYASDLANNLQLDKDDDDDVLVDGSDNALADQVDWDWEATYFVQHRHKNNAADFPDIPPPARESLDAYITQAVSLAELLAGCISTNLGLGDTDRVRDAFAPPFVGTRGGARRRGTR >ORGLA08G0133200.1 pep chromosome:AGI1.1:8:15144452:15145944:-1 gene:ORGLA08G0133200 transcript:ORGLA08G0133200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPPPPPPAAGRDWSDLPADMVDTVLCKLELPDFIRTAAVCTCWRAPALDLRRRGVYSFHRTPCLLYIPAAAAANGGSSTRSAELYCLADERPYTVTLPDPPIAERSIVGSSHGWLVTADALSELHLLNPVTREQIELPPIATLEQVRPILEAAGDGGDLRGYEVSFYDGDMREYRAPGIYRPDELRDLLNIKAILSCDPSSSSSRRRGGEGGEDGCGGCIVLLIYHVYQQPSFARVGDDKQWHWITTSSYYRSPYSDIAYRDGAFYAMNLLGGIHRYDIHHSRATRTVVLADTLGYTLHHAYMAWTPSSGDVWRLTHLPEDEEDEELRTVGFHVYKVDFDSQDVVPIDSLGDEALFIGHNGTLCLSTKDYPALLPNHVYFTDDDEY >ORGLA08G0133100.1 pep chromosome:AGI1.1:8:15133146:15136332:1 gene:ORGLA08G0133100 transcript:ORGLA08G0133100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDYNDMDMGYEDEPPEPEIEEGAEEEPENNNEDAVDDVVGAEDDREQEKTARPWKTSKYMTKYERVRILGTRALQISMNAPVMVELEGGTDPLEVEALERVYTDCPKPTSARRQQLLCECPILANIEPKQIKFSLFSVTKTLVMAVLGTQVAAHHNGHGMSHENHTQESESSVEQHDPESQDDISIKATPADESPPEETSAAPTKE >ORGLA08G0133000.1 pep chromosome:AGI1.1:8:15126741:15129820:-1 gene:ORGLA08G0133000 transcript:ORGLA08G0133000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDAAAASAKAPNGEASGGGGRPVEVEDTLPGVLRSFVDGVCSQGGGGGGGGGEPLLRRIRAASRETAPRLREASRNSARDLLEWTRRGSGLRAILVISVGTITLIALTGLLIFMFFLLVATTNAVVVSVLMSLAAAGGFLAMFFACLVAVYIGVVSVAVFVISATVISAIVGVMIATGWLGFFWMIWFAARKSMDLTKHSIGVTNSAIQSYSASRHAKQKPID >ORGLA08G0132900.1 pep chromosome:AGI1.1:8:15124462:15126064:1 gene:ORGLA08G0132900 transcript:ORGLA08G0132900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:I1QIS8] MASLSVPPVPTDPRRDAIDLHRAFKGFGCDATAVTAILAHRDASQRALIRRHYAAVYHQDLLHRLAAELSGHHKRAVLLWVLDPASRDAAVLHQALNGDVTDMRAATEVVCSRTPSQLLVVRQAYLARFGGGGGGGGLEHDVAVRASGDHQRLLLAYLRSPRYEGPEVVDMAAAARDARELYRAGERRLGTDERTFIRVFSERSAAHMAAVAAAYHHMYDRSLEKAVKSETSGNFGFGLLTILRCAESPAKYFAKVLHEAMKGLGTNDTTLIRVVTTRAEVDMQYIKAEYHRSYKRSLADAVHSETSGNYRTFLLSLVGRDR >ORGLA08G0132800.1 pep chromosome:AGI1.1:8:15115673:15117481:-1 gene:ORGLA08G0132800 transcript:ORGLA08G0132800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKHPSSKLSEILRPGKLLHRRRRLVSEFADVGREDALHESDTVKYRVFAGTWNVAGVAPPDDLDLGDWLDAKADSYDIYVLALQEIVPLNARNVLGPTRSSAAMKWNSLIGDALNRFTTGRRRRDDDDDGDDDEGAQRHGQQQQPFRCVVSEQMVGIFVSVWARSGLRRHVRHVAASCVGAGVLGRLGNKGAVSVRFLLHGTSFCFVCCHLASGGKDGDAQLRNADAADILSRTTFRRRTTAAASPAPEELPLPRKILDHDRVVLLGDLNYRIAMDDAEARLLVRAGKWSMLLENDELLLELAEGGSFDGWREGLVTFSPTYKYHLNSDMFYWSIDAAAGGGDKQQQRAPAWCDRILWRGKGMRQASYERCGGYRISDHRPVRAVFDAVCELAGGGVGVEHSAAGIASFGHVPLL >ORGLA08G0132700.1 pep chromosome:AGI1.1:8:15092255:15093850:-1 gene:ORGLA08G0132700 transcript:ORGLA08G0132700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:I1QIS6] MAAATTMCAAVAVLLVLTSTMAAAAGDGDGDGDGGGFDYKKALHSGLLYFEAQRSGHLPYNQRVRWRGHSGLADGLQQGVDLVGGYYDAGDNVKFGLPMAFTMTMLSWAAAEFWDEIAAAGERRHVLEAIKWGTDYLVKAHTAADELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDNPGSDVAGETAAALAAASIVFRRSNPRYSRLLLRHAEQLFDFGDRYRGKYDSSIGEVRAYYASVSGYGDELLWAALWLHRATGRRGYLDYAVAMADELGGVGWAVTEFSWDVKYAGLQILAAKVLMDGGDHPAAHAATLEQYRSKAEQYLCACLGKNAAAGDNVNRTAGGMLFVRRWNNMQYVTNAAFLLTVYSRYLRDSGGDTIRCSGGAMATGDELAAMARAQADYVLGDNPAGVSYMVGYGRRFPRRVHHRGASMVSHRADGRFVGCVQGYDRWFRRGGANPNVVAGAIVGGPDHRDRFRDSRDNYMQTEACTYNTAPMVGVFAHLHAQKMAARTANNNADRSMIKRVD >ORGLA08G0132600.1 pep chromosome:AGI1.1:8:15087616:15090481:1 gene:ORGLA08G0132600 transcript:ORGLA08G0132600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RbcX protein [Source:Projected from Arabidopsis thaliana (AT4G04330) TAIR;Acc:AT4G04330] FGEGSPEKKAARNLQHFFNYIAVRVVLTQLESYNREAYGELMDFVNRNSLNDADTFCKKLIRESPRHKQLAMRILEVRSAYVKHDFEWDNLKRLSFKMVDEANTKLMRDYVLETSHIEDDN >ORGLA08G0132500.1 pep chromosome:AGI1.1:8:15084769:15085349:1 gene:ORGLA08G0132500 transcript:ORGLA08G0132500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVERIEELIQEDHSVKHRREKIKKQSSLLSKVTRLLRIHDNRSATSNWSNDSAGSDSNPGSSGQSGDEWKSAFDPSQDVDPSAAGTNTGSRRIPSRMPPPPPQGG >ORGLA08G0132400.1 pep chromosome:AGI1.1:8:15075126:15083093:1 gene:ORGLA08G0132400 transcript:ORGLA08G0132400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELSELADATRQASALLADDDPSEQPAQRRGGSSFLTVVALGNIGAGKSAVLNGLIGHPVLPTGENGATRAPICVDLQRDASLSSKSIMLQIDSKSQQVSASSLRHSLQDRLTKAGSFGKGRSEEINVKLCTSTAPPLKLIDLPGIDQRSMDESMIGNYAARNDAILLVIVPALQAPDVASSRALRIARELDSEGSRTIGVVSKVDQVAEDQRALLAVQALLVNQGPRTAADIQWIATIGNSVPIASVQSGVGSESSPETIWKVEVESLKSILLGSPQSKLGREALVDSLAKQIRTRIKVRLPNLLNGLQGKSQIIQEELAKLGEQMVQSSEGTRVIALGLCREFEDKFLQHITGVEXXXXXXXXXXXXXXXXXXXXXXXXXHFDMKNVKRIVLEADGYQPYLISPEKGLRSLIKGLLELAKEPSILLVDEVHRVLLDIVSATANATPGLGRYPPFKREVIAIGSAALDGFKDEAKKMVIALVDMERAFVPPQHFIRLLQRRMERQRHEDELKSRSTKKVSDAEPSKATSPQTQTKEGSNLQVLGPAGEITAGSFSKNVQKQMTGVTAGLF >ORGLA08G0132300.1 pep chromosome:AGI1.1:8:15068173:15072603:1 gene:ORGLA08G0132300 transcript:ORGLA08G0132300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRRPVVQRSLISLLLLPVVLLALPTAAAAAGAGWAPFRARDLLPLLPRGLAWPAVGSVHSAVDLLPRFVAHVAPEASSAVAWRATCFADNEAVLTLTHSSSAAAAGRNATTAGAAALGGALLRLKTASAQSWTCMDLYVFATPYRIGWDYYITAQEHTFEIKAWEEPGEXXXVKQHGIAIFLMPSGMLGTLLSLIDVIPLFSNTIWGQDANLAFLQKHMGASFEKRTQPWSANIRKEDVHSGDFLALSKIRGRWGGFQTLEKWVTGAFAGHTAVCLKDENGTLWVAESGYENKKGEEVIAIVPWDEWWGMALKDDSNPQVAFLPLHPDVRARFNETAAWEFARSMYGKPYGYHNMIFSWIDTMSDNYPPPLDANLVMAVMSMWTRLQPLYASNMWNEALNKRLGTEKLDLHGIITETGKRGMSFNQLLTIPEQDDWEYSDGKSTTCVAFILSMYKKAGVFAPFTESIQVTEFTIRDAYMLKIFEDNQTRLPSWCNSGADRLPFCQILGEYKMELPEYNTIEPYANMNENCPSSPPTYTRPLGC >ORGLA08G0132200.1 pep chromosome:AGI1.1:8:15062283:15065300:1 gene:ORGLA08G0132200 transcript:ORGLA08G0132200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLTLGRGAAETVVRPAFDKVQYWIELLQGKHTSTEEMVNELDILEGLIKDTDSSSAIHTLKAARGQAEDLCASIRDVIDDAKRFARYNHQHVPLRCIRKYTHNLVGKPSICETAERIVKLRSQVRRLQEILTPFVGQGMVPTSAQAGRLQHRHVAHAEGHWEGMEEPKTSLFQYVLGRESHRQMVALVGMPGVGKTSLARYVYEDNKVKGHFNCHAWMTVEESCATKQLLLGMISRLYEEANVRLPDAINIMDEDELSGMIQRFLKQEERRYVIVFDDISRRGQLKLLSDLALPDKNHPNYGRVIVTSRNREVIESCDHTITITQLTSPDDWNLFCYKAFGSSSFSPGEEICQHRERISNLCAGLPLAIDVLSALLAKKDHSQWSSIISELESHGDLGAATEILETSINELPKNMGHKNCLLYFSMFPKSSTVSHNTLVRLWIAEGFIKRQPRQTRQAVAEKYLSDLVDLHVLMVEDSYKYGRPKNYKVHDLMHQVIQKKAENEDFCTSCSDGNQQAPERVRRMSIQIEEDDFRQNVSLSKLQTLFISNKIPHVPKLLSSTTALKVLSMQGSLIEEFPKEIGNLTHLRYLNLRDTKISNLPMSLGNLTNLETLNLKGTFVSELPKSILKIQSLRHLLAYRYDAPKKPERQPEAIFGVRVPKGIGQLKQMRTFSVVVADKESKIVKELINLKKLRRLGVLNLRREDGSDLCESIAKMDQLSSISITAMDDEYLDIHNLSVVPPQLQRLYLRGQLQVVPQWFTSLHRLVRLLLSGSSLNEDSINILQSLPQLAELSLIRALNVDRIECQIGGFRNLKILDLDQLNGLVNVTLHGSMRWSLTPETVAEMSKVTFLTGCKYLAQNGTMEFAL >ORGLA08G0132100.1 pep chromosome:AGI1.1:8:15056240:15058963:1 gene:ORGLA08G0132100 transcript:ORGLA08G0132100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVPSPQKKLIEVLQREQDILWRILWENIDKVKELTDSTSATLRGPEIESMPKTAKIWLHQVREINRDIEDILEKSPSKTCSSKGSNILSCITQPINFVARQRIYKQVQSLSARIDTIKLRLSLLTNFDDKEAPANPTRYQLDDRQLDMLSLDEAKVIGIGYPKAKVTQLLLDEEKQLRVISIIGSAGVGKTTLARSVYNDKKVQGRFRCHAWITIGAPIPMVDRLKSIMVQIFVEKLEEIPARLDFMDEIQIAEVIGRYLADKSFLVVLDDIWNSDTWDYLKLALPNNGQGSRIIVSTRAQEIGRDCRLASDIQIFEKRPLNEDDAWLLFCNKAFPAIQARCPAELEETGRKIVRECHGVPLLVVTIGGLMSMKEQTVQVWKNVLDNLHKKYLPEFTLPSILWFAYSDLPHHLKCCLLYFIMFPRKYSIKRMTLIRLWMAEGFIKNDQESTLEDTAGRYLTELIDRGMVQVADFYDYGRVKSCSVHDMLREIIILKSTEDNFGIPVTRGVNKVRGNVRRLSIINTNDDFLEDNSCTNLRTLFVFGASSISTTSLHAFLVGFRLLRILDLEGAPVESLPDELPDLFYLRYLSLRNTRIDKLPKSLKKMMNLQTLDLKGTYVSQLPSGITKLESLRHLLAYRYYSGRHPPYYYTLGVTLPRGIGNLKELQKLTYVEANQGNGTIEELGSLTQLRRLGIVKLHERDCMHLCSSVAKMTELLSLSASSLDDEILDLGSLNPAPQCLRRLYLRGPLPGIPSWLHSLKNLVRIRLRWSRLNEDSLKELQSLPLVELALIQAYDGTKLEFTQGFARLEILELDHLTNLEHINLEKSMPGLQKISIRSCDKLLTIPHGIEGLENLKELYLFAMPKNFVESLMTGGVKHRRVEHIPVIRHFNEHRDISLTNL >ORGLA08G0132000.1 pep chromosome:AGI1.1:8:15046659:15052508:1 gene:ORGLA08G0132000 transcript:ORGLA08G0132000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIPQRQLFVAGEWRAPALGRRLPVVNPATESPIGEIPAGTAEDVDAAVAAAREALKRNRGRDWARAPGAVRAKYLRAIAAKIIERKSELARLETLDCGKPLDEAAWDMDDVAGCFEYFADLAESLDKRQNAPVSLPMENFKCYLRKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASVTCLELADVCKEVGLPSGVLNIVTGLGSEAGAPLSSHPGVDKVAFTGSYETGKKIMASAAPMVKPVSLELGGKSPIVVFDDVDVEKAVEWTLFGCFWTNGQICSATSRLILHKKIAKEFQERMVAWAKNIKVSDPLEEGCRLGPVVSEGQYEKIKQFVSTAKSQGATILTGGVRPKHLEKGFYIEPTIITDVDTSMQIWREEVFGPVLCVKEFSTEEEAIELANDTHYGLAGAVLSGDRERCQRLTEEIDAGIIWVNCSQPCFCQAPWGGNKRSGFGRELGEGGIDNYLSVKQVTEYASDEPWGWYKSPSKL >ORGLA08G0131900.1 pep chromosome:AGI1.1:8:15031714:15038086:-1 gene:ORGLA08G0131900 transcript:ORGLA08G0131900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-methylcrotonyl-CoA carboxylase [Source:Projected from Arabidopsis thaliana (AT4G34030) TAIR;Acc:AT4G34030] SVLPDGLDRASDAHARNAAAVGGLLSDLRARVSQVLAGGGAEAVRRNKARGKLLPRERIDRLLDPGASFLELSQLAGSGVYEEALPSGGIITGIGPVHGRLCMFVANDPTTKGGTYYPITVKKHLRAQEIASECKLPCIYLVDSGGANLPRQAEVFPDRDNFGRIFYNQAKMSSDSIPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKISGVSDHFAQDELHGLTLGRNIVKNLHLAAKVPNVQNSACDYQEPLYDVQELRSIAPADMKQSFDIRQVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCAQRNIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCSKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFMWPTARISVMGGIQAAGVLAQIEKNNRKRQGVEVLSKMITFALTQWTKDEEEAFKAKVVEAYDKEGSPYYSTARLWDDGIIDPADTRRVLSLCLSAAAKPVPEDTKYGVFRM >ORGLA08G0131800.1 pep chromosome:AGI1.1:8:15028073:15029421:1 gene:ORGLA08G0131800 transcript:ORGLA08G0131800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRARLLVVVVTAVVALLLPTPAAGAEEDDQFGYIPGTPRGPENWGSLKPEWATCSSGEMQSPINLGLLDLTLAPSLGDLNYTYRNANATVVNRGHDIMVRFDGGDDAAAAGGLVINGTAYRLRQVHWHAPSEHAVDGRRYDMELHMVHLNTQNQTAVVGVLYAIGAQDEFLHKLEPYIIEVADQKDKEKIVNGGVDPNVAKEHDIVYYRYMGSLTTPPCTEGVIWTIVRKVYIDVYVVGLTFRNLFIYLAISISEIPCENFSFLYFL >ORGLA08G0131700.1 pep chromosome:AGI1.1:8:15021090:15022265:1 gene:ORGLA08G0131700 transcript:ORGLA08G0131700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIAISNQEGKEKMVVGGADPNIAKGKDTVYYRYMGSFTTPPCTEGVIWTVVRKVHTVSLSQITLLKAAVLMGNENNARPLQDVNNREIDLFFPLPLINN >ORGLA08G0131600.1 pep chromosome:AGI1.1:8:14998286:15000970:-1 gene:ORGLA08G0131600 transcript:ORGLA08G0131600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYEPGPAFEENSEEAMLDISQTESTELWLIQWPLNQLDASDFHGQELTLKLHRDGKLSSLESSSGKSYDLVSFAAQQPDATVFLPSGPEAKAVGKIARRVSLVRYPDPEELEKPGLGSLTPSSKKSAGSSKKTRSRFSSGSKNRSSQGSALSLGQQSAEPTHKHNQKRKDESSLGHSNVSGKSAEGSQVRGGDSGTTSEVPQTPGEKSKKKNKKVRIAE >ORGLA08G0131500.1 pep chromosome:AGI1.1:8:14987321:14987680:1 gene:ORGLA08G0131500 transcript:ORGLA08G0131500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGALYINPKKFGGGAKPCMIEMVSFLNCLALNKQNDDKCVRQKDLLVACTQAQKGRPKNATKTINYHLQRLARDKGL >ORGLA08G0131400.1 pep chromosome:AGI1.1:8:14979680:14982067:-1 gene:ORGLA08G0131400 transcript:ORGLA08G0131400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT2G04620) TAIR;Acc:AT2G04620] MAGRHAPHLRLAVPPRLSPHPSFRFPTTPLPTPSKTRLHHPSSSPYAAALLRLLALHSLFLLAPAARAPASSFPALPPLLALLSAAVSLLLPPFSAAAAAHHPFPAVAHLLRPAALLALALLLRFASLRLLASPGTLVLAESAGALLARALRRPSRRRVVSVAVASTALFFFASTSSSPSHLLVLLPFASGLVSSADQTPSVRHVTRGRHARAAVFALAAAFLSVPAVLGLFFVGGSDAGDGDGDGGAVLPISQLWWLLLNAAVFGMVLGRRPDYDGSSSRPSVDFAMTFLCTLVLELVYYPKLSLPGFLICGLLLWIASRELAASGYVELGSADVSESVYEAIMGPVRHILSERKSRKIAAFLLINTAYMFVEFTSGFMSDSLGLISDACHMLFDCAALAIGLYASYIARLPANGLYNYGRGRFEVLSGYVNAVFLVLVGALIVLESFERILEPREISTSSLLTVSIGGLVVNVIGLVFFHEEHHHAHGGSCSHSHSHSHSHSHSHSHSHSHVHGHEDHHNHDHAHQGVNHNGACCEHHGDANKSHHHDHHHDSNNEESHHNSLTENCKENHSHCHGHDHHHHHHDHSEHHQQSGDHAYQDISNISSDPAILEIPLNIIHSHCSEAHSCNGGLQSSENHNKSRNRHHIDHNMEGIFLHVLADTMGSVGVVISTLLIKYKGWLIADPICSVFISIMIVSSVLPLLRNSAEILLQRVPRSLEKDIKEALDDIMKIKGVIGVHNFHVWNLTNTDIVGTFHLHITTEADKSSIREKASDIFHEAGIQDLTIQIECVKR >ORGLA08G0131300.1 pep chromosome:AGI1.1:8:14973075:14978798:1 gene:ORGLA08G0131300 transcript:ORGLA08G0131300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene beta/epsilon cyclase protein [Source:Projected from Arabidopsis thaliana (AT2G32640) TAIR;Acc:AT2G32640] MIMDKISSGEEIGGAGGAYSYNALKRLDQIWSSICEVQSDSKVPEVVTRVQGPLVDYDLGDGSEIFDVLVCGGTLGIFVATALSYKGLRVGIIERNIIKGREQEWNISRKELMELVEVGILSEEEIEQIISSDFNPSRCAFESKGEIWVENILNLGISPAKLVEIMKERFVSSGGAIFEGKSLSSISVHDDFAVLNLSDGGSLPCRLVIDAMGNFSPIVRQIRSGRKPDGVCLVVGTCARGFDRNTTSDIIFSSSSIRRAGNSGVQLFWEGFPAGSGPTDRTTYMFTYVDPHFGGPKLEELLETFWDLMPAYEDVVLENLDIMRVIFGIFPTYRNSPLPAAFDRVLQVGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAVSGDLLDALSLQLLNPYMPNLSASWLFQRAMSARPQIDVSPTFINELLFANFESMQKLGDSVLRPFLQDVIQFGPLVKTLGLVMITRPQILPSIFKQAGPGVILNWSGHFVMLGYYTFLSTFIDPVLRPWVESLPLRNKYQWKRYLEAWKYGAGLDYRQGE >ORGLA08G0131200.1 pep chromosome:AGI1.1:8:14966154:14969036:1 gene:ORGLA08G0131200 transcript:ORGLA08G0131200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKRDVDSYTISGTNKVVRVGDCVLMRPVDSDNQPYVARVEKMELDGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDMQSANTIEGKCVVHSFKNYTKLDNVGPDDFFCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCDDCKDWFHPSCMSMTIEQAKKLDHFVCSDCVKENGAKRPSHAYAGSTKYEPKAESKRQRR >ORGLA08G0131100.1 pep chromosome:AGI1.1:8:14957094:14958945:-1 gene:ORGLA08G0131100 transcript:ORGLA08G0131100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1QIR0] MGAPRARRWKLLLPPFHSAKRKPPLPPPPQAPVAVAPAPGKEEEEEEVVPEEFLCPISGAPMADPVILPPGRTYERACVDACAGLSLCPPGASAAAAAIPNDALRAAIRTWCARHGRAPPAPPSAAEAREAALLRAVPAAAARTTTVAAMVPARSSSNLSCSSXXXXXXXXXXXXXXXXXXXXXXRAKEVAELRVAEAEEEMEKEKEVAVLRVAEAEEEKEVAVLRVAEAKEEEEEVVMVVAKVVEKGDEDQVEAAMAVLLRETRESEERRRALCVPRLLAALRRVLHSKRHTPKAHADAAAALANLTNEPENRIPIVRAGAVTALVEVLSLGTASPEACEHAAGALFGLALDEENRAAIGVLGAVQPLLDLFTARDHAPRARRDAGMALYHLSLSAVNQSKLARAPAAAKNLLSIASDSTTAEPMPIRRLALMVVCNLAKCAEGRAALMDTGAVATVSAILSDDTHRSELEELCVAALFGMSRGNPRFRGLARAAGADRPLILISERAPAGVHKEMARKALRVVLGLGDDSERDLPGFMNSERNVNGIAGSSVPVHRRRAASWAAAPPPAPTPPNAHQWRSVCID >ORGLA08G0131000.1 pep chromosome:AGI1.1:8:14948645:14953393:-1 gene:ORGLA08G0131000 transcript:ORGLA08G0131000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAAVGGGGGFQELFDSVRRSISFRPGGSAAAATLDEPASASGGGGFRGRISTCLRKSRGMGLLGMVASKSPSPPRRRLLPPPPSPPPAPTKSLSPQPAAAAAVVSQGGDGAGGVGGEEENPPIRWRKGDLLGSGAFGSVFLGMDLDSGELLAVKQVLIGSSNATREKAQGHIRELEDEVKLLKNLSHPNIVRYIGTVREENSLNILLEFVPGGSIQSLLGRLGSFPEAVIRKYTKQILHGLEYLHRNGIIHRDIKGANILVDNKGCIKLADFGASKQVEKLVTTAKTMKGTPYWMAPEVIVGSGHDFSADIWSVGCTVIEMATGKTPWNQEIQEVSLLYYVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTASDLLLHPFVTGGLEDLHQVNHAAHKETSELPAYDMLTGDLGLNHSGNPSNLNSYKSSDVRPIWDGHSSDDMCQFADNDVVMIGSSFNPMSEPFDDWESPEQRSSQSREFGGLAKHVENNMSENDFTFPCEGGCEEDDELTESKIKEFLDEKAIDLKKLQTPLYEFYNTVNAGLSQGVGETCQVDNITDLQLPLQGRSPPIQMEGVAAVGPTSDVLKSASPKSCTRRFSRSGVENGRILREIASPQLNKFEDKVHDIQENPSISFSERQKKWKEELDQELEIGRVMRLGSFGKAPSPKSRGMTRKRDRVY >ORGLA08G0130900.1 pep chromosome:AGI1.1:8:14946930:14947193:1 gene:ORGLA08G0130900 transcript:ORGLA08G0130900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPSLSRRRLYPDPPSMDHIWDTARLEERMRLRGEIRRGEHTQDKEDDDDQGGDYPDEDHRSPKRKRRDRGPCYNCGKTGHIARDCRG >ORGLA08G0130800.1 pep chromosome:AGI1.1:8:14930860:14936330:-1 gene:ORGLA08G0130800 transcript:ORGLA08G0130800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAAGGGDDDRDVVFEYLLCTEEDAASAGSSFQQLQGLAPAVSLSPSTTTTAPAAAGSCDDCGEEEEEVWTVDVIAELIGVEAERSHSPRADYPGRPADLAARADSVAWILKVRELYGMLPVTAYLAVSYMDRFLSLHRLPGNGWAMQLLAVTCLSLAAKMEETLVPSILDLQIDTRYIFEPRTIFRMELLVLDALDWRLRSITPFTFMYLFAFKVDPNGKHIRELIHQATQVTLATIHDTEFLDHCPSSIAAAAVLCASSEIMQLVSIDHGTLVSWRIIGLDEEAIIRCYRLMQQLISSNNVGRESTEITMAATTTTATTAVSSEEVVSSSPPSKRRKI >ORGLA08G0130700.1 pep chromosome:AGI1.1:8:14920223:14921016:1 gene:ORGLA08G0130700 transcript:ORGLA08G0130700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEVAAVVIQHPGGKVERLYWPATAADVMRSNPGHYVALVLLRVSASSSGGGGGGKAEHSAVGAAVGDESGGAAAKITKIKLLKPKETLLLGKVYRLVTSQEVTKALQARRQEKMRRCKEVTDHHHRQPQTGDSAAAGEEQRRPSDHQERKPAEKDRHRSSGGGGGGGGGRGRNWRPSLQSISESAS >ORGLA08G0130600.1 pep chromosome:AGI1.1:8:14910371:14910836:-1 gene:ORGLA08G0130600 transcript:ORGLA08G0130600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPGFSFGQKWRGGRRVVERRSPGPALRGGGSMKSPDEGASVRWAMAASLDVVTTVVASFSESLLCGVAVGLAAFGHV >ORGLA08G0130500.1 pep chromosome:AGI1.1:8:14903992:14907253:1 gene:ORGLA08G0130500 transcript:ORGLA08G0130500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPPPKQEELQPHQVKDQLPSVSYCITSPPPWPEAVILGFQHYIVMLGTSVIIPSALVPQMGGGNEEKARVIQTLLFVAGINTLCQSFFGTRLPAVMGGSYTIVAPTISIILAGRYSNEADPHEKFLRTMRGTQGALIIASTIQIILGFSGLWRNVVRFLSPLSAVPLISLAGFGLYELGFPGVAKCVEIGLPEIILLLVFSQYLPHVIHVAKPVFDRFAVIFTIAIVWLYAYILTASGAYKNARPKTQVHCRVDRSGIISGAPWIRVPFPFQWGAPTFDAGESFAMMMASFVALVESTGTFIAVSRYASATMIPPSVLGRGIGWQGIGTLIGAFFGTANGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGKFGAIFASIPLPIFAALYCIFFAYIGACGLSFLQFCNLNSFRTKFIVGFSFFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDMINVPFASKPFVAGLIAYFLDNTIQRRDNGVRRDRGYHWWDKFRSFKTDTRSEEFYSLPFNLNKFFPSV >ORGLA08G0130400.1 pep chromosome:AGI1.1:8:14902101:14902460:-1 gene:ORGLA08G0130400 transcript:ORGLA08G0130400.1 gene_biotype:protein_coding transcript_biotype:protein_coding CPVPHLLPHCFPMLPLTGSVTTRAREREREERGDGDGRRRLEAPMLAAEEHKDDVVVVVIALGDDGDDGHRAPCPAFFADTLLATAGAVPASAGAATVSSPIWPRGAQIRPLRRRIWRP >ORGLA08G0130300.1 pep chromosome:AGI1.1:8:14884126:14884794:1 gene:ORGLA08G0130300 transcript:ORGLA08G0130300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHVEASIKFQSHADAENARKAFHGCCRMDLQFEQTPTTSNNSSSPVSLVMKELKADIEELRIVLKELATIIQEKLANEEEWHSKKEVAEEMHAEMDVAVGMVMPSPITVPPTQPVGLEICVKRCLFYTLQQHPQVLKWCTHISASRGRSTHGRPPPKDGLLPNRPWVAIRSPASFKPAQSMAIRLQQQQGSIRQRTLPGDPCRWPWQRRHCQRRGAMLTN >ORGLA08G0130200.1 pep chromosome:AGI1.1:8:14872406:14872624:1 gene:ORGLA08G0130200 transcript:ORGLA08G0130200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKEAGDAAAIEEAEVVARRRQAQQRVTGATHSWRRNSSLGPLLSWPMTSRQHIEDSEGDDLDNSGVDPE >ORGLA08G0130100.1 pep chromosome:AGI1.1:8:14861289:14863104:1 gene:ORGLA08G0130100 transcript:ORGLA08G0130100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVIVEVLVGGRLVAALPPPPPEPELLPTRDVIASFPPPPPLQSPVRKAVAAVLARSRLAAAAADALMYLWIAGLXPVGRQCGHGRLARRGPRPRRGLPRERRGVAGLPRRQSRRPAGRPRRVVAARHPHRRLRHRRRHRARQAVWYAPSCLEVHXNTSSCQVRXNXYCRLEEKCCEDGQGPRR >ORGLA08G0130000.1 pep chromosome:AGI1.1:8:14857130:14858103:1 gene:ORGLA08G0130000 transcript:ORGLA08G0130000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPDAAVVVPVLLPPPVPQVQPTLKDIVVEFPVDGGRGRLVAVLPLPPDHELRRSLDSVAEALASGRLAAVLPPARRNTVAAAGMAAQDVAARALGEGSRAYALAFEVSRVAVLLVFLPVFPFATLLHAVRLAVSDTDEPDEKPAPKSFAAAAREVLSDTICVWSIALMAFVLLVSLGALVKGDSAAKGSCREMIGSVIGDVGLVGFHVINLFVLTPNLALRVWRVKLPGHGHRVVPV >ORGLA08G0129900.1 pep chromosome:AGI1.1:8:14851988:14854056:1 gene:ORGLA08G0129900 transcript:ORGLA08G0129900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTGSSAAAARGWRRQVMSGKVAWARRALAAAGRALPAVTLGVGWVTAAAGVLPAIAGRWVGGEAGLAMERGGFAVLEAGQFAFALLVFPTVVPQLLAMAMERLRDAGPPTLMEDREMLVSTNAAMELPPEQHPECRVKWNQIIKEIEFPCKIGFVLLGFGMIGSLIIGFSPENEFSRQSIGWILADVGLFGWHALSVFFLLPKVIRAVWIHS >ORGLA08G0129800.1 pep chromosome:AGI1.1:8:14844622:14847022:1 gene:ORGLA08G0129800 transcript:ORGLA08G0129800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGAARGFRRRVPEPGWWVLRAVERSLRRRLSRAVAAWLPATVVVGWVTAVAGIAPIVGRWVGGEVGRAMEVAGVHVVGAGQHVFMLLVVPIAVLLMVMQLRLLAGPLMGGRGMLVRTIAAMELSILEHHRERRGKWNQLAQEIVFPLSISFVLLGVAMIGLMITGFSPEKEFSRKNIGWILADVGFLGWHALVGFFLLPKVILTLRATSLFSSGSLNYHCLAFSSPLTIKLNVNN >ORGLA08G0129700.1 pep chromosome:AGI1.1:8:14839109:14840191:1 gene:ORGLA08G0129700 transcript:ORGLA08G0129700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPTLQASAAAAAAAAARSWPMLRRLWRKAVVAPVLWLYHLGEDIIFYGFLAAMMAANLGGIVPEILGRWACGEGSAVSAAGEEVLACSKFIIVRFLPAFVVQLFVRILARARFEAREAKKEKEKRENNEKTSSTAIRVESSKEQRPESRGARRWGPKGFMPYAIYLAPPLIQLSCLGIKMKAHHEEGSLEWRVGYVLDDFARFTSAILISFVGVPSMLLTAMIPKVKDDDTSSQ >ORGLA08G0129600.1 pep chromosome:AGI1.1:8:14825827:14827900:1 gene:ORGLA08G0129600 transcript:ORGLA08G0129600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEAAIRRGLLVSGAVSVAAALGALWLACALRIASAVNHCLAVKAAGVSVWADARCDATIELAAPAAVVLLILNAVAARREAKAEAEADAQIREAAGAAARNVVPDPGDLQQPLVTLALPAPTPSPRPERLRLRGSDAVLMFVVAFIYVCCAVLIVVGELLPVVGDLILVDCQRQCQVQRRCLAWFFKNIGYLSLAVGHCCLIIPYAVLRLRRLARKKVASFFVSVPCMVHPQRYKEHDLF >ORGLA08G0129500.1 pep chromosome:AGI1.1:8:14818703:14819438:1 gene:ORGLA08G0129500 transcript:ORGLA08G0129500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPRGRLLAFAPALHLLLRALWVAGVLNIANDVVHCARLQSTTSVLDDHRCNLSLSFALAMLFISLLGRSILRRSDAKAEAELGGGEEDGDDVLPEKASSSPETHPRAHWMLQGWSPRDVEVGFVMGLAFLPYLWITMLVSLFLLVPRLHPPPPAERGVPVVELLGDLMVHVGCLCVSINLCSLGVPYAMLRLRKALDAKDGDAWIL >ORGLA08G0129400.1 pep chromosome:AGI1.1:8:14812632:14813410:1 gene:ORGLA08G0129400 transcript:ORGLA08G0129400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRARGRRPLAARATAILHAALRRVSCRVAATAAAAAPPPXGRRLAALHALLGALWVASALYIANAAARCARLGGASLLSDDRCRVSLEYAPAIVLASLLARSVLRRREAKEELGGGGDGGGDALSKKLSSSVEAPHRPQLDEETELQMLLVFVFTPSLGLTLFGSVLTLLPPPDPALVPLGSIMANVGLLGVSITLCILGIPYSMRRLRKALSVKAGGIGMV >ORGLA08G0129300.1 pep chromosome:AGI1.1:8:14809648:14810317:1 gene:ORGLA08G0129300 transcript:ORGLA08G0129300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLAMGALTPFASPVLLSRLLERPEAAREEGGARGGGGGGGAKAHLSRRDSSGGARGRQDGGFVGRVVVLFMVFACCVAFVCVMLRDFAPEKGSCQEWVGSILAYIGVFAGSAMKL >ORGLA08G0129200.1 pep chromosome:AGI1.1:8:14791697:14791918:-1 gene:ORGLA08G0129200 transcript:ORGLA08G0129200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWATRRCAAIDSLAHGMDNVLLGKVAARGNLPCLEKIADGEVDKEVTIVGPGPGSVAGAEYGERMHGGTRSC >ORGLA08G0129100.1 pep chromosome:AGI1.1:8:14776433:14777592:-1 gene:ORGLA08G0129100 transcript:ORGLA08G0129100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIPKVDLRGLEPGTPGWEAARATVTASMVSHGCVVVAHGELGADLREALFGRAVREAFALPAEAKRRNVSTVGPYRGYIANIPGMDWESLRVHDADDAARVREFAGLLWPEGNPEFCETIVSFATKMRDLERTVERMTLEGLGVGEDHIASHLAAQDYGVRLSHYGPPPDASTAISLQAHRDDSMTTIIVQHEVEGLEVQAGDGSWHAIPPEPDTIAIVAGELFRVVTNGRVPASVHRVRTPSGRERYCVLVGSRSKDGAVLSAMDELVNGEHPLAYRPCKAEEFIQFRYSEEGRKFSDPLKAFCGVNATE >ORGLA08G0129000.1 pep chromosome:AGI1.1:8:14771875:14773301:-1 gene:ORGLA08G0129000 transcript:ORGLA08G0129000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKVDLRGLEPGTPGWEAARAAVTASMVSHGCVVVAHGALGPELREALFSRAARELFALPAEAKRRNVSTVGPYRGYITNTPGMNWESLHVGAAADAGRVPEFAGLLWPDGNPEFCDTIVSFAKKMTELERAVERMTLEGLGVGEDHIASHLDAHDDAVRLSRYGPPPDAASAMSMGEHRDDTVITIIVQHEVEGLEVQASDGSWHTIPPEPDTVAFMAGELFTVVTNGRVPACVHRVRTPSHRERLVALFTTRCKGGTVVSAMDELVDGDHPLAYRPCNEDEYVQFRHSEEGGRFSEPLKAFCGVDVR >ORGLA08G0128900.1 pep chromosome:AGI1.1:8:14765370:14767981:1 gene:ORGLA08G0128900 transcript:ORGLA08G0128900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYTRVVLRRHDGEEQDGVAVLDDVRYDDDSSTSGNANYKCEKRNHHLGAWEETRMDLVGEEVVREVYLTKGKAVGARSCRRVCRGQIFLPGRGGWGWGGGR >ORGLA08G0128800.1 pep chromosome:AGI1.1:8:14758140:14758946:-1 gene:ORGLA08G0128800 transcript:ORGLA08G0128800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRTKEELFAYDINWAIYDKHELHERMRPWIAKKIIEFLGEEESTLVDYIVSCTKDHVQASKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVETGLSGRAKA >ORGLA08G0128700.1 pep chromosome:AGI1.1:8:14744656:14752947:-1 gene:ORGLA08G0128700 transcript:ORGLA08G0128700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides;ATP-dependent helicases;nucleic acid binding;ATP binding;RNA binding;helicases [Source:Projected from Arabidopsis thaliana (AT1G77030) TAIR;Acc:AT1G77030] MARLNPSKPSSRGGKPRSSSADAMAEHKPPPGRPKREGEGASKKKAKSGGFESMGLCEEVYRGVRHKGYRVPTPIQRKAMPLILAGHDIAAMARTGSGKTAAFLVPMIQRLRRHDAGAGIRALILSPTRDLATQTLKFAQQLGKFTDLKISLIVGGDSMESQFEELAENPDIIIATPGRLVHHLAEVEDLNLRTVEYVVFDEADSLFSLGLIQQLHDILHKLSDTRQTLLFSATLPQALADFAKAGLRDPQIVRLDLDKKISPDLKLAFFTLRQEEKLAALLYLVRERISSEEQTIIFVSTKHHVEFLNILFREEGLEPSLSYGAMDQEARNIHISKFRARKTMILIVTDVAARGLDIPLLDNVVNWDFPAKPKLFVHRVGRVARQGRSGTAYTFVTSEDMAYLLDLHLFLSKPLRPAPTEEELLKDMEGMNLKIDRALANGETVYGRFPQTIIDLVSDGIKEVINGCTDLIALEKPCTNAFHLYLKTRPMPSTESIRRVKDLPREGLHPIFRDVLGSDELSALAFSERLKSFRPKQTILEAEGEAARGSNQWLDVMKKKREVHEGIINLVHQKNNVDHEPKEELVENISNWERKDVCGNKRKLQSFRDEEYYISSVPQNQHLEAGLSVRANEGFVENRLDAAVLDLVDDETSGMQAQKTRYHWKKNKFVKLNSGDRVTATGKIKTESGAKLKPTKTGIYKKWQQKTHRSIDTGRKYGGFAEEGASTTGSHQRGNRKHTAAGRGRRYIPNADVPSEIRNPEQIQKSRQQKAMDIARMKNRSTKESKFQKFQKNNRRHDGPSKDGKFQKNRRPDGNGKNRRPDGNGKGRGKGKGNANGFGKGKGKMKGKGTR >ORGLA08G0128600.1 pep chromosome:AGI1.1:8:14731249:14734761:-1 gene:ORGLA08G0128600 transcript:ORGLA08G0128600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRVVFDSGVARRACPGGAQMLLFGGGGSANSGGFFRGVPAAVLGMDESRSSSSAAGAGAKRPFFTTHEELLEEEYYDEQAPEKKRRLTAEQVQMLERSFEEENKLEPERKTELARRLGMAPRQVAVWFQNRRARWKTKQLEHDFDRLKAAYDALAADHHALLSDNDRLRAQVISLTEKLQDKETSPSSATITTAAQEVDQPDEHTEAASTTGFATVDGALAAPPPGHQQPPHKDDLVSSGGTNDDGDGGGAAVVVFDVTEGANDRLSCESAYFADAAEAYERDCAGHYALSSEEEDGGAVSDEGCSFDLPDAAAAAMFGAAGVVHHDAAADEEAQLGSWTAWFWS >ORGLA08G0128500.1 pep chromosome:AGI1.1:8:14728486:14728992:-1 gene:ORGLA08G0128500 transcript:ORGLA08G0128500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAAARPMAGSMAASPASASASVSAQRRRCGAACRHSVTLPSPTPSPTSSSRSKXVRLTPLATKLICSVFXAKVMKSATRLSVVTTTVFYMLCGCMGYALPNNLLTGFGFYESFWLLDVANVTIVVHLVGAYQVFVQP >ORGLA08G0128400.1 pep chromosome:AGI1.1:8:14722332:14724398:1 gene:ORGLA08G0128400 transcript:ORGLA08G0128400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1QIN3] MTPPPPPPLPRRRMLAMPAVCPCEDISPGTLLASLATLSADVADGCDVDRLPALRGGVGVAVRVAGLLREFLEEVRWAAAAELPGGSVLGMSELHVALQKMRFLLEDCGRKGARMWVLMNAEAVASELRVVLGSVAAAMDVLPAGVVAASDDARELAALVSQQAWRAAVRPDEEDSRAARSVRSMLARFRSGATPDAEDARLVLGRVGVASWWDCSQEVSFLEAEMLERLEAGGENDNDLVLISGLMAFLLYCRVVLFDRIDYGKADEPAPRAASYLARINPEGLQCPITLELMTDPVTLATGQTYDRASIKRWVKSGCRTCPVTGEKLRSADVVPNVAVRGIVEQLLLSSGVSLHEPSSKHRCAVDKTASPFGAAAAGGARLAVAFLVSKLCRGTPEEQKKATYECRKLSKRNVFHRACLVDAGAVPWLLHLLSSPDASVQDNAVAGLLNLSKHPAGRRALVEAGGLGLIVDAVSVAAKVEAQQNAAAILFYLSSDAGYCDEISRIPEAIPTLVRLVREGAYRGRKNALVSLYGVLQRGAGGHGRAVSAGAVAALASLLPGDRDDLANDAVALLARLAEQPAGAAAVLSSSALVTRLVDFLGASASRSAKDHCAALLASLCRHGGDAVVALLGKTPGLMPSLYALIADGGAQGSKKARWLVNEIHRHYEQRQPPVAAPPAGDRVIRV >ORGLA08G0128300.1 pep chromosome:AGI1.1:8:14703570:14704634:-1 gene:ORGLA08G0128300 transcript:ORGLA08G0128300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPARRPHRLTDAATDDVDLAALGCFLHCLQLSNINGAEITVTSIADQLPALVTGLPKLSQRRIRRQLLEVNLEAPIGSRMMGGRQQGGNDDFPSLPSSPYLSSLLHRK >ORGLA08G0128200.1 pep chromosome:AGI1.1:8:14696452:14696772:-1 gene:ORGLA08G0128200 transcript:ORGLA08G0128200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAPTSDLPQIEDTQAPSLTASQRHPSLQCARCIRAASIRLHRIFLLATTSRWIRKVVGREREVEQGLQGRGGGRCGEEWKKVEREREKQSDEGLKASCLLLACS >ORGLA08G0128100.1 pep chromosome:AGI1.1:8:14694153:14694416:1 gene:ORGLA08G0128100 transcript:ORGLA08G0128100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSALDTPWIGEWLMILLLPLDFPLPPLAPADPTVKRTFVGDGEEGERGDDGRREKEATVPPPPPGGVGAAVDLAHRCSAVDAARH >ORGLA08G0128000.1 pep chromosome:AGI1.1:8:14674678:14677480:-1 gene:ORGLA08G0128000 transcript:ORGLA08G0128000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLEMSCGDGVDFRQPFKSLPRVVTSPGIISDPDWDTRSDGDSVGSASSVERKIIVANFLPLNCTKDEAGQWSFSRDDDALLMQLKDGFSNETDVIYVGSLKVQVDPSEQDQVAQKLLRDYRCIPTFLPPDLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKLFADKVMEAINTDDDHVWVHDYHLMLLPTFLRKRLHRIKLGFFLHSPFPSSEIYRSLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLHYESKRGYIGIDYFGRTVSLKILSVGVHVGRLESILKLPATVKKVQEIEQRYKGKMLMLGVDDMDIFKGISLKLLGLELLLDRNPKLRGKVVLVQIVNPARSTGKDVEEAITESVSVAERINLKYGSVDYKPVVLIDHRIPFYEKIAFYAASDCCIVNAVRDGMNLVPYEYTVCRQGNEEIDNARGSDTNCHHTSTLIVSEFVGCSPSLSGAFRVNPWSVDDVADALHHATDLTESEKRLRHEKHYRYVRSHSVAYWAHSFAQDLERACKDHYSRRCWAIGFGLNFRVIALSPGFRKLSLEHFASSYNKATRRAIFLDYDGTLVPQSSINKTPSDELITILNSLCDDPKNDVFIVSGRARSLLDEWFAPCQKLGIAAEHGYFVRWNKAAEWESSYPNHDFEWKHIAEPVMQVYTETTDGSSIEPKESALVWHYLDADHDFGSCQAKELLGHLERVLSNEPVVVKCGHYIVEVKPQGVSKGLVVDKVIHRLMKNGKTPDFVVCIGNDRSDEDMFKSIDSMTSSSAFPAVPEVFACSVGQKPSKAKYYVDDAGEVVRLLKNVAGISSHREAVSHGRVTFRDVMDYVD >ORGLA08G0127900.1 pep chromosome:AGI1.1:8:14670904:14673367:1 gene:ORGLA08G0127900 transcript:ORGLA08G0127900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G23890) TAIR;Acc:AT1G23890] MAASPPLALLTLTLSLALALASAGLVLDDGYTVTTAADLNHPVSAAPHPYALLPRPRAGDLVLLDSVASALYTLALPLSGGAAARSLAGGGGGPAGFADGEPRDAAFDRPRSLAVDHADNVYVADRINGAVRKIAPSGFTTTIAGGRSKGPGRKDGPAQNATFSPDFELVYVPKMCALLITDRGNRLIRQINLKREDCARETQPGLGTTSVSIIAVLCALLGSVIGFSVRHFYPAHEVSINRFFRRMQMQYKTIQRTAALISFSDIRSVVANSTFHALLLKLVRVCVGYLSVVFPSVRLEKRAPVKTCPSLLDLDYPVITSTGPDNKADESTELVGNFIGFDGDTSSEEDNVPASDGKEPAGELVALLDGPELSNKIDDMIEANLAGFSGQENNHCSAVKCSGISRRRLHGESNVL >ORGLA08G0127800.1 pep chromosome:AGI1.1:8:14651353:14651604:-1 gene:ORGLA08G0127800 transcript:ORGLA08G0127800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRLAVSGGRRRRIRPAAARRSGVALRRKVRELRRLVPGGEGAPARSLLVRTADYIVRLKARVELLRALSALYDELPLPAGA >ORGLA08G0127700.1 pep chromosome:AGI1.1:8:14641965:14644747:1 gene:ORGLA08G0127700 transcript:ORGLA08G0127700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRFSDWIWPGSRTTRRARDAPASSVAVAMAGALFPDSPSGFREPTMGTLRGPASSGGGARRARKGTSRPRSREVVDREHDMVVVPLDVGGGVDGYSSDSGSDDSDWSIGWLEPQGPELHSDGDSDGSFAVLVPCYRHGRRRVEEPGRGRLADGNVSGAKNFVERWLSSLPN >ORGLA08G0127600.1 pep chromosome:AGI1.1:8:14632440:14639258:1 gene:ORGLA08G0127600 transcript:ORGLA08G0127600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C3HC4-type RING finger) family protein / BRCT domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67180) TAIR;Acc:AT1G67180] MPAVEGMRDVVATVSGYHGDERHRLVRLIAETGASYVGAMSRSITHLVCWRLEGKKYDIARRLRTRVVSHRWFEDCLKEGRRLPEKPYVLESGEEAGPVPELPTFPRSRSKRNASMEDRCLKELPDDFCNTSYATDVLVVADSGSDCEHQRWSDSSLLKENFVGDHENSKIGATHVKERRKRLKHAQKSNNEDALDPEDNISSLMARKGRHESSYTSSRSASKQKGDLSKLLHNDDASMMRKRNSLMKKESRTKHAGYLIESCENESLTDSFDEPQMSDTLPTEDRRKTRKTRLRQSTLDSIYDYGEASEHDPEKSEDQENFELGESSRSFQPSDSSRQEPAFCTEKTNQGNIDIAADDDKGGDEKATLEESTSRQGQAELSCVICWTDFSSTRGILPCGHRFCYSCIQEWADSLSSRGKVSTCPLCKTSFAWISKIDEAGTSDQKIYSQTIPCLTSTDTFIFDDSLYGLPESPSGQGACYQCHCREPEELLLSCHVCRSQWVHSYCLDPPLTPWTCIHCRDLRMLYQRYR >ORGLA08G0127500.1 pep chromosome:AGI1.1:8:14624983:14625636:1 gene:ORGLA08G0127500 transcript:ORGLA08G0127500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISLVCFADWALRGLDYGGGYSDLSMLVGSSGGGRRTVGDGGGEAPKLENFLDGILFSDVHGQAAGGYLYSGSTVNGAGGYSNGGCGGGTIELSMIKTWLRSNQPQPQPSPPQHADQDMSTDASASSYACSDVLVGSCNGGGGGAGGTASSHGQSLALSMSTWSVASTAGGSVVVAAKSSSSENRRVDSPGGAVPRKSIDTFGQRTSIYRAVRDFH >ORGLA08G0127400.1 pep chromosome:AGI1.1:8:14621310:14622050:-1 gene:ORGLA08G0127400 transcript:ORGLA08G0127400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLDVGEDDIVLFVPVVGEPLSAGRYYVVKAIGHHAGMVLACSREEDKTRILFFSFVDDAPPRPFHHGDIYQQVEVVAVTQAPHCLRGFKAVAVAPDGIPPSLLRRKGWEVSKAMRTSYDGLSDDAHGIDWPLRRRMPDLDGFAIGAGGSPAAVVGKWYCPFMFIRDGERRLKDQVKRCMFYEMTLEQRWEEIYSCDNTHWGSISGKQPDDEVKVNVTVRRSTALLGGTGAVVQEGGPQVVDGVMWF >ORGLA08G0127300.1 pep chromosome:AGI1.1:8:14610364:14610546:1 gene:ORGLA08G0127300 transcript:ORGLA08G0127300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQDNPQAAAEPPPDGPGSGILVVEDEAAVERATAEPEAQGGVRHREDGRRRPRRHDP >ORGLA08G0127200.1 pep chromosome:AGI1.1:8:14609258:14609479:1 gene:ORGLA08G0127200 transcript:ORGLA08G0127200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRSIGCYVPLPQMGPLGMPAEYAAVGFHLGEFRMPPPPQQEQQQAQTMLGFSQDTHGAGAGGSSGVFGACS >ORGLA08G0127100.1 pep chromosome:AGI1.1:8:14588864:14590027:-1 gene:ORGLA08G0127100 transcript:ORGLA08G0127100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTKPLSAFAGHPEAASRPPAAAAAEGGCSGYLAVKSDEEGTDETRCWGLRSQPRVRGLPFPQNRVVTVSDPALGEYADAYADAVVFVPVPGAPPSSNRYYAVLAAGKHRGLVRACSREDDAATHCFCRCVRDAKPRPFDPADVYQQMEIVPNRGGFTARSVAADGLPYFLYRSKRWLAYASRPKHFDLAEALGLNDPLRSRSLTTQPPATATATAATAVAVGRWYTPFFYIKEDGVPLKAQMDRSTFYEIVLEQRWEEAMGGEASKRVLVGGSVEGKQEAVGAAASARTGGGSGDGYVWFSSATTTWPTGQRVGVHASLWEKMVWEEQRGGWVSDEEVDGGGVRKRVAGGGGAGSRSVLVERFAVKRLDGSVVVAFDFSHVNKIN >ORGLA08G0127000.1 pep chromosome:AGI1.1:8:14585112:14586248:1 gene:ORGLA08G0127000 transcript:ORGLA08G0127000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATKPLSLFKSHPEAATQPPPDGRNSGYVVVKGPEDDGGGGDDETCCWGTCGGSRVRDLPFPQNRVLTVRYTEQHGESSTTHADAVVFVPVPDLPLASNRYYAVVAAGKHKGLVRACSREEDMTTLCFCRCINDAKPRVFDPADVYQQVEIVQRRRGRFTARSVAADGFPYFLYRKKYWRVYASKPKHFDLGEAPGLNAALRSRQLALDAFPATTTTAVGKWYAPFFLVKESGVSPPEQMDRATFYEVSLEQRWEAAAHGHGDGYAGKLDSKRVLIGGSVEGRQEGGGRHGDDGYVWFRAAAAAGGGGQRMGLCASLWERMRWEEYRGGWVDEHEDAGEVAGGGSVLVERFVVKRMDGSVAVAVDFVHFNKVREKQV >ORGLA08G0126900.1 pep chromosome:AGI1.1:8:14579937:14583606:-1 gene:ORGLA08G0126900 transcript:ORGLA08G0126900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKAVDDTEPGPAPSRPVDRFGFIKPEQGTSPDGISKSKSIHERERERDGRRIKKWRKMIGVGGSDWKHYVRRNPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLYQFEKLVLEQMPQLGQHFIEEMINPSMYASQWFITVFSYSFPFHLTVRVWDVFLYEGIKVVFQVGLALLRFCHDELVKLPFEKLLHAFRNFPEEATDPDVLLPIAFTFKVSSRLEELEKEYQKKLEGSNASTSTKRSHPLKLKTMSRIGSRALSNFTNSEK >ORGLA08G0126800.1 pep chromosome:AGI1.1:8:14575927:14578815:-1 gene:ORGLA08G0126800 transcript:ORGLA08G0126800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:I1QIL7] MATAAKAFWNSPVGPRTTHFWGPVANWGFVLAGLVDMNKPPEMISGNMTAAMCVYSGLFMRFAWMVQPRNYLLLACHASNESVQLYQFSRWARAQGYLENKEPEGQQ >ORGLA08G0126700.1 pep chromosome:AGI1.1:8:14570652:14572800:1 gene:ORGLA08G0126700 transcript:ORGLA08G0126700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASASASASAAAFLLLPLLAAAATAGHGVCPRQPAAAAVLPRQAPAASSSSSSSSCPAAGSPGHRAHHVGVVEGDDVVLQKAVTLVLQNREDFVAILFYASWCPFSKIFRTDFQKLSSFFPTIAHFSFEESRIKPRMLSRYGVRAFPTLFLVNSTMRVRYHGSRTMNSLAMFYKDVTGMNPVSLDAISLERMEEAVNIIENDKKTEQGDSLFMFARSPDRLLHQDTCLALASSFVLMRLLRFLLPKLNACVKQAWRMQFYELKRLFPSLS >ORGLA08G0126600.1 pep chromosome:AGI1.1:8:14561876:14566026:-1 gene:ORGLA08G0126600 transcript:ORGLA08G0126600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G04600) TAIR;Acc:AT5G04600] MGLREKKRNQRRVLARRSAAPRSGEGKDFLPLEERPGKKRAREEQPEEPENTSTVLYIGHIPHGFYEEQMQGFFQQFGTVKRLRIARNRKTGKSKHYGFIEFENPEVAKIVADEMNNYLLFEHTLQIAIVPPEKIHPKLWKGVRRGFIPIDRVAIERRRLNKDKTIEEHKKLVDKIVKRDEKRRKRIKAAGIDYECPPLIGSVQPSAKKIKFED >ORGLA08G0126500.1 pep chromosome:AGI1.1:8:14556800:14559265:-1 gene:ORGLA08G0126500 transcript:ORGLA08G0126500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGATASALIGVMNPLLTKLSGLLEREYGKLKGVGREVALLRDELSSMNTALEAVSDSEEEPSSQVKEWMRQLRELSYDVEDCIDVFVHRLGHHDPGDGLFRRTKRRLKALWSRHCIAGQIAELKDRAVLVNDRRKRYELDAAASSSAAIAIDSRLPALFEEMDRLVGIEGPRDELVEFLMGGINLAPQRRVVSIVGFGGLGKTTLANQVYQHIKSQFDRTAFVSVSRNPNVNKILANILIGILETRKLSSVHQKQHSDTIEDLKHKTFEDCKLISLIRENLQNSRYFIVIDDIWDKAAWRDHLRFAFPENNSASRIITTTRINDVAIACHFSHEDFIYAMKPLSSENSEALFFRRIFSSKEKCPPELEEVADDILKKCDGLPLAIVSIASLLSCKPVTKQEWVWVLNSFGSTVMKDQGSHELAVVKRILFLSYSDLPHHLKSCLLYLSIFPEDHTITRDFLISRWIAEGFITEQRGESLEEVGEKYFNELINRNIVQSFEIDPFSRREAYRMHDIMLDLMISLSTEENFATILDGQHCAPSSNKIHRFSLQCKSVERITWLRTTSFSHARSLSVFGDFNKIPPLVDLEVLRVLDLLNCSSLKDDHIENIGSLFQLRYVRLGNISRIPRQIGKLKLLQTLDLSGTA >ORGLA08G0126400.1 pep chromosome:AGI1.1:8:14539834:14542922:1 gene:ORGLA08G0126400 transcript:ORGLA08G0126400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKKVDCISNCRLSSLHLPIPCTSAMNADTEMLEGGCAEKCQCSPQCHRIAPATDASTTSPVSYSPPTVTSPQVQPS >ORGLA08G0126300.1 pep chromosome:AGI1.1:8:14530383:14531831:1 gene:ORGLA08G0126300 transcript:ORGLA08G0126300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPRPALLLLLVAVLLLSHIALCSSAAASGKPKGKGVGGRKALLADDGGEEEEVVVVPPPVKKAKGAAAAVGKIKKKVVGVDGKNQTKVVKGKKSEPAGAVKATKKLSAAAAAKASADAAVVKAKVPKTDKAATAKSKGTDTAKPAKVAKAGSAKAVKPVKPVKTAKSESGVAAKAKKASNSTVDGGAKQAKSSKKAAQAVVDGEASGGKVNATASNEAAEVEEDVVFAEAAEGTDDLISEFKGLPARLQETLMPDLARLSHSSKLYLSAANAGIADGVRPILGGRWAAAAASAASIALLLLPLFMLTALVRRMAPYLPLLHRALLLAQAYLAIYFATLALAAAATGLEPLRFFHAASPAAYAWTQAAQSLGFMGYLMLQMVDLVAVFSGAASPEEDGNGDATKALGLAQMVVGLAVGLHYYAAVFHRAAAGEAPRANWRVYAVYAACFVVVCACARAERRKKAYLAGGTDGGAEEWKKS >ORGLA08G0126200.1 pep chromosome:AGI1.1:8:14520200:14523488:-1 gene:ORGLA08G0126200 transcript:ORGLA08G0126200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78140) TAIR;Acc:AT1G78140] MWSRCSAGSAVALCGGRGGHRLLSCAAAPAQRRAPGASASAKGIPRSALRASVTPEFVTAAPDEAVEESSVEREPAAENKLRKLACPICYYPLISSSDQSAPVSAASSSSLECSTCKKFYPNRGDYWDMTVAVGSTEYSESTTVTTEVFRTPLVSFLYERGWRQNFIWSGFPGLEREFEMAQTYLKPTTGGIIVDASCGSGLFSRLFVKSELYSLVVALDFSENMLKQCNEYVKQENISDKTLALVRADISRLPFVSGSIDAVHAAAAIHCWPSPACAVAEISRVLRPGGVFVASTFVADILPPAVPVLRIGRPYISQFTGSNIFLSEAEFKDLCRACGLIDFKFVRNGFYIMFSATKAS >ORGLA08G0126100.1 pep chromosome:AGI1.1:8:14509576:14510154:1 gene:ORGLA08G0126100 transcript:ORGLA08G0126100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGCGHLRLDTAAIKSLPSAMAARGCGRRGRWRRDLLTHIISHPQALAQCELTLNTMGLNSAPGIGGARNLLQLPDIILNARILLTGNKTALFAVLWLGRCSPTASRTTPAT >ORGLA08G0126000.1 pep chromosome:AGI1.1:8:14502355:14503028:1 gene:ORGLA08G0126000 transcript:ORGLA08G0126000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIRGFHRAVPSDAADGTAGGAPISAGTIAGTVCAVVVVVGLLAPLVYWLYRRKLKAAASPPLRPPAAAATPLVRAQEAGAAVGGQGDYTRRRAQVAVPARDGGDLCCGMCGSAYDIVRGGGELPETLECGHHFHRRCVRRWLRVNLACPTCNATHIQLCGDDDDGQATTPRHRLTASDYSGWDELSTGVGSSSCRF >ORGLA08G0125900.1 pep chromosome:AGI1.1:8:14499774:14500469:-1 gene:ORGLA08G0125900 transcript:ORGLA08G0125900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRLAATDDISKICRLAXWGYMKSASLKRKSKRYGVNFFKXFKTTVNFLKLGRNPISLGKTTEMIGEKVEHCCRWIQEEEITGIAESLQGGGSSAGSSGGSW >ORGLA08G0125800.1 pep chromosome:AGI1.1:8:14494480:14496482:1 gene:ORGLA08G0125800 transcript:ORGLA08G0125800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAEARRRWTLVLVNLASVLEKADEVLLPAVYREVGAELGVSPTALGSLTLCRAIVQAASYPLAAYASARHDRARVIAVGAFLWAAATLLVAVSGSFLQMAISRGLNGVGLALVLPAISSLVADYTDDHTRGAAFGWLQMTCNLGSIMGGSFGVLLAPVTFLGVAGWRLAFHAVALVSAVLGILMWCFAADPRAKSKTAASAAEEARELLRDARGVIGVPTFQIIVAQGIAGSIPWSALNFSAMWLELVGFTHWETSVITGLYLLATALGALFGGLVGDPVSRRFPNTGRIALAQISSASALPLAAVLLLALPNDPSTGVAHAAVFFIMGFAISWNASSTNNPIFAEIVPEKARTTVYALDKCFEAVFASFAPPIVGVLAEQVFGYKPVSSDASVETDRENAAALAKAVYTEIAVPMAICCLTYTFLYCTYPRDRDRARRNILMASDDQLCQEAGESDSSEIRTQEDEEFAVGSINQRLIHARE >ORGLA08G0125700.1 pep chromosome:AGI1.1:8:14490950:14492212:-1 gene:ORGLA08G0125700 transcript:ORGLA08G0125700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEATAARARQQRQPPSWADIPRDLAVQVIRFLPAQVDRACFAAVCPQWRAAARNALLPAPLPLLALPDGAFYCLPYGKPFRFPRAGCAGYKTAACGRWLVFPHDDGCFLVDPFAGATVTLPALSRVRLRPPNAVARYVNVGIAGRDAHVSMFYPHATWMHIKTSDKMPINKLLLCSPNLVAAFIGSSLANAGRNSQILVCQPGASSWSVRAYDKCKLFEDMAFYRGKLYALTHDENLLVVNISQDPNTGDPQISQIGQVIKDDPTWSSVLIPDDDDTSTTDKKKLYLVESCGVLLMVRRKVCCRVVGKTVVAGQNEFEVFKADLENSRWVNVTTLGDDQIVFLGRPCSKAVSASQYGMPGDQIFFLDDVMENNKEYAYEEETTSVSVYDMRSAEVSSPLPMAWKHEMISATWLFPLD >ORGLA08G0125600.1 pep chromosome:AGI1.1:8:14485701:14488169:1 gene:ORGLA08G0125600 transcript:ORGLA08G0125600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAARRTLVLVNLAAVMERADEALLPAVYREVGEALRATPAALGALTLCRSSVQAACYPLAAYAAVRYDRARVVALGAFLWAAATFLVAVSDNFAQVAVARGMNGIGLALVTPAIQSLVADYSDDNSRGSAFGWLQLTGNLGSLIGGLFSIMLASTTFMGIAGWRIAFHVVALISVAVGILVRLFAVDPHYINFGNKKQHVRKSAWREMKDLVVEAKAIVKIPSFQIIVAQGITGSFPWSALSFAPMWLELMGFTHKGTGSLMVTSAVASSLGGLFGGKMGDYLAKHYPNFGRIVISQISSASAIPLAALLLLGLPEDPSTGFLHGSVMFIVGFCISWNAPATNNPIFAEIVPERSRTSIYALDRSVESLFASFAPPVVGYLAEHAYGYNPITYGVGISSVERDKENAAALAKALYTAIAIPMLLCCFIYCLLYQTYPRDRERARMDSLITSELQQIEPERSQRTRDYYNGEGVSVIDIEYGEEGVDADDDEKTLVQFRIEQSAGDQ >ORGLA08G0125500.1 pep chromosome:AGI1.1:8:14463407:14464687:1 gene:ORGLA08G0125500 transcript:ORGLA08G0125500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGGDDELDSRCGGGGVDSSPLSALLRQSASASWFGGGTGSFYGLQELASPPPQLPPLSQAAAHQWTAPLPGGGGAGGASSSPPPSSSPHGVQVSAEQVVAQQGQGVGAPPARGSRKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFAGPPARSRFDHLFPAPSSLRSAATAAAGGSPSSLPAYLLRPFAQKHHPSPFPPFPSPSTSSPSPSNIAIATSTAAATTAAVAAPGDRYHLASAPSSSLLGMQDHGGSYLSFQSHLGGAQLGASDDVKYTAHTMFDATGSDLAPRPPPQRLQDPAAGFLGLTHGIMGADGSHMHQQQRSRGHGHGGDELSGVVGGASMTRSVGGGGKKTTFSSGAGAAAHAAPQLERNAESTSVTVAAAPTPSSAAATAAMRTQSVDSWICTSE >ORGLA08G0125400.1 pep chromosome:AGI1.1:8:14442374:14443695:1 gene:ORGLA08G0125400 transcript:ORGLA08G0125400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFIGQRRVIHAEAAEARAGGDVASRARGEGSPTAATCAVEESWLLRAGCDDGDSHVWIQRWLLLRVDPAVAVSLLLSHPTTAEAQTLLLPSLPLRRRGVHALDGSDSCGFDESINGNLDVPYRR >ORGLA08G0125300.1 pep chromosome:AGI1.1:8:14428598:14431615:1 gene:ORGLA08G0125300 transcript:ORGLA08G0125300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:I1QIK2] MTKQSVVVPEVAVPMPPNSAPLLPYPPPRAAPGVAVRKKYLQAQLDLGAGLPLINGWVESMRASSPTHAKAAAALAAAGAVDDERAAWMVRHPSALSKFEQIVAASKGKKIVMFLDYDGTLSPIVDDPDSAFMSDTMRRAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKASRHNKAKAKGVLFQPASEFLPMIEQVHDSLIERTKCIPGAKVENNKFCVSVHFRCVDEKSWSTLADIVKAELKDYPKLKLTQGRMVFEIRPTIKWDKGKALEFLLESLGFADCTNVLPVYIGDDRTDEDAFKVLRKRGQGIGILVSKYPKDTNASYSLQEPAEVMEFLLRLVEWERLSRARPKW >ORGLA08G0125200.1 pep chromosome:AGI1.1:8:14424588:14425757:1 gene:ORGLA08G0125200 transcript:ORGLA08G0125200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVASDSLDQQRKEAMYGRILAKLDELGARLDHSMGSLSPSSVPATPADSLVSVSSPGATSSVDSQKVFDEMPSNKEPTTTSVLHVTMSHVLYLVTAEVLLQVFNPYGAEEVRVYNQGTIQVEAFILFRLCQDATRAREALHECCIYNGCCFLDVKYMQSCPNDIMSVAPVRCLSICNGHGTSLLMAVSTALPSSVPITTSLDASSISSPTYVNDVPSSTKPISASFFTERKGTSQRIIKWVSRIRVAHRPIRWAMKKKEVYVLTHIGSVSLFEMNENDLVHETSYESNESSALAFVEMPQNIKLPVEHLTPWVQNMVSNSMVNVVVCCETSDIPMSIWCLCRPLWRACSYSNQMLQEVFPWSRDGLCPWKYETEGDQLLLDKIKKWF >ORGLA08G0125100.1 pep chromosome:AGI1.1:8:14396326:14396766:1 gene:ORGLA08G0125100 transcript:ORGLA08G0125100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVRAPLRHAVAAASLWPDHNPTAAESSFRLSTTRRIPPRHCPYCAPMHLQHDDNRGAGPHGASGSDWRRRGGEPIGGVDLVDRGELLAKRVLVVRDGDRLADAVGADVSEGDAKREPAGEDAAGCRKIAVSSALRSARRAHGSI >ORGLA08G0125000.1 pep chromosome:AGI1.1:8:14391211:14391501:1 gene:ORGLA08G0125000 transcript:ORGLA08G0125000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRVLLLLEVVTIIVVFGGRDDEEASREAGAHSLPPKTRTLSRSKGGAEDNGDGGGGSDLLDPSLSSSVAGKQVGKRELACRGSWRGRCRGRRAT >ORGLA08G0124900.1 pep chromosome:AGI1.1:8:14388018:14388860:1 gene:ORGLA08G0124900 transcript:ORGLA08G0124900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIEGNLMRALGEAPSPQMQKIAPPPFHPGLPPAPANFSSAGVHGIHYMGPAQLSPAQIQRVQAQLHMQRQAQSGLGPRAQPMKPASAAAPAAAAARAQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALTYDQAAYRLRGDAARLNFPDNAASRGPLDAAVDAKLQAICDTIAASKNASSRSRGGAGRAMPINAPLVAAASSSSGSDHSAGGDDGGSETSSSSAAASPLAEMEQLDFSEVPWDEAEGFALTKYPSYEIDWDSLLNNNN >ORGLA08G0124800.1 pep chromosome:AGI1.1:8:14379353:14381784:1 gene:ORGLA08G0124800 transcript:ORGLA08G0124800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSDIWKAHAGSSQSEGSALDMERNGCNHNCCPSPLQPIASGGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPTGQRATTLLDLMIIRAFHSKILRRFSLGTAIGFRIKKGTLTDTPAILVFVARKVHRKWLSTTQCLPAHLEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPSIGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDYDITSVNTSVKGVGVIGDVKAIDLQSPISSLIGRQVVKVGRSSGLTTGTVVAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGKDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQEALEEQRIILAAAAAAANSTAGESSPVAGPQENEKVDKIYEPLGINIQQLPRDNSATSTGPDEFHVDTVEGVTNVEERQFLIGMSPAREGQEANGDLNNLAELENSPEDICFSLHLGEREPKRLRSDSSLDIDLQK >ORGLA08G0124700.1 pep chromosome:AGI1.1:8:14369543:14373130:1 gene:ORGLA08G0124700 transcript:ORGLA08G0124700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALSRLLLPPLFLCRPLPLPPLLHRRRRVVLNPSPRTLTTRAEALFSRHSHLLPADDRSPSPSTRGSVQPPPDYGGGGGGAGPGTIAAIVTSLGGGPAAVGIVRLSGPDAAAVAGRVFRPARRAAPPWRPRSHFVEYGVALDRDGGVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPGEFTLRAFLNGRLDLAQAENVSRLISAKSAAAADSALAGIQGGFSALVKSLRSRCIELLTEIEARLDFEDELPPLDLTMLVSKINGMRQEVQDALDTANYDKLLQSGLQVAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGIPITLLDTAGIRETDDIVEKIGVERSEAAALGADLIIMTISAVDGWTEDDTKLIEHVLISKKSSGAPVPMVLVINKVDCAPFISGEQFEQFHGVFKKHVQTCAVTGKGISELEKAIIEVRGLEPVPSEGRRWTVNQRQFEQLLRTQQAFTRLESSINEQLPMDFWTIDLREAALALATISGEDISEEVLSSIFSKFCIGK >ORGLA08G0124600.1 pep chromosome:AGI1.1:8:14358921:14359754:-1 gene:ORGLA08G0124600 transcript:ORGLA08G0124600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASTASSTTSPPHTTTMSTHSTELVKGSHEFTVAGYSLQKRNGAGHRISSGSFEVGGYSWAVRFYPAGSTKDQEEGHVSVFLELGSTVVEKVTARFRFRVNGATASSWGQFNDFTLISKTWGYQKFMEIETVESEYLINDCLTMHCDVEVVKELKTGATMSRFITVPPPAICCHLEQLLESKEGCDVTFQVERSDYDAHRVVLSARSPVFRAQFFGPMADTGGGGRYVRILDMKPTVFEAVLRFIYTDRLPPVEDGEAAASSCWREDVREMARS >ORGLA08G0124500.1 pep chromosome:AGI1.1:8:14354690:14356094:1 gene:ORGLA08G0124500 transcript:ORGLA08G0124500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGPPEFNALPNRKDANLRTRVGRSLMIGITDIHYFTDSAMYSTEDFLTPSLNCYVTNAYIRIDKVTAAASIASSIYPSYKKSACKILTSAGGTLLPPLPTLLSPPLPTHSDDVGDGDGDSGGAAEEPTAASLLSLPSISQIRMGGSRRWWRGKGSGEGVFPSPPSLRSGWEACSGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA08G0124400.1 pep chromosome:AGI1.1:8:14350590:14351795:-1 gene:ORGLA08G0124400 transcript:ORGLA08G0124400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILSLPMAAAASSTVPQSSSTSSTPQNTISTHSTELVRGSHEFTVAGYSLQKRKGAGHSIMSGSFEVGGYRWVVQFYPAGESKEEEGHISVYLELRSTVVDKVTAWFTFGVNGASGSSLHMRGSFDDYTPTSKSWGYPKFMEIETVESEYLINDCLTLLCDVEVVKTVKTGETISCFITVPPPAIFRDLELLLESKEGSDVTLQLEQSEYDAHRAVLAARSPVFSAQFFGPMADEDAAAAGSRRNVRIHDIKPAVFEAVLHFVYTDTLPPATTSWSASHRDKRPKLSDVAAASCSEEEVRVMIGERLAAADRFDLERMRLLCEDALWETIDVANAAATLRLADRHHCPQLKELCMEYIASAGVLAAVMTTEGFRELKLDCPSLLIEILENFGKRSEADEE >ORGLA08G0124300.1 pep chromosome:AGI1.1:8:14345473:14346651:-1 gene:ORGLA08G0124300 transcript:ORGLA08G0124300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASNVPPSSSTSSSSPHNTTSTHSTELVKGTHRFTVAGFSLQKRNGAGHFAKSGSFDVGGYSWAVMFYAAGEKEEDQGHVSVFLELQSTGVEKVTVKYTFNISGSSLLSAGWGDFKPSSKCRLGFNKFMEIETVEDVYLMNDCVTIHCAVEVVREKKARATVSRRIAVPPPAICRHLEQLLESKKGSDLTVQVGESKYDVHRAVLAARSPVFRAQFFGPMAAANRRASGGGGRCVRVHDMRPAAFEAVLHFVYTDTLPPVKEEEGFLTNNSASRHHLVNLRDAAAGCSKGEVRVMVREWLAAADRFGLERMRLLCEDALCESIGVANAAATLRLADRHHCALLRALCMEYIASPGMLAAVMATEGFKELKVACPFLLIEILEKVGCCRSE >ORGLA08G0124200.1 pep chromosome:AGI1.1:8:14338441:14341641:1 gene:ORGLA08G0124200 transcript:ORGLA08G0124200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQHDESTANEVSTTTQLPSYGSSEEPRVYKVGCPPQKNFAREFRDTLRETFFHDNPLRQYKDQSGSAKFMMALQFLFPIFEWGRCYNLRKFKGDLIAGLTIASLCIPQDIGYSKLANLDAQYGLYSSFVPPLIYAAMGSSKDIAIGPVAVVSLLIGSLLQNEVDPVKNKEEYLRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGIKSFTKKTDIISVMRSVWTSAHHGWNWQTIVIGITFLAFLLLAKYIGKKNRKFFWVPAIAPITSVILATLFVFITRADKQGVQIVNHIKKGINPSSVHKIYFTGPFVAKGFKIGVISAMIGLTEAVAIGRTFAALKDYQLDGNKEMVALGTMNIAGSMTSCYIATGSFSRSAVNFMAGCQTPVSNIIMSAVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAVILIWKVDKMDFIACMGAFFGVVFASVEIGLLIAVSISFAKILLQVTRPRTVLLGNLPGTTIYRNTDQYPEARHIPGVVIVRVDSAIYFSNSNYVRERTLRWLTEEEEKAKAEGQSKINFLIIEMSPVIDIDTSGIHALEDLYKNLKKRDIQLILANPGSIVMEKLLSSKLNEHIGSNNIFLTVADAVRFCTRKSIQEP >ORGLA08G0124100.1 pep chromosome:AGI1.1:8:14313740:14315481:-1 gene:ORGLA08G0124100 transcript:ORGLA08G0124100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFMVPAAEGLAYKLTPETRVHGAQLRGDCAKVQVDSVKPEDELFPLKYPPNDEVLSLGNAHGTFIQWPKDLIEIRGGKVQSSLLAPRKLDLAKGQEETKDKEVKKKYVAPLEFQLGMPLVRDDVLAAMGTACKDLHAYYIEKSNTRKPNKATDILGEHDGKPFLGPTNYIVVDFKDLFDLYRLRAVDTSLLKCYSFAHWILLVITPKWSTCHYLNSRVDKNAYDWTPIQLGIDGARAQYVQRGYLRKTGHDTLIHKRDFLVKQQIGDQCGFHVWHNMRLLYGEKVKTLAEFEGTITKSLPISFEEAMMNTYYATVMM >ORGLA08G0124000.1 pep chromosome:AGI1.1:8:14300067:14303370:-1 gene:ORGLA08G0124000 transcript:ORGLA08G0124000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHRSKQSAVRVEPDSDTATSRPPRTDTTTSGGACPKKKRGEKGRNLMPKETYYIAALDDYCKPVELEHVKAKFSTACGALARLHGPLNVDKWNHVSIHIKNLMWEAEWDKFVAKMTTPQALERRKKMSDLAKKNIYPHRLGSSGYAGHEKKWRATEEKFAAEGKPLIVQPVNKRTRNWPSPAPQSYLAPPLDEHVPPPQPDTSKXQPKTCEARKLIPVMVSTYNKEKMAEYEMRMVLQSFKGRRGPLKPVGPDQYSDAQKSVVGLADKMQSWTSDEVPKEYEYGKSFLLFNLMCELPWQMRLMHEWYLRASELGLGIITVHVLEGAFKDGPNANFAFSFKDLHVFFKMDKMDINLVAAWCLSQWVDAQRTGASIGYVNPTMVCETAHTVRISEDSAVLKNKTPQEKKDYIERLHKRKMAEVGNYLATSFLAHSDKRVIMVPYYFGXHYILFLVYPTDQTVVVLDPADYDKDAYMEFLCLLNLAHDRYKKRGGYVKNPSREKLYIRGHWPCYKQPSLTNLCGYXVCEMLRVNGRYRTKFTDLPSIPYSASRFDQKTLINLCTDLCRYIRHDICNHLGEFHDPHSELATDPKFKNLREWERQHVVD >ORGLA08G0123900.1 pep chromosome:AGI1.1:8:14293783:14296950:1 gene:ORGLA08G0123900 transcript:ORGLA08G0123900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGMLCRSQAATAVCVPGDARSMIVSRRADRTIAEDARLAHDVRYARLGAAASAGGARVPSRRFAAPRQAPTPPPPPPPPPQPPKQHRRPRRGAGVAVTLPMVTKSPKETPAREMAAAAAAAKRAPLAAASPGDQVLQVVVMKVAIHCQGCAGKVRKHISKMEGVTSFSIDLESKKVTVMGHVSPAGVLESISKVKKAELLFL >ORGLA08G0123800.1 pep chromosome:AGI1.1:8:14288650:14290442:-1 gene:ORGLA08G0123800 transcript:ORGLA08G0123800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRRPGIRDASWALVRHQRGRPSGPGRAVAAWALGASVVVAPAQRGSGAVAQPPHGGQRGHGAAAGQHSTALRRHVFRFLFFITFFFVFLSLPLFLSQANTFTKCGDTAGRLRTGAPATAWAPAPRAKWPRRVASLAPRWGDGRERRTETPHCSALERTSRSQPWRWPLILQERIAFGEEITLDQPENLWPHGRRGIAKCNIRTGTTALFWTDLWNDQFKCQQYQHLYASSLYKNDSVQAMCARPLEDSFMLPFSYQAYCEYFHLQTELAHLNLQQGTGDSWSFIWSSSCYTPKRFYKLNFATIQIPRPL >ORGLA08G0123700.1 pep chromosome:AGI1.1:8:14277990:14278294:-1 gene:ORGLA08G0123700 transcript:ORGLA08G0123700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDAMEPGARDVLFLCARMNAHFSVGSGSPSCRLDSEPVLTVDSAYIEAAAMDHAIITVDAGVGVHIEHPSTT >ORGLA08G0123600.1 pep chromosome:AGI1.1:8:14273374:14273625:-1 gene:ORGLA08G0123600 transcript:ORGLA08G0123600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVEEDRCSGGELAKEEAATMRASLRRRKLAAARLFTESGEAPMRRGEMSEAAIFVGGDDCAKAIYNDRIREKHSYECLAL >ORGLA08G0123500.1 pep chromosome:AGI1.1:8:14255295:14256155:-1 gene:ORGLA08G0123500 transcript:ORGLA08G0123500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKSDKDAPAPAEIRGHPFHPAHKLKLITADDAGAGRFVCDGCKELGGAGCARYECEEAGCDFDLHAPCALAPDVLPAGRALFKGGAASFVLLHEPPPTAAPDDGDVRVCDACGDDVRGFVYHCFDRDLDLHPCCAHLPGRVALGGAAFELSSGGTAPRRCLLCTEEGSRPHLRRNYWTYSSDDLDGEAVHLHVACVKRMACESSSAGSSSSHRTDGGGGGRNMPVIRAPVQAAAALRKKNGRPRSKLKKLLKIVVFVLRVIAGVLFGDPTAMAVAVVGLVFPNG >ORGLA08G0123400.1 pep chromosome:AGI1.1:8:14252215:14253678:-1 gene:ORGLA08G0123400 transcript:ORGLA08G0123400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDYQRFARAVAAVAAAAGRHLPDDAVISDISRSLSSRAAHRLAAACPRWRAILSQPTFLCRHLSPRPLAGERPRALIVQPRKLGFTHLSLVAVDPADELAVHVPVRNKYKRPTRLNHESHRRSFIPNASAADEPLPEPEPDRFADHLAPGLEVVDADDDDDHVAFFERTVPALDISIVAAHGRLLLARGRSSYYVCDPAANRWVELPPSTLPPEHGINSGLHYDDLDDDASSGTGRLDFTVVLIGCRHRRVVVETFTSATGRWETKELPEQGTQGLARSVGGGPASPGIHVGGCFYWLTHRRNRGRILRYDVAGGRVTVVREPARAEGSIGRAERSLGSTGGRLRMCAFDVRDDSDESGSPYPHDGGVGVHGVWVMTTDDGVAAPAWRRVHEATVDDVGFYYFHMLFERERPVDFAGACGDFVVLDDSGYKLWRYDYLESGDNRRVELWNLNNPKDDNLRDLYERNQVSYVFEELYDRYHVFPFFG >ORGLA08G0123300.1 pep chromosome:AGI1.1:8:14243083:14243358:-1 gene:ORGLA08G0123300 transcript:ORGLA08G0123300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHVACVKEMVQEILAAGHGGGGGDGGGRSIISVSILEKTMKKRKRSRTGKAVKCFLNFAISVIVSVLFGDPTGLAVPLVGAFISNVVYG >ORGLA08G0123200.1 pep chromosome:AGI1.1:8:14233375:14234184:1 gene:ORGLA08G0123200 transcript:ORGLA08G0123200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFEEPPAKLTHWAHPEHELTLAATAGAPFRCDGCQEPGGDGPRYRCAPCNFDLHTDCALPPATLQHPLLFKGGGCTFVFLREPPAPAAASRQCDACGDDVRGFVFHCADRDLDLHPCCASLEDRIVTGGGGDGDGRVFELTKAASSSSSRRRCGVCGDKSRRTFWFYRGRFDGEDVFIHVACVKELAVRRWEASYRRRSGAGQIALAGAPLMEGALQSLPRRTRRSGGFERFSKIVGVIVSAIIAVIFGNPMGLIAAVAGPDGLLRG >ORGLA08G0123100.1 pep chromosome:AGI1.1:8:14218765:14219759:1 gene:ORGLA08G0123100 transcript:ORGLA08G0123100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGNVKELISNVSVYTSAAESSGGLSLIFKRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIIRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPVAGHVLHPVYASDSIPAAEMEPLLTNLHDL >ORGLA08G0123000.1 pep chromosome:AGI1.1:8:14207299:14209444:1 gene:ORGLA08G0123000 transcript:ORGLA08G0123000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QIH9] MGSFSAAEETMATAAARPHAVMVPYPAQGHVTPMLKLAVLLHARGFHVTFVNNEFNHRRLLRARGAGALDGAPGFRFAAIDDGLPPSDADATQDVPALCHSVRTTCLPRFKALLAKLDEEADADAGAGAGDARRVTCVVADSTMAFAILAARELGLRCATLWTASACGFMGYYHYKHLLDRGLFPLKSEADLSNGHLDTKMDWIPGMPADLRLRDLPSFVRSTDRDDIMFNFFIDVTATMPLASAVILNTFDELDAPLMVAMSALLPPIYTVGPLHLTARNNLPADSPVAGVGSNLWKEQGEALRWLDGRPPRSVVYVNFGSITVMSAEHLLEFAWGLAGSGYAFLWNVRPDLVKGDAAALPPEFAAATGERSMLTTWCPQAEVLEHEAVGVFLTHSGWNSTLESIVGGVPMVCWPFFAEQQTNCRYKRTGWGIGAEIPDDVRRGEVEALIREAMDGEKGREMRRRVAELRESAVASGQQGDRSMQNLDRLIDEVLLA >ORGLA08G0122900.1 pep chromosome:AGI1.1:8:14181361:14182188:-1 gene:ORGLA08G0122900 transcript:ORGLA08G0122900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLFPDTPATISFHPAHRPGHKLKLVRTGGQKFKCDGCMEHGDGPRYRCERETCNFDLHTCCALAPATREHRLFPGCTFVLLPEPPPPTAAGERRICDACGEGVHARGLVYHCSGRGDGGLGLDLHPTCASLPARFAVGGGRVFELRKEASRRCAECGEMRCGGGRRFWFYRSYSYADGDGEALYLHVACLKRMQTQYGAAADVRSVQVMSSPVMEGMLRSLPPARRRATAAGGGGGLERFLTIVAGVIRAIIGVIFGDPTFLIELAVGAILNS >ORGLA08G0122800.1 pep chromosome:AGI1.1:8:14159544:14160308:1 gene:ORGLA08G0122800 transcript:ORGLA08G0122800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLHYLSDLLLGGSSGKTSHKKKRQFNTVELKVRMDCDGCELKVRNTLANMKGVQSVEINRKQQKVTVQGMVDTQRVLRRAQSTGKRTELWPYVPYTNPYVAPPAAYDKKAPNGHIRRVDAVLPVTPSQEERLATLFSDDNPNACAVM >ORGLA08G0122700.1 pep chromosome:AGI1.1:8:14137316:14142419:-1 gene:ORGLA08G0122700 transcript:ORGLA08G0122700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1QIH6] MDITGAGAMGGGSTAATAAAAAGGGWKTPVSMVLVQLFITGQILLSKVSIGGGMLIFVLLAYNSFFAVVFLLPFALIFERGKWRDMDWGAFGWIFLNAFIGYSVPMSLYYYGLKDTTSSYSVIFLNITPLFTFILSLMFRLEAFKLRSIPGVLKIASILLSIGGTMLISLYKGKSLHLWDSIIQHQNEHKSATNQLRGTILLVGSSFTFACWFLIQSKILKVYPYKYWSSMVTCLVGVFQTALVGIILRRDKSAWELGWNLNLVTIVYTGALATAGKYILNSWAITKRGPTYPTMFSPLSVVFTVVLDSVLLGNDITIGSLLGTALVIVGLYLFLWAKAREMPKKST >ORGLA08G0122600.1 pep chromosome:AGI1.1:8:14135631:14136173:1 gene:ORGLA08G0122600 transcript:ORGLA08G0122600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSGQLLVRLQRRWFELDPWRRVCGEQDLQTAACGGGDGAVGLSFETHHGGSVAPSPEFAVCAASSCSAELMVLLVLQRGELLVRHDRPSHHHRRRFPTPQPAEAAAAVEVGWGSQVLRLRAFPSF >ORGLA08G0122500.1 pep chromosome:AGI1.1:8:14130750:14132270:1 gene:ORGLA08G0122500 transcript:ORGLA08G0122500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMGRDGAPPPNAFSYNVVIAGMWRAGRGGDAVEVFDEMTERAVLPNHITYNTMIDGHIKGGDLEAGFRLRDQMVCHGLKPNAITYNVLLSGLCRAGRMGETSALLDEMASQKMVPDGFTYSILFDGLSRNGDSKAMLSLFGKSLKNGVTIGDYTCSILLNGLCKDGKVSIAEEVLQSLVNAGLVPTRVIYNTLINGYCQTGELEGAFSTFGQMKSRHIKPDHITYNALINGLCKAERITNAQDLLMEMQDNGVNPTVETFNSLIDAYGRTGQLEKCFIVLSEMQENGLKPNVVSYGSIVNAFCKNGKIPEAVAILDDMFHKDVLPNAQVYNAIIDAYVEHGPNDQAFILVEKMKSNGISPSIVTYNLLIKGLCNQSQISEAEEIINSLSNHRLIPDAVSYNTLISACCYRGNIDKALDLQQRMHKYGIKSTVRTYHQLISGLGGAGRLNEMEYLYQKMMQNNVVPSNAIHNIMVEAYSKYGNEIKAEDLRKEMLQKRNNHDDT >ORGLA08G0122400.1 pep chromosome:AGI1.1:8:14121547:14122338:1 gene:ORGLA08G0122400 transcript:ORGLA08G0122400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQMLSWLSSGAAWFVFLNVIVGAIAVVSWLGQGVGGTTSTPSSAARRRLARTASTVVMERLRSVANFPFQYLSGDYSATPLHVHGDVSSGSDYFYYPREAEEELVEAVAAAPFRPEPPAPVREVVAATTPSMAAPRSSPVAAATAAAIKNEEEEAEAEEEEESISLDEAYALAQQAQARSPRSAPDAAAAAKAADAKPRRARAEEVEEGKAEVNARAERFIKQFREDLKLQRINSIINYTNALRRRGAGGVAATAPAPAR >ORGLA08G0122300.1 pep chromosome:AGI1.1:8:14105782:14107131:1 gene:ORGLA08G0122300 transcript:ORGLA08G0122300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTTNTTTTTTTNNNNNPVSTTTTTTASHQRQSLPVAARPPHSSGSGSGSGGSHRGASCGGSGGGGGGGGGGGGTNQACAACKYQRRKCNPDCPMAPYFPADQQRRFLNAHRLFGVSNILKTLRHLKPELCDDAMRTLIYQAEMRAHDPVGGCCRVICDLERQLELDTAELNTVLHHLAMCRQADAAGASGSVAATLPAGVLEDACADLDVTSSNQPLLLSAEHEVVDALYANQEADAAILHADGHHNQDESQREHHHGQPQQLYDYFYYDSTAGDDVSSKPNLDINVDGMQHFDFDTNYDAEHKVELTSDHQMPVGVDEHNQIDDKGFEIKSGPSLVDVFDLRQEEEQVQTVDVNTDIEVKEMVDMNADIDVKTMVDENSNIDIIKTMVDVNADIVDVVKTVVDVNGDIGVKEELPELENGKIIAGDATQMAESSHCRLGLGVSSF >ORGLA08G0122200.1 pep chromosome:AGI1.1:8:14099448:14101420:1 gene:ORGLA08G0122200 transcript:ORGLA08G0122200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEVTARSAPRNGGEVTGGGVYCWRSTAVPGGAAAGEVKLDILIKQSKMEYIWSSTMVLPRRGRQLAGRPAATPPSTIRRYGADDEAAPASAWVRLRTDMRRCSLRSSLNCRMNRSALAFTSAFPSTSANARLPFAASLLFLGLSSAVAAAATSPAVAAAAAAACGGGGRTAATAGCACASSNPMGFSSAASAFPDAAGDVGGPTVMSSSCTPEIAWTKEKAEKDRSRSMTMDDALRVSLRLVDDGGGGGACSCARDDTATAPTTTVNRTNHAAPGLSHGGSGHHHNDGDDDDSTAAIDLVTHSHYTPVFAFLQLQRSARPRNLCIL >ORGLA08G0122100.1 pep chromosome:AGI1.1:8:14088626:14095941:-1 gene:ORGLA08G0122100 transcript:ORGLA08G0122100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRLLHGVMEAKVLEAKLSSVSSEASDYGHGQPKLAAYSKEVDSLNEMEDLRLTLESQKLPRTKLLSLIMKLPFIFTCQRFDKSTDQAHHGDGKRLVYATIGMDAARVARTRATDQPQWTEEPLHVYCAHDASDIVFTIVTTGGHRDGDPEDGTAEEVVGQAYLPADDVGGGKEIDRWLPLCDEKRKPLEGLDKVHVQLRFTDVMSDVTSRWGKGVDGPVPPPPYTGLPRAFFGQHRGCKVTLYQDAHVAPPLAGSRCWEDVFDAVANARSLVYIAGWSVSTDVALVRDPRRPAQTLGHLLKSKAGERVAVLLLVWDDRAATGLGAARRDGRMGAARGEDTASYFRGTGVHCVVCPRDAVFTHHQKAVVADGPRGLVAFLGGIDLCGGRYDTQEHPLFRTLATAHRDDFHQPSFPGASVAKGGPREPWHDVHCRIEGPAAWDVLDNFEQRWRGQGGAGGEALLARLPRSSAAREAVEQDNQEWHVQVFRSIDSRAVDRFPDTAGEAARCGLVTGATGDTVERSIQDGYIHAIRRAKYFIYIESQCFLGSSYGWNRDVAGGAATAKNAAAAAVAPHTIPKELSLKLASKIRSGDSFRVYVVLPMWPEGVPESATVQAVLDWQRRTMEMMYKDVAAALAARGSTQNPREYLSFFCLGNREPYVPGEHAPPERPELDSDYMRAQQARRFKINVNANIMIVDDEYIIVGSANVNQRSMDGGRDTEMAMGAYQPRHLDTPNSWPRGQVHQFRLALWREHLGQAAFQAAAAAGDDMIYPSRHGCMSRVNQAARQHWDMYASDKFQGSLPGHLMAYPVGVGDRGELWEAVPFFPDTNAKVFGCSSDELPPVLTT >ORGLA08G0122000.1 pep chromosome:AGI1.1:8:14079244:14081001:1 gene:ORGLA08G0122000 transcript:ORGLA08G0122000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMCFSEKCEQTKNDPSSLVSNLPPNVHRAQAEAFRRRWIQRTSCGANTLGWPDLHDSRLTIDRQQSGEAVVEIHPQHGTGQPRGCKYYLYLSALQLAIMFK >ORGLA08G0121900.1 pep chromosome:AGI1.1:8:14072594:14076776:-1 gene:ORGLA08G0121900 transcript:ORGLA08G0121900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLINRGVFFKILAFLCFIRSSQGRNHFTTLDLPPLLKASSFSRIQHEAYDYIIVGGGTAGCPLAATLSQKYKVLLLERGGSPYGNRNISHLENFHICLADDSPNSPSQGFISTDGVINARAKVLGGGTSVNAGFYSRADPSFVQDAGWDAELVNQSYPWIEERIVYWPNITPWQAALRDGLLEAGVSPYNGYSYDHLFGTKVGGTIFDEAGYRHTAADLLAAGNHNNLRVLLHASVTRIIFNTEQEHRKPRTIGVEFKDENGGQQHAFLTRNRDSEIIISAGAIGSPQLLLLSGIGPRKELKKHNISVVLRNEHVGKGMSDNPMNSIFIPTKDAPKQSLIQTVGITDGGAFIEASSGFSQSPDSIQCHHGIMSAEIGQLSTIPPKQRNLDAVKKYVHKKYNLPKEVFSGGFILSKIDGPLSTGNLVLVDTDINSNPTVTFNYFQHPKDLSRCVYGIKTIERILKTNHFTNFTLNGGGYPMEVVLNMSVTANINLIPKHTNDSTSMEQFCRDTVVTIWHYHGGCHVGKVVDQQYRVIGVSGLRVIDGSTLFRSPGTNPQATVMMMGRYMGVKILRRRLGRAAGV >ORGLA08G0121800.1 pep chromosome:AGI1.1:8:14068402:14069470:-1 gene:ORGLA08G0121800 transcript:ORGLA08G0121800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDRTEKAGRKFRSLDPKLPDPALDEICLSVGRKFRGSGTSGLPPFQKCVARELPKSCGCPGKVCEGFPHPCKETRFFCCPEVPVLRTPEVPDCLSRPEVLVFYTRRF >ORGLA08G0121700.1 pep chromosome:AGI1.1:8:14054978:14057752:1 gene:ORGLA08G0121700 transcript:ORGLA08G0121700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVIMDPQLLMAARSGACKALENLLVNHEEAAAESHLVIRMPEEGASNTSSTSSDLAQQGQPANRPAAASPLLEGLTLDSDQDSALHVVATSGDGEQYVQCAEMIHGRARHLLGATNNRGDTPLHCAARAGHHAMVCRLISLAAHEGGAANGRILSTRNKLGETALHGAIRGGNRMVVERLVSEDPELARIPEDRGIGASPLYLAVSLGRLEIARDLLDRSPTTLSYSGPEGQNVLHISVYRGEALSILLDKCKDVKVNIDQGGRYRSMPVLLHLTSQGDKNGSTPLHFAASLKTSTTGLSRWSEYFHPKPSPTTLLLDANESAMYQPDNGGSYPIHVAASNGTLKAVITLLGRSPGCIALRNMQGKTFLHVAVEKKRHSIVAFVCKRPELASVLNVQDNQGDTALHLAVKAGLVSIFNLLFRNREVSLNLPNKDGLTPRDLSWIMIPARFYYKKNSRGMIHQSLALARAPVGHSRQDHFYEKHSKRRDEEIDSEYLTNATSVLGISSVLIATVTFAAAFTLPGGYRADDHANGGTPTLAGSYSFNAFITANTLAFSCSLLATVSLLYSGMPSREISIRYVYQSLSLVMMRSSATSLVAAFALGMYVVLAPVALTMAKSVCAITFLSFLSACMEVRRPLIVANSVRIRVGIWAARYQAAPVLKFIGKRFWSYVIIFGLPAVLKIRGTQ >ORGLA08G0121600.1 pep chromosome:AGI1.1:8:14039703:14042991:1 gene:ORGLA08G0121600 transcript:ORGLA08G0121600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGARRRREARRAGWEREVGSVGGGGVEGGGRRDARDGKGRHKIGITKAPSQMSNICSVPHQVKIRKKKMAVTMVWLGVGDEALEAIGSSCSALENLSLDNLNKCSDRLNNGFFFMSIIFQRNLVIDLPMVHMYGVGLGVQSPACDVCQDRLLQNTAYKYWTADIIGQSVASLNVTSGRSWTYNLWMARSGSARRRLPWTPKYCEHYMPVRSGIILIVMVLLVYRFRVFVPENHLPLYEQPIPGSSIN >ORGLA08G0121500.1 pep chromosome:AGI1.1:8:14011499:14013567:1 gene:ORGLA08G0121500 transcript:ORGLA08G0121500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRAANRCIVQEDRKRAPKLACCPPSSEQQHVKSNGNCRNSEDRPVPNFMPLSWNPMNSSLPPDIRWWLQLQPNLGGQKNLAGERLYFLGREISDKEVEDSAQKNIHDEPLFCEMFDTNPEKIEDVFEPSWMVSTASMKYSSETGLQDLKNIGGYSQVPSKCKENASDCLFNDKEFLDFKNFNPPPSKNPQKDDFDMNVPWKGGERSRPWWQITDENELALLVAERAMQHIENCDLPRPTQIVRVQGIESRSHENMGRYRGSSGPAGTMSYPDTGQCEHIECSYSTASTDEVDLTSDGVWQQQERNVARSDAQDFSRGINTEPRGKRTYQNPAEQAQLLEALCHSQTRAREAEMAGKKAQSEKDDVIKLFFRQASHLFACKQWLKMLQLENICLQLKHREHQIATMIPDIPWITLKKRTTPDHEKEDWTRKKGRRHKNAGSFCDALLFAVGLGLAGAGLLLGWTFGWLLAKF >ORGLA08G0121400.1 pep chromosome:AGI1.1:8:13999118:14003014:1 gene:ORGLA08G0121400 transcript:ORGLA08G0121400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLDLREMLSSAARRHTWRRSGWGAEWGGGDDARSAALPARCPPPPPPRRPAAAGGRGDEGSGGVRGRGEEGTSRGGSGARREDAAGTGRNAVAVVAGGSATSGAERVAARAEDGRRSMDGPTLELSEMMLHAAQPWRSRCTQRDVRPGAFPPRPVAADGRGEGTSTVRGRVLEGTTRGGGRGGGMEREREVVAPARNAVAVAGDLATHGGERVAGPLVAKEKRNGGGELGTKRGLEKRAPLPPPKRRVVSAKRQFPPDFGRDSAVPLGRGRGRGGGVRPSDGAPARAVLGEKVASAGNGDSMANVHHHAVMDTVLMKSSHASDENLVAFKVGSPENGAEGAARGKGAHNGELLGKREVLAQAVNLLPMRRTVSATHRFTAGCGRDAAAPLARREEGKVGSGLEVMPVDVGGGVSKEVMATDGNKHSVNQCTANIVGAVGVLDGTVQYQELEEGEVADEAYCDVESQKVVGCDSFDDSAGERHEGVVPVTFAVTEVLASHAYDEMMQIKALQEGGSDAAQETEHDLPMGGKCETILPDASPKCSFGGPSNEIVHGKRVLGSHGMKGEVPSLAIEDHGGIAQIDQELEDVEMTTGEYRVQDAQIATHVIPHESTTGRHEEGLCASAAAEDIKVMNKYKGTLPKAAAKSSMNIATGVFGDGIMRSKILSTARKVVKPPVRASHKPPLNTLHRPFSTNSASFGHKKLKVKRPDQSKDIPMKIASTSGLAGKDNLTDEKALSLEDDDILKALVVHDGKLEVYLNVPSCVQLHRQHGSGNADDRSKIRMLCRRFQFICRALLHAVEQGSLKIRRVDLAADKIIRKLPGFTKPGPTVGNVNGVEVGDEFMYRVELALVGLHRPYQGGIDTTDYNGVLVAISIVCSGGYPDELSSSGELIYTGSGGKPAGKKKDEDQKLERGNLALKNCIETKTPVRVIHGFKGQNREDNSHSRAKQILTFTYDGLYLVVDCWTEGLKGSRIFKYKLQRIPGQPELPLHIAKGLRRSLSRPGLCIADISQGKEMDPICVINDVSNVHPTSFQYISRIKYPSWLTKRHPQHHGCDCTDGCIDSTKCFCAVKNGGKIPFNSNGAIVHDKPLIFECGPSCRCHSSCHNRVSQKGMKIHLEVFRTANKGWGVRSLRSISSGSFICEYVGILLTDKEADKRTNDEYLFDISHNCDDEDCSKGRPSTISSLNSSGGCSQTMEDVCFTIDASEYGNIGRFINHSCSPNLYAQNVLWDHDDQRVPHIMFFAAENIPPLQELTYD >ORGLA08G0121300.1 pep chromosome:AGI1.1:8:13986184:13988967:1 gene:ORGLA08G0121300 transcript:ORGLA08G0121300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGARWQPRRSGWAEWGGDARPARSPPPRRRRPDVAAGWRGEGTSRVRGRGEKGTTHGGAEGEGAVVAPVRTADAVPARNAVAVAGGLATRGVERDAGQVVAREEKRHGGELGTKRGLEERAARSPPPPPPPKQRAVSAIRQFPPGCGRDAAAPVARGRGCDGGVRLLDEATAAPLAGSKDDSAVPGVVEKVASVDGGDSMANAHHHHHAMMDTVLMKSSHVSDENQVARKVGSLENGAEGAARGKGGHGGELLGRKEVLAQAANLLPKRRIVSATRRFPPGCGRDAVAPLAHREESKVGSSLEAMPVDAGWGVSKEVVTTDGRSNSVNQCASNIVGTVKCQKLEDGEVAAEACCDVESQKVAGHGEKLESAVPVTSAVTEVLTRCGSDEMEGCSYAAEATEKHLSMGGKCSIGGPFNEIVHGKRVLGSDGIKREVPSLAMEDHGSIAHDQELVEVELTTGDHIQEAQVATTVNPHESTISRHEAAVSANTAPEVSIRHFSSVKNGNTSQHEETIYASAAADVVKVMNKCKGTKSKAAAEPWAEGPSKEHFKAKRECEKDGMKKSSMNVPTEVFRDGIMRTKLLLTARKAVKPPLNTLHIPFSMGKGGSVVTNSASFGPKKKVKVKSPHGSKGIPMKIVSTSGLAGKDNLINEKALSLEDDDILKALAVHNGKLELYLNVPSCVERHRQHGSENGNDRSKIRMLCRRFQFICNALLHAVEQGSLMVRRIDLEADKIIRKLPGFTKHGPTVGNVRGVEVGDEFLYRVELALVGLHRPYQGGIDTTDHNGMLVAISIVASGGYPDELSSSGELIYSGSGGKPAGKEKHEDQKLGRGNLALKNCIKTKTPVRVIHGFKGQNREDVSHSRAKQISTFTYDGLYLVLDCWREGLKGSRVLKYKLQKIPGQPKLPLHIAKYQNTRLGSQGW >ORGLA08G0121200.1 pep chromosome:AGI1.1:8:13974647:13980027:-1 gene:ORGLA08G0121200 transcript:ORGLA08G0121200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1QIG1] MAWSQSARKPMIGLLFRAQQHAARGYSYSAFQAHLSSSNVDQSATLLRRFSSEVPASEQMNLIKQLRERTSAPIKDVKASLVSCNWDIDAAQKDLRKRGVVLAAKKSSRTAAEGLLAIAQDEKRAAVVELNCETDFVARNDVFQYLASSLAKLALSARDPGELVFPFGPDYLENLNVNLDHPKLNGETTVQSAVTEVAAMVGENVKFRRGFIMSTTAHGVVCSYMHTCPQPGLGRLAGLITLEAEDSNAPLDALQRVGKSIAMHIVATKPLFLSKELVSASAVENERDILRTQAESSGKSQMAMEKMVEGRLRKYFEEVVLLEQKYVVNDSTNIKSVLNDLSKEVGSKVTVGNFARMEVGEGVSKA >ORGLA08G0121100.1 pep chromosome:AGI1.1:8:13959544:13962267:1 gene:ORGLA08G0121100 transcript:ORGLA08G0121100.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXWWWWWWWWWGDLQGGWRRGMDRWEALIAAAARRSEPPRSGWAEWGGGGDARAGAAALPSRCPRRPVAAAGGRGEGGDRMRGRGGEEGTWTAHGGGGRGAERAGAVVAPTRTADAAGTGTGRNAVAVGGGAAEDGRRTPSVSVRQVLCLGFVECLGCVTVNLVLFVCSVMVIVSGRRSVGEPTLDVSEMLLQAAQAWRSRRTQREARPDALPPRHPPRPVAADGRGGSGEGTSRARGRGEEGTARGGDGEMMALVRTVDVAVAGGLATLTHGGERDAGAAVATEEKRNGGEVGTKRGMEERAARSPPPPKRSAVSSVRQFPPGCGRDAALPLGRRHGRGRDGDGGVPPLAGNRTDLPLEAVVDGGDPIANVHQIFSKSSHATDENQVACKVGSLEDVVQEGAANSGELLGWKQVLAQAANVLPKRRMVSATRRFPPGCGRDVKTGSGLEFMAVDASCGGVSKEVVATDGGDSLVSQELEEGEVADEAYSDVDSQNVAVDDSMAAATEDVKVMNKCKGTLPRAAAEPCAEGPSKEHFKGMRECENDRMGKSSMNVATEVFGDGMMRSKILLTARKAVKSPLNTLHRWPFSKGKEECAVTNSAPFGPKKKFKVKGAYQTKDIPIKIVSTPGLGGKDNLVDKEALILEDDDILKALAVHDGKLKLYLNASSSVQRHGQHGSGNADDRRKTMMLCRRFQFIHRALVHAAKQGSLKVLRADLEADKIVRKLPGFIKPGPIVGNVRGVEVGDEFLYRVELALVGLHRPYQGGIDTTDHNGVLVAISIVASGGYPDRLSSSGELIYTGSGGQPAGKKKGEDQKLERGNLALKNCIKTKTPVRVIHGFKGQNGKDDSYSRAKQISAFTYDGLYRVVDYWREGLKGSMVFKYRLQRIHAGR >ORGLA08G0121000.1 pep chromosome:AGI1.1:8:13952791:13954315:-1 gene:ORGLA08G0121000 transcript:ORGLA08G0121000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVAEVGKLMSRNPSRRARMGRRRVVVDAQEGVMDQGDQPEVAHNGTMKSKPKSMPMSETPTHEITAETMASQQGQKEALQKVVTGRSANDCPARDADGGRRRSKTEAVRKESGGRVERSTGWCRQSAPGRGRSGLGDAGRAPRRGEEEATVHPAAAGMAR >ORGLA08G0120900.1 pep chromosome:AGI1.1:8:13947702:13948985:-1 gene:ORGLA08G0120900 transcript:ORGLA08G0120900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of CFIM-25 [Source:Projected from Arabidopsis thaliana (AT4G29820) TAIR;Acc:AT4G29820] MGLEIMTDEAAAAPSPAAAAARVEIYPLCRYYFGARDVAAGGAGAGLETAADRALRLKANFAAHGLRTSVHGVLLVELFDHPHVLLLQVRNSSFLLPGGRLRPGEQDVQGLKRKLSTKLSVAGHQDDEDGDGDDEWQIGECIGMWWRSEFDAAPFPYLLPNARAPKECIKLFLIKLPVSRQFVVPRNMKLLAVPLSQIHDNAQVYGSIIAGIPNLLSKFSLNIISD >ORGLA08G0120800.1 pep chromosome:AGI1.1:8:13937755:13947165:1 gene:ORGLA08G0120800 transcript:ORGLA08G0120800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase [Source:UniProtKB/TrEMBL;Acc:I1QIF7] MAASPEQFRGQARLPRCASPLSYDLRLRPDLAACAFSGSAAVAVAVSAPTRFLVLNAAELAVDGSSVRFQDLVPSEVVQFEEDEIVVIGFGQDLPIGEGVLKMDFTGTLNDQMRGFYRSKYEYKGESRNMAVTQFEAADARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVIKETVHGPLKTVYYEESPLMSTYLVAIVVGLFDYIEGSTLEGTKVRVYTQVGKSNQGKFALDVAVKSLDLFKDYFATPYPLPKLDMVAIPDFAAGAMENYGLVTYRETALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVEALFPEWNNWTQFLDETTSGLRLDALAESHPIEVDINHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEESGEPVKDLMTTWTKQQGYPVIYAKLDGHDLHLEQAQFLSDGSSGPGLWIVPITSCCGSYDAQKKFLLKGKTDKVHIDLTASQNAGGEKGENCWIKLNVDQTGFYRVKYDDELAAGLEKAIKANKLSLMDKIGIVEDSYSLSVARKQTLTSLLRLLNAYRNESDYTVLSHVTSVCLGIDKISVDATPELSRDIKQLLINLLLSAAKTLGWDPKEGESHLDVMLRSLLLIALVKLGHDETINEGVRRFHIFIKDRKTNILPPDTRKASYLAVMRTVTTSSRAGYDALLKIYRETAEAQEKSRILGSLSSCLDKDIVLEALNFMLTDEVRNQDAFYVLGGISLEGREVAWAWLKENWDHVLKTWPSSSLISDFVKSTVSRFTTEEKAAEVSEFFAGKTKPSFERALKQSLERVRISARWIESIRSEPNLAQTVNELLQHDM >ORGLA08G0120700.1 pep chromosome:AGI1.1:8:13933432:13934098:-1 gene:ORGLA08G0120700 transcript:ORGLA08G0120700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADVAKEHHKEEKKDKEHAKEAKPEKEKKEKKEKNGEEAAKPAKEKKEKKEKKEKGKEKKEKVEETTDVAKLRAKLEKLDAKIDDLKAKKQEIVARLVQLEEGATANAAAADAAPPASG >ORGLA08G0120600.1 pep chromosome:AGI1.1:8:13929514:13931379:1 gene:ORGLA08G0120600 transcript:ORGLA08G0120600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALGLVQVDQSTVAIKESFGKFDEVLEPGCHFLPWCIGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALAEKASDAFYRLSNTREQIQSYVFDVIRASVPKMNLDDAFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAAQIRDGQLQAKLI >ORGLA08G0120500.1 pep chromosome:AGI1.1:8:13910615:13917419:1 gene:ORGLA08G0120500 transcript:ORGLA08G0120500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVRRRLARFFRQVHALLLKNLSFQRRNAKANAAIAAFPALLCVLLVAIQAVIDGELDRPPFRCGCACVRRDGGRAGAGACAATECGVQHSTATQALSCAVPAPPRWPAVTQVPDTPYRALTPLHPARCRSDGGGGASEEPCPVAVLTTGQNRRLTEGLGRGFFPDVPPSYYFGVPNSNNSSYIDELSKIVPGTSTLPAHVLFIEPGFVPNSTLYVIQRKCIWDSHNTSGSSDDAMPIQLDVKCVQGLSLWCRNSAGMNDHFYKGYKGGNKRRTSNEYLAGYDFLDTSKRRFHVYVSYNSTFSRDNGHHPMTVLRVARLVNMASTTYLKFLRGPNVEMRLEYLKEMPKAAMKIRLDLTTLLDALFFTWTVQLLLPVMLTYLVYEKQHNLRLMMKMHGLKDGPYWMISHAYFLSLSAAYMMFFVMFGSLIGLDIFRLNSYSIQFVFYFLYINLQIVLAFLLASFFSSVKTASVISYIYVFGSSLLGEALLQLFIEDITFPNYSEYIYWILIKNYHSTCLLGQWLVTMELVPGFALYRGFYELAEYAFSGRQMGKPGMQWRDLNDPINGMKDVLLLMSIEWILLLPVAFLLDHRPTWHPLFLFGFMSTKHSSPTLIPDKVKQRSRKDQDHLPSKSRDFVTDMWDKGTVISKRKVVKKLLKEMDMRNMIICHNLKKVYPGKNGNPDKLAVKGLSLALRKGQCFGMLGPNGAGKTSFINMMIGLVAPTYGTAYIHGMDLRRDMNEIYANIGVCPQHDLLWETLTGREHLMFYGRLKNLTGAALLKAVDESLKSVNLFHSGFGDKSVNKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDTTSRSNLWNVIKRAKKNCTIILTTHSMEEAEELCDRVGIFVDGNFQCLGTPKELKARYGGVRALTITTAAGHEEAVERAVARRCPGAAKVYGVGGTQRFEVPRRGARLDGVLGAVEAARRAAPVVAWGVADATLEDVFVRVAMDARAAAHVLS >ORGLA08G0120400.1 pep chromosome:AGI1.1:8:13902115:13907190:1 gene:ORGLA08G0120400 transcript:ORGLA08G0120400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSSSSRGAAGFATQANALLRKNLCFQRRNMKTNACITVFPVFLCVILVVLQGVINHEINKPKYQCGCACVDAAPDGTCRRTECGVEHSTLDQVGSCPIQSPTPWPALVQVPRPESRAVRIASQPFDGLPDPTCRDTGSCPASVLITGMNRSLAQSLSGGLFPAVPPSLNFTDYLDSFSKIVAGSDTWTWTTQFIEPVFTPGHSLYVVQPQCSSNLSRTISNKAGPVPVQLNIDCVQGLSLWRESASQINNELFRGYRQQGGGSGGRKTNEFIAGYDFLNTNNNGLEINIWYNSTYNNNTAFNVISLLRVPRLVNTASNAYMKFLRGSGVEMLLEYVKDMPKVGTKPKFDLSSLLGALFFTWIIELLFPVSVILTYLVYEKQQKLKIMMKMHGLKDEPYWMISYSYFFALSAVYMIVFVLFGSLIGLNFFKTNNYGIQFVFYFIYINLQIALAFFIAAFFSSVKTATVVGYIYVFGSGLLGAFLLRFFVESTSFPKGWIVVMEIIPGFSLYRGLYELGQYAFSGNAMGTNGMEWTNLSDSENGMRIVLIIMVVEWAILLPLAFYLDKISSLGSGAHKTPMFFLKRFKNRAVSLRRSFGRQGSKVVVEMDNPDVSQEREVVEQLLLEPNANQAIICNNLKKVYHGKDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLIPPTSGTALVHGMDINTDMDSIYTNMGVCPQHDLLWETLTGKEHLLFYGRLKNLKGAELEKAVDDSLKSVNLFHGGVGNKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCLGNPKELKARYGGTYVFTMTTSSEHEQEVKQLVQHLSPSANRIYHISGTQKFELPKQEVKIADVFHAVERAKRQFSIHAWGLVDTTLEDVFIKVAKGAQGVNVIA >ORGLA08G0120300.1 pep chromosome:AGI1.1:8:13880259:13886084:-1 gene:ORGLA08G0120300 transcript:ORGLA08G0120300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSGAALAWQQYRALLRKNATLTWRHRRSASLQLLSSLVFIFLIFCIDRAIRSRFSYTTAYRNVPDPSPLVAPPIPPCEDKYFVREPCYDFLWSGGGGGAGSARVAGIVEAIRRNNPGRPIPAEKVLGFNTPDEVDAWLFQNPMRCPGALHFQVINDTQIKYGIQTNSTPVARRGTYEDPTFKFQIPLQIAAEREMARLLIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVTEKELKLRQAMSIMGLYESAYWLSWLTWEAFVTLLSALLTVLFGMMFQFDFFLHNNFGILFLLFFLFQLNMLSFAFMISTFVTKAASATTVGFAIFIIGFLTQLVTTFGFPYSTSYQKYYRTIWSLFPPDVFAQALNILGKATATPEDKGISWNQQGQCQSFETDCVITIDDIYKWLISTFFLWFVLAIYFDNIIPNVNGVRKSVFYFLMPSYWTGKGGGKLQEGGLFSFFGSNRPADDASPTDEDVIAEENLVKQQAANNEVDPGVAVQICGLRKTYPGSFSMGCCRCRRTKPFHSVKGLWVNLEKDQLFCLLGPNGAGKTTTISCLTGITPITGGDAMIYGHSVRSTAGMSNIRRMIGVCPQFDILWDALTAKEHMELFASIKGLPPSTIKSVAEQSLIQVKLSQAANVRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSKFGTGYIANVNFSGNGHTQSPNVNGNTEVPVNPNIESVKWFFKERLDVDPKEESRTFLTFVIPHEKEPLLTRFFGELQDREREFGISDIQLGLTTLEEVFLNIAKQAELESSTAEGTLVTLNLSSGSSIQIPKGARFVGIPGTESEDHPRGVMVEVYWDQDDNGSLCISGHSDEIPVPANVQLGRPPSLSRRASVGRGNPVGYIIDPNEVTAAR >ORGLA08G0120200.1 pep chromosome:AGI1.1:8:13876791:13879152:1 gene:ORGLA08G0120200 transcript:ORGLA08G0120200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRKAEAARAAEEEADRALYGAFRGAANSLSQLYALAGAHQRLSFHAGERHALEKLYQWMVRQHEIGLRLTVSDIASHIQHEIEYGGDNALTSPRSQHAFQNLQAPMHIPNTSTQQPPSCSITPSNPSKDSMIFSKALSSPVRQNLQLYHVQQGGDTGCFADGIFCPGNRDSDPAASNDSSVDMHSDSPGHDS >ORGLA08G0120100.1 pep chromosome:AGI1.1:8:13844994:13845416:-1 gene:ORGLA08G0120100 transcript:ORGLA08G0120100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTEKRRGRGSVLPLLRGHPSTCICLAIGCRPPTALRAQQPAAASAHAAHPVSLAVASALAAHPAILTTANPLNTATEIAKQVADARPVLACRALAVAATPLGQRCRSASHTLVHRRRPPSSPSPRVTDATLCKRASER >ORGLA08G0120000.1 pep chromosome:AGI1.1:8:13826612:13829535:1 gene:ORGLA08G0120000 transcript:ORGLA08G0120000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVITAFVNTVMPPLFKALSGSCKMVMNVIDDSDSMRRKLVLLAASMDDDLRRTKNPTAAAKVFGDQLRELTHDMEDCIERFLHRVSCAEGASRARRLGRFLLTICTRYRFGDKITALNRRLEELTNERLCQFVYDKPSPPPPLAPAAAQQREHVQLNPVGVEGAKGDILAMLEESPEELRVIAIVGFGGSGKTTLAKAVFRSTDDDRIRVFRSCRAWVDRAKEKNAGEIFRSLLQQFGYRGQGLLVDDEQYLQAQLMDYLRGRRYLIVIDDIGNGQWNSIKSAFEENSRNNGSRIIVTTTIKSEANTCCGNGKGFIYQMQNLEDQYCKTIALGEAPSPELQMGSEELLKKCDGHPLSLVCVANYLGGLNEPTGQRCRELCRYLGSKIHDNGNFERLKGVIMDNYTSLSNHVVRACLLYLSIFPNDVPLEKKVIIRRWIAEGFARSEDVDIDDQTIARWNFETFVDWDIFHPIIDTSNNGDVKMCKTRSIVHEYMLYKASRLERFIMSFPDWRRKVRHLCIDHRTPHKRRTTTDMDLSCVRSLTIFGTAGDTICEFHRYKILRVLDLEECNDVKNKHLKNIHKLWNLRYLSLGAEITSIP >ORGLA08G0119900.1 pep chromosome:AGI1.1:8:13825689:13826118:-1 gene:ORGLA08G0119900 transcript:ORGLA08G0119900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEIDEGACYEHLRRLRRQILEIDEVTTGASLSTGVDQKETIIGIAIAFGSGDSGIGSSTISRGICKKKTPSLVDW >ORGLA08G0119800.1 pep chromosome:AGI1.1:8:13808773:13817704:-1 gene:ORGLA08G0119800 transcript:ORGLA08G0119800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLNDDFSRDLLVSRVFGDKDKCPEELNEVSYEIARKCGGLPLATIAAASLLLSRPDKPDEWIYVQKSLIPDLRIQPTSEGMAQLLNNSFNNLPHHLKACMLYLSIYKEDHIINPDDLVKQWVAEDFVSATEGKSLDEAARNHFYELVHRGILETEHISCSDEVVSCTVHDMVLNFIKRKAMEENFVTAIDHSQSGIRLADKVRRLSLHFGYSKDAKAPACIRLSQLRSLVFSGFWKCGPSISEFHLLRVLFLHGKHGEVLDLTRIRELFLLTYLQIEGNVCIDLPSELQGLKYLRTLHIGAMVTFLRSEVVHLPPLLHFRLPPNLGYFVDTGSVQSIEELTNLQDLQLACPKIATSDHIKHNMKLLGSIIGILKSLKSLTVVPVCFSEDSNDNENSSIAIFLDFLDKVPPPCSLLQRLELFPRVCVFHRLPSWIITIEKLCILKVAVMELLRKDIETLSMLNALTVFSLYVRTTPAEPIIFDKSGFHVLKYFKFKCSTVSSLRFDEGAMPNLQRLKMEFNGSTVEQYNLENVGFKHLSGVKVSAKICIAGTSEANRMAIASVLNNAIRQNTRISSVIIRFINRVIKDLPIVPTPHTDHMHEKLRLDKSVRSWPTQCDGCKELGAGRRFKCEQCNSKGYYDMCCATAPHTLKHPLFPGSVFRFLRKPLASECGRACDACGDLMHGFVYHCFERGLDLHPRCARLPVRTANVKGYVMELRRVSACSRCCICMCGKEGYRNKFWSYRSSQEGQDINVHMACLKDLASKSHETLTKLYEILMGESQWTPTEVLGQDTSNQLVVSSAEDGDRTPLRQDEITEEFQHKDEVCNVLEHGREELRKKETDTR >ORGLA08G0119700.1 pep chromosome:AGI1.1:8:13775818:13778950:-1 gene:ORGLA08G0119700 transcript:ORGLA08G0119700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTPDLGDPPAAEITHPFHPHKLRLADNVTDGHWPFRCGGCKELAAGRRRYRCEPCDLTLYTCCATAPLTLEHPLLPGRHFRLLERPPPPPPWLAADDRGGGGWRPACDACGDLLRGDGFAYYCADGHGLVGLNLHPRCARLRLPVAAARGAAAVKLCRRAAPRRRCGVCMSGEDGYRHGFWTCRFRRSGGDELVDVHLSCLKELMSHSHETLTNLYKILMEDGPPRTPTHNCGEGTSAQVNSRIIECAIYSGESNGDAEEFENQNGTNNGMVPEMKTITHPSHPEHKLRMVTTTGEAPFKCEACKEPGDGPRYHCLTCEDFNMHKFCAHAPSTLYHHLFGRTFELLAKPPQGRPEKLHPAANGGGRGESGGRWCDICGDRVFGLVYHCSGANLDLHPCCASLQTLLVQNRETFDHPEGSLATPQKLVKEGLAKITIDGVAIDIAASRKCSLCSRQEEEGPDHCCCLRRRHEKWSYYSSDVVDGGGEAVSLHVSCIKQMARRRWHAGGQIMLASEEMIGEGGPLHGIPSERARNIVGAVVRVIIAVMFGDRTAVEGDVSSWVALSLPWLTNLFTVQS >ORGLA08G0119600.1 pep chromosome:AGI1.1:8:13749040:13752643:-1 gene:ORGLA08G0119600 transcript:ORGLA08G0119600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKTGFKTTEGSKMNSFEKVFEGKGNGSEFDTSDFWMELCMQCMDELIFLLAPESKYYDNLNYKLKQACLTLRVFSLQATKKEKHARGGGAEEVATGVGEVAALVEAEEAVVGCLPGGREGGRCRGRREGSGCCGGRGGGGRWSSRRVGGRRRPMIDVGEASLGGVGRQCGGGCQWKPAVKGGEVEPDVMDTERSRAGRCRSVEFDDGGRTTVDVEEAREVLGME >ORGLA08G0119500.1 pep chromosome:AGI1.1:8:13724346:13724765:-1 gene:ORGLA08G0119500 transcript:ORGLA08G0119500.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDPNRSPTGLGGPSDGSYTFVRGVTSGAWEYGEENARGGEGRAGAIDYFLYIDARYFTRVPSISQCLLPLSSASSGNDL >ORGLA08G0119400.1 pep chromosome:AGI1.1:8:13720022:13721514:1 gene:ORGLA08G0119400 transcript:ORGLA08G0119400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVLFVNKQGQTRLAQYYEHLSIDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLFVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIQLMEKTS >ORGLA08G0119300.1 pep chromosome:AGI1.1:8:13686577:13687305:1 gene:ORGLA08G0119300 transcript:ORGLA08G0119300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLLPLSLSLGFLAIVDLDGRRAVSLGTSNIYGFLVTVDVDGRRAVSLSTSNIMLRGCELKRTAXAISVTVYTVRDTKVKCSHLETHTNRETIVLTLLCTLVSLLAGIWLSDHCNELGIIPCFRKKDFSNPNEIENYKWYSTTPRWWAPWQGPGWRGXSALESKRSTAGSDNEDDYSGAIATAAPRRRRPPSPSRSRRRWRSTATAAVLRTGMWPAHDPAAAPASTPPVSSNKTPAPAAAP >ORGLA08G0119200.1 pep chromosome:AGI1.1:8:13679459:13679644:-1 gene:ORGLA08G0119200 transcript:ORGLA08G0119200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARWRKMIRQRRPGDGDGGAAAAAPSSPSDDGGGGGDVSGGSVGGGEGSMMSVLDERV >ORGLA08G0119100.1 pep chromosome:AGI1.1:8:13656584:13657108:1 gene:ORGLA08G0119100 transcript:ORGLA08G0119100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFRYLKGTADACLKFDRTDKGLVGYVDSDFAADLDKRRSLTGYVFTIGSCAVSWKATLQPVVAQSTTEAEYMAIAEACKELVWLKGLFAELCGVDSCINLFCDSQSAICLTKDQMFHERTKHIDIKYHYVRDVVAQGKLKVCKISTHDNPADMMTKFVPVAKFELCSSLVGIVV >ORGLA08G0119000.1 pep chromosome:AGI1.1:8:13653732:13654391:1 gene:ORGLA08G0119000 transcript:ORGLA08G0119000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRGRSEQRTYNDSNNRDKSQSRGRSKSRGKKFCKYCKKKNHFIEECWKLQNKEKRKSDGKAYVVTSAENSDSGDCLVVFAGCVASHDEWILDTACSFHICINRDWFSSYKSVQNGDVVRMGDDNPHEIVGIGSVQIKTHDGMTRTLKDVRHIPGMARNLISLSTLDAEGYKYSGSGGVVKVSKGSLVYMIGDMNSANLYVLRGSTLHGYVTAAVVSKDEP >ORGLA08G0118900.1 pep chromosome:AGI1.1:8:13653123:13653593:1 gene:ORGLA08G0118900 transcript:ORGLA08G0118900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKYDLPLLDYKTRFSLWQEKTAAELWLKLESICMSKYLTSKMHIKMKLFSHKLQESGSVLNHISVFKEIVADLVSIEVQFDDEDLGFLLLCSLPSS >ORGLA08G0118800.1 pep chromosome:AGI1.1:8:13651825:13652698:-1 gene:ORGLA08G0118800 transcript:ORGLA08G0118800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVFFFLTSRRWLPAAPEQSGEHAEAAAVSMRRRQRWEDGGEVAEGGNEKKTGGDACAQRAALRGVATVKARAFRDVWNVAAVIPVEKNAVAAINHHQHYKHNAQKQQHHHRHHGNGSNTSNSFGDDDDDNNFLTICSQELLARGTDSSSAPAK >ORGLA08G0118700.1 pep chromosome:AGI1.1:8:13646516:13649343:1 gene:ORGLA08G0118700 transcript:ORGLA08G0118700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:I1QID6] MAGNASVMRRLYLSFYNWIVFIGWVQVSWSMILALLENGYEAVYAAVEQHLLFAQTAAIMEILHSIVGLVRSPVSSTIPQITGRLFMIWGILWSFPETHTHIFVTSLLISWCITEVTRYCFYGMKESFGFTPSWLLWLRYSTFIVCLPVGTVSEVGLVYIVLPFMKASGKYCLRMPNKWNFSFNYFYASIFFMVLYAPVYPRLFRYLIAQRKKALAKAKTT >ORGLA08G0118600.1 pep chromosome:AGI1.1:8:13565647:13567713:1 gene:ORGLA08G0118600 transcript:ORGLA08G0118600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAVAVVVVTGVGVGGRSGGGGDRGRRRRPERWWPHDGSGLGGGLGGDGGQSSGGGVSGRGWCRRVERWRPQDGSGLDGGWGGDGGRSRIRRQASAGGAVCLPIAAPSAAAIPLIDLAKADVDRGRVVAEVRAATETVGFFQVVNHDVAKELTDAMLAAVRYFHEEPLEAKAPYYTRDVGSKVRFSSNYDLFRPPAANWRDTLFMEMALEGPSPEEIPPPCRGVAEEYAAAARGMAVRAAVGGAGSPRGCCTSTFPSWLKPSIDGHKAQGPTAQPKHGTA >ORGLA08G0118500.1 pep chromosome:AGI1.1:8:13555806:13556936:-1 gene:ORGLA08G0118500 transcript:ORGLA08G0118500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSGTDRLRDLHAFDDTKAGVKGLVDAGVTTVPYFFRHHPDPLPVAAPSEAASAIPLIDLAKADVDRGRMVAEVRAAAETVGFFQVVNHGVAGELMDEMLAAVRRFNEEPLEAKVPYYTRDVASKVRFNSNFDLFRSPAANWRDTMFVEMFPEVPSPEEIPPPCRGVLEEYAAAVRRLGERLFELLSEALGLPAGYLGRNAGGTDGLSVAAHYYPACPEPEATMGATKHSDPTFLTVLLQDESGGLQAVLPRPPEERWVDVPPVAGGLVVNVGDLLQLVSNDRLRSVEHRVLPTGAAGPARVSVACFFRLAYSSTRPCVPVVGGGSGARAAAVYRSTTAGEFLAHYNGKGLDGRSALDHFRIPAAAASPPPPPQ >ORGLA08G0118400.1 pep chromosome:AGI1.1:8:13541936:13543080:-1 gene:ORGLA08G0118400 transcript:ORGLA08G0118400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPPSPAPTASVTSTPSTTPRPASRASSTPASPPSPTSSATTPTPSPSPRRRRPPRPSLSSTSPRPTSTGDGWSPRDVASKVRFNSNFGLFRNGFRSPAANWRDTMFVEMFPEAPSPEEIPPPCRGVLEVYAAAVRRLGERLFELLSEALGLPVGYLGRDAGCMDGLSLSVAAHYYPACPEPEATMGATKHSDPSFLTVLLQDTSGGLQAVLPRPPEERWVDVPPVAGALVVNVGDLLQLVSNDRLRSVEHRVLPTGAAGPARVSVACFFRHAYASTRPCVPVVVGGGGARAAAVYRSTTAGEFLAHYNGKGLDGRSALDHFRLPAAASSPPPPL >ORGLA08G0118300.1 pep chromosome:AGI1.1:8:13526442:13526962:1 gene:ORGLA08G0118300 transcript:ORGLA08G0118300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVHGHLTSGALSGSRQQHIRVPVAMDKMNGARSEKIDQSLQKHDHPRCSWHILFGTRR >ORGLA08G0118200.1 pep chromosome:AGI1.1:8:13508003:13508356:1 gene:ORGLA08G0118200 transcript:ORGLA08G0118200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPPKSPTRRRLPQSPTRGRAPGSPSTCGWAPGSPSTCGCGRSPGSPSTRGTAGSRPPHRRAAGRMASHRRVAAAGRRDPHRCAAGRPADCTAVLVIFFDSQPGCMGFMPLLLLC >ORGLA08G0118100.1 pep chromosome:AGI1.1:8:13500507:13501697:-1 gene:ORGLA08G0118100 transcript:ORGLA08G0118100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSGTDRLRDLHAFDDTKAGVKGLVDAGVTAVPYFFRHHPDPLPVAAPSEAAATIPVIDLAKVDVDRGHVVSQVRSAAESAGLFQVVNHGVAGELMDAMLAAVRRFNEQPAEAKAPYYTRDLGSRVRFFCNFDLFQSPAAQWRDTLYVEMVPDAPSPDEIPPPLRGVAEEFAAAVWLLGERVTELLSEALGLPPGYLAGRAGCMDGMSMVAQYYPPCPEPEKTMGTTRHSDPSFLTVLLQDESGGLQAVLPRPPEERWVDVPPVAGALVVNVGDLLQLVSNGRLRSMEHRVLPTAASAARARVAVACFFRLEYSASLTRPRTYGPIVDSGEGGARGAAAVYRSTTPRDFLAYFNGKGLDGRSALEHFRIPEAAAASSAVDVVSSSTTAAASIPR >ORGLA08G0118000.1 pep chromosome:AGI1.1:8:13490285:13494456:-1 gene:ORGLA08G0118000 transcript:ORGLA08G0118000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFRDSTSSSRSSSDLAAGADASASAATTAASSRTPPLPSPFPDLGVPLSAAELRATAYEVLVAASRATGAKPLTYIPQSAASAASAAAPAPSLSSSINRSLASSAAASSSKVKKALGLRRSSASSSSKRRGARRPATVAELVRVQLGVTEQADARIRRALLRIAAGQLGKHAESLVLPLEFLQQFKASDFLDPHEYEAWQLRYLKLLEAGLLFHPVVPLKKSDISALRLRQVIHGAYDKPVETEKNSKLLVELCSAARALAGRSLIETFDEFHWADGFPLNLHIYQMLIEACFDSEDGAVVDEIDEVVEMLMKTWPILGINQMFHNLCFAWALFNHFVMSGQADIELLSGAGIQLTEVVKDAKTTKDPDYCDVLISTINSIMGWTEKRLLAYHETFSASNIDSMQGIVSIGVSTAKILAEDISHEYHRKRKQETDVVVHSKIETYIRSSLRTAFAQKMEEADSKRSSRHPVPVLSILAKAIGDLATKEKTVYSPILKKWHPLATSVAVATLHSCFGNEIKQFIAGLTDLTPDAAQVLKAADKLEKDLVNIAVEDSVNIDDDGKLFIREMLPYEAENVMANLVKAWVKERVDRLKGWIDKILQHETWNPKANGENFAPSSMKMMQIIDDTLQAFFQFPLTMHSTLHSDLATGLDRNIQYYVSKSKAGCGTY >ORGLA08G0117900.1 pep chromosome:AGI1.1:8:13489306:13490229:-1 gene:ORGLA08G0117900 transcript:ORGLA08G0117900.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTGTQSTLIPQLPHLTRCDVGSKLFKKKEKPQVLMKRGSQVGSTTNGASVIPELCVRINTLYHVQSELESLEKKIKTYFRNVESIDRSTDELNIHFKLSQSACQEGIRQLCETFAYKVIYNDLSHVLLDSLYAGDTASNRVEPLLRELDPILRMVSGILHNGVWNRVITSLMKGSFDGFLLVLLAGGPTRAFTLQDSQMIENDFRALRSLYIANGRGLPEEIVDKASSEVKNILPLLRTDTGTLIERFKQAISESCGSTAKSGFPMPPVPAHWSPSNPNTILRVLCYRNDEAATKFLKKAYNLPKKL >ORGLA08G0117800.1 pep chromosome:AGI1.1:8:13485393:13488312:1 gene:ORGLA08G0117800 transcript:ORGLA08G0117800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGAEATLSQVTAVDGEDNLFQDKESRATAKERGEAAVFGLENSVTANGATSAADLAPPKDVVDEWPEPKQTHTFFFVRIRSYEDPSLKAKLEQADKECQKKIQARSHIFEALRTKRSERSNIISELKPLAAENKQYNEVVSGKLKEIEPLQKSLGKFRSENNAMRAQGAGLCSSIEELDQLIKSLNDRISHESISLDEEKRLVKEIKQLNGTRSKVIENAAKRAKMQDTVVERGTIHDQVKQIGVGIDEVKRDRQAVRDKIKVLEDQIHAVDGEIAALQDDLTSATARKDKAFEALNELRKTRDLNNTSFHQYRTISNSVRDLSARGEVEAVQQLCQNEVEKFMAQWCSSKSFREDYEKRILVSLNSRQLSRDGRMRNPDEKPIVLETQVAPPAEQEPAPLKKPAKQAKEAPAPRADVTPKDEIRAKAPAKAAKAKQPLDIDDTPDVHDDEPPKEKTKPKVDEAKLKEMKRQEEIEKNKLALERKKKQAEKQAMKAAARAEKEAEKKLKEKEKKARKRSATAGGAESEEAAESDAKSDEAAEPEAQEEEPAAPVTIKKNARHRSTVTKTKTPLPKAILKRKKSQAFWSWGAPMAALAAALVALLGALVYYQYYYLPASTSN >ORGLA08G0117700.1 pep chromosome:AGI1.1:8:13476480:13480026:1 gene:ORGLA08G0117700 transcript:ORGLA08G0117700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGIFVPMLTLIIGFDAKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVLFNVIFPDWLITVLLIILFLGTSTKAFLKGVETWKKETILKREAAKRLEQIAEEPEYSPLPTGPNATAESKAPSDEAASLWQNVYWKEFGLLAFVWIAFLVLQVTKNYMPTCSTWYWVLNFLQIPVSVGVTMYEGLGLMQGRRVISSKGDEQTNLKFHQLLVYCFFGMMAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLDRFPVPYALFFTVVAFFAAIIGQHIVRKLINWLGRASLIIFILSFMIFISAISLGGVGISNMIGKIARHEYMGFDNICNYDV >ORGLA08G0117600.1 pep chromosome:AGI1.1:8:13449733:13458451:1 gene:ORGLA08G0117600 transcript:ORGLA08G0117600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSAAMGSIRSVRKKLAALLSDERKDFIRVRSKVKPLIDALESVHACFEKLSLEDEKNLDALQEAWMMDLRELSYDMEDSLDRFLVKSAAEPGSCKKLFKILLTKIKGSTNGIVKVIQDIKMPVEELNERMNRFKLSDDRHVTYDPIKIDSRVTALYVDASHLVGLDGPKLELIKMLRIEDEPEPSKKLVVVSIVGPGGLGKTTLANQVYHHLKPEFDCSAFVSVGQNPDVLRILGNILSGLTHQPYATTGSTVQVLVEKTRRFIADKRYLVLLDDIWNATDWEMLRYSLPDDHSGSRIITTTRIVDVARYCSDTEGHIYKMDPLSDLDSQRLFFTRIFGSDDGCPTHLKRISMDILKRCGGLPLAILTLASTLANVPMLAEKWKQVHNSIGLETPGENMNRILSLSFHDLPIHLKTCLLYLSIFPEDYVIEREQLVWRWIAEGFIPETRGIPLERVGSAYFNELINRSMIQPADVQYDGTVQSCRVHDMILELIVSISTKINFVAVLHKDQDETRFTDYKTKVRRLAHQSNCIEKLLERMSRDDLSHIRSIIPFDYVKGIPHLGDFQALRVLDLGSCRSIENHHIENLEILYQLKYLDLSRTSISELPMQIDNLRYLETLDLRGCAIEKLPASIVRLQNMQRLLVNRSVKFPDEIGHMQALQMLLFVSMSCNSIKFVEELRKLIKMRVLNITFSKPVDMVDEVRKYTDSLVSSLNKLVNLESLKIDPEEGCSLDSLMGVYLTFGCLKKLVIGYISRIPKWINPSMYNLVHLELKVDIVREEDLHSLELLRCLLYLQLEMTDGSSKMLTVGSKGFRCLRESQFIWKNDGMGLVFLEGAMPELRTLHLYFRTHETTANYSGVDGSGIDNLSRLNKLCVDVDCSGSTREEVEAVEDSIRKAADRHRTKLTLEIQRWCEACMIEEEAHEGNAEFPSRSVNRRANPVRVAFRVRADRRNRNRTRRNRITAPCAST >ORGLA08G0117500.1 pep chromosome:AGI1.1:8:13447471:13447692:1 gene:ORGLA08G0117500 transcript:ORGLA08G0117500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDAIWHTMKLLCAYGIRSRIWKESKFGMSGYVKFVSYTRGFPKVFRTLSMSLVRGFRLPTSGVNRGGA >ORGLA08G0117400.1 pep chromosome:AGI1.1:8:13440240:13440461:1 gene:ORGLA08G0117400 transcript:ORGLA08G0117400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKKSKFGMSGYVKFVSCTRGFPKVFRTPSMSLVRGFRLPTSGINRGGA >ORGLA08G0117300.1 pep chromosome:AGI1.1:8:13423148:13431445:-1 gene:ORGLA08G0117300 transcript:ORGLA08G0117300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLASGATGLITSLLGKLASLLGDEYTLLREVRGDVEFLQAELSGMDDLLVRLVEMEKNGHGTKKWRVKLRELAYEVEDGIDSFTHRLGSARDRAGFVRGFMKQLNLMVRHQVARQIQELRDQIEVECARQMRYTQLGGGGSSSIDAAVADQIDCRVKALYVDPDHQLVGMDGPVASIVELLTMEEDESSARQLRIVSIFGPGGLGKTTLANQVHRKIKGQFDYAALVSVSQRPIRDILTKIAPSVIPTDSSSSLDTCQLIEIVKDFLQDKRYLIVFDDIWSTKMWENLMSVLPRNNKKSRVITTTRMSNVASSRSPRSNGHIYGMESLNDADSKELFSKIIFDHKGKCPKALDEIADEILKKCGGIPLAIITLASLLAKKPKTRKEWKRVKSSIGNACELEGMRQTLSLSFYDLSYDLRNCFLSFSSFPEDYEIDRERLVLRWIAEGFISGKEQQELMEEEGNNYFNELVNRSLIQPIDIQYNGSARACRVHDLMLELIVSLSKEENFITTLNGPECLPMPSKIRWLSLHSNENEVMQVVTNNRRHVRSVSFFPPVAQLPPFVEFQAMRVFDVKGCQFGEHKKMKNMESLIQLKYLNLAYTNVTELPKEIGEVHFLETLDVRNCQIQSLPPSLCQLQKLVRLFVSLGVTLPDKIGKMQALEELSHVAILCNSLNFVKALGELTKLRVFRVDCRYSWLNGKKQAPRQSVSTYEDVLLSSLQNLFKKKNLRSLEIDLTNGSSLVFSLMNPYCPLPQLEELVISNSISRVPRSMRSLKDVIHLEIKLDRMEEEDLHIIRDMSDSLLFLKIHLEITPEERLIIDSEGFECLKQFEFCCVGMGLKFVQGAMPDLEKLDLDIGVRKT >ORGLA08G0117200.1 pep chromosome:AGI1.1:8:13416644:13418957:-1 gene:ORGLA08G0117200 transcript:ORGLA08G0117200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAAPSSTSLAAAAASFPSRARQRGLPGRRGVVIAAAGAERFAASSSSITDYLRYRRPGSAGGGGGTGVCGGELQTAVVRYEKRLPWSLIHPFLHVDLVSTVHIADKEYFDRLQQALQDYDCVLYEMVTSRENLNNGKDPTFAKKLKSSRRGFSILGFIQKQMANILSLDYQLDCLDYGSERWQHADLDFETFKQLQSERGESLFTFAVDMTLKSTKALVQPTLPDGLDFWRSKLLWASRVLPMPLVGLFLISGLCLPIDDQGGYPELEALSRLDFGAALKIFLAKQLTSDFTSMTSPIEEKSVIIGERNRVATEKIQEAINRGYKRIAVLYGGGHMPDLGRRLREELNMVPADVQWVTAWSIRSRELDRESLPFLKTMAEVSGWPLNRYETLALLIFSSVLAVDLWFWELLVGTAVNWASLAGSWIEQFNGPF >ORGLA08G0117100.1 pep chromosome:AGI1.1:8:13412952:13416196:1 gene:ORGLA08G0117100 transcript:ORGLA08G0117100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMVATMALLTCLAAGGLVVGAEEDGGGGGLGRLGSPDYGDALAKAILFFEGQRSGRLPANQRATWRGDSALTDGREENVNLTGGYYDAGDNVKFGYPMAFTVTLLGWSAVEYGAAVAAAGELGNLRAAIRWGADFLLRAHASPTTLYTQVGDGNADHQCWERPEDMDTPRTLYKITADSPGSEAAAEASAALAAAYVALKDDGDTAFSSRLLAASRLLFDFANNYRGSFQSSCPFYCSYSGFQDELLWASAWLFKATRDAKYLDFLTNNQGSSNPVNEFSWDSKYAGAQMLAAQEYLGGRTQLARYKDNLDSFVCALMPNSGNVQIRTTPGGLLFTRDSVNLQYTTTATLVLSIYSRVLKSSGSSGVRCSAATFSPNQISSFATSQVDYILGKNPLGMSYMVGFSTKFPRRIHHRGSSIPSIKVLSRKVTCKEGFSSWLPTSDPNPNIHVGAIVGGPDGNDQFSDNRGDSSHSEPATYINAAFVGACAAAMGQKQVVKLEEPVDNLESMVSTY >ORGLA08G0117000.1 pep chromosome:AGI1.1:8:13399707:13406265:1 gene:ORGLA08G0117000 transcript:ORGLA08G0117000.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAAVAEEGEEASHLRKVANFLWRSGGENSYHHVWPPMEFGWQIVLGSFVGFIGAAFGSIGGVGGGGFFVPMLTLIIGFDAKSSVAISKCMIMGAAVSTVYCNLKRKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVIFPDWLVTVLLIILFLGTSTKAFLKGIETWKKETIIKREAEKRSEQTSEELEYRPVPASESKPPSDEAVSILHNVYWEEFGLLAFVWIAFLALQVTKNYMPTCSTWYWVLNLLQIPVSVGVTMYEGLGLMQGRRVISSNGNEQTNLKFHQLLMYCFFGITAGIVAGLLGVGGGSILGPMFLDLGVPPQVASATATFSMMFSSSMSAVEYYFLDRXXXXXXLYLTIVAFFSAIVGQRMVRKVINWLGRASIIIFTLSIMIFLSTIPLGGIGIVNWIGKIERHEYMGFEDICKFDA >ORGLA08G0116900.1 pep chromosome:AGI1.1:8:13369772:13370208:1 gene:ORGLA08G0116900 transcript:ORGLA08G0116900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNLVAYWQGPGNLDVCSLLVGVSDLGVNLLVNHYDDLGRVADSSLDDGFLAGGGGKVGLVALDVALTFPQATMAS >ORGLA08G0116800.1 pep chromosome:AGI1.1:8:13365530:13366448:-1 gene:ORGLA08G0116800 transcript:ORGLA08G0116800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSFPFFSLYSHVRPSLPSADLPHRSFASQTLVPPTPSRPGDSIFPLPLSLHLGISRHHHLLLSPELSSCCRRSGHPSLSLRLPPPSPGARCSGTPSPTGVPTSRPPESRRPARPLLSLLSDQRSREEEEPPKNRRGNFTPSMETFAWSQTPTSNRWS >ORGLA08G0116700.1 pep chromosome:AGI1.1:8:13351090:13356469:1 gene:ORGLA08G0116700 transcript:ORGLA08G0116700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSIATYRESLSRLAGEVDDAAADEAEPQASAASSPPARGAADRSHTTPPSSGRRRRYSASASSAAAARPDPVSKLKEDIQKLQVSEAEIKALSFNYVAMLKEKEEQLGKLREENGSLKRSLESSKAVSANSNGALERSPRGVQRNTVQDNPLNVSKQNGYGGGASQGIQPNGLHSMTGHRKADISEGDRSFFAAKQASLENEIKQLKKQLSDNSEKETETKRRLEDEHKRNELLQQQLNELNVSKERISTNMEELHNELSEKEAKLRRLQEDLSRREKEHVSDASLQSLRSMVMALQKENSDLKIEKSRLEADLVRKKSTSQINEVGTSDVNGISDVEKVKEEMASLKKSLHDASYERDKAVQDLARLKQHLLDKDLEDQEKMDEDSKLIEELRAICDQQRAHIVQLERALKFEMAKQEESKKITSEEHQRSNEQITDLKYKLANCMNALESKNLELLNLQTALGQYYAESEAKERLGGDLAMAREELAKLSESLKVANQAIEISRREKEEVAARLSQVEGMLADGKRSMQKLENDNSRLRRALEQSMTTLNRMSLDSDNSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEDKQRIGFAQSNAGKGVVRGVLGLPGRLVGGIVGGNSAGKPTQASQDNQSFADLWVDFLLKETEEREKREASEAARLSQEENQTASTSNASSAQPSGHISNQAPGPSTSHHMFGRQDTEFATVPLTSSTYTSTQTPFSRPPQR >ORGLA08G0116600.1 pep chromosome:AGI1.1:8:13339972:13344381:1 gene:ORGLA08G0116600 transcript:ORGLA08G0116600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCSRGGGGLPAWMTAAAARVDLSSAGGGGGIPGSGASSSSSSQPGYSGPHQQAGVAGAAADQELGMAERALSAAGAAFVSAIIVNPLDVAKTRLQAQAAGVPYYQPSQMASLGPDAILSDFRCSPSCTRGVILGSEPICPPDCFQYKGTLDVFLKVVRQEGFGRLWRGTNAGLALAVPTVGIYLPCYDLFRNWIEDFTQSNAPGLTPYAPLVAGSVARSLACIACSPIELARTRMQAYKEFRPGVKPPGMWKTLLGVVSPLASSTQNAQNYRALWTGVGAQLARDVPFSAICWSTLEPIRRKLLGIVGEEGDAASVLGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDTQKAMRMTTRQTLADIWSSGGMKGLFTGVGPRVARAGPSVGIVISFYEVVKYALHQRHIS >ORGLA08G0116500.1 pep chromosome:AGI1.1:8:13331469:13336581:1 gene:ORGLA08G0116500 transcript:ORGLA08G0116500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G53340) TAIR;Acc:AT5G53340] MQIREGGPRRGAASAARSPMSAMMLAMFATMASFYVAGRLWQDAQSRVYLIKELDRRTGQGHSTISVDDTLKVVACRQQGKRLASLEMELAAAKHEGFVGKYTYETNGTNSRKRPLIVIGIMTSFGRKNYRDAVRKSWLPTGSMLKKLEEEKGIVVRFIVGRSVNRGDASDREIDEENRSTKDFMILNDHTESEEESPKKTKSFFANAAESFDAEFYAKVNDDIYINVDTLSAMLKEHWDKPRVYIGCMKSGEVFSESTHKWYEPEWWKFGDGKTYFRHASGEMFVISKAVAQFISINRSVLRTYAHDDVSVGSWLIGLAVKHVNEAKLCCSSWPSGALCSAL >ORGLA08G0116400.1 pep chromosome:AGI1.1:8:13312581:13312838:-1 gene:ORGLA08G0116400 transcript:ORGLA08G0116400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAGKGLASPRLLRRLAADPVSGMGKRARHGGFARSHSPYFESQLRRLITDAGLSASSAEDVAIAIRSRFPEFRRYKLDPFA >ORGLA08G0116300.1 pep chromosome:AGI1.1:8:13309978:13311564:-1 gene:ORGLA08G0116300 transcript:ORGLA08G0116300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome B5-like protein [Source:Projected from Arabidopsis thaliana (AT1G60660) TAIR;Acc:AT1G60660] MEIIVILSLVVLLVLGALFVLPKSRNKGKAKEASSNAKSVTFRSYTKEEISAHNTRTDCWVIIKDKVYDVTSYVEEHPGGDAILNNAGGDSTEGFFGPQHGFRVFEIIEDFCIGELNHS >ORGLA08G0116200.1 pep chromosome:AGI1.1:8:13308098:13309151:1 gene:ORGLA08G0116200 transcript:ORGLA08G0116200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVDGSGAQLVVAELVRVQGLLRQLEAHLSAPCSVELCRGLAAQIVALTDRSIGIATRSFSSASGGGAHFADTAPPMPALTSCTPSPLSDGSDHQPFRTTNAKKRKTTARWTSQVRVSAAGGAEGPADDGHSWRKYGQKDILGAKHPRGYYRCTHRNTQGCTATKQVQRTDDDASLFDVVYHGEHTCRPGGASAAAAKRPHAQTLLQSLSASLTVNTDTNTPLTPENRATAPPLQQQQQQQSVSASPVASDSYGLGGAGYGDWRCCDGDLQEVVSALATVTSAPDHAAMDAADFMSYCFDFDPAVYGGIVGTPSFFL >ORGLA08G0116100.1 pep chromosome:AGI1.1:8:13279754:13283834:1 gene:ORGLA08G0116100 transcript:ORGLA08G0116100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKEVRYTARSITPPADRNGTSKSKSPTPVRRSTSRSPPPKKSDSRSPPPRRRSTSRSPRPRRHGRSRSRSRDRSRSRSRDDDLRNPGNNLYVTGLSTRVTEEDLEKFFSKEGKVQSCHVVLDPRTKESRGFAFVTMDSVDDARRCIKYLHRTVLEGRLVTVEKAKRTRERTPTPGKYCGRRGSQRSSRSPSPYRSRRRERSRSRDRQRDRSRSRDRRRDRSRSRDRRRDRSRSRDRRRGSSPHDRDSHRRRGDRSRSPATNGNPKKD >ORGLA08G0116000.1 pep chromosome:AGI1.1:8:13274015:13274350:-1 gene:ORGLA08G0116000 transcript:ORGLA08G0116000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLGYKTSCGGVDTRSNISYRFSSYYITDYYQKNDQDNVACGFSNLATITGRDPGTCRFGIMIEVDSAFSWKLQHVRSSNLLMLLQVLLQLSVILIDARLKRDGCSRASTN >ORGLA08G0115900.1 pep chromosome:AGI1.1:8:13273018:13273893:1 gene:ORGLA08G0115900 transcript:ORGLA08G0115900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGFSNSIYLHGLPLGLWEYMLFFSSATMPNISDCQSMVQGTILITMALNRSKATLTRIQA >ORGLA08G0115800.1 pep chromosome:AGI1.1:8:13269410:13269610:-1 gene:ORGLA08G0115800 transcript:ORGLA08G0115800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGKPHRYSESKGILGEGISGELQRLCHDEFGIKEAQQAAPGVLGPFAIDQAQPISPLKAHMCK >ORGLA08G0115700.1 pep chromosome:AGI1.1:8:13258337:13260880:1 gene:ORGLA08G0115700 transcript:ORGLA08G0115700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGGSGGAALGRAGSAAIGSGYGGGGRRLGDLGSAARHPLLTAADNDDDEATLPPPQHRGGGGGSATPRGSGAADDKGFSNWWVLTSTICSICNAYAYACASICNAGVQSFVWPYAGVQSFVWLWLQILCY >ORGLA08G0115600.1 pep chromosome:AGI1.1:8:13256143:13256415:1 gene:ORGLA08G0115600 transcript:ORGLA08G0115600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TCIXEIDGDLSKTILFLLNHRAQQITTRNMTFCDEFLGTSELFVISTFHFMTISMRRGDLVTKKRCSSLISTRNRHKVFQWDIVTNINLS >ORGLA08G0115500.1 pep chromosome:AGI1.1:8:13254603:13254950:1 gene:ORGLA08G0115500 transcript:ORGLA08G0115500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLFLESCLEEIAAHNIITTRNPNPVAWDNLATKMFAKCRKTVNRAQVQYIWRVCRKQFNMWAWFESQATGLGRDPRTSAILADDAWWRMQEGVCHLSFTEYIIMHVSVNTCH >ORGLA08G0115400.1 pep chromosome:AGI1.1:8:13250295:13250570:-1 gene:ORGLA08G0115400 transcript:ORGLA08G0115400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPKGAFTATTYRSAAAAATGGEEESRHPPPPARTELRSTEDERGLPVKRLEDKVDAAAGKGGPVFGAGEDDSKPDLGVTGTGGG >ORGLA08G0115300.1 pep chromosome:AGI1.1:8:13246696:13249752:1 gene:ORGLA08G0115300 transcript:ORGLA08G0115300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDRNEEEMVTNDSDPLLKRENEEAESSSQLTPPKPATLSALEIEDEETDGSSAGCCRICLETDSELGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRIFVARDVILVFLAVQLTIAMIGAISYFLDRDGSFRNSFSDGWDRFLSKHPIPFYYCIGVVVFFVLLGFFGLILHCSSFNDNQDPCLAGCRNCCYGWGVLDCLPASLEACFALVVVFVVVFAILGIAYGFLAATMAVQRIWQRHYHILTKRELTKEYVVEDLHGSYTPPKLDPEHEERLKMLKLL >ORGLA08G0115200.1 pep chromosome:AGI1.1:8:13238285:13238500:1 gene:ORGLA08G0115200 transcript:ORGLA08G0115200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARTAVGEGAGVGGMRRGARSATRWGRMAMAALGRRRGGGGRRXLTRWGRKAMAALGRRRGREDGDGGED >ORGLA08G0115100.1 pep chromosome:AGI1.1:8:13231163:13231926:-1 gene:ORGLA08G0115100 transcript:ORGLA08G0115100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHAPPRARAMAWTMAVFRGCLDLVTVAVVEKAHAESAVAADGREVVEEKWQSKSLNKPMPLSHLFFDFFHHNSHTAKSSSQKEER >ORGLA08G0115000.1 pep chromosome:AGI1.1:8:13217692:13226020:-1 gene:ORGLA08G0115000 transcript:ORGLA08G0115000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEAAFGVASLRLRGSMASASSRRAPSYRDYDVFSIASSSRAEAEDDEEALKWAALEKLPTHARVRKGIVAAADDGQGSGAAGEVVDVAGLGFQERKHLLERLVRVAEEDHESFLLKLKQRIDRVGLDFPTIEVRYEHLSIDALAHVGSRGLPTFLNTTLNSLESLANLLHVVPNKKRPLNILHDVHGVIKPRRMTLLLGPPGSGKTTLLLALAGKLGSDLKVSGKVTYNGYGMDEFVAQRSAAYISQHDLHIPEMTVRETLAFSARCQGVGTRYDMLTELARREKAANIKPDPDLDVYMKAISVGGQETNIITDYVLKILGLDICADTIVGNEMLRGISGGQRKRVTTGEMIVGPARAMFMDEISTGLDSSTTFQIVKSLGQITSILGGTTVISLLQPAPETYNLFDDIILLSDGHIVYQGPREHVLEFFESMGFKCPDRKGVADFLQEVTSRKDQQQYWARTHQPYRYIPVQEFARAFQSFHVGQTLSDELSHPFDKSTSHPASLTTSTYGASKLELLRTCIARELLLMKRNMFVYRFRAFQLLVITIIVMTLFLRTNMHHETRTDGIVYLGALFFAMVAHMFNGFSELAMATIKLPVFFKQRDYLFFPSWAYTIPTWILKIPISCFEVAITVFLSYYVIGFDPNVGRLFKQYLLLLLVNQMAAALFRFIAALGRTMVVANTLASFALLVLLVLSGFILSHHDVKKWWIWGYWISPLQYAMNAIAVNEFLGHKWNRLVQGTNTTLGIEVLKSRGMFTEAKWYWIGVGALFGYVIVFNILFTIALGYLKPSGKAQQILSEEALKEKHANITGETINDPRNSASSGQTTNTRRNAAPGEASENRRGMVLPFAPLAVAFNNIRYSVDMPPEMKAQGVDQDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAYSAWLRLPSDVDSETRKMFIEQVMELVELNPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSCDLIEYFEGVEGVSKIKPGYNPATWMLEVTTLAQEDVLGISFTDVYKNSDLYQRNQSLIKGISRPPQGSKDLFFPTQFSQSFSTQCMACLWKQNLSYWRNPPYTVVRFFFSLIVALMFGTIFWRLGSKRSRQQDLFNAMGSMYAAVLFMGISYSSSVQPVVAVERTVFYRERAAGMYSALPYAFGQPEIFVDRSVQVVVELPYVLVQSAVYGVIVYAMIGFEWEAKKFFWYLYFMYFTLLYFTFYGMLAVGLTPSYNIASIVSSFFYGIWNLFSGFVIPRPCVQSMPVWWRWYSWVCPVSWTLYGLVASQFGDLKEPLRDTGVPIDVFLREYFGFKHDFLGVVAVAVAGFATLFAVSFSLSIKMLNFQRR >ORGLA08G0114900.1 pep chromosome:AGI1.1:8:13197567:13201071:-1 gene:ORGLA08G0114900 transcript:ORGLA08G0114900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAEPLAVAVAHTATAGTDHSPAPLPPPPPHCNYKSKLQEYLQQANKQLPIYCTKCKGEHHQLKFKSTVMVDGEEFSSTFCHRRVKDAEQDAAKVAYDTLLERKETETDDTDVFELIDQDVVFSKSILHEYTTKTKTDQPEYSVTKTEGSVTPYVSSVSFAGHTYTGGAARNKKDAEQKAARAAVKSLLATNYTSMAKIVRSKEKLISAISPSGYNKGIDSNPTNKKLPFAPIKFTPPSIFKLYDGEIDMLSVPQALFAPLVAAEEPKVRPAAEPDSNPSEQAVHVSKKHKDNKVRGPEVKEERVAQ >ORGLA08G0114800.1 pep chromosome:AGI1.1:8:13191672:13195397:1 gene:ORGLA08G0114800 transcript:ORGLA08G0114800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome B561-1 [Source:Projected from Arabidopsis thaliana (AT5G38630) TAIR;Acc:AT5G38630] MAAAPAARFPVFGLVRLLGLAAAAAIVVWAVHFRGGMALSSETDKLLIFNVHPVLMLIGLVVLNGEAILAYKTVPGTKKLKKLVHLALQFLAMLLSLIGLWTVWKFHDERQIDHLYTLHSWLGLACIIFFSLQWATGFYTFWYPGGSRSGRASLLPWHVFFGLFLYVLAIATSVSGLLEKSIFMQSAKMIGRFSTEAMFMNSLGMLLVLLGALVILAVVTPGVGKIDTYRGSSE >ORGLA08G0114700.1 pep chromosome:AGI1.1:8:13185171:13188068:-1 gene:ORGLA08G0114700 transcript:ORGLA08G0114700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGEFFSVGECDGQKTIDGEQVPLVLTPAGERGGEALAAALRARREWVEAKVVASSAVLLRGFGVRDAAEFDAVVGALGWPDIRYVGPAPRTHVHGRVWTANEGPLDEFIYYHHEMVLIKEFPGKVILFCEVAPPEGGETPFVPSFRVTERVMAEFPEMVEELDEKDLRYTFTALSKNDTKSMRGRGWEDAFATTDKAEAEKRARALGMEVEWLADGGARTILGPRKLTRVFPGRRGRRMWFNTVVGMHGKVLSSATMADGGEIPAAFVARCGEIIEEESIQFRWEVGDVLILDNLATLHGRRPSLPPRRVLVATCK >ORGLA08G0114600.1 pep chromosome:AGI1.1:8:13161523:13165118:-1 gene:ORGLA08G0114600 transcript:ORGLA08G0114600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:I1QNN5] MEDPPPPPPPPPQRLRCAVQHYEWGRRGAASIVARLADQQDPDLARADTRRARPRRRRAVGRRPPIPLQAGADDAGYVQVLSVAKALSIQAHPDKKRAEALHALRPSVYKDDNHKPEMAIAITEFRALYGFAAIQELKDVLRTVPEVEGLIGHEHAAKLMSFKEYDAGNQVKSTLQSAFANLMLASKDMVSEALSKLISRLNIESKIRTLTDKEELVLSLERQYQEDVGVLAALFFNYVKLSPGEALHIGANEPHAYLSGECIECMATSDNVVRAGLTPKYKDIQTLCSMLTYKQAFPEILQGVPVQPHVRRYSPPFDEFEVDWCLVPADEVVTISSVPGPSVFLVITGEGGLHAESLSGGKKEAKEGDVFFVPAYTEINLSTHKSMQLYRAGVNSRFFS >ORGLA08G0114500.1 pep chromosome:AGI1.1:8:13137607:13141139:1 gene:ORGLA08G0114500 transcript:ORGLA08G0114500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclophilin 38 [Source:Projected from Arabidopsis thaliana (AT3G01480) TAIR;Acc:AT3G01480] MAAALASPTCCCCRRPSLRPSAGRRGRRPVARCALPSSEKRNSFSWKECAISVALSAGLITGAPTLGWSAHASPLEPVIPDVSVLISGPPIKDPGALLRYALPIDNKAVREVQKPLEDITDSLKIAGVRALDSVERNVRQASRALSNGRNLILGGLAESKRANGEELLDKLAVGLDELQRIVEDRNRDAVAPKQKELLQYVGTVEEDMVDGFPYEVPEEYSSMPLLKGRATVDMKVKIKDNPNLEDCVFRIVLDGYNAPVTAGNFLDLVERKFYDGMEIQRSDGFVVQTGDPEGPAEGFIDPSTGKVRTIPLELMVDGDKAPVYGETLEELGRYKAQTKLPFNAFGTMAMARDEFDDNSASSQIFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESIQVVSGLDNLANPSYKIVG >ORGLA08G0114400.1 pep chromosome:AGI1.1:8:13128808:13129227:1 gene:ORGLA08G0114400 transcript:ORGLA08G0114400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDPNRSPTGLGGPSDGSYIFVRGVTGGAWEYGEENARGGEGRAGAIDYFLYIDARYFARVPSTSQRLLPLHSASSGNDL >ORGLA08G0114300.1 pep chromosome:AGI1.1:8:13076321:13076649:1 gene:ORGLA08G0114300 transcript:ORGLA08G0114300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLPRLPLQDASRITIGDTRSTENTPLFPRCRSEPTTVATAESILSVLGAVQAIIVDPEEIAAAEQLHESPSPTKLCQPCLASR >ORGLA08G0114200.1 pep chromosome:AGI1.1:8:13061033:13061547:-1 gene:ORGLA08G0114200 transcript:ORGLA08G0114200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCQQTAASFSATSPFISDSNRVRCISPSSTVQHAASRSPELPPFPSPPPPNVISYPSFAPDVNSLL >ORGLA08G0114100.1 pep chromosome:AGI1.1:8:13013157:13013612:-1 gene:ORGLA08G0114100 transcript:ORGLA08G0114100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPPPKWWGEEAEPHAGGGVAGHARGEGSPTTAAHAGGGVGELGARGAGASSTVAAGRQGKLDSDSSHVGATTGVVGRRGRRRTSLGGSASFMRRQPRRGERSLTAAARAGGGIGEIGTRGVGACSTVAVGRLGELELGGTCSTALAGRP >ORGLA08G0114000.1 pep chromosome:AGI1.1:8:12997171:12999051:1 gene:ORGLA08G0114000 transcript:ORGLA08G0114000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPHLPLLLLLVVVCNAAGGDGARVNPFTAKAAFIRYWNRRVPNNRPHPAFFVAKLSPLQAADAASFAAALPRLLPPLCARAALLCPSASDTETAASLAVGGGGGGGPFKGYSNANFTNYGSGGVGGADGFSAYSPDLNVVGDSFRRYGRDSTRRVDTFASYEAEGNVVTANFTSYAGAATGGSGSFSAYAADTNVPDSTFTNYDAEANGRRREFTSYSQEANHGSNTFAGYGKNGNGLRETFTTYGNDSNVIASGFTNYGESGNGATDTFTAYGKEGNVPDNTFRSYGAGGNAGVDTFKGYRSESNVGDDSFASYAKGANGNAAEFQNYGGSFNPGTVTFKGYGEGSNPNHHIGFKEYAGSNNSFKGYAKSGVDFKEYHNTSSADAATTMSLEAVSSGHQHLKWSPEPGKFFRETELVSGNTMPMPDIKDKMPPRAFLPRDIAKKIPFKPNAVSEVFGVPLDTAMGKAVTSTVAECERAPSRGETKRCATSAEDIVDFAVEMLGNDIVVRSTASTAGSGGQIRLGNVTGVDGGKVTWSVSCHQSLFPYLVYYCHSVPKVRVYEADIMAADSDQKINHGVAICHLDTSDWSPTHGAFIALGGKPGEVEVCHWIFEGDMTWTVAD >ORGLA08G0113900.1 pep chromosome:AGI1.1:8:12989145:12989744:1 gene:ORGLA08G0113900 transcript:ORGLA08G0113900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSSFMVFTASFPVGVCRALAISDEALSNRRDSWKGIEEPEGVHADKPRAEKKRVRTEKFETNAEKIKTEKFSLKQ >ORGLA08G0113800.1 pep chromosome:AGI1.1:8:12957821:12959297:-1 gene:ORGLA08G0113800 transcript:ORGLA08G0113800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G23740) TAIR;Acc:AT1G23740] MQSLLSSSVLANPCTTGSPLFPPATAKLAAAASVPVAAAARSGAIAAVSRRSASGGRCVVAASSSSSSSPAVTTAEAGEVPATMKAWAYDEYGDGSVLKLNEAAAVPDIADDQVLVRVAAAALNPVDAKRRAGKFKATDSPLPTVPGYDVAGVVVKAGRKVKGLKEGDEVYGNISEKALEGPKQSGSLAEYTAVEEKLLALKPKSLGFAQAAGLPLAVETAHEGLERAGFSAGKSILILGGAGGVGSLAIQLAKHVYGASKVAATASTPKLELLKSLGADVAIDYTKENFEDLPDKYDVVLDAVGQGEKAVKVVKEGGSVVVLTGAVVPPGFRFVVTSDGSVLEKLNPYLESGKVKPLVDPKGPFAFSQVVEAFSYLETGRATGKVVISPIP >ORGLA08G0113700.1 pep chromosome:AGI1.1:8:12953826:12957478:1 gene:ORGLA08G0113700 transcript:ORGLA08G0113700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:like SEX4 1 [Source:Projected from Arabidopsis thaliana (AT3G01510) TAIR;Acc:AT3G01510] MALHLTAAPTIAPSAAAACRSLAPPLPAVSCSTSRRGWRRRRRCVSVVAMAAAADGEMRHGHAAEAGGGGAGTGTGRMNLNEYMVAVDRPLGVRFALAVDGRVFVHSLKKGGNAEKSRIIMVGDTLKKAGSREGVGLVDIRDLGDTEMVLKETSGPCDLVLERPFAPFPIHQLHQNEDYHLLFNKGRVPLTSWNGALLSSKLNESSEGNGNPGFAIFSPRLLNSHGWAVLSSEQDGLNQRSTSLANRISEIVGLYSDEDDADTEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCLQTERDVKMLSETMGITAVLNFQSESERTNWGINSEAINNSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVIAYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGTPTHSVCFVWNSGREGEDVELVGDFTSNWKDKVKCNHKGGSRYEAEIRLRHGKYYYKFIAGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIRPAPSQLQIRDPTVVKVIERALTEDERFLLAFAARRMAFAICPIRLSPKQ >ORGLA08G0113600.1 pep chromosome:AGI1.1:8:12945593:12951585:1 gene:ORGLA08G0113600 transcript:ORGLA08G0113600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G27870) TAIR;Acc:AT3G27870] MRPPAASAADERPLVELASAAATAPASTETSTFSSAPGFTRAVRCSGAGCSSSSSSSSSSSDEGGGGAYPGNAISTTKYTAASFVPKSLFEQFRRAANCFFLVVACVSFSPLAPYRAVSVLLPLVVVVGAAMAKEAVEDWRRKQQDIEVNSRKVEVYDGTQSFHQTEWKKLQVGDIVKVKKDEFFPADLVLLSSSYEDGICYVETMNLDGETNLKRKQSLDVTVGLNEDHSFHTFKAFIQCEDPNEKLYSFLGTLHYNGQQYPLSPQQILLRDSKLRNTNQIYGIVIFTGHDTKVMQNAMEPPSKRSSVERRMDKIIYLLFVILFAIASFGSVMFGIRTRAELSAGNYAWYLRPDNSTMYFDPNRATLAAICHFLTSLMLYVCLVPISLYISIEIVKVLQSTFINQDQNMYCEESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFLKCSIAGVAYGNRPIEVQMPYGGIEEECVDIGQKGAVKSVRPVKGFNFTDDRLMNGQWSKECHQDVIEMFFRVLAVCHTAIPVADRTSGGMSYEAESPDEGALVAAARELGFEFYHRSQTSISVHEYDPVFGRKVDRTYKLLNTLEFSSARKRMSVIVSTEEGRLFLFCKGADSVILERLSKDNSKACLTNTKCHIDEYSEAGLRTLALAYRELTEDEYVAWNMEYSAAKNSVHNDHDVAVEKASENIEKDLVLLGATAVEDRLQKGVPECIHKLAQAGIKIWILTGDKLETAVNIGLVPYVAYVPDNYACNLLRKGMEEVYITLDNPGTNVPEEHNGESSGMAPYEQIGRKLEDARRQILQKGTSAPFALIIDGNALTHALMGGLKTAFLDLAVDCASVLCCRISPKQKALITRLVKNRIRKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIAAMICYFFFKNITFGFTLFWFEAHAMFSAQPGYNDWFISFYNVAFTSLPVIALGVFDKDVSSRVCLEVPSLHQDGVNNLFFSWSRILSWMLNGVCCSIIIYFGALHAVLIQAVRQDGHVAGFDILGVTMYTCVVWTVNCQLALYISYFTWIQHFVIWGSILIWYTFLVIYGSFPPTISTSAYHVFWEACASSPLYWLSTLVIVVTALIPYFLYKITQSLFCPQHCDQVQRPNSKELVAQ >ORGLA08G0113500.1 pep chromosome:AGI1.1:8:12920274:12935142:-1 gene:ORGLA08G0113500 transcript:ORGLA08G0113500.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEEEEQPGAGAPAPEPLPSQLDILKNTIQSLRDSNRHDFDAWVSLIKAAEETSMYAAHKARLCTNKEVEEVYEQAVQAVPHSIDLWVSYCGFAMCTYEEPAHIRRLFERALSLVGKDYLCYHLWDKYIEFEKSQKQLIQLATIYIDTLKFPTKKLRRYYESFRKLVTLMEHEAAGAERSSENLRTLEVIKAEDSEVDASIKISALLDEHSGHLRADAVKQYLLSGESLYQRSSKIDKEISCFEASIKRPFFHVKPLDDDQLENWHRYLDFVEKKGDFDWAVKLYERCLIPCANYSEFWIRYAEFVDAKGGREIASYALGRASSYFVKGVPTFHMYYAMFKEQIGDAQGARSLFIEGSNNLTSNFCANINRLANMEKRMGNTKAASEIYETAIQDALQKNVKILPDLYTNFAQFKYAVNHNISEAKEVFVDGIKQAPCKALIKGFMQFMSTHGGPTEIPILDSVISNAVVPGSDISTVLSPEDREDISLLFLEFVDLYGDVRDLRKAWARHSKLFPHNTRHMLQQYCNSENSLQENNKRRRTESYIVSQDDSPKDAITLKQLCKSDTSLLVDKVVGLQVDKSTVDSGLGHTVEEQNILGNVDVHHEVGDTAQECIDMTDSQHNLDKSGMQNQVSAHGAHESCEQNDQTTESHPSVCENAPHAESFTCDSPSKSNSFSKISALDKANTIDVSASVDQGAICSRSDSPSVASLPKEETSPDPVRISPELEEKKHDKIQGQLETKDDMSLSNANIEKSSDSPDATQHDRGVSALSQEHVQSSQPQQLPDCARPSSSEMATTPATTSSQFSPSTAVTSQTQLQHQIVSSQMHQSDKLSLAEQNTQQQGLAYEIPQNVQASLQSQAQIFAQPNQGDQQHLQTMQGYASQMWQYYQQQMYYLQAQHNQQLQSLQQQQLPTEHLQQNFMQQVQQLNQQMVLWQQQVQQQQQQQQQQLQLQQHALPLQQQPDQKYSQLPSSGDTRHEQNKPQKHESQMDHQSELVQQQQVYFQQQQQMYLMQQQQQMYQQQQQLQQQLLQQQLLQQQQYLSQMPQQQQNMTQQQQLFQQQQQQLFQQQQQQMVVLQQQQQQQFIQQQMQQYLQQQTNQEGANSQSCELNPQDARNMKMEHGQQSEASQSDGSKLRSGEQSELSYPSTPQSQHSNR >ORGLA08G0113400.1 pep chromosome:AGI1.1:8:12916364:12917992:-1 gene:ORGLA08G0113400 transcript:ORGLA08G0113400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin z [Source:Projected from Arabidopsis thaliana (AT3G06730) TAIR;Acc:AT3G06730] MAMAAAASLLPACAAPTLPGRAFRPRRNSTPTASLSCDGGSRGRGVGLGVILGGGRAQGVRRNAAAETYVPGSGKYIAPDYLVKKVTAKELEELVRGERKVPLIVDFYATWCGPCVLMAQDIEMLAVEYENNALFVKVDTDDEYELARDMQVRGLPTLYFFSPDQSKDALRTEGLIPIDMIRNIIDNEL >ORGLA08G0113300.1 pep chromosome:AGI1.1:8:12910750:12911454:-1 gene:ORGLA08G0113300 transcript:ORGLA08G0113300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIDGNKPPSEVLIDENDDWVIVKKQRIIILIPPPSPAAASLQDDMQKISCEQACLAKKSMENCDAARKKHPKQMTTNKAQEPLLEGIKVSANIKKAQEIATSSHHPVAPVKANHASIQGQFHENIEKAGNSFGNIYKEELPVISSQVTNRIMRARLLERRVAGFGGLKNWLFTCGFGWFVDILDSEKLGMYQIVSLTMNQLKDMGLDAVGPRRKLIHAIESVSQPNEFEMFS >ORGLA08G0113200.1 pep chromosome:AGI1.1:8:12896307:12897533:1 gene:ORGLA08G0113200 transcript:ORGLA08G0113200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PCNALFSGLMIGLIVSGGSLLLLLGFAAPFILISQNTDFAERMIITLEELEKATNNFDKTREVGDGGHGVVYKGIIELHVVAIKKSKIVVQREIDEFINEVAILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYRHLHVDGPVSLSWDDRLRITVEVARALSYLHSAASMPIFHRDIKSSNILLDDSLTAKVSDFGASRYISINQTGITTAVQGTVGYLDPMYYYTGRLTSKSDVFSFGVLLMELLTRKKPVGDTFDNGHNLVSHFVLVFSEGNLYDIIDPQVKEEDDGEALEVATLAIACTKFKGEDRPTMREVEMALENIASKKGLFHNGNTTASRRPDENQNSTLYMSVEGVTKEAITESTTEEEILLSSRFTR >ORGLA08G0113100.1 pep chromosome:AGI1.1:8:12870409:12873034:-1 gene:ORGLA08G0113100 transcript:ORGLA08G0113100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15080) TAIR;Acc:AT3G15080] MASPPPPATAAAAAANPKRRPKPKPKAAGASTLNPNWAQLQSKLPRPAAATTLGKRKHRPDHPSPVPAPTEPAAEDAAAAAEVKLVPTSDDASLTKAVAVDCEMVGVGAGGSKSALGRVTLVNSWGNVVYDEYTRPVERIVDYRTHISGIRPKHMNKAKDFWVVQKDVAELIKGRILVGHALHHDLKVLLLGHPKKDIRDTSEYEVFRREGKRRSLKDLTAQVLGAKIQQKEHCPIEDARAAMFIYNKHKKVWEKNMKEQFRFRKKLKKRGKKKSAEGSGNDPNVPTVLL >ORGLA08G0113000.1 pep chromosome:AGI1.1:8:12868893:12870090:1 gene:ORGLA08G0113000 transcript:ORGLA08G0113000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMMMQKSSLDLVLVPCGLVIMFGYHLILLYRILRRPAATVIGYENHNKLAWVRRMVQASPDETGLALSVISSNISASTNLASLCIALGSLIGAWVSSTSKVFMTELVYGDRTQATATVKYISLLVCFLVSFTCFIHSARYYVQASFLITTLDSDVPASYIQHAVIRGGNFWSMGLRALYFATTLLMWIFGPIPMFACSVLMVFILHLLDSNSLPLHNHQFTIRKRHDQRALASTVVTRHPSPQNPILSNPVLSPVTFSIN >ORGLA08G0112900.1 pep chromosome:AGI1.1:8:12847299:12848084:1 gene:ORGLA08G0112900 transcript:ORGLA08G0112900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHVLVVDDTLVDRHVVSMALMRHNVRVTAVESVVQALIFLDSEHDVNMIVSDYCMPDMTGYDLLMEVKKSPKLAHLPVVIASSDNIPERIRKCLDGGAKDYILKPVKIVDVPRILNYI >ORGLA08G0112800.1 pep chromosome:AGI1.1:8:12832274:12836948:1 gene:ORGLA08G0112800 transcript:ORGLA08G0112800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIEEGVDWRTRLGQDIRDRVKRDILFSLQMKLQTTTSTTLIDLQKVAARIEERIYKIAIDFGDYLRRISLIKGDLDDSYPLMLNNFLHIHQQASTSSFFLLHQKNKQGQIIQAEGNVQGTSSSSHKEPSHPHGKDRISELPNDLIHHIMSFLSMKEAVRTSVLSHWWVNKWTCLQSIKLDINWFRLDREKFRSSIDKLLLSRDHLDAPMDTFQLDSFAVDRASSWINHAIKYNAKVVKFSEYPSWEPFYLDPELVDFSSRYLKTLELTNAALNEMVFDRLNNTCPVLENLGQQTGSSSFTNSYLTFTTITLVDASDVTSIELTATDRQFTFVEQQGSRPMFRNLRTLRLGEWCMADNFLPLHQYVIHSPVLRKVFLKLSLVDWWSELTTNQLMALVEISSGGSISIDFY >ORGLA08G0112700.1 pep chromosome:AGI1.1:8:12797275:12801663:-1 gene:ORGLA08G0112700 transcript:ORGLA08G0112700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTWMNCKLFSKEHREGVTEFMDFVSKNLSGSQKILCPCRKCLNRLHQYKGHVEDDLLMYGMSNTYTRWIHHGEQLVMVTGNVEHLNEDIGCNVEHLNEDVSCNVEFETNEPPDDPEDDQMYRMVQDLYPDQNHGPRTKSKFATILEEMKQVLHPGGPYTRFSFVVKLLHIKSFYRISNVAFSAFLDLLSSAFPNCSLPASYAEAKNFIRALGLGYESIHVCPNNCVLFRKELAKKDACPICGASRWKDADSRRKIPEKVLRHFPLIPRLKRMFGSKELSAEAQWHKLKRKPVDNEFSHPADGEAWKDFDRKYEWFANDARNVRLGLATDGFNPFGKMSSSYSMWPVFLIPYNFPPWQCMEQSNFMMCLLIPGPTCPGKDMDLFLQPLVEELLNLWSGVPTLDALTGKEFDLHAAITWCIHDYPALSTLSGRVTRGYYACVRCDKNPCYKRLRNKICYIGHRRFLPVDHIWRRKKDFNGQTEERAQPEEFTQDELMQQLARVEHVRPGNHPNNKKRKRVEEGQCWKRRSTLWDLPYWSNLKLRHNLDVMHIEKNICEALLGTFLDIAGKSKDSVTARLDLEDMGIRKNLHLKDDGNSSCTAPHAPYVMTKAQRKAFCAFIKNVKFPDGYASNLARCVSVDECKVQALKTHDCHILLQRILPAGLRGIMHKEIYEAIAELGNFFQQICAKKLKLDALNKMRGEIPIILCKLEKIFPPAFFDVMVHLCIHLIDDAILRGPVQYGWMYPVERRLLTLKRFVRNMARPEGSIAEAYVANECLTACSRYFADVDTRHNREGRNKERVPMSRCGLSIFQHGANLLGAPRLTYNEKEYDRMVWYVLNNTKEVEPFIEIYMKDLQTAGSHDVEGNLAKEFPGWFRKHLATRRFVNGEQIDEDLYALASLPLLRVRIFSGCIVDGVRYHTVERESSRRTQNSGVMVEGTHNGEDIDFYGQLKEVIQLQYNSDADSQRTVVLFKCDWFDTCSKKSRMKNDGYFKSISHGSCWYKDDAFILATQATKVFYLDDNKHGEPWKVVQKFSHRHLWNVNEEENDDRQEGGVDLTYQDDEQEISRVETMEGSLVDEQPVNEDGISVPKSLVDQIRRQRDLEVEEDDLYNDDDDDDTLGQYDSENECTIMIPNDDGEYSDVE >ORGLA08G0112600.1 pep chromosome:AGI1.1:8:12788141:12788922:1 gene:ORGLA08G0112600 transcript:ORGLA08G0112600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHVLVVDDTHVDRHVVSMALMRHNVRVTAVESVMQALMFLDSEHDVDMIVSDYCMPDMTGYDLLMEVKKSPKLAHLPVVIASSDNIPERIRKCLDGGAKDYILKPVKIVDVPRILNYI >ORGLA08G0112500.1 pep chromosome:AGI1.1:8:12783179:12784348:1 gene:ORGLA08G0112500 transcript:ORGLA08G0112500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46080) TAIR;Acc:AT5G46080] MRESVEEAVHHAVVAVAAAAVVALAVALFLLWRNKRVARAGGGAGAGGGGGGGDGDGGAIAVAVAPLPVVPLADVERATDGFHPSRVIGQGRHFTVYAAAPGLAAKRMRPHLVLGEPGGRRFPAALRSLAVPPHPNLAGIVGLSEGPGERVLIVERASAGSVGLDALLYHGGTDAPLLPWPERAAVAAGAARGLAHLHAHGVVHGRVRPRNVLVDAAAAARGPCGVRVSDYGLSTFLHSDDGDGDDHGRLDARAENDVYMFGAVLLHLLTGRQWDGGRLAHWALPLIRASPPALAEVLDERAGKPADKAESRLLARTARVALACVANDGRSRPRMAEVSAILDDVEAAYRRRGRSPEHDVDGGEERFSGCLLAPSRSAHRSETLLRLPV >ORGLA08G0112400.1 pep chromosome:AGI1.1:8:12771192:12774907:1 gene:ORGLA08G0112400 transcript:ORGLA08G0112400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:I1QI73] MAPVQVPAELIGSNNGGSAGGSLTVDPALGRRQATAALPTPRSPPPAFGSIVAVLSIDGGGVRGIIPGTILAFLEERLQELDGPAARVADYFDVIAGTSTGGLVTAMLAAPGADGRPLFAAKDIVDFYLRHSPKIFPPVIKGPLGLLKSMMGPKYDGRYLRSIVQELLGDTRISQAITNVVIPTFDIKLLQPTIFSRFDAQKDASKNALLSDVCISTSAAPTYLPGHRFETKDKAGQPRVFNLIDGGVAANNPTLVAMTHVSKQILLGNQDFFPIKPADYGKFMVLSLGTGSPRVDGRTFGADESGRWGLLGWLRNDGGGGGAPPLIDSFAQSSSDLVDIHASVLFQALRCERHYLRIQDDDLTGDAASVDVATPENLRALAGAGAALLRRQACRVDVETGRNVADAGRGTNEEELARFARMLSMERRARLGKQESTTRV >ORGLA08G0112300.1 pep chromosome:AGI1.1:8:12759846:12762850:-1 gene:ORGLA08G0112300 transcript:ORGLA08G0112300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLLLLALALAAGAASFPADFANLLAAKAALSDPSSALAAWDPGLSPSLSPCRWPHLLCSNPSSSSAAAVAAVLLSNLSLAGEFPAPLCELRSLARLDLSYNDLTGPLPGCLAAMPSLRHLDLAGNGFSDEVPRSYGAGFPSLLTLSLAGNELSGEFPAFLANVSALEELLLAYNQFAPSPLPETFTGIQRLRVLWLAGCNLVGDIPPSIGSLKSLVNLDLSTNNLTGEIPSSIGGLESVVQLELYSNQLTGSLPEGMAALRKLRFFDAAMNQLSGEIPADLFLAPRLESLHLYQNELTGRVPATVADAAKLNDLRLFTNRLVGELPPEFGKNSPLEFLDLSDNRISGEIPATLCSAGKLEQLLMLNNELVGPIPAELGQCRTLTRVRLPNNRLSGAVPPDMWGLPHLYLLELAGNGLSGAVAPAIATARNLSQLLISDNRFAGALPPELGSLPNLFELSASNNVFSGPLPASMTVVTTLGRLDLRNNSLSGELPRGVRRWRKLTQLDLADNRLTGDIPAELGDLPVLNSLDLSNNELTGGVPVQLENLKLSLFNLSNNRLAGVLPPLFAGDMYKDSFLGNPGLCTGGSCASGRGGRAGRRGLVGSVTASIVTVAGVILLLGAAWFVHRYRSQRRWSTEDAAGEKPRWVVTSFHKAEFDEEDILSCLDDEDNVVGTGAAGKVYKAVLGHGARGGDDGAVVAVKKLWANGGAAKKAAAMEAGGGGKDTFEAEVATLGRIRHKNILKLWCSLSSGERRLLVYEYMPNGSLGDLLHGGKGGLLDWPARHRIMVDAAEGLSYLHHDCAPPIVHRDVKSNNILLDADLRAKVADFGVARAVSAAPPTTVSAIAGSCGYIAPEYSYTLRITEKSDVYSFGVVMLELLTGKAPAGPELGEKDLVRWVCGGVERDGVDRVLDARLAGAPRDETRRALNVALLCTSSLPINRPSMRSVVKLLLELRPESKEKAMAEEKPLLV >ORGLA08G0112200.1 pep chromosome:AGI1.1:8:12752600:12755365:1 gene:ORGLA08G0112200 transcript:ORGLA08G0112200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTTEVEGIEALVRRLRLYQPPPSPYDGASTTAAGGGGELFRPRRAAVLVCLFRRGGGDGELRVILTKRSSSLSTHSGEVALPGGKAEEGDADDAATALREAKEEIGLDPSLVTVVASLEHFLSKHLLVVVPIVGILSDIEAFKPVLNVDEVDDIFDVPLEMFLKDENRTSEEREKMGQTFTIHYFNYEKENQKYLIWGLTARILIHAASVVYQRPPDFPERRVHFNLPKF >ORGLA08G0112100.1 pep chromosome:AGI1.1:8:12743741:12746888:1 gene:ORGLA08G0112100 transcript:ORGLA08G0112100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLTIPPSMEAPGADIQALIQRLRLHRPRPSPYAAAAAPSPDAAAPPAELLFRPRRAAVLICLFRGAAGELRVLLTKRSSKLSSHSGEVSLPGGKAEEGDADDAATALREAKEEIGLDPASVTVVASLEHFLSKHLLVVVPVVGILSDIQAFKPVLNVDEVDSIFDVPLEMFLKDEKRTSEEREWMGQEFTIHYFNYEKGSEKYVIWGLTAGILIHAASVVFQRPPDFPARTVQFNLPKYSKECSSMP >ORGLA08G0112000.1 pep chromosome:AGI1.1:8:12737859:12739949:1 gene:ORGLA08G0112000 transcript:ORGLA08G0112000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25970) TAIR;Acc:AT3G25970] MRHHQLSVAAARSHASLLKSGVAAPTPWNQLLTSYSRSSPDGLAAARRVFDEVPRRDEVSWNALLAAHAASGAHPEAWRLLRAMHAQGLASNTFALGSALRSAAVARRPAIGAQLQSLALKSGLANNVFAASALLDVYAKCGRVRDARQVFDGMPERNTVSWNALIAGYTESGDMASALELFLEMEREGLAPDEATFASLLTAVEGPSCFLMHQLHGKIVKYGSALGLTVLNAAITAYSQCGSLKDSSRIFDGIGDIRDLISWNAMLGAYTHNGMDDEAMKFFVRMMQESGVHPDMYSFTSIISSCSEHGHDDHQGRVIHGLVIKSALEGVTPVCNALIAMYTRYNENCMMEDAYKCFNSLVLKDTVSWNSMLTGYSQHGLSADALKFFRCMCSENVRTDEYAFSAALRSSSELAVLQLGKQIHGLVIHSGFASNDFVSSSLIFMYSKSGIIDDARKSFEEADKSSSVPWNAMIFGYAQHGQAENVDILFNEMLQRKAPLDHITFVGLITSCSHAGLVDEGSEILNTMETKYGVPLRMEHYACGVDLYGRAGQLDKAKKLIDSMPFEPDAMVWMTLLGACRIHGNVELASDVASHLFVAEPRQHSTYVLLSSMYSGLGMWSDRATVQRVMKKRGLSKVPGWSWIEVKNEVHSFNAEDKSHPKMDEIYEMLRVLLQVEQMLSNCEDEDILMITSSGI >ORGLA08G0111900.1 pep chromosome:AGI1.1:8:12733466:12733975:1 gene:ORGLA08G0111900 transcript:ORGLA08G0111900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADNGEKMILLISSDGERFELSEAAASQSKTLSHMIEDDCTDNGVPLPNVTAVVLAKVVEYFKKHAAVTPKPATEAVAADKAKREEELKSFDAEFVDVDRTMLFELILAANFLNAQDLLDLTCQHAADLIKDMSVEEVREVFNITNDFTPEEEAEVRKENAWAFDN >ORGLA08G0111800.1 pep chromosome:AGI1.1:8:12713477:12715497:-1 gene:ORGLA08G0111800 transcript:ORGLA08G0111800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQHPLPSPCRRHCCLLLLLLSTLLLSSASSLEEGRVLTVGDELMGETMLLRHGSRLYRLDGTRSSAWYEVKISYPASIPSSFSIRLVDDPHSVEDLGSMNRRLLNTEKIIFKAQNSWLVYVLVTVEPEGVVAKPNVPERELAVFNIVCDELMFGIPHFAWWVGIGSLFCIALASVAPYFLPLHKLLNYEATELRDDDAAKLS >ORGLA08G0111700.1 pep chromosome:AGI1.1:8:12702794:12708463:-1 gene:ORGLA08G0111700 transcript:ORGLA08G0111700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKTVPGGVRTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLNNSSELAVRRVAALAGDHSRNLAFHKVDLRDKGALEKVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVNGTVNLLEVMSAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGKTKLVVEDICRDIYRTDPEWKIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPYVQQVAVGRRPALTILGNDYATRDGTGVRDYIHVVDLADGHIAALQKLFESSSIGCEAYNLGTGKGTSVLEIVKAFEKASGKKIPLIIGPRRPGDAEILFSLPAKAEKELNWKAKFGIDEMCRDQWNWASKNPYGYGSLDSTKQNGHHSYGSTGSPKQNGHCTNGFSESTRHNGHNGYGLVDSAKHNGNGHFH >ORGLA08G0111600.1 pep chromosome:AGI1.1:8:12685149:12686704:-1 gene:ORGLA08G0111600 transcript:ORGLA08G0111600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGELGNAATVAQLVGADLGGLISKIIQAAATARRNKAECEQLAVRASMIYDLLPHLQHPEVVRRPEVLRPLALLEDTLREAHQLVTCCQHKGPTYRFVMAGRLADKIRSVQARIDSYLLFLPLISHIDIIRCLDQIHRVLISTGDGVCRTMASPSADSQLQRRLVLHGDGEYCEKFTMPQLAMATNNFAVDRQIGEGRGFGSMMYKGRLPDRREVAIRRASPRRKGDFLRELAILSPLCHHHIVRLLGCCVAAATTSSPAEEEEEDCLLVYEYVDNGTLYDHLHGSDGASSLVTTSWKTRIEILAGVSRAIDHLHSHAAPPVIHRDIKLSNILLDSTYAPRLSDFGLAVSCDEVERTAEMPILGTFEYMDPEYLSTGNLTPASDVYSFGVVMLELLTGKKAIHDEKHGAVVATSLVASVLPNMEAGDLMKEIDRGPGLKPTPRQLEATEKVARTAVRCVHSQGKERPPMTEVVASLQEALELLSLDE >ORGLA08G0111500.1 pep chromosome:AGI1.1:8:12637318:12643270:-1 gene:ORGLA08G0111500 transcript:ORGLA08G0111500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMDYYEILHVDRSATDDDIRRAYRRLAMRWHPDKNHTGKKDAEAKFKDITEAYNVLSDAGKRALYDQYGEEGVRAGGAPPQPGGGGADDIFAEFFGSTPFTYCNTGAGTTARAKQQAAWDAGGGGAYFGRGGFARDHGGGGAAAAPQPPPVESKLACTLEELYVGVTKNMKISRNVVDASGRMKTESEILSIEVKPGWKKGTKITFPGKGNQQWSQLPADLVFVVDEKPHDVYCRDGNDLVAEARVTLADALGGTVLVLATLDGRELLVEVSGGGVVAGDEDDGDDDEDPVVYPGYELVVPSEGMPIAREPGSHGSLRIRFDVAFPERLTRRQRAQIKRILDAAG >ORGLA08G0111400.1 pep chromosome:AGI1.1:8:12620999:12622267:-1 gene:ORGLA08G0111400 transcript:ORGLA08G0111400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RMYQNLWPEMLKLNDWPISNHFEELLPSHGVKYINSLPFQAYTNLKSGLLNVSTLLPDDILKLDMGPKSYIAYGYAQELGRGDSVTKLHCDLSDADANDLACPIKSESAEEGALWDIFRREDVPKLKLYLDKHSKKFRHIYCSAVQKVCNPIHDETFCLTKEQKRKLKEEHGYFTTRKSIFAGGGNSSSQADGRSTCSPKFTGGLEVRI >ORGLA08G0111300.1 pep chromosome:AGI1.1:8:12604946:12608246:-1 gene:ORGLA08G0111300 transcript:ORGLA08G0111300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPLTAEAIAFTEKKMDMTLDDIIKMSKKKNPGGKKPARQPIKKRPFQNDNTNQGNAKVQRFMESRSSLRQGVLAQRRTNLGGNQFVATKQAAKKAATMPIRSRGVRWNKPSAPSNSIQRRPVGQAFQNGKGKEVQNKAPRTMDALFAEMKQQRMRTMPQQQTNTAPGRQIAQQRRNQQQRRGRGYGGRNGGNQ >ORGLA08G0111200.1 pep chromosome:AGI1.1:8:12599708:12601639:-1 gene:ORGLA08G0111200 transcript:ORGLA08G0111200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLIKIKGQKKEDAANANGKPPAKKQSPGELRLHKDIAELNLPKSTRISFPNGKDDLMNFEVTIRPDEGYYVGGKFIFTFQVPPAYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNVNTIVYGLNLLFSQPNDEDPLNHEAAAVLRDNPEKFERNVQRAMAGGYVGETHFPRCM >ORGLA08G0111100.1 pep chromosome:AGI1.1:8:12597173:12597773:-1 gene:ORGLA08G0111100 transcript:ORGLA08G0111100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQGEVHLNIPASKAWEMFTNNETLGKISPEMLSGAEYLEGDGSPGSLRIFKLGPALHHFVKESVQKVEKVETGRSFGYEVVSGELKEVYDPYHVTFSFAPVPGKEGEQCVAGWKAEFEPITPTSPPPEKAKDAALGFLKLFETCEATN >ORGLA08G0111000.1 pep chromosome:AGI1.1:8:12591921:12592202:1 gene:ORGLA08G0111000 transcript:ORGLA08G0111000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGLEEGGDLPIHALPVRFLRPSFSWNLVDIGGVRAQPEMHPVNGNGEATNGGALDTGDSRGHAPPFLNSSISKTKGMDRLSMEIVIRFR >ORGLA08G0110900.1 pep chromosome:AGI1.1:8:12564812:12566401:-1 gene:ORGLA08G0110900 transcript:ORGLA08G0110900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QDQINWHAHEPKGKLFGPTLELSRSPRPRVFTSTDIDYENRFSQMDRKGPFPPQKLAIEVRGSPTYILGHLPFHNQCGTIQQSLPSHIVVPQPLHRIRAPLAHGPSGYPEMSRSIDNEDTSYKALRSRSLFCNEKILKAPSNLPPARCHACDKPTCGGVDIYASRANEKILRYGTIEYTNPPSCHVVHVDE >ORGLA08G0110800.1 pep chromosome:AGI1.1:8:12556021:12564290:-1 gene:ORGLA08G0110800 transcript:ORGLA08G0110800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQGAMDSLLKKLTNLLVEECARLKGVRREIRFLRSELNNMHALLQKCAAMENPDIQVKTWTKELRELSHDIEDCVDEYVHGVDTNDHHGHGGIKEFFRRCARRLKTLCTRHRIANQIQELKARVVEVKDQRERYKLDDVAGSSYTSLTIDPRMGALFTEEAHLVGIDGPRDDLVSWLMEGEAEHLNHRKVLSIYGFGGLGKTTLANEVRRKIGPQFGCAALVSVSQKPDFKKILWGILSRITPKGTNLVRDLRETWDESETMLIEKIREILQDKRYFIIIDDIWSASAWDVLKCALPENKNGSRVITTTRIESVAKACCSLPSDRCYKIEPLSELHSRMLLFKRVFGHVDGCPVQITHVSDDILRKCTGLPLAIVSIASLLASRSNTKEQWEKVSTSTGSVLQENHDLEGMKTILSLSYNDLPHYLKPCLLYLSIFPEDYDIERGSLVRRWIAEGLVSEDYGQNVEDVAESYFNELINRSMILPVDIDYDGRVRVCRVHDMMLELMKSKAREENFLTIIGPSPISTKPKGVVRRLSIQYNDGDQKLAPQEVTSLNHVRSFSTFGDCLNQTLPFAYFRVLRVLSLDCELNEDVDLKIICKLHQLKYLRLNAFKLPAEIGELQCLETLEWCSFSWNSLLPDGISRLQHLRHLLVDNEGMLPKDIGSMQALRTLSQFNICDSPVNAVQELGNLRNLRELSISWDEDEPSDARYKEYLSSSLSKLSSCSLKSLSILSARPIPVDFLASLSPPPCLLQRFWMWNSYFQRCPKWIAPLDRLTELKLDVWELEDEDLDLLAHLPALLQFNLWVVPLRKEKIVIKETGFRSLVLFLLWSGLPCLSFQEKSMPKLETLKLMYSACGAELYGSTHSGIQHLKSLKNVHVEIYTAGAIQSNIEAAHRNINHEIAKHPSNLKTNITISSYIYFGEVMNDGNVDEEDSAHLTDNNGGKNQDEDNKVISALGCDGCAY >ORGLA08G0110700.1 pep chromosome:AGI1.1:8:12527455:12530628:-1 gene:ORGLA08G0110700 transcript:ORGLA08G0110700.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGISLRTANATVDQRALTIFQDVSSLVGVDQPKKEFIDLLMKDDGHVASEHLRIISIVGIGGLGKTTIANLVFEELREQFDCSAFVSVSRNPDISGILRIILSEVSAEPYGNTESGDVQQLIRKITTFLKNKRYLIVIDDLWNIESWKIIKCAFSRSITGNRIITTTRINEVAKSCCFPHVHRVYKLRPLHFVDSKRLFLKRIFGLEECPSHLTEVCCNILAKCDGLPLATIAISGLLATKAPTEDQWNQVEKSIGYALERNEDVNGMIRILSLSYFDLPHNLRTCLLYLCTFPEDYIIHKKRLVRRWIAEGFIHEEHGHTLYELGQRCFNELINRSLIEPRYIGKFGEVKSCRVHDTILDFIRSKSIEENFVTLLGIPNVPIDRQKKVQRLSLLVNTEEYYSSLEENNIKYVLDKKEDDSNEQEDNSNGFHILKKLNLCNARLLTIFPHSVKVPSLLQFRRLRVLDFEGCEQLENHHLANIENLLHLKYLSIKDTIVNVLPTQIIRLRYLQTLNVDVRGAINIPTHIYRLRQLTYLLVDMRCQLPAKIGNMQALQELKVVNVLAQSLNTLQGLGKLTNLRKLSIFMPGHHADAAERYKGHMKAMISSICKLGRDNLHCLTIHISSVSADDFIQEPWCPPPLSLQELVINQAPMSRVPRWIGSLVNLQRLGLYLKELSQEDVSTLGGLPALLSLILYVEEVITEEGGRLRISSSYGFPSLERIRLGGESCRLELTFEVGCLPKLQQLNLECMVAEENHTSSSNVVFGIEHLSRLTSIYCCIHYKYETRLAKVAMLAALERSIISHPNQPTFTKEEYGDFVDEFC >ORGLA08G0110600.1 pep chromosome:AGI1.1:8:12517580:12518055:-1 gene:ORGLA08G0110600 transcript:ORGLA08G0110600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVASPSMYGGTLQPCLYCRRSSTTGTRRPSITRPNATDHFTKCITSNPHFKEFQQQLTTKLGEVSAAVTMASSLLLDAFVDSTFTFSHQSLRPTEGLEFVFFAQFALIIVEIR >ORGLA08G0110500.1 pep chromosome:AGI1.1:8:12515434:12516594:1 gene:ORGLA08G0110500 transcript:ORGLA08G0110500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMHEWYLKASRKDLSFISVKVPRDDFMSKTNEIFIIDFRDLHALFKLDKMDINLVVVFCVTTIFYSXVRKFYVKHHRPVKDVQRKKLHVKTDWPIPQIILM >ORGLA08G0110400.1 pep chromosome:AGI1.1:8:12500468:12514896:-1 gene:ORGLA08G0110400 transcript:ORGLA08G0110400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGVVTLLEAGKLVGKVILAGSANLYDGSIHEQSNFAPVDEIGGRTEIWRIEGTIPDDFPEGVYIRNGSNPLFGALHKVNSIFGQSEDIWVEGEGMLHALYFTKSGEGSTWSISYNNRYVQSDTFNTERDRQRPCFLSAIKGDPLAIIAASILNMLRFGKVFRNMSNTGVFEHAERVFSVAENDIPYEIDLDSLGTLCSWVVDGQWNMPFTAHPKVAPGSGELVIYGFNIVKPFLTIGVVSEDGKKLERKVDLKLERCTYCHEIGVTKMYNIIMDMPLTVDLTRILRGAPLIDFETESYARIGVMPRHGDADSVIWFDVEPFCTLHLINCFEEDHEVVIRGFRVPGSIITGITLEHTANEEPANQGPSEKSFPCLYEWRLNLKSRAVTGKYLTGTDIALEFPVINNKYAGLHHKYAYAQVIDVQGSLEGGCGTVRPKFGGFAKLHLQDNNKAHVIDAQRFENGPIAKITLPQRVPYGFHGAFIPRTTYNKT >ORGLA08G0110300.1 pep chromosome:AGI1.1:8:12480830:12482056:-1 gene:ORGLA08G0110300 transcript:ORGLA08G0110300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIASMHMYGFSLQPRLCSTRGRPAKITRTKPLATHDSSAHIPPRFKELQQVLKTKLREASAAGSSASKKLLDAFVDSIFTFSHQSLRPTESNFAPVEEIGQITNILRIEGAIPEDFPEGVYIRNGNSLSLLTITN >ORGLA08G0110200.1 pep chromosome:AGI1.1:8:12447456:12447797:1 gene:ORGLA08G0110200 transcript:ORGLA08G0110200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPPPSEGRYHRRRIRRWEEGTAVEADGKRETGRDGRRRRLRHTPSSPRRAGETGGRGGEGAAPPEGRGEESGAARGERGEGRASADGEREGERQRWAREAAGNGREDGGRADG >ORGLA08G0110100.1 pep chromosome:AGI1.1:8:12402694:12409373:-1 gene:ORGLA08G0110100 transcript:ORGLA08G0110100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLQPRVCISSSRSSISPKAARLSHQKASTGKPYFREIQEHLSSKLGEASNAMNSTYQQLLDSFVDHTFTFKCQPLRPTESNFAPVDEIGEITRVIEIEGEIPADFPEGVYIRNGGNPLYGALQSVSSIFGQSHNIWVEGEGMLHAVYFCKSNNSTWSISYNNRYVQSETFRIEKERQKPCFLPMTDGNPPAMLIASVLNTLRFRKVMKSMSNTSVFEHAGRVYAASEDDVPHEVDLHNLSTLGSWHLGGEWKLPFTAHPKVIPGSKEMVIFGINAVQPFLTVGIISEDGEKLKQKVGLKLDRCTYCHEIGVTGTYNIIIDSPLTLNPTRMLRGAPVLEFEEESYSRIGVMPHYGDADSVIWFYVEPFCTFHLVNCFEEGHEVVVRGFHVPSSAIMGPRQKNMVMDTSSQEPNEENFSRLYEWRLNLKTRTVAGKYLTSLDVALEFPVINDKFSGLRHSLNLAARPKFIGFAKLCLEEKQNIATKIDREDLIKVEYHQLAKNQFCSGVTFVPKAAGAHEDDGWIVSFVHDEETNISKVHIIDARNFESEPIAKIILPQRVPYGLHGAFITKGT >ORGLA08G0110000.1 pep chromosome:AGI1.1:8:12398561:12401324:1 gene:ORGLA08G0110000 transcript:ORGLA08G0110000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulphur cluster biosynthesis family protein [Source:Projected from Arabidopsis thaliana (AT5G03905) TAIR;Acc:AT5G03905] MAASSSSSRPLLRRVAALLGGRVRASHRLLSSSPSSSSAAAAATERASPPSPADPDTVHMTDGCIRRLKELHAKEPPSEGKMLRLSVEAGGCSGFQYSFSLDDKKNSDDRIFEKDGVKLVVDDVSYDFVKGATVDYEEELIRSAFVVSTNPSAVGGCSCKSSFMVN >ORGLA08G0109900.1 pep chromosome:AGI1.1:8:12388152:12393849:-1 gene:ORGLA08G0109900 transcript:ORGLA08G0109900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPLQLCPGSVKIDFASASYAGKADIAATAAALLHALGPPQTPPCYQLPLAKVNSSLPLWPPVICPSTFAQKRPMSLPSPPPAKKLQVQQSSSMLPQDFPKLEVLPVEKPTKLQVRWSPQHVSPALKQDSPKMERPLLPRPATFIDVMLSSQKPSSEMWSETKDVTLTRKTNCSCKYSKCLKLYCECFEKGRYCIGCNCTNCCNNVNHENARQDAINVALERNPAAFMPKVSNSTAHNCESKAAEGDIVGKHTKGCKCKRTECLKKYCECFKASVFCSENCRCTGCKNYKSNADRISQKNTVHAHHVQNPASSGMVGQSVIIFHAAENDSSLSLAASVSDHSINNNTSHALSCSLTSSVTREDAKSLVKYVPHEVTYRPSLADFIQIEDVNELCNLLILVSRQAGATLLESGMKENTKRKKLNRVDSCLSSTNHDREAIQKEPAKQMCSLEKSSNEVLIAEGRLELPRADPFDIQKGNKRPLSPGTQALMCDEQDAVFQDSKAPDATPSTTEENLVDIFKEQEKRVLTNFRDYLCKLATCGKLQELKLSLASTNCSEQAFADHGNNSSITRVAKVTRIRQTIPQFSSKAASTTALDV >ORGLA08G0109800.1 pep chromosome:AGI1.1:8:12381117:12385511:-1 gene:ORGLA08G0109800 transcript:ORGLA08G0109800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G27000) TAIR;Acc:AT3G27000] MDSGNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESLQEQELTDIVVGAACADLRHQLDVSYPVTNGIVQSWDDMGHIWDHAFYSELKVDPSECKILLTDPPLNPVKNREKMIETMFEKYNFAGVFIQVQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGFSYPHITKRMNVAGRHITSYLVDLLSRRGYAMNKSADFETVREIKEKLCYLSYDYKREYQLGLETTILVKSYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMAFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEMLDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWITRQEYQEEGLACLRKCGQA >ORGLA08G0109700.1 pep chromosome:AGI1.1:8:12366432:12366653:1 gene:ORGLA08G0109700 transcript:ORGLA08G0109700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGTIIYVKFLSCTRGFPKVFRTLSMRLVRGFRLPTSCINRGGA >ORGLA08G0109600.1 pep chromosome:AGI1.1:8:12296010:12298468:1 gene:ORGLA08G0109600 transcript:ORGLA08G0109600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAASPAADAVVGEAASAVVVAASASAAPTTDLASAAVGWRRRAEPHSGSASAAGPVTDPATQRQQIIQLDKLLVSTIDSAQAIFELKLRLGLGSLDGDGDGDEKVSGGCVEARRWRQWVRGGGGGLCEEEMPVAERGRGNDARGGKEKATSWESGWMERQMRNFAGW >ORGLA08G0109500.1 pep chromosome:AGI1.1:8:12273754:12276023:-1 gene:ORGLA08G0109500 transcript:ORGLA08G0109500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRLQLNLVNKYRAFLCRHLACIWWSIIEAIEKRSEQSIRQDLGMMEEDSFVNNDVGEEVVVANGGDGEGVGGMARSDDGGDGGGGNVVQDPPLSLGEVRGILEQNHTLIQEISQNHKARDADRLTRNVALIRDLNTNIARVVDLYANLTGSSHSHTTSDVASATNTNATNSSSPSAAAATAEVSKQPHTTDTDAK >ORGLA08G0109400.1 pep chromosome:AGI1.1:8:12269845:12270279:1 gene:ORGLA08G0109400 transcript:ORGLA08G0109400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSVISNGGREEEVVVANGIGGGVGGTARSGGGGGGKVVQLLQRNFGEVQGILEQNRVLIQEISQNHEARDADGLTRNVALIRELNTNIARVVDLYANLSGSFSRSVTAAASANNTNATNSTSPSAAAAAKASKRSRATDTE >ORGLA08G0109300.1 pep chromosome:AGI1.1:8:12261568:12264151:1 gene:ORGLA08G0109300 transcript:ORGLA08G0109300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGPIRQDWEPVVVRKKAPTAAAKKDEKAVNAARRSGAEIETMKKYNAGTNKAASSGTSLNTKRLDDDTESLAHERVSSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRGKK >ORGLA08G0109200.1 pep chromosome:AGI1.1:8:12246334:12249853:-1 gene:ORGLA08G0109200 transcript:ORGLA08G0109200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLDPGDSIVMAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSALTESDIEETFKRLVVDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRLDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMASNLYCSQIEDLMFELSMWRCNDELRARADELHLSSKKDAKHYIEFWKKVPPSEPYRVVLGDVRDKLYNTRERARQLLSSGYSDIPEETTLTSVEQFLEPLELCYRSLCDCGDRVIADGTLLDFLRQVSTFGLCLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQDWLLSELNGKRPLFGPDLPKTDEIADVLDTFRVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLESAPAAVARLFSIDWYRERINGKQEVMIGYSDSGKDAGRLSAAWQLYKSQEELINVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPIAPKPEWRALLDEMAVVATKEYRSIVFQEPRFVEYFRLATPEMEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGSAFKHILEKDIRNLHMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEELWPLGEKLRANCEETKQLLLQLQVAGHKDLLEGDLYLKQRLRLRNAYITTLNVCQAYTMKRIRDPDYHVTLRPHMSKEIMDWSKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >ORGLA08G0109100.1 pep chromosome:AGI1.1:8:12228141:12241180:-1 gene:ORGLA08G0109100 transcript:ORGLA08G0109100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein [Source:Projected from Arabidopsis thaliana (AT1G06560) TAIR;Acc:AT1G06560] MDSSASASASPTAAAAADADRYTYSPRLQWQPEVEEYFAAAYGRDRFARISEALAHPSCYSCIRVNTLKSSTDAVMHKLMNLVDQNGLCGGINGLEIGQQNGGEQAHEGNSVVHKCPYSGLDNVLFVQGSGPHALHYDSQPDQSIKEVIVSRKCAESVLRGAQVYIPGVLACSSHVEKGDKVAVSVAIEQPAEDGGWTVGITRGTVLQGLQSDAHHEERKGLYIGQGITAMSRSGIFRVPHGVAVEMTERVYKLPSFNDVLEGEIFLQNLPSVVAARVLDPQPGERILDMCAAPGGKTTAIAILMKDQGEIIALDRSHNKVMDILKLAAEMDLNCIKAYKLDALKSVRKTNEAKYIGEAGSRTDAIVTLAEDSEPCINKVDAGTTNASEDSSTTSVVQTDNKKTDDKRYVSKADLRKNLRRMRNGPGRNNCSGGRVENSKGFLPNSFDRVLLDAPCSALGLRPRLFAGEETLESLRNHATYQRRMFDQAVKLVRPGGVIVYSTCTINPGENEALVRYALYKYKFLSLGSQHPKVGGPGIVGSFELSTKKYTEEWLTKHESQLVQRFDPSSTLDTIGFFIAKFDVGQKDD >ORGLA08G0109000.1 pep chromosome:AGI1.1:8:12207319:12211806:-1 gene:ORGLA08G0109000 transcript:ORGLA08G0109000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGTEEIKLDADVKKKSTVQEIRKDADVEEFKQADHMLLILREMMVMMKLMLVVGVCSVGLIAKPSCVGLQCAMRCETFEMSKWQPNIHQFCIAVSHSLALQKKVSGEKGWPDSWSVSHDKLIVRAHVVFLSQTFLCSMCFFSRMPDWCSGSNFKHRWGGVRFKRTMLELKPDQKQFIIDNGFESFLSLSNFKVHSRLAEWIMQKMNPEICEFRFRGKVIVFDKLLVHKITGLNDGDLPVKLSGANSEVVKEIRTLYHPYFVSNRLGTGMCEKLLLSMHDEEKFLRTFILYLLATILCPATGNYVNLDYLHSLVDVKMCCQYDWCTNVASCLMREIMKYQGFSTEQRDSIFQIGECLPLLVIAYMDHLQMPTTRLHLLIIDYNTPRFCHVTDEDFEYVAVVDRCRMNLSYVTYGSRPFRPRNEIPYLAQVHAVVGGSEAENAGVATAEDVPIGAVQDGVGIGAAVAQDSVAQDSASLNEWIRLSASSSQGTTFPASLKSIIEKHSAMWQDEFVSALDNFKRDMIDLRAKRTCDMISDISKVLADSNTAVGISEAVSNPPSTGLAAEVVSNPPSIEGAAEAVSKPSSIEGAAEATDFDGPSKEASGSSVPSSPAVDDYIFASRSDISNLDDACDAPSFRLFNESDPDFISTQDLAAKMLSLDVSNVPQSAMDGRIPSPSPQSPVSTRTSSATPLPTAKTPSPAPLHPLQQKHQLQ >ORGLA08G0108900.1 pep chromosome:AGI1.1:8:12203304:12204460:1 gene:ORGLA08G0108900 transcript:ORGLA08G0108900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTTGRSEGLNGLFKLFVHPQDSVWIFVKQYEHIIETRLDREDREGYRAETTEPRMYSRSLIEKQASQFYTTSVFADKFQYEIYEATGLDAEKTQEIPDIIYNVMPSDIERTGKKYRVTVDAAHSTYSCSCHKFERDGLLCSHILRVMAVLNIHEIPSKYLLKRWSEQATLQICDEYNGPAPSIGVPATSKLRFNALCRAMTSLASDACVNEEKYLIVSAGIQNLQAMVATPHTVETQHQQGILPSQQDPSSSAKNPATKKRTGRSAEKTHRMKPIGEQITESSKKRRTKKKSSCSYCFDETHNVSTCPYLKIANMRDALLNQKMVQETELTL >ORGLA08G0108800.1 pep chromosome:AGI1.1:8:12202362:12202790:1 gene:ORGLA08G0108800 transcript:ORGLA08G0108800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLRDNKWRVIAFQPKHTHPMVKRLGRRRYYRSHRHISNEDYEFLKTLHDRNIATSQILALLGDLLGGVRNLTFTAKDVSNLRTKLRQQVSLKDVAMTIDYFQKTQADNPSFFYAARYDEDNVLKALFWVDGRTRKMHQSY >ORGLA08G0108700.1 pep chromosome:AGI1.1:8:12201329:12202119:1 gene:ORGLA08G0108700 transcript:ORGLA08G0108700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLIHQVERDFPPSSSSANRGTTPMHNTTNLIDVQAPSSSGGFLDVLLSKSSRHKTDLVVDVESVDNTDVAAVTVEIDEQLDEDASENDEEEKDVVCYPPIVPYIGMEFDTVEEARNVYNAYAYKLGFGTKIASSRNSQASSGGKKHQRNV >ORGLA08G0108600.1 pep chromosome:AGI1.1:8:12185497:12189254:1 gene:ORGLA08G0108600 transcript:ORGLA08G0108600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAACISSFPPPPMAAAAAPAPETTIDVFVVANHTPVTAAADKSSCEGDVVAGGGRTVRRPRAVARTLECERRVVGEGFAVRRGIGRKELDSLDPFISLDEFEFSPPAGFHDHPHRGFENVTYMLEGGFSYHDFSGHKGTINTGDVQWMTAGRGVVHAEMPGGHGVQRGINLWINLSSKDKMVEPRYQELASHDIPAVERDGVSVKVIAGEALGARSPLQTRTPALCLDVAMRPGARLRAPVPPGWSACSYVIDGEAVFGDEAAAAGAHTCVVFGGGGDGVAARATERSAARFLLVAARPHGEAVVKDGPFVMNTREEVEQARDDYRNRRNGFEMAAGWSSDHVATAAAAH >ORGLA08G0108500.1 pep chromosome:AGI1.1:8:12110922:12111107:-1 gene:ORGLA08G0108500 transcript:ORGLA08G0108500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCVYGMRSQIWKESKFGTIGYVKFLFCTRVFPKVFRTLSMSLVRGFRLPTSGINRGGA >ORGLA08G0108400.1 pep chromosome:AGI1.1:8:12062920:12068834:1 gene:ORGLA08G0108400 transcript:ORGLA08G0108400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFPSGSRVKCTVLAVLCGKVGKQRAPPCPVPGSQRPRPSYPFPELISSGRLEVHKLINPTVDQFLEAQRALQPRFMYLQGQQLDNEEKIGTLVWGDADVSDPQIFSSLIRPPFPTIVYLEVPSGEKIAQSLQSKGISYIMYWRYSLSSYAASHFRHSLMSVVQSSCSHAWDAFQVAYATFQLYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNEMAEEEDTSEYFPDIKIYDENVNLKLLICGAHCTLDSSLLNSLEDGLNALLNIETMQHTHIEKLSSGGGDWWFRWCKLQDRVSAAPPLHVDSTLLDGMVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDGPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVCSFDSQDVDWLLFFRTIQDEAIGTSLYPHPPRWSASLAKDRVKGSMVSKPAR >ORGLA08G0108300.1 pep chromosome:AGI1.1:8:12058989:12059875:1 gene:ORGLA08G0108300 transcript:ORGLA08G0108300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMEEPPPAAGGDEDREVELPEDVLAEILRRLPPRSLAASRCVCTDWRSAIDSRRLLRPASSRCRSPAFSSTSTPSGSRSSSLAHLRHRQPXGACSTSCHPKNKTPTSGTTATASFFFSLSLWXTRPLEGYPCLMGPIK >ORGLA08G0108200.1 pep chromosome:AGI1.1:8:12039664:12042643:1 gene:ORGLA08G0108200 transcript:ORGLA08G0108200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRPRVEEEVDDDDQDGSSGSAEEHQQRRYGARRLRPVLSFRSVVRRAVAAETIQQIVLNLEPVIRRVVREEIRNIFPQYGHDLPHRSLPLQIQDVGVSPPLKLVFTKQLKLPIFTNNKLVDIDNNPIEIQLVDTRTNLIVTPSNTHLGYSAIKLEVLVLDGDFRYDEDGARWTDDQFSTAIVKAREGRRPLLVGTVSVTMSNHGVAVIDDVSFTDNSSWIRSRKFRIGVRVVMLTDSCGLRIQEAVSESFTVKDHRGELYKKHFPPLLTDNVWRLRNIGKDGPIDKRLEAEGIKNVQDFLKLNTMNPNKLKSLVGMSDRQWSATLKQAKSCDMGGKCYVFKSEGCEIKFNPIGEILAVRFGGRMCSLHELLPHQMVQIKQLVSQAYQQWDQMEEVQNEMALVDKSLIPFHDEKPMISSGMPSYINQAEGLMENSGWEPSEMSQESMISSASQNAMYVDSLGTATTSTAEMVTNNISTLEPASTVPDSALYSWNSGMAADDHFSWQNNTNLVPWDHAN >ORGLA08G0108100.1 pep chromosome:AGI1.1:8:12030483:12030911:1 gene:ORGLA08G0108100 transcript:ORGLA08G0108100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPTIRARALNYDPDIYWRDTYVVSMPFFAMLSAEMTLGWAAKGAFATSNLYSFTTGDGYECVTGVDAVEERVKEEEPDAEERDGDGRSGAPQGPATVASDAPKSARGFGRTVGKG >ORGLA08G0108000.1 pep chromosome:AGI1.1:8:12025947:12029458:-1 gene:ORGLA08G0108000 transcript:ORGLA08G0108000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ortholog of maize chloroplast splicing factor CRS1 [Source:Projected from Arabidopsis thaliana (AT5G16180) TAIR;Acc:AT5G16180] MAPPPLHLFSPSPRPPSPTPPPWLHGPHVPSTSVSPLDPATEAPPAPKQHRGPRPAPRNPGSAKPLTAGVPGGRTRRAVLGIIRKVRSLELSDPRTPSPNGGGGSSSSSTATARVPFHLPIHPPPPEREEEEKKGIRRAVPWAAARDEETKVVLRREKKTRVPTRAETELEAGELERLRRAARGKERWARAKKAGITDEVVEEVRGQWAKGQELAGVRIVEPLRRCMDRAREILEIKTGGLVVWTRGDIHFVYRGSSYLENAKRHRDFVNYNEELSPVTSNNPTSNNPTSQGKYWSKDETLTNDNDEADDKDDKPIKGTLYEREVNRLLDSLGPRFIDWWWNTPLPVDADLLPEVVPDFKTPFRQCPPGLRPTLADEELTYLRKHARPLPTHFVLGRNTKLQGLAAAILKLWEKSLIAKVAVKVGIQNTNHEQMARNLKRLTGGTVILRNKDYIIIYRGKDFLPGGVAESVIERESQVHDQQAKEEEARLKMADSLQMIVGLSSERSYVGTFREYQDFHDSHARRTTENNFRIQLEAKKHRLEKELKDQEWRLSMLTKKIERSNQVLAKLHSSWSPSKKDGDRELLTEEERRIFRKIGLKMDEHVLLGRRGVFEGVIEEIHQHWKHKEVVKVITKQNQASQITYTSMMLEVETGGTLIAIERFTTSHAIILYRGKNYRRPTKSAPSNLLTKREALQRSIEVQRRGSMKYFARERKKSIDELKRELRNVTWEIRKLNHDTEQSWTA >ORGLA08G0107900.1 pep chromosome:AGI1.1:8:12024512:12025195:1 gene:ORGLA08G0107900 transcript:ORGLA08G0107900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAARWAAKKGKPKMAPIELPAPPEQAQSITRTIFDVVREHGPLTISDVWDHVKDVGLRGLTSKRQMKIMMRWMREKQKLRLICDHDGPHKQFLYTTWFTNPKNAPQRPKRDHHRQQQQQQFRGEPRKP >ORGLA08G0107800.1 pep chromosome:AGI1.1:8:12017446:12018454:1 gene:ORGLA08G0107800 transcript:ORGLA08G0107800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRGGVDGRLRRFLSRRVGVGGCGGRGGGGGKEAAAAREQEEYRKPLVGRGGRTLRDLFVASPEAARRRGGDDDEGGGIGGFRSGHGGGGGGGGGGRRRFAAVNMEYQITTITQSINLTPNCKFALVLLCMHHQFITNSPFLFSSPQTATRYAATGHDDLVIIIISTAAAAAKPSLLPLYCSLAKNPWPWPPESTEEVVVPRSTPVGIGTGSRHEADA >ORGLA08G0107700.1 pep chromosome:AGI1.1:8:12004613:12008343:-1 gene:ORGLA08G0107700 transcript:ORGLA08G0107700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHHKMYNRYVKRTSKFMAHDETEDCNIGDRVKLDPSRPLSKRKHWVVAEILRRAKVYSPSAAAAAVSAQPGATVQQAEAASKS >ORGLA08G0107600.1 pep chromosome:AGI1.1:8:12003350:12003601:1 gene:ORGLA08G0107600 transcript:ORGLA08G0107600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAPPLPPLSSSSPASPLPTHPSWCSKGLTGPAASFLVYEVTTTSSLFCRALVHCPWSRQGANRRPDHKRVGGKKRKKNTIVDL >ORGLA08G0107500.1 pep chromosome:AGI1.1:8:11997212:12001111:-1 gene:ORGLA08G0107500 transcript:ORGLA08G0107500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02450) TAIR;Acc:AT4G02450] MSRHPEVKWAQRIDKVYITVQLADAKDAKVNLEPEGVFSFSATAGTDGNLYESKLELNDKVNVEESKISVGVRSIFCIVEKAEAKWWKKLVRDDQKAPHFVKVDWDKWVDEDDDGADVNVDGMDFSNFGGMGGMGGMGGMGDMMGGMGGMGGMGGMAEMMGGMGGMGGMGGMGGMDEFEDESDDEEEVSKPQDAEKAAEAGKSQESDAKAETS >ORGLA08G0107400.1 pep chromosome:AGI1.1:8:11995011:11996009:-1 gene:ORGLA08G0107400 transcript:ORGLA08G0107400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSHAWGNEATTAWGNARDNEAPAQHTLLDAQLGVRIKGERESVLLGLTAMVEYANHFGTEEVLLKQISSAALSVPKIMPTLKRLHETYLDFYQDHTENFQQYLYLLAPLCRNHTIAAMSVRRGAKVTAAPVPVRREGRLALTARSTATAGPIAGG >ORGLA08G0107300.1 pep chromosome:AGI1.1:8:11987997:11993294:-1 gene:ORGLA08G0107300 transcript:ORGLA08G0107300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTATPMLLQPISGAGAGARRSSAEGLSPPPTARLRRRVLCAIRADAPPPIHLSAAAPSRPAKPPVCTADELHHAPVSGAGWRLALWRYRPPPHVRPARNHPLMLLSGVGTNAIGFDLSPGASFARHMSNQGFDTWIVEVRGSGLSIRDHDNSASSPSGAFDDISNGTLALDKSSTLEVASVQSSGFSGIDYDDLGIVALDDPPLLMEMTSFFDRISKLLEEASLNKNFHEITDKISVLSEMVESSAVIGPMREESLRLLKNFQEQIDSWEHFVATQMNLNSEYNWDFDHYLEEDIPTAVEYIRQHSRVKDGKLLAIGHSMGGILLYAMLSKYGFEGVPSNLAAIVTLASSVDYTTSNSSLKMLLPLVHPAQALNVPAVPLGTLLAAAYPWASGPPYLFSWLNHQISAQDMMHPELLSKLVFNNFCTVPAKVVLQLTTAFREGGLCNRTETFSYKDHLRYCQTPVLALAGDKDLICPPEAVYETVKLIPQHMVKYGVFGKPEGPHYAHYDLVGGRLATDEVYPCIIEFLSHHDQ >ORGLA08G0107200.1 pep chromosome:AGI1.1:8:11982015:11984229:-1 gene:ORGLA08G0107200 transcript:ORGLA08G0107200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIQLGCHTIRSHGTSVARLHMYDWIILLFLAVVDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPLIAIVLPWVVFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGIPKFDNVTTNVICHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKLKAFDRKGHIAKLCIVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVSSFCYLQFFPYPFDADAVWPHAYFQQLADTQSNGIANSYNMGPTDIEIADEGHGAISLRDTSPILDTMESGRRG >ORGLA08G0107100.1 pep chromosome:AGI1.1:8:11976124:11978466:-1 gene:ORGLA08G0107100 transcript:ORGLA08G0107100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRLGCHTIGSHGIKVAKFHLYDWMILIFLAVVYGLFNIIEPFHRFVGSDMLTDLRYPLKGNTVPFWAVPLIAIVLPWAIFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKNGVGRPRPDFFWRCFPDGKPNFNNVTGDVICHGERSVIKEGYKSFPSGHSSGAFAGLGFLAWYLAGKLKAFNREGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVASFCYLQFFPYPFDADAIWPHAHFQQLAESRSNGNENSHNMGWTETDAFHEGTGAVALRDTSLVLDSMESGRRF >ORGLA08G0107000.1 pep chromosome:AGI1.1:8:11968323:11972088:1 gene:ORGLA08G0107000 transcript:ORGLA08G0107000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQCAVRPLAALPAAAGTSRSRRVGGANHVAVAAVPSSARRPRRAAAVAPVRXXXSSPPAAAPAPPSSGAAAGKAVVPDEEFTLAKVSFGVIGLGVGGTLLSYGFGAYFNLLPGSEWSALMLTYGFPLTIIGMALKYAELKPVPCTTYADAFALREKCATPILNQVRSDVTRYRYGDEQHLDEALKRIFQYGLGGGIPRRSAPILQNIREEVTDDGKYSLVLVFEAKALEISDFEKRQAKFTSFFGPGIKAEIGKGGDDLYEVRLISETT >ORGLA08G0106900.1 pep chromosome:AGI1.1:8:11965445:11966596:1 gene:ORGLA08G0106900 transcript:ORGLA08G0106900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WVAAGWAPQWAMAPSTPMARAGRWSSCMCAMTGCCPPQWASTPXSPYSPWRIALPMALLTPCPINIKKYEKIIIYIFINVFTIIKLDQQRFAPIDINGNTIGMGAKLAWDYMCDRGQISFGVQVYITDNRAKSFIYQLF >ORGLA08G0106800.1 pep chromosome:AGI1.1:8:11958735:11959533:1 gene:ORGLA08G0106800 transcript:ORGLA08G0106800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHVLVVDDTHVDRHVISMALMRHNVRVTAVESVMQALVFLDSEHDVNMIVSDYCMPQMTGYDLLMEVKKSPRLAHLPVIIASSDNIPERIRKCFDGGAKDYILKPVKIADVPRILNYI >ORGLA08G0106700.1 pep chromosome:AGI1.1:8:11946040:11946444:-1 gene:ORGLA08G0106700 transcript:ORGLA08G0106700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPDLVHGGRRDDDDAAVALGACSRASECAVAFPFNRCMEWDEIRWNGNGIKSELRNAKEEETTVAPWRWRPSSSQWPAMEMRATEKLRASSSVASRPRVEHAQSSAGSVSLRFLTVSVFLSAEGGEQVRTSQ >ORGLA08G0106600.1 pep chromosome:AGI1.1:8:11933582:11934352:1 gene:ORGLA08G0106600 transcript:ORGLA08G0106600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGKVEMSFMVPVEVTRGSMRGVIVDAALGGGGEANSTSKGDLGRWRRGQLLREFEASQQWXLGEAHTASLEECASEATQVTWAVLGIVIVVGAAALRLHSGGGATATGCNVKCEACELDPAIDAIIRAGLKSRARQRMLILDRLQREHVKGNGEYEMTYFIDRD >ORGLA08G0106500.1 pep chromosome:AGI1.1:8:11928050:11928668:-1 gene:ORGLA08G0106500 transcript:ORGLA08G0106500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLARHSLRALLNLLLLLLLTVVVLAASMYIPLQLSWQLIDLNEQDVYLYIPDRNGACVVAWQQPPLQTLFGSP >ORGLA08G0106400.1 pep chromosome:AGI1.1:8:11862383:11863707:1 gene:ORGLA08G0106400 transcript:ORGLA08G0106400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGGTLSSGITSGSSHGTRSSGSEGDIQLQVQMDLKRKRRKESNRESAKRSRLRKQQHLDDLTSQVNQLKMENQQLTTTLNMVTQSCAFAESQNSVLRTQMMELDSRLSALREIIFYMNNGNQSPSNPTIAATTYPSTTTMTAATTGHYDYYDAFTANAWGSGMQMMQQPIDQFLYQC >ORGLA08G0106300.1 pep chromosome:AGI1.1:8:11862079:11862213:1 gene:ORGLA08G0106300 transcript:ORGLA08G0106300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:conserved peptide upstream open reading frame 2 [Source:Projected from Arabidopsis thaliana (AT4G34588) TAIR;Acc:AT4G34588] MSPILSEVILSGFMISSTLRRGSHLVLSFSVVFLYWFYVFSVTS >ORGLA08G0106200.1 pep chromosome:AGI1.1:8:11820399:11824245:-1 gene:ORGLA08G0106200 transcript:ORGLA08G0106200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGPILCRAVMQAKLPVTMISNSLTKSGQLGTAFLGSVSKYRNITRLISPIYQPAQKNFAPVCGSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGAEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRINKRIQEAYCAELFLTKKLPILQVGDHTESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPARMAIAAGMSDGLLFTELDRPDGQPCVEAQEFGLIRNMLIAAVEERYKDAATWRDKLMLLRSKRKNWA >ORGLA08G0106100.1 pep chromosome:AGI1.1:8:11816889:11818330:1 gene:ORGLA08G0106100 transcript:ORGLA08G0106100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKTGATPLVPVGGTKDSPLYSRLYNQDYRGFQPGVKPLSPPVNSNTRAIEGCGVILDYRQHERYAREDTYERGDTKLTVRGERIPYGRGDKVDGDIDLAGTEEVEKPTKPPQSAQLAQTWNPYGPKSPIQVSSRNLLNTRQKVTTLSAHSGRGEVGPSEHRPQRHNHGVDTGRSRPGTTSXATRLHHYYPLFPWPDVKLP >ORGLA08G0106000.1 pep chromosome:AGI1.1:8:11811313:11812899:-1 gene:ORGLA08G0106000 transcript:ORGLA08G0106000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQDEHVIDVPSILAQELSTELASLKPPSTELAGDGDPSPTPPIIIDEVGERTRNVDPHEYLPHHVYIGPYSRMRNADLAGDDDDKLRTLQEVLAAAAAYSTAPPLQLKDFVAELELLEARARSSYRHTFGVVPSKEFLRWLLLDACYILVRFGDVDDVVGRRPRPAAPAAVASANGAVQGGNRVVPSVERRRASAADRQYILDVVRDVFYLAANQVPFFVVERVRQMTFLDHGTPALDAIARFAGKLLEQKQYSVATPTMVGPPERRPEPANLLHLLHMHFTPTVLTSAAAVGGGGAPVGRWRTAMEYYFVGVKFKRRPLNRRSKGGALSILDVKVSGGGGGTLEVPQLNIDGETWRLLRNLIALEQSNPSGAGSHVTAYCVFMSQLASTPMDVELLSRRGVIVHGLGNNGEVAKRFADLCKGAVFDVDDADQNYLRPVCQVLDRRFQSRPRRWMAWLKQKYFANPWLAAGLAAAAVIFVCTVIQAVYSVLSYKKG >ORGLA08G0105900.1 pep chromosome:AGI1.1:8:11801505:11803242:-1 gene:ORGLA08G0105900 transcript:ORGLA08G0105900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLDQHVIEMASLLAQELKHELASLNSPTTGRAGGESAAPPSIMIDKVAELTRNVDKQEYEPHFVSIGPYNRSCDCRSKLARDSDKVGRLQEVLSAAAAHTTAPLQLEDFITELARMEARARRCYKLSFDHVQSKDFLRWLLLDGCYILVRFGDVVMRRRPEDEEVEEEEETTADGIVLRVSRWFHVASYTGLLRRRLLDACYVLVRLRDVVVRRRSKVPVAAEANRVVPSMEEREESAVDQQEAVAVVRDVFYLAENQIPFFVVDKIHQLTFLDGQTPAVHAIARYARELLRVNGYSVATPTKVEEPERPPEPANLLHLLHMHFTPTVLASAASTGSRRRGGGRPVGRWRTAMEYYFAGVTFKKRPLDDQRGGARCVLDVKVSGWGGGTLEMPQLTVDAETWPLLRNLMALEQSNPAAAGSHVTAYCVFMSQLACTAADVELLSRRGVIVHGLGHNGEVAKHFADLCKGAVFDADDADMNYLRPVCQVLERRFQSRPRRWMAWLKKKYFANPWLIAGLVAATVGLVCTVIQAVYSVLGYTKPGS >ORGLA08G0105800.1 pep chromosome:AGI1.1:8:11794966:11796601:-1 gene:ORGLA08G0105800 transcript:ORGLA08G0105800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDPTRCVAELEMKTDSCVIDIPSLAQQLRVELSSFKSSTARVVGGAAPPPCIIVDKVGESTRNHDPHEYVPQFVSIGPYHRAAGDHLAGEAIKVKYLGDVLSAAAANSTAPMTLEDYLSELAHLEHSVRRCYVHSFDIPSREFVRMLLLDACYILVRFGDVLTPRTAAPPQPAAAAAAEEVAVANGVVHAGHRVVPTEERRAAADDQQMAVAVVRDVLYLAENQIPFFVVDKVHQLTFLDGETPVLDAIARYAHELLSWTEYSVATPTVVAPPALRPEPANLLHLLHMHFTPTVLASGKVSRGGRSVGRWRTATEYHYAGVTFKRRPLSGNGGARSILDVKVSRRGGALQVPRLSIDGETWRLLRNLMALEQSNPSAAGSHVTAYCVFMSQLACTARDVELLSRRGVIVHGLGNDGEVAGLFANLCKGAVFDFDEADQNYLRPVCQVLDRRFRSRPRRWMASLRQKYFLNPWLTAGLVAATIGLVCTVIQAVYSVLSYVKPGS >ORGLA08G0105700.1 pep chromosome:AGI1.1:8:11700654:11701014:1 gene:ORGLA08G0105700 transcript:ORGLA08G0105700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWHTRGGEAQAQHWRSTATWWRFGDHQTVFWLALFMRAENDFRSHATQDGAVGGVGACAGGGGGDGRAVDGSAERKGKKRRGKTVILRSFSLINSVDIIF >ORGLA08G0105600.1 pep chromosome:AGI1.1:8:11690646:11691620:1 gene:ORGLA08G0105600 transcript:ORGLA08G0105600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QI05] MATPSHSLIFLFLCLPAVLVKANILGDIYPCPCKCSQENETSLHMYLHQFPALPGVPNRNEYGVINSTEPIGFGQMYVHDWLLTIGTSANENAVGRLQGFHLQAGQTTTSWYMAHTMVFSDGSFAGSTIEVSGLLGVKPNGQWSITGGTGTFASAHGTIKFTNSQSSTATDDIRELDIHVFHTPEAVV >ORGLA08G0105500.1 pep chromosome:AGI1.1:8:11677821:11680660:-1 gene:ORGLA08G0105500 transcript:ORGLA08G0105500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAARKPARPKRRQEAEKAGVERRQQNVARREVVAKEKTFGELGMASANSPAAAAWVGTMMTVCGPKEALGLLAAKGVHMTRLGDGGEEDSLSLKPLMPSHQLSIIRVSQHALHAIFAGNYGKTLSIASGASLPLIFQGDSECERLQRTDMVVTTCRLPLRVVVIIVSAVMAAHTGWRELAAYLSLARPQLGWSLAWLGHVGKWLSSLDGRVSGEEFEDDNFSKVEFMDYFEF >ORGLA08G0105400.1 pep chromosome:AGI1.1:8:11666973:11667774:-1 gene:ORGLA08G0105400 transcript:ORGLA08G0105400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYGSGFHNSVPPQQGTTFYGSSYGGNNSQSTASSYHNGSSKSTTGRVPSQQYSDYKSNTADQYSYYYNSISSGQGQQLGGVGYYDKQPSKGTTKRYPSLKG >ORGLA08G0105300.1 pep chromosome:AGI1.1:8:11635411:11636311:-1 gene:ORGLA08G0105300 transcript:ORGLA08G0105300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIDLVLKSSYPFRTTDRPNGVCFLVVFQVGNRFVGLADTLQFVYVLERHNSVWTRYETTSRCTDLTAKIIISGFVVLDRRSFMVSDAATFDCLLLNMDSLEWTIVKACQWYRYGALFVRSLCIHGFVYTLFNGGILAFELVVSENDGSYYLDAPIFLRAWSKIVRERSMICFASVGQDDDDDHSCDHCLVFCLARGGYPRAGYSSTVRKKLYDDVQITMIQVMTRETERGTREPVRPPRGW >ORGLA08G0105200.1 pep chromosome:AGI1.1:8:11627371:11627640:1 gene:ORGLA08G0105200 transcript:ORGLA08G0105200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPTSSFSLFLEKKDLQRKEPVKWVNMVLGKLWKVYRPSIENWIVGLLQPVIDNLHKPDYVNRVKIRQFNLGEEPLSIRKVERRTSRRAND >ORGLA08G0105100.1 pep chromosome:AGI1.1:8:11617082:11617864:-1 gene:ORGLA08G0105100 transcript:ORGLA08G0105100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLSFAQTAQASEGTNLNMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQVLVRRELDLSAKDLQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIQKWDRDEQDLAQVRYPQLLGTIS >ORGLA08G0105000.1 pep chromosome:AGI1.1:8:11608917:11609275:-1 gene:ORGLA08G0105000 transcript:ORGLA08G0105000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLLRRHNPCSRIHRRSSPRTVAIGLATDHCLISVHGSGVFFCSSSLLGCSVHLLWISLWLQACKMELSWEAEQAATGGIKEQGGKCEGGGNWDT >ORGLA08G0104900.1 pep chromosome:AGI1.1:8:11600554:11604914:-1 gene:ORGLA08G0104900 transcript:ORGLA08G0104900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGTSKPVATLGGGGSSSGVSTTGTNQGGDDRATGTSHPVPAMSFTGGLAQSMSHYIYTTSIGQAGGCHKGKVKGYSNSKPNQQGTTNYGNSYKENNAQSTASSYYNGSASKGSSTGRMPEQQNSDHQYYISSMSSNHGQQGGGGAENSTYTNKSIRTKKFPSLNG >ORGLA08G0104800.1 pep chromosome:AGI1.1:8:11587966:11590148:-1 gene:ORGLA08G0104800 transcript:ORGLA08G0104800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKKYMASGLSPEIKLKMGGNRPRKEYQPQKNGQQTTTDPAYGALVRPVEPPKSWDNTKKNKLPFNPSTNHDNGTSNGTTNSRR >ORGLA08G0104700.1 pep chromosome:AGI1.1:8:11571230:11572171:1 gene:ORGLA08G0104700 transcript:ORGLA08G0104700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASVAVSEALEAGKLSAAPRDGAADFAVAWLAVVVVSLAVAATCVVVSFDAHARQPGRLRRMLDLGPSVRGARLLLAIFAGLLAAAEVIRLPFFSRAVVSPPRHVVPCLAYPLVAHGVAEPCFLATVLLLLRASTGGARLPAAALAVPFACLPFLSAHVAVLVLPAAVAPYPGQLAHAADVDARCAYPAYAAALLVALAALYAPLLLSACWTVAAVAINRRMRARAYALAALVVVPLPVQVVALALSSVWETPRRTSPVAAFLGFLAVGIAAAAALAILVLLPVYDALFLGDDEQLPVAVAAAGKEARELDR >ORGLA08G0104600.1 pep chromosome:AGI1.1:8:11565590:11569270:1 gene:ORGLA08G0104600 transcript:ORGLA08G0104600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPNPISSSGQTHGNPTAHEQMELGNNAIVPSNGGNNNPNMAARQRLRWTNELHDRFVEAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDPSADDNKDEDKDPGNLLSALEGSSGMQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRVIGAGASRATSSEQLPDSEKTNPPTPVPISESPVQGAPHSKNSQSQVEPTKSPSHDDALPCGEPLTPDSSCRPGSPTLSPKHERAAKRQRGSDAGDVTAFADGEFVLPPGIFESSTGSEFQECSMPYSGH >ORGLA08G0104500.1 pep chromosome:AGI1.1:8:11552877:11555495:1 gene:ORGLA08G0104500 transcript:ORGLA08G0104500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMGVKGLTLFHLKSHLQKYRLGKQSGKEMAEQSKDASYLLGAQSGTNLSPTVPTPDLKESQELKEALRAQMEVQRKLHEQVEVQRHVQIRMEAYQNYIDTLLEKACNIVSEQLNGFSISDHDLTSAGVMLSSSDTLSPSIFHQLSVSSISLHSPGGKSSPFAADADLFFQKAPEKRKSY >ORGLA08G0104400.1 pep chromosome:AGI1.1:8:11540492:11541640:1 gene:ORGLA08G0104400 transcript:ORGLA08G0104400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAGWGDCGCHEGEVFVLWAQPDPFPDTISIAAPSHCHAHHQVVRMCFQDFDGKKIGTPLSTGWITSTSRRLGARCATSHPRQGALRHKKMVL >ORGLA08G0104300.1 pep chromosome:AGI1.1:8:11519446:11523524:-1 gene:ORGLA08G0104300 transcript:ORGLA08G0104300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QHZ2] MAMAAAMGVASPYHAAHAAASTSCDSLRLLVAEGRPRRPRGVASSSSSSSSAGRRRRPLVFSPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGNNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDSDITVAALPMDEKRATAFGLMKIDEEGRIVEFAEKPKGEQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVISKNVMLQLLREQFPGANDFGSEVIPGATNIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDSLLMGADYYETEADKKLLGEKGGIPIGIGKNCHIRRAIIDKNARIGDNVKIINVDNVQEAARETDGYFIKSGIVTVIKDALLPSGTVI >ORGLA08G0104200.1 pep chromosome:AGI1.1:8:11511441:11516149:1 gene:ORGLA08G0104200 transcript:ORGLA08G0104200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1QHZ1] MNADFGAPKDLAGGLQQRRSLYQPTLPPCLQGATVKVEYGDATTTIDPTCANVVAQAFPRTYGQPLVSFVAPPPDAVDKDRAPIRVGVVFSGRQSPGGHNVIWGLHDALKAYNPHSVLYGFVGGTEGLFANKTIEITDDVLASYKNQGGFDLLGRSIDQIRSTKQVNAAMTTCNNLNLDGLVIIGGVTSNSDAAQLAEALVQNNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLVSNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLILAEEVALSKLTLMEVINKICDGVQARAELGKFHGVLLIPEGLIESIPEMYALLQEINILHNNNVPVAEMPSQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVEAEMIKRTKEGRYKGRKFSSVCHFFGYQARGSVPSNFDCDYAYALGRISLHMIAAGLTGYMATVANLKDPVDKWRCAAAPLTAMMSVKRHLRGPGAIPIGKPAIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQYEGPGCNAKPITLTIENQDYMGDIEILKDCLSKVRTMVKPGCSREVLKAAISSMLSVTDVLTVMSHPLNAELPLYHFN >ORGLA08G0104100.1 pep chromosome:AGI1.1:8:11494440:11497967:-1 gene:ORGLA08G0104100 transcript:ORGLA08G0104100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase like D4 [Source:Projected from Arabidopsis thaliana (AT4G38190) TAIR;Acc:AT4G38190] MSTGPGKKAIRNAGGVGGGAGPSAGGPRGPAGQAVKFARRTSSGRYVSLSREDIDMEGELAADYTNYTVQIPPTPDNQPMMNGAEPASVAMKAEEQYVSNSLFTGGFNSATRAHLMDKVIESSVSHPQMAGAKGSRCAMPACDGSAMRNERGEDVDPCECHFKICRDCYLDAQKDGCICPGCKEHYKIGEYADDDPHDGKLHLPGPGGGGNKSLLARNQNGEFDHNRWLFESSGTYGYGNAFWPKGGMYDDDLDDDVDKLGGDGGGGGGPLPEQKPFKPLTRKIPMPTSVISPYRIFIVIRMFVLLFYLTWRIRNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPVNRSTDLAVLKEKFETPSPSNPHGRSDLPGLDVFVSTADPEKEPVLTTATTILSILAVDYPVEKLACYVSDDGGALLTFEAMAEAASFANVWVPFCKKHDIEPRNPDSYFSVKGDPTKGKRRNDFVKDRRRVKREFDEFKVRINGLPDSIRRRSDAFNAREDMKMLKHLRETGADPSEQPKVKKATWMADGSHWPGTWAASAPDHAKGNHAGILQVMLKPPSPDPLYGMHDDDQMIDFSDVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNGPFMLNFDCDHYINNAQAVREAMCFFMDRGGERIAYIQFPQRFEGIDPSDRYANNNTVFFDGNMRALDGLQGPMYVGTGCMFRRFAVYGFDPPRTAEYTGWLFTKKKVTTFKDPESDTQTLKAEDFDAELTSHLVPRRFGNSSPFMASIPVAEFQARPLADHPAVLHGRPSGALTVPRPPLDPPTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFLGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASRKLMLLQRISYLNVGIYPFTSIFLLVYCFIPALSLFSGFFIVQKLDIAFLCYLLTMTITLVALGILEVKWSGIELEDWWRNEQFWLISGTSAHLYAVVQGLLKVMAGIEISFTLTAKAAADDNEDIYADLYIVKWSSLLIPPITIGMVNIIAIAFAFARTIYSDNPRWGKFIGGGFFSFWVLAHLNPFAKGLMGRRGKTPTIVFVWSGLLSITVSLLWVAISPPEANSNGGARGGGFQFP >ORGLA08G0104000.1 pep chromosome:AGI1.1:8:11489824:11493384:1 gene:ORGLA08G0104000 transcript:ORGLA08G0104000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSAAAAAVVLTTTSGRAPLLHLPRTPRPTTAARIAAAPDSSRARAGGAPLLLRSAVPRRRGWALVCRDSSLPGPPGVDPVAEEEENRKKTEAVAAAAAARIASSGGGGGGGGGSLSDWTTSVLIFGIWAGLMYYIFQLAPNQTPYRDTYFLQKLCNLKGDDGFRMNDVLVPLWYIMGLWPLVYSMLLLPTGRSSKSKIPVWPFLILSCIGGAYALIPYFVLWKPPPPPIDEEEIGQWPLKFLESKLTAGVTFAVGLGLIVYAAKAGGEDWQEFIRYFRESKLIHITCLDFCLLSAFSPFWVYNDLTARRWKNGSWLLPLALIPFVGPSLYLLLRPSLSSLLAATGPSDDKTQ >ORGLA08G0103900.1 pep chromosome:AGI1.1:8:11475070:11475900:-1 gene:ORGLA08G0103900 transcript:ORGLA08G0103900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSHSAALFCLALLLLLHALPHASTAKVPAAANRHHRAGNATATSRRALSTGTEGWAAPSVIDLREPPPPSATTAPPPPPPRAPPGGECCAARLRGRLTEDCFQLPHATCAVYPYDAEARAVDRASPVGGARAACLHPLCVSPGSSLERNLSSLYAARCQGRWGDPLHAYCVATTLVAQHGGTWRTLPVVPLAAADPASASASAGDVCYVELAHLNYREGYFVRCPAFNCSHHPHVSCTEFPPSAVAAAVWEHRRTTYRDTVGPLFGRYTYDA >ORGLA08G0103800.1 pep chromosome:AGI1.1:8:11469242:11470948:1 gene:ORGLA08G0103800 transcript:ORGLA08G0103800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKVTGCATPLPTLHPLVLALHPLITASARAQPGSRAKLNSGSMDVLNTLPVRFHFNGDFLVSGREKHYVGGSEAMSYLDQDNIPLPEIVGHLCDHCKVAEGTMLHLLFPGKDLNSELRALLDDSVCQYMNDCIVGGGVAEVYADAPILVDLSYEDEGSDYELEMEEDMGDESDGNEIE >ORGLA08G0103700.1 pep chromosome:AGI1.1:8:11466026:11467489:-1 gene:ORGLA08G0103700 transcript:ORGLA08G0103700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAMVACSGKRRRRTATTHAGRDSSSWASLAEDLVSLIGWRVLAGDVRDYICFRAAXHHWRSCTASQCGRGVVDPRFHPRRWMMLPEGNGLYPGHGKLHGFVRFFNLSTGAFVRLRLPIARDDHRILDSVDGILLLQRNRDTAVRLLHPFTGDIVDFPPLDTLLPYASRRSEEYLRDVAAASITPSADDDQAVLLMIWLSRTVRVAFAASGDRQWRVSSWSMYQAYTPLPFQGKLYMLDQATAYGGPEVLQIDPPLQLQLEGTTELSLPPPKSIAKCPARTPDSFFLYHLVECDSDILLVTFGVSVYAQISVYRLADLISGTTVPVTCIGRNSLFLGDRNLCVSSKAFPTIVGDAIVFYHQKENYLAQYHLRRGTLSPTSDGCIFATNMPSPSSIIHHIYTCCFRTQWMIXILLHYXXXXXXXXXXXX >ORGLA08G0103600.1 pep chromosome:AGI1.1:8:11461523:11463675:-1 gene:ORGLA08G0103600 transcript:ORGLA08G0103600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHYGCGTPNFQKGRRNVKLEDLPEDVLCSILSKLPPKESRTKNLAFDLVPDILTGSHDRYMFPFHLLDEKSVSGLQSIQLSYISFKPPLGFKGFPSLRKLDLNLVHVNRESLEVMLHSCHNLQWLSLVRCYLDGKLHLVLSHLRYIKIFQCKTTMAAFQDDVATLLKGIPSVRNLTLHVCFQQIEARWLLDNRHSFSNLRHLQLLMNLKTEDANKIHYAVSLIRAAPFIEKLEVHFGCPHHVWFSDKGYVAPHLEQHEYSYLKNMHITGYKGERGQLEFLKDVVENAPALAGVCYYRDNSNLHM >ORGLA08G0103500.1 pep chromosome:AGI1.1:8:11455979:11456239:-1 gene:ORGLA08G0103500 transcript:ORGLA08G0103500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYHPQTDGQTERLNQCLETYLRCAVHSCPTKWSQWLSQAQYWYNTSFHSALGKTPYEVLFARKADHFGVTDLGQSTVPDVHSWL >ORGLA08G0103400.1 pep chromosome:AGI1.1:8:11446930:11453394:1 gene:ORGLA08G0103400 transcript:ORGLA08G0103400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAAAFGLVRPCPARPPLQLGPGSSSCRPILLHARPLAAGIASSSRGPAAVAARSLGRLLLLPPPPPISPDRAGRGRARHVACGAAAGDAKAEEEESGLAKTLQLGALFGLWYLFNIYFNIYNKQVLKVFPYPINITTVQFAVGTVVALFMWITGILRRPKISGAQLFAILPLAVVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAIFLGELPTVWVILSLLPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNINLFSIITVMSFFLLAPVAFLTEGIKITPTVLQSAGLNVKQVLTRSLLAALCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTAIALAGVFLYSQLKRLKPKPKAA >ORGLA08G0103300.1 pep chromosome:AGI1.1:8:11430436:11431310:1 gene:ORGLA08G0103300 transcript:ORGLA08G0103300.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQTSIFHNNFQFAQISSKPWRGKTLPRPSNKRGYGTGIPSNNFEKRRLVVFCNGKIRYPEIACQLEGEDHLPMLLRMWTFTYTEENDHWLGQHFLKVCQTTXLYLYLYKLGT >ORGLA08G0103200.1 pep chromosome:AGI1.1:8:11426718:11427913:1 gene:ORGLA08G0103200 transcript:ORGLA08G0103200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:I1QHY1] MASKLQAFWNHPAGPKTIHFWAPTFKWGISIANVADFAKPPEKISYPQQVAVACTGVIWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRKDYFSDQKDDVASLEG >ORGLA08G0103100.1 pep chromosome:AGI1.1:8:11420481:11421643:1 gene:ORGLA08G0103100 transcript:ORGLA08G0103100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPFLERLNWRSDPFAGWFPPWCDRPLCDCGDGCILKSSPMIQTRGRRFFQCANFDQTYRPMCNFIEWVDMENPQNDGTRAYPRSETRSDYLRRKDEHERRIAAEALEWQVNPLGLPTWRERPECRCGDRCQVIRSGNPTMCGFTRRIDNVTPSYHGQKITESETQVEYQRLKDHENAMHIDRPRRGR >ORGLA08G0103000.1 pep chromosome:AGI1.1:8:11409532:11414059:1 gene:ORGLA08G0103000 transcript:ORGLA08G0103000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:I1QHX9] MAASGEGASLASAAGGEDGRRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLELSRPYPASDADIRRFHSDDYVAFLASATGNPALLDARAVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGDADITVNWAGGLHHAKKGEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTCSFHKYGDFFPGTGHITDVGAGEGKHYALNVPLSDGIDDDTFRDLFQCIIKKVMEVYQPDVVVLQCGADSLAGDRLGCFNLSVKGHADCLRYLRSFNIPMMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPDYNLHIQPRSVENLNSTKDLENIKSMILDHLSKIEHVPSTQFHDRPSDPEAPEQEEEDMDKRPPQRSRLWSGGAYESDTEDPDNMKTETNDLSASSVMKDESNDDS >ORGLA08G0102900.1 pep chromosome:AGI1.1:8:11376295:11381076:1 gene:ORGLA08G0102900 transcript:ORGLA08G0102900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT3G24840) TAIR;Acc:AT3G24840] MSVRRRSESMEGLFSFDERKDRRSDVENSEDERRRLSIGSLKKKALNASNKLTHSLKKRGKRKVENRPSFTIEDVRDEEEERAVFSFQQELFSRNLLPDKHNDYHMLLRFLKARKFDTEKAIQMWAEMLQWRKEFGADTILEDFNFEELDEVLVYYPQGYHGVDRQGRPVYIERLGKVEPNKLMHITTVDRYMKYHVQEFERAFHEKFPACSIAAKRHIDSTTTILDVDGVGLKNFSKTARDMLGRMQKIDSDYYPETLHQMFVVNAGNGFKLLWNTVKGFLDPKTASKIHVLGTKFHGKLLEVIDASQLPEFLGGACTCAAEGGCLKSNKGPWNDPNIMKLAHNKEAKFTRHTRRLSEIEQRRGSFARLHLLKGRSSDTSTVESGSDVDDLSSPMMRRPVECSRLAPVREEMQIRARDSAAYYSCDDHFVVVDKTVDYGRGAAMPDKTSAPEVRAQARPFGGSTTSYATGSSSNRGGISSSNRSRTVVPKENTDEGFFRRFFRLLLALIIKVFAFFHIAYGQQEMRVDNPLPPAEPEPTSDDHPAVETFSVDRISPVIERLQRLEGKVDELGNKPPEIPLEKERSLLESWDRIKCIESDLERTKKVLQATVMKQLEIAESIEEVIRRQLRRRRFCA >ORGLA08G0102800.1 pep chromosome:AGI1.1:8:11364998:11365617:1 gene:ORGLA08G0102800 transcript:ORGLA08G0102800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LMGVEDLDVPRLRPLDLVTARRDGVRALWWQSSSEGKSHHHDGNRVMATERAQQGWGRSQQRMKSGQWRPRRVVKPTIDMAKWLSKFGQCTVRFGQVGKAVGDIFSPK >ORGLA08G0102700.1 pep chromosome:AGI1.1:8:11354012:11355703:-1 gene:ORGLA08G0102700 transcript:ORGLA08G0102700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTTILLLALLLALLSSSSTWPPPLTACAAGQHCDDRAAAGSLPSCLLAAGVRNFSLAGSPAYDALLNFSIQNLRFALPAAGAVPRPAAVVLPRGRSELTSAVLCARHASLRIRVRSGGHSYEGLSYTVGDGGDDADRVRFVVIDLMRMNRVRVDAASATAWVESGATLGEIYYAVASSSSSLAFPAGSCSTVGAGGHISGGGFGLLSRKFKLAADNVLDAILVDADGRVLDRSFMGENVFWAIRGGGGGSWGVVYAWKLRLVQVPNTLTAFTPKRTGSVDAIAGLVHRWQYVGSALPDEFYLSVFLTIGGASSSSPSRDGNVTVSFTGLVLGSKELAMSVLSERFPELGLAEPEMSEMSWVESAARFAGLSSTEELTSRASRTKHYAKSKSDYVRSPIARGAVAAILRYLAGGPAGYVILDPYGGAMAREGSGDTPFPHRAGNLYSIQYGVTWEAGDDGGGGGGGGGEARMAWLRALYAYMAPHVSKNPRAAYVNYVDLDLGTNALAGNVSSPSSSVSRARSTWGSAYFSPANFDRLVGAKTLIDRSNVFSNAQSIPPLQI >ORGLA08G0102600.1 pep chromosome:AGI1.1:8:11349435:11350269:1 gene:ORGLA08G0102600 transcript:ORGLA08G0102600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQVMESEVVARALVLLVEAHLGGRAAIPLDSLAVAAHLLCRQEPSALISYLRAEKMETKNGANEDTSKVNLWGNALSKCGYLLMVTAEDYWAEHAIHRPELAASADAPMFRVGASTAWQEASPADLPPLPPPGCHLDASSSAASMLGREEGEEEK >ORGLA08G0102500.1 pep chromosome:AGI1.1:8:11345761:11347097:1 gene:ORGLA08G0102500 transcript:ORGLA08G0102500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGSIDVLAVAFAVAAVIVVPVPVAAAAAAAPARLDTYLVRMVNRMERKMEFDCDEWPDDFELRANGGDMNVTYETADRPGYDYLTPRVSCIWSYEGNYMSSVIIWDEEKWPEKKACLVGGGRRCELVFENKEEVLVVTTSSPAAPGTGSSRRVLGDLAVKDCSTHWYGHLLPWGAGCTYPSHDHAYAGAVHSTWTAAAMASTIGH >ORGLA08G0102400.1 pep chromosome:AGI1.1:8:11338017:11344926:1 gene:ORGLA08G0102400 transcript:ORGLA08G0102400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEPFNRLVRLAARAFYDDISMKGDNQPKTSRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKESAKGAKIYSAAAAAAGDGQSITKEGEEKVKMHTHSYCCLDYAQICDVVRYRIHRMKKKLKDELDSRNTIQHYICPNCKKRYSAFDALQLISYTDEYFHCENCNGELVAESDKLASEEMGDGDDNARKRRREKLKDMQQRIDEQLKPLQAQLNRVKDLPAPEFGSLQSWERANIGAFGTADPSAADSSRNPQGQYGTPMPYLGETKVEVALSGTGVKDEGAESGTNGNGLKVLPPWMIKQGMNLTKEQRGETSNSSNLDEKSEVKDEKKQDSKEDEKSIQDEYIKAYYEALRKRQDEEEAKRKIQQEGDTFASASHSERQVGMKSKREDDDEGVEWEEEQPAGNTAETYKLADLNVEAQESGDEEDEIDWEEG >ORGLA08G0102300.1 pep chromosome:AGI1.1:8:11334559:11335119:-1 gene:ORGLA08G0102300 transcript:ORGLA08G0102300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGATRWPAKLEAEGGGGTPVTSDGGAREANVQRVSIDGEVVAELALAVVRVAVVAGFTRREAAVAWMHGGMVFWWWFCGFGVEAGVELDAEKPSMETAQHNGGGSVGGARLESMAAAERGGARRGGVPEAERGNGVGARLRHGAAKPTVVADWRGGGWSSGGGWPELIGKRRCAGAAWGGR >ORGLA08G0102200.1 pep chromosome:AGI1.1:8:11327236:11329016:-1 gene:ORGLA08G0102200 transcript:ORGLA08G0102200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRERLREDVKRRKSASSFKPRGAKELILLIIERLAGDDHNCREICDTQGLLSKITAPITSRAFLDAVCEDDDVWFDILSTSLRVLARLISSPGEASTRLLQETSTSQDMEPALQILAQVALGPYTGLMTKEEFVSLLRYIFFGNKDNMGSNGDGIAGTIMEILCEGDSKDGLDIRRYGTVVDQLTEMLVKDKQCQISAAAILEYLCSRFLKSCQLSKQDAINLLTTVLGLILSSNTERNTVAGSDSSNYAGAATEARGSDYSAIARDEESQPPKDAVQDKSPTEQDDKLSQEKKLLAALLSLTMVICEKLIDADDFSNVAHVDRELLKKLIEIIDVNNDATADCLRIVKLSCQVAILAIQHKLSCAKDFNEHDRNHVLTKASENLLELDKCMFFAGNDHEAIKPARSLSSLVKEAQERFKEAQARAR >ORGLA08G0102100.1 pep chromosome:AGI1.1:8:11319443:11325660:1 gene:ORGLA08G0102100 transcript:ORGLA08G0102100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVHEGAVAAREMAAAVLNALAPAATVAQLAGADAAGLVSAILQAVRAARRNRRECRLLARRAMMVGDLLRLLPPESETMRRPEVRRALDGLGGALRQALELVESCQESGAVRGLMTAGRQAEQFREVQGEINDYMLLFPVVSHIDITRRLDLIYGLLLPADSQPHQMPEDAPGSPGDHFEADVRNVEITAAEVIPFESNQTITEPFEFGEHQVAGHQEGLEELLSKVQRLKWLCWWSQHDSLERPSTYDLLKAATNNFSSKSKIASGGWATVYKAQMRNSLEIAIKVYPMGTGEKRVFSQYERELNLLTKLQHTNIIKLLGHCTGEWELILIYEYMPNGSLDKFIHGPNREVSFDWFSCFKIIQGIAEGLLYLHTYEAEICIVHRDLKPSNILLDSDMNAKIGDFGIAKTISPARLQDTYVSGTFGYIAPEYLRGGILSTKVDVYAYGVILLEIITGRRSCIPCLKDDEYVHLTEYAWDLWRTGRSAELLDAALRNEARIAEITSCIQIALLCVQKDPADRPSMLDVLAMLRDEKIVAAPKKPGDLLLGDETSVESGDLLLGEETSGEAAHWFASSGATCSSTEFTVPR >ORGLA08G0102000.1 pep chromosome:AGI1.1:8:11316957:11317437:-1 gene:ORGLA08G0102000 transcript:ORGLA08G0102000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTRSGNEGTDRVTPPQPAGARVKTMMTDRNSQPHTIGCVCVCVGVGGKPELAVRQRLGHGRLQEGIIEGESARTGQLARRIRRNHCWEELSLKWSYGAATPQL >ORGLA08G0101900.1 pep chromosome:AGI1.1:8:11310323:11310559:1 gene:ORGLA08G0101900 transcript:ORGLA08G0101900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSSEAADRSLYQKAAAMVASYTETYRSKPLSFWLLLVLSAGAMLTAFPASSLLSRLYYNNGGQSKWILSWSAVAG >ORGLA08G0101800.1 pep chromosome:AGI1.1:8:11283221:11295018:-1 gene:ORGLA08G0101800 transcript:ORGLA08G0101800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGASPSRSPHPAAAFAAARPRRRPRPAAAPSHRGVNPQRWRCEKTQAWSFWSSLRASDLPGGLYGDVSKNMLKPAAAVSVEQAEASAHLPKGDMWSVHKFGGTCMGTSQRIQNVADIILRDPSERKLVVVSAMSKVTDMMYNLVNKAQSRDDSYITALDEVFEKHMAAAKDLLGGEDLARFLSQLHADVSNLKAMLRAICIAGHATESFSDFVVGHGEIWSAQLLSFAIKKSGTPCSWMDTREVLVVNPTGSNQVDPDYLESEKRLEKWFARQPAETIIATGFIASTPENIPTTLKRDGSDFSAAIIGSLVKAGQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKYNIPIVIRNMFNISAPGTMICQQPANESGDLEACVKAFATIDKLSLVNVEGTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVAAVSAALHVRFREALSAGRLSKVEVIHNCSILAAVGLKMASTPGVSATLFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGRTLLNQLKDQAAVLKENMNIDLRVMGITGSRTMVLSDTGIDLAHWKEQLQTEAEPANLDKFVDHLSENQLFPNRVLVDCTADTSVASHYYDWLKKGIHVITPNKKANSGPLDKYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSDVVSEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVRSLVPEALRSCSTADEYMQKLPSFDQDWARESKDAEAAGEVLRYVGVVDLVNKEGQVELRRYKKDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >ORGLA08G0101700.1 pep chromosome:AGI1.1:8:11274967:11278623:-1 gene:ORGLA08G0101700 transcript:ORGLA08G0101700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRSRGGAGAGGAAACGGGGGAASPGSRHCRRGGRGRGAARVQGRVRGSRPGRRARGLGQLHHPRLAVRVGRRLVRRRPRPGARPQRHVALRPPPPRRPPRALGAPAPRPPRQRLRRRPLAPRLAAAGGAVRARGGGHFVEHLQWDASPGVPGVLRRPPDPQPVQELPHRWRLPVPTVPAQARHVAEPVVGCRLAELLPDRLPWHSVPQPLGQPVHRKPPGACTLHXSFCSXFVMEPHVRCTTSKVCGHGSSQFDILEHCRXQLLHGHLRLXIWWVCKSHIAXLVIQQTTXHRVAAESCRLPSIGGTXHVWEQASVWSNTDVLGXIASTEATLTSGQPIHWRNIGXAEHLVQNAGXARLVKQPADWQLACKFWTMXVSSGAXSWQQPALWGLRRNCHHQHFFSSCVAAAVQQYYRGKSTACTCFQVPSAXSHXSWIQXVXRRNHAGSVLIIAVTSETSPAKQLHXWNSAIITEQLCQPXVYXPXLQPAGWSDSTRNIVSSEACXFGLMGKQSLRXDTRQVLLQXHGIGDIGDKLQQLHWKHPXVHYQVCESHLVITCRQQPDWEYSLRIRQPPESCHFAAXQEFNKNSLSGKVPAELGSCSNLIWLDLNSNELTGTIPPQLAAQAGLITGAIVSGKQFAFLRNEAGNICPGAGVLFEFLDIRSDRLANFPAVHLCSSTRIYTGITVYTFRNNGSMIFLDLSYNSLTGTIPASFGNMTYLEVLNLGHNELTGAIPDAFTGLKGIGALDLSHNHLTGVIPPGFGCLHFLADFDVSNNNLTGEIPTSGQLITFPASRYENNSXLCGIPLNPCVHNSGAGGLPQTSYGHRNFARQSVFLAVTLSVLILFSLLIIHYKLWKFHKNKTKEIQAGCSESLPGSSKSSWKLSGIGEPLSINMAIFENPLRKLTFSDLHQATNGFCAETLIGSGGFGEVYKAKLKDGYIVAVKKLMHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKNGSLDFVLHDKGEANMDLNWATRKKIAIGSARGLAFLHHSCVPHIIHRDMKSSNVLLDGNFDAYVSDFGMARLMNALDSHLTVSMLSGTPGYVPPEYCQDFRCTTKGDVYSYGVVLLELLTGKKPIDPTEFGDSNLVGWVKQMVEEDRCSEIYDPTLMATTSGELELYQYLKIACRCLDDQPNRRPTMIQVMTMFKEFQVDSGSNFLDDFSLNSTNMEESSEKSV >ORGLA08G0101600.1 pep chromosome:AGI1.1:8:11268508:11268834:-1 gene:ORGLA08G0101600 transcript:ORGLA08G0101600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSAAAGCHGLLAACLKMKGRMSLLGLVCCLETTTKKKTQIPSLVMPPCCSPTGSSRAHLPWEFGAPDSTAQAVVPNDLVRCSDGEDEQWREERKKEDGGNSAQKV >ORGLA08G0101500.1 pep chromosome:AGI1.1:8:11260276:11260536:1 gene:ORGLA08G0101500 transcript:ORGLA08G0101500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRVEWGSERERWLVLSLCSLGIHWAWGTVDAVKGEWEAVMWVPEGVGPIVSERNSKIALEAKSKRVACQGSLEGIQGGFCAARNLG >ORGLA08G0101400.1 pep chromosome:AGI1.1:8:11241952:11243529:1 gene:ORGLA08G0101400 transcript:ORGLA08G0101400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVAWLTPQCCIGAGSAILIFYTRFSTGKDGSHCTEWVGGEDKGNSAFPSNQYFAGWSLIGMYNYFACIIYGLGRLAGLITLEAEDSNAPLDALKRVGKSIAMHIVATKPLFLSKELVSASAVENERGILRTKAESSGKSQMAMEKWWKAD >ORGLA08G0101300.1 pep chromosome:AGI1.1:8:11236990:11239449:1 gene:ORGLA08G0101300 transcript:ORGLA08G0101300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39530) TAIR;Acc:AT4G39530] MPLLNPLSRAPSTHIRSLARVLLSCLPTGGDRLRRLNPAIHARATVAGVLDDLFLTNLLLRGYSNLGRLRDARHLFDRMPHRNLVSWGSVISMYTQHGRDDCAISLFAAFRKASCEVPNEFLLASVLRACTQSKAVSLGEQVHGIAVKLDLDANVYVGTALINLYAKLGCMDEAMLVFHALPVRTPVTWNTVITGYAQIGCGGVALELFDRMGIEGVRPDRFVLASAVSACSALGFLEGGRQIHGYAYRSATETDTSVINVLIDLYCKCSRLSAARKLFDCMEYRNLVSWTTMISGYMQNSFNAEAITMFWNMTQAGWQPDGFACTSILNSCGSLAAIWQGRQIHAHVIKADLEADEYVKNALIDMYAKCEHLTEARAVFDALAEDDAISYNAMIEGYSKNRDLAEAVNIFHRMRFFSLRPNLLTFVSLLGVSSSQLAIELSKQIHGLIIKSGTSLDLYAASALIDVYSKCSLVNDAKTVFNMLHYKDMVIWNSMIFGHAQNEQGEEAIKLFNQLLLSGMAPNEFTFVALVTVASTLASMFHGQQFHAWIIKAGVDNDPHVSNALIDMYAKCGFIKEGRMLFESTCGEDVICWNSMITTYAQHGHAEEALQVFRLMGEAEVEPNYVTFVGVLSACAHAGFVGEGLNHFNSMKSNYDIEPGIEHYASVVNLFGRSGKLHAAKEFIERMPIKPAAAVWRSLLSACHLFGNAEIGRYAAEMALLADPTDSGPYVLLSNIYASKGLWADVHNLRQQMDSSGTVKETGCSWIEVTKEVHTFIARGREHPEAELIYSVLDELTSLIKNLGYVPDTSDHTLLCETG >ORGLA08G0101200.1 pep chromosome:AGI1.1:8:11234951:11235703:1 gene:ORGLA08G0101200 transcript:ORGLA08G0101200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEGRFFFSRGLAGLVDEAGESGKLSMSMRRRRSSRRHNNEGGERRSEAGREAREMVVEQPMIPRETPLQEPLIFAAAVDPCGGDGGTVDHLFLHVLSGDVPRERIPLHPARPSRSLPSPATSASSRDPSQFGRIDSRDLPRPAPVAPGEAVLHPPPRLRIPAPRVSSSAFSRLLDATADRGLSELAVCLHRNGFLPKNLLSIRSLTVVSLNSCGLPRRLWRNGRWATQAVRAASGNPSNHSNSHLIGGGR >ORGLA08G0101100.1 pep chromosome:AGI1.1:8:11223145:11224174:-1 gene:ORGLA08G0101100 transcript:ORGLA08G0101100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IINFSALGQLKAIQLLDGSNYVEWRNNVLINLAMLDYDLAIREDPPXEPQPAEELNIIEEEYDNLMWAYNKKLANWEKSNRMCLIYVKGAISPEVIGGIIDSNDIKMYLANIEESFEFAPETHANTLKEEWTIQELILRSVEEEERQKAEKXKIKDRLNLTNAFDKGKKVYQGESSNKNSEPEGEQKQEGIKASTSAVPLSTSPYCHFCASDGHWQRNCTRFTAWKLAPSKRXQRGEXTLRVT >ORGLA08G0101000.1 pep chromosome:AGI1.1:8:11215142:11219069:1 gene:ORGLA08G0101000 transcript:ORGLA08G0101000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATTSDAASATPAAAFLAMPGWTPGPSIPDGVESFANGAGVRLLRHARGIVEEDDLDLRWEAATGERVSEVVLLSRHTAVSNRPALTVHPIGVPHLRDGVDVPPQGGRPGWAAPPNPRIGPWLRLLRRIAADRGLVPEFEITLEGTHHGPVTNTPTLFVEIGSTEEYWGRQDAADAVALLLWKGLGLGEEESVGNWHGNGEKVLLGIGGGHYAPRHMDIVTKDGVWVGHLLSGYSLPMEEPKQMNGKSVADVGGMWKHSIKVSYEATKAAFPGGEIIAHIDQKSFKGWQKNAITSYLQELNIRVGKPNDFF >ORGLA08G0100900.1 pep chromosome:AGI1.1:8:11209459:11209758:-1 gene:ORGLA08G0100900 transcript:ORGLA08G0100900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQVQACVWAMHAPAVHSPPQSHLHLPYPPTPLFLLFAFEYSRPIEEHQGPVWSFCARKKKGLQEVSWGRRGLKLKRKKKPGRKCRIRWPQAPRQQIR >ORGLA08G0100800.1 pep chromosome:AGI1.1:8:11197062:11207408:-1 gene:ORGLA08G0100800 transcript:ORGLA08G0100800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like protein [Source:UniProtKB/TrEMBL;Acc:I1QHV7] MSRLSFRPRPLDIHKKLPIVKSARELEDDETTLALRAAPPVLRHSQPEPAADGEAHPTSSKKNVQEIPTPQYDDVDTYERDYTRTFAQPTSYIRARGARAEIGEFVEYDLDNEDEDWLEDYNNERKNLNPEKLEVLLFKLETLDHKARERAGIITPTFLGPIPVILQLDSAMEALQYLSVRYAVFQAVYNYWKSKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAYRLHTRRMQRRENSVQSFDKLRVVRRNLEQAKALMGALIKREERKRETMECEVHLRRIQMRYKHEAQLIDDGIALSGLQQAGSSEDDYADSDDTANEQPYVRSVAFHPRFPDNKLSAVPPLRLKRERELKRRPHQNGLLFKRVPEMRDPEEPVMLFTRPIDPDKLKMAGIRPPLDPPIDSGTTAPPFRWQARIGRGGRIIFDRWNPFLQVPVGQETNHRPSMPEG >ORGLA08G0100700.1 pep chromosome:AGI1.1:8:11187105:11190051:-1 gene:ORGLA08G0100700 transcript:ORGLA08G0100700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:I1QHV6] MAAAARLLARISRQGVASAAAARRQAEAAALLGASAGRHLAPPCSSIKALPLLNQPRLYSTSTFQRFGFSSSAPQQDDKAANKQTEDGVNKSTQSEASNETNSSPGTENASQAGSQDSVPQSNRRRRGTKRTAFSDSDTEDLDLSKEDLTKLVLEKEELLKSKDEEVKDMKDKVLRSYAEMENVIARTKRESDNAKKYAVQGFSKSLLDVADNLSRASSVVKESFSKIDTSNESAEAVKLLNTLLEGVEMTEKQLGEVFKKFGVEKFDPLNEKFDPNKHAALFQIPDPSKPSGTVAAVVKVGYMLHDRVLRPAEVGVTEGGPIEEEPEEKSDKSD >ORGLA08G0100600.1 pep chromosome:AGI1.1:8:11181927:11184914:1 gene:ORGLA08G0100600 transcript:ORGLA08G0100600.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEEKATKRRRYVPVSVVEDERQESGKSDDENKANDGEPSSAETEPSNGKTNTNDTPMDESQASDEARDSGKNGGGTDLNLNLGLKDPDGDNDIDTEEQDAENNTHTENRLKRKSVAPDLEMRM >ORGLA08G0100500.1 pep chromosome:AGI1.1:8:11167513:11168109:-1 gene:ORGLA08G0100500 transcript:ORGLA08G0100500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAMASSSSLRGVTVPFLMILPLLFVVAALPAEVTVTGDGILLPSCKTVGGGSTYFDVQFCLDALGSVGAGAGARSYRDLAAVAVGLLTANATSTSVKIDALLRGGGGGGKVDAATARCLRSCQALYAGIARRQPGCAAAVRGGRLGEARSSLEESAAAARRCEDGFRGGNATSPVTAEDDAAFKLAKLGVALLGFA >ORGLA08G0100400.1 pep chromosome:AGI1.1:8:11154938:11163218:-1 gene:ORGLA08G0100400 transcript:ORGLA08G0100400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMTIGAKYKTTLKDPGTTGVLRMNEDKFTFTPHDPRSAMKLNVDFRSIKGHKFNKVDGSKPAPPLLNLSKDSDKGGGYMFEFDNVTNRDLSRDFVAKVLGKQQGTIPPKPNVPPENSVTSTGEEQLSAAEMQRRMKLLQEDSELQKLHMKFVLGNILQESEFWATRKNLLEDEANKGSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAFLDFVPKKMSEKDFWTKYFRAEYLLRTKNTVAATAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKETIDNDGELARRTLSQDLNRHAAVVLEGRSSDVELTDTKTVAEAIARSKKEPPSSSISDDTNHERLVKVARMTEIEDLQAPRSLPYAPLCIKDPREYFDSQQANALRSLGGNNDGRHARNCRLSTDDAFDHLLGQISSIKVNGLNYPVIQSDVALKVLNELNEGISRSRRLNLKNPQDSLLGQIPRRTRDELMDHWAAIQELLQHFWSSYPITTSVLYNKVQRIKDAMTQIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >ORGLA08G0100300.1 pep chromosome:AGI1.1:8:11151647:11152259:-1 gene:ORGLA08G0100300 transcript:ORGLA08G0100300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRTYWDKALTKIFLDLCIAEKIKRNHNKKGRTNIGWQNLYRNFREQSGKTYDNKQLQNKFSTFKRQYKLWKSLKNKSGGGWDNNSSTIRCDDDWWEDRIEENRDARQFRGKPLEYEDELTTHFGCMDTEEGTMLCVGGIGKRTPSSGSDDNLTPMSNDNVGLSSAGRVA >ORGLA08G0100200.1 pep chromosome:AGI1.1:8:11150295:11150561:1 gene:ORGLA08G0100200 transcript:ORGLA08G0100200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPPPPPPRRTTAAAAAADDNDNFDYAAEMEADAAGNGSARSAAYAARAVVYDGVDPFEGMEFDNEEPLTRTSWCRHSRSHRGWTRRR >ORGLA08G0100100.1 pep chromosome:AGI1.1:8:11148265:11149756:1 gene:ORGLA08G0100100 transcript:ORGLA08G0100100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEQQRVKTTSQWVSHVEHLLDAGGNPSEEEARRWRRQSVYRVPAYIKHRTSYGAPQLVSLGPFHHGVPELRPAEEHKERALLHLLRRGGGGGDGRRLRLGSLVASMEEVVVELQDAYQGLGATKWRDDRDGFLKMMVLDGCFLLEVMRAAAEAAQGDGDGEGVGGGYAVNDPVFSRHGELYVFPYVRRDMLMIENQLPLLVLQRIVAFVHGGAAPEASDDAINNMVLSFVSMIPDPPAMRGGGGLALHPLDVCHRSLLHGSPPRPCHTGRREEFVPSATELDQAGVRFRPSRTRSLHDISFRHGALRIPRLAVDDTTEHKLFSLMAFEQLHGAGANEVTAYVFFMDNVIKSGDDARLLGASGVVSNGLGSDEAVAEMFNRLASEAELDRRSALHGVHGEVNAYREKRWNQWRASLVRNHAGNPWAIVSLVVAFVLLVLTVLQTVYTVLPYYQEQAAAGEAALREL >ORGLA08G0100000.1 pep chromosome:AGI1.1:8:11113131:11115420:-1 gene:ORGLA08G0100000 transcript:ORGLA08G0100000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07890) TAIR;Acc:AT3G07890] MFGTQVRGVVEDDFNHRRRRTLWNTPAASMPTTTHTIRYSTPRKGHANAHQLLAKFEDLYGFMVEGNVDDVNVLNDVRERMREQGRVWWALEASKGANWYLQPRISSNGGSEGVISVTSLKLSVLTNTITLRRLIRKGVPPVLRPKVWLSVSGAAKKRSTVPETYYDELIRATEGKTTPATLQIDHDLPRTFPCHSWLNSEEGQASLRRVLVGYSFRDSEVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTDNLSGCHVEQRVFKDLLAKKCPRIAAHLEAMGFDVSLVATEWFLCLFSKTLPSETTLRVWDVLFNEGAKVLFHVALAIFKMREDDLLRIQHIGDVIDILQTTAHHLYDPDELLTFAFDKIGSMTTNTITKERKKQETVVMAELDQRIRRLSSLKMDG >ORGLA08G0099900.1 pep chromosome:AGI1.1:8:11107369:11108067:-1 gene:ORGLA08G0099900 transcript:ORGLA08G0099900.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRVRQDWLAGCRRRGYEYVMLVQACQGRVPTENAAGQSSNREEMGSSSHEEDEVVAPHHGGDVGPDIQNLSIQGDEVVNCHPTGEADEGEDIPAMVEEIERVDRHAVKDEENLTAEENDDKDEQEVEEVPMSASWNLEDPGYIAENSCHDSIWFYGDGQINLGAMFRDKTGLQDAVKSWSFQMQRQFRVVKSNMTEYMVACEHLPSSWSRAKVRVLLDCVQTTGTLLSDLKH >ORGLA08G0099800.1 pep chromosome:AGI1.1:8:11105600:11106142:-1 gene:ORGLA08G0099800 transcript:ORGLA08G0099800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTKGGFGSSTRVITHEVTLGHESDNTCSCSGNKPKLLHKPCSHILAACAKIKLDSTSYVSTFYLKDRVLNAWSAEILGWRSLQHLVETGGDKRIYVPDLDLLKAGKGRRQTRRLRNDMDASEAGGPVRRCEDCLQYGHHTRDCKNNKEGTSLSMEPREQRASRNKRGSQGAHDREEGNLQ >ORGLA08G0099700.1 pep chromosome:AGI1.1:8:11101613:11102104:-1 gene:ORGLA08G0099700 transcript:ORGLA08G0099700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSFGGGVQGYMDLLQKGDWLFGQYSSHPNEIPYMQASSTGSFRPELLSGFRPYTASYGDMSSFSGGSSSIPNELRTSQTDDAPHVTQPTQPEVGKGKDNDPRRSNRERHEPNRLSLSGPRHAAGQRKKNYKKARXNI >ORGLA08G0099600.1 pep chromosome:AGI1.1:8:11082844:11097711:1 gene:ORGLA08G0099600 transcript:ORGLA08G0099600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSDDDLEPQLKAVENYYFVDDNDVPVSFDVLPFQFDAAEGVASFKKDVYLRGFTDGGLQKVYKQVVAWKLVLDGDSPEIAVLSTEGSWIALLKPRPSYEEIIRSVLITVEMLHFVRRRPTDSEKDMWDHLYGVFERFVVRPLEDDFANHQNLIKLFAQRDPDLANSQVLQVFIKDKIMEKTNEVGSNNLDNKREPDIKQEPDIKQEPDIKQEPVAAGDEMEEIVEEGIPDVPSNDDDDDEEDEEDGDLFDSVCAICDNGGELLCCEGPCMRSFHAKIRDGEDSYCATLGYTKAEVKALKNFVCKNCDHKQHQCFVCGELEPSDGPNAKVFLCNNATCGHFYHPRCVAQLLHPNSRNEASEMEKKIMAGFSFTCPVHWCFHCKGLEDRTQEPLQFAVCRRCPRSYHRKCLPREISFEDINTQGIITRAWELSKRILIYCLDHEIDLDIGTPPRDHIKFPRVEKSAYSAKKKVKELAEKKRRICDDSYVSEPLQKRAKLNEKFNAKGDKSKKAGVKSEFEEVLESEKKKTRSLKKRTQPEEPLVECAAAAAANNANRPVKEREKELGTSSLDMGKIPLSSFPIVDSETEKRISALVEKEVSSLTVADISRRCVIPSTYACSGRQIDKIVVRGKLERSIQAVKAALQKLENGGAVDDAKAVCESEVLRQLTRWHNKLRVYLAPFIHGMRYTSFGRHFTKKEKLIEIAEKLHWYVQPGDMKSNNVDPETRPRRVNMLRGFGALSQFMKEKLDKVGKRCNFKNYDVIQPKNSFSFEKRDWMTVRQKELPHGSKLIMGLNPPFGPKAMLANKFIDKALTFKPKLIILIVPKEAERLDRKQQPYDLVWEDDQRLSGKSFYLPGSLDVSDKQIDQWNKSPPPLYLWSRPDWTQKHKRIAEQHGHTKANVFSHNEEDLVYLFEDRATQNHDVNNKNYTSGNGNFTAEKPVQADAFPPEKLVEVAYEEMKVASNRSSMYQSDQISVHDERDSHSDLPMSRHNSMKAKEVSNSSRDRRKSDKTGHEADSDMSILPSDSRNFLHKSGNLEPPISSRSGYTSERLRYHDNHFDHLVGEHSSSSLQMPIFEDSYFRSVNEYGVASVENNIALSTDNVGAGSRMYSPDPELNGYAVDPTVNAYGSVSGGTGGSFYRRQNLEDYTMDSSESAQMNPVPGRDVQEYARTYYGHNRDEVPQTAINTPSMDIRTHIRMYGRHIRDDHTQTTMNPPANDFRAQIRMYGQHATSDHQHASRYSSGSPDARFEQQPSFTSYGMPSLGSTGRSMMDRYSPSIDETSYRTGQRGPYNASDFRRDRHPDDMNFAPHNQYPYPHPGSSGGWHD >ORGLA08G0099500.1 pep chromosome:AGI1.1:8:11077295:11079477:1 gene:ORGLA08G0099500 transcript:ORGLA08G0099500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLDCKEEPYHLIWEYNQHIFGKPLYQPGDVDVNDDAKNGLHVIPLCVSLWSFPDKTQENMRIAGKHGHLNVGHKAHSVMLKDSSVDKGAKGDNEIFTVGKEDTSEREQTSEHCSGERLIIPNVVTFHANQEQNDELQSLYKEKHANGSGGGNNLTSGKESDVHRGENACGHNSAARQKTEISKRENRMTDSTHEENIRSGKEKIPRDGSNKGTVKPDLVDGLSPDKHVDVAFVHKTTTNRVDTQQECGYNVAVDVDDNYALQHEPKSPHGNDNLRGTEMDTSGDNSRKTREQKEVTDGKRLDLDRKREAVRMKNVRDGHHEDDRTAHPQCVDVKSPSHNDDQRARGASVCKSRKRGTSNRILESRDTVSKNSARRQVPVERNGHAPYSRRPDYSATARRGSPSYPRRRRRSRHFSSERDWSTVPPRGNSPDTEYDGTRYNTEYDGYGSQSGCGYHGMDTLPVDRYYPQEHHNGAYRPQTNFGEEEQAAAYGRRRNDHGWGPDYRRRDSFDWEHRSSARGTVTDRYVPDLEQTNHQIPGQASLQDGYFMRRSRSFVNMHVPYY >ORGLA08G0099400.1 pep chromosome:AGI1.1:8:11060782:11061333:1 gene:ORGLA08G0099400 transcript:ORGLA08G0099400.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSGRCPHRCAVPVLAPHLGGASTLFIFNVADLLPDNDNPQIFWRDIADIVSRVLAAYPSHRCIRLTNACSYVDSRSAAVLSRWLGVLAAKGVQELILVFLPIWPMRVEPPADVLCIASLHRLYFGLRRSFPDTEHIRLGADVFPYLVELGICRTNMKAKDLDRLLRSLTPNQSIAPFLTREQV >ORGLA08G0099300.1 pep chromosome:AGI1.1:8:11038426:11044285:1 gene:ORGLA08G0099300 transcript:ORGLA08G0099300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLPGSEKGVYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASPNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVCAICDQGGILLGCKGECKRSFHPKLEDGTKFFLQNSWLHFSXSRGNTYFYLLELPTXATPVFQMWTAXFFAXDKSKGIPVLXCILWALLPSQVCGRITXAXWSLWIGETYCRWNDIYMSGALVLXMXTNRGQVPNTTVACSLXTLCKVISQKMFAKEISFKKKDVTARVWEVPKGDPKIFIYCLDHDIDATFRTPCRDHIKFPSAPQIERVKDLARKKVKVTDIRNTDEVSPESAELSTKPSREEGDQSQEVPISNKQMDHNLLEHECATNNLRVDLQYESPIVRAAASVLISSEAAKLQENQLGPSILMEKTSXCSPCPVNSGAEKRYLPLIMLT >ORGLA08G0099200.1 pep chromosome:AGI1.1:8:11025555:11026082:-1 gene:ORGLA08G0099200 transcript:ORGLA08G0099200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNGGTRESSDHVALLMPSKCPTARMDQYPTSAVTSSKWDLRKALVSSALQTIDIVWVKLDSLCREEPYYEEVPEGSEAYFNDEFF >ORGLA08G0099100.1 pep chromosome:AGI1.1:8:11018608:11019846:-1 gene:ORGLA08G0099100 transcript:ORGLA08G0099100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHLAYHLPDLALEIVLSHLQSLADRASFRGVCRQWAAVWRDQWPRTPPMPWLAAPGHCVALSDASVHRVPLPNGVDVDGIVCCGSLGNWIALAPKRRRWRPRHQVRHLLLNPFSGASVQLPILTPAAFRGGGDDINVEKIVISSAPDSDGCVVAAIVMGSYSSTREIVIWRRGQESWSTPAAAAPSNVADAVFHGGDLYVVDKCSQLYVFSGDDGGGGQELHPVRLEMDLTRTGRFMARVLLECDGRLLMADRHRHGGDAGYHEYSVYALERDAACGDWCWSPVTRLDGHVLFLGAGCCRALPVTGRDRVKDGNVVFLDDSAEITAVVTVDDRKPLERSALIRRSMDVPASNVMDTFRRRGGGGGGRPASPAASMAGRRNQCFGFGGLQDLIVLMKSFMSPQEDECTHRQ >ORGLA08G0099000.1 pep chromosome:AGI1.1:8:11014591:11015007:-1 gene:ORGLA08G0099000 transcript:ORGLA08G0099000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDYAEEFDQADEKLASFCLHLEAMAEAGDEIDQADEKLATFCLHLQAMAGTAGDDEIEQADESLAAFCLDLEAMASEAAAVASNDDDMAAAAAVDETKGKAAAALPTASCEAAGMAMIHHGHGAASSQVHLAAAC >ORGLA08G0098900.1 pep chromosome:AGI1.1:8:11000105:11000719:1 gene:ORGLA08G0098900 transcript:ORGLA08G0098900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRVVISLLLLVAAMASSFSFSPCTAQSSSSCASYTFSSNQQYGSCAALPRLGATLHYNYTAAASTVAVAFRAPQPAGGKGWVAWGINPSGSGMVGTQAVVAFRHSNGSLVAYPTVLGSYAPSMAPAAAKDLALPVSGVSAEENGKAKEVVVYATVALPAGKGTKFNHVWQQGSSVAGDVPAAHPTSGDNVLSVGSIDFSK >ORGLA08G0098800.1 pep chromosome:AGI1.1:8:10996490:10997620:1 gene:ORGLA08G0098800 transcript:ORGLA08G0098800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFFMPCGSNSFSDIIASTSSLLGISVLVLAVFLVYKKHKCLLPWQRSTTAPRLHSLLRSQLKSYTYSEVRKMTKSFTHTLGKGGYGTVYKGSLSDGSTIAVKILEDSNNDGEDFINEVSSIGRTSHINVVTLLGLCQHGSKRALIYEYMPNGSLDKFTVGGNDTMQQEKFLISWEKLYDILVGVAQGLDYLHHWCNHRVVYLDIKPQNILLDQDFCPKISDFGLAKLCKPKESKISIGCARGTIGYMAPEVFWGHRGAVTTKSDVYSYGMLILHMVGERENINASTESGSKYFPEWLYDNLNQFCGVPSGGIDGSNSTSEVAHKLVIIGFWCIQSAPMDRPSMSEVIDMFDRSLTELQLPPRISCCGNYNESFG >ORGLA08G0098700.1 pep chromosome:AGI1.1:8:10989043:10989645:1 gene:ORGLA08G0098700 transcript:ORGLA08G0098700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNHRSSQLHLLLLFCCTTTLRAAALSFDYDFSADAAKNLVFMGDAAHAGDRINLTNLGVWRAGRVAHRQLVRLWDDDVGGGRTTTTSFTTAFSFAIGRNSTNQPADGMAFFVGLPRDNLPPHSDGAFFGLLSNNYFGPYGSPRTVGVEFDTFSNPMWDPEGTVDHVGIDVNTVTSKNTTAMPTLSLLAGVMRAEVRYC >ORGLA08G0098600.1 pep chromosome:AGI1.1:8:10968754:10984920:-1 gene:ORGLA08G0098600 transcript:ORGLA08G0098600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQLRDWVSDKLMSLLGYSKSVVVQYVIRLAKECSSTGDLVGKLVEFGFTSSAETRSFAADIYAKVPRKASGISNYQKQEREAAKLVKKQSTYKLLADEEDNDAETITSTSRQSSASTSSKSRKHFRRKAEDQDDGNDDDETKIKQDSGRNVKRRTEEVDDEDDGNDTDEEQERIRDQQERAQLEKNMRERDAANTRKLMERQLSKEEQEEITRRSQAMDKNDTSDLRKFSRQAYLQKRRDKKIDEIRDEILDHEYIFQDVKLTEAEEKEFRYKKKIYDLVKEHVESADDVAEYKMPEAYDMGDSVNQEKRFSVAMQRYKDPEARDKMNPFAEQEAWEEHQIGKSKLQFGSKDRKRSSDDYQYVFEDGIDFVKSSVIEGTQHEEDSDQEDIDEKDMLKRELQDERKTLPIYKFRDELLKAVEEYQVIVIVGETGSGKTTQIPQYLHEAGYTAKGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTLIKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEVHYTKAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETIDEILKHRTRGLGTKIAELLICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFCKIKSYNPRTGMESLLINPISKASANQRAGRSGRTGPGKCFRLYTSYNYMHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEALLKALEQLFALSALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSDEVISIASMLSVGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYNSWKETDYSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEISSNASDLDAIKKAITSGFFHHSSRLQKNGSYRTVKNPQTVFIHPSSGLAQVLPRWVIYHELVLTTKEYMRQVTELKPDWLVEIAPHYYQLKDVDDTGTKKLPKGQGRAAL >ORGLA08G0098500.1 pep chromosome:AGI1.1:8:10963144:10964934:1 gene:ORGLA08G0098500 transcript:ORGLA08G0098500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSGAGAGGVPTKLEHDDAAAVAAEREPCGGGAPRREEKERWRRVLVVGCLVALLLFAFFVLGRESASEVLQIANSKLSAMNGGFTTKNPSHGGGAAKHADELLGGLLAPGMDRRSCRSRYQAAHYYKHFPYAPSPHLLDKLRAYEARHRRCAPGTPLYNRSVEQLRSGRSAGGVECNYVVWLPFDGLGNRMLSMVSGFLYALLTDRVLLVDLPHDSSDLFCEPFPGATWLLPPDFPVANLFGLGPRPEQSYTTLLNKKKITAVVNNDDDPASKNATAALPPPPAYVYLSLGYQMADKLFFCGDDQRALAKVNWLLLYSDLYFVPSLYSVAEFNGELRRLFPAKESACHLLARYLLHPTNAVWGMVTRYYNSYLAQASRRIGVQIRMFNFASIPVDDLYNQILTCSRQEHVLPETTTDNDNDDDLATAYDSNSSNGSGGGNYTAILIASLYPDYYERIRATYYEHATRGRVRVGVFQPTHEERQATQRLFHNQKALAEILLLGFSDELVTSGMSTFGYVGSSLAGVRPTILMPAHGHRVPAPPCRRAVSMEPCNLTPPRVGEAECREMAAVVDKEDVARHVKVCEDFDRGVKFFD >ORGLA08G0098400.1 pep chromosome:AGI1.1:8:10957778:10958410:-1 gene:ORGLA08G0098400 transcript:ORGLA08G0098400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSISLMAATGVEAGGRGGASAVVEVVAGGRGGAPAVIEEAAGAGVDERRRSLRRRRATTAGGHGEGGGRGRGRAPAVAEETPCAPSKWDELVRPILPDGEMALFFQGKALPLFLRD >ORGLA08G0098300.1 pep chromosome:AGI1.1:8:10940560:10941299:-1 gene:ORGLA08G0098300 transcript:ORGLA08G0098300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTTSYSTTSAEGEIQPNQIGTIIVIDNLVYRDHPSLKLRGVPKDVRSHDIERVRNKEHLSTRVGCGRVHSTIENILDKCGVVAPNLPTKTDDLSHSTGHCWTTREKVVNG >ORGLA08G0098200.1 pep chromosome:AGI1.1:8:10927150:10928114:-1 gene:ORGLA08G0098200 transcript:ORGLA08G0098200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVLLHLFLCCTTLRAAAALSFDYDFAAVGRDVAAANLVFMGNASYAGDRINLTRLGTWSTGRVAHRQLVRLWDDGAGGSVTSFTTAFSFAIGRNSTNQADGMAFYVGPPADTLAPDMTGGFLGLIPNTGEASPRTVGIEFDTCRNPWDPQDGVIDHIGVDVNQIVSQNFTALPTLTLAGVMRAEIRYDAAARKMVVNLTANGTNYGVEAAVDLRAAGLPQDAAVGFSAATGDLVESHQLLSWSFNSSTE >ORGLA08G0098100.1 pep chromosome:AGI1.1:8:10921909:10922820:-1 gene:ORGLA08G0098100 transcript:ORGLA08G0098100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSFAHTLGKGGYGTVYKGSLSDGSEIAVKMLEDTKDDAEDFINEVVSIGRTSHINVVTLLGLCLHRSKRALVYEYMPNGSLDKYAVGVVDTVQGQKSLSWEKLYEILVGIAQGLDYLHRWCNHRVVHLDIKPQNILLDQDFRPKISDFGLAKLCKPKESKISIGGARGTIGYMAPEVFWGHHGAVTTKSDVYSYGMLILQMVGARENTNASMQTVSKYFPEWLYDNLNQFCGAATEGIDSRNTCISEVARKLVTIGFWCIQSTPEDRPSMSEVIDMFDRSMHELQLPPRMSCCGIDNPSIV >ORGLA08G0098000.1 pep chromosome:AGI1.1:8:10911131:10911811:1 gene:ORGLA08G0098000 transcript:ORGLA08G0098000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFSLFVSSTSQDHHQPRVPMILLAVKARRILGWPAPTQAQPMLRRQHSAQNECRREGVDRLEHKPPECVKGKENARPQRLLRVRIRIRREGVLRMWG >ORGLA08G0097900.1 pep chromosome:AGI1.1:8:10907915:10908130:1 gene:ORGLA08G0097900 transcript:ORGLA08G0097900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAHRRWHVSFLPSTVLGYSGEPRLLDSYYRYVTHGIYAFSARLTFAEIEDLAKKPGVLGSWVRGVALQ >ORGLA08G0097800.1 pep chromosome:AGI1.1:8:10884401:10889695:-1 gene:ORGLA08G0097800 transcript:ORGLA08G0097800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQTPAKPKASPVRSRPQLPASAAAAAAAAVEPPLQLQQLHTTPPPPPPPLMPAGGEVTGGSKAAKKRGMQKLLKSAFKRGDHHAPAGASSGGGEQSGDDEAAAAAAQDLSRSSSSSTGGSSGRKGRKGDSSVEGDLSSRDSLELHESKNVKGAAAALRNAKLSHSYEAFPWERKMRDLLQVAGASGFLSLLLLPRATDETQTKFHSLEDTLARAESWLMSSQMSGVPIVPMNVQTEALLTKICGDVASSTVNMNSLGDLANMATVSLYGFEDYHGVDIGVVRAIRLWYAPFAGEMALEIKLQPGDTRLGFAISRTEEGFIYVSSVAEESTPGVASTRSGLLELYRRARRASKLLVVSRVGDDKVLPWATSTAGDIRCFDTVSLSQRLSLHRHALRPVTLHFLMWERLPPAAVIRGAAAARPTVQMIVQGDEEGGGDAADESTDEVAFDGDGPEIVLSGKDDSDDRSFRFQNIGLPDSWL >ORGLA08G0097700.1 pep chromosome:AGI1.1:8:10862051:10882128:1 gene:ORGLA08G0097700 transcript:ORGLA08G0097700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELGQQTVELGAVVRRAAEDSYLALRELVEKSRVEAEGKNGQQRSDTEKKIDLLKFIDRTRQRMLRLHVLAKWCQQVPLVHYCQQLASTLSSHETCFTQTADSLFFMHEGLQQARAPIFDVPSAIEVLHTGSYRRVPKCVEEIGTQNTLFQDEQKPTLKKLSTLVRAKLLEISLPKEISEVSVTDGIANVQVDGEFKVLITLGYRGHFSLWRILHMELLVGEKAGPIKLEEIRRYALGDDIERRMAVADNPFMILYTILHELCISLVMDTVIRQTNVLRQGRWKDAIKSELISDIGTGQGGSNAVMQLGQDGELDSSGSRIPGLKINYWLEEKNNASAESDSSPYIKIEAGQDMQIKCQHSSFVLDPFTDKEADLSIDLSCIDVEALILKAIACNRHTRLLEIQRELMKNVQMSQSPTEVILKREVHGEGFQKRVHRRDSNDCCTNEMLQVRAYGQSYIDLGINIRNGRFLLQSLGNILPPSAVLDSEEALNKGSTTATDVFVSLRTRSILHLFAATGSSLGLKFQVYSQSQVTLKIPKSILCGSEFMVMGFPQCANAYYLLMQLDKSFKPVFCLLEIQSNEGDNNNADATTDAKEAIRFIRIDINKLKIDEDVQIGNFFDKDKLLALQNVEDRPQRQSGADEPLPARPSFFSVVDEVFRCDRGSPITESQRLPPNSLPSSHSSYQVGLHGFSGGAGSPDQDYGSLQSNINAAKVTSGTGLNNYLLSNSKNAQSTSAFSGSVPAGLGNVSSSRSEGAYKKRSLSEFLQNIPSSKQSIIGDGPGKRRKLSEFMLDGLPLKANSPNMQPGTSLTYGKLLEEVNNCITANIYSSVLLHVIKHCSICIKYAQLTAQMDSLNIPHVEEVGMPTSSSNLWLRLPFAHDASWKHICLHLGKAGSMSWDVRINDPHYGALWKLHGGSTTTEWGSGVRVANTSEVDSHISFDDDGVLLTYNTVEADSIQRLVSDLQRLSHARAFSCGMRRLIGVKLDGKLAEHQTAAETKLHSRRKASRDRLSEQMRKTFRIEAVGLMSLWFSYGAVPMVYFVVEWETGKSGCTMRVSPDQLWPHTKFLEDFVNGDEIASFLDCIRLTAGPLLALGGAIRPAKMPVTVPAGYSALPKQNNILTTAGSANSLSSSTVHNMSVPQGAAVAHSNSQLQTSSMLSVAGRTAPGLVPSSLLPFDVSVVLRGPYWIRIIYRNKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPNLLNARHTGPPLNASANTVSGNQQLASAPNRFGGAPGVARSTSTVANQVASSLSRAGNAMMPSGFASGIAGAPAHLSPGNVPAHMKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPDLLKEILGSVLKDNEGALLNLDHEQPALRFFVGGYVFAVSVHRVQLLLQVLNVKRFHHQQQQQQQTPQNNGQEELTVPEISEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFIKLIAWKKSLPQAHADNATTHRARIEICLEKHPRLVSDDYTASSSSSKSNIHHDRANNSVDFALTFVLDQALVPHMSISGGAAWLPYCVSVRVRYTFGEDSHIAFLAMDGSHSGRACWLQHEDWERCKQRVSRAVETMNGSAAVGDMSQGRLRMVAEMVQKQLQLSLLQLRDSPVSTGSAAS >ORGLA08G0097600.1 pep chromosome:AGI1.1:8:10842079:10854628:-1 gene:ORGLA08G0097600 transcript:ORGLA08G0097600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64430) TAIR;Acc:AT1G64430] MALALLSPSSASAHRLPRPISSPPLGRAASATSIGSSFFPLCSSPPSSGSCSLRRASSAGGASEPEASGATSLDADLLRRVAGAADAGEALDIVAESAGGTGGLDASDCNAIVAAALDRGNVELALSVFEAMRSGFARAGAWRWARPDVRTYALLVQRLAAALRVSDALRIIDYVSRAGISSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQTVSCSKCRYQYELFSGDITSIESEEVSMDISTLDKALRFINVIKDGLPAAVHSIVIRTPSGTARTHRFATQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSQGFRPGEPMSLTNHINRQVSRLLRAPSKNEGPFVFNPYLLVGALALLASGDAASAFIDPSLPRFITATALASAAVGTTLNQVILPEIRKLPQKTVDIIAVRQQLLSQYDMLQTRLKDLKQLSEKEVWMLARMSQLENKILAVGEPSYRARRGRVKRVLESLESTLLAKIELMESYAKLCSMIEIEVEMDSDVIVAEAASSAERISEQIQQLMEIDSLEEQWRIQAEANDEAERLLNSDSSETFSAEHV >ORGLA08G0097500.1 pep chromosome:AGI1.1:8:10827749:10828168:1 gene:ORGLA08G0097500 transcript:ORGLA08G0097500.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDPNRSPTGLGGPSDGFYIFVRGVTGGAWEYGEENARGGEGRAGAIDYFLYIDARYFARVPSTSQRLLLLRSASSGNDL >ORGLA08G0097400.1 pep chromosome:AGI1.1:8:10822136:10823686:-1 gene:ORGLA08G0097400 transcript:ORGLA08G0097400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDRISELSDDLLLHILSSLHTKDAAATTVLSRRWRPLWRRTCVLNLYSEPFLPTHDAFFRFADGALAAVLRRADDPALKKLSLAVDSAAARPVLSNFDSRIGAILSHRAAAGLQDLRVDCLPVAGAGDAGDTIGMYKLRLASLPCAATLRVLHLACCCCYSSPPSVVAAFPSLTDLAMTICMLSLSKGGHLLQTIVDAAPRLAMLRLDRVHLLISAAKTKEETAVLRLRCPTVTTLVLVAVTSRIEALQLDAPSLVSFSYGGHPMAISLAPPPANLALVDVDISRPSFFTGKYEPVCRVLRSLGGGDTTTMRTMTLRVHCVDDILDDGGGGALPVFPNLAFLHLEAQYLHSRYQTPISLSAMAKLLQSCPAVSELRLRLTTKDDSHSHPVSEEQRFNRRISGNSIGRRIESSSSSSSKDEGDHELKCQRVSEPAIECLEKTVRKVTMEFTAKEMDSFPVHLTKFLVENAMVLEELRVDDTAQFFLDQKVEKWRADSFQRRNLPIVGRFEDKAYV >ORGLA08G0097300.1 pep chromosome:AGI1.1:8:10809852:10810873:1 gene:ORGLA08G0097300 transcript:ORGLA08G0097300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLGLEKKPARVLNTDDIPLCHSRCSQSEGCEGAVVDESVRRVAMVDVVEIGCADVEPGDAAARLEVNEEDPERLMMTSADNEIVNARTVMNTTKAAKLWSKGTTQLQLLCRCSSMNSTGGEAMLRRAPLSIPIANGGGPRAMALQPEGGGVGCSGNLKL >ORGLA08G0097200.1 pep chromosome:AGI1.1:8:10807488:10808274:-1 gene:ORGLA08G0097200 transcript:ORGLA08G0097200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVTSMTREQPLGDHILWKGPWVAARCGADGNEMMQFQCRTATGPDGVFGAGVTTFRLNMNYSSSLGLQWN >ORGLA08G0097100.1 pep chromosome:AGI1.1:8:10806461:10806724:-1 gene:ORGLA08G0097100 transcript:ORGLA08G0097100.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIRCLTPEKVVRSLDWDLLKSDKLRKMAGGGHTHWTKDELQAFLESYMEEIAARNITSSCPKAQGYANSQA >ORGLA08G0097000.1 pep chromosome:AGI1.1:8:10783790:10786786:1 gene:ORGLA08G0097000 transcript:ORGLA08G0097000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDRQRRPVPPLGTLLSSWLLILVVHSCLSSFAVHPAAAAASSSSPSNTDFQTLLCLKLHLSNDPGGFLGSWKQNDSIGFCRWPGVTCSKTNTSRVVALDLGSSGLNGQIPPCITNLTLLVRIHFPDNQLSGQIPPELGQLSRLGYLNLSSNSLSGSIPNTLSSTYLEVIDLESNKLSGGIPGELGMLRNLSVLNLASNSLTGNIPISLGSSTSLVSVVLANNTLTGPIPSVLANCSSLQVLNLVSNNLGGGIPPALFNSTSLRRLNLGWNNFNGSIPDVSNVDSPLQYLTLSVNGLTGTIPSSLGNFSSLRLLYLAANHFQGSIPVSISKLPNLQELDISYNYLPGTVPPSIFNISSLTYLSLAVNDFTNTLPFGIGYTLPNIQTLILQQGNFQGKIPASLANATNLESINLGANAFNGIIPSFGSLYKLKQLILASNQLEAGDWSFMSSLANCTQLEVLSLATNKLQGSLPSSIGSLANTLGALWLHANEISGSIPPEIGSLTNLVWLRMEQNYIVGNVPGTIGNLANLNSLDLSRNKLSGQIPHSIGKLGQLNELFLQDNNFSGPIPSALGDCKKLVNLNLSCNTLNGSIPKELFSLYSLTTGLDLSHNQLSAQIPQEIGSLINIGLLNFSNNHISGKIPTTLGACVRLESLHLEGNFLDGTIPDSFVNLKGISEIDLSRNNLSGEIPNFFQSFNSLKLLNLSFNNLEGQMPEGGIFQNSSEVFVQGNIMLCSSSPMLQLPLCLASSRHRHTSRNLKIIGISVALVLVSLSCVAFIILKRSKRSKQSDRHSFTEMKNFSYADLVKATNGFSSDNLLGSGTYGSVYKGILDSEANGIVAIKVFNLDELGAPKSFVAECEAFRNTRHRNLVRVISACSTWDNKGNDFKALIIEYMANGTLESWIYSEMREPLSLDSRVTIAVDIAAALDYLHNRCMPPIVHCDLKPSNVLLDNAMGARLSDFGLAKFLPTHNSTSITSSTSLGGPRGSIGYIAPGNHL >ORGLA08G0096900.1 pep chromosome:AGI1.1:8:10774390:10774679:-1 gene:ORGLA08G0096900 transcript:ORGLA08G0096900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYSTSVQLLLVLLVILVSVGSILGRSGPSTCANNPAFQQSCPPIPGRGH >ORGLA08G0096800.1 pep chromosome:AGI1.1:8:10754228:10755566:1 gene:ORGLA08G0096800 transcript:ORGLA08G0096800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLVLRDYFDNLVLWLFPRCDVGELVTRSTHDTAEPIHRAVAGIDDAYFRSFVDFTSSRAVEADGLIPIADTAEVVVRPITVHMQGSRGEISGSYAGIPMYEVVVK >ORGLA08G0096700.1 pep chromosome:AGI1.1:8:10752941:10753215:-1 gene:ORGLA08G0096700 transcript:ORGLA08G0096700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLSTSVQLLLVLIVLLAFVGGILGGGGPSSCSNNPAVQHSCPPIPGRGH >ORGLA08G0096600.1 pep chromosome:AGI1.1:8:10745933:10750713:1 gene:ORGLA08G0096600 transcript:ORGLA08G0096600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSDHLSGLPDDVLRHIISLLSAKEGGATAVLSRRWRPLWRQAGTVNLDTEPYLDPAAYRGNNFPEHRRSAFVDHALAALAACESPRILSLRLASEEIEGGAAEEKCAGVVDAVQDAPAAARVEELRVRCDVSWFCRYGSCESGSSGGTWRLRLGSLPCAAATLRVLHANDVGVERLGDGGGVGVVLPLLEEMRLVDATVSPDTLQRVIDAAPRLANLWLDGIIFTSNDGSRRLYLADGFRLQLRGPALTELALIEWIRRLQGALSNEALGEFFQLWDEVRDVSLQQMADTIKWKLTADGNFSVASAYDLFFIATEDCSYGDTLWHSRVPSCVRFFMWIALKGRCLTADNLAKRNWPHDTICSLCQRENEDCHHLLVSCDYTAAVWRKLRRWCNINIAIPAEDGRPLADWWIATRWRFQNTSKKVKKEVHPSSQYIHEHNALNKARQGFPVELGGVDASKTKILRLARLAHSTPQGSNSQKHADLTLGVXLDLPLMXRSIXRYRPLVSTMXFFXKKRGILSLLLKVFRITTYCSLKEWMTCIMQNENKTKARRKIWKARNDLKFQGLVKEPTQVCFAAEAMVQPF >ORGLA08G0096500.1 pep chromosome:AGI1.1:8:10744992:10745303:1 gene:ORGLA08G0096500 transcript:ORGLA08G0096500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XQRQRCLSLSAATAPLPFSLPHRWRCSPSQHRWRRSISPLPPVSFTQRCIARVLDGGKSATTTTLTSPLPIGLDGGATVRAILLSFSHLPPSRRRAPEDGAPG >ORGLA08G0096400.1 pep chromosome:AGI1.1:8:10727251:10728735:-1 gene:ORGLA08G0096400 transcript:ORGLA08G0096400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLETGTVNIDLTSEEFRHWTCPWWWGWGREGDARAALRRRRHGLKKLTVTVTADRDDGYLRSDCRDFSRYLKPIVFDGTCVGLLRHVDELRLECQVAGGSSSSSAAASSPQYKYKYAADPGVEYYLSMDKLPCEDFRVLDITGCCLQEVTTAEWLWEWDWIGYPCLTTLRLRRCTVRLCDLQNVILAAPRLAELRLESVTFPDRPSLCGFIFDEHIHLHCPAVTSFTMVNCHIDGRTFELDAPSLICFRCAQVPSLYFSISLKSAAPCLAQVDLESISGTATFGPLLNTMCHISILKLTVYSIVGDIKFGHLPLFPNLKRLVIEELCGFAMDGGLSAAATVVGDMLRRCPAIRELWIRFSWLEYLNESADDHLDADLTAYLKSSICRLQESDYCNHCKESDTPAAGTTQNFNGSWQSSLRKVVIQFQKGKLTCSQVQLVKFLAENASVLEEFEIEGGNHHGSDHIIKSKVGRWGAASSMENEAPPGVGAGDISG >ORGLA08G0096300.1 pep chromosome:AGI1.1:8:10723256:10724562:-1 gene:ORGLA08G0096300 transcript:ORGLA08G0096300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPRRHRLQVVVIRWLLPQCPHRHRQRHRHQRPILLPSRRTTPPPPTPPSPLSPPASSHRRALDRSPPLEALLATPLPHPAQPPRRLAPLPSPIPRPQITVESTPHKPTASQVYKPDSSSTSPPQAGYINPPHPGLPLEHHPHPAAASVVNSGSPCAAHVHANSPSPTPHLATGSAPLRRSQPRSLLRRTGSWPPVLRSSLDAVGRWMQYSPVSPPSLRDIPIYKRFEVKSFHWTQAHSSLGRXVPSSPGYSSLL >ORGLA08G0096200.1 pep chromosome:AGI1.1:8:10721914:10722276:-1 gene:ORGLA08G0096200 transcript:ORGLA08G0096200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XSLRRHHLAVKWEDGHLCICYLTLYVMGLLYSCYALSGQFILWSVAVLFLSERLSIQSIVWSLNYCMGAYYLFYLIRDVELLNCCIGAYFLFHLIRDVKILCAYSLFYLIIDVGFMCTLT >ORGLA08G0096100.1 pep chromosome:AGI1.1:8:10719802:10720083:-1 gene:ORGLA08G0096100 transcript:ORGLA08G0096100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTASARPPTIGTSTAPDLPVLALSSDAGLGGYGCAHSLKSRRQARQLMRHRVVAWRQKARLETQARMMRMVASLEKNAHVDGELRGKESRG >ORGLA08G0096000.1 pep chromosome:AGI1.1:8:10716779:10718572:-1 gene:ORGLA08G0096000 transcript:ORGLA08G0096000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTASRDRLSELPGDLLIRTLSFAPVKQAASSTLLSRRWRLQPLWLETGTVNIDLTSDEFLDRTFHRGWGEAGPSTWEDEGDARAALRRRRHGLKKLTVTVTADRDHDDGYVFGCVRRDFSRYLNPDQFRGTCVGLLRHVEELRLECQVASGSLPSPPRYKYAADPGVEYDLYLGMLPCEDFRVLDIAGCCLKVTTTEWLWDWIAYPCLTTLRLRRCTVRLCDLQKVILAAPRLAELRLESVTFSDRPPLSGFIYSGFIFDEHIHLHCPAVTSFTMVNCHIDGRTFELDAPSLICFRCAQVPSLYFSVSLKSAAPCLAQVDLGSISGTATLGPLLTTMCHISVLKLTVYSIVGDIKFGYFPLFPNLKHLVIEELCGFAMDGGLSAAATAVGDMLCRCPEIRELRIRFSWLEYLNESADDHLGADLTAYLKSSACGLQESDYCKVSESDTPATGSTQNFCSSWQNSLRKVVIQFQKGKLTCSQVQLVKFLAEKAAVLEEFDIEGGNQDGTDHINSKIATWRTHSAGACAGEVVIASAAVLPPPAEDTRWDRAWYKYNCDFPVLGKGPPWIWDGTGYKLHFPILPRRQHRPTSDRGY >ORGLA08G0095900.1 pep chromosome:AGI1.1:8:10692016:10692240:-1 gene:ORGLA08G0095900 transcript:ORGLA08G0095900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLTKGTFTGVHNVMEAHGPLMGRRAIAGPIAPRLDGPERLLRPSRGRTCHGEAVFLRQILFALHLSPGTPLA >ORGLA08G0095800.1 pep chromosome:AGI1.1:8:10683935:10684138:1 gene:ORGLA08G0095800 transcript:ORGLA08G0095800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRNIKQRGNAQDPRPQQGATVEAPREAVQEQTPPAEQRQDAQRRVIQVITRANPPSQLSKRQKKMQI >ORGLA08G0095700.1 pep chromosome:AGI1.1:8:10681455:10681679:-1 gene:ORGLA08G0095700 transcript:ORGLA08G0095700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLTKGTFTGVHNVIEAHGPLMGRRAIAGPIAPRLDGPERLLRPSRGRTCHGEAVFLRQILFALHLSPGTPLA >ORGLA08G0095600.1 pep chromosome:AGI1.1:8:10652749:10653066:1 gene:ORGLA08G0095600 transcript:ORGLA08G0095600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRTLGWIEAGGDRHSRSVESNTLVGWPGTFDELRSTGRRLGVALGSFGSYEGGMVICRLRLAARLTGGQSEVGAGCGLEVEQRWRYPWVNFYFWFEGVDKVLQ >ORGLA08G0095500.1 pep chromosome:AGI1.1:8:10631783:10633420:-1 gene:ORGLA08G0095500 transcript:ORGLA08G0095500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRLSELPDDLLIRILSFVPVKEAASTTLLSRRWRNPLWLETGTFNVDLTSEQFSNHAHNCLAMWRDEGDAREALRRDRRPRRLKKLSVTVTASRDDDNYYSDFSEYLNPFVFHGKCVRMLRNVEELRLECQIDAAGAGPLSSSSPPRYTYAMPGPEYNLRLHHLPCEDFRVLHLTGCSLKEEIHLCLRNRIAYPCLTTLRLRRCTVPLGELQRLITAAPALADVCLESVTFPDQGSVGGMTTDKRVRLHCPAVTAFAMVNCHMFCRSFELDAPALICFRYAQNSSYEQSISLKPPAPFLEKANLESISGTEIFRSLLHDMCHVKVLKLTVYSIVGDIKFERLPCFPNLKHLVIEELCGFAMGNGSAAAAATAIGELLQRCPVIRELWIRFSSSKYLHESPDLAGYLESMACRFDESDYCDRCAVSAADRGRQELNDCWKNSLRKVTVQFQKGKLTCSQVELVMFLVENAAVLEEFDIDGESQDVTDQINTKIATWRARSSSSREKEAHPAGVGAERPTRRPPPQHLHETRYLYNGWHPAFRR >ORGLA08G0095400.1 pep chromosome:AGI1.1:8:10626288:10628067:-1 gene:ORGLA08G0095400 transcript:ORGLA08G0095400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAHRIKRRRLTPPGAEEPILSPVQPPAVPSLAAAAAGGDGYPPWVILQPDGEREGEDEDDEDHPCLTPDAETATASHTSTGHPITVAFSLAAPPAPSRMWFRFSYDADSETRCNCSVIAAHGDSVLINIFYFSNASLHNHEDRFVYRASAAASDRSWARPPSLSMLPPPPVEYTPFQDATGILRRGVDDLVVAELTVEGKLRHDTLLGVVAKLLVFRSGEWGVKRAPINHGSGSGSSSSRGHDLPAPWPWETDMVVPVGDRLLCYVDLHHTTASSSSPTLSKGQTSCDRSSQAFVIRTWTLRIGDDVNGDDMAWEMDAMVDASELWSLDAYAGLPLVRPEYPVVNMDDPHLIRLAVTGARQEEGRTYSDETDKNYSSLIMVDTRKTMPSVPPFLHLPFNGETLVSRFSSYFNSNHSSNNGSGGGGGALPSKIHVNIEPPPPPAAVATGEPRTSDTAEPKIVLVLERFSWRLKGYSIQDMAGGDDMLKAYTILSQDNGHCFRSLLGLPMSFNFELGLAVDDDQE >ORGLA08G0095300.1 pep chromosome:AGI1.1:8:10602773:10608322:1 gene:ORGLA08G0095300 transcript:ORGLA08G0095300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNPVDSSGTDDDLPPTYQNRGVRGSGRVSGNGRDIVSAVPYNRTKPQTDMETQIHQLEQDAYCSVLRAFKAQSDAISWEKEGLITELRKELRVSDKEHRELLNRVNGDDIIQRIREWRETKGGLQADMVNNAQRSHDRMPSPTTSARKRQKTSQSIPSASVPVPSPAVHSQTLTAPMQPLSSATKKVAPPGTKGKKTKPGQKIPGGSAVKTMSSAGPSGRGPIMNKNLSGGLPTEPISVNPLIGRKVMTRWPDDNSFYEAVITDYDPQLDRYALVYDINTADETWEWVDFKEMAPEDIRWEGGDPGIIQQGRGATVHGGKKSSSRNGPMSGPGRGSRGPQKNTSRKEFPPSQNGVGKKSSGCIEILHTETLIKEVERVFSASNPDPLEMEKAKKVLKEHEQSLIDAIARLAEASDGESAEERAQPLQHNRGWRNHHGGNYANDMTIEGHMVGDADAL >ORGLA08G0095200.1 pep chromosome:AGI1.1:8:10597929:10599005:1 gene:ORGLA08G0095200 transcript:ORGLA08G0095200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKMAGLTPGFKFEPSDEQLVQFFLLPYLRELPVPLGGLVIRDDPRSVPPWKLFARNGRGDEEDAYFLAPADGEGRQARTCDGGRGRWITQRLERTGNLRLAGGGGSGEAVVFEKHRLNYHAGEGRCGSTGWVMHEYAVVKPAALGARHRACHIAFTGHGQKRKRVPDGYVDVEDDGSKASTNAAAAVPPSSTAAMSACPSNVTYNQGCHISPEQSIEQHFPAEHNNIQIQQQAYYQSQDHEQCQYSDEEKYLLQQIKQEQYYYNQQNCFLPGQGNQELYYNDEQQQIFSLPEHQCSQEQYCHHDDQQDCVLPEQHSQELHGYNNEEQGYLLPPEPIDQEEQALFVGGEPQMNNNP >ORGLA08G0095100.1 pep chromosome:AGI1.1:8:10586128:10586349:-1 gene:ORGLA08G0095100 transcript:ORGLA08G0095100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTKGTFTGVHNVMGAHGPLMGRLAIAGPIAPRLDGPERLPQPSRGRTCHGEVVFLRQIVFALHPSLETPLA >ORGLA08G0095000.1 pep chromosome:AGI1.1:8:10583081:10583533:1 gene:ORGLA08G0095000 transcript:ORGLA08G0095000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGKNAMQSTKEAAANVGASARAGMDKSRAAVQGQVEKATARNAADEDAAEVRRQERVQAAEEEKQHAMAANAAAKERATGGAGAYHPSQGAPGVDPRAAQPTGGHVQDGVAESRPVGTATGTARPSAAHNPHVGSDFSQAHGTGGQYQ >ORGLA08G0094900.1 pep chromosome:AGI1.1:8:10575995:10576153:1 gene:ORGLA08G0094900 transcript:ORGLA08G0094900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRMGEEIVVECAAAAAADGEDLGSDGGGGAGEDLASGSVRGGGRKRRPRG >ORGLA08G0094800.1 pep chromosome:AGI1.1:8:10565675:10569274:1 gene:ORGLA08G0094800 transcript:ORGLA08G0094800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAAAGMQMVAARPCISASQGMLTSRAAVSRIGRALSTTTGFATCPRICYSSPLGSSKRSGVAIRAMSSESGPQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEIVKVYPLDAVYDSPEDVPEDVKGNKRYAGSSNWTVKEVAESVKNDFGSIDILVHSLANGPEVTKPLLETSRRGYLAALSASSYSFVSLLQHFLPIMNPGGASISLTYIASERAIPGYGGGMSSAKAALESDTKVLAFEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLVSPLASAITGSTVYVDNGLNTMGLAVDSPTISS >ORGLA08G0094700.1 pep chromosome:AGI1.1:8:10555535:10557217:-1 gene:ORGLA08G0094700 transcript:ORGLA08G0094700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLRLLVIVVVVAGHCAAVASAAGNSSVVGYHGDPTFNVRNYGAKGNGQTDDSKALMTAWKAACAATGAVTLVLPPGTYYIGPVQFHGPCSKATTMTFLMQGTLKAATDLKRFGNDWVEFGWVNHLIVSGQNGAAFDGQGAASWPFNKCPIRKDCKVLPTSVLFVNNNNMVVQNVASVNSKFFHMALLQCSGAKISGVKISAPESSPNTDGIHIERSNGVSIADTTIATGDDCISIGQGNDNIDVARVHCGPGHGMSVGSLGRYVGEGDVTRIHVRDMTFHGTMNGVRIKTWENSPTKSNAAHMLFENLVMNDVQNPIIIDQKYCPYYNCEHKFVSGVTIKDVQFKNIKGTATTQVAVLLKCGVPCQGVVLQDVDLRYKGNGVSSSKCENVRAKYAGFQNPKPCP >ORGLA08G0094600.1 pep chromosome:AGI1.1:8:10548916:10553626:1 gene:ORGLA08G0094600 transcript:ORGLA08G0094600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QHP5] MVRRRRRSVLLLLLALTVLSPLVLYTRRLSAALNPNQRRDLPGEIVNQGRGVKASKLNALPLETVGSLKEPVGIVFSEESRESASKSTEPDSQEFLLRKAGKHKNRVLSEATAADSARSEDDDLIEQVTSKEGEDDGLATVSVDQQQITTASQQRSASEASSLENVPEQTSMENSLEGNKDGALLDTRIRNIRDLLIKAKVYLGLGAIRANPQYLKDLRQRIREVQKVLGDASKDSDLPKNANEKVKTLEQTLIKGKLMQDDCSVVVKKLRAMLHSAEEQLHAHKKQTVFLTQLAAKTLPKGLHCLPLRLANEYFLLDPSHQQFPNKEKLDDPKLYHYALFSDNILAAAVVVNSTVLNAKHPSHHVFHIVTDRLNYAAMRMWFLSNPPGKATIEVRNIEEFTWLNASYSPVLKQLESQSMIDYYFRTHRANSDSNLKYRNPKYLSILNHLRFYLPEIYPNLHKIVFLDDDVVIKKDLTSLWSIDMKGKVIGVVETCGESFHRFDRYLNFSNLVIVKNFDPHACGWAFGMNVFDLAEWRRQNITEIYHSWQKLNQDRLLWKLGTLPPGLITFWNKTLPLNRSWHVLGLGYNPHVSSRDIERAAVIHYNGNMKPWLEIGLPKFRNYWSAYLDYDQPFLRECNINP >ORGLA08G0094500.1 pep chromosome:AGI1.1:8:10537175:10539688:-1 gene:ORGLA08G0094500 transcript:ORGLA08G0094500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVSAGAASTPIHQIDIQGRTTKALHGCSIVIKSSTSVVGPYAWVRSGGGRNKLSVQTIGLTSAGGGWLVGPTGSGSKRGEEAPGGWVPPGGGSKGRGGRCRPGSARPQGRLAGYGEGGGSERSPAMATGGAGGSGVGRRRRHTGEAAARPGARGEREEKRAGGSASP >ORGLA08G0094400.1 pep chromosome:AGI1.1:8:10535675:10536091:-1 gene:ORGLA08G0094400 transcript:ORGLA08G0094400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSARARRGATGSRRCLGARKEEEAAALGTNPLLSFPLDAGGGRGADGRGGTDSTSRSPPRSGQKKGASRQWRQRRRSPRPRAAAPDRGGEPSARGGCWRRRCRLRVAPDFFCSLKLFSQVGRGPACKNHLFSQAIG >ORGLA08G0094300.1 pep chromosome:AGI1.1:8:10530050:10530593:-1 gene:ORGLA08G0094300 transcript:ORGLA08G0094300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYTARWIYMALMMWAHDQKGTSNSGEDLARQKGRVGGSSGMGEARNRNRGKAEEIAVGGWGRRTAARCVGNMGGGRDASAALVAIGVGGGGCEDVVGGGRWRGRKEERWTATAIQGAQRRGTDCDDSGGERCGGESKGTAAVTGKGNGRQDGRRPIWRNRR >ORGLA08G0094200.1 pep chromosome:AGI1.1:8:10525685:10529382:1 gene:ORGLA08G0094200 transcript:ORGLA08G0094200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLFLAAALLCLASSAQAFKKPYIVYLGSHPYGPDASAEEHARATQSHHDLLGSVLGSKQLAKDAILYSYTKNINGFAAHLEEEVATQIARHPDVVTVMASTMLKLHTTRSWDFMDMERDGQILPDSIWKHGRFGQDVIIANLDSGVWPESNSFTDEEVVGEVPKRWKGSCSDTAKYGVSCNKKLIGARYFNKDMLLSNPGAVDGNWSRDTEGHGTHTLSTAGGRFVPRASLFGYANGTAKGGAPRARVAAYKVCWSGECAAADVLAGFEAAIHDGADVISVSFGQDAPVATVASFLQEPVTLGSLHAAMNGVSVVCSAGNSGPLEDTVVNAAPWVTTVAASTVDRDFPNVVTLGNNAHMTGMSLETTTLHSTQLYSMIKASDAALASSDPAVASTCPPGTLDPEKVKNKIVVCVRGGDIPRVTKGMTVLNAGGTGMILANGEMDGDDIVADPHVLPATMITYSEAMSLYKYMDSSKNPVANISPSKTEVGVKNSPSVAAFSSRGPSGTLPCVLKPDIAAPGVDILAAFTEYVSPTEVPNDERRSEYAILSGTSMACPHISGVIGLLKAARPEWSPAAMRSAIMTTARTQDNTGAPMRDHDGREATAFAFGAGNIHPNRAVDPGLVYDLSKEDYFVFLCSMGFNSSDLAKLSAGNFTCPEKVPPMEDLNYPSIVVPALRHTSTVARRLKCVGRPATYRATWRAPYGVNMTVEPAALEFGKDGEVKEFKVTFKSEKDKLGKGYVFGRLVWSDGTHHVRSPVVVNALV >ORGLA08G0094100.1 pep chromosome:AGI1.1:8:10520004:10523480:1 gene:ORGLA08G0094100 transcript:ORGLA08G0094100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASASSLPASAGAGENLVLILDFGSQYTHLITRRVRQLGVLSLCVSGTAPLASLAGLRPRAVVLSGGPHSVHASGAPTFPEGFLEFAAGAGAHVLGVCYGMQLLVQSLGGAVEAGEKQEYGKMEVEVTARSSALYGEGEVGKRQTVWMSHGDEVVRLPEGFEVVARSVQGAVAAVENREKRFYGLQYHPEVTHSPQGMETLRRFLFDVCGIKADWKMQDVLDEEIRTIQSMVRPDEHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYNERERVMLTFESDLHLPVTCVDASEQFLSKLKGVKDPEMKRKIIGREFIAVFDDFAHKLEQKIGKRPGYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRKLGSILNVPESFLKRHPFPGPGLAVRVLGDVTEGNALEVLRQVDEIFVQAIKDAGLYDIIWQAFAVFLPVQTVGVQGDQRTHSNAVALRAITSEDGMTADWYYFEREFLVDVVNKICNNVRGVNRVVQDITQKPPATVEWE >ORGLA08G0094000.1 pep chromosome:AGI1.1:8:10512482:10517121:1 gene:ORGLA08G0094000 transcript:ORGLA08G0094000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAGAMVRFVAAFLAAVLVMMVPCAPRAAAAVGVNWGTVSAHRMPAPVVVELMRANRIGRVKLFDADQAALRALMGSGLQVMVGITNEMLQGIAASPAAADAWVARNVSRYVGPGGADIRYIAVGNEPFLTSYQGQFQSYVLPAMTNIQQSLVKANLARYIKLVVPCNADAYQSASVPSQGVFRPDLIQIITQLAAFLSSSGAPFVVNIYPFLSLYQSSDFPQDYAFFDGSSHPVVDGPNVYYNAFDGNFDTLVSALSKIGYGQLPIVIGEVGWPTEGAPSANLTAARAFTQGLISHVLSNKGTPLRPGVPPMDVYLFSLLDEEQKSTLPGNFERHWGVFSFDGQAKYPLNLGLGSPVLKNAKDVPYLPPRWCVANPGRDLNNVENHLKLACTMADCTTLYYGGSCNAIGEKGNISYAFNSYYQLRKQDAQSCDFDGLGMITYLDPSIGDCRFLVGIDDSRTSTIYSSGDCSRRRFGLWILAFLVIGFLQIGVYL >ORGLA08G0093900.1 pep chromosome:AGI1.1:8:10505594:10507372:-1 gene:ORGLA08G0093900 transcript:ORGLA08G0093900.1 gene_biotype:protein_coding transcript_biotype:protein_coding APKRGGRAPVPAKKKTEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKAREKLLAKEAAQRMT >ORGLA08G0093800.1 pep chromosome:AGI1.1:8:10496650:10499835:1 gene:ORGLA08G0093800 transcript:ORGLA08G0093800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKEGTLQALAYFIVPSELPSGIDPDAAFIVCVRFGQYTAKLDDGSSVHVPSTYAEWVVDYRQCTLESLEKDFAARVKWGRCQQVVVYGYDKRTGEETKFLDNMDLAHALFDWKRERRLILFVDVEDKSGQLVTSSSISEVNELVMGEVVTAKQGDALDSSNQHLIDWDSFKISPILEEQIGSSVPMMKEDEMSIPQEQVPQHVIDWDGLEIAPIPEEQIGSSLPVMEEDEMYDFLGLRAEDERADQARLEAEKQRDSAPGPAPRLAQRDLNLDEAEIDARLEAEIFYDRDDPPMIVGSSYGSMVEFRSAVRQHAIKGQFELGTEKSDPERFRGYCKAEGCPWAIVARLMPDGKSVKVTLNRFAHACTSIEGVKTKMVSYKWVAEKAIPFLKKDPNMGAKKLKEELETKYNVTVGYSKVWQGRQKAVEQIFGSWEESYLFNFKAEVELKMPGSVVEIDVQEDDDGIYFCRFFCAFKPCIDGFMNGCRPYLSIDSTAFNGKWNGHLPFVTSIDGHNWMFPVAFGFFQSETTDNWTWFMQQLHKAIGKPSHLAISSEACKGLENAVKSVFPWAEHRECFCHLMQNFVEKFPGPMYGNMYPAARSYMQDRFEHYMNIIHETNSDVKPYLETYHKLLWMRSKFSEEIKCDFISNNLADLWNKWIKDMKDLPVAELADAIRSKIMDLLARRKKIGEKLDGEMLPIIVRQLNAMTRSLGHLRVVQGDRDQAEVAEITPEHEIIRHRVNLAKHTCTCREWQVSGKPCPHALALIISTRNPRMADYLDPCYSVQKYKLAYAGVIHPLSDKSQWPKVNLGFNLLPPLTKKDVEKQRKNMIVGCLDKEQGKLRTKGKWQVQCKSCLGMGHRATSPKCPLNSQKIMKNSDKQGRPLGSTSCAAGASTPKRQKVSRNDSSNASPGPVSERQLALTKAAGEDNFYCA >ORGLA08G0093700.1 pep chromosome:AGI1.1:8:10493423:10493860:1 gene:ORGLA08G0093700 transcript:ORGLA08G0093700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLLVNVHLPLILPTTNELARDKERREREGKGKGLRDGGAPLPSATSPALIYAAEERRRWSINPTELQEAAKGNEIWRSPPLLALFKFPGVDKPAPPISLVLLQPRAQLVLPPPSSLALLAPTILLLPSHRINMCHNSQIVAI >ORGLA08G0093600.1 pep chromosome:AGI1.1:8:10490623:10492308:-1 gene:ORGLA08G0093600 transcript:ORGLA08G0093600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G47790) TAIR;Acc:AT5G47790] MYRGGLDRFKKAQALEPFSVQSGSAAKNVPVAARTAKGPPAPLTLPQNSHVGTSQSHPSPQGASLRVAGQETGAPGHAGTQVGGGQSAWQPPDWAIEPRPGVYYLEVLKDGDVIDRINLEKKRHIFGRQVPACDFVLDHQSVSRQHAAVVPHRNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRTYILRKNSAAFFPTHSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDLSRSKDSSGDASGANDDNEPAGRPLKRSKKLRVSFRDQVGGELIQVVGISDGADVETEPGPVGVKEGSLVGKYESLVQVTVIPKGKEQPSPKESASPSGVTDKLQQVLTKVKSTAKGGIYDDLYGDTVPQLLGPSWAYRSDDQAEKVKAADEKKSSGNMDTNSADDNDDLFGDL >ORGLA08G0093500.1 pep chromosome:AGI1.1:8:10484105:10485316:-1 gene:ORGLA08G0093500 transcript:ORGLA08G0093500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELHEQRQRPTHAARIGGEGQQPGAAFIDAVRAQSIHGSAAAAAEAAGERLFNGDLLQEANGSKYQQQKLGDVPSSQPHHLNQQVTPALTACRSTTSRRLRRGASSARPPLLLLLRRRLRAIPNVIPSEHGRAADHAGFLDTVDPLL >ORGLA08G0093400.1 pep chromosome:AGI1.1:8:10467039:10472473:1 gene:ORGLA08G0093400 transcript:ORGLA08G0093400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAHLAPLFLLLLLLLPLHAAATPSAHPAYPNEPPSCAAAAAAVPVPERREAHGGGRILDITHYYREDMPSWESDGGVGQFLWLPASMRNGSRANNSEMRLPTHTGTHVDAPGHVFQHYFDAGFDVDSLDLEVLNGLALLVDVPRDDNITAKMMESLHIPKGIQRVLFRTLNTDRQLMWKKEFDTSYVGFMEDGAQWLVDNTDIKLVGIDYLSVAAFDDLIPSHLVLLKNRDIILVEGLKLENIMPGIYSLHCLPLRLRGAEGSPIRCILIK >ORGLA08G0093300.1 pep chromosome:AGI1.1:8:10461607:10466709:-1 gene:ORGLA08G0093300 transcript:ORGLA08G0093300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAPSGSSADATASSSSSAVEDLAPGMAAMSLQDRFELLRGIGEECIQEDELMNLLQKKPVPICYDGFEPSGRMHIAQGIVKTINVNKMVRAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWRAAGMNLDGVEFLWSSEEINNRANEYWPLVMDIACKNNVKRIMRCCQIMGRNDSDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCTDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEAQVNLKIKQAFCPPNVVDGNPCLEYIKYIVFPWFEMFEVVRKEANGGNKTFTNMDELIDDYKTGALHPADVKPALAKAINQILQPIRDHFNNNSEAKILLNTVKKYRVTN >ORGLA08G0093200.1 pep chromosome:AGI1.1:8:10452300:10455859:-1 gene:ORGLA08G0093200 transcript:ORGLA08G0093200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKMKEHDGASPAKIFIGGLPKDTTMGTFKEYFEKYGEIVDAVIMKDRFTQKPRGFGFITFADPAVVDRVIEDNHVINGKEVEIKRTIPKGAAPLKDFKTKKIFVGGLPSALKEDEFKEFFSKFGKVVEHEIIRDHSTNRSRGFGFVVFDAEKTVDELLAKKGNMIDLNGSQVEIKKAEPKKPSNPPHRSFDSEPRGRPHADGYDGLGNSYNYGGSFGPYRSPGSFGTRPGGYSSSYGPGDYGSGYGAYGGALGGYRGESSLYSSRFGSTYGGSFGGGYAGGLGGAYGRDAGGFGGSSYGPSYDSSSGPGAGVGFGTGGLYGARGGYGSSGAGASGRYHPYAR >ORGLA08G0093100.1 pep chromosome:AGI1.1:8:10442497:10445176:1 gene:ORGLA08G0093100 transcript:ORGLA08G0093100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVNDHPIEEVRNTVPVTDDPSEPCLTFRVWVLGLSSCVFLAFVNEFFMYRTTQLSIGTVVVQIITLPIGRLMASTLPARRLRVGGWSFSLNPGPFSLKEHCLITIFAGAGASGVYAMNIIAIVKVFYKRQISPYAAMLLAQTTQLLGYGWAGLFRKYLVDSAYMWWPSNLVQVTLFRAMHEEEKRNKGQLTRLQFFIMVMTCSFAYYIVPSYLFPAISTISVLCWLYRDSVTAQQIGSGASGLGVGSFGLDWNTVVGFLGNPLASPAFAIFNVMAGFALSTYVAVPILYWTDTYNAKRFPLVSSHVFNAAGGRYDTARILDPATFTLNLREYDAYGRINLSILFAINYGIGFAGLMSTLSHVALYHGKDIWGLWRKATAEQANGGGKERQDVHTRIMKRNYKAVPQWWFHLMLAIVMALSLYTCEGFGRQLQLPYWGLLLACAIAFTFTLPIGVISATTNMQPGLNIITELIIGYLYPGKPLANVVFKTYGYISMTQALTFVSDFKLGHYMKIPPRSMFMVQLAGTVVASTVHFATAWWLLTTVRNICDVDSLPPGSPWTCPGEDVFYNASIIWGVVGPLRMFGRLGNYWQMNYFFLVGVLAPVPVWLLSRRYPRSALLRDINLPLVLAGASGLLPARSVNFVMWGLVGFVFNHVVYRRCRAWWMRHNYVLAAGLDAGVAFMGVLTFVSLGYFDIYGVQWWGGAADDHCPLASCPTAPGVFARGCPPVPS >ORGLA08G0093000.1 pep chromosome:AGI1.1:8:10432687:10438920:-1 gene:ORGLA08G0093000 transcript:ORGLA08G0093000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLANMKTLRSYMSDLEEEATKRSAEEQRQRTAIDAHGADLAQVRAQTKQASEESEQLSKARAELCVEISEKQGRIATLEIECATLKQTLELLHQEIASTSSKLIEKRLFYTKTIESLTVKLQEQQEWLGAFKLKVEASQSKQNLQGQSHGILNSCGSLDKGNDIGSKQGELRIQLESTKHKIDEIKEKQSALLTEISESKQVIEQEKNAISGFLAPLQQMDMKSLEEEHKALQADKAGEIEYFQSLEERINEMKGVSDAVKCRCGLEYKVELGGEAMDLS >ORGLA08G0092900.1 pep chromosome:AGI1.1:8:10425368:10429092:-1 gene:ORGLA08G0092900 transcript:ORGLA08G0092900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLASPSVYRASFPCASSASRSPSRARLAVTPAGAGARARARSLLRCGAKDSILEELAQDDMVNAVELAQWENGKSINDIAASQGIRIRRHCRPNVPLKEIEEELGAPRNILEKIIWDKEVEVAEGHAKKPLKEVIEAAGQAPPARDFYGALASAFKRNGMPALIAEVKKASPSRGVLRENFNPVEIAQAYEKNGAACLSILTDEKYFQGSFENLAKVRKSGVKCPLLCKEFVIDKWQIYYARSQGADAILLIAAVLPDLDIKYFLRICKELGMTALIEVHDEREMERVLNISGVQLIGINNRSLETFVVDTSNTKMLLDMHGDTIREKGILVVGESGLFNPDDVAYVQNAGVSAVLVGESLVKQDDPGRAIAGLFGKELLH >ORGLA08G0092800.1 pep chromosome:AGI1.1:8:10422223:10422444:1 gene:ORGLA08G0092800 transcript:ORGLA08G0092800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMVDGDSIWYTMEVLCAYEMQSRIWKESKFGMIGYVKFVSCTRGFPKVFRTSSMSLVRGFRLPTSCINRGGA >ORGLA08G0092700.1 pep chromosome:AGI1.1:8:10411399:10414931:-1 gene:ORGLA08G0092700 transcript:ORGLA08G0092700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQICDKFIEFFMYKKPQTKDWRKLLVFREEWERYRPYFYKHSQARIDMENDSSMKQKLVVLARKVKKIDDEIEKHMELFTQLHENPTDINAIVVRRRKDFNGGFFQHLNFLVKDIMYHIYTTTKESLKSISPPEMKLLKYLLNIEDPEERFGALATAFSPGDEHEAKDEDALYTRLGMLIILLLK >ORGLA08G0092600.1 pep chromosome:AGI1.1:8:10409953:10410417:-1 gene:ORGLA08G0092600 transcript:ORGLA08G0092600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLRLLLLAGAATAAAVAADNATATGGSGDGATGNNNNNNNPTICSGEGCQPPPGQPLPIYGYPSPPPPSQPAGPSSHTPPCPPAAVVCCGGGGGGGQYTPQQPYYYAAPAGYAPYYNNSAASPPVLLAHAAVGYYYYVMAAYLLLWLVV >ORGLA08G0092500.1 pep chromosome:AGI1.1:8:10390949:10393430:1 gene:ORGLA08G0092500 transcript:ORGLA08G0092500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLRRLAVVVAVVALTAATAAEGYNITKILGDHPEYSQFNKLLTETRLAGDINRRRTITVLVVANGDMGALSGGHYTLPTLRHILEMHILVDYYGAKKLHQLARGDTASSSMFQESGSAPGTTGYVNITQHRGGRVSFTAEDAADSATPSSFVKSVKEIPYDLAVLQISKPLSSPEAEAPVAPPAPVNLTELLSKKYCKNFAGLLASNADVYSNINATKDNGLTLFCPVDAAVDAFMPKYKNLTAKGKAAILLYHAVPDYYSLQLLKSNSGKVSTLATASVAKKDYSYDVSNDRDSVLLDTKVNSASVTATVKDADPLAVYAISKFLQPKELFKVTEDLAPAPAPEGPKKKTKKKKPSTTSAAAAPSDDSSAADSPDGTPADDVADKAAAAPSVLARWVTAAATVAAALALAA >ORGLA08G0092400.1 pep chromosome:AGI1.1:8:10388750:10388968:-1 gene:ORGLA08G0092400 transcript:ORGLA08G0092400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSYPTRILVDSSGQKCGAFDKGARTSCNIAHPCRRAAPSNVARIFALGGVRPRSTVERWACPKAARLLL >ORGLA08G0092300.1 pep chromosome:AGI1.1:8:10374329:10374744:-1 gene:ORGLA08G0092300 transcript:ORGLA08G0092300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGATGRLPATAASFFTATNISKTALEKVEEGIKCHADQGGGRLGSQSHHRPGPHTLTSVCRLRCHAVPRRTAASSMDAVDKDRWTLRSTVPPLFQFDFSNSHLEDMLPSLRLE >ORGLA08G0092200.1 pep chromosome:AGI1.1:8:10364218:10365444:-1 gene:ORGLA08G0092200 transcript:ORGLA08G0092200.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIEHATGIMEEENVPERLSKGVSTLSLGERKEAFLLDMLESYESALGESNTKTPCRIARFPPPFHSVPCNPIVLDMAYNAIEFPNIENRMKKEKKGLLSRFWG >ORGLA08G0092100.1 pep chromosome:AGI1.1:8:10347952:10350152:-1 gene:ORGLA08G0092100 transcript:ORGLA08G0092100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1QHM0] MALLRAAAAADLLRRHRRAPLPLLLSALSPPPPTTPESCPDGPSPVAPYFAPPPPPLCRRRRSWPPPPPPPRVSSSSYVGRGILLPATFSIYSPLSTSSSASPDGSPDEDSSPPHPSPAAAPPSSWVDRWLPQAARPYAMLARLDKPIGTWLLAWPCFWSIAMAAMPGELPDMRMLALFGCGAVLLRGAGCTVNDLLDRDIDNKVERTKSRPFASGILTPTQGVGFLGLQLLLGLGILLQLNNYSRILGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWAAIKESLDPAIILPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDLTKHWISGFGVACIGSLALSGYSADLAWPYYPFLAAASAQLAWQISTVDLSDRLDCNRKFVSNKWFGALIFGGVLCGRLVS >ORGLA08G0092000.1 pep chromosome:AGI1.1:8:10336828:10339189:1 gene:ORGLA08G0092000 transcript:ORGLA08G0092000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYQMLAAILQALLIAQMIHGQSDKEVLVQLKNFLQVQNPINHGAYVSWSESEASPCHWKGVGCDDAGHVNSLDLSNSNIAGPLFRNFSRIMRLTHLNLSSNSITGELQDDLKQCQSLQHLNISNNLIGGILDLSSLTNLQTLDVSQNRFQGRIDRNFPGICGNLTFLSVSSNSFTGRIDKLFDGCPKLKHVDLSWNGFTGMVWPGIERLRQFKANNNNLTGRISPGMFTEGCKLRSLNIAINSLHGSFPSSIGNCSNMKFLSLWENSFYGSIPPGIGSIARLEELVLASNSFDGIIPMELTNCTNLKYLDISDNNFGGEVQDVFGKLTCMRSLLLQENNYTGGITSSGILQLPNLIVLDLCYNQFSGDLPSEISSMKNLKVLMLAENNFSGKIPPTYGQLLRLQVLDLSFNSLSGEIPPDIGNLSSLLLLILAGNQISGEIPREIGNCTSLVWLNLAGNQLMGQIPPEMANIGSNPSPTFMENRKNPELLESITSKCVAVEWLPSSYPEFNFVQSLMMSQKNCQTIWNRLAMGYDVLPISSPLRTALGYVQLSGNLLSGEIPSAIGTMKNFSLLLLDGNRLSGHLPAEIGHLQLVALNISSNFISGEIPSEIGHMVTLESLDLSSNNFSGALPSNLNQLTKLSRFNLSYNPLLSGNVPSSGQLSTFDEQSFLGDPLLSLNVTAGSSSDSSPREFSSSDTEEHPTNEEIMVTTIAFLAFFSVTLLTREFHIFMYLYFTASRKIANCRIICT >ORGLA08G0091900.1 pep chromosome:AGI1.1:8:10315772:10316410:1 gene:ORGLA08G0091900 transcript:ORGLA08G0091900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQPEVTSVAAHMWKIGCYYVREVHGEFRIDEKAFNMNGLYIRKGYQVYFPSNQTACHSELSTNGNCKLKTSCAGRPGVAQVRTMVMSVGFARHGWMELYYPMAHLGLGRSPSIISPWIEAFQNHQFQDKKEKIQFGLGLGQLGHTWTKTLVSLLFVVRLGRVSTRWKANFMAHVVDRAQDTNLFWFHRKSRNKLTVLQRSFHIVLYLILS >ORGLA08G0091800.1 pep chromosome:AGI1.1:8:10309898:10310551:1 gene:ORGLA08G0091800 transcript:ORGLA08G0091800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G54500) TAIR;Acc:AT1G54500] MALAMAPRLVHHPCCMMLSKNPRTPPPPPAMHHHHAHKPLITALTSTSSFLLRSVDVSKDDKPLETATTTTPPTPAPAAAAPETEQAEAVASPELELELEEGPKVDPRRLEEKFAVLNTGVYECRSCGYRYDQAAGDPSYPVPPGLPFEQLPDDWRCPTCGAAQSFFESKSVEIAGFAQNQQFGLGGNSLTGDQKALLIYGSLLVGFLFFLSGYFLQ >ORGLA08G0091700.1 pep chromosome:AGI1.1:8:10308926:10309129:1 gene:ORGLA08G0091700 transcript:ORGLA08G0091700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIVIVNVMRDSMNFILYECIVFRQKNDELDNFRGCDTNCHQPSPLIMFEFLSCFPSFSDYFILCG >ORGLA08G0091600.1 pep chromosome:AGI1.1:8:10302826:10303934:-1 gene:ORGLA08G0091600 transcript:ORGLA08G0091600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGANASLLLELESMVARRLDQATLGVVMIPCTALLDVPLVMCLVRGFLKDATRMARLVDAYLVESALEARLRPAEFEESSPAPSDGLKYYDLVEGKGPTAVKGSIANSFSGSRTTYSWS >ORGLA08G0091500.1 pep chromosome:AGI1.1:8:10289941:10300546:1 gene:ORGLA08G0091500 transcript:ORGLA08G0091500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse E/G-like [Source:Projected from Arabidopsis thaliana (AT2G04270) TAIR;Acc:AT2G04270] MAARALGPPPPHALGATRQAPRCPHAAAADASAPPTHGYRRGAAALGSALSARRGRHTLCSVQLMDALRGGNLQVEPNMLHSPKPLMSTRRDDSAITCKGFCTISWNLKSDVLDGYIIFVTGDPVTLGCWESDMAVQLSPSVESNNLWTAEIKVPYGVHFKYNYFVREENDASSDIIWRPGPEYSLSIPPVGRKKHVIVVKDLWMKTSVAGIPTPSWGSWLMEANFLEDQFAKSGEHQNIVKAHSVIDTVDRASSVGEHIILRLGNGTPLHVKNISENPSASVHDDFTVTDKANSIKSSISEHERNQPVEEPWILGSVMAAKKSVAAGKHEKNRWKFVNKKQNLSEVSENIPEQDQPVEEPWLFQSKVVAKKPVVQTKGKIEAKDIIRKLRKMDKPPAPLEEDKATSGEPSSRVIVINSSVCTMQRIAVLEDGKLVELLLEPIKNNVQCDSIYLGIVTKLVPHMGGAFVDIGLSRPSLMSIKQNRDPFVYPQIVKNAKRDSANFSDYNDDSLPTYEDEDDDMTDGELADEENDDESSAFPAEVVSENEEHMAFLPNSKINMIHSAEFESISSYDEEKDDEIDDHMEDEYNEDLLPGDQSEVSNDLKTLSSIQHALRESSDDTNGSRWSQVRKGTKIMVQVVKEGLGSKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGITKLLRPPGFTLTARTVAAGHSWEELQKDLDRLLSTWKGIIEHAQSAALAAEEGVEGAVPVMLHRSKGQALSVVQDDFNEKVKRLVVDSPRTYHEVTNYLQEVAPELCNRVDLYEKRTPIFDEYKIEKEIDNILCKRVPLHNGGSLVIEQTEALVSIDVNGGHSMFGQGTSQEKAILEVNLEAAKQIARELRLRDIGGIIVVDFIDMTDDTNKKLVFEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISEPCPCCHGIGRVEALDTSFSKIEREICRRLAASGHKSDPEKPKSWPRFVLRVDHEMCTYLTSGKKTKLGLLSSSLKVWILLKIARGFARGAFELLPYSDEKETDERKEETSESPPKEAGSPKLSVFPIKKWMSRAKRAK >ORGLA08G0091400.1 pep chromosome:AGI1.1:8:10273669:10282062:1 gene:ORGLA08G0091400 transcript:ORGLA08G0091400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEIEGAADDGVPVPAPPNGRRYRPVGSSDRAVIQMTSMEPGSSSSTAVAAVSGITPQPPRNLTVDPSMQEDHTVSQGDSKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDVAITIGRPKETGPKFGTMMGVFVPCLQNILGIIYYIRFTWIVGMAGVWQSLVLVSFCGACTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPSAGFFKESVTVVNNTLVNGTATASTATISTPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSITTFKDNWGSEYQRTNNAGVPDPNGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLSATLTTTAMYLFSVLLFGALATREELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVSEGAEPHSATLFTAFICICCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCVVIMFLISWSFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQLDTYIEYKRCEGVAEIIVAPSMSEGFRSIVQTMGLGNLKPNIIVMRYPEIWRRENLIQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKETFESCKIQVFCIAEEDTDAEELKADVKKFLYDLRMHAEVIVVTMKSWEPHMESSSSGAPQDDSQEAYTSAQRRISTYLSEMKETAQREGHPLMEDGKQVVVNEQKIEKFLYTMFKLNSTILRYSRMAAVVLVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYRRDVVTFFT >ORGLA08G0091300.1 pep chromosome:AGI1.1:8:10259899:10260627:-1 gene:ORGLA08G0091300 transcript:ORGLA08G0091300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDGGSGPSKPPPPPRYVMLTEEYGSSSLATVPPGARRNMPRHRITDGGGLRGCLCWCCCFLLLLVAAVAATSAYLLYACRPKAPSYSVSDMSVARFDVSSSDLTVYAKLVASVRAENPNDMVGIGYGAGSRAAVSYRGTTLCSGRLPAFYQGHRNTTVVRVAMEGRHGFGPGLQGALEESEEAGNVPLDVYVSAPVTLRLGDVDLREVTVKVHCALVVDCLSPKKKPAIKSAEYRVNVEF >ORGLA08G0091200.1 pep chromosome:AGI1.1:8:10255784:10257455:1 gene:ORGLA08G0091200 transcript:ORGLA08G0091200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSCERCRRRDEQDYRNLDDSQKHFLLTMMGDFQHEMIIPKEFVQRLKGDIPGEIQLETRNRNSHTVRVDKTQEKVIFTEGWAQFVKTFDLQMGDSMMFRFNGNSQFDVIIVDQIGREKACSAVVDDSQNPNVQERRVDATETLNSSRAHSQPMPMQSTTETVNHSHARPCPMHTAVDCMPLSHAHPQPMPMQFPTETVNHCHAPTGPMEMPLENVALSHAHARPLQMQSQPTDRLTQVQRGNSSKGNMTTMSSSSMSSGYNSIIICIS >ORGLA08G0091100.1 pep chromosome:AGI1.1:8:10209075:10209853:1 gene:ORGLA08G0091100 transcript:ORGLA08G0091100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMCSCWLGQSMRQLVGGVPLWVGKNLGCSRLPEIGVMVNDGNDIVLAINMSPGLPTRQLQCPRKDSRSSDVSQQRPQSFGVL >ORGLA08G0091000.1 pep chromosome:AGI1.1:8:10204528:10207540:1 gene:ORGLA08G0091000 transcript:ORGLA08G0091000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWCERCRRRDEQDYRNLDDCQKHFLLLMMGDFQHEIRGEQIVEQLTIPKEFVQRLKGDIPEEIQLETHNRNSYTVRVDKSQEKVIFAAGWAQFVKTFDLRMGDSMMFRFKGNSQFDVIIFDQVGREKVCSVAVDDYLDPNVQEGRTDATETLNSSRAHSQDDYLDHNVQEGRTNATETLNSSRAHSQPMPMQTPATETLNSSRAHSQDMPMQSPATETLNSSRAHPQPMPMQLPTETVNHFHAPHYPMQMPIENMALSRTQAMPTQMQSPPTYRWTQVQRDNLRYSLPSEDQGCRVGVIPDPIIGRRTKLNPVQEKVVNFKIQHIHSEVPIFVAVIKRSNVSGVLSTLSVAKRYVDEYLGGERFISLSRLGGKWGIRLAGGGGSGTRMV >ORGLA08G0090900.1 pep chromosome:AGI1.1:8:10191527:10194995:1 gene:ORGLA08G0090900 transcript:ORGLA08G0090900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLGFRHFDSSPDLANMLDTGRGCLRETVGEARQAGVQGMEGAGVLHLAAINGSMNVVRYLVDLDKEMGSSLIDEADMLRVLVLLGGRKVWEFGSGNGAWGGGDCKMVKLLLAKGAYVDPLSDCGTPLHLAATEGQDGTMKILLDHKADYNKMVLGMTPLFVAINHASEKCAKLLVKAGADINGDYVLTALTDTSSPSSTQCLHCLLE >ORGLA08G0090800.1 pep chromosome:AGI1.1:8:10185695:10186868:1 gene:ORGLA08G0090800 transcript:ORGLA08G0090800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGVATLSLAPSTSGCVAEELPPRASLPFPNTPRSRVPLAWLGWQTGRAAKSAMWESAALSSLAMDSGRGRPLSSRCPLPCVVQMEMAMVCYGVANDVSAFANDDGTAVESEPLDVGRQVRQ >ORGLA08G0090700.1 pep chromosome:AGI1.1:8:10091400:10093522:-1 gene:ORGLA08G0090700 transcript:ORGLA08G0090700.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSISEIAFELKEIRRFRRVTSHIPRRIVTTLSIKERIPVMSYASCVVIALPRAARAMSDLLQLGSCPVGLP >ORGLA08G0090600.1 pep chromosome:AGI1.1:8:10036056:10061027:-1 gene:ORGLA08G0090600 transcript:ORGLA08G0090600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:subunit of exocyst complex 8 [Source:Projected from Arabidopsis thaliana (AT3G10380) TAIR;Acc:AT3G10380] MSRTGGRRRIFDGLPIPADKSYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESAESITGLKGEMAEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLMAEKQLYAAVQLHVQSMLMLEREGLQAVGALQDVRSDLAKLRGVLFYKILEELHSHLYNNGEYSSVTLSMVDNEELPTSTATGRLVNSMQPLSRRTRSIKGDNHFGASADGIPKTSSVGGSSFDGPDDDSSIDMHESDGGRSRRDSKSISREVPIFLSCATPDEFLESVTKADASLSVKYLRTLVQCLSMLGKVAAAGAVICQRVRPTIHDVITSKIRAYSEETSKSNVNKAANENSDVSHSNGRAARYQLLKQKTKNGASLMASQLVVSPISPAMAPTGDAQCAASQLLSAIFECLVDILENHITVGELLEQKSSTEVDNANTPHMANGDASWNPDSESSQATGGFTVAFSLSVVQSECQQLLCEILRATPEAATADAAVQTARLANKDPVKEKRDGSEGLSFAFRITDAAITAPNEGQGWRRNSTVPQEGYGTASVIPDQGIFLAASVYRPVFEFMNKIGLMLPQKYWQLGNDGLLAFVNKFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVHATSVYSPLVENGRPVLQGLLAVDIIAKEVLGWVQLMPNYATELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRNDVESLMRLDPANLSLQNSFGQLDHSIPDAEAVEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFINSSTMLENKNHIHQGRHTRSTSAIPKSLASLANEYRRLAIDCVRVLRLEMQLETIYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEMAPYIAESKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSIALEQALAAIPSIDSEAVQQRIDRVRTFYELLNLPFESLLGFIAEHEYLFSAKEYLSVLKVNVPGREMPMDAERRISQILGH >ORGLA08G0090500.1 pep chromosome:AGI1.1:8:10034483:10035055:1 gene:ORGLA08G0090500 transcript:ORGLA08G0090500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRREMDASSSAEVTGRCGGGGGGDDGGGGGDEEIRCRRRRRRRRGDAASVSAEETRRCGVVVGSGGDVEMRRWRRQRRRGDAARRRRRWMPRRRGAPAPRPSVRGFVPRDTVKAQATTRCRRFPNCPLFFFFTSSGTRCCVSAFRSLLQCCFVAVGFLLLVDLGRKCDCLSGRCDAQGVSDRWMRCC >ORGLA08G0090400.1 pep chromosome:AGI1.1:8:10031452:10033748:1 gene:ORGLA08G0090400 transcript:ORGLA08G0090400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATPTISGEGSGLGELQVSESAAVAVALAGSGWRPNKVXIHSGXSQASMGSVGSVRGGTGIGLASAWLGLAEKRSGKRRKRKKEERHXGEKYRNRXXKGWRKIKWMEFELVXNLSGVIFGIALNLDQRNYLKGEIHFVIKLNEKRKYEINMDGASGVVHAQLVNVARDESPVCQALEVAGGAGWDGMDGCPTNCERRSFTGNVTTSYLPFSVVLPASASTARERGEKGSTKLGLLGRMSVVLNGR >ORGLA08G0090300.1 pep chromosome:AGI1.1:8:9955777:9956757:1 gene:ORGLA08G0090300 transcript:ORGLA08G0090300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIFGLDEEAEDDGLGAADLTTMMMGSTSSWGNDDRTKATATGEGAAKEEGDEVQSTAATAQQGGAPGMPGTSTARRGRRQRQNGLEAPGSTGRACRRWRMTMFWTSASMAHQLTSGGEEEAAAKRMVATPGSEEVPNAGEGRLELRDGGGAAAWERGGGGLRCGERGGGQGSFL >ORGLA08G0090200.1 pep chromosome:AGI1.1:8:9950944:9952023:-1 gene:ORGLA08G0090200 transcript:ORGLA08G0090200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMQAELRSLRQSRAAQGTTSAAQGATGQGAHGAGQDGQGANAPVSGVSLIQWIGMKLDSFDGSGTPVEAADWLSYVEDKMEVFDVLAPDRIRYGTQLLKGEAQIWWKGVQSAHTAAHGPLSWPEFVRQFERRFYPATFLDRMKIDLNNYTQEKKSVAEYEVGFNQIVRFVPHVARDEVEKARQFQQGLKPSIRHVLGAFPVVDFRTMVEQALGVEMQQVYTFVMQKSSGGDQTQNHGDRNSNSGGPVHKKSSSQRHHPYHGGTGQPRAQGGSNTKYRAFPKPGMGMVCFRCGDAHRRTECRWAGKCSICSQDNKDVVCRKNPNGKLRWEAVTSSATTGTVQTMATPSTPHLPASHVQ >ORGLA08G0090100.1 pep chromosome:AGI1.1:8:9857409:9857690:1 gene:ORGLA08G0090100 transcript:ORGLA08G0090100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIQREEDSRGVDSDCRAAPTSDGRHDFMRTPIWACKYFMERLSSLLSNGSSHMSISVLERPQLSFYSRLFSVHGAASPLLAQWAVYPSWAH >ORGLA08G0090000.1 pep chromosome:AGI1.1:8:9848791:9849072:1 gene:ORGLA08G0090000 transcript:ORGLA08G0090000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIQREEDSRGVDSDCRAAPTSDGRHDFMRTPIWACKYFMERLSSLLSNGSSHMSISVLERPQLSFYSRLFSVHGAASPLLAQWAVYPSWAH >ORGLA08G0089900.1 pep chromosome:AGI1.1:8:9785902:9786297:1 gene:ORGLA08G0089900 transcript:ORGLA08G0089900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPARHGGVPAKFGRRSGLAREEDGVVVPGEEATTTADAPARRHRRLGRYSGGNTTGRRRGHRSGEFPARIRGGRGGGWHRSAREGDGDVSRRTGEAAQAARGWPAPRKEKEEAGRGGAATGELGKGLKR >ORGLA08G0089800.1 pep chromosome:AGI1.1:8:9773320:9774207:1 gene:ORGLA08G0089800 transcript:ORGLA08G0089800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSTRSQSHDAPEGSRSRGDEPPPPPTMAEVLMAVERNREAENAVLQQIAVSAAATATHIAQGAGGGGHHAAGGLAEFQRTQPPVFTRSDDPLDADDWLRTIERKLTLIRCPDAEKTNLAAEQLQAAAGDWWENFLAMQPTGRVVTWAQFRDAFCAAHVPKGIMDLKQCEFLSLTQGNKSVMEYLCEFNHLARYAPDDVKTDTHKQNRFMNGLSAEMQLELAAHSFLDFQDLVNRSVVVESKMKNLEAERKRKKAAQISAAGRSQKPRGWQPPPPRFQAPPPPRPQRFVPRPP >ORGLA08G0089700.1 pep chromosome:AGI1.1:8:9769533:9771672:1 gene:ORGLA08G0089700 transcript:ORGLA08G0089700.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSISEIAIELKEIRRFRRETSHIPRRIVTTLSSKERNPVLSYASCVVIALPRAARAMSDLLRLGSCPVGLP >ORGLA08G0089600.1 pep chromosome:AGI1.1:8:9760770:9762222:1 gene:ORGLA08G0089600 transcript:ORGLA08G0089600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAREHHAPPASPPSSRRKTGTPPPLPLCSTEGEKEETGRRRRKEKEREEDKRLTGLEDSSDTLDNISQSQDIEQDLGQEEDPYDYYYDDPDSLENP >ORGLA08G0089500.1 pep chromosome:AGI1.1:8:9750669:9757670:1 gene:ORGLA08G0089500 transcript:ORGLA08G0089500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:I1QHJ4] MAAQVQAVPAAEGGGAPPQANGVVAAGSAAAAAATFQATSLYVGDLDVSVQDAQLFDVFSQVGSVVSVRVCRDVNTRLSLGYAYVNFSSPADAARALEMLNFTPINGKPIRIMYSNRDPSSRKSGAANIFIKNLDKSIDNKALYDTFSVFGNILSCKVATEMSGESKGYGFVQFELEEAAQNAISKLNGMLLNDKKVYVGPFVRKQERENVSGNPKFNNVYVKNLSESTTEDNLKEIFGKFGPITSVVVMREGDGKSRCFGFVNFENPDDAARAVEDLNGKKFDDKEWYVCRAQKKSEREMELKEKFEKNIKEAADKNQGTNLYLKNLDDSIDDDEKLKETFADFGTITSCKVMRDLNGVSKGSGFVAFKSAEDASRALVAMNGKMVGSKPLYVALAQRKEERRARLQAQFSQMRPMVMPPSVAPRMPMYPPGVPGVGQQLFYGQPPPAFVNPQPGFGFQQHLIPGMRPSVGPIPNFVMPMVQQGQQPQRPAGRRAGTGGIQQPMPMGHQQMLPRGSRGGYRYASGRGMPDNAFRGVGGLVPSPYEMGRMPLSDAGAPPQVPIGALASALANSPPDQQRLMLGESLYPLVDQLEHDQAAKVTGMLLEMDQTEVLHLIESPDALKAKVAEAMEVLRNAQQQQANTPTDQLAALTLSDGVVS >ORGLA08G0089400.1 pep chromosome:AGI1.1:8:9729426:9729708:1 gene:ORGLA08G0089400 transcript:ORGLA08G0089400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYNQFSLKTSGIPELLSLLITLRSERMGTRVHGTGKAYIYCSMNILKTIRIAVLAVIGHWDSLWHLG >ORGLA08G0089300.1 pep chromosome:AGI1.1:8:9729110:9729235:1 gene:ORGLA08G0089300 transcript:ORGLA08G0089300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDWDSTVEMVDIRVASTVPTDIRLPSTCEEHTSTTAILVW >ORGLA08G0089200.1 pep chromosome:AGI1.1:8:9679277:9685067:-1 gene:ORGLA08G0089200 transcript:ORGLA08G0089200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRASSSFFEDLTHPTGGSPPAAKRTRCGGAFFPPPPPPTWPRGVTKDDLVARLSTQFPAMSLEDFGFGVTMTLNDLTQMIEKALDKSANNVDSAIRSLLNLHLESVQNNSGVAFEPIQETTEVQVSAEVVSDGNEIGAPSESAPCPENFPSNGSEWVELLVNEMTTASNMDDAKSRATRVLEAFEKAVVSHVNAQGPHDFQKENAVLKGQMELLTRENTILKRAFAIQHERQKDYDAKNQELQDEKQRIAEFQEQVRNLELNNYRLSMLLRQAQQGSSIPGRFNPDVF >ORGLA08G0089100.1 pep chromosome:AGI1.1:8:9674941:9676347:1 gene:ORGLA08G0089100 transcript:ORGLA08G0089100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAAKHVRWSSSVWLDPDDNNAVTLRFDNGTEAWRYWCASSYGGXWAPKLSXREPLDGVTASRHHRARTAFVPCYTARTAASGGRCGNAGVGQLKQRVLELDAGGVLSVGQIRVGVDEDSEPVQAWKSRMGQSSKRRSNSADDAASAAAGSSNENMAPASAPCLASQTPLWPSVAPCPASPRR >ORGLA08G0089000.1 pep chromosome:AGI1.1:8:9668685:9669371:-1 gene:ORGLA08G0089000 transcript:ORGLA08G0089000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WWGGRRRRIRWCGGRRRRIRRWGRLWRRIRRRGTRRRADRVSSRFSARPTAMVAVLALLSTGLSLSLSHADLAAVVVVEPRLLYAKTNRASSGFSARPTAMVVVLALLSTGLSLSLSRADLAAIVVAEPRLLCAKADTIAASARLGHPICRRRRAHHRRRRPSPPPPLSPKPSTAAVAEPPAAAHRRHQGGRRRPRLEVRAEAASAMVVANGVVGGCKRRSSHPSLVP >ORGLA08G0088900.1 pep chromosome:AGI1.1:8:9657546:9657773:1 gene:ORGLA08G0088900 transcript:ORGLA08G0088900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPHDSRTRGGGGTWQVGPTRQWLVGGGGTGRARLGFKPAAWPWRAAAARAVTAVTSGAGNGGGQHEGGGADRH >ORGLA08G0088800.1 pep chromosome:AGI1.1:8:9641975:9653054:1 gene:ORGLA08G0088800 transcript:ORGLA08G0088800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATLLLLCADFSAVAGGRRRPSLPSEPRLAAPAAGSRAPPSRASVRPSAAAAPLAARGLPHHASVAGQNSGIYTVGDFMTKREELHVVKSTTSVDEALEMLVEHRITGFPVIDDEWNLVGVVSDYDLLALDSISGNGLAEVDIFPEVDSTWKTFNEIQKLLSKTNGKVIGDVMTSAPLVVRETTNLEDAARLLLETKYRRLPVVDSSGKLVGIITRGNVVRAALKIKKKFEGEL >ORGLA08G0088700.1 pep chromosome:AGI1.1:8:9616329:9616748:1 gene:ORGLA08G0088700 transcript:ORGLA08G0088700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDPNRSPTGLGGPSDGSYIFVRGVTSGAWEYGEENARRGEGRAGAIDYFLYIDARYFARVPSTSQRLLPLRSASSGNDL >ORGLA08G0088600.1 pep chromosome:AGI1.1:8:9608937:9609172:1 gene:ORGLA08G0088600 transcript:ORGLA08G0088600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLREDEDGELREETGNWKNRFVSSCFLVDDQXMIKFMVDGNCNQLXIKWLAFAFDQEI >ORGLA08G0088500.1 pep chromosome:AGI1.1:8:9606584:9608224:1 gene:ORGLA08G0088500 transcript:ORGLA08G0088500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDKSSGAARALEITDLSDIHRNVMATMWFSSGSIATATIMKLVWKGMTKEQRWFTDDGCDDDDVVAELNILVAQSGAPGGGMMSQPMGTPH >ORGLA08G0088400.1 pep chromosome:AGI1.1:8:9590616:9591035:1 gene:ORGLA08G0088400 transcript:ORGLA08G0088400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKIQYELNSDRDPNRSPTGLGGPSDGSYIFVRGVTGGAWEYGEENARGGEGRAGAIDYFLYIDARYFARVTSTSQRLLPLHSALSGNDL >ORGLA08G0088300.1 pep chromosome:AGI1.1:8:9550870:9570418:-1 gene:ORGLA08G0088300 transcript:ORGLA08G0088300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPRPVLPAAAAASTCSSSRCRYHITTSSSACGWSNCQGWRFRHRVWAAHAADQQGGVQQQQQQESEDDVVDSNVLPYCSINRKEKKTIGEMEQEFLSRRCRPFITTRRPXYRTRCSITSRRSLCGKEAALXCXALMSKDFWKHQWHMCLATPLXQMLNSMNXSXDXGKREVKSYKKVQDVVFRVERLPGVFDAREPAAGLALDVAEPREVAAQEGDGRGDGEVRLDQRPAAAKLGFTSARIFAGKRKDXTAKLERISIETFGDFLGKRKRRLRGFHSRNXFGKRSNEVAGFWKGIGGTVHGTGDSRLEEEDGVLXRGRGKTDFRPGXEEREGMGXIRPKTRKDDFIAFPNKLTNEMIFQLLKILLMLKXFQEKSXTYLDTQSIXYNNIQIIXXLIYYLNNCXTVLCIIKIKNXALKNPRKFQRVXLIMENLIKIKSNHALYLGNFISHIXLHLXINEHLIXILLIIYKSXNEISGVTGDPXRISNTGNVCNLPKAKTIQGQQLMIGRLGXRGLSIGFNYLSXVEGNSLSVASGTDPCXFASVVPAIALXCIRTWRITRTGFRAVTACRLPLTNPWDTQQTKVYSDLTVDYFKMFMLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCANCGSSLVYDSASRLITLPETAEA >ORGLA08G0088200.1 pep chromosome:AGI1.1:8:9534729:9537763:1 gene:ORGLA08G0088200 transcript:ORGLA08G0088200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18e/L15 superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G64670) TAIR;Acc:AT5G64670] MWRRASHLLRATATATATATAVSRRVPHPYPAPATAIPTVLPAPKLASSLSYATQAAAAAAVPAARAPRTVGSLLRLNDLRDNPGARKQKTRKGRGIGSGKGKTAGRGHKGQKARGTARFGFEGGQTPLRRRLPRRGFKNRFSLTFQPCGLGKIAKLINAGKIDSSELITMKTLKDTGAIGKQIKDGIRLMGRGAEEIKWPIHLEVSRTTARAKAAVEAAGGTVRLVYYNNLGFRALLKPEWFAKKGRLLPKAARPPPKQRDKVDSIGRLPAPTKPLPFTPEELEFAAKREAARVIA >ORGLA08G0088100.1 pep chromosome:AGI1.1:8:9507132:9507410:1 gene:ORGLA08G0088100 transcript:ORGLA08G0088100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKPNPSSVKGPVLGQIDDDTTAYLGISLIDDGELAKLVSSGALVEGQAFAPGKAVVPKPVDNRTVVFAVFFEAGLRFPCNALLLEILRLF >ORGLA08G0088000.1 pep chromosome:AGI1.1:8:9492697:9498292:-1 gene:ORGLA08G0088000 transcript:ORGLA08G0088000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rer1 family protein [Source:Projected from Arabidopsis thaliana (AT2G23310) TAIR;Acc:AT2G23310] MMDPSSSSAAVPAAAPAVGDGGGGGGGGPAAAVSSAVATASRRFQHLLDRSTPHVGRRWLAFAGVAAAYALRVWFAGGYYIVTYALGIYILNLLIAFLSPQVDPEVAEVLGEGGAALPTRASDEFRPFVRRLPEFKFWYSIVKAFCIAFVLTFFSVFDVPVFWPILLFYWVVLFTVTMKRQILHMIKYRYVPFSFGKQRYNGKRVASADDLTLPKD >ORGLA08G0087900.1 pep chromosome:AGI1.1:8:9486097:9487030:1 gene:ORGLA08G0087900 transcript:ORGLA08G0087900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRAYWDKALKKIFLDLCIAEKIKRNYNKKGLTNIGWQNLYRNFREQTGKNFDSKQLQNKFSTMKRQYKLWKSLKNMSGGGWDNNSGTIRCDDDWWEDRIEENRDAGQFHGKPLEHEDELTTLFGCMDTEEGTMLCVGGIGERTPSGGSDDNLTPMSNDNVGLSSAGRVAQRAGKEQVVDSPPPKKSKNMEYYVGCISESMLERSRNESSVLRGEQDEMKELLRLVEEDGVAQGSELYFIATDLFRSAARRAAFRCIYLAENRKAWLRWTWDNARKK >ORGLA08G0087800.1 pep chromosome:AGI1.1:8:9475059:9475480:-1 gene:ORGLA08G0087800 transcript:ORGLA08G0087800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDDIEAVLLINPKEPQFKGEPYSFPESPDFHKAGQRGSVTGRLFVRDRYMIRQDMAAGLAYVGLASPGQPGSWATESKNYQFWTRATPCGSFSI >ORGLA08G0087700.1 pep chromosome:AGI1.1:8:9469133:9473646:-1 gene:ORGLA08G0087700 transcript:ORGLA08G0087700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAALARAATAAGPLFLRARPLAPCTAAPAPALSRRRSLLSGAHTADEPPPPTQPPPSKLPDAPGAVPPLPWRAAEAEIVRDIDPVVQLIKDILHSDRYGDGECLSPKDENIIVEKLLAYHPRVDDKIGCGLDAIMVDKHPQFRKSRCLFVVRTDGVWIDFSYQKCLRAYIREKYPSHAERFIREHFKRT >ORGLA08G0087600.1 pep chromosome:AGI1.1:8:9409444:9415591:-1 gene:ORGLA08G0087600 transcript:ORGLA08G0087600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit I [Source:UniProtKB/TrEMBL;Acc:I1QHH5] MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYRGHNGAVWSCDVSRDSTRLITGSADQTAKLWDVQTGRELFTFRFDAPARSVEFAIGDGLAVITTDNFMENVPTAQVKRIAEDPDDQSEESLLVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDTETGQCLKESDKEQGHQKTITSLSKSADWSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPLLDHVVIGGGQDAMNVTMTDRRAGKFEAKFFHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKI >ORGLA08G0087500.1 pep chromosome:AGI1.1:8:9397805:9398566:1 gene:ORGLA08G0087500 transcript:ORGLA08G0087500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTTAGLAPAAARSCSKKSTCKTTRSGRRSFCAAWMHASLDSFFTSIKLEIFGSSSPPSASSDATDNKYTLRKTSIAVYSPSWIALAASGNDVGEEDGNARGGVEEGAEEVAEVWERAADDGEDAGVARGHHARGLRRPPHPPGRPFVPLLRRGGRHWWPPPSPGLAPHAPPRQERVGEWKSRADGQRRP >ORGLA08G0087400.1 pep chromosome:AGI1.1:8:9385490:9386163:-1 gene:ORGLA08G0087400 transcript:ORGLA08G0087400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWDRDTVMSARRAAYNNPERAMEYLYTGVPEQAEAPAVVQALPVPAAVQAFPTSDQLVDLLICCVVNFVLYWMFVGLCWMLIGL >ORGLA08G0087300.1 pep chromosome:AGI1.1:8:9383274:9383710:1 gene:ORGLA08G0087300 transcript:ORGLA08G0087300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTTSSIGIQGADVDPDGYAEAAGNLKAQGSLESFGWFNRIIITPLIP >ORGLA08G0087200.1 pep chromosome:AGI1.1:8:9377386:9378688:1 gene:ORGLA08G0087200 transcript:ORGLA08G0087200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARHGLWESMATTAERHRLWQVFSRVYMWSSDCSSHYYSSMIHAGYFCCYSECKGVQTGNEQRCQQEIEHTKHGLESGSITYDPAKLKFSDDIKDSR >ORGLA08G0087100.1 pep chromosome:AGI1.1:8:9356767:9373166:-1 gene:ORGLA08G0087100 transcript:ORGLA08G0087100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPDEAQAGVTTGTTDHSSCNGGKEFRFILSQDISLPLSFRVNRFVPDRTLLIERSAPVLFVECTLYIDGVQFGLSTNTRLKSLGSPYCWNELVTLSAKYRDLTPFSHLAFTVWDMSSGEDNIYIVGGTTISLFNSKNQLKTGRLRLRVWPNKMADGSLSTSTPGKVPKTKREEIERLERVANKYIRGQIPHIGWLDNLIANAADKLEKESERTENLHSSLIVELCSFEHRVVFQEYGAKLHTSVPSSLVDISKNKLVIACDPEIGRINPSEHKHSVLAWSLARGVNDREMKPSSVDRKLIQNILKYPPTRTLNVDEKQLLWKFRFYLTSEKKALVKFLLSVEWSDIQEAKQAVALIPRWESIDVADALGLLSPVFQNEEVRAYAVGMLERASDEELQCYLLQLVQGLRFERSDRSRLAHFLVNRALSNYELASFLRWYLVVELHDPSHARRYLCTYEMLEDAIMRSVHKEENGFQVWQSLIEQAELTAQLRSIMKELSNVKHDAQTKGRILEQLFSGIFSELKNFSEPIPSPLTPTVLLDGIVPEESLVFKSANYPLCIAFSTVNGGTSKMIFKMGDNLRKDQLVIQIISLMDRLLKSDNLDLHLTPYQVLATGLEEGLVEFIPSISVAKIIQKTGSIESYLQKCNPDEDGPFGITAQCLETFIKSCAGYSVITYILGIGDRHLDNLLLQDDGRLFHVDFSYMLGEQPHRFAPPSPPMKLCKEMVEAMGGTESEYYARFKSYCCEAYNILRKSSNLILNLFYLMTGSNIESITDKGTSKLQQNFRLDLDDEDAIHFLQGLINESISAFFPQVVETIHQWAQSRR >ORGLA08G0087000.1 pep chromosome:AGI1.1:8:9349744:9351874:1 gene:ORGLA08G0087000 transcript:ORGLA08G0087000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLVEGLDDGRDLKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYIIEGHVCYLTMCDHSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFGMALICKYAPVAIVIGIVLMLFWVKNKIWLMDGQGSYHPKLHGMSCDSDVLAAIEYVRLCCWTKVTLIEISVMGNMVVFYEKCKKFSTILITSMWTNTYKIIMEAMGIYCVF >ORGLA08G0086900.1 pep chromosome:AGI1.1:8:9345578:9347191:1 gene:ORGLA08G0086900 transcript:ORGLA08G0086900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFDFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSSLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKR >ORGLA08G0086800.1 pep chromosome:AGI1.1:8:9343313:9343690:1 gene:ORGLA08G0086800 transcript:ORGLA08G0086800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARSPCFWIRTSSLPSVVLAVDTYSWVLEEAKHHDDDDFVVGWGLRGLAGCYCCCLLKANQRYCCFRISFVLAILGVIATSASARTPWETVPAGTSSALLGLDTALVPPQLFVDGRYSGSRQSGHAA >ORGLA08G0086700.1 pep chromosome:AGI1.1:8:9337263:9337496:1 gene:ORGLA08G0086700 transcript:ORGLA08G0086700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSGFVHDRTWPWAIVPVVRVNLLLFGGGEPAESSDLSVEMWTEDGDGIQVYPDGATSRRMARRHFPQGSPTRTWS >ORGLA08G0086600.1 pep chromosome:AGI1.1:8:9328834:9336507:-1 gene:ORGLA08G0086600 transcript:ORGLA08G0086600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEVARRALEEHCVGKRIVRCSAADDTKVIDGVAPPRLEAALVGRTISAARRKGKNLWLALDSPPFPSFQFGMAGAIYIKGVELSKYKRSAVSPTEEWPLKYSKLLVVMDDGLEFSFTDKRRFAKIRFLDNPEAVPPISELGPDALFEPLHLDDFVESLSRKKAPIKALLLDQSFISGIGNWIADEVLYQARIHPMQTASMISKEKCKALHQCIIEVIEKSLEVGCNSSQYPENWIFHSREKKPGKKIDFITVGGRTSAYVPELQKLDGIDATASRAKISKEQSKSNKAAREVDDDEEEAKPAKRGRKQLVKATHEIQEDEEDAKASKRGRKQPAKTSKGSWKKAHHSSEGSGDDDSDDEAVDKVVAEQGKRRDPKQPSEAKSSSDKGGSAAPAKRPQRKKRQL >ORGLA08G0086500.1 pep chromosome:AGI1.1:8:9315533:9321680:1 gene:ORGLA08G0086500 transcript:ORGLA08G0086500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomerase activating protein Est1 [Source:Projected from Arabidopsis thaliana (AT5G19400) TAIR;Acc:AT5G19400] MMTVPMDKATASPSSRELAQRLLKKNAEHESRLRRSAQSKVPSDPNIWFQMRENYEKIILADHDFSEKHEIEYLLWQLHYKRIEEFRAHIVSAGKNNANPDRIKRIRSSVRSFLSEATGFYHDLMLKIRSTYGLPLGYFSEGPDSSVVPDKDGKKVVGVKKGLLSCYRCLIYLGDLTRYKGLYGDVDYASREYAAASIYYKEAASLCPSNGNPHHQLAILASYAGDEVTAIYRYFRSLAVDNPFSAARENLILAFDKFHMQNHDIYAQLSGNSKVPNAKSLPSRSVGRARGRGETRFQPKGSSTEENSKEREHSIQEILKAFYIRFVRLNGILFTRTSLETFGELSATVISDLQILLSSGPYEELNFGVEAAENALSVVKLIAILIFTVHNANKDADNQSYAEIVQRRVLLQNAFAAAFEFVGYLLKRCAELHDVASSIYLPAILVFIEWLACHPDFVASSEMDEKQADARSFFWSQCVPFMNKLILTGLAHVDGDNDETCFFDMGTYEEGETGNRLALWEDVELRGFLPLVPAQGILDFSTKQGFGSDGGTKEKKARVERILAAGKALLNFVQIDQLRIYFDASSKKFLMASEPPPPASSVPLVVSSNAQTTNHIQQEPEVSSKIGSVAEDLGVLQSKAQLFLDGDDDEEIVFKPPVSEKLPRVTSEQTSNELLQPVVVSDVNWSNDGAPPPMTYQSNGPVLTPNVYVQSLPISSLGWAANAGQHVIPGVGARSTSDIFESLKAPDHNWVSTGAPLVGSLDTVPMASFSNIISDQRTPPSSLGCFSNPDNTAILPGQDSFLLSALKNVNIGASGFLDQRVNGGLSGLQSVGNVPQVSAQATMNSTNPMIGQYKHTEVTIPSAFYSVLPSVVSSDGVSKKNPVSRPGRHVGPPPGFNNAPPKRQDDSILAGNGQHVQTNDGIWLDGYRSSLDYVNNQRFAHSNVTTASSTFTTPFPFPGKQAFSMHPRGSDEKQWQDFHLFGPTKQLPELNFQQGNQQNGPLAEQLPAQSAWSGNYLV >ORGLA08G0086400.1 pep chromosome:AGI1.1:8:9312841:9313839:1 gene:ORGLA08G0086400 transcript:ORGLA08G0086400.1 gene_biotype:protein_coding transcript_biotype:protein_coding XRPSYFNKWCKKEEPKDQGNIQKEVSKFQGCYEQIERRHPSGIPHQELVLEAEAMYSSNAPKNRAFQFNHCWLKLRNSPKFQTLESHKRPRSRKSSTPIESAGEEDEEGDDARKSTTSDLLQPSAKKRPMGRKQAKEKMKNGEDGPYKEAMKDLLDAKEKEAKVKEERWKETKEIQERKLLFAERKLVWDQEQKIMFCNVSILELDVRTYVLAMRTQIAASKVAALNGGFDGSSGFGGEFGGRNGEV >ORGLA08G0086300.1 pep chromosome:AGI1.1:8:9299214:9299642:1 gene:ORGLA08G0086300 transcript:ORGLA08G0086300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAIGAVDGRRNSDEGTIGGARSRRRRNHDGARDGWRNRWRWRKGTTSGMLRWRQMGTMSTPAVRRRWPVATRREDAHRLRRSEEAARIRGQESSWQARRQRDSWGGVIAAGICVALRLSHRMGAELVESLIADEWMDKIR >ORGLA08G0086200.1 pep chromosome:AGI1.1:8:9210592:9211023:1 gene:ORGLA08G0086200 transcript:ORGLA08G0086200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAIGAVDGRRNSDEGAIGGVRSRRRRNRDGARNGWRNRRRWWKGTTSGMLRRWRQMGTMSTPIVRRRWPVARRTEDAHGLRRSEEAAGICGQESSWQARRQRDSWGGVIAAGICVALRLSHRVGAELVESLITDEWMDKIR >ORGLA08G0086100.1 pep chromosome:AGI1.1:8:9208541:9208961:-1 gene:ORGLA08G0086100 transcript:ORGLA08G0086100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGNMLADLSSINGYHEGLPMVLAHIGTYAALALPPTVDARHHSRRGQEDLDHLVIFGAMNPATSANGAAAIIDPPSRTVQWASSHAYASCPSLLAGHQVEKPSIC >ORGLA08G0086000.1 pep chromosome:AGI1.1:8:9185866:9186775:-1 gene:ORGLA08G0086000 transcript:ORGLA08G0086000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRVTSSPAGTSSWLRGQRDDLPRPMMCLVDMGAGGLAVFPVALMRPRLSGTVDSVHDVATTCSGEECLDQLAHHGIHFNIVLNVYDAVISSWYMVN >ORGLA08G0085900.1 pep chromosome:AGI1.1:8:9084385:9084687:1 gene:ORGLA08G0085900 transcript:ORGLA08G0085900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLGTSSPRTLALRWNLASSAMAQSSKPSGWLAGWDVSASHNGVGPRHLSAKAPSAEVGHSRLGHGPAQQAFWMVGLGAQRQGVWHGPGVCQRHFAWC >ORGLA08G0085800.1 pep chromosome:AGI1.1:8:9081247:9081519:-1 gene:ORGLA08G0085800 transcript:ORGLA08G0085800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSLWRRGRGTLDGGGSAVVVIWREERNMLYFPFLSPVLARALVLNDWMAHLLEVVFSLLCANLGMENRIEELLGCSKGFSTCAINFV >ORGLA08G0085700.1 pep chromosome:AGI1.1:8:9079477:9080870:1 gene:ORGLA08G0085700 transcript:ORGLA08G0085700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16270) TAIR;Acc:AT4G16270] MDQLLALAVAVPLFALASALAAASATPVNKSCLNGSTGAAVSIGYGGASASAGAGAGVSLGTDAYWLACPLAEEIVRDVVERAVAADPRMAASLLRLHFHDCFVNGCDGSVLLDDKPLFIGEKTAGPNANSLRGFEVIDAIKAELENACPETVSCADVLAIAARDSVVASGGPSWQVEVGRKDSRTASLQGANTNLPAPTSGVATLVQKFRNVGLSAKDLVALSGAHTIGKARCTTFSARLAGVGASAGGGATPGDLSFLESLHQLCAVSAGSALAHLDLATPATFDNQYYVNLLSGEGLLPSDQALASAGAAAAGAEDVAGLIAAYAFDALLFFDDFASSMLRMGRLAPGAGTASGEVRRNCRVVN >ORGLA08G0085600.1 pep chromosome:AGI1.1:8:9044515:9044928:-1 gene:ORGLA08G0085600 transcript:ORGLA08G0085600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQWSATSRGAMAESSDGRRRLSAPRLLSLAMGLREGSTVAGGGRRRRPPGRRGGGEQGRCPSLRRIQREGRRRQPVGVPAAVAPSPPLDPAGGEPAAARRTCDGGTLPFDLAVWEFLDKICVYMLQFFLSLILFV >ORGLA08G0085500.1 pep chromosome:AGI1.1:8:9033077:9035580:1 gene:ORGLA08G0085500 transcript:ORGLA08G0085500.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLVSDKQLWAQCRQNGLKNIHQFSWPEHCKNYLSRVGTLKPRHPRWQKSDDATEVSEADSPGDSLRDVHDISLNLKLSLDSEKSSTKENSVRRNLEDAVQKLSRGVSANRKTESVENMEATTGNKWPSLRRRKHIVVIAIDSVQDANLVEIIKNIFVASSNERLSGSVGFVLSTSRAISEVHSLLTSGGIEATDFDAFICNSGSDLCYPSSNSEDMLSPAELPFMIDLDYHTQIEYRWGGEGLRKTLIRWAAEKSEGGQVVLVEDEECSSTYCISFRVKNAEAVPPVKELRKTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRYLYIRWRVELSNMTVVVGESGDTDYEGLLGGVHKTIILKGSFNAVPNQVHAARSYSLQDVISFDKPGITSIEGYGPDNLKSALQQFGILKDNV >ORGLA08G0085400.1 pep chromosome:AGI1.1:8:9028402:9031954:1 gene:ORGLA08G0085400 transcript:ORGLA08G0085400.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIEGEEASRLAKQRLEREKARRYAAADMSEDLSEGEKGENINESSSTHDESTRGRMPRIGSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRNSENFGHDMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQLVWPVVIHGHYADAGDSAALLSGALNVPMIFTGHSLGRDKLEQLLKQGRQTRDEINTIYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCYGRYMPRMIAVPPGMEFSHIVPHDVDQDGEEANEDGSGSTDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLVRELV >ORGLA08G0085300.1 pep chromosome:AGI1.1:8:9023053:9023517:-1 gene:ORGLA08G0085300 transcript:ORGLA08G0085300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSTAKAKRIWSLHHQGCQPRALPPCHRQQLAMVETTDTVLARLMHLALNKKEFTKEGKELASSNRHD >ORGLA08G0085200.1 pep chromosome:AGI1.1:8:9005152:9005310:-1 gene:ORGLA08G0085200 transcript:ORGLA08G0085200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLEHHLLLLQVGLSAVSLQHLLAALVYMVRYVKILIDIWICYFPCFSWC >ORGLA08G0085100.1 pep chromosome:AGI1.1:8:8992505:8994748:-1 gene:ORGLA08G0085100 transcript:ORGLA08G0085100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKKEKDKQFSTQVIHNVISVSGSCVWGLEGRRKLSWDVGGQEKESYRHGAVMRQGEREVFGFSVFYLIKSRALESAMVGSAAFFLHTRCLVLEEGQHLGSSTTLKPTEALCSSYRPSCLHGSIPLSQRSNTTAAALQIVLAAALEFTPQSQSSTPAATTVAAEIVHHVTLQPACHCTMVISCAKGTVGRGCVEEEENIRARGISGSSSKGVGVTEVNFRCY >ORGLA08G0085000.1 pep chromosome:AGI1.1:8:8989672:8991879:1 gene:ORGLA08G0085000 transcript:ORGLA08G0085000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTPLARLLAAISAAASSPADLRRLSHRVLSPSAPLPPLRCLNTLLMALARHRMFPDMESLASRMPARNLRTYTTLINAYCLAGNIPAAKQHLTSLLHAGLAPDSYAYTSFVLGYCRAGMLTHACRVFVLMPLRGCLRTAFTYTALLHGLLGAGMVREAMAVFVGMRADSCAPDTHVYATMVHGLCEAGRTEEAEVLLEEAMSNGFEPNIVVYNALIDGYCNAGEMEHALKVFEGMDGNRCSPNVRTYTELIHGLCKSGKVERAMVLFSRMVEAGLEPNVVTYTALIQGQCNEGHLQCAFRLLHLMETNGLVPNDWTFSVLIDALCKREKVEEAQLFLGSLVKKGVKVNEVVYTSLIDGLCKTGKIDAADELMQKMISEGFVPDAHSYSSLIDGLCRQKKLSQATLMLEDMMEKGIQASPVTYTIIIDELVREVGSEGPKKIFDKMIATGINPDIVTYTVFVRSYCEEGRMEDAESMIVQMVDRGVFPNLVTYNTLIRGYANLGLVSQAFSTFEVMVGKGWKPNEDSYTVLLRLVVKKSSSDNSVDIWKIADMKDLQVLLEDITERQLPLAVDIYSCFIRCLCRVDRLEEAKHFFMGMQNANLTPSEDVYTSIIDCCCRLKILTDALTLLDSMTKSGYLPHLESYRIIISSLCEGGNFRTAKEVFGDLLLKESNYDEIVWKILIYGLLQKGSVAEFSSLLSVMKEHGYQPSNTINAMITGEITVTNEVQEIAR >ORGLA08G0084900.1 pep chromosome:AGI1.1:8:8969621:8970898:1 gene:ORGLA08G0084900 transcript:ORGLA08G0084900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGQSRLYDGISWNSHLSMAFLVPPVSVATSAPSLSFPSSPFLLLSLSLSLFSASRPVAGAKAARVRRERRRRRRRRRRVANGETEALHAAVLKEEEQQHEVEEAAVVTSSSATSGEEGGHLPQGWAKRKRSRRQRSEEENLALCLLMLALGGHHRVQAPPPLSAPVGAEFKCSVCGRSFSSYQALGGHKTSHRFKLPTPPASPVLAPASSEVQSPLAFSPRNSAAARI >ORGLA08G0084800.1 pep chromosome:AGI1.1:8:8962188:8968172:1 gene:ORGLA08G0084800 transcript:ORGLA08G0084800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:I1QHE7] MACTAPREEDLLMEEDEHRPPLNRALLHRSATNNTSQVAMVGSNPCPIESLDYEIIENDLFDQNWRSRGKADQVRYVVLKWTFCFAIGIITGIAGFVINLAVENVAGLKHTAVSALMESSSYWTAFWLFAGTNLALLLFASSITAFVSPAAGGSGIPEVKAYLNGVDAPNIFSLRTLAVKIIGNIAAVSSSLHVGKAGPMVHTGACIAAIFGQGGSRKYGLTCRWLRYFKNDRDRRDLVTIGAGAGVTAAFRAPVGGVLFALESLSSWWRSALIWRSFFTTAVVAVVLRLFIELCASGKCGLFGKGGLIMYDVSTKFDDLMTYHLKDIPIVVLIGVIGAILGALYNFLMMKVLRVYSVINERGNAHKLLLAAVVSILTSCCVFGLPWLAPCRPCPTAGAPSPPNGTCHSLNRFRRFHCPAGHYNDLASLFLNINDDAIRNLYSTGTNDVYHPGSMLAFFVASYALGVLSYGVVAPSGLFVPIILTGATYGRLVAMLLGGRSGLDHGLVAILGSASFLGGTLRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADSFNSSIYDLILNLKGLPHLDGHAEPYMRQLTVGDVVAGPLRSFNGVEKVGHIVHTLRTTGHHAFPVVDEPPFSPAPVLYGLVLRAHLLVLLKKREFLTAPVRCPKDYMAGRFEAQDFDKRGSGKQDTIADVELSPEEMEMYVDLHPFTNTSPYTVVETMSLAKALVLFREVGLRHLLVVPKSCDRSPVVGILTRHDFMPEHILGLHPVLVGSRWKRLRWQKGAVAKKFRSLLDWLANDSG >ORGLA08G0084700.1 pep chromosome:AGI1.1:8:8943471:8953441:-1 gene:ORGLA08G0084700 transcript:ORGLA08G0084700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLGAFLGLVLGVAVVMAFARLENTRAEQRRELLVQLKWLNQELVKIWPFVNEAASELIKTSVEPIFEQYKSFILSSLHFSKLTLGTVAPQFTGVSILDSDSSGITMELELQWDGNPNIVLDIQTTLGISLPVQVKNIGFTGVLRLVFKPLVAELPCFGAVCCSLREKSKVDFTLKVIGGEMTAIPGISDAIEGTIRDTIEDQLTWPNRIVVPIVPGDYSDLELKPVGLLEVKLVEARDLTNKDLVGKSDPFAVLYIRPLQDKMKKSKTINNDLNPIWNEHYEFVVEDTSTQRLTVKIYDDEGLQASELIGCARVDLSDLQPGKVKEVWLDLVKDLEIQRDKKRRGQVHLELLYYPFGKQEGVSNPFADQIQLTSLEKVLKTESNGFDVNQRKNVIMRGVLSVTVISAEDLPPMDVMGKADPFVVLYLKKGETKKKTRVVTETLNPIWNQTFDFVVEDALHDLLMVEVWDHDTFGKDYIGRCILTLTRVILEGEFQDEFVLQGAKSGKLNLHFKWTPQPIYRDRDRDQ >ORGLA08G0084600.1 pep chromosome:AGI1.1:8:8927737:8928669:1 gene:ORGLA08G0084600 transcript:ORGLA08G0084600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIF1 helicase [Source:Projected from Arabidopsis thaliana (AT5G28780) TAIR;Acc:AT5G28780] MHLTENMRLQSPTLSNTHRQHLQEFAEWLLAIGEGAVADSSPTDGEDVSWVKIPEYLLLPDDTRNLSGLISFVYDASINSHTANYLCERAILAPTNEIAASINSQMIAQLTTQEMSYYSSDTIEDTTSNRATLDALYPTEFLNTIKISGLPDHHLQLKIGVPIMLLCNLNPSKGLCNGTRLIVTQLTRRVIEGEIITGKAKGSKAYIPRIVTTSIDKKWPFKLKHRQFPVRVSYAMTINKSQGQTLSRVGVYLPSHVFSHGQLYVAFSRLTSPDGLRILIENSPTEHVTSTHNVVYKEIFNDLDQQGMHT >ORGLA08G0084500.1 pep chromosome:AGI1.1:8:8924270:8926444:1 gene:ORGLA08G0084500 transcript:ORGLA08G0084500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALFSLHQGLGPHVTLVHIVGLSFGLRSVSAENSTAHHQYTIHAVKVGVFILPPYRPPPEPLHSLLTGVNRSLSAHFFENIRFYNSMFAFTSMGVNVIDSINDGRGPYVFKISGQLCHCIGSLIPKEGRRPEYAQLYIFDTENEIRNRMNVGTYANRSFCPNEDIVAGLIDMFNTHNPIVHLFRTARDRLAENGDDRYIIRLFGDPDKHGDIFSAPVASEVVGLVVGDVGISDVGRGLIVQDQAGHLQKVEEKHCKFMSMQYPILFPYGEDGYHENITYRRCARSQAIKRKKATMVEYFAYRLHDRADDFNTPMRCKRGTQAYVIDAYCCMEESRLSHYRSKTFQLKYRTTSFSEVSTMVHSGITEASDAGQRVILPSSYIWGPRYLYQNYLDSVALCRKYGCPDLFITFTSNSLWSEVTQALAIIPGQHSADRPDIINRVFHVKLHLFMDDIVKKKFFGPVTAVVYTIEFQKRGLPHVHIILWLDKSCPLTPADIDRLISAQLPDPSIDRVGYDAVAAFMMHGPCGDANPHCSCMVDGKCSKNYPKEYSEKTTILPNGHVRYARPKNDIIVAKNGISLDNRHGVPHNIDLLVKYEAHINVERVNRDGMEKYLFKYVAKGFDCSRVSLKRKRACAGTNEIHDYLECRCVAPNEAAWRLLQFEIHYTDPAIERLHVHMPLENNVTFTEDDNL >ORGLA08G0084400.1 pep chromosome:AGI1.1:8:8922446:8922776:1 gene:ORGLA08G0084400 transcript:ORGLA08G0084400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGPCLPGWDTTCPGERTHVLAKQVTADDRLGEWQSAPACIEHLVTEDCNPAVP >ORGLA08G0084300.1 pep chromosome:AGI1.1:8:8915863:8918202:-1 gene:ORGLA08G0084300 transcript:ORGLA08G0084300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPELIDNVTASLPPDLISEILLRLPPDEPEHLFRAALVCKSWLRAICEHGFLRRYRAFHGSPPLLGLLHRLKVMQGDPAARLARTTAVPLSPDPTFRRALDCRHGRALLHASDDDWYLIVWDPVTGERHRVPEPGIPWLIYSAAVFCAASGCDHLDCHGGPFRVVFIATDDDDELVKASVYSSETCAWNKPVILADGYQTWQERLQAITRGESYRTPYVQPRRGALVGDEIYFTLRNENAIIKYNWAANCLSKIDPPIRDVYDISLMEMENGSLGYACIQGSSLYVWSRNASSEGAAEWVQCWVIELEQMVPVANRGDEAFVVGSAEGVGVIFMSTGVGLFTIELKSRRVKKVEEPGVYSSVLPYMSFYTPGIRSASHAKLNSWIMFTSITTLQGRGSEENVLDKDGKYGL >ORGLA08G0084200.1 pep chromosome:AGI1.1:8:8908001:8910455:1 gene:ORGLA08G0084200 transcript:ORGLA08G0084200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPPPEFMDDVTASLAPELLSEILLRLPPDEPGHLFRAALVCKEWLRAICDPGFLRRQVLQGDPVRHLARTTAVPLFPDPTFRRALDCHHGRALLHASDDGWYLIVWDPVTGEQHRVPEPGIPWLIYTAAVFCAVSGCAHLDCHGGPFRVVFVATDDEDELVKASVYSSETGAWSKPAILDYGYQTWQERLQAITRGESYRTPYVQPRRGALVGDEIYFTLRNENAIIKYNWGMNCFSKIDPPIREVYDIALMEMENGSLGYACIQGSSLYVWSRKVNSEGAAEWVHCCVIELQKMIPVVNLIDEAFVVGSGEGVGVIFVSTGVGLFTIKLKSRCVKKVAEPEVYFSVLPYMSFYTPDRGTLLSLARTH >ORGLA08G0084100.1 pep chromosome:AGI1.1:8:8896634:8897649:1 gene:ORGLA08G0084100 transcript:ORGLA08G0084100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CHHQVQWGMHCLSEIDSPSPDVYGIALMEMENGSLGFACIEDSSLYVWSRKVNSEGAAEWVQCRVIKLDKMISVANLSDEAFVVGSGEGMGAIFVSTGVGLFTIELKSRRVKKVAEPEVYFSILPYMSFYTPDRGTLLSLARTH >ORGLA08G0084000.1 pep chromosome:AGI1.1:8:8889106:8892594:1 gene:ORGLA08G0084000 transcript:ORGLA08G0084000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVATPRRSIRDAVLGGVLGAGGRQLYQPLRCAFYDGAAGGGLTAALSEDGAEGGVPLPCGRKTAAAKNVLILMSDTGGGHRASAEALRDAFRLEFGDAYQVFVRDLGKEYGGWPLNDMERSYKFMIRHVRLWKVAFHGTSPRWVHGMYLAALAYFYANEVVAGIMRYNPDIIISVHPLMQHIPLWVLKWQSLHPKVPFVTVITDLNTCHPTWFHHGVTRCYCPSAEVAKRALLRGLEPSQIRVYGLPIRPSFCRAVLDKDELRKELDMDPDLPAVLLMGGGEGMGPVEETARALSDELYDRRRRRPVGQIVVICGRNQVLRSTLQSSRWNVPVKIRGFEKQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKDPREAARQVARWFTTHTNELRRYSLNALKLAQPEAVFDIVKDIHKLQQQPATVTRIPYSLTSSFSYSI >ORGLA08G0083900.1 pep chromosome:AGI1.1:8:8865953:8867479:-1 gene:ORGLA08G0083900 transcript:ORGLA08G0083900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYECTGRKAHGKFAMADGAIDSSEVQLSTNAHPSHTYTVRPSQIEVELRQELANFKHQRQEDCQSIQNALSEFNNQTKEYMMNGSASTPPPQINLAALFLSHSSPTTQQNTTDNSSRNVFNQIDRNNSGNCSQQDAGLSNNEQGDMGNNSENVVLQRMDGSTFGYSSQQTAPATNQGNSKRGRDGDYVDSEDDYADDGNYDDADETPDPFCSLFGI >ORGLA08G0083800.1 pep chromosome:AGI1.1:8:8857560:8858198:1 gene:ORGLA08G0083800 transcript:ORGLA08G0083800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenylate cyclases [Source:Projected from Arabidopsis thaliana (AT2G11890) TAIR;Acc:AT2G11890] MEVEIKLRLPDAAAHRRLSSFLAPRLRRTDAQRNLFFDAAARPLAAATAALRVRLYGLDDRAPSRAVLALKRRPRIDAGVSRVEEVEEPLDPAIALACVDDPASLGGVESPIIRLVSEEYGVGGDAAPFVCLGGFRNTRAVYQLEEGDTLGLVVELDETRFDFGTNYELECETAEPEQAKQVLERLLTVAGVPYEYSRSNKFACFMAGKLLP >ORGLA08G0083700.1 pep chromosome:AGI1.1:8:8846629:8852531:1 gene:ORGLA08G0083700 transcript:ORGLA08G0083700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: UPF0103/Mediator of ErbB2-driven cell motility (Memo), related (InterPro:IPR002737); Has 1074 Blast hits to 1072 proteins in 474 species: Archae - 213; Bacteria - 366; Metazoa - 159; Fungi - 135; Plants - 54; Viruses - 0; /.../ Eukaryotes - 147 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G25280) TAIR;Acc:AT2G25280] MERVRRASHAGSWYTNNARKLDEELDGWLRAAGLTKSPDVRAVIAPHAGYSYSGRCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALTRATIYSTPIGDLPVDHEVIEEINATGKFDFMDLSVDEAEHSMEMHLPYLSKVFQGHNVKVVPILVGALNSQNEAMYGQLLSKYLDDPKNFFSISSDFCHWGTRFSYTYYDKSHGAIHKSIEALDRMGMEIIETGNPDAFKQYLQEYENTICGRHPISVFLSMLKHCSTKIKIGFVRYEQSSQCKSMRDSSVSYASAAAKVDTPAEEEKD >ORGLA08G0083600.1 pep chromosome:AGI1.1:8:8840419:8841691:-1 gene:ORGLA08G0083600 transcript:ORGLA08G0083600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMLTGVWWMAVSDSGTWTGEGWMRRSSGLQTQRRRRRGSATAGVDKKAAAVGVTARERWSARQRMAKERKRAHDTAGALADEWRETDSVSLVMSARSRYSSPEVRXRY >ORGLA08G0083500.1 pep chromosome:AGI1.1:8:8834072:8836612:-1 gene:ORGLA08G0083500 transcript:ORGLA08G0083500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSETGKGPTEILPNIDLPGQVGNSKITYQQIDLITNICQSEHKKPKKEDVIQITPQDGSMQFLHPIMKVTLWTLDAKLRGVLDVLKPSKVSHRIESESLCIVAPGTTLTNRKELLGALCKYVMSIDCAESLQKEWIRSMKPYPISLSLRNLQDILDGPHPTLHYVHKIANIAVNVKLAMEGTNPTWNDGIYLWNRKIPRDVPKTENWEVTGFHVLNFIWDG >ORGLA08G0083400.1 pep chromosome:AGI1.1:8:8821809:8827306:1 gene:ORGLA08G0083400 transcript:ORGLA08G0083400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:I1QHD3] MISQMDSGNVVAFFRGRSILITGSTGFLGKVLVEKILRVQPDVKKLYLLVRANDVESATRRVQDEVTGKEIFQVLKEKHGDGFESFVEEKVCTLAGDIIYENLGLDSAKLTELSNEIDIIVNGAATTNFYERYDVAFDSNVLGAKNICEFAKKCTKLKMLLHVSTAYVAGEQEGLILEKPFLMGQALREGRHLDITSELNLIKETRREMKASNRCSEKTEKRTMKELGLKRAKHFGWPNTYVFTKAMGEMLLGHLRGDLPVVIIRPSIITSILKEPLPGWMEGIRTIDSVIIGYAKQTLSFFLVDLNLIMDVIPGDMVVNAMMVAMAAHSGEQAQTIYHVTSSLRNPAPYAVLSDAGHRYFFANPPPRAGKNGRLRRMRFFSTVASFRAHMAINYKLPLEILRLVNIALCGMFSRRYDELSRKYKFVMHLVELYAPYTLFKGCFDDINTEKLRITMRKQEDKNDGGYCFDFDPKSIDWDEYFYKVHIPGVVKYLCD >ORGLA08G0083300.1 pep chromosome:AGI1.1:8:8776546:8778236:1 gene:ORGLA08G0083300 transcript:ORGLA08G0083300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDEQDEQERDVCGEACNREGFSIMMAGREKDKGKSIAEVDEFGYIEALNPDGTEDDSEKEDVDIDKVLKEMRRERDDPFLHCEGDTDVEDLFVRSKVTKKCTQDNLVAGSSALASTQNSVGPSKCKPRRNSSGVVNGNSNAPSDHVVPNADQQSLFDDGIELCSKEDDGAQVSTATKRRRKRPKRPAATRIWFDEAKILDQSQLCNDKREGVAAAKWEITPHYIEKLELEKTNSSYRRPVCAGRGIWQVSCGEYTYAVDLENRTCGCFKWDVTGIPCKHAISAIYKLRQYPEDYVNDFFKKATYEKAYQHLIYPVPGEHDWVRTTTPDIDPPKFNKHPSRPKKSRRKSVGEETQHSGKVRMTTITCSNCKNHGHKYTSCPQPLRPDLKIRKRKHKSNRVVLEESSYSQEVTFVGTLLHGNQSTGMASTDHSVGRGRAREEAVRREDVQEEEDVQCIGLVLIIQVILLQAKY >ORGLA08G0083200.1 pep chromosome:AGI1.1:8:8767668:8768949:1 gene:ORGLA08G0083200 transcript:ORGLA08G0083200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1QHD1] MSTTAKLAGLLRDEQGPVAFNDAVDEDAVPVEPLTEHDDAISAMSASHGNNMQFRCYRGFWISEMWAPGVVAVHRSFAPRADDVLVASLQKSGTTWLKALTFATMARGAWPPSSHDHPLRRLNPHLCVPSLEVLYTLGRDALLDMLPSPRLLSTHMPLSLLPPSTCKIVYIYRDQKDTAVSLWHFMKRRHPDLTFSEVHEAFCYGICMGGPAWDNILEFWYASNAEPTRVLFLTYEKVLQDPCDAVKKLAQFLGQPFSGAEEEAGVVTEIADLCSIDNLRNQKANKYGSIGGKISHESFFRKGMAGDWTNHMTLEMAERLDSILREKLDGSGLIV >ORGLA08G0083100.1 pep chromosome:AGI1.1:8:8743609:8743914:1 gene:ORGLA08G0083100 transcript:ORGLA08G0083100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLALPAASSSPSLPCYDGSRPEPRRAATARAPMPLAGSGKLVGEREKEPGETAAAAAAESRCGGMRLEIDGMPLIWSQRNTPFARSELEVDGEVVDPG >ORGLA08G0083000.1 pep chromosome:AGI1.1:8:8700182:8702863:-1 gene:ORGLA08G0083000 transcript:ORGLA08G0083000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAAAKKPPASAAVDGDEEVFLELSRELKEEGGRLFNRRDYEGAAFKYDKAVQLLPSGGHADAAAHLRTCVAQCYMRMAPAEHHRAIHECNLALEAAPRYSRALLRRAACFQALDRPDLAWEDVRTVLAWEPANRAAREISDKVRAALEEKGVLVLEKEPVPPPPEHKAVSAKGQGKLKKSHKQCDSAIEGQELIHVEDYEQSEKTELKINGQENGENRAGKEQFDCNVPVKQEIRTDQPEANGVGKHQYHMDDKENKGLDKEGKNGKPGKHSAGKKIRRADAKKQKHSAMEPVHHAEENRHERYTETSVHVKEAMKDLKLVFGEDIRCAQMPANCNLSQLRDIVQNKFPSLKALLIKYKDKEGDLVTITSSDELRWAYSLADLEGPIRLYIVAVDPAQELGVDVVRRRSSFASLEKAYYSMSENGSSRHDDDHNCSIDDWMIQFARLFKNHLGFDSDSYLDLHDLGMRLYYEAMEDTVESEEAQEIFQVAELKFQEMAALALFNWGNVHMASARKRPPLSDDASMECILEQVKVAYEWACAEYAKAGAKYGEAVKTKPDFFEGLIALGQQQFEQAKLCWYYALACKIDMGTEVLGLFNHAEDNMEKGMGMWEGMENTRLRGLSKPSKEKAIFEKMGIDGYMKDMSSDEAFEQASSIRSHVNILWGTILYERSVVEFILGLPSWEESLTVAIEKFKTGGASPADINVMVKNHSANETTQEGLSFKVEEIVQAWNEMYDAKKWRNGVPSFRLEPIFRRRAPKLHHMLEHIHYA >ORGLA08G0082900.1 pep chromosome:AGI1.1:8:8696900:8697262:1 gene:ORGLA08G0082900 transcript:ORGLA08G0082900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRDGSGGRQPGATGEVEWRWRAETVPVMWRRRRDAMEAAVVVRRDRDGMGMQPGWMRVASRV >ORGLA08G0082800.1 pep chromosome:AGI1.1:8:8688797:8695599:1 gene:ORGLA08G0082800 transcript:ORGLA08G0082800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAAVVPLPITGGLNDDRTAALQQWASTVGFGGEVGRLVEAHRRLDSVLAETQGKEIRNKELQRRLREASHDAARARDLLGELEYYRIREEVERDDHDKLLHDNANGNLLLSMPQRDVEFFNNDAAKDDKDTTESSLSNTDSSASALQVTTYIASSSSPVPYLETLNKCISNEISKYTEKCYRIAKQVSEALELESLDYLYVHKYQRTRTDHRETSPCQSEPKVHGRDQQRDLIISKLTSEECARKKLSILAIIGDGGIGKTTLAKLVFNNSTVSKHFDVLLWVYVSVHFDQNKIMQEMLDSFCGDEHDEIKKSKELQLQDKLDYLLKSKRVLLVMDDMWEDSTKEKWDELLNPLLKNDVMGNSVLVTTRKPSVATMIEAADHINLDGLKKDDFWCLFKECVFGHENYKGEPRLEKIGQQIVDKLKGNPLAAKTVSKVLRRSFDVDYWRRILHTSEWKYKNDENDIMPALMISYKYLPAHLQSCFSYCAVFPKYHRYEKERLINMWIAQDLLCSADIHTRPEDIGNEYFDDLLDWGFFEKQFEHSTLLIMHDLIHDLAQKVSSDESFTIEGNEPRNAPPCVRHVSVITEWEYKTKLNGTVYPNDSFLQEFSNSFRELQQRSLSTLMLFGPHDLDFADTFRQELNEVRSIRVLKLEMVFFDLDSLIGNISAFVNLRYLELGCFYKGPRLELPEAICRLYHLKVLDIKKNWGPSTSLPREMSKLVNLRHFIAEKELHAKIAGIGKMVSLQELKAFDVKKDHEFSISQLRGLNQLRGSISISSLYNAGHEEASQARLCDKDNLTCLHLSWLTLSRNRVARRTLPILEDLKPHSGLRNLQVVGYRHSLPSWLCSTVHLTSLRSLHLDRCIRWQTIPHPQQLPLLQELHLIQLPRVYKIEIGPLKVLEIRWLQNLRQCILLDKEQSYATLQILEVEGCPKLDEFLLQIFMSSGVQSTYQFLGIHRLKIHNDFLRASIPLLLLNSLSDIDLCGEHSKFTRFRLKPFGTSDGLSLQIKGDRYIQKIEERLFTLEKLKDLWELEIRDYQSVIFQRQFWEGFEQLTSLKKFRVIKCPEIFSTNFELFLPPSVEELELSGCNITLIQLSQLLVNLHLLKSFKLTNCQGVTSLPVGLFTDEQSTMSEGSWHIPPRCFTSLESLQISFTTAPSDANSIMHFTSKKGLGRFVSLKKIVIENCPTLLSRALSGGASHISPSSLDKLCMTGIQDSTLQFSDVSSIADLDVSGCPKLACLDLSSCTALEKLCVIDCRLLQSIEGLPSCSALRDLKIRNCALLPSLSASLHTLKTLSIENNTNLASLELKSCTSLQKLCIKDCPALTSWEGLKSLVSLEVLKVEASPGFITRWISAAAEVNIEEKNFSLPLEKLNVDNIDVLCVPICSQLTSLKILSIEEDRHDPDGHVEFLTDNHVKGLSFLTCLRFLDLENFEQLRSLPAELGSLASLQRLHVGNCGHITSLPVGGLPASLKDMELYNCSKELNVLCRDMLRLRRNLHLWVDGDEEDFFSQNCSDEEISXLMFAYRIAFTPGSFLEEQNNTRTPSIFDEGSKRNKKSKYKRRKKATAYR >ORGLA08G0082700.1 pep chromosome:AGI1.1:8:8674750:8679545:-1 gene:ORGLA08G0082700 transcript:ORGLA08G0082700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALASAAATWLINKLLDRLSDYAIKKLLGSEGLDAEASSLRDALRRATLVLGAVPAGAAAGVRIGNDQLLPQIDLVQRLATDLARHLDELEYYDVKKKVKKNQKSSNPLSKMNLPLTQAGQSKPKYNRTDIKQIRDTVGYLHSICDDVHKALLLDKLDAIKQAAQDASTDKRETVDNFTENPRNKVFPREEMKDIIELINSAASSDQELLVVPIVGAGGVGKTTLARLVYHDPEVKDKFDIMLWIYVSANFDEVKLTQGILEQIPECEFKSAKNLTVLQRGINKYLTKRFLLVLDDMWEESEGRWDKLLAPLRSAQAKGNVLLVTTRKLLVARITSNTEAHIDLDGMKKDDFWLFFKRCIFGDENYQGQRKLQNIAKKIATRLNGNPLAAKSVGTLLRRNINEDYWTRILDSNEWKLQESIDDIIPALKLSYNQLPYRLELLFSYCAMFPKGYNFDKGQLIRTWIALGFVMNERKKLEDEGSDCFDDLVDRSFFQKYGVSQYYTVHDLMHDVAQEVSINKCLIIDGSDLRTVPSSICHLSIWTEPVYNEQSIERNDNFEEKLDAVQDNVLGSLESLILAGVYDENYSAKFVKTLERVRYVRMLQLTAMPFNSDILLSSIKKLIHLRYLELRCMSDKPKSLPEAICKLYHLQVLDVQHWSGLNDLPKDMSNLVNLRHLFVPGSGSLHSKISRVGELKFLQELKEFQVQEADGFEISQLGNINEIRGSLSILGLETVKKKGDATRARLKDKKHLRTLSLTWGSASGSTTTVQKEVMEGLKPHENLSHLLVYNYSGATPSWLLGDSFSLGNLESLHLQDCAAVKILPPFEEMPFLKKLSLVCMPCLKSIRIDFNSADEEDELELSEIEISKCLALTSIRLHSCKALTMLSINDCEALGSLEGLSFSEKLKLCVVQGCPKLPSGFIAN >ORGLA08G0082600.1 pep chromosome:AGI1.1:8:8660180:8660476:-1 gene:ORGLA08G0082600 transcript:ORGLA08G0082600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQSAKTNKNQWGPLVSAAFSLSPFFASLGVPPMSARGSASSYAGIVLASPRGVVGRRQHGRPMLDLAKLERLLWHGKAVTELCTGKDGAPMPLSWI >ORGLA08G0082500.1 pep chromosome:AGI1.1:8:8641550:8642222:-1 gene:ORGLA08G0082500 transcript:ORGLA08G0082500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFVASLQPSSQDXIDGNXLGLKMNNIGPLDVVVTPLPPVPAPSSAATYNQIQRRCGRRQPSHCSLSSTNPPIASLCLAGCTLRQIHHRHGHCYRLLVLFVVVVAISLALMGIRRDAPRVADVMCLGIELDVPHAAERGPVERHHDGQEEKGHSRWKIRGGGKEKAKVWWRQRRR >ORGLA08G0082400.1 pep chromosome:AGI1.1:8:8602817:8612152:-1 gene:ORGLA08G0082400 transcript:ORGLA08G0082400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCLRRRGLSLLRQRPPRSFPPLPRPRSSPPRVASLRPLSAAAMGENSAAAAGKGKEAKGKATTSASASAPNVEPDVAYLEAVTQKRIRQFEEIQAKQALERLNIGGEPIRITLPDGAVKDGKKWISTPMDIAKEISSGLANSCLIAQVNGTLWDMTRPLEGDCELKLFKFDSNEGRDTFWHSSAHILGESLERAYGCKLCIGPCTTRGEGFYYDAYYNDLTLNETHFGIIDAQAQKAVAEKQPFERIEVSRAEALEMFAENKFKVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSSYWRGKADRESLQRVYGISFPDSKRLKEYKHLLEEAKKRDHRLLGQTQDLFFFHQLSPGSCFFLPHGAIIYNKLMDFLRQQYRDRGYQEVLSPNIYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFEHRVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCRESQIKDEVKAVLDFINYVYEIFGFKYELELSTRPEKYLGDIETWNKAEQQLTEALNEFGKPWQINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPLRFKLTYSAEDEAKLERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQAIVCSISSNSVEYAKQVRARIHEAGFHVAIDETDRTIQKKVREAQLAQFNYILVVGAQEAETGQVSVRVRDKAELATVSIDDIITRFKEEVAAYK >ORGLA08G0082300.1 pep chromosome:AGI1.1:8:8596588:8596923:1 gene:ORGLA08G0082300 transcript:ORGLA08G0082300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSGNVFPLRSSPQLVPSHRCYGSEESGSEPSELKPEASKLEMDSMNATRAKGGNMMKQEGHTACACAMATDSATWGNTCRHFGVSTAQPVQPLTSPPRPSASSASSTKVK >ORGLA08G0082200.1 pep chromosome:AGI1.1:8:8586196:8594462:-1 gene:ORGLA08G0082200 transcript:ORGLA08G0082200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25270) TAIR;Acc:AT5G25270] MESSSSDVQMSHCAEDLNSESTIEIKIKTLDSQTYNLRVNKRVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGQSATSGNAGNEGTTSNPARRRGPTMTRSIVLEAINVGQGSELSVAQLLQSLLRAPSNTQVSSGPAPSDARPSEGAQSSTQNGVRAVLDQMPVPPLFQSETSVGLSEPNVIPDSLTTISQYINFLRDSFRREGFLENGQTLNNADHGTAGSAHGGGTQNHESQPDSASAHGLPTAALLAETMHSTRQLLVEHAGALLSQLPNQLGDIVNVTDATTRRNLQNSVVRYGVLIQYLGSLLLELGRTTMMLRINPATSEAVVNSGPALFISPSGPNPLMVQPAPFVPGTGSVQVGPIFSSLTSHRSVLHPRDIDIHVRTSGSVSLTGTNPPERVEEHQTQDRTDRSANASPANSSEAFAGVTAGAPFSVESGVRLVPHRTVVAVPAGISHPPSMSSSGVGIIYPLFARIQQRAYTNAQVAHSANQIPNPQTSQYHEAGTLGSPVDINAENGTQTSPGEQNGQGPFSQLMDSIPWIASLFSGENSRVNGTNQHAPASAEQVDGRNHGAPEVSGVSDEGLRFASLVRQIMPFISQVESHHQSASAVSSSTPSQAAHGNLNTARAGPSHSRSSHQHNRDPVDGPNSKRQRTSE >ORGLA08G0082100.1 pep chromosome:AGI1.1:8:8575437:8577512:-1 gene:ORGLA08G0082100 transcript:ORGLA08G0082100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRATCSTRPRISRCSPSRRWFVPVAAAIESHPTPAVHVVERERPAQVQISRFDPCSPPPPARMLPGGQQQQPHPAPGGLDLRSDEIHALKQRRRPPPHPQSSSPPEGLLGTANPDRPDDDELDAILSSFRDEAAHGDSGGGVARVQVLPVEQDANLVPFLPLRHGQLDCSRCHLVRHVMHVAVLPYVKFSLKLVWEEAFHRIRRMYVISVRSNVYSLSKWTQEWASEFIARNIDTMRNNTNGQLLDSGYSNLVESVRTNVNVPHTAVEVNLLQTIMSAPSADHHQNAADQVAAPAAQPFSAAPPVALPPKAAPRKAHKDRDYASMLVAVEEFYVAATSRPVPNSDVEILESSHVSQQQDGGRAIIYPSLQARRGKTKQEVPRRNAKDVLEYLSSARKETEKEINTLSSFDGIYRNDGTLSYLMTEVRRLNRKIWRLQKNAPSTLSSRLLASVKEIDDIKVEKGRLYAQFISALKKLCRKKMDDGGSAPSANN >ORGLA08G0082000.1 pep chromosome:AGI1.1:8:8570529:8572263:-1 gene:ORGLA08G0082000 transcript:ORGLA08G0082000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLTARPLLDSVVVSATGEIERRRERFSACSLVAWQVGALSDKVELNVFADDVRSAFHIRRADIQFTKFHPEDFFVTCSNQSDRDSILRQPRLATASGRVFLFRPWDESLHGVQVRYRYRARLCIKGVPMHGCIDETMTKVIGRKCAIHYVEEYSRRGNYNRTYDLWMWTDEPRAIPRGGSLSITAANEEGLPTDIPLPELEPHRNPPPSKPKKGXTYNVLVHVDTLEDLLSRKAYAYKWDYEVQDDGTRYKEYALPCRAEPDPTRGPEDEDEDGDSHSRGXHRSRSLWDRLSDRSSSHSRDTDRWDSQRRDQDKRGQSRGKERSDHRSRSHRSRDATLQEAALPGFFEDHC >ORGLA08G0081900.1 pep chromosome:AGI1.1:8:8560475:8561008:-1 gene:ORGLA08G0081900 transcript:ORGLA08G0081900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTPPGQEPSPAAYQFSRTICRIPKDPIYKICCFGELLPYAESFQDNQMKATQVATIILLTKIQALDREVGASTNSVLAALDRLAAAGKGRRKKEDVETVLKWTKNLETQYNGATSKCKLGDLFKYCDMVPTVKEIDAATTITIDLLNAIKL >ORGLA08G0081800.1 pep chromosome:AGI1.1:8:8543823:8544443:-1 gene:ORGLA08G0081800 transcript:ORGLA08G0081800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICPKSQAEPSPVELPPRCFSRRWVRTEERNPVHPFAAAEDHRSAVAVGDPSRATVEPRRRPSFVVVRRCSLHRLLFPSLRRFVRASEVFKKIN >ORGLA08G0081700.1 pep chromosome:AGI1.1:8:8497430:8509869:-1 gene:ORGLA08G0081700 transcript:ORGLA08G0081700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVLPLVSKLQEVALSEGRALVGVGGEINRLRDKLMWLMAFLQEADPQRRAADAGGELMRVLVHQTRDAAFSAEDALDDYAVRVDLSRYPGWSRAAVGFLAGITTQLRVRHRLSSDIAAIHARLEEIVGNKDKYRLEGSAPSSLLTWTASAASSSESILWGTSKPPIIGRDDQQQELEAMLLSSAGRLAVIYVVGDSGVGKTILVNSVCSKPSVREHFKERIGVKVGKDAGISNILSLMSKELKKENNESDNENPRSKIQRRLGEECYLIIIDGRQMSIADWNAVIHALPKNERGSRVVLITKIKPQFLDHPMNDVHEIKLTCLNQTDCRKLFHMRLHGKEEDEQNQTYLPIYYQRVYDITGGSPLAVILLAGLMHNKEYPHEWDRVLKYLESAKEKRLNRILSLSFDDLHHELKLCFLYFTAFPVSYKVYQNVLVNLWVSEGFVVPRHGKTVQQLGQLYLRQLTTRGLVTEASADGDYDIRHFFLHDSVYLFARSEAHEANFMELHDGDYFPSPDRARRLTLHNSMDRYAALDNKMPKLRSIFAIFEEIPASTAEESVSSPSCFPTCCSCKQHRSPKISRFDLTKLLKRSKFLRVIMIEGLNIGTELPEAIGGMVHLRYLSTRCRSLRRIHPSIGNLKNLQTIDVRQSLVHELPCSFWKITSLRHVFGSELIVPRWTRELKQLNTLKSVRALQDWDGSMLRRMVNLKLLDVTIQEKLKEEKARKLSDNLNNLNNLTTLILKGVDLPISSIFTAPSLQFLKTIELTGTILLTTPSPEIDKMTTSPSDFQLPNLSKLSLSKTCLQQGFIGKLGKLPLLSNLTLKDVSCDGEELVFRPDGFHCLKKLEVNDTSKRVVIEEHALPVLVSLHIIGNSRNYQHSIHPTHKIINKIRHEDINLFQRICTCHQKEITKG >ORGLA08G0081600.1 pep chromosome:AGI1.1:8:8492408:8492977:1 gene:ORGLA08G0081600 transcript:ORGLA08G0081600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSFSSFCAGGTIMGVRGRVPLPEREREMAAGDSVCCVGSFEGWLVGVKANKGRYFGDRRRFLMNSFSRDVIRLPLPSGASRSADAYTRSLPIINGSGVLHCTINAAKCVMLFWKVVLSSSPDSGSKCVVAATSMVKDAVKLALWRPGMKSWSVCDGDTIIRSIDIVFCHAKGTSTCSVSANSPLTS >ORGLA08G0081500.1 pep chromosome:AGI1.1:8:8485540:8485923:-1 gene:ORGLA08G0081500 transcript:ORGLA08G0081500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERGERRGGGCLSCCFGGGDGDGEGEELGQRAARALRTSSRWVRDRAVELPEMVARAGGRRRKPHLQHHQQQQLAGEFRYDPVSYALNFEEDGDGEAQPFKYMAFSARLPASPPPPTALPVDRGS >ORGLA08G0081400.1 pep chromosome:AGI1.1:8:8481818:8484359:-1 gene:ORGLA08G0081400 transcript:ORGLA08G0081400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAAAAVMGMRMAATKECDVAAASLAEFHVSPAGVRGLVESGATAVPPSCHRSSSRQATAVRARRHFHRCDRRPLTAAAAAVGWVWWTVPQIFYGRIQLGGQPHQYEELHQYWPEEPHQYTHGEEYHCMQGTMSHHYNMDNVRNNEWADRDDERTVWDRSNLYVSMPIS >ORGLA08G0081300.1 pep chromosome:AGI1.1:8:8474436:8476012:1 gene:ORGLA08G0081300 transcript:ORGLA08G0081300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPGEGTGATMSDGEDDQADSEANMYDPSLDGADNMGFGLPTESERSLMERVRQELKHELKQGYKEKLIDIREEILRKRRAGKLPGDTTSTLKAWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTSVKTKRKSNAGDNNS >ORGLA08G0081200.1 pep chromosome:AGI1.1:8:8469527:8473790:1 gene:ORGLA08G0081200 transcript:ORGLA08G0081200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGEADAARCKAEILAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQGVVAKYSALAAAAAGDDGRELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQNLQSLTGASPGEGTGATMSDGEDDQADSEANMYDPSLDGADNMGFGLPTESERSLMERVRQELKHELKQ >ORGLA08G0081100.1 pep chromosome:AGI1.1:8:8465286:8465552:-1 gene:ORGLA08G0081100 transcript:ORGLA08G0081100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGVIPASGPDATRVARSAPGPHLRGPHGVENEAVGRGQQQPMGAGCVGQSRPAADGQVDAGVTNSPQGHSLISGTHSDPGPASVI >ORGLA08G0081000.1 pep chromosome:AGI1.1:8:8458290:8458532:1 gene:ORGLA08G0081000 transcript:ORGLA08G0081000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRYGRICQMVDDNHVENEMGGELDDRQMASNSNGDRPVGKMLEEERKVFEEIVGCNSEISQFEDLGNAGWVVTDKDLEY >ORGLA08G0080900.1 pep chromosome:AGI1.1:8:8446806:8447935:1 gene:ORGLA08G0080900 transcript:ORGLA08G0080900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKKEFMVGIALDKTLVSVSVCFSTLKMILIEKVDSYYKWYGEESLGHQDDDMMRLLPQKANADKKLEPEWQANPRKMMLSW >ORGLA08G0080800.1 pep chromosome:AGI1.1:8:8439466:8443164:1 gene:ORGLA08G0080800 transcript:ORGLA08G0080800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT5G35100) TAIR;Acc:AT5G35100] MVLPSSNTRATSSMAYPVSLSSLHHHPNNHHAFFLPSKTNHDNTHKPIESSRISRRSLIFLPVLPSLLYASSSPALDDTNIPSTSAIDTTITDRIFMDFSVCPSYFRSDRTLGAELATCPDSEPLGRVIFGLYGRLLPLTTANFKAACTSAAYRGTLVHKLLQGQFFVAGRQGPRRDRGEVQPPTGLVRNAETIDPKAFELKHARPGTLSLCLGQNDDDDDIKLNPNYHNVEFLVTTGPGPCPELDGQNIVFGTVLEGMDVITSIATIPTYKPAERIRFFNDFAQLIGDERAQTARALWDRPLKTVYISDCGELKVTKPSLSPPSLP >ORGLA08G0080700.1 pep chromosome:AGI1.1:8:8436379:8437200:-1 gene:ORGLA08G0080700 transcript:ORGLA08G0080700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSPIHSTGSSGCSFQRTRAAVSSAPWLRCACGKTAAVNKSNTPRNPGRRWIQCRKEPKCCSLWIWEDLLNEYVEEMVAYSHAGEDDGLRDMLRQLAEEHKEERSRMXGLVEANHRQMQSIYQQLNDSKKKCEQLKKMLKEEKCSRSRQLYVMLFLLAIIMYFYDKSGSSRYKLILCVCSKS >ORGLA08G0080600.1 pep chromosome:AGI1.1:8:8411029:8415151:1 gene:ORGLA08G0080600 transcript:ORGLA08G0080600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGELKLMASYVSKLKFILQKDQQQEPHTANLLVLLGSSNQDKRNGLSQGENRMDTCTRKKPRRYQLLTMQQKETLNRAFQSCPNPDRNDLKKLAKELNMTETQIKYWFQNCRTKMKKFKNNEERKLLQKENEELKKENAELRHRMKNSTCRACDLPLFHIDCRHWENPMLNKGNHGVTSNLIPQAVSSLLPSSSGFVASGSNLSSNAVLMPVSAMPSSVLQPAPAVSGANFPILHNLSANANDGYTEKNVLLDLANRAMEEFFSLMKENESLLVKKKENGLLWLPHMDILGVESLNYQEYLAKSRTIGQKPVDFKVVVTRDTAIVNGSCVDLVKSLLDANRWRELFPGIVASANTTKIISTGPSNLHDGLLQLMRAELQVMSPEVPVCDVTFLRQSVQFGSGLWCVVDVSIDTILPGESKTAQSSVQTSSTAARRMEVRLLPSGCVIEEMENGYSKVTWMVHAAYDERAVPVLYHSLLRSAKALGACRWVASLQRHSQFLSGLHKYIFCPDSTMTEVVMRRKVLYLVKQMTSSFTGLFASMSKATLQDGDDTHFAHQIVGGAAGEPAGLLLSATTTIWLPGVNPRRVYDHLRDEQCHGEWRCLLGEQLHQGNALPYGAPLNGETVPEFYRMVNGLHEGHTISLISPREMGGNISNTLLLQEARTDLSGSLIVYARTDVNTVHSIMNSGLNPATVFLVSSGCAILPDCLESFPLHPAATADQAGTSSAAIASRSETGGSFVTVTYQMFFSSQGGAAPASSSIHQGRDALKKATDIFKVVLDTLTVA >ORGLA08G0080500.1 pep chromosome:AGI1.1:8:8403827:8404808:1 gene:ORGLA08G0080500 transcript:ORGLA08G0080500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIEAVIATKVVVAPLLGGGRGGRRRCLRGRRGRARQLQQQRSSAATASMGARPGGDGGGWQRGEKGWLAVVGRKQHEEKAAPGDGFACNANGFEENDRHSGGRAMWRASIGWTVAARARVSTSTQWRGERGCKAWTRSSDAHAGDVLGGGEVTIGHKASLEHVSSADGLDGDNVGPECRHRYRKEAYKGMPGHGFGARRGACDARGGRACDRRGARPGFGGSVQERNAAWHGSTRRGARNEEERRGTTRWLGGLVR >ORGLA08G0080400.1 pep chromosome:AGI1.1:8:8387011:8387689:-1 gene:ORGLA08G0080400 transcript:ORGLA08G0080400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIVSGLLITASAVARPQSSHSLPHGSCATRVPQLRRDRLLYIKQASSQISIVDEEDSEPEWAEYKIKETNMFTVDNYQQVH >ORGLA08G0080300.1 pep chromosome:AGI1.1:8:8379957:8380552:1 gene:ORGLA08G0080300 transcript:ORGLA08G0080300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLXLLRTVDVTXGCXVQTATLFRLSXGKALDGRWMLKTEDGDELYLDLDEEVMMTLNKASLIRFVCPFHVDLLFHDERAISFDWIVTCT >ORGLA08G0080200.1 pep chromosome:AGI1.1:8:8359720:8360976:1 gene:ORGLA08G0080200 transcript:ORGLA08G0080200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQVTTPMTDSSFNPEFLQAHAELWNLTFSYLKAMALECAIKLGIPNAIHRCGGSASLSELVISFPVPETRKPHLPRLMRFLAAVGVFSLDNPTIDEEVTEKGMGIYRLTPLSRLLVDGSIGGIGGHGSLSPFVLSQTTKYHVSAAMNLSDWFMTEDKEVAIEMPFRAAHGTDLWGVMSRDANMNEVFNAGMGSDSRLAINFIISKCGEVFEGISSLVDVGGGTGTTARAIAKAFPHIKCSVLDLPNVIDTIMVDGIVEYIAGDMMEQIPPTDAVLLKYILHDWNDEDCVRILKQCRNAIHAQKPGGKVIIIDIVVGSPSKDMFEAQVSFDLLMMVITSGKERDQHEWHKIFMDAGFSHYKTRPVLGFLAITELYP >ORGLA08G0080100.1 pep chromosome:AGI1.1:8:8355369:8355848:1 gene:ORGLA08G0080100 transcript:ORGLA08G0080100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPKSLNAFDIIASSLSFDLSRLFEERGERMHFMSGALVADIIAKLEEIAGMVSIEATRNGQKGALAISSKVFELTRELVMVQVCKKAGNTAEYRRFCDNELKAGMRGLVVDALPPPVDPDECC >ORGLA08G0080000.1 pep chromosome:AGI1.1:8:8353069:8353645:-1 gene:ORGLA08G0080000 transcript:ORGLA08G0080000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RCPRERERESTSDSVGWCDTSAGAALHSHAQGPRPSRCNGGGARAGGEVVPARLGSVGRRRGKDCGGCAGANDGEACNEGLSSINLGIFIDELDHIFIEKLQRLLILMAA >ORGLA08G0079900.1 pep chromosome:AGI1.1:8:8341871:8344842:-1 gene:ORGLA08G0079900 transcript:ORGLA08G0079900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRKKGAAAKQKPPEPPAADAPLRDRLRWLNDQEYEHRSAAIKAIQAAEIESILSRLRLVESYISKEQQEGCALKYFQENLPNLSVVRNEEQNELELKREDWDKRLIGDHCDDKIFRASVSSLPNVGDVQFSGDSVRKSFIESMPFNFNDFAWGELPEDQLAGIADALQTPGAVSTRLSFGMTPKTLRLPKKGEMLLSVRGSPLGVYKEENLAAVHESTNGSEDAAS >ORGLA08G0079800.1 pep chromosome:AGI1.1:8:8337692:8339266:-1 gene:ORGLA08G0079800 transcript:ORGLA08G0079800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLLCAPHGQLSDGSHGGRRHRRCPLRELGGGAAVRVQQLRAAHRGGRQPHDHHTVSARIASPMASLTPCPIFMNVSFADCKKRARLEHKTADGDERERSEEGRRQIAVRLAAVVAGSLMLAAAVLLEMHMLMGGLRELDAMSFSGFVMQHVVVVLAAAGLLLVALAGCPPWDDERRR >ORGLA08G0079700.1 pep chromosome:AGI1.1:8:8334521:8335504:1 gene:ORGLA08G0079700 transcript:ORGLA08G0079700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGGNGNGNSHRVVGRKRLLVAGGAGEEDRLRGKKRAAAGALVPYVAAASASAPIDAVPLAAVAPASSSSLAAGEVPNEPSWIRKIVFYRLGLPYDLPLVFIEEKTVTRTDLDSHQNRFRLACGGVGRSLIPMLTRREAIAASFLRKEEDEAQAAGLTPPQPEENNTAVVVEQQEEEEEEEAAVTTPPQPESDTAVAVAEQQQQQEEEETAGPGGRKKKKKKGRSHGGLPVTLVHLRGGMKRLLLTRWDSSGAAIIKGEGYLDFIARCGIKEKDVVHVWAFKQQGFRLFGATYPPGPLYILIAGTARLAAPPPPQPPVAQSPPSC >ORGLA08G0079600.1 pep chromosome:AGI1.1:8:8328932:8334031:-1 gene:ORGLA08G0079600 transcript:ORGLA08G0079600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aberrant lateral root formation 4 [Source:Projected from Arabidopsis thaliana (AT5G11030) TAIR;Acc:AT5G11030] MDAGDTSAAAAVAHTPARLREALAALSKAFESGDCSDGSAAAAVSDLLNAAADAADAEADAEDEAAAGVVEEMLREVHAFLSSPSSNQLAIDALSLELVKPVAKLGALMRNCWDIANAIIEFFVSNCNPRDMLSILCEAVDAPLASNGSVYFVLLFKELAKVLVLIQRRHTEQVKVTLPAVLRVMNAVIPECDEEHGKIIVDMYNAALRIGNAIQEMCKKMVNQKNEELCSVLSLYSLQNIALVSRCKQQHILSACGSVVLQHSKILTFCGFTYLGLLTGNDVTSATDKISKDEDADLLECFSFAMNGANLAVIWTYMDDEISKYAGAELESALKDVKGNHTRMWQAINILRYVLSSTHYPWVIKSHSLDLLLTIANESRIEEINDHVDVSSSGPQIFATLKAIESVMISAPDALMRKKAFATLKQVISMVPSSQRFNILQALIKNSIFPSLTAILLDLVKDEVSREIRRADQDIVESDQLQDGGEWPPPWFSHALELVELILKPPEGGPPCLPDHGEQKIICHGSLFFICMQVLSALNLLRFVLIIDSRGSRSRKMFGEETMRKVYSEWLMPLRPIVAGIQSESEEDGSDVANHIMCSLNPVQLVLYRCIELAEEKMKGF >ORGLA08G0079500.1 pep chromosome:AGI1.1:8:8322841:8325267:-1 gene:ORGLA08G0079500 transcript:ORGLA08G0079500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19440) TAIR;Acc:AT4G19440] NLRHETTTTPPPPPPPPPPRLLLLRRRRRRRRRARRRPLRLASPDSARHLDALLRRIGGGGLAAVLSSLPSPLPAASALRLLLHLLSRTSSSSSRSEDDLLTPRVSALLLPSLIADRTAIRTARRLLSRLLHVHPLRTAAEAVADAASTPSSDFLIHTFITSPAQGSLCRAADAFRVLSSRGAPPSIKTCNAFLEALVRAGQLDAAREVFDEMRESRNVALNEYSYTAMIKALCKAGKVDAGFEMLAELWRAGLQPTVVTYNVLMDALCKSGRVEEAFRLKGRMEQGGMTPSVVTFGILINGLARGERFGEVGIVLQEMEQLGVSPNEVIYNELIGWHCRKGHCSQALRLFDEMVLKKMKPTAVTYNLIAKALCKEGEMERAERILEDMLSIGMTVHCGLFNTVVAWLLQRTRRLESVVSITNEMVTRGMRPNDPLMTACMRELCKGGKHQEAVGIWFKTLNKGLGVNLATSNALIHGLCEGKYMKEATKVIETMLNKGIELDSITYNIMIRGCCKDSKMEEAIKLHGDMTRRGFKPDLFTFNTLLHAYCNLGKMEETFHLLDQMKTEGLQPDIVSYGTIIDGHCKAKDIRKAKEYLTELMDRGLKPNVFIYNALIGGYGRNGDISGAIDAVETMKSNGIQPTNVTYGSLMYWMCHAGLVEEAKTIFSQARENNVDLGVIGYTIMIQGYCKLGKMVEAVAYFEEMRSRGISPNKLTYTTLMYAYSKSGNSEEASKLFDEMVGSGVIPDNITYGTLIARCSEVNSLDKDIGHTAELSSGALTKDDRMYNILSNGINAPWCQKEAASSVE >ORGLA08G0079400.1 pep chromosome:AGI1.1:8:8293851:8297260:1 gene:ORGLA08G0079400 transcript:ORGLA08G0079400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVETAAEILVSFQNRRLVRWPEWVPRPDEKGAAAEEKKLPGWKDRRKRTSKSKPPPAILVCGLELRDSGGEKAASIPAPLPPPARKKMLFKMKVKDEPTAARGPETPPEYGAGAGSGANFSRDGVARPLPPRAVVKAEPTPAARMPESPPYYVAAAGSAPSTAGGDRRPRPRPVERAHVKTVLAAAKEAMEASSPETPLDYAATTGSGASSSGDESSRKRKAAPGAGGSGGASSSGDEGCSSPEKRPCLVAGGGAQTAAAAKAEGEKFADSMNRNDDGVLLFDLNECVDNCEDWS >ORGLA08G0079300.1 pep chromosome:AGI1.1:8:8283122:8283580:-1 gene:ORGLA08G0079300 transcript:ORGLA08G0079300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAHANPQQAQLYPTACRPTRSAKADLIALPAGGGCRVADLLHLVLDVIIFVHVIELLFPLYAMCLVIHSLAAALDVAHPGRGGDCGFWGVTVATMHILLVLFQGESFYQTSHAPQAALSVSQPGGVGPCWSDERACHQCWSSRICGRSTDG >ORGLA08G0079200.1 pep chromosome:AGI1.1:8:8279497:8281209:-1 gene:ORGLA08G0079200 transcript:ORGLA08G0079200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNPTQREIDWHSRALVLEILGSIIFTDSSGDSVPTMYLQFMDNLATRTEYNWGGAVLAMLYRQLNNGAEKARSEIFGPLVLLQLWSXSRLPLGRPKNIIQKTDEVEEQEEEESDGYPIFGAKWCSYHEFPTPHNCEFHYPERVMRRFGRKQLITPPPPHGEVELRKLRKVKHVGGKVCDWNKFHAKYVQQYDGIEATIVQEDCPFDAASLKEYRCWFQANGMFTVFFDSQCLGGLENSIPYPRDNMEWTGYMPSGPPLARIGLREIKNAAWGIKCCITNGCKKIGTSILITCQGNIRDLNLEYKLQNMLSEAGLPIKVEEIPSDDDGTTACTPSPPNESSVDVFDEWMISGKCFSRYIDLGVETTNRVPTTQDASQVTQCLENEDLVASREASAPLHPGERTSSHSESSIQVVDWTSTERTPMFKKNTPS >ORGLA08G0079100.1 pep chromosome:AGI1.1:8:8268977:8274282:-1 gene:ORGLA08G0079100 transcript:ORGLA08G0079100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G09850) TAIR;Acc:AT3G09850] MAGGKRRHARSNPTAGGPRHGAGAGRRRPVPELPSFVSPASVAAAFSSSSSGGRGRGGRGGRRGGGRGGSSNSASDSSSHAVPFSYAALRPSASFEGATQVLDVTIDTAPCADPASASVPVYSYGPVGGIGLGFHGEEEDEEEEEAGEAGLHLGLGFRGCSNEEVEELEEATFVTPRKPKEKPKGKRNEGFLSIGGIRIYTEDISSPESGVGDSDEESESDYEGRDGNDDGDSDEEGSDVNEGGSELSGSDSEEDLSIGDSSVDDEVVADYMEGIGGSEELLSSKWVAGMNLVDSDDDDEMDTDEDEDGFLKKVKGQLEGYALMNASEQYGMKRPSSADRLKGKGTAVRACDRDLASMRVMGLDDVMMVKDVRMANRLRKGAKVASSSSHLSRSWPNEGRKSKKYQSVPGEKKKHRKELIAKKRRQRMLGRGVDLDQINTKLRKMVVDQVDMVCFQPMHTRDCSQVQRLASIYHLKSGCQGSGKKRFVTVALTADSSLPSSEGQIRLEKLLGTEPEDFTVNWENSKRPAQVKGLSAPGKLARNQTSSGKKSSKKQVSFAERPVSFVSCGTMAESVTETIAVAATSGEVSCEKIVESDSVKLGTFEMHTKGFGSKMMAKMGFIEGTGLGKDGQGMMQPIQPIQRPKSLGLGVEFDSEAEAIKARSEPPTKARSEPRRNLRKVEIGGVGSFERHTKGFGSKMMARMGFVEGSGLGKDGQGIVNPLTAVRRPKSMGLGAKNKY >ORGLA08G0079000.1 pep chromosome:AGI1.1:8:8265984:8267999:1 gene:ORGLA08G0079000 transcript:ORGLA08G0079000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:I1QH89] MAMATPMAPRSTFAIFLILLLRSGHSPAAAFYLPGSYPHRYRPGEALAAKVNSLTSPSSKLPFPYYSLPFCAPQGGVNRAAESLGELLLGDRIETSPYRFSMLKNATAFLCRTDPLPPATADLLMSRIDDAYHVNLLLDTLPVVRYVKNLAAPGVFVRSTGFPVGVRADDGEYYVYNHLKLTVLVNKPRNGTTRAEALMATADAVELISFAGGSKDGGGYTVVGFEVVPCSVEHDAAAIKGKKMYDELPARAAAGCDPSVVGMRVRANRPLVFSYEVAFVESGVEWPSRWDAYLEMGGAKVHWFSILNSIVVVAFLAAILLVILLRTVRRDLAQYDENGGEAGLAPQADELAGWKLVAGDVFREPAHPVLLCVMVGDGVRILAMAVATILFAALGFMSPASRGALVTGMLGIYLLLGFAAGYAAVRLWKTVRHGDSAGWKRVAWRASCAFPGAGFAVFTALNCVLWYNGSTGAVPFLLFAVILLLWAFVSVPLTLAGGLVASRVGHLEYPVKTNKIARQVPAAQCSPWVFVAVAGTLPFGTLFIELLFIMSSLWLGRVYYVFGFLLVVMALLVAVCGEVSVVLTYMGLCVEDWRWWWRAFFASGSVAAYTLGYAVYYLVFDLHSLSGPVSAALYVGYSLLMALAVMLATGAVGLAASFAFVYYLFSAVKLD >ORGLA08G0078900.1 pep chromosome:AGI1.1:8:8248490:8259415:-1 gene:ORGLA08G0078900 transcript:ORGLA08G0078900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenylate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G35170) TAIR;Acc:AT5G35170] MAASSSSSSPAAASAPFAAPGPHRRPGLALRPSPPTPPSSSLSCCRASPAAAAVSSVSATAAPNRGPRGMGLRCRASEGAAAAARKEAPLKVMISGAPASGKGTQCRMIVEKYGLVHISTGDLLRAEVSSGTEIGKKAKEYMDNGMLVPDQVVTDMVVSRLSQPDVRERGWLLDGYPRSYAQAQSLESMKIRPDIFIVLEVPDDILIDRCVGRRLDPETGKIYHIKNFPPENDEVSARLVTRSDDTFEKVKSRLETYKQNSEAVIPTYSDLLNQIDGNRQVEVVFNEIDSLLQKICENASSNMLAKTNGKPQDSKDTTASKNEFRGIPTRLNNIPHSREIRKYFYNEVLVATRHAVEDKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEIMDWGEYGAKGTFINFGAVGASEVDKEDDMFILIAPQNAVGNCIIDDMKAMTDAAGDRPVILVNPRLKDMPGSSGVMQTMGRDMRLKYAASFETCYSFRLLFYAGSFYPIMGALRMAYPNKYEIYRRVDEPNGQERYVLLEEFVEKPTPDEITNAFRPRKNENEKSASGFWGFLSGIL >ORGLA08G0078800.1 pep chromosome:AGI1.1:8:8247222:8247746:1 gene:ORGLA08G0078800 transcript:ORGLA08G0078800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSGEQQRKAVDSQSTSQNNGEXLLLAVVTSIGMRTRRSHTGPMAIRASSRASLAKTLVSFYLLASRLGIDGAGHIQIDYTGLVLSLRCGGVVLSLATHHTNCRRCPNRVVLRGDLGEKL >ORGLA08G0078700.1 pep chromosome:AGI1.1:8:8245195:8245449:1 gene:ORGLA08G0078700 transcript:ORGLA08G0078700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVYLMHILLSSVYLFAHICFVYLFVYASCLVYLLSSPMIRGWHMHVMCYVQKSLFFHFTLHFLHPLSIDFTNVILVTNHCNF >ORGLA08G0078600.1 pep chromosome:AGI1.1:8:8224184:8225783:1 gene:ORGLA08G0078600 transcript:ORGLA08G0078600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNWPHDDXQPLNAPGYIKMQVPMQSIQMVKLTTTAKVFTNEGGSATIDLHANCAILTGFFQCWLNSGKAVVNVSAQEPGRMKPKKATPGPLLLL >ORGLA08G0078500.1 pep chromosome:AGI1.1:8:8206497:8208928:-1 gene:ORGLA08G0078500 transcript:ORGLA08G0078500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGKGGFLAVVAVVGRGAVLLADAARVVDFGEVGSPPAPSPVADAPYLPDVASPPAVQTEGGNHYQKEILVAVILALAAVIVTVVSAIYAWTFWKKARQALDSKDKKLSSTTKGHMLLPMFGKLNSMKTSKKEVVAMMDFSVLDSATGKFSENNILGKGGFGCVYRACLDRGVVAAVKKLNCCRQEVEKEFENELEFLGKIRHPNVISVLGYCIHEDTRLLVYELMQNGSLETQLHGPSNGSALSWYIRLKIALDAARGLEHLHEHCNPLIIHRDIKSSNILLDSDFNAKISDFGLAIYGGNHNKADLKPSGTVGYVAPEYLLDGQLTEKSDVYAFGVVLLELLLGRKPVEKIGDSHCQSIVSWVCFAISVLCGLCI >ORGLA08G0078400.1 pep chromosome:AGI1.1:8:8200951:8201566:-1 gene:ORGLA08G0078400 transcript:ORGLA08G0078400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEVSLGQRIGAREAPGFRRRAQGPTWPKRSKEQMARWWLIPREDDEVGSGGVDGQTVGEPAVATSEPMRKRRLELGEEATKDGWVDSYPGRKRVRRKWRRAGVGCENQSDGKAASPHAALDAWPHGPVSNPQPAAPCSTPSVTPLGVPPMVTEEAKDTGEVGSQ >ORGLA08G0078300.1 pep chromosome:AGI1.1:8:8194556:8197271:1 gene:ORGLA08G0078300 transcript:ORGLA08G0078300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPEPEPDAGGLTDYERRRAENSRRNGVILDSLRRKAAELSAIIQLSRPPTKKQQPRARPRAAPAVVRRSLRTQGLPPPPASGPAPAPPRRSTRITPSLASAILDATSPPASEAKVRDDGFDARTELVLRPSNVRRLVSADRIPSLRILPLVDRTVVAAGSTVGHVGFWVVDEDGDDAHRVFEYMPHLSSVGAIVAHAAKPHKXXXXXXXXXXXXXXXXXXXXXXXXLSEFPILSLCQAPNSPSCLYFSEETDVKLFDDRIGKVSTSWNAHASRINSIDFHPENTYMLATSSRDGTACMWDLRTMKKKGAESLVVLEHDRGLQSAYFSPSGHMVATTSLDGIVRVFSVDNFHTVERNNNIGTHLSTFKAIWGWNDMDLFIGNATRAIDVISVDLNDSSISTTNNACLKSEHMVSIPYRFSAHPCKVGHLACSSSSGKVFLWTRA >ORGLA08G0078200.1 pep chromosome:AGI1.1:8:8182842:8183063:-1 gene:ORGLA08G0078200 transcript:ORGLA08G0078200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGISVEELLEYQQIVSKTFNSEDEGIYFYNKYAWDKGFSIRKSYAERRNAAKE >ORGLA08G0078100.1 pep chromosome:AGI1.1:8:8169969:8170238:-1 gene:ORGLA08G0078100 transcript:ORGLA08G0078100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSGVWQVTACRLQASEDQGRLPLVVDVVGRWRSSEANKKVGESCDDKANGNEPEEGNIVLPRLSIIVAARASPSHRYRLGIPGLLP >ORGLA08G0078000.1 pep chromosome:AGI1.1:8:8155863:8159894:-1 gene:ORGLA08G0078000 transcript:ORGLA08G0078000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDAALTDYERLREENIRRNDAILASLRRKASELSAAIQSSSSSKRPKKQPPPPRATPIPVVLRRSLRTRGLPPSTSTSSSSAASPPAPESPPEAPCSTRLSSSLASAILAAASASPAAPPTVRDDGFDAGAELVLRPSHVRRVVPDRILSVRVLPLVDRTVVAAGNKLGNVGFWDVDGGAVAGADGVFEYLPHRGPVGAIVSHPATPQKIYSCCYEGEICLMDLEKENFNMIYLTDYPIFSLCQAPNSPSSLYLAEGNDLKLFDERMGKVSATWNLHDNRINSIDFHPENTYMLATSSTDGTACMWDLRNMKEKEPESLKVLEHGRSVQSAYFSPSGRMVATTSLDDTVRIFSVDDFGNSSIMKHNNKTGRWLSTFKAIWGWNDTDLFIGNMARAIDIILVDLNGSSLLAMNNARLKSEHMTAIPGRFSAHPYKVGHLACASSGGKVFLWTRA >ORGLA08G0077900.1 pep chromosome:AGI1.1:8:8149475:8154601:1 gene:ORGLA08G0077900 transcript:ORGLA08G0077900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEARGDDFEKKAEKKLSGWGIFGSKYEDAADLFDKGANSFKLAKNWSRAAQVYIKIANCHLKGDSKHEAASAYVEAANCYKKFSPQEAAQALNQAVDLFLEIGRLNMAARYCKDIGEIYHQEQDLEKASDYLEKSADLFDSEGQSSQSNSIKQKVAEIAAQLEQYQKANEIFEAIARQQINNNLLKYSVRGILLNAGICQLCRGDVVAITNSMERYQDIDPTFSGTREYKLLSDLAAAMEEGDVAKFTDAIKEFDSMTRLDPWKTTLLLRAKNELKKKEDDEDDLT >ORGLA08G0077800.1 pep chromosome:AGI1.1:8:8140902:8141201:1 gene:ORGLA08G0077800 transcript:ORGLA08G0077800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSSSTPSPHERQQQPATAAERSSGGGGGSSDSRQQQHGLPAATVGSSSTGASSYGSQSVAGRSGVGGGCDGEIGGVREKEGNSDGSGMVPILEIFSGI >ORGLA08G0077700.1 pep chromosome:AGI1.1:8:8128539:8132495:-1 gene:ORGLA08G0077700 transcript:ORGLA08G0077700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTGTRWTALSGDGLRRTRGGRGGPQACEPDGGSPSGGERRPEAVVGGGARGKRCGGDRGLGRARQKGENGEGDAGLLFMGEEGADEGSENFTKNPVNVLRHVEPKKNPTISFPIINCID >ORGLA08G0077600.1 pep chromosome:AGI1.1:8:8126079:8127713:1 gene:ORGLA08G0077600 transcript:ORGLA08G0077600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPQDPPCDVAAAAVVVSPPDPKSHHGGGGDEDVGRPAQPPSAAVPANNPRRPVDTETSYYVLMPVHELDGGAGGSGDRPPPKKRPATNGSCSGAVDDDSSPPSLPPKKRKVAVDYRVDSFGGSGSRNRETPPAVTRHGGGGGAPAGSTAMDPALANELRRREIKFGKRKVTAEAALTAPITTKLPPREKLMHDGIRAAAAAAATPRLLPPPPPPPPAMNGQLHNDIVDNLSAELRERLNALGATAPRYVCTKSLKRSDVDLNQNRLLISCKQGEVFNCPITHLFTGEETIIVNKNPDETKETKKKKKKKRRKNNDDDDDEEPGLKVKKKKNKNEEKERPGLKVTMLDHGGDTYATTCRYLTSNGGYRFIGEWGKFLRNNGLAVCVNKGEEWTRSVHVKLLEFRSRRLPQADKSGHPDGALGFVVLHGDDSDSDDGEDDPCKGKAPPPANAKKNKKKKSNSKEHVNATSSSAAASASVAVERVTRSVEQEADDEDERIQRAVNGMLKLHDEGSQGEQQALLASKEEAGSKPSSDEEEKAEAKC >ORGLA08G0077500.1 pep chromosome:AGI1.1:8:8117469:8123817:-1 gene:ORGLA08G0077500 transcript:ORGLA08G0077500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFECLLKLLNFVLTVAGLAMVGYGIYLLVEWMRISGGGGGAPPSPAPPAELLMFGRPMLTAVALGDGGSFFDKLPKAWFIYLFIGVGAIIFVISLFGCIGAATRNTCCLCCYAFLVILLILVEAGAAAFIFFDESWKDVIPVDKTENFDVMYDFLKENWEIVRWVALGSVIFEQVLLFLLALVVRAMNKPAEYDSDDEIIATSRSTSIRQPLIHSQNVPATGVPVATLEQRASRNDAWSQRMREKYGLDTSQFTYNPSDPSRYQQNGAPPAEERNRCVIL >ORGLA08G0077400.1 pep chromosome:AGI1.1:8:8113576:8116421:1 gene:ORGLA08G0077400 transcript:ORGLA08G0077400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMATVVVEFRGSNDDAAFGNGLGRAAPSGGSRWRQGGWHLGAFAVDALVDWGSEFINARFSPLFLKLTVPLSAPQGRGQQGRGKTVGVADTGSSDESGALNDRPAMALVEEYLAAMAMDVGQELSRKIPIIEISNVRLFALHLCGSALASNPQMYGGRATKIM >ORGLA08G0077300.1 pep chromosome:AGI1.1:8:8106925:8112887:-1 gene:ORGLA08G0077300 transcript:ORGLA08G0077300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGGRDWRSQLQPEARGRITAKILESLNKKLGADFAKGLVDLQRVSARIEGRFFSISCTQSDYLRRLSLRMLKLETEPIQLGHQQAVDQSSESKVGQYPTSMLQQQTSCDQPSLCIQVDTKLQEMPPNEHLLDQVPPRSQKKLCMDDRLSDLPDTILHHIMSLLSAQEVARTCILSKRWKELSASAPCLDICVDKFGMDRVRFSEFVAHLLLSRAPNSLHTFRLHSFAIDHASSWINRAIELKAQVLEFTEYIRWEPFYLDPQLMAFASQYLKCLKLTNVTLDSNAFEPLNHACPALENLQLSQCFLEVPEICSASLKKLDIMECSLLMNLQIQTPRLVSLRFRCLQYKCSSCSRYPVITAAVTLCDLPNAENIDLSCSGRQVTFGREIQKFPMYGKLTSISLGEWCLSDKFSRLFCLLRHSPELKELTLKLEVERQDHNGEDEIRLMVGRSFSAENLKKICISTMQELSRKIARRQSWGMLSRFNKKDTAVSTITMPQEVKPEAMAYLVACTPIRSRSSLCKSHLGARLGGNTEIGLG >ORGLA08G0077200.1 pep chromosome:AGI1.1:8:8099179:8099790:-1 gene:ORGLA08G0077200 transcript:ORGLA08G0077200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYELVVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSVSEGTATNPGVACFGFGAFHVTGLYGLGIRESDDNWNIRSYSGSRVYDNAEDGDCQVRRKEV >ORGLA08G0077100.1 pep chromosome:AGI1.1:8:8098709:8098882:1 gene:ORGLA08G0077100 transcript:ORGLA08G0077100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHEHVTTSAGSFRAVFDLGLVRQGRHXSNHLWIDPARIASYQEQQQQFNNSSSNAS >ORGLA08G0077000.1 pep chromosome:AGI1.1:8:8072444:8073568:-1 gene:ORGLA08G0077000 transcript:ORGLA08G0077000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSHRPRRVGPLEHPESCLANNGSDSGGSVGAVCELDLRKEKSRESSKMSLGPHVISSIFLQPGAPSSAELSARSTDSRAAVAVPVVAAATPTTTHGDGGYGNCGAVVTTPSPRGAAVARVRTTMRRRRRMASRSRSWRAAATAAAALTTTVALCAGDGRRRPWQPRRHGDGECGSGDGDDDDDDGAKTDGGDGRPEEIKRDRRGGTGRDGDPPRI >ORGLA08G0076900.1 pep chromosome:AGI1.1:8:8062377:8069178:1 gene:ORGLA08G0076900 transcript:ORGLA08G0076900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:I1QH68] MQQQTTGGVATRRRLSVPRGAGAAAAAASAASVWETRMKMDEVKGGVKVFSAGGDDADEEGLRVYRRLRRNQSDGGGGASGSTNAAAAAKKRRNWKASEPVTAIGDLRKSRSDVAVAAAAPAVGIVTTAKRSVTRVTTPEKKVAASAAAAEVKEVVVVEVPETNNLDEETEEEEDEEELEEELEAEDEEEEEEKEVLDQDHMAIDDDEAAKSTAPHQGKYQLLQCCHHQDFLMNLVLILFFPHNFSVDDQDLEPPTKRGVKIVPTSVEDERAANPEPVKPPEFSGDPVKKLAATIDLRAVNPEPVTIPPVEKKAMPVIIHRMTNAEPAKSSPVDLVMWRDVSKSTLVFGLGTFLLISSSYAKDLNYNTITAASYLGLVYLGLRFLSKSILNRGENVDCDDAERSGERYLVGEEEAIWLLRLVLPYINEVLLNLRSLFSGEPATTMKLALLLFAMARCGNFVTLWTLAKLVFFGVFIIPKVCSSYSTQLARYGRFWLERFRDGWESCSHKKAVVAAVFTLVWNVSSTVARVWAVFMLVVAMKCYQQRMMEYGWSSTVEDSQEATAAATGDDESPQEETPARSSPAKAHDHEPAQGFGAAAPARHRRTPVSGEFARERLRVRGGIQPR >ORGLA08G0076800.1 pep chromosome:AGI1.1:8:8030817:8031092:1 gene:ORGLA08G0076800 transcript:ORGLA08G0076800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAILPQERSGWCCQRRNGGEIRPLRKSWPGERRGTARSXRGRRRRWNHLVDAEAAKMTLTMSLLLQYMFLVSVRDEHHHFAWHCVLPLQS >ORGLA08G0076700.1 pep chromosome:AGI1.1:8:7992344:8001922:1 gene:ORGLA08G0076700 transcript:ORGLA08G0076700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:I1QH66] MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFNDDKYQDYIGDIVAQLQCHFADASFMVFNFREGESQSLLANILSSYEMVVMDYPRQYEGCPLVTIEMIHHFLRSGESWLSLSQQNVLIMHCERGGWAVLAFMLAGLLLYRKQYIGEQRTLEMIYRQAPRELIQLLSPLNPMPSQIRYLHYISRRNVSAVWPPGDRALTLDCVILRNIPGFNGEGGCRPIFRIYGKDPLLATSNTPKVLFSTPKRSKYVRLYKKVDCELIKIDIHCHIQGDVVLECISLDADQQREEMIFRVMFNTAFIRSNILMLNRDEIDILWDAKDRFPKEFRAEVLFSEMDSVNQLDSMEVGGIGEKEGLPVEAFAKVQEMFSNVDWLDPTADAAALLFQQLTSSENIQLRKGLLSPNKKDFHLSSISPTKKQSDNVEDKLSNAELSTIYVHKQENNDVQGLIPQKQATIPDEKSGSSVIHEKMISLVHEEITRTSSNRPKFXAXXSVWFTTELFTHNDYVAAVSSFXVKFCPFQXLXSXITFSLPKISQCTFCPWNYGSVGRPCCIWRHXEFCQSFKCRDSMLSTTTALFRPPAPPPPPLQSPSTPRCSPVRTLASPPPPPAPTSSPVRMSGPPPPPPPPAPNSCPSRPAPPPPPPPPLASTSSPPRPAAPSPCQLHASTSSPARPVPPPPPTLSTIRSSAPTPPLLPGATSAPSPPPPPPPCSSSNQLSAPPPPPPSFSKNNGSIAPPPAPPGGNAKLPGMRGRGPAPPSGPMSRSLQSGQAASRRSNLKPLHWVKVTRAMQGSLWEESQKTDEASKPPVFDMSELEHLFSAVLPSSDGKRSDKSGSRASGSKPEKIHLIDLRRANNCGIMLTKVKMPLPDLMSAILTLDDTVLDADQVENLIKFTPTKEEAELLKGYKGDKQVLGECEQFFMELMKLPRVDSKLRVFLFKIQFPSQVSDLKRSLNIVNSSAEEIRGSAKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLSEKLPELLDFPKDLASLELAAKVQLKSLAEEMQAINKGLEKVEQELTTSENDGPVSEIFRKTLKDFLSGAEAEVRSLTSLYSNVGRNADALALYFGEDPARCPFEQVVITLQNFVRLFVRSHDENCKQLDLEKKKALKEAEAEKTKEPENAQKEAEAKKTKEPGNDKAK >ORGLA08G0076600.1 pep chromosome:AGI1.1:8:7968966:7972319:1 gene:ORGLA08G0076600 transcript:ORGLA08G0076600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 23 [Source:Projected from Arabidopsis thaliana (AT4G13700) TAIR;Acc:AT4G13700] MAAPAAAGDLRFLLVGLLLVVVVGSRLVRPPDGGGIPTTLDGPFEPATRAFDRALRQGSDDVPLTDPRLAPRARPPAPEQIALAASSDATSVWVSWVTGEAQVGSHLTPLDPSTVRSEVWYSERPSPTAAAAGDVSGHYPHVARGKAEVYSQLYPYPGLLNYTSGAIHHVRLRGLRPATRYYYRCGDSSVRGGAGLSGELSFETLPSSAAAAYPRRVAVVGDLGLTGNSTSTVEHLARNDPSLVVVVGDMTYANQYRTTGGRGVPCFSCSFPDAPLRESYQPRWDGWGRFMEPLTSRIPMMVIEGNHEIEPQGQGGAVTFASYLARFAVPSEESGSNTKFYYSFNAGGIHFIMLGAYVDYNRTGAQYSWLEKDLRKIDRRVTPWVVAAWHPPWYNSYSSHYQEFECMRQAMEGLLYQHGVDIVFSGHVHAYERMNRVFNYTLDPCGPVYITIGDGGNIEKIDIDHADDPGKCPGPGDNHPEFGGVCHLNFTSGPAKGKFCWEKQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDAYGEDSVGDQIYIVRQPDKCLLQTTSASSENNCPSEGCPSLVSNSGYGAQKDIIRSGHLIWNASLVIWMILISTVFMKGNLCSRF >ORGLA08G0076500.1 pep chromosome:AGI1.1:8:7962792:7964136:-1 gene:ORGLA08G0076500 transcript:ORGLA08G0076500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSSRRRQGLARTHGVAQAPVGGGCRQGECPNFFSHSDEGKFDPYHCKCVYSDNMPEFFAANATGNPHEAPEEGHGQREPPHLVRLHVPQSHPSDAQCLTVQPPDPHRRNVVAAAFAGGSSSLAPLQVPVFQQESTGKGKHSNQLQQQFCCTGGRCPDLLQRLCRVDSQAGVGGNGTSSVWWYPIAGCSPAEAVLWALLNQGPPPPSMRNHINLLPTALPPQVGMAMDKGKAPLIELPYGIPMDDFLVG >ORGLA08G0076400.1 pep chromosome:AGI1.1:8:7886902:7887422:1 gene:ORGLA08G0076400 transcript:ORGLA08G0076400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASDRFNINSQLEHLQAKYVGTGHADLNHFEWALNIQRDSYASYIGHYPVLAYFAIAENESIGRERYNFMQVCLKAASYNHLIKMEHSIMSPTTCADNLTSA >ORGLA08G0076300.1 pep chromosome:AGI1.1:8:7866883:7869288:-1 gene:ORGLA08G0076300 transcript:ORGLA08G0076300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:stromal cell-derived factor 2-like protein precursor [Source:Projected from Arabidopsis thaliana (AT2G25110) TAIR;Acc:AT2G25110] MAAASFAIALLLYLGLDLPEASPAQSYAADPDNVVEITYGSAIKLMHERTKFRLHSHDVPYGSGSGQQSVTSFPNVDDSNSYWIVRPQPDTSAKQGDPITHGTVVRLQHMRTRKWLHSHMHASPITGNLEVSCFGGENESDTGDYWRLEIEGSGKSWRQNQKIRLRHVDTGGYLHSHDRKYTRIAGGQQEVCGVGDKRPDNVWLAAEGVYLPVNQQK >ORGLA08G0076200.1 pep chromosome:AGI1.1:8:7860846:7861052:1 gene:ORGLA08G0076200 transcript:ORGLA08G0076200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWCVKHWAKARGSSTPTSRASSAASARPSSWRACASSTPTPPQHAAPALLPRLRAVEVAQPGDAVRH >ORGLA08G0076100.1 pep chromosome:AGI1.1:8:7852321:7853412:-1 gene:ORGLA08G0076100 transcript:ORGLA08G0076100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein [Source:Projected from Arabidopsis thaliana (AT2G39725) TAIR;Acc:AT2G39725] MASRSKLSGIQRQVLALYRGFLRTARLKSPEERHRIESVVSAEFHENARNIDRKNFVYIEYLLRRGKKQLEQLKDPDITGLSTLEINKQLSGVRSFGYVNSRWISLAPNFIMNQTYDSCMENF >ORGLA08G0076000.1 pep chromosome:AGI1.1:8:7847904:7848323:1 gene:ORGLA08G0076000 transcript:ORGLA08G0076000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDPNRSPTGLGGPSDGSYIFVRGVTGGAWEYGEENARGGEGRAGAIDYFIYIDVRYFTRVPSTSQRLLPLRSASSGNNL >ORGLA08G0075900.1 pep chromosome:AGI1.1:8:7830508:7831377:-1 gene:ORGLA08G0075900 transcript:ORGLA08G0075900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLRGVVVVVVVGRKARWWPRRRRRWRRRRRRVELDLLGALRAEEVMPAEKGKAAVVMVGEAVAPSVEERASAVAAAAANGGGGGGGGEARRRLFKCNYCQRKFYTSQALGGHQNAHKRERSLAKRGAAVAAAAAAAGRGLYGFGDPFVPHHLRFRSLWPYPAAAGAARTTPFLGRGSASAAAAAAAPFYGAVHHGWSAQPPSSLQGIAARHAAAERPVYPADAFGYGAGSSSSRATGAPASAGLRWAEGGGSGTIHSAAAAAAGEQHTAAEVKAQEEMSSSKIDLTLKL >ORGLA08G0075800.1 pep chromosome:AGI1.1:8:7822914:7823402:1 gene:ORGLA08G0075800 transcript:ORGLA08G0075800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSILSPSRSFSPPKSNAARSILSASATPPLAKRAPSLPAAPSAQCRRRRPPGSAVIIVVLTALPSSSSQHHRHCTASVGRPSAPSWPSLNVASSPPYVRTDLPRAAPAGGARAVAAAVDGDAARATVAAGGWGWGGAGGWDREGGFGKKGRKMREKKEV >ORGLA08G0075700.1 pep chromosome:AGI1.1:8:7818393:7821121:1 gene:ORGLA08G0075700 transcript:ORGLA08G0075700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAALRPLRRIPLARLRSRLLSSSASGEGGPPAVASTDEAVRAAKLREEAYKQVANLDWSSNADFWKAVDIFKTLPPKRKEFGLDFHLVQLFFVCLPSLAVYLVAQYARSEIKRMEAEAEEKKKQDEELEKEKQLEADSVKDETDSKLSAVLVRLDTLEGVVNEIVDDKRKGSAPGFSNKEEATKKGERQSNSTDGQVVPVKSKDIINGATNTSPNSTQQSPTGNGDKASSDPKG >ORGLA08G0075600.1 pep chromosome:AGI1.1:8:7811176:7813360:1 gene:ORGLA08G0075600 transcript:ORGLA08G0075600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWMKEYNEASRLADDINSMIADRGSLPQSGPEIIRHTSAIRRKITILCTRLDSLEALLSKIPPKSLSDKELHKRQDTLSNLKSKTKQMATSFNMSNFANREDLLGQNKKAADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLIKYM >ORGLA08G0075500.1 pep chromosome:AGI1.1:8:7792974:7794487:-1 gene:ORGLA08G0075500 transcript:ORGLA08G0075500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVAWLHRLPRADVIITDDPPKRHEDLQTDRIVDKVVGTFGRLLRWSSGPCFRGRVLAKAIFSMVEEVPSKIVIKKYSSFGVVGRSWTVSVFVLNGDFADVQPADEDLPPVNLIPLPDPPLAEQQPNHNWDQIDEVMADNNNNEEAPDENSHNTSAVSEQDEGELQIIPVFSAPGCSVGPVVPGPSGPAALGQVIEDVMPSFLVFPQFWKLLSICNLLMHGPTFSGLAVAQPNALVPYQMPIHHVLHFALLASTLIWVKENWNSQQTLLIQPINMVPPEPSQDSLDLPVDPFLQSQDVSPSLPPKPKRKKSGKVVLVDSDRRRSARINKINDGYMSPDPKLGVGKPRGKTKAKSTKRLKALAEESGILFSLNPLPLDFCEPHISDEDDDDAIPADCSIQLL >ORGLA08G0075400.1 pep chromosome:AGI1.1:8:7773129:7774461:-1 gene:ORGLA08G0075400 transcript:ORGLA08G0075400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1QH53] MALVVGPYQSACLGNGDDRVLTDEDRAVSTWHFIKRIKRIGSDVPFSEVYKSICEGTSACGPVWDHILGYWNASKKEPSRVLFLTYEQMLQDPMGTIRQLAELLGQPISDAEEETGVVAEIVELCSLESMKKQKINREGSQGVGITFSNDAYFRKGVAGDWLNHMTLEMGQHLDSILNEKFDGSGFTI >ORGLA08G0075300.1 pep chromosome:AGI1.1:8:7768540:7770360:1 gene:ORGLA08G0075300 transcript:ORGLA08G0075300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKTTANGGSGAAAVSGGGRTVCVTGAGGFIASWLVKLLLEKGYAVRGTVRNPDDAAKNAHLMALAGAAERLTLVRAELLDKESLAAAFAGCEGVFHTASPITDDPEKMIEPAVSGARNVITAAADAGGVRRVVMTSSIGAVYMGGGGGEEVDETCWSDLDHCRDTGNWYCYAKTVAEQAAWELAKERRLDLVVVNPSLVLGPLLQRGVNASTWHVLKYLDGSARTYADAAQAYVHVRDVADAHARAYESPAARGRYLCAGRTLHRGEVCRILAALFPGYPVPTRCKGDAGETAEGCRFSSRKLAELGVAVMPASQCLYDTVVSLQDKGLLPFVPAAAMP >ORGLA08G0075200.1 pep chromosome:AGI1.1:8:7752376:7752708:-1 gene:ORGLA08G0075200 transcript:ORGLA08G0075200.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPIPIQRSPRRRLGDGGSAAVPGGSPGTAMPAVHGGDAAGRGEEVHGSGGAAGRGEEVHDGVVVTGCGAGAVGAGVERLAGVGEEVEERPAAAVVVVEEDTAVLAGGATL >ORGLA08G0075100.1 pep chromosome:AGI1.1:8:7729723:7732206:-1 gene:ORGLA08G0075100 transcript:ORGLA08G0075100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G24230) TAIR;Acc:AT2G24230] MGARVVLFLAVAVVAAVAQEPNTDAYFVSRFFAKMGRAAPASGAAVCGWPGVACDGEGRVVEFSAAGMGLEGAVPEDTVGKLARLRSLDLSGNRLAALPNDLWEVGASLLELNLSRNAIRGDLPNNIVNFAALQVLDVSHNAFSGALPPALGSIAALRVLDASHNLFQGQLLGTVISGWTNLSSMDLSGNALDGDLPDLSPLRSLSYLNLSGNRLRGSVIRAFHEQMKVIDLSNNSFSGLNFSSGYAGSSLAYLDLSGNELTGEFSVGNRFRNLKHLNLAFNQLSVANLLVSMGEISGLEFVNLSSTGLHGQIPRELSSQLSRLKVLDLSRNNISGVVPDLSSIRLQVLDLSVNNLTGEIPVALVKKLVSMERFNFSYNNLTVCASELSPEAFAAAFARSRNDCPIAVNPDRIQRSGGKRKGMKLALAIVLSLFFSVLGLLCVAVACRRRRKRGDVLPAVKQVSFKEEPGVSGPFAFQTDSTTWVADVKVATSVPVVIFEKPLLSFTFADLLAATSNFDRGTLLAEGRFGPVYRGFLPGGIQVAVKVLVHGSAMADQDAARELERLGRIKHPNLVPLTGYCLAGEQRIAIYEYMENGNLHNLLHDLPLGVQTTEDWSTDTWEDNNGGVATENITPEGTATWMFRHKIALGAARALAFLHHGCIPQIVHRDVKASSIYFDCGMEPRLSDFGLSMIAGTSTDNNLLHHSPGYAPPEFSDSENAMATAKSDVYSFGVVLFELITGKKPLGDDYPGQKEASLVNWTRAMVKANLGSGIIDPKIRDTGLERQMEEALRIAYLCTAELPSKRPAMQQIVGLLKDIEPKVAEQD >ORGLA08G0075000.1 pep chromosome:AGI1.1:8:7713146:7713631:-1 gene:ORGLA08G0075000 transcript:ORGLA08G0075000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGYPAAPPGMERPAPEAAAAAAEPPGAKAEEEEEGPKDVGVKGTGKEKETEKVEAEKEMEGKGKGKESMEVEEGKEKEGKGKAKEKEKETKVKVKEEGGEEKEKGKVEVVEAKRRPAGVGAETPILAVPMVAVPCFLASPAFA >ORGLA08G0074900.1 pep chromosome:AGI1.1:8:7705775:7706314:-1 gene:ORGLA08G0074900 transcript:ORGLA08G0074900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:I1QH48] MLLVTMVFAVLGFLSPSNRGGLMTAILLLWVFMGLLAGYSSSSLYKLFKGAEWKNIALRTAFTFPGSVFAIFFFLNSLIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPAIEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFGAVFIELFILTSIWLHQFYYIFGF >ORGLA08G0074800.1 pep chromosome:AGI1.1:8:7697693:7698051:-1 gene:ORGLA08G0074800 transcript:ORGLA08G0074800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQWVCPVTLFPMLGATVRRERSGDKSAMEERWRSLAKSSNSGHQIRSDKIRKRTDIPV >ORGLA08G0074700.1 pep chromosome:AGI1.1:8:7695821:7696343:-1 gene:ORGLA08G0074700 transcript:ORGLA08G0074700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGAMWRRRVRRWLLRRVVVWSLRFMVAGCPTLGRRLLVVAKVDWFVPRSPEFLAGNMVDSDVSEPSLQNSVPLQADHDSLVPPPFQIWPCSFGA >ORGLA08G0074600.1 pep chromosome:AGI1.1:8:7681987:7683774:1 gene:ORGLA08G0074600 transcript:ORGLA08G0074600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETIGDPIGGSDTSGGWLELSGRSGFVGDEVRAAGTWLGVVVVLALAGFDAGRLLSTEAATARFMVAVDVCMHAADGSMGILCVGPRHRAEVASESVVDQVKFKMVDEVEHGLREVYDVTARNEFNFTWQDDCGDRREGRALLQVESRGRSYKDGGVSCYGKPGGRLSGQLGESGEKGTNLSLGTRRFEQVTNSGRRGIFAKVEFVRVCVEYVYVVGYSLGLGVVLGVGLEYESDSILGSLINRGACLL >ORGLA08G0074500.1 pep chromosome:AGI1.1:8:7671692:7671904:1 gene:ORGLA08G0074500 transcript:ORGLA08G0074500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILGGQLRSTVGIGTRLREELRSRRSRIPGDEVGYKMAYGGWTSCRRDLRNGLHEVCVQVPVFAKGIVKLE >ORGLA08G0074400.1 pep chromosome:AGI1.1:8:7671608:7671691:1 gene:ORGLA08G0074400 transcript:ORGLA08G0074400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTGTMLREEQRSIAIGIPCEKVTRV >ORGLA08G0074300.1 pep chromosome:AGI1.1:8:7668302:7670212:1 gene:ORGLA08G0074300 transcript:ORGLA08G0074300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80870) TAIR;Acc:AT1G80870] MPSRLLQPLPPPPPPPPPPPLNRRHHHLLLAASATAATATALLLLLLLLLVALYLRSRRARRRSPTLPFSPTPAPARQLRRYSRRALRRATGGFHPSRLLGRGAASPVYLATFPDASLAAVKTCSSPHELHLLASLPESPRLVSLLGYSGPGGGGADDRPLLLVFEYLPHGSLQAALFGDARDGRFLDWPRRLAVIRDVARALAFLHAECQPPVVHGDLKPSNVLLDADFRAKLADFGLARFKTPDAIAASGAGADDFMSQELGEAGELFSTASAAGGGVKADAKDESGPAAAWGKEWWWKQDGSGELDSRDYVAEWIGSQICPARNPDWADDNEGDANDNNKNSPSGTDEHAVAASPEDKKDADCNGNAGGGDKKPEATKMREWWKEEFFEEMSKKGGSFDKRRGGGGGGGGKPWLRSISMNTGNGNANGDGGNNIEATSGLDISSFRRNRKRSRRRGRSVGSGDMHSGDFLSRELSTTTSMRGTVCYVAPECGGGPCEHGAELLEKADIYSFGVLALVILSGRRPLHILSSPMKLEKANLVSWCRQLARAGNVLELMDERLDGGYDKDQATLCVQLALLCLQRQPEQRPDSTDIVKILAGEMDLPPPPVDYSPSPRVRPFPRSSRRAQPPDATE >ORGLA08G0074200.1 pep chromosome:AGI1.1:8:7655626:7656006:1 gene:ORGLA08G0074200 transcript:ORGLA08G0074200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVARGPRGAERGSTSSGPETTDDGATKLWIKLAGRGDTACEEEAPLPRPPPIFLTTGDLSFPNDSSGASPLPFCVAMSPSPASARSLCRHYRHRPLSDPLSPFPEGRGTKDCGPKAANLIMNL >ORGLA08G0074100.1 pep chromosome:AGI1.1:8:7648926:7654799:1 gene:ORGLA08G0074100 transcript:ORGLA08G0074100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYYDIDDILMEEEPISVVFQVSANGVGLLDPGAERNSVEKGAKVDLPFWLAHGLLSLEQAVSINVPPCFTQKTRKEIQADAACVDLRIRCPYFYELGCKIVPLVNDRSIGLFLRYAFTSRYKEILSKSHSSSMMTVPKFVPRLTREEAQVFESARDSMTAFKKWRAGGVRLQKASILGRKRKTKLPDGPSAP >ORGLA08G0074000.1 pep chromosome:AGI1.1:8:7642896:7643320:-1 gene:ORGLA08G0074000 transcript:ORGLA08G0074000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRRRGGLRQWQRRAAAARRVEAARLPATAVRGVEAAVAAPSPSLPHLAEGERGVAVRRRRGLRIDSVILDELVISLLNVDSMDWIGNGWELDWKREATAGNGGGCPFFFSA >ORGLA08G0073900.1 pep chromosome:AGI1.1:8:7575932:7576613:1 gene:ORGLA08G0073900 transcript:ORGLA08G0073900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLATVLCAALAFAAAVAVADARDLVVGGNNGGGWKVPAQPDALNRWAEATRFHIGDNLVFKFDGAADAVLEVTRDDYNHCGTGSPVATHKPTGGAATVPLTSSGYHFFVGAAPGSCDKGERVIVLVMSEKHSRRGQGFFAPVPAPAQSPLAAGLFQAPAPAPATGNAGRTAASGAVLVAAALLGAAVAGF >ORGLA08G0073800.1 pep chromosome:AGI1.1:8:7522506:7528639:-1 gene:ORGLA08G0073800 transcript:ORGLA08G0073800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVRAGAASRRWRHLSTRVPSLRFGFTEDGVDAKPKRREKFDRFVAFVNHVLDARAARAGIEQLAISIQLYDRGAAHAVAAWIRGYGSSAPEMEPIAAAYSAGSCCPRLRKLRLVSVVGLKSSAVARRRRSLQQFPRNRAFGVLELNTPNLRSLKMCCYAPGTLRISAPRLEELRSSNNVIDMRWQCVEQLDVGDLSCVRGLREIDLSSRGHPVRDAGINDGPIHLLRRCTAVESLGVRLVSPDKEYVVIWDAEAEELIDEEEEEFIEEEKEEVDDMMKDVPHLPGVTSLTIRDSTLNERALMTGVTCLLARCNSLRXXXXXXXXXXXDSTIAAGNQTSIISLPHLTEVEISGFRGRKCEARLMERLHASATALNKITLRFDWLFTVESSREERINSLPLIPFSEVGK >ORGLA08G0073700.1 pep chromosome:AGI1.1:8:7519850:7520427:1 gene:ORGLA08G0073700 transcript:ORGLA08G0073700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLLCLAFSPFAWPPSLPPHPQTPDAIAGRKNKAEAGAGGWLRQDTLELLTIRPEMDTVLQEATLNGAIWEEISRIKTIVHGNSPI >ORGLA08G0073600.1 pep chromosome:AGI1.1:8:7515576:7517910:1 gene:ORGLA08G0073600 transcript:ORGLA08G0073600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80880) TAIR;Acc:AT1G80880] AAAARRRRPPPPRPTRPPPPISAPLPPRRPLPLPLRRQRLRASRPPPPPGPRRRRRARRLPPPPLRRLHRRVLPEARAVASPVLAAPPGPTSCLPPRPPRPRTLGAPPRPGRGGPRAPVGGGGVRRRRGARGATAPPPPPAEAWHLAIWAAGKARRFDLAWAVVRRMLRRGVLTSRAMVIVMERYVAANEVNKAIKTFDAMEKFKTEADQTVFYSLLRALCKNKNIEDAEELLLVRKKFFPLTAEGFNIILDGWCNVITDIAEAKRIWREMSNYCITPDGTSYTLMVSCFAKVGNLFDTLRVYDEMKKRGWTPSIAVYNSLIYVLTKENCMKDAQNIFTRIIDEGLQPNVKTYNSMIVPLCESRKLDEARMVLEDMMLKGIVPTILTYHTFLRQENIDETLKLLKKMKDDGCGPKSDTFLMLIDRFFQLNEPGHALKLWNEMKRYDIRPSYSHYMSVVQGLIKHGCMERALEYYDEMKENGFASDPKLENEFRTFLLANRDHWRGAGKYNIIPQRGKHFARRSRIQ >ORGLA08G0073500.1 pep chromosome:AGI1.1:8:7500701:7502893:-1 gene:ORGLA08G0073500 transcript:ORGLA08G0073500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGETTGVSGGGFRRRLEHYLYSGEKKHVVAGIAIFAAIFGVPWYFMSRGTKHQSHQDYMEKANKARSERLSSGQSSAPKA >ORGLA08G0073400.1 pep chromosome:AGI1.1:8:7498997:7499545:-1 gene:ORGLA08G0073400 transcript:ORGLA08G0073400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSFSRASSSRVRRDSQVSELPLVKCPFCKGDSTVVERTCKKEENFNRKFYRCLTGQYTSAQCKFVMWQGDYAVWLVKEGFLHGWTDCNAHRTEDDVPESVKASLKGLHDGIEKIRCEMKEAMSRISMFGIAFVTAFVMFVAMNVMK >ORGLA08G0073300.1 pep chromosome:AGI1.1:8:7477690:7477899:1 gene:ORGLA08G0073300 transcript:ORGLA08G0073300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKSQGETIPNVWIYLPEPVFSHGHLYVALSRGVSRLTTSILAKPKKEVDSTGKSTRNIVYKDVLDW >ORGLA08G0073200.1 pep chromosome:AGI1.1:8:7475384:7475713:1 gene:ORGLA08G0073200 transcript:ORGLA08G0073200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTPRSAAPPHLSSSQRQFAVDPASAAPRFLSSPAPPLCLSAVVASPLPYPAQRRPPPNPNRRRNTLSLSRRRRAPPSICRFRHPAFARDAAGAPPRRPCILLNALFY >ORGLA08G0073100.1 pep chromosome:AGI1.1:8:7449323:7449957:-1 gene:ORGLA08G0073100 transcript:ORGLA08G0073100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRYRDGDDRSRSSPPPPPPPTASSGSARVLPANEDDGHRGADGGGTGDYNRGDSAVSLGQSSSPSAAGRDELRQAKKQKIMERILREEAEEWELESEVRREIMEHIFPLLRRSGNARPPTPAAAAALLQILAYLLLLLRLAAWTNSVPLNVPLN >ORGLA08G0073000.1 pep chromosome:AGI1.1:8:7437466:7438918:1 gene:ORGLA08G0073000 transcript:ORGLA08G0073000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAKVVLAQPAARAPPSPLLPRAPGHSRGGGVGGGEEAYRARAKYKNLLQDYKELLEETEAKKKRLQMEKLKKQRLLAEVKFLRKRYKSMSENPSQTFVYRVKNPALPPTLRQPGWSHGEEYHTVRAIGSSSKGPSAHRRLNAAPRASPVIDLNEACEPSSEEMEELHGYQEPVRVGRVMRYPMEGDFAAGPSDAKMAAFWDVRSAAASRAGKRKISWQDQLALRV >ORGLA08G0072900.1 pep chromosome:AGI1.1:8:7435358:7435981:-1 gene:ORGLA08G0072900 transcript:ORGLA08G0072900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIDLWKKTHPTCDYLLLYTVHIKILIFGSLREINIVKGQSTSSPICHLLPLSHFPFLGADIEVDPRWPGGSEVERHGRVGVKKIDTSSVELVGWSIGSVWKPRMSRLMAQLQERALAGIRTEEDDEEGGIIFDLEKRWQGGVLGGARVPNGDGGGRRRRRAAKTDLQLAKPSLNGLHQKDTVPQGGPRKRGEEGPVRGMXCTDQS >ORGLA08G0072800.1 pep chromosome:AGI1.1:8:7433636:7433902:-1 gene:ORGLA08G0072800 transcript:ORGLA08G0072800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQMRLLAKRRNWGWRHDLLVLETEDPSSSSSSSSSPCLPDPPPLPPSSATARRTRPHFLPLPPLPRLADLSPLNLTPVPPSPRSLPP >ORGLA08G0072700.1 pep chromosome:AGI1.1:8:7425146:7428372:-1 gene:ORGLA08G0072700 transcript:ORGLA08G0072700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAAAGLAARDASGHLSPLTISRRSTGDDDVVIKILYCGICHSDLHSIKNEWKNATYPLVPGHEIAGVVTEAGKNVTKFKGGDKVGVGCMVNSCHSCDSCNQGLENHCPGVIFTYNSVDKDGTVTYGGYSSMVVVHERFVVRFPEAMPLDKGAPLLCAGITVYSPMKYHGLNVPGKHVGVLGLGGLGHVAVKFAKAFGMTVTVISSSPGKRQEALERLGADAFVVSKNADEMNAATGTMDGIINTVSANIPIAPLLGLLKPNGKMILVGLPEKPMEIPPFALVASNKTLAGSCIGGMADTQEMIDLAAKHGVTAEIEVIGADYVNTAMERLAKADVRYRFVIDIGNTLKDAIE >ORGLA08G0072600.1 pep chromosome:AGI1.1:8:7398888:7402527:1 gene:ORGLA08G0072600 transcript:ORGLA08G0072600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/Utp3/C1D family [Source:Projected from Arabidopsis thaliana (AT5G25080) TAIR;Acc:AT5G25080] MAAAAAAAADSTSAVPPAVVSAAEDTLAAAESVGDHLAEMVAAAGEDPDAIAELPPLRRARAFLAMAKAAASLFAVRLRCSGVDPDEHPIKKEFERLNLWEEKLNRFEDWDKAPLRPTTTVNTQAAARFIGHSLPHLTTDQKRSMQAISRGEGGSYSGNKRKPQPPRPNKKSVRAATEEFLAKAALELSGHNDSKVKGPIRLLSDEDED >ORGLA08G0072500.1 pep chromosome:AGI1.1:8:7394957:7396828:-1 gene:ORGLA08G0072500 transcript:ORGLA08G0072500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSEGVICPRTEDAVGNSWVSFHFLPMGNPCLTQYMFGRDGAEARRSSFETEQSEDMKFDCTCHTRSPTSRWAPXDPCSTTHAPARAASPGRSPMLSPWTAERSMQLDWDSLEREKSKMELGSRLXKMMLISKIIVPLDGVLGDIWRGRHEADGVVVWLATVHCSQNDNDVKAATRRARSKAGDDTWRSRRSATREDGIKRKIFGMIRFEGDEEVEGFLSRFTVGRRRGRIARVHLQQRRRTTAWPRRSSVAAQ >ORGLA08G0072400.1 pep chromosome:AGI1.1:8:7387937:7389665:1 gene:ORGLA08G0072400 transcript:ORGLA08G0072400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQGSSINTRNGSIDRISNLPNELLYAIMSTLPALELVYTGMLSTRWRHLWTSSAYLNIDVNQFGRHRGQKFCNFVNRMLRQRGSSLLDALRLHSADTRDASSWITYAIKRSSKVVEFSEDIDCEPFKLDYGVVDFTSICLKFLVLNNVCIDANVFYPINSSCPALENLELRDCSLEVPEISSGSLLHLDIDNCCLFEDLLISSSSLMSLCIKNPQHRAPMIMTLPCLEVAIVILDEFFHSTDDLADMDEGEEQDGEEINHGIVSGLTKARSIELIAPLREDKFEMEIWTSPMFDNLISLTLGEWCMSNEFSPLLHFLWYSPLLEDLTLKLNMEVCEYCLQEPPTAPPLVKEFTADYLKKITIYFWLGDERVSKLLTLLAPICKSLEDIKLIPSTPPGVRAFVSRVQRIIK >ORGLA08G0072300.1 pep chromosome:AGI1.1:8:7366309:7369834:-1 gene:ORGLA08G0072300 transcript:ORGLA08G0072300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDVAGGESAAVAEERDWSEMTPVCLAEAFSRLGLEDVWRGAMACCRAWRDAAASRPALFAALDLEPAFASVGADAAEWWTPAFQRRVDAMLRSASSLAAGELREVRVRHCSDDALAFAAERSPKLSILSIRSSPSVSDRSMFIVASSCHMLTELDISYCHEVSYKSLEMIGQNCRNLNVLKRNIFNWLDSSEHVGIVPDDYLRDCPQDGDREAIAISKFMQNLKHLVIRFSKLSVVGLNAISGGCKELEVLDLYGCANLTLRGIQQATSNMKNLKELEKPNFYIPRSSFHMGRYGHWQLYDERFQTNVFQI >ORGLA08G0072200.1 pep chromosome:AGI1.1:8:7363256:7364162:-1 gene:ORGLA08G0072200 transcript:ORGLA08G0072200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSLAVFLLLTLASQGTWCAAERSHRINGAHLRPHVQVEESHITEGKKLLEIQVPRKLGHEVKFPKRMAIAHKGGSTGGGSTGGTTGGASNVNSGPADTRPRKNAAAMPAPATTTSILALAFSFAIAVSAFSF >ORGLA08G0072100.1 pep chromosome:AGI1.1:8:7360918:7361624:-1 gene:ORGLA08G0072100 transcript:ORGLA08G0072100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGFLALSLFLLLTLASQATWCDAERSHAINGARLRPHLQFEELHVTDGKKLGQAADTDTDTDTDSHKHHDEVKVPMRMVIAHKGGGDAGSGEGDGVRPGTGLRMCHCSLGFQLLIEAVCVWWYSCKKGRSIILVYVCLVVW >ORGLA08G0072000.1 pep chromosome:AGI1.1:8:7355620:7356159:-1 gene:ORGLA08G0072000 transcript:ORGLA08G0072000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFLALFLLLLTFASHGTWCAAAADRSHRITTAHLRPHLHVEELHGKKLMEIQVPRKLGHEVKVPKRMAIAHKGGSAGAAAAAGAGGGGVSESRPRNGKNGAATLPAPATTSILALAITCAAVLSSFSF >ORGLA08G0071900.1 pep chromosome:AGI1.1:8:7353176:7353688:-1 gene:ORGLA08G0071900 transcript:ORGLA08G0071900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRGGVGPTSFLFLFFLSSLEQAWWQTCVRRPAAEQGAAALERGRETADAMASSAAESSGARHGSGGEGARAPPVLHRDGVQHVLVLQQRRRADVGPRPGHVLLVPEVQQDDEGGVCPWEHGRCHERARAVEPLELVGVAECTARRLVEEVGLLAGGAVPDADATYS >ORGLA08G0071800.1 pep chromosome:AGI1.1:8:7337429:7338592:-1 gene:ORGLA08G0071800 transcript:ORGLA08G0071800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEECGEVAALREALRQQAAAAEELRGELEEERQAAASGADEALAMIVRLQAEKAAERMEAEQFRRVAEERIQHDGDSLAFLKAVVFHQEMEISSLNRRLLAAGDGRAAAALRSTRRASPEIISEEEEDDDDHLISRHGEKARKPCNCSDRTAIAELGADMAQIKLNLQSLHTEFIKAKEATISRGDSQARLLAEICAKLDAISKTRQDSSSDDPVQVRVSREEGSSSKGRSYTNSELLMNHFIEVSAIIFSTLLVRPLLKVAASMSFFRCFLILVLALVFRKVLMN >ORGLA08G0071700.1 pep chromosome:AGI1.1:8:7325153:7335645:1 gene:ORGLA08G0071700 transcript:ORGLA08G0071700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDDKPPLDADIDMADLATLDAPASSDAAAAARFRPRAKGKPKPKPKPEPKAEDSKLKADDSIPMSKPEEPKPEAAAPMEDSMEVDGVGPSASASAEGVGAEEVEDEEDYVLREIDVYFTPKPFDEDTMLYVMQYPLRPCWRPYELNEICKEVRVKPLSSKVEVDLDINTECENYDPEVPLPSRLTEQTLSSSKAADVADYAVGVLRGNLVHLNHIDAVMQLRPSMLHVNSGRSNARQAHGGASSDASGSTMPSVKRNEHSEDSKDYTEESEPWISLTYQQAGSNVARKYHAEMVSDDGGPIDFTMSTSDYVMSLCPGGSTNSRDKNKSHAIREMLKLPLEERLKKWFTEVSQVNRFDALMHLAPLYSEDDVLKVLPVYADLVRGLWVCKSSLLFDDGYAWKRDRILLEFRKKDSIPLKDIHRIIKVKLDDNLKKKFLYPLCKIRAKLEDCKFVLPVDSSFIRRYPHIVKEQDHAWSVRETTMRESQETSSNTEARKTKNTTKSNIPSKGPDPNMNKARDGPVQGNENLVHSVLDTIFTANKVRSIQAIRRDLRQLAAKYASDRKDGPKLQALSNAATNCASFPLVDLQKSLNQVAVPVHGVYVAKPAKPNSPRNILIKLFRDKDPDSKLTKQEILDCAANHLKKGLNEKDYHQAVTEVCILTEDGHLVLKNGDEP >ORGLA08G0071600.1 pep chromosome:AGI1.1:8:7296582:7298829:1 gene:ORGLA08G0071600 transcript:ORGLA08G0071600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGVQDLSLEFSLGRKPCRLPGPALFSCAALVRLDIEQCDMPAAPPGFPGFPNLERLDLVYVTLPFAGAGTQLEHLIVAAEKLAVLKLSLVITTTGGGVDTWAIRAPKLRELFITMAMGDDNGCRIPMPLPMLEEATISFDRLFGTQDFLDAFQNISTVNKLCFISDKVRLTSFTQFNINMLEGITCKFENLREACLTIDFGQRSNVLSLASLLKFAPHIEHLCISIAYSEWDEDEIDEDPLNSEDEIDEDPLNSEDEIDEDSLSSEDEIYEYFLNSEISSYLFASLKYVSLTEVKVKDNSNQMCFMKHLLSKARSLQTFDVTFVCDDESNEWYGNAWGELMECQKASLPSCVDIKTDNRRLISQTHPAVDAAFVLWKKYFGTCYTV >ORGLA08G0071500.1 pep chromosome:AGI1.1:8:7291235:7291531:-1 gene:ORGLA08G0071500 transcript:ORGLA08G0071500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEDAGRRRRPWRSSPARGANGVPAAKSGGGKADGVALGLANPMAATARLGAVASGYRSGSGGGGGEEGDGARAIESTRELGEMEKKREGRAGMLK >ORGLA08G0071400.1 pep chromosome:AGI1.1:8:7288839:7289745:-1 gene:ORGLA08G0071400 transcript:ORGLA08G0071400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAASSCASCSAAADSFSSSASSCCCCGSSRIQVRGDAPFLFFLQELGVGSGGEGCFCCASSPGYTPSSPMVMGSPRRAASPDYTPSTPPRRAASPDYTPSTPTTPRRAASPDTRHRLLSAAPRRQTTRPRLRLLRAARRRWTTRPRRLRPGPRRRTTRRCPHRHGPRRRSTAPARRLRPPCRPTQSLARLRCGAAATIPTRGAAPASPARRARSRSPAATITTLAAPSCTDTRLF >ORGLA08G0071300.1 pep chromosome:AGI1.1:8:7283119:7287303:-1 gene:ORGLA08G0071300 transcript:ORGLA08G0071300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGSSSSPSSPSSPKRQRVAPPPPRRTDMLMALPPDILDDRILALLPFDKLVRTSCLSRAWRRRWESVRNLEIELPRAYSGGGRALWRCARPVRFFSARVARRDVFRAARWLRALARKGVQDLSLEFSLAGKQRPLPGPALFSCAALVQLDLEQCDMPAAPPGFLGFPNLERLDLVYVTLPFAGAGTQLEHLIVAAEKLAVLNLSPVITINGGGVDTWAIRAPKLRKLYITMEMGDDNGCRIPMPLPMLEEVTMSFDCLFGTQDFLDAFQNISTVNKLFFKSDKFNINMLEGITCKFENLREGGLIIDFGQRSSVLSLVSLLKFAPHIEHLYIRTDHSILDPVSSEDEMDEDSLNSEDEFDEDSLNSQDEIDEDSLNSEISSDLLASLKYVTLINMKYNSNQMCFMKLLLSKARSLQTFDVTFVYSYESNGRYGNACRELTECQKASPQVVLTAKVTTHGM >ORGLA08G0071200.1 pep chromosome:AGI1.1:8:7278182:7278929:-1 gene:ORGLA08G0071200 transcript:ORGLA08G0071200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGVQDLSLKFSFDDLPRLPGPALFSCAELVSLRLEKCDMPAAPPGFPGFPNLERLYLVGVTLPYARAGTQLEYLILASENLAVLELSNLGTMDGAVVVDPWAIRAPNLRELSVTMPMGVDFGCRITEALPKLEDAYISFDCVFGTQEFLDAFQNISTVNKLCFMVDEEQLV >ORGLA08G0071100.1 pep chromosome:AGI1.1:8:7276119:7277665:-1 gene:ORGLA08G0071100 transcript:ORGLA08G0071100.1 gene_biotype:protein_coding transcript_biotype:protein_coding QFSINMLEGITCKFENLREASLNIDFGQLSSVLSIDSLLKFAPHIEHLEIQTLDTELDKEKIDEDSLNSEISSDLFASLKHVSLTGAKHRENQMCFMKFLLSKAGSLETFAVTFMFDDDGKSEWFENKCRELIECQKASPQLLLTAKVTQDGSCQGAILDQLTGHLKVQILMYESKGFVQMICARLAPMYI >ORGLA08G0071000.1 pep chromosome:AGI1.1:8:7267483:7270434:-1 gene:ORGLA08G0071000 transcript:ORGLA08G0071000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRSPRTSSTSSSRGSPSTCWSALAASPARGGAGWESVRYLDIRLGWGCRGAPSARDLWRCAAPVVGFRACVHARHFHHLPTWFPALASKGVRELAIECDGVRRGHPDTPPYWVIDQGLFSCAALAVLHLEDCDMPLAPPGFRGFPSLVSLTLRGVTLPAEGGGARVEHLVAAAPLLAELRLDDVDVEELEDPTPPLYMWAVRAPRLRVLKMATRLDIGCRIPEEPPLLEEAYIDIGNSLMSFHEIFRGIITVRKLWFNIHEFNEYPLEGISCKFDNLREVHVTTNFGQQPSTMSLFSLLRCAPYIEDLSIEAEDISFSHRDDPYEIEEDDFISSGINENSFSSLKYVSLSGITYSSNQLRFMKFLLSKTESLQSFAVTFLYSKSNKEYVKACRVLRAFRRASASPQARFEVRLWDKPTPRPSFFSCLPP >ORGLA08G0070900.1 pep chromosome:AGI1.1:8:7258405:7261474:-1 gene:ORGLA08G0070900 transcript:ORGLA08G0070900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLLLLLHVLALLLLAVVVAAGGGAVVGRDSAVLQLRELQWGLSGQVRYSQSKRFEKKMTGEHKKAAAAARTRTRSTTVLELKHHSLTAIPDHPAAQETYLRRLLAADEARANSLQLRNKAAFTQSGKKATAAAAAAAGAEVPLTSGIRFQTLNYVTTIALGGGGSSRAGAGNLTVIVDTGSDLTWVQCKPCSVCYAQRDPLFDPSGSASYAAVPCNASACEASLKAATGVPGSCATVGGGGGGGKSERCYYSLAYGDGSFSRGVLATDTVALGGASVDGFVFGCGLSNRGLFGGTAGLMGLGRTELSLVSQTAPRFGGVFSYCLPAATSGDAAGSLSLGGDTSSYRNATPVSYTRMVADPAQPPFYFMNVTGASVGGAAVAAAGLGAANVLLDSGTVITRLAPSVYRAVRAEFARQFGAERYPAAPPFSLLDACYNLTGHDEVKVPLLTLRLEGGADMTLDAAGMLFMARKDGSQVCLAMASLSFEDQTPILGNYQQKNKRVVYDTVGSRLGFADEDCSYA >ORGLA08G0070800.1 pep chromosome:AGI1.1:8:7257070:7257713:1 gene:ORGLA08G0070800 transcript:ORGLA08G0070800.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDFQVDENPLERITWKFRNLRTSNLSVDFGKISSIMSIFSLLRCAPQIEQLNIEVDLKEAQGDDEIHEGILEAYMSEDLVKTLKRVTLSFIKCFPGEMSFIKLLLSKAASLESLKVMMFWHHIMPVFGCVSSFHDIQKGVVYPGEVYSGAWHGHIRHRLLIWESKYFPE >ORGLA08G0070700.1 pep chromosome:AGI1.1:8:7249555:7250442:1 gene:ORGLA08G0070700 transcript:ORGLA08G0070700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMSSSSPPSPPLPPPAAASDVAGTDILLSLLPEILDDILTRLLLKEVVRTCCLSRGWARRWESASGLDVRFRGFYSAGAVAGVLARCAAPVASFDIEVRPRLRPRAAYWLRALAEKRGRSLQLAFGSSRTDEPGVFPGVGRAIYARAELSNLYLRYCELPRPPPPQPGQGSLFAGFPRLTRLALNSVKLPFAGAGAPDLADLLLVDVITGVVAGGEKKVEEEEPEAWAIRAPKLHSLTLWTPAVDNGCRVAGELPLLNAANISVDAFLGTEDFLDTLAGFACEGAQVLCQRQG >ORGLA08G0070600.1 pep chromosome:AGI1.1:8:7242770:7243852:1 gene:ORGLA08G0070600 transcript:ORGLA08G0070600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNDDSDVVVYIGWRKRIMMWMGCRCLPAIEEKRKQQCSGNEQEGKEGRNDLRFRKRRRCWKQDDHDMAMKLNLLVAEQQWEIASRPVQTPNGEQGGGNNEELECGACAYCDRGVVARWSRNGALMSQDDDGTGNGMAVGEAPMDGEMARALGHSRGGTLNKVAGENDDRPVPSQAATAVLAQSPLSRVAPRALQAMMSSGSNDMGDGVIVEQKGDEGGV >ORGLA08G0070500.1 pep chromosome:AGI1.1:8:7235104:7239911:1 gene:ORGLA08G0070500 transcript:ORGLA08G0070500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTILARKSPLGTVWIAAHLERKIKKPQIDGIDIPSYAEFIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFHDCNRMLSTIRTAFASVQVDLPLHADHAPFDTITLPDTFRLDDINLDDAIRLIDTPDNHRKSLDQITLAEGDYLMIDLDEDARVELSAPGQSSHMGPEPTDEERLPPFHIDFGPSDNMDEEISVDPSQGNNSEAVNTSNINQADKAPDLPEIMREAPFEGPELNLPDIDEVNNDPMDVTEESSPFVSKNITPPALERTISPGQGGLSGTSIPNARGSTSTTYDNIEDVIPMDIGMPDFRIEPSPPRVQDEMNAQPVQGEMNAQPVQDEMNAQPVQDEMNAHPAQDKRRIRYDNEIVFSNAYMKRQIDGGELHRLVSKRRKLPQAAVDVWKFNRIRQKDGFLLDPLVHGMCATLRQTYERTFPHVIDPEAESGSVEHTPGVANDSIQDTHDHQLSPKSPGNTDAQPEHQFNQQAPRNSDGQPEPELNPKSPVNAEAQPESQINPQSPRNADGQPEPELNPKSPGEAGTSHFDDMPEIPRFSPQNIPSPIRDDNSPFKTPGAGGTPKSRLGETPASGTPADMSYMSPGQDSDPQVSPFPFNDELDGDLPEIPSLMSTPGVISTAGTGTTGLGSMSARTRAVAQYFKDQMASATSDDQPGKFILNRILEGRHRKQAARMFFETLVLKSYDYIDVEQEAAYGDIAVSVKPSLSGAKF >ORGLA08G0070400.1 pep chromosome:AGI1.1:8:7226021:7230194:1 gene:ORGLA08G0070400 transcript:ORGLA08G0070400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30840) TAIR;Acc:AT4G30840] MADPPSFRRHPHPFSIDLIRWLPSLLLLLLLRPPPRRRRPRPGRRPPSSSHLHLLPLHDPSSPLAALPLPSRAASLRCSPSVLAAATSSGSLHLLPSSLDAAGSAGVSVPAGAGFHVGPVRGLDCGGGGEEWVTAGEDGRVHVVGGGGDGRVVARRLWDGKGMAGYEAARWASAAEFATGGAGCGVQWWDRRKGDAVVAQCKGVWGRGIVTGMVHSIDIHPSRKHICVVGGSSGTIFAWDLRWPQQPIPLSGLGLNGTAQPVSESEVWEVLFDNYTQSTDIISSASTRILPVMMCSEDGILAVVEQDERPLELLAEPCAINSFDIDPQNPSDVVCALEWESIGVLTRGRDTMAEE >ORGLA08G0070300.1 pep chromosome:AGI1.1:8:7216358:7218664:-1 gene:ORGLA08G0070300 transcript:ORGLA08G0070300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSRTHASKSIIPLRISLLLLLLSTPPCSSSTIIQHGEAESLLRWKSTLSAAASASPLTTWSPATSSSACSSWRGVTCDAAGHVAELSLPGAGLHGELRALDLAAFPALAKLDLRRNNITAGVVAANVSTRASNLTYLDLSDNAFAGHILDVLPLSPATLQQLSYLNLSSNGLYGPILRSLSAMGKMTVFDVSRNRLNSDIPSELFTNWIELTQFRVQNNSITGSIPPTICNTTKLKYLRLAKNKLTGEIPAEIGRLASLQALELADNFLTGPIPNSVGNLTDLLVMDLFSNGFTGVIPPEIFNLTALRTIDVGTNRLEGEVPASISSLRNLYGLDLSNNRFSGTIPSDLGSRQFVTIVLASNSFSGEFPLTFCQLDSLEILDLSNNHLHGEIPSCLWHLQDLVFMDLSYNSFSGEVPPMSAYPNSSLESVHLANNNLTGGYPMVLKGCKWLIILDLGGNHFTGTIPSWIGTCNPLLRFLILRSNVFNGSILKELSQLSHLQLLDLAMNNLVGSIPRSFGNFTSMIQPKTELNLPWKVQHHILDGRVDYTYTDRIGINWKRQNHTFQGTVALMAGIDLSSNYLSNEIPSELCNLESMRFLNLSRNHLSGIIPKEIGNLKILESLDFSWNELSGSIPSSISNSMSLSSLNLSNNHLSGEIPSGYQLRTLADPSIYSNNFGLCGFPLNISCSDGSNSTSALIGGSTDSQELEILSWFYSVLAGLVFGFWLWFGVLLLFEPWRFAFFGQVDHLQKKIMQKICCMYAKSE >ORGLA08G0070200.1 pep chromosome:AGI1.1:8:7212548:7215425:1 gene:ORGLA08G0070200 transcript:ORGLA08G0070200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAAVSVPFAVTPLRRLSSSTTTRAFSFRVSAAAMAAAAKTAVQPAVVVGGGRVGQALLSMGPPGADVLVGRGEKVPEDAPGPILVCTRNDDLDAVLDATPRSRWRGTFAPLPALLSSPLRLPPGSRAVSCSALLLLVSCSAIQNGMLDPWFESKGLVDANQVLAYFAVSKLGEPPVDGITDTNPEGLTAAFGNWAPAVATRLQNGGLTCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATVGAVEKEYRSEVASLIAELASAAAEERGLTFDAGIEERLCAYSRAVAHFPTAALAQGKPDPCPLHTAWLKEIKVI >ORGLA08G0070100.1 pep chromosome:AGI1.1:8:7208457:7208750:1 gene:ORGLA08G0070100 transcript:ORGLA08G0070100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSLSSPHRRSQNTFFLSSQKKLQSSKDDVGSWSALVERHRFLLTTLVVLVFLCTIYLYFAVTLGAPDACSGLAGTEKAVCRAKSALRHGKLKFF >ORGLA08G0070000.1 pep chromosome:AGI1.1:8:7181653:7183985:1 gene:ORGLA08G0070000 transcript:ORGLA08G0070000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXPANWRKKRIHFHDFMLNVHSRLQMHKGVSDPLEVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRHLFSKGVILVSTSNRAPDQLYEGGLQRDLFLPFIDTLKERCIAHPIGSAVDYRQLGSAEQGFYFIGKQYSLLKQKLQTLIGDEEPRPQTVEVVMGRQLQVPLGANGIAYFPFEDLCDRPLGAADYFGLFKKFHTLALDGIPKFGSQNRTAAYRFVTLVDVMYENKARLLCTADAAPIELFENIVTVAEARKISPRSSRSQKTDDPDLCVDNELGFAKDRTISRLTEINSREYLEDFEAKLRQPLQGVDNDIDVVLA >ORGLA08G0069900.1 pep chromosome:AGI1.1:8:7153682:7154011:1 gene:ORGLA08G0069900 transcript:ORGLA08G0069900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVGLLAMAVVREAGAKLGAAIGEQVMMLCGFKEDLEDMKDMLESMAAVLKDAERRSVTEESVLLWLKRLKNAAYDISDMLDEFQDKSKSATAGKVHNFASFSCVKL >ORGLA08G0069800.1 pep chromosome:AGI1.1:8:7002884:7003303:1 gene:ORGLA08G0069800 transcript:ORGLA08G0069800.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDPNRSPTGLGGPSDGSYIFVIGVTGGAWEYGEENARGGEGRAGVMDYFLYIDARYFARVPSTSQRLLPLRSASSGNDL >ORGLA08G0069700.1 pep chromosome:AGI1.1:8:6999982:7001547:1 gene:ORGLA08G0069700 transcript:ORGLA08G0069700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIISSIHTYTIMALSLTPSLQDLLVSSPMILLVLLYIAYWRSKYQSLFPVDWPIVGLLPTLVANLHRLHDKITEYLAASGHSFTGNGPAASNMRLFVTCDPDNVRHIFTTNHDNYPKGHEFAEIFDIMAGAFFTMDGELYRRQRAKTHSILSDPRMVASMASSCVDKVRDGLLPLLTSMASTRTPFELQDLATRLMFDVTAMPVFGVDPGRLSPDMPSMHASAAMDTIMEVALFRHTVPMSCWKAMRRLKIGPERKLAAAHAALDVFVSEMMEKARKQEAAPSSMSMDVLSSYINDPDYVGLLHSILITYMVAGRDTVGTTLPWFFYNLAMNPRVVSGIREELAHNIATSTCNGDGVPVMFSPEDTKSLVYLHAALFETLRLYPPGWIERKTVVADDVMPSGHEVRAGDAVLISIYSMGRMESLWGEDCRVYRPERWLYDSRGGRKMRHVPSHKFLSFNSGPRMCPGKNIAVMQMKIIAAAVVWNFDLEVVEGQAVVPKLSCLLQMKNGVMVKVKKRAV >ORGLA08G0069600.1 pep chromosome:AGI1.1:8:6976736:6978162:1 gene:ORGLA08G0069600 transcript:ORGLA08G0069600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWTSDEVPKEYEYGKPFLPFNLMCELPWPMRLMHEWYLTASELGLGMITVHVSEDAFKDGPNANFAFTFKDLHAFFKMDKMDINLVGTWCLEHYILFLVYPTDQTIVVLDPADYGKDAYMEFLCLLNLAHGRYKKRGGYVKNPSREKLYIRGRWPCYKQPSLTNLCGYYVCEMLRVNGRYKTEFTDLLSIPYSGSQFDQKTLINLCVDLCRFIRRDICNHLGEFYDPHSELATDPKFKNLREWEREHDVD >ORGLA08G0069500.1 pep chromosome:AGI1.1:8:6913020:6915619:-1 gene:ORGLA08G0069500 transcript:ORGLA08G0069500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT1G68220) TAIR;Acc:AT1G68220] MAGFATSRPASLALAALLAFLNLFAFLLAVGAERRRSTGKVVPDEYDLRSYCLYDTDASTVYGVAAFFVLLLAQALVTAATRCLCLGPALSSRGCAVASFVLSWITFLTAEACLIGGSVRNAYHTKYLGYYMKHDLVTCATLRKGVFAAAAALMLINLLASLMYYWSYSRAATGGFIKHQNEVGMSMTDYGLDKGGSMP >ORGLA08G0069400.1 pep chromosome:AGI1.1:8:6907184:6909869:1 gene:ORGLA08G0069400 transcript:ORGLA08G0069400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWQLASYKDPLFPSCWKKRSKSPNQPAKVCRIASLIKQRSDKRMAETAILLAIKKISIAVAGEMLSLSRPIIAKKSELVVALPTNMELVKDELEIINAFLKKVKTRDCRDNVLETWITQVRRLAYDIEDIVDQFIYVVGEHQGKGFWGNLKKFVKKPESLFSLDRVATEVEKVKLKLKEQSSRRDRWVQSTICRPDAEIPNYDDEQGVYQFRPSQVPDYDDNELVGVDEYRETLTKLLYSEHCSLRIVAVCGMGGLGKSCLVYNVFKRERSHFDCSSWISVPQSCKMDDIFRNMLNQLLGDSSEVNYDTSRMGIEVLKEELKRFLEDKSYIIALDDIWRAPVLLEIRDTLFNSGKGSRLIITTRIDEVAAIAEDACKIKLEPLSKYDAWILFCRKVFWKTENHACSPELQKWGEKIVNKCEGLPLAIVALGSLLSLRDKTEAVWKCFHSQIIWELQNNPDISHVEWILNLSYRHLPNHLQNCFLYCAMFPEDHLLRRKKLIRLWIAEGFVEQRGSISLEEVAESYLIELVHRSMLQVVERNSFGRIRRFRMHDLVRELAIKMSEKESFSSLHDDTSGVVQVVSDSRRVSLIRCKSEITSNLASSRLHTFLVFDTTMLQCSWSCFVPPKSKYLAVLDLSGLPIEAISNSIGELFNLKYLCLNDTNLKSLPKTITRLHNLETLSLERTQVTSFPQGFAKLKKLRHVLVWKLLYNEHSSFSNSLGMGTIEGLWNLKELLTLDEIRANKKFVSRLGYLAQLRSLYISDVRSNYCSEFCSSL >ORGLA08G0069300.1 pep chromosome:AGI1.1:8:6902823:6903353:-1 gene:ORGLA08G0069300 transcript:ORGLA08G0069300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAPFSCCTYPPAPSPLTINESRRRLPTLDLEIPRASPSLDQPKPCSDEVMDRTDPCGLHLGVMPSRRMPIDANPPPMQLLVVMEGRQMKVLDLEFRLTIDVVLQTPCYSSTLCAGMSCVWRITMARLVPTGTRHGTNGIMVDTYRLXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA08G0069200.1 pep chromosome:AGI1.1:8:6890480:6894114:-1 gene:ORGLA08G0069200 transcript:ORGLA08G0069200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILFAVRKIGVALGNEATSQAVSYFRKFVTQLTELQGSMGRIRRELRLMHEFLCRMDVRNRNNQTYEIWVEEVRVLVHGIEDIVDEYLHLIGQKLDTGWSTYLKKGIKGPNVVVSLNRIASLVKEAEVNLVHLFQAKDRWVLLPSDSNDNSSYIVERSQHLAATSCSISDEDLVGIDDYRRDLEKWLEDDEPAHLVIALLGMGGLGKTALAANIYRRAKEKFECHAWVSISQTYSRQGVLRNLIGKLFKDIEDVPTDIATMDITSLEEKLHLFLVEKKYLIVLDDVWSTEAFTDLSNALVHNGTGSRLVITTRDSEVAGLASKNYVVELKTLPSDKAMELFCKKAFRSDTDDKCLAKLNDISVEIVSKCKGLPLAIVSVGSLLFVREKTTEEWKRINDQLSWEIINNPRLDHVRNGESTLEEVAEGYLKELVHRNMLQLVQKNSFGRIRRFKMHDIVRELAVDLCRRECFGITYEDGHHGRSLEENDERRLVIQKFHEDVGQSVLGVYRLRSIIVLDKSTPSSIILSSVLDNSRYMSVLELSGVPIETVPNAIDIRCLPRGIVKLKKLRHLFAEKLHDATWRNFRCCTGVRFHKGLGNLTSLRTLQGLEAQEESIRHLGELRQLRSLRVWNVKGAYSGRLCTSLVKLQFLSNLYIVASNENEALQLEEMNPPPPNLQRLFLRGRLADDILQEESPLFHHAARRNLYELRLYWSQLEQDPLPSLSLLLNLTDLRLTNTYIGERLVFLSEWFPNLRILFLRDMPNLKWLVVQKDAMKKLQRLTLVNLKNMRDVPLGIQFLMPLKYLGFLEITKEFLELLQSSSIKDFRWQHSLRDSETSCIKTITSRACKNQTAFLHMAP >ORGLA08G0069100.1 pep chromosome:AGI1.1:8:6888095:6890024:-1 gene:ORGLA08G0069100 transcript:ORGLA08G0069100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSGATTLGRGGSAALGSGYGNNNGGCGDILGRAVSAAQEAVAATMTVTACDNEIFACGCIGRMQNSDFRRTLGADSGALLVRHGSLVSIKKYKKSNGERNNVEKTSMPLKTLLGHRIVPHLRRSSRASPHLFEPWTVNNAKSLRLEMNNRSQFPIRQFFLHAWGRVDDGTMRLCSPQPAPTLQPAGHIRTATLLQWLAPTTYQEPRQSHIGRARRLPPLLVGLLSSISRLVSSKARPKS >ORGLA08G0069000.1 pep chromosome:AGI1.1:8:6879994:6882380:-1 gene:ORGLA08G0069000 transcript:ORGLA08G0069000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCSNAVFAAFNVVTLLLGAAVLAAGIYYGAPHRGGGGVTECERFLRAPALALGGAIVAVSLAGLAGACCHATPLLWAYLLLTGLLILAAACFGVFALVVTNAGAGRAVSGRGFREYHLGDYSTWLRRSVEDGGHWARIRSCLVDTGVCRSLKSNQTLDEFVNSNLSPLQSGCCKPPTACNFTYQNETYWIKPPTPSNYSDPDCNSWSNDQSELCYGCQSCKAGVLGNLRSSWKKIAFVNAAFVALLLVVYSLGCCALRNNRRHKYSLVGK >ORGLA08G0068900.1 pep chromosome:AGI1.1:8:6878900:6879184:1 gene:ORGLA08G0068900 transcript:ORGLA08G0068900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPNLHSALTEPIVEGWLVDRACYQDVINAFLLLIAKNTAIRVWQPSTTQTVSGPASVHCDQPQEEAASRRSE >ORGLA08G0068800.1 pep chromosome:AGI1.1:8:6875528:6876118:1 gene:ORGLA08G0068800 transcript:ORGLA08G0068800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPRKFKKAFMERLLLSLQVAGLTSKSMGLRERRDAVRLSSDVAMASARGRAAPWARALVARHAAERRNEPLLRRIMGGDVYERAVSSAGAAVARSRRIVRRSQRVACSSRRKRRSLAMAAASGGGGALAARRMVKGRLRLLRRLVPGGEALRGFSLLSETLDYVVCLKTQVELMHSLCKGSQQQQQQKLHLQLG >ORGLA08G0068700.1 pep chromosome:AGI1.1:8:6866377:6867667:1 gene:ORGLA08G0068700 transcript:ORGLA08G0068700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSFRAEESGEIYLRSSELDTGKIPASKPVPFRHNTNSNSVPNPGSKTGKSDDYRCEMGTLLSLYLQQECDKHRKEQEEGDSPILLQMTVFIISLIDRP >ORGLA08G0068600.1 pep chromosome:AGI1.1:8:6853920:6857004:1 gene:ORGLA08G0068600 transcript:ORGLA08G0068600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G28030) TAIR;Acc:AT4G28030] MASASRLLPPTPPPHSAASPPARHRHPTLAAPLSRRPINPPRLRCRAASAAAAAGAAATTTTGGGGGALVLEGSGAGAVAVREFVTLDELRAAVRLRIRTFYEYATDSYGAEDLRKSLADREYDALQDRISGKMINFQRVSCINGTVPLLPSLVSAEELCSTCKFVEDGEERVVIGSLDLNQCLWLPDELTGKRPGVNESSHTRAYLSNVCVAKELQRNGLGYALVDKSKKLAREWGITDLYVHVAINNEAAQKLYNKCGFVYESEEPAWKARFLGRPRRLLLWLDLKKDAL >ORGLA08G0068500.1 pep chromosome:AGI1.1:8:6816220:6816686:1 gene:ORGLA08G0068500 transcript:ORGLA08G0068500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHSSSSPCPCQGTAPRCGKNEGGVEESTRWQEQQQLEEELVSVAGAAGEGRRGGEEEQKGVEFGRLFVTFALRLYQKFRIQKLPTGSFFSFLFSTTAFDFESISVFKSFSRIKVKVRI >ORGLA08G0068400.1 pep chromosome:AGI1.1:8:6810955:6814682:-1 gene:ORGLA08G0068400 transcript:ORGLA08G0068400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGMVGDSFGCSATGERLVSAARDGDIQEAMALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVDINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHKKDCFSGATAIHFAALKGHTRCLRLLVADYVPSLPEFWSVMHAKCTDETNKEAFDAVALRRLINNKSDGGVTPLHLAALHGHAECVQLLLDLGASVSEVTINDGSTIDLIGSGSTPLHYAACGGSAVCCQLLVAAGANMRAQNTNGLTPLMVARSWHKSSVEGILTKRPEVPVRILPSSYLSLPLMSIVKIARECGWRKTSVSSVCHDPCAICLDTECTVSAEGCGHEFCTKCALYLCATASSSTSIRGVPGSIPCPLCRHTIVSFGRLASTTPIKELPWTNKSLALCAAGASTGSKYAGPAAITSSKYAGSLHRRSEMRSLRSSSVDLGCSSFRTASSGKLSSIKLNCTGADETMPCLVNCFRPDVQRSSSYRERIRRYSQFS >ORGLA08G0068300.1 pep chromosome:AGI1.1:8:6748732:6749502:1 gene:ORGLA08G0068300 transcript:ORGLA08G0068300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSFPAEIIHPARLGCMLRLVEHRTGDAAVAFQCDGCMLPGEGTRYTSVVDNHPTHLALHTSCALATPTLQHALVKGTMELRHEAPAGGAGVCSACFETVRGFHYYGSRKTGKGEHPKLHPCCARLPVSIAVQGGLTFELRAEVSHRCTGCRAIEWYYRPWCYRSTNSPDHRVYLHVKCIREIMESPGGGGGGGAGDEDDRVVARLLERADQSSKLERRVCKILVILVRVVVRMLIGDPTALLTEGVSAIVSPW >ORGLA08G0068200.1 pep chromosome:AGI1.1:8:6713047:6714021:-1 gene:ORGLA08G0068200 transcript:ORGLA08G0068200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAPPGFFSFLKHGVLVPARGRGVFLPLLALTAALAGALLLANSLAVQPRAVAALLDADAVSRADPASAAYPKLVRRFRDDLRGLLLDAAACVAAAVVAGSAIKIATVFGAVAAFSPAGGEDRRATVSGLIGAARGNVWGPVLTIAFGYVLEVVCAAAIVAMAMLVVPLLEYSLLLLFLDAMAVLLASLFLVYLTVVCAVALAVSAAEPGRRGAGAVSRAWRLMSGKNAQAVLYVVATFALAAAVSPVYTLALRWWPRSAPAGIAAGVAYVLLLGAVEVFSVAAVTAYYFECRDMKQVEEDMAAGHHHYTKLSNGDEANI >ORGLA08G0068100.1 pep chromosome:AGI1.1:8:6701221:6702647:-1 gene:ORGLA08G0068100 transcript:ORGLA08G0068100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSPALARPTWPSSGGKPSPQEDNCLERSFSMPPSWRYRHRRLDHVARVPATGHLVALPSPPSPHHDSLAAAAHHPCRARTTAPSASAFHSPCQSSTATSPHRRPLEPSGTPPITTVPAYRHHPLLPADFSPSPPPSTAPSXLLSSHRRRRGCAPTIDVALFRLQTSPPPPLTLPPPRCRVSPTPAQGLAPRVTLAEPALTPRTSAASTLPSLPATAVSRGSGWKAAEFGPPRRLHVRAATEAHVATSTQPRHCHRRIRTGGYRIWPSAPPCHRGVSHTVGVVDVNYVT >ORGLA08G0068000.1 pep chromosome:AGI1.1:8:6687123:6687829:-1 gene:ORGLA08G0068000 transcript:ORGLA08G0068000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERKAGICLVLVLLLSTLAQHAYAEQWCWYEVSVSPICAGFTCKFDCWFFAKVNKAKVQSHKCLGKGYKIKCLCQLCKNV >ORGLA08G0067900.1 pep chromosome:AGI1.1:8:6683170:6683663:-1 gene:ORGLA08G0067900 transcript:ORGLA08G0067900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKAAICFVLMLLLSLGNSIPTPIDTCTQSVSILPICVGFTCKYHCWVFSKFTKGKAIDHKCLGKGYKTKCYCLICRK >ORGLA08G0067800.1 pep chromosome:AGI1.1:8:6671695:6672166:-1 gene:ORGLA08G0067800 transcript:ORGLA08G0067800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKASVVCCILLLVLALGGPASATDYCYKAIGKLIVCVGPTCKLDCWLEAKYNKGRVKRHKCMKHGIFAKCYCEICVTF >ORGLA08G0067700.1 pep chromosome:AGI1.1:8:6665757:6669253:1 gene:ORGLA08G0067700 transcript:ORGLA08G0067700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proton gradient regulation 7 [Source:Projected from Arabidopsis thaliana (AT3G21200) TAIR;Acc:AT3G21200] MSPPPSSLLPSPLPSPAILLSTNPSSLRLPPLAAGRRGAARAVAAGAASAPAPATPVQAQQRASRPSAAEVARTVVELAPSGTLSVVGPDGWPLGVGARFVADGSGAPALCLAASGPAAAAPDAPSSFHVEFRQSGARTPQCTLLGALTKPSDEYELKKLSTRWQKKFGEEIDQDLLYLISVERILHIEDFNEDGMWVIPSEYSDAEPDPLRNFAESIVEEMNSKHAEDVHRIYSIYVESDFQATEVKMIWVDRLGFDLHVHSGEVTFAARIPFTREVSDEKGVKSSFNMMSHHAWEVEKSYAAPEFEKVELLKKVR >ORGLA08G0067600.1 pep chromosome:AGI1.1:8:6645357:6646025:-1 gene:ORGLA08G0067600 transcript:ORGLA08G0067600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQLLDAICERMTYFLRTEGTYITREGDPVKVMLFIIRGKLESSTTDGGRTGFFNSIILKPGDFCGEELLTWALLPSSRDSYPSSTRTVETITELEAFSLQADDIKCVASTFRMMHSKHLQHTFRLHSYQWRTWAAHFIQSAWRRRRNRQKMAEVGLSNRWKSFFSLVNDFNEMRSEDVNGSSSTVSHRETVTVSKIASIFKKAQKERPEEPDFSADHHPE >ORGLA08G0067500.1 pep chromosome:AGI1.1:8:6639244:6644629:1 gene:ORGLA08G0067500 transcript:ORGLA08G0067500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SECY homolog 1 [Source:Projected from Arabidopsis thaliana (AT2G18710) TAIR;Acc:AT2G18710] MAAMATPQQCWLPTRARAPPPPPPRAPPSAALVSGAPASLRFRGRRSASASASASAARRKGASLPCSPRCALETAGPGFDPLGLYNDGPSRNDTQSPLSSFFGILSPVFGSSSGGRKEKSSYGRGAAAAIEDSSIDIGDFFKGPLPGKFLKLLGYLALSRLGIYIPLGGVNRDAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQKKEGEAGRKKVLQYTRYASVGFAIVQAIGQVLFLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLLTIILSFLFLVLGIVYVQEAERKIPLNYASRYSSRSGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLDFLKKAAISLNPGGALYIPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAFIKTVLSNISVLGSAFLAVLAAGPSVVEQITHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNRFDQ >ORGLA08G0067400.1 pep chromosome:AGI1.1:8:6634086:6636778:1 gene:ORGLA08G0067400 transcript:ORGLA08G0067400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGVRLKAGTRPPWVGLAAAVWVQMAAGNAYTFPLYSPAIKAALGYTQQQLAVLGVAKDVGENFGIVAGVLCNSFPPWVVLLVGAAFCFVGYGALWLAVSGAVVAMPYWLLWIVLAMATNSNAWFLTAVLVTNMRNFPLRRGVVAGLLKGYIGVSAALFTQVFSGVLHRSPTSLLLLLATGLPTICLATMYFVRPCTPATLDAATTDADTEEDGHFAFTQAVSVVLAVYLVTTTVLGNAIKLSDATSYTLFIVTVLLLLAPLAIPVKMTLFRSSPRRRSTETTEEPLLIPPHVVVDGGGDGDEEESDKVDLLLAEGKGAVVRTMKRRRPRRGEDFELSEALVKADFWLLFVGYFIGVGTGVTVLNNLAQISVAASIGDTTVLLSLFALGNFFGRLGGGAISEKFVRSTLLVPRPIWMALTQTVLVVAYLCLAYTLGPAIAYACTAAVGLCYGVQFSVMIPTTSELFGLKNFGLFYNLMSLANPLGAALFSGELAGRLYDEEATRQQHSGGACLGPGCFRAAFVVLAGACSVGTAVSLVLAARIRPVYRALYSGGSFRLPNASQQH >ORGLA08G0067300.1 pep chromosome:AGI1.1:8:6627777:6628199:1 gene:ORGLA08G0067300 transcript:ORGLA08G0067300.1 gene_biotype:protein_coding transcript_biotype:protein_coding STSSLERGSNSSSMTSTTAGREQERRAATTAQEEVEXSLIGEGGVEENTERVVTSQDPLVHKASSTPPRRSSSHGEPAWRVGGRGLSRRGWVLSTTAQREPIGAKLDPKQPQRGSRTQLSRWQVEASLYARPSTSSADNH >ORGLA08G0067200.1 pep chromosome:AGI1.1:8:6617468:6621464:1 gene:ORGLA08G0067200 transcript:ORGLA08G0067200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose-synthase-like C4 [Source:Projected from Arabidopsis thaliana (AT3G28180) TAIR;Acc:AT3G28180] MAPPPNTYSESWWGGKEERGTPVVVKMDNPYSLVEIDGPGMAVPSEKARGKNAKQLTWVLLLRAHRAVGCVAWLAAGFWAVLGAVNRRVRRSRDADAEPDAEASGRGRAMLRFLRGFLLLSLAMLAFETVAHLKGWHFPRSAAGLPEKYLRRLPEHLQHLPEHLRRHLPEHLRMPEKEEIEGWLHRAYVAWLAFRIDYIAWAIQKLSGFCIALFMVQSVDRLVLCLGCFWIKLRGIKPVADTSISNDDIEATAGDGGGYFPMVLIQMPMCNEKEVYETSISHVCQIDWPRERMLVQVLDDSDDETCQMLIKAEVTKWSQRGVNIIYRHRLNRTGYKAGNLKSAMSCDYVRDYEFVAIFDADFQPNPDFLKLTVPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVYLSFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYQAYRKQQHRWHSGPMQLFRLCLPAVFKSKISTWKKANLVMLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPIWVICYVPVIMSVLNILPAPKSFPFVIPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRTSSESDILALAEAADADARPPPAKLHRGVSEGGLKEWAKLHKEQEDATAAAAAAAAAPGTPVKKSKAAKAPNRIFKKELALAFLLLTAATRSLLSAQGLHFYFLLFQGVTFLAVGLDLIGEQVS >ORGLA08G0067100.1 pep chromosome:AGI1.1:8:6595111:6603953:1 gene:ORGLA08G0067100 transcript:ORGLA08G0067100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGERAVQILEKQVLSVAKAVEDKLDEQIAALDRLDPDDIEALRERRILQMRRAAERRAKWRALGHGEYGEVPEKEFFAAAKASDRLVCHFYRDNWPCKVMDKHLSILAKQHVETRFVKVHAEKAPFLTEKLRIVVLPTLALVKNTKVEDYVVGFDELGGKDDFSTEDLEERLAKAQVIFLDGEGPAHASKQATKRSVRQSDTGNSSDSE >ORGLA08G0067000.1 pep chromosome:AGI1.1:8:6585851:6586339:-1 gene:ORGLA08G0067000 transcript:ORGLA08G0067000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYIFIAQSQEKYPSIDLPALANSKSQVRFSTDFLIVVDFEFHRIEITITMRFVSAYLMAYIGGNESPSKDDVRAILGSVGADVDEAKLDLLFEEIAGKDIPELIAAGRERLALAAPCGGVAAAAAGGQAVAAGGAAAAAEEEAEEEEKKEEDDDDGLFNLFD >ORGLA08G0066900.1 pep chromosome:AGI1.1:8:6584290:6585073:-1 gene:ORGLA08G0066900 transcript:ORGLA08G0066900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase epsilon chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G51650) TAIR;Acc:AT1G51650] MSATTAAVPFWRAAGMTYIGYSNVCAALVRRCLKEPHKSEAASREKVHFAISKWADGKQEKPNLGSVESRKDGRSKGNLDVGIGGIESSLKVPGTL >ORGLA08G0066800.1 pep chromosome:AGI1.1:8:6570803:6572319:-1 gene:ORGLA08G0066800 transcript:ORGLA08G0066800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSHLKEVAAGEVMGGLSMDQAFVQAPEHRAKPSVAEADGIPVIDLSPLFAADGVDVDALAAEVGRASQDWGFFVVVRHGVPEEVVARAAEAQRAFFALPPARRAAVARSEAAQMGYYASDHTQNVRDWKEAFDLVPTRHPPPPPPAVVLDNKWPDDLPGFSPDLALGLGHHKDAGALTVLYQDAVGGLDVRRRCDGEWARVRPIPQSFIINVGDIIQVWSNDRYESAEHRVAVNVEERFSMPFFFYPASYTMVEPLEEIVSEESPARYNPYCWGKFVSTRKNGDFKKLDVDNIQIAHFRKKALVHIQ >ORGLA08G0066700.1 pep chromosome:AGI1.1:8:6533654:6542363:-1 gene:ORGLA08G0066700 transcript:ORGLA08G0066700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:I1QGW6] MSSSASEQPRGDQVWSSSSPPRSSTPPPQPLVGGLIESLSFRSCGFGRAAVSAFEKEDLRARAALPQRLRAAVHAALRAKDPSAGAFAYFAGNAAARGGRGGGGSGGGREVSAANPWFEVAHDDAPESPLVAFVNPRSGGRLGPVLKTRLQELIGEDQAALVTSGWLRRSADLHNALIRALAGSARPHLALPLYAHLLRAGHHPTPHTLPSLLKSLALSPAVPGARGLALAVHAHAVKLGLAGFLLVSNALIRVHAGILGRLSDALVLLRTAASVDASTFNTLITAYARAGRVTNARALFDEMPARNAVSWSAMVNGYVQAGDGREALGLFARMQADGVRPDDTVLVGVLAACAQLGVLEQGKWVHGYLKANNIRITVFLGTALVDMYAKCGEMQLAMEVFKVMKEKNVLAWTTMIKGLAMHGRGSEALELFSQMERLGVKPDDIAFIGALCACTHTGLVDKGRELFDSMVRKYGIKPKIEHYGCMVDLLARNGLLNEAREMVEKMPMKPDALIWGALMAGCRFHKDVELAEYVVKHWIELEPDKSGAYVLLGNIYAASGRHASAREIRHLMREKGVDKTPGCSTVEIKGVIHQFIVGDLSHPFIEEILSKWDEIDSRIRLEEGYVPDKKEVLLDIEEEEKENALSRHSEKMAIAFALINTSDDMPIRIVKNLRVCHDCHHVTKLISKQVFDLTVVKPSDFVQYVLGCLEQLADAGDHSAKSIRHNLRVMVAGGDGTVGWVLGCLGDLYVQNREPIPPVAVIPLGTGNDLSRSFGWGASFPFGWKAAAKRSLYKAIFGSVSCLDSLMMIHQYFCYVKKPYLSWLSPHSWHIVVSMPERGDEEEELDFPHSLRNLGECTFYDDGTAEGELPETVSCFDGVFYNYFSIGMDAQVAYGFHHLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLTNIIRLSIKKLDSSEWENIPVPSSVRAIVALNLHNYASGRNPWGNLKPEYLEKRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIKGGQWRDAYMQMDGEPWKQPLDHEYSTFVDIKKVPYPSLIINGGDR >ORGLA08G0066600.1 pep chromosome:AGI1.1:8:6528425:6531455:1 gene:ORGLA08G0066600 transcript:ORGLA08G0066600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G21215) TAIR;Acc:AT3G21215] MAGTIHPFHQQWPPAAGAPAPAAAVPPPPPVPXXXXXXXXXXXXXXXXXXXAAAAARPDEVRTIFITGLPTDVKERELHNLLRWLPGFEASQINFKGDQPMGFALFSSAHHAIAAKAALQDLVFDAETKTALHTEMAKKNLFVKRGVGNDANAMDQSKRLRTGGDYTHSPYAAPPYHPPPPAVSMWGTPGYIAAPPPYNHYAAYSVPPVAMTSPSPVPGPTAYAPVQQNTKDNPPCNTLFIGNLGETVIEEELRSLFSVQPGYKQMKVLRQDRNTVCFIEFEDVNAASAVHHNLQGAVIPSSGRGGMRIQFSKNPYGRRKDSVGGIGGSLNGAPSN >ORGLA08G0066500.1 pep chromosome:AGI1.1:8:6517458:6517910:-1 gene:ORGLA08G0066500 transcript:ORGLA08G0066500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPWHSPVPYLFGGLAAMLGLITLALLILACSYWKLNNYLGTGHSSSAAAAAGDGGDGDGGSKLPATAVAAFPVVYGDLVAVVMAGERMPTFLAAPIVRRPPSTDTSSSAVAEVASPENGCDAAEGGAASRPPPQPVAARQAVQLAQL >ORGLA08G0066400.1 pep chromosome:AGI1.1:8:6507235:6511011:-1 gene:ORGLA08G0066400 transcript:ORGLA08G0066400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57670) TAIR;Acc:AT5G57670] MDTIEESSVDDGRRLMLLGSRILVGVPNNSRGCSELLSWAIRVVARPNDSVVAVHVLGGRGRKNRLQKANAFVIYMLGEFVETCEAKQVNLEAKVVCSPSIWRALTQEATLTDANFLIVGRSGNAYRRNHFEVANYCYMNAPRNCSVIAVGRDGLPQSTARFKSRSFDDSSIFSSSTWSRRFPPLQKLLRSNSARKPAQSTGEATEDKSSPRAVLDGPEAGEQHVTEECYSTTSSNEVSRRGQNGIWRRLSDMKLWLPFLRSIDDENVNGGDNSSNYTEDQKPAWRCFSYQEISVATNDFHPDNMAGRGGYAEVYKGILSDGQCVAVKRLAQGKPTEQKEKEFLTELGIQGHVCHPNTAYLLGCCVENGLYLVFEFCENGTLASALHGKSAKILEWPLRYKIAVGVARGLQYLHMFCRHRIIHRDIKASNVLLGDDFEPQISDFGLAKWLPKQWTHHSVIPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCSKLSLLQWAKPLLEAGQVTELADPNLGGDYDKDQLKRMVAVASRCIMRPAMWRPSMAEVLHFLSTDECLKEPEKWNIPEDEVDDMDDCTMFSESLSP >ORGLA08G0066300.1 pep chromosome:AGI1.1:8:6504370:6505248:1 gene:ORGLA08G0066300 transcript:ORGLA08G0066300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASDGSASPASCGGAACGVCGSAATVYCAADAAALCVPCDAAVHAANPLASRHDRVPLAVAMAAASSGVYDHLFAPDDDAASSWAAAAAAGAAVQGQGQGSPNDSSSSFTNDSAGGGGGGGGAERILFDLLSDVDIMSCGSGGLASSFDGAAAPPLWLHPGQLAALTPWSPADSVVVPTSAAGAVAAAAAAREERVRRYREKRKNRKFQKTIRYASRKAYAEARPRIKGRFVKRATTAAASSSSDDDSTAAATEEAKFWLSFSDDGRADGVGFYMDSTTAATAAYGVVPTF >ORGLA08G0066200.1 pep chromosome:AGI1.1:8:6487665:6492065:1 gene:ORGLA08G0066200 transcript:ORGLA08G0066200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor 3 [Source:Projected from Arabidopsis thaliana (AT2G24765) TAIR;Acc:AT2G24765] MGIVFTRLFSSVFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVTAKEEFHAILEEDELKGAVVLVYANKQDLPGALDDAAITESLELHKIKSRQWAIFKTSAIKGEGLFEGLDWLSNALKSKSS >ORGLA08G0066100.1 pep chromosome:AGI1.1:8:6477873:6481779:-1 gene:ORGLA08G0066100 transcript:ORGLA08G0066100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYRIMIDINE B [Source:Projected from Arabidopsis thaliana (AT3G20330) TAIR;Acc:AT3G20330] MAAARATLPLPRVPAPSPRPQLRPFPSLPARRGAVACGAGSAAAGVAASLRLGDVIEAQQFDRDALTEIFEVAREMEALERGSSSRGAGRSRVLEGYLMATLFYEPSTRTRLSFEAAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAAATADIPVINAGDGPGQHPTQALLDVYTIEREIGTLDGIKLGLVGDLANGRTVRSLAYLIAKYQNIKIYFVSPDVVKMKDDIKEYLTSQGVEWEESSDLLEVASKCDVIYQTRIQKERFGERIDLYEAARGKYIVDKKVLDVLPKHAVIMHPLPRLDEITIDVDSDPRAAYFRQAKNGLYIRMALLKLLLVGR >ORGLA08G0066000.1 pep chromosome:AGI1.1:8:6475158:6476408:1 gene:ORGLA08G0066000 transcript:ORGLA08G0066000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWSPEEDAMLKSYIEEHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGDFTPEEDSIICSLYISIGSRWSIIAAQLPGRTDNDVKNYWNTKLKKRLLGRRKDRGGGHHHRSQSTADDLPAGGDGGMNDGGGGGGERSLSASAMERIQLCMQLQELQNPLSIHHNPLLSHQWPSKATIDDQNHNNVTVAEHGMSSSVSDHHRLDGQQLESGAGAAAMQQASPSSGGENSNVVVAIEAELQELLYAGGGAIVDGGAPPQGDVDWWSYDQGKQSPVTCWDFTPETSSIFQDYATVYDI >ORGLA08G0065900.1 pep chromosome:AGI1.1:8:6460146:6461425:1 gene:ORGLA08G0065900 transcript:ORGLA08G0065900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVPARARSVGGGPRSEGARPGPKTGAAAGRGGAEDALHVFDELLRQGRGGGGGASIIRGLNRALAAVARDSPAAAVSGFNRMARSSDDAVTPTLHTYGILIGCCCRVGRLDLGFAGLGNVIKKGYRVEPIIFTPLLKSLCAEKRTSNAMNIVLRRMTELGCAPHVFSYNILLKGLCHESRSQEALELMHMMADDGGDCPPDVVSYSTIIDGLFKEGNSDKAYSTYHEMLDRGIFPDVVTYNTIVAALCKAQAMDKAMDVLNRMVKNGAMPDCITYNSIVHGYCSSGQSKEAIGILEKMCGDGVEPDAVTYTSLMDYLCKNGRCIEARKILDSMIKRGLKPNVITYSTLLHGYATKGALVEMRDLLDLMQNEAARINSGCSDLRNIDRWTL >ORGLA08G0065800.1 pep chromosome:AGI1.1:8:6449542:6452808:1 gene:ORGLA08G0065800 transcript:ORGLA08G0065800.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLWVFPLLMRTLLLTATSQSISGDDLSALLSFKSLITSDPRQVLSSWDTANNGTNMASFVFCQWTGVSCNDRRHPGRVTALCLSDISLVGTISPQLGNLTLLRVVDLSANSLDGQIPSSLGGCPKLRAMNLSINHLSGTIPDDLGQLSKLAIFDVGHNNLAGDIPKSFSNLTSLLKFIIERNFIHGQDLSWMGNLTSLTHFVLKGNHFTGNIPEAFGKMANLIYFSVLDNQLEGHVPLPIFNFSSIRFLDLGFNRLSGSLPLDIGVKLPRIKRFNTLVNHFEGIIPPTFSNASALESLLLRGNKYHGTIPREIGIHGNLKFFALGHNVLQATRPSDWEFLTSLTNCSSLQMLDVGQNNLVGAMPVNIANLSNELSWIDLSGNQINGTIPSDLWKFKLTSLNLSYNLFTGTLPFDIGRLPRINSIYISYNRITGQIPQSLGNVSQLSSLTLSHNFLDGSIPTSLGNLTKLQYLDLSGNALMGQIPQEILAIPSLTKLLSLSNNALSGSIPRQIGLLNSLVKMDLSMNKLSGEIPNAIGSCVQLSFLNFQGNLLQGRIPENLNNLRSLEILDLSKNNLAGPIPEFLANFTILTNLNLSFNELSGHVPNTGIFCNATVVSLSGNIMLCGGPPDLQFPSCSSKGFDRASVHRLHVLIFCIVGTLFFSLFCMTAYCFIKTRMKPNIVDNENLFPYEMNERISYAELQAATESFSPANLIGSGSFGNVYIGNLIIDQNLVPIAIKVLNLSQRGASRSFLTECDALRRIRHRKLVKVITICSGSDQNGDEFKALVLELICNGSLDEWLHASTTAISTSYRRINLMKRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDDDMVAHVTDFGLAKIMNIAEPCKESSSFVIKGTIGYVAPEYGAGSPVSMDGDIYSYGVLLLEMFTGRRPTDNFVNGMASLIDYVKMAYPNNLLEILDTNATYNGNTQDMTQLAVYPIFRLGLACCKESPRERMKMDNVVMELNAIKKAFSAHTYA >ORGLA08G0065700.1 pep chromosome:AGI1.1:8:6437611:6437832:1 gene:ORGLA08G0065700 transcript:ORGLA08G0065700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGEDSIWHTMEVLCAYGMRSRIWKESKFGMIGYVKFVSCTRGFPKVFRTSSMSSVRGFRLPTSGINRGGA >ORGLA08G0065600.1 pep chromosome:AGI1.1:8:6430895:6434167:1 gene:ORGLA08G0065600 transcript:ORGLA08G0065600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKWQLSMLSLLTHALLLLTASSQIINGDDLSALMSFKSLIRNDPRRVLSSWDAVGNGINMTTPVFCQWTGVFCNDPQYPGRVTTLNLSNTGLVGTMSQQLGNLTHLRVLDLSANSLDGDIPTSLGGCPKLHAMNLSRNHLSGTIPADLGQLSKLAIFDVGHNNLTGDIPKSLSNLTTLTKFIVERNFIHGQDLSWMGNLTTLTHFVLEGNSFTGNIPETFGKMVKLIYFSVQDNLLEGHVPLSIFNISSIRFFDLGFNRLSGSLPLDVGIKLPRINRFNTLFNSFEGILPPTFSNASALELLLLHGNKYHGLIPREIGIHGNLKVLMLGDNALQATKSSDWEFFTSLTNCSNLELLDVGHNNLVGAMPISIANLSKEISGIDLSANQMIGTIPTDLSKLNKLVSLNLNHNLFTGTLPLDIGRLSRINSIYLSYNRIEGQIPQSLGNITQLIFLSVSNNLLDGSIPISLGNLTKLQYMDLSGNALMGQIPQDILVIPSLTRLLNLSNNVLTGSIPSQIGHLNSLIKMDLSMNKLSGEIPKTIGSCVQMSSLNLHGNLLQGQIPESMNSLRSLEILDLSNNNLAGPIPFFLANFTLLTNLNLSFNKLSGPVPSSGIFRNTTVVSLSGNRMLCGGPPYLKFPSCLSKDSDQASVHRLHVLLFCIAGTLIFSVCCMTAYCFIKTRMKPNGIDNENIFLSEMNERISYVELQAATESFSPANLIGSGSFGNVYVGNLIIDQILVPVAIKVLNLSQRGASGSFLTECDALRRTRHRKLVKVITVCSGSDQNGNEFKALVLEFICNGSLDEWLHANTTTISTSYRRLNLRRRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDDDLVAHVTDFGLARIMSIAEPCKESSSFVIKGTIGYVAPEYGSGSQVSMDGDIYSYGVLLLEMFTGRRPTDNFDNGITSLVDYVKAAYPNNILEIMDASATYNGNTQDIIELVVYPIFRLGLACCKESPRERMKMNDVVKELNAIMKTYSAHTYS >ORGLA08G0065500.1 pep chromosome:AGI1.1:8:6426250:6426837:1 gene:ORGLA08G0065500 transcript:ORGLA08G0065500.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSFVTKGTIGYVAPEYGSGSPISMDGVIYSYGVLLLEMFTERRPTASFMNGMTSLVDFVKTAYPDNLLEILDANATYNGYTQDMTQLVIYPVFRLGLACCKESPRERMKRIMKSRN >ORGLA08G0065400.1 pep chromosome:AGI1.1:8:6378337:6386094:-1 gene:ORGLA08G0065400 transcript:ORGLA08G0065400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenosylmethionine-8-amino-7-oxononanoate transaminases [Source:Projected from Arabidopsis thaliana (AT5G57590) TAIR;Acc:AT5G57590] MLGLLRHARRHSTTSSSATATASAAAVPLTSPAFAVFGANTGVGKTLVSAGLVASLLASPSPSPSTVAYLKPLQTGFPDDSDARFVFDRAPSLLRLPLPAGGRATRLVASNHTLFPSPAVDPLPERQDTVVNYGGEEGVEEKALVCRTVYAWREPVSPHLAAEREGMPVEDEEVRWLVDRWLAEEDGGGEVWKVLETAGGVASPGPSGTLQCDLYRSSRLPAVLVGDGRLGGISSTLSAYETLLLRGYDVGSVILEDRGLSNDRFLLSYLRKRVPVHVLPPIPEDPKDDLTDWFSESSSAFSSLKDSLQSFHSRRIQRLNSMQRKSKDLLWWPFTQHDLVPVDSVTVIDSRFGENFLAYKVKDKKIVPQFDACASWWTQGPDSNLQIELARDMGYAAARYGHVMFPENVHEPALRCAELLLGGVGKDWASRVYFSDNGSTAIEIALKMAFRKYACDHGIIVDSEKDIRSEGSVHFKVLALNGSYHGDTLGAMEAQAPSAYTSFLQQPWYSGRGLFLDPPTVYIKNKTANLSLPPSICHDQLCDTCFSSLTEVFCKTRDTSSAANVYVSYISQQLSQYAMSNNSEHIAALVIEPVIQGAGGMHLIDPLFQRLLIKECKNRKIPVIFDEVFTGFWRLGVESASELLGCFPDISCYAKLMTGGIVPLAATLATEPIFEAFRSDSKLTALLHGHSYTAHPMGCTAAVKAIQWYKDPSTNSNIDLDRMKLKELWDGVLVNHLSSLPNVKRVVSLGTLCAIELKAEGSDAGYASLYASSLIRQLREEDNIYARPLGNVIYLMCGPCTTQDSCTRQLAKVHRRLQKLN >ORGLA08G0065300.1 pep chromosome:AGI1.1:8:6372513:6376812:1 gene:ORGLA08G0065300 transcript:ORGLA08G0065300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEQQQPESAAPVTEASPEIIFRSKLPDIAITNTLPLHRYCFERLPEVAARPCLIDGATGGVLTYADVDRLSRRLAAALRRAPLGLRRGGVVMSLLRNSPEFVLSFFAASRVGAAVTTANPMSTPHEIESQLAAAGATVVITESMAADKLPSHSHGALTVVLIDERRDGCLHFWDDLMSEDEASPLAGDEDDEKVFDPDDVVALPYSSGTTGLPKGVMLTHRSLSTSVAQQVDGENPNIGLHAGDVILCALPMFHIYSLNTIMMCGLRVGAAIVVMRRFDLAAMMDLVERHRVTIAPLVPPIVVAVAKSEAAAARDLSSVRMVLSGAAPMGKDIEDAFMAKLPGAVLGQGYGMTEAGPVLSMCLAFAKEPFKVKSGACGTVVRNAELKIIDPDTGKSLGRNLPGEICIRGQQIMKGYLNNPEATKNTIDAEGWLHTGDIGYVDDDDEIFIVDRLKEIIKYRGFQVAPAELEALLITHPSIADAAVVGKQIEPEIGEIPVAFVAKTEGSELTEDDVKQFVAKEVIYYKKIREVFFVDKIPKAPSGKILRKELRKQLQHLQQQA >ORGLA08G0065200.1 pep chromosome:AGI1.1:8:6342432:6343028:-1 gene:ORGLA08G0065200 transcript:ORGLA08G0065200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAEEERGTLLRRVLIRAARAARAAVRIAATTTASSPSSPAPAAALSRTPSLLDCMDGDDDSIFYTPASSPVVVHYPRRVQVQQQQQPSLSPSPVAAAAAADDIDRRAAEFIERFRRNESLELRYCAVYSPLTPAKPPISPDTYFKLSGAHHHGAVAAGGSPAPAPYVRKMSSLRPRRPSGMSIKWPTAGRPTVRV >ORGLA08G0065100.1 pep chromosome:AGI1.1:8:6334087:6336177:1 gene:ORGLA08G0065100 transcript:ORGLA08G0065100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHLLCSLLLATALLLLSISCTADAGEIGVCYGRDANDLIDPPAAVSLLKANGISAVRIFDADPTVLAAMANTGIKVTVAIPNADLAAAGQDLRSATDWVASNVAPYRSRGTLISGVAVGNEVFRQRPELTGALVSAMRNVHRALENLNLANDVKVSTPIAFDALKQSSPPSAGEFKDEIAQSVMKPMIDFLKQTGSFFMVNLYPYFAYVAQPDKISLEFATFRPNAGVLDGNTGIKYFSLFDAQLDAVYAAINKVSGGSLTVSMARRDGILSVQASESGYPSRGRFPLSSMLAAANTDSVATIANAQAYNNGLIRRVVSGASGMRDVSAYIFSLFNENEKPGPTIERNFGLFYPNGHKVYEVDFRGGGGGGACPTKTSWCVARADVGSAALQSALDFACGNGADCSAIQQGSVCFEPNTLVAHASYAFNDYYQRKGQASGTCDFSGAASIVFKPSPSICDPNPSWCVAKSEVGNARLQNALDYACGSCADCSAIQPGARCFDPDTKVAHATYAFNDFYQTTGRASGSCDFAGAASIVNQQPKIGNCVLPPNNAWTTEQTAIEDQSTANLPATAWQ >ORGLA08G0065000.1 pep chromosome:AGI1.1:8:6319705:6320542:-1 gene:ORGLA08G0065000 transcript:ORGLA08G0065000.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDPQTGTINGREAEAAGGGGAEVRCSGEAQVPICHRANRCWQLPPVEGPPQPDPTIAAPPXLDPIVTRPLLLDLAATIRSGCCKASDRGGIEALAIVEEEELRHAVTTVVVVAPEADGGGCAPPGTGDGRLATLPPSRESRYAIVIISLPTTIVVVVSLSTGVRSDHHRAIPVAAVITTVVVAPVDRRWGKGDGC >ORGLA08G0064900.1 pep chromosome:AGI1.1:8:6309435:6313583:-1 gene:ORGLA08G0064900 transcript:ORGLA08G0064900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G18850) TAIR;Acc:AT2G18850] MAAAAAEVAAAGGGGEMVVVRLPPLSQDDPLFQDKKMQRILDSRNLSCLFQVPNSCSAADAFKVLDRMIQAARIAHMDELELYFTGDDDFGPLSTRNELESLNLLLKILNTLLLTANVGAMGVLQVLRDEILIRLRSLELEDNDQMVVQIRNQNMEDSLLKWGEQHGVKTKLQIAFFEGAGRGMVASENIDVGDIALEIPESSIISEELLCQSGMFLALKDLDSITTETMLLLWSIRERYNPSSKFKIYFEALPANFNTGLSFGIDALAALEGTLLFDELMQARQHLRQQYDELFPMLCIKFPDIFKQDVYTWDNFLWACELWYSNSMMVVLSSGKLTTCLIPIAGLLNHSVSPHILNYGRVDKVTKSLKFPLSRPCKAGEQCFLSYGKHPGSHLITFYGFLPRDNPYDVIPLDLDTSVDEEDSSSPSVTTSQTSHMVRGTWLSRLRGPPTYGLPHRLVSHLHAILGCNQNESAPEADNKENDRMVLETLLSIFTPMLEGLGEPDDFDRENACWDVNLALDYKDLQRRIVLSIVTSCTSGLAMLDS >ORGLA08G0064800.1 pep chromosome:AGI1.1:8:6306880:6307332:1 gene:ORGLA08G0064800 transcript:ORGLA08G0064800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFNEQRISQSSKRQIAHQFGCEPAIMHILKIKDGKKYLGLKFEGHLIRFMIKEH >ORGLA08G0064700.1 pep chromosome:AGI1.1:8:6301433:6304184:-1 gene:ORGLA08G0064700 transcript:ORGLA08G0064700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGLEQWQKDGFFQAAEEVQESADLMESIYRTWMRERDSGANLEELSDLQRELKTALGTAKWQLEQFERAISMSNDKYSLEEGTLARRRQFVVAMEDQISQVEKQINDYSIDNDRRGLNWVKLDDEERDDLVAFLSAPAQLSQDTKKRDNTYHSPSKQKNVLIGANDPRDMAAISKDRHKTEALCREISNGQSEACCLAEQLNGHSTSPSSGGEHWKIDISDDKDDDRKLSPNKVEASSQATAFSGIMKTTESFTRVRWLRNSLWKAKSDEHLPLRYDMPNHLDWRVITLLAQRFNGLTERSRSCFSGWKENSRVSGRMGGLHIQGPQYNTQFGRSIRITLLLVLSIFLIVPFLVYSA >ORGLA08G0064600.1 pep chromosome:AGI1.1:8:6294667:6296592:-1 gene:ORGLA08G0064600 transcript:ORGLA08G0064600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAISLQPVNGPDILLQTRSWFPVSRALAAVSSFRLARLHLARGKQQQLSSSSSAAAAAATLEAIGDDPLAAGSGQLVVGVESQYRVVYRLVNSIYVLGVTTSSDHASPAVHAFAVADAVNQAVSVVVAACRGVDVTPEKVHRKYPEVYLALDLVLHGVGSVRLSQILATIHGDNLARMVNSSPDAEAKARGADPWPAVEHLAHDRHAARDGFTGASFELPQETLAAGDEFSASNIAPAAAAATGDEPPPEEAAPVEKDPFAASELVNNSEEALVGGFKKNKETAIVVADPAAALAGLEVTTLPPAEATKPTFIGVEGFEGDYGGIEFGNEEASLAEAFEGFNAPFGGGLDASEFVTTTKKDHKDKSITGLELLATSAGQGPNAPGGTPLENLLVTKSTEMTAPELYIVEEINAEFKESILARVGLKGTIFLRTLPPKKAAGKETEFSFRLEGTSGMKKAALQSTVLSNLENGMFHVRTPSKEEPIPIMKYSFLPKHSPLPLRMRLVKRHSGTLLSVMIQYASNPMLPQPLSNVTFIVKLPVDPTLLNVSPKAVLNRAERELRWHIPDIPLKGPAGRLRARMPVDQDSKDGELEVVGMVKFAYQGPFTLSGIKLCPATDGTAQFNEAGHTFSSGSYLCI >ORGLA08G0064500.1 pep chromosome:AGI1.1:8:6287724:6292809:-1 gene:ORGLA08G0064500 transcript:ORGLA08G0064500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGNSLDTVALIIGGGSDSSGIVGRKRRRCDLIRERWCCLCPVWCKEAQEVVVPGRGRNGARQRDGGGCALGTTEVLGGICNSSVEKAEERETVIPAISNMEKMGEKQQKSIPRDRKRKGELDPAADYVKDLWDAFYVTAESTHLDTSEVNNKKQLDNCNHDIHVYEDLGHVCHECGLVVRKADSLFHYQWKKASRKRTNVNEVCLKKVGSDAISLSEDIIFSDIAIHPRHAKNIRPHQLEGFKFLVNNLVTDEPGGCILVHAPGSGEIFMLISFIQGFMARHFTARPLVVLPEGILGTWKREFQQWQVEDIPLYDFDSIKADNRVEQLEVLKSWSSKRSILFVGSKHFTQIVCDDRDENAVAECRDTLLMVPSLLILDEGHTPSIDETDMLQSARKVQTPCKVVMSGTLFHNHVKEVFNTLDLVRPGFLKTETSWPIVTRMMGQLEISSARSITEISESVEDTLLNDDNFTRKVNVIRSLGELTKDVLHYCNGEDLNEFPVLLDFSVFLELSPKQKDILCKLEEDHGMLKTSAVGAALYVHPCLSEISEANDVDRDDRVDSLVNSINLGDGVKARFFLNILALANSAGEKLVAFSQYTLPMKFLERLLVKEMGWHVGKEIFVINGDTSMEDGQLAMDQFNGSADAKVLFGSIKAFGEGISLVGASRIVILDVHLNPSVTRQAIGSTFRPGQKKKVFVYRLVAADSPEEKAHETAFNKEVIPKLWFQWSGRCTTEDFKLNQVCIDGSRDVLLETDVIRQDIKALYQSIDMGLVSEATVCFNNVSSSGLSVHDTGGNVIGQGDQDSEKNRYLSIASETMLVHFVHVFSFVCVPVNTTCSLNM >ORGLA08G0064400.1 pep chromosome:AGI1.1:8:6266673:6270067:-1 gene:ORGLA08G0064400 transcript:ORGLA08G0064400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADEGNAAAPRAAVSHVIFDMDGLLLDTEGFYTEVQEKILARYGKVFDWSLKAKMMGKKATESARIFVDECGLDGLLTPEQFLEERESMLQELFPSCAVLPGVLRLIHHLHANGVPMAVATGSHKRHFALKTQNHKEMFTLMHHVVMGDDPDVKTGKPSPDIFLAAIRRFEGNIEPSNCLVFEDAPSGVAAAKNAGMYAVMVPDSRLDVSYHKGADQVLSSLLDFKPGEWGLPPFTD >ORGLA08G0064300.1 pep chromosome:AGI1.1:8:6258300:6262937:1 gene:ORGLA08G0064300 transcript:ORGLA08G0064300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase [Source:UniProtKB/TrEMBL;Acc:I1QGU2] MESSAGPMELVAALLRGLTPRAEQLLQLSSGGGEAAAGGAAEARAAVATVAAALLGCAFLVLWRRVSAGRKRKREEAERSAAAVAGVGKGGKNASAAAGEDAGGADGRKRVTVFFGTQTGTAEGFAKALAEEAKSRYDKAIFKVVDLDEYAMEDEEYEERLKKEKISLFFVATYGDGEPTDNAARFYKWFTEGNERGVWLNDFQYAIFGLGNRQYEHFNKVAKVVDELLVEQGGKRLVPVGLGDDDQCIEDDFNAWKETLWPELDQLLRDENDVSTGTTYTAAIPEYRVEFVKPDEAAHLERNFSLANGYAVHDAQHPCRANVAVRRELHTPASDRSCTHLEFDIAGTGLTYETGDHVGVYTENCLEVVEEAERLLGYSPETFFTIHADKEDGTPLGGGSLAPPFPSPITVRNALARYADLLNSPKKSALVALATYASDSTEADRLRFLASPAGKDEYAQWVVASQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPSMAPTRIHVTCALVHEKTPAGRVHKGVCSTWIKNAIPSEETKDCSWAPVFVRQSNFKLPADPSVPVIMIGPGTGLAPFRGFLQERLSQKQSGAELGRSVFFFGCRNSKMDFIYEDELNTFLEEGALSELVLAFSREGPTKEYVQHKMSQKASEIWDMISQGGYIYVCGDAKGMARDVHRVLHTIVQEQGSLDSSKAESFVKSLQTEGRYLRDVW >ORGLA08G0064200.1 pep chromosome:AGI1.1:8:6241688:6241894:1 gene:ORGLA08G0064200 transcript:ORGLA08G0064200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVVPKRSKRIAAKLALVGSSDTTSRAXHNLKRKLVFVREKGPMSAEAVAAYNALFSQPLSEDHAIGP >ORGLA08G0064100.1 pep chromosome:AGI1.1:8:6218140:6222568:-1 gene:ORGLA08G0064100 transcript:ORGLA08G0064100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSRFDLRVNPNPSLLADPRAAPANDRPVTSSPLAMQASSHPHQHAIGGGGGGMRXGXRWRWRGGGGVRGRGEPRALRQVPQPDARHLRLPGLARSLRHRPRRVSIARTCNCIYALLQQRQRDIEFRESTNDLRQRMQSDISRLEAKIERMDAQLAAKDRELATLTRTEAKNTATLKAQIDKLQQERDEFQKMVIGNQQVRTQQIHEMKKKEKEYIKLQEKLNQVLMEKKKESSRSGMEIMNLLQKEGRQRGTWSGKKNDNDYYKMIVDAYEVKKQELMQENADLRALLRSMQMDMREFLNAPNGVPQPAVVGNGRQEAGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASIKARMTQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASLMSKHFTKSDKPRRLSGLDAEREAV >ORGLA08G0064000.1 pep chromosome:AGI1.1:8:6214317:6217285:1 gene:ORGLA08G0064000 transcript:ORGLA08G0064000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNApolymerase sigma-subunit F [Source:Projected from Arabidopsis thaliana (AT2G36990) TAIR;Acc:AT2G36990] MNSGGRSLLSSPLFASSSPAFRGGPAAASCSSSSSPSPSSRATVVPMVHDTGGGRASSTACHYSPSLVAAEPEEHVHGRSKDDDDDAAVSLMGEKALLELLLDMALEQHAPGKKLPAEEREENEFDIYLRDDKSHVLYHPEFSSTSVSSSPLSVKSSERSDLGTASAVLTKDVALLAEETDILATQLKASQLYSVDSSKSNEELQSKGQVFVRSTRLLERRSKRRYAPRASIADVSCSADNSKKKEKSKKYGRVLEPDEPFKLFLRDRETTEFLTAKEERHLFSKIQILMKIEEAHRKLEVQCGREPTLAEWAEAVGMSSKELQSSIRTGRRCREKMARSNFRLVIHVARKYEGYGLDIQDLVQDGCSGLMKTFEKFNPSKGCRFPTYAYWWIRQSIKKSIFKNSRLIRLPESVYALLRKVGKARMECIMEGEQPTNANVARRAGITIEKLAKLRAKTRKPRSMQDHVWSDEGVTFQEITEDPNVEPPDLSVDRMMMRQQVRDFLGILSPREKEIIEHRFGIHDGEPKTLHVIGDMFGLSKERIRQLQNRALEKLKRSASLQGFDVYFDLLT >ORGLA08G0063900.1 pep chromosome:AGI1.1:8:6206826:6208839:-1 gene:ORGLA08G0063900 transcript:ORGLA08G0063900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAVTAALRPCSGVSPAVSGTSHRRRRPAAWRALAPPPPHAGLRLSSPAVRVPRAASSAAVEQDGSSSNTDTVPTPKVIIDQDSDPDATIVEITLGDRLGDLLDTMNALKNLGLNVVKASVCLDSTGKHIKLAITKLSTGRKIGEPELLEAVRLTIINNMIQYHPVSSLFISYQ >ORGLA08G0063800.1 pep chromosome:AGI1.1:8:6193045:6193985:1 gene:ORGLA08G0063800 transcript:ORGLA08G0063800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVRTCKGERVVPTLMAPAEPSGCQQPPPPVAWPINMAVASGMHGGKAAANSGKTILVTILTRRLSKLGTDLSGPSPMWTEKVKGWSKSLGQYKRRRVGPEENEVEWSKWRSLASPLVEKSERNGSSSTPTNTYAFMAEDSIIAAT >ORGLA08G0063700.1 pep chromosome:AGI1.1:8:6183457:6183834:1 gene:ORGLA08G0063700 transcript:ORGLA08G0063700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQILGLLSSRSPLLSPLLLPPPSHCHMAFVCDGHIYEHCRHLSYNILTSSLGPGRSTDMEDEVGTRSGVPGLLAVRCSGGGILARVGEGRGGESRARSLVEERGRARESCDQVPPISLGAFGT >ORGLA08G0063600.1 pep chromosome:AGI1.1:8:6176714:6177889:-1 gene:ORGLA08G0063600 transcript:ORGLA08G0063600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTATASWEVMSRRGEQQQQLMMQAPASHNGGSGGGEPARSRWAPKPEQILILESIFNSGMVNPAKDETARIRRLLERFGAVRDANVFYWFQNRRSRSRRRARQLQQACGAALHQLPSAAAAAGAGGGGDYYHHHHQPSSSPFLVHGGGGGGVVTSTTAAPAVAASGHFLADEVDGGGDDDLFAISRQMGLMARHGGGDHHYSSYADSDATQLSYQPTGTIQVFINGVAYDVPSGGALDMAGTFGRDAMLVHSSGEVLPVDEHGVLINSLQMGECYYLVSKSI >ORGLA08G0063500.1 pep chromosome:AGI1.1:8:6158603:6158971:1 gene:ORGLA08G0063500 transcript:ORGLA08G0063500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARASLGSSSMPTMAVSMASWFSTRDALAARRITSSLATPWTNTSMRPLSTLSLFSVSVPVLSLQSTSMAAISSIAVIRLVMAPWSERRCEPMAMVTDSTVGMAMGMPPMSSTRRLSMPSR >ORGLA08G0063400.1 pep chromosome:AGI1.1:8:6155831:6157454:-1 gene:ORGLA08G0063400 transcript:ORGLA08G0063400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVSMLFMISPDLRGVDYYPYCAWIHADCSHLEVRFLAVHDPRHRDPQRRNDHDNIQGQGEAVAAPGQLEAAGDLHHRHRLRHLPRRHDRPLLLGHEEHRFLHQHVPCEATDGEGRDDVGTVPAGEHHQPGAHLRDALPQLVLRRAPRHAALRRLRSRADHRDAGDGVRHLGVRPYQGHRLGVGRRHLALQHRHLPPPRHLQVRRPLRPQRPRLGHPHRAQDCLHEQEGLRERGEGGAVGDGAEDAARAADAGDGGPPRRRRRRRAEQLQGALGDRRAGQAPRRGGPAARAQHAQGPDGVHRQAQGPRHGQRPAPLHRLKSTAGELARRRRRLRRARSPRLFSGAACMQLSLSSSSSVIPFFYIKF >ORGLA08G0063300.1 pep chromosome:AGI1.1:8:6140430:6144066:-1 gene:ORGLA08G0063300 transcript:ORGLA08G0063300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPSSPSPPPAAAAAATQQTQPQPQPQTPFYELVKGNSGLEKVLLRGTRGFSAEVYLYGAQVTSWKNDHAEELLFVSSKAIFKPPKAIRGGIPICFPQFGTHGNLEQHGFARNRLWTIDDNPPPLPVNPAIKSFVDLILRPSDEDLKIWPHSFEFRLRVALGPNGDLSLTSRIRNTNTDGRSFSYTFAYHTYFSVSDISEVRVEGLETMDYLDNLKGKERFTEQGDAIVFESEIDKVYLAAPSKIAIIDHEKKRTFVLTKEGLPDAVVWNPWDKKAKAMQDFGDGEYKHMLCVEPAAVEKPITLKPGEEWKGRLALSAVPSSYCSGQLDPLKVLQG >ORGLA08G0063200.1 pep chromosome:AGI1.1:8:6131908:6133717:1 gene:ORGLA08G0063200 transcript:ORGLA08G0063200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTVGGLLLTKGGSILVYREDSPRHKATACCTRTGCSSKLFRDKEKKMRRPTKEAVIPQRSQLVSGSNRLLPQGRMAYGSRRNAAGTCSETGNRPRRETAGQDLLDHLKERVNSSRKRSLSGGSSPSSSNTSSAGSLSSSSRSISRPLHRSVPKTRKDGGTNGSNARMRSDSGGNSGANVHRRADLQGPTGRFVSQSLLRHRSRNQEEPVSHLENSLNDSTEYWRFGVDESDEDASSDRHRGMRMDIDDMSYEELLALGETIGTVSTGLSEDELSNCLKRIHYVPSASTSHEDGDIKCIICQEEYLPAEEVAEMACKHYYHLACIQQWLRQKNWCPICKSVGSATKH >ORGLA08G0063100.1 pep chromosome:AGI1.1:8:6123468:6127230:1 gene:ORGLA08G0063100 transcript:ORGLA08G0063100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWRKKVAFRARRAWAALVSGRLRARKQGSRGLLKLHEDVQTCDYKDVQVMFEMLTSELEAQKQQQQLLPPSPRKPAWPGSSPSPAPAKQ >ORGLA08G0063000.1 pep chromosome:AGI1.1:8:6080473:6089123:1 gene:ORGLA08G0063000 transcript:ORGLA08G0063000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMKDLDPAFRGAGQKEGLEIWRIENFKPVPIPASSYGKFFMGDSYIILKTTALKNGSLRHDIHYWIGKDTSQDESGTAAILTVELDAALGGRAVQYREIQGNETDKFLSYFRPCIMPQPGGVASGFKHVEVNEQEHETRLYVCTGNRVVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEVAAVEDGRLMADAEAGEFWGFFGGFAPLPRRAPVEDNEKYEETVFKLLCFNQGKLEPINYESLLHELLKTNKCYLLDCGVELFVWMGRTTSLQERKSASEAAEKLLSDDNRTKTHVIKVIEGFETVMFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQAYIDCTGSLQVWRINDKDKILLPSADQSKFYTGDCYIFQYMYPGDDKEECLIGSWFGKKSIEEDRVTAISLASKMVESAKFQAVQTRLYEGKEPIQFFVIFQSFQVFKGGLSSGYKKFIAENGIDDDTYLEDGLALFRIQGSGPENMQAIQVDAAASSMNSSYSYILHDGNTVFTWTGNLTTSLDQEVVERQLDIIKPNSQSRSQKEGSETDQFWSLLGGKSEYPSQKIGRANESDPHLFSCILPKGNLKIKEIYHFTQDDLMTEDVFILDCHSDIFVWVGQQVDVKVRLQALDIGEKFVKLDFLMENLSSDTPIFVIMEGSEPTFFTRFFTWDSAKSLMHGNSYQRKLSIVKGGGSPALDKPKRRTPTYSGRSTVQDKSQRSRSMSFSPERVRVRGRSPAFTALAANFESANSRNLSTPPPVVKKLYPKSATPDSSSAPSKSSATASLTGSFDRPKSVKDGSELEKPKQEEDAKEGINTMTSRVESLTINEDVKENEPEDDEGLPVYPYDRLITTAADPVTEIDVTRRETYLSSAEFKDKFGMTKEAFSKLPKWKQNRMKIALQLF >ORGLA08G0062900.1 pep chromosome:AGI1.1:8:6068599:6070965:-1 gene:ORGLA08G0062900 transcript:ORGLA08G0062900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAADHLDPAAPPSGPASSSAAVAEVNAWLASLAAEAGGAGGGGVGAPGGRGGVVGVGGGGAAPELSLGPEPTXXXXXXXXXXFVVASADLSLRRAEVEEKRDKVHKESKALLDYTRKAITKLTEFKKMLEKFKNDVEKQQAEQMTDWQTKLVMMDSKERQYILQVSNYKAMLNRVGYTPEINHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTPGL >ORGLA08G0062800.1 pep chromosome:AGI1.1:8:6064784:6066239:1 gene:ORGLA08G0062800 transcript:ORGLA08G0062800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1QGS7] MGRLANWSDDRTSKSTPDYRIQAGSFLLKLWFRPIFIIFFMPTSSCQPMMSSSYTFQESKHTPQSAYSLRHFALAKAMGQHRAQLLPSMSMAALYLILATSPVISDMTDSLDMLWGNTQVLYDSTGHQIVSLSLDRWTTSAFRSKTKYLFARIDMDIKLVAKDSAGTVTTLYMITEGLWDIHDEIDLEFLGNTTGEPYTLHTNIYARGTGGREKQYRLWFDPTEDFHTYTIIWNPQMILILVDGTPIRQMKNQLRNDIPFPLYQPMRLYASIWDADDWATQGGRIKTDWSQAPFTAFFRNYQANACIPYKTAWICSQGSNDSSWFTQDLDEEGKQKLKDVDDNYKIYDYCTDSRRYPNGYPPECGSQ >ORGLA08G0062700.1 pep chromosome:AGI1.1:8:6059297:6060706:1 gene:ORGLA08G0062700 transcript:ORGLA08G0062700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1QGS6] MGQARAHLLASLWAFYLILAISMVTGDLTNDLDILWGNSKVFYDNSGKQTISLTLDRWTTSAFRSKSTYLFSRIDMDIKLVAGDSAGTVTTLYMITEGLWQFHDEIDLEFLGNSTGEPYTLHTNLYARGKGGREKRYKLWFDPTADFHTYTIIWNQRNILILVDDKLIRQIKNNLMYSVPYPTYQPMRVYGSIWNADDWATMGGRVKTDWSQAPFTAYFRNYRAIACPPQQSSPLCGQSSGNWFNQELDVTRKQQLQEVDANYKIYDYCTDTKRFKDNLPKECTIN >ORGLA08G0062600.1 pep chromosome:AGI1.1:8:6047733:6048263:-1 gene:ORGLA08G0062600 transcript:ORGLA08G0062600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1QGS5] MANKGGEPAARAAVRHGGGPVAFKDAVDVDAAPVRPPMEHGAAVSALPAGVSYGQPMRCYGGTWVFESWAQGMMAMHRGGGLVPRASDVLLASLPKSGTTWLKALAFATTARRACPPPASPDHPLRRLNPHDCVPLLERLFAAGRDALLDELPSPRLMCTHMPLVGNLVIIRHILI >ORGLA08G0062500.1 pep chromosome:AGI1.1:8:6022693:6027034:1 gene:ORGLA08G0062500 transcript:ORGLA08G0062500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1QGS4] MGSTGEVVLASGGGAAPATSRDRRAAAGPGPVAFKDAADADAIPVRPPTEHDAAVSAMPARVVHNLKLRQHQGYWVLDTWARGAVAMQRGGGLVPRADGDVLLASLPKSGTTWLKALAFAVMARAAHPPASPDHPLRRLNPHDCVPLIDRLFAAGRDAVLDELPSPRLMCTHMPLSLLPATVADGSSGCKIIYICRDQKDALVSMWHFLKRNGLQNLSLQEVYESFCEGTCFGGPVWNHILEYWRASKANPSRVLFLRYEQLLQDPTDSIRELAEFVGQPFTSSEEEAGVVTEIVELCSMENLVSQKANKEGSQGVFIKFSHDSYFRKGVAGDWMIHMTPEMGKHLDAILRDKFDGSGLTI >ORGLA08G0062400.1 pep chromosome:AGI1.1:8:6004681:6011396:1 gene:ORGLA08G0062400 transcript:ORGLA08G0062400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALLYPTILFLAAAAGAAAPPHSQCLDNPPDLTTAGGGGGEAGVVVHDLGGFEAYVTGAVDHSRRTILLATDIFGFEAPLLRKIADKVGQAGYYVVVPDLFHGQPYTFDQNRTKWLSAHSSVKVAEDAKPIFAALSKEGKSIVGVGGYCWGGKFAVEVAKTIEVEAIVISHPAAVTADDMKEVKWPIEILGAQNDTVTPPRLVYQFVHALRQRTDQIDYFAKVFQGVNHGFACRYNASNPFEVKKAEQALALMLDWFHKHLK >ORGLA08G0062300.1 pep chromosome:AGI1.1:8:5979919:5980191:1 gene:ORGLA08G0062300 transcript:ORGLA08G0062300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPTRAEALSLFRSFLRTARQFSDYNIREYTRRRTVDAFRENRVLGDAVAAAAAFADGKKQLEVAKRQAVVYSLYATKAKSIMEMKLQ >ORGLA08G0062200.1 pep chromosome:AGI1.1:8:5971463:5976113:1 gene:ORGLA08G0062200 transcript:ORGLA08G0062200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINHMATASLSLLLCLAAAAGAAAAAAPPRLQCFEHPPDMKAGGGEAGVVVHDLAGYEAYVTGAAHSGRAIVLASDVYGFQAPLLRQIADKVGDAGYYVVVPDLFHGDPATTTVNFTEWLESHSPVKEAEKAKSIFAFLRNEGKSLVGVGGYCWGGKFAVEVAKTNEVEAVVISHPYAVTADDMKEVKWPIEILGGQNDTVTPPRLVYQYVHALRQRNDIYFYAKIFPGVSHGFAGRYNTSDPFALETGKQALALMLDWFQKHLK >ORGLA08G0062100.1 pep chromosome:AGI1.1:8:5969511:5970574:-1 gene:ORGLA08G0062100 transcript:ORGLA08G0062100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEITSILGKTTKKIISXTMTNQMXYYFLIAQKFXTIXXLRKFDPXRRKKAVPKNSTKVFRFRLEAHATGFSSLYSTSVRVRVNSIRLKYRKILLDPFALRLPVSSRPLGPVPTTTAPSPNCEAATIPVPIPATAIPIPSHVPFRVPVGSRRDDNSSCRKLYGRLVAIRPYHRGRDEHHAMEQHKWRFLQRAPTVPLSDFVDAMLPMAAISSPLPCGDDTSELSAESKCAMDSAAAGTIITICSATTAHGGIIVVPFTATAGSNAPAPVPALDEEVKEEK >ORGLA08G0062000.1 pep chromosome:AGI1.1:8:5964097:5967159:1 gene:ORGLA08G0062000 transcript:ORGLA08G0062000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLCWSILCLAVAGGGGGGAATSADPLRLPCLDNPPELTADGDSEAGVVIDDLAGFPAYVTGDVHSGRAIIVASDIYGFEAPLLRDNADKVGEAGYYVVVPDFFHGQPYNGDPSINVTKWITLHSPVKAAEDAKSIFAALKREGKSVIGIGGYCWGVSAQVQLTAKFAVEVAKTNEVEAIAISHPSEVIADDMKGVKCPIEILGGQNDPITPPSLVDQFVNVLRQTTEVSCCSVSLAPDAK >ORGLA08G0061900.1 pep chromosome:AGI1.1:8:5956549:5959885:1 gene:ORGLA08G0061900 transcript:ORGLA08G0061900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITMQTKPITMAPTSMLHRSLLCLAVLAAAAGGGAAGSPRLQCLENPPELTAAGDGEAGVVVQNLGGFAAYVTGGAAHSGRAIVLASDVFGFEAPLLRKIADKVGEAGYYVVVPDFFQGRPYNGDPSINITQWIMAHSPVKAAEDSKPIFAALKREGKSVVGVGGYCWGGKLAVEVAKTNEVGAIVISHPSSVTADDMKDVKCPIEILGAENDAVTPPRLVYQFVNALRQRPEVDYFARIFPGVAHGFACRYNASNPFAVRTAEQSLALMLDWFEKHLK >ORGLA08G0061800.1 pep chromosome:AGI1.1:8:5952785:5954611:1 gene:ORGLA08G0061800 transcript:ORGLA08G0061800.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPYQSYPSILGLMIYVLLLQRARLIPRCGCRLSSKHVWGSTGTPWHMLSALDRLLFSAPQSCSLAHTWTASVMHNRLVRGCFSSLSAAVASAIRVPVASYGTSAAQSTSKDQHGSNINSALKVLNLVPRKADYDKVGGPCHQRLIHDCMNDILGVQSNHTIHKGNGVTFNSCSNPAQAKFDSFVSNNGSALRSRTRFIKEDMFMLIMELHRKGETSTDQSILAAAMSSCADRQMFTQGTQLHGLLVKVGCDSTVFIGSSLITLYSRCSQLESSYLVFQTMPTKNTVSWTAMISGFALHNRVEPCLHLFASMMLSSCKPNDITFATLFSVCTKHALLALGRSVHALQMRMGFHSYVHVSNALLSMYAKCGCIDEAQFIFGCIACKDLVSWNAIIFGCSQYGLAKHCLDLLKEMERQHIVPDALSFLGVLSSCRHARLVEEGRHCFKTMIEHGIKPGLDHYSCMVDLLGRAGLLEEAWDLIQTMSIPPNAVIWGSLLGSCRVHGNISIGIQAAEHRLKLEPGCAATHIQLANLYATIGCWSDVARVRMAMKARGLKTNIGCSWIEVGDKVYSFTAKNRSKSHQVNNILAVLDCLQAHMECKYDMLTESLE >ORGLA08G0061700.1 pep chromosome:AGI1.1:8:5944208:5945437:-1 gene:ORGLA08G0061700 transcript:ORGLA08G0061700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1QGR6] MAMPCSGERCRRVWWSSAAAAVAFFFVFVAAAAAVAATASMYDDVEVVWGGDHSFFFMDGDGDALALCLDETHGSGFRSRDAYLYARFDVDMMLVANNSAGTVTTLYLMPDDVPWEYHDEVDLEFLGNVTGEPYTLHTNIFANGVGGREQQFRLWFDPTADFHTYSIVWNPKHIIILVDGVPIRDYRNTAARGGPAFPTWQKMRAHGSLWNADDWATQGGRVKTDWSEAPFFAYYRGLRVTPCAPSPGVAWCGDEPPESPWFDQQEMDAAALSKARQEHLLYDYCEDTKRFKDTGLPVECTIN >ORGLA08G0061600.1 pep chromosome:AGI1.1:8:5896512:5897015:-1 gene:ORGLA08G0061600 transcript:ORGLA08G0061600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLLALQFKKQIGIDNAGTYPRGEALLPLSLGAARAGTLLLLPSASPPPERQLAKPGGCKDGGGGAPCLLSQARRLGALPRRREDPKWWSSATSFDDGGDRSGPLMVGSGVPVGVHTEVVGVRTEEAGGALGRGRRRVGKAATMVTLGPMMGTAGSGAPWPDPPSG >ORGLA08G0061500.1 pep chromosome:AGI1.1:8:5890425:5892156:-1 gene:ORGLA08G0061500 transcript:ORGLA08G0061500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKEVGVTEVVLAINYRPEVMLNFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPFAELIKFHKNHGGEATIMVTKVDEPSKYGVVVMEEATGMVEKFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRISADAKLFAMVLPGFWMDVGQPRDYITGLRLYLDSLRKRSANRLATGAHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVGDEVYTNGGVILPHKEIKSSILKPEIVM >ORGLA08G0061400.1 pep chromosome:AGI1.1:8:5877831:5882028:-1 gene:ORGLA08G0061400 transcript:ORGLA08G0061400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELIFAMVLPGFWMDVGQPRDYITGLRLYLDSLRKRSANRLATRAHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTMMCGVRIKKHACISNNIIGWHSTVGQ >ORGLA08G0061300.1 pep chromosome:AGI1.1:8:5875684:5875884:1 gene:ORGLA08G0061300 transcript:ORGLA08G0061300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTAIPLFKASCSAAGVVVIFDRDIYACRTDPQLPCGKFELATACAFLSWAFSATSALVMFWLLASL >ORGLA08G0061200.1 pep chromosome:AGI1.1:8:5850066:5851037:1 gene:ORGLA08G0061200 transcript:ORGLA08G0061200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1QGR1] MAKHLALSVAAAVAVSWLAASSAAAAGFYEKFDVVGAGDHVRVVSDDGKTQQVALTLDRSSGSGFTSKDTYLFGEFSVQMKLVGGNSAGTVTSFYLSSGEGDGHDEIDIEFMGNLSGNPYVMNTNVWANGDGKKEHQFYLWFDPTADFHTYKIIWNPQNIIFQVDDVPVRTFKKYDDLAYPQSKPMRLHATLWDGSYWATRHGDVKIDWSGAPFVVSYRGYSANACVNNNPAGGWSSSWCPEGTSAWIHRELDGAELGTVAWAERNYMSYNYCADGWRFPQGFPAECYRK >ORGLA08G0061100.1 pep chromosome:AGI1.1:8:5827892:5831614:1 gene:ORGLA08G0061100 transcript:ORGLA08G0061100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLDRPLTLSLPLLPRRRRFPNSGSGGEQQAADAPSKLQEDVDLRCSLRGMPASAARRFCTGLDRRRPSPPGFRGEQDAHLGTQSAPFKLHCNIVWKTTSLFSNILQCVCMQQDGHNTNRXNQPQPPTFLWTHHRSPLDWTGVFRYQCLSAHGPRPAIVPTATFGAHIPLGACPRXSRHVDPTRG >ORGLA08G0061000.1 pep chromosome:AGI1.1:8:5818818:5820052:1 gene:ORGLA08G0061000 transcript:ORGLA08G0061000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGPHTSSIPSRPPSRASLPDVQWRAAGSRSGGRSLGGVGDGNGKLQLRPDRRRPRRRFSMGPGRFDSTPSPPQGHPPRPNTAKRSQMWASAGRVSPSGYNNGCKKKKKRSSEKIPSNTATACEVHREFSELHLRRSFKARDMMNFSRCKSMTVKIWLEHPIFSADCLKM >ORGLA08G0060900.1 pep chromosome:AGI1.1:8:5815878:5817065:-1 gene:ORGLA08G0060900 transcript:ORGLA08G0060900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLPLLLVLGLFVSFVESGRRWRQGLGLEGDDGRSRRTTITTVACFFIVGASLKKPSAISFVNTFDIYIYMFLSILGCPRLTLDGLISNLKSFNTNAVFGIKHLRVGTLFSLRKEQYEELLSLLNTDKTQEVHNRGPKIPSC >ORGLA08G0060800.1 pep chromosome:AGI1.1:8:5812097:5814345:1 gene:ORGLA08G0060800 transcript:ORGLA08G0060800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRAPPRTAGLRAPPAAAAASVSASSRRTPPLRVAGRAACPAASVPYLFRTLLPIPTAFRSTVRVRLTSPAFLPSRRNFEGYIPQSCSGSSLQIYSQSSLLSLSPSSALVVSSQLNSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRSELWSEKVFGSTEIKLEDAARSAMNWGTVNESVAIEQYTSITGRSVGSLGFAVHTEANFGWLGASPDGVLGCDPDGGILEVKCPFNKGKPELALPWRAMPYYYMPQVQGLMEIMGRDWVELYCWTPNGSSVFRVPRDRGYWELIHEVLRDFWWGNVMPARELVLLGKEAEARSFEPQPKHRLTNLVIFRSRKLASEAKLLCKDIGGHVEFFP >ORGLA08G0060700.1 pep chromosome:AGI1.1:8:5801012:5801356:-1 gene:ORGLA08G0060700 transcript:ORGLA08G0060700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSGQTMPPYMIPLCLIPFIIQASHSMGAQINETTIPEGSEINIAGPQSWVSPSGRFAFGFYPEGEGFSIGVWLVTDPSRFIMWTAFRNDPPVSGGSILLTAGGSLQWIPPNQGFQ >ORGLA08G0060600.1 pep chromosome:AGI1.1:8:5786212:5787129:-1 gene:ORGLA08G0060600 transcript:ORGLA08G0060600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGFSPRGGCRPSVETEQLAFQEAAAAGLRSLELLVSSLSAGGEQHRRPQQKQSSPPLGEIADQAVSRFRKVISILDRTGHARFRRGPVVGAAAAAASASPSSSPVSPPLPPVTTQPATAVKSLTLDFTNPAKVAAASVTSTSFFSSVTAGGDGSVSKGRSLVSSGKPPLAGGVKRKHHPNPHPHPPCAAGGDGHGHGAAHAHGGCHCSKKRKQRVRRTVRVAAASARVADIPADEYSWRKYGQKPIKGSPYPRGYYRCSTVKGCPARKHVERAADDPATLVVTYEGDHRHSPPPPPLPLV >ORGLA08G0060500.1 pep chromosome:AGI1.1:8:5762177:5762284:1 gene:ORGLA08G0060500 transcript:ORGLA08G0060500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVSLVGNLIAGISALVEKEATLLQSVPENTR >ORGLA08G0060400.1 pep chromosome:AGI1.1:8:5743497:5744279:-1 gene:ORGLA08G0060400 transcript:ORGLA08G0060400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGDVAADALKVAGEKQWPSQSLSPEAQRRMRFYLSCAIIDLKFDLWDIVQTHFVLEPSRRDLEENLDPAGDGDGDSSGSAGEGGSSSHRSDVKLGDAEEEDDDDEDEEEKCRRFTEKCIDPYFIVLGSASRYDPETNLHPAGAGDGDSSSHRDSSTTCGCHHRPEYVDDSDDDEEDAIKKAEESLEHNELWREFTDKYIIASGYDDRFKEIDAIGEVYFDTNLDEETRTYMIDKLWRHIEKELSDRARAVSTGKFKF >ORGLA08G0060300.1 pep chromosome:AGI1.1:8:5718952:5720244:1 gene:ORGLA08G0060300 transcript:ORGLA08G0060300.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLPPLLRLLLLLSWTPATLCCMTPKNRSYGLPLVLRRTPYDQGRTCLLAISCSQVSLTPTMPQESIAFPTSHDGNLVMYPIGTIDPDSAYWNTGTYAQNFLLTLTLDPNGTLWLFDRNSPYRMVLFLTNQSLSASPDSESYYHLTLDADGILRLYSHVFFKQGGAHKTKVEWLVPPSNDRCNVKGVCGPNSFCQVTSSGETSCSCLPGFEFLSANQSTQGCWRAQTGGCTRNSPNGDIGLVATMVTVKKTSWSDRSYNIPPQSPTIEECKAICMSDCACEIAMFDSYCSKQMLPIRYGKRVPGSNTTLFVKVYSYEPKRTASATSTAMLTHGAALAMLSLVLLSVSVMLCKRRPFLRYTRAAQHHETEFDEENIGIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQ >ORGLA08G0060200.1 pep chromosome:AGI1.1:8:5710436:5712495:1 gene:ORGLA08G0060200 transcript:ORGLA08G0060200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVAKAAVVPESVLKKRKREEQWAADRKEKALAEKKKAVESRKLIFARAKQYAQEYDAQEKELVQLKREARMKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLQNNKVIEEGLGKHDIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >ORGLA08G0060100.1 pep chromosome:AGI1.1:8:5645940:5646933:-1 gene:ORGLA08G0060100 transcript:ORGLA08G0060100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLFLLGALLVLASWQAIVAYDPSPLQDFCVADMNSPVRVNGFACKNPMDVSSEDFFNAAKFDMPRNTFNKLGSNVTNLNVMEFPGLNTLGISLARIDYAPMGVNPPHIHPRATELLTVLEGTLYVGFVTSNPNKLFSKVVCKGDVFVFPKAMIHFQMNLDHDKPAVAQSALSSQNPGVITIASAVFGSQPPISDDVLTKAFQVEKKLIDWLQSQFWENNY >ORGLA08G0060000.1 pep chromosome:AGI1.1:8:5644666:5644977:-1 gene:ORGLA08G0060000 transcript:ORGLA08G0060000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKYRTLVLIQISQPPPPPHIPFHAFFSLLKVSLFSTPLHSGVASRGEASLSAVTRRRXHRSKVARDREEVLDLAGGHMAARGWEEAMDLIGSHTTGXTTRD >ORGLA08G0059900.1 pep chromosome:AGI1.1:8:5628702:5631681:1 gene:ORGLA08G0059900 transcript:ORGLA08G0059900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QGP8] XXXXXXXXSLILFIIQANPSTGAQINETTIPQGSQINAVGTQSWVSPSGRFAFGFYPEGEGFSIGVWLVTGATRTIVWTAFRDDPPVSGGSILLTAGGSLQWIPANQGSQGKLISAAPNSATSAAILDNGNFVLYDAKKQVLWSTFGSPTDTILPGQNLLPGNQLFSSISNTNHATGKYRLSNQEDGNLVMYPIGTVDPDSAYWASGTFGQGLLLTLSLDLNGTLWLFDRNSSYTKMLFLTNQSLSTSPDSESYYRLTLDADGLLRLYAHVFFKKGREPLTKIEWLEPSSNDRCGVKGVCGPNSFCQVTASGETSCSCLPGFEFSSANQTTQGCWRVRTGGCTGNSSNGDIGPTATMVMVKNTSWSDLSYNVPPQTTTMEECKAICLSDCACEIAMFDTYCSKQMLPMRYGKIDHSSNTTLFVKVYSYEPKGPMRRTRSAISTAMLISGSALAIFSLVVLSVSVLLSKRHQFSRYTRAPQHQDAEFDKESVGIRSYSFHDLELSTDGFAEELGRGAYGTVFRGVIANSGNKVIAVKRLERMAEDGEQEFQREVRAIARTHHRNLVRLFGFCNEGAYRLLVYEYMPNGSLANLLFKPDPPLPSWSRRVAIALDVARGLQYLHEDIEVPIIHCDIKPENILIDGSGMAKIADFGLAKLLIGNQTKTFTGVRGTRGYLAPEWSKNTAITVKVDVYSFGVMLLEIISCRKSMELKMAGEECNISEWAYEYVVSGGLKEVAAGEDVDEVELERMVKIGIWCTQNEPMRNLIVSTRVLVYDKYLNGWMVRVKTYGKGYFLAIVSAISNTNFRIIPNPAAITTILIQGELYPSTLNINNCSIKK >ORGLA08G0059800.1 pep chromosome:AGI1.1:8:5618656:5618901:1 gene:ORGLA08G0059800 transcript:ORGLA08G0059800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVEAARRAMGWCGAEEERETASRKAPGACPRCGGAVVATDVESSRRILCLPLCLRNKKKFSCSRCRRALVAIVSSSAAA >ORGLA08G0059700.1 pep chromosome:AGI1.1:8:5610259:5611851:-1 gene:ORGLA08G0059700 transcript:ORGLA08G0059700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative endonuclease or glycosyl hydrolase [Source:Projected from Arabidopsis thaliana (AT2G15560) TAIR;Acc:AT2G15560] MSFEDKAMASRVASPSPKSMASESDPSMMLAITSNMEHSQANNQSVSVLGPVAIFWDIENCPVPSDVRPEDVAGNVRMALRLHPVVKGAVTMLSAYGDFNAFPRRLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNRPPSSIMLISGDVDFAPALHILGQRGYTIVLAIPSSVTVSSALSSAGSFVWDWPSLARGEGIVAPRSIGRRFADPPGYQHGGNFGSFPDTQNEEEAIVYMGTSRNECSGRTTSNQMYCYNSSQTTREPSKAFYTVTDGNCGTSSRSHNLACSLNEGPDVDQGLPDERSWWVRPGDLQGLKGQLLRLFELSGGSVPLVRVPSEYLKLFGRHLYVSEYGAVKLIHLFEKLADSFVVIGKGHRKVICLRNSGDNNLKKYSTTPIILKKENRGGSILDESTIGTGQQLGSSSDDFSEDERNINPDVDGAYAFDSHLDNFRQEIQELLVCYSCPVPLGNFKSLYEQRYKKTLIYESFGVDGLEELVEKVKDVVELCEDQTSKRKYLIANYRS >ORGLA08G0059600.1 pep chromosome:AGI1.1:8:5605024:5605515:1 gene:ORGLA08G0059600 transcript:ORGLA08G0059600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLTPPRTPHLVQPIFGNATASTRNTVALWTPLLRLCSSPGFHKAWGYTVDDDRSSRAVAEPVHRRQDFAEPHFLLGEPPTSP >ORGLA08G0059500.1 pep chromosome:AGI1.1:8:5602120:5602554:1 gene:ORGLA08G0059500 transcript:ORGLA08G0059500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSHFPSISFLLYLIPSLFSSSCVASDNDGGGRARDDDTVLSHQRPCRAGRMPAACSVTPSMCPRPPQHRPRCAGRMLLRVRRRCPRRDGRMPNIAATTPTPLRRKECSRSVKMGCVLKDVDDKVPGTSTMTARVATPLPLP >ORGLA08G0059400.1 pep chromosome:AGI1.1:8:5593135:5597484:-1 gene:ORGLA08G0059400 transcript:ORGLA08G0059400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G51550) TAIR;Acc:AT1G51550] MEEEAAVARLGCDQVLSILHLLPAESVLSFAAACRAFHAWASSDALWEALCRRDWGARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGASPRPRASHSLNLVAGWLVLFGGGCEGGKDAKSTYLLTLSSWAYSGLWHEFHMLGRHLDDTWVAYVGNGAGNRSSAVFSWQQLDSGTPSGRFGHSCSIVGDALVLFGGINDQGQRLNDTWIGQIIYEESRRMKISWRLLEVGPHAPYPRGAHAACCVDDKFIVIHGGIGQSGSRLGDTWLLDLSNGLRSGIWHQIEDTEPLPLSRSGHTLTWIGGSRMVLFGGRGSEFDVLNDVWLLDINERYPKWKELKYDLSSVLGEMPFPRVGHSATLVLGGKILVYGGEDSQRRRKDDFWTLDLPALLQFESGSKKMTKRMWKKLRIDGQCPNYRSFHGACVDTSGCHVYIFGGMVDGLVHPAEASGLSFHIKLLDNRSEDLPENISTNLYHVDIPPRRYWPL >ORGLA08G0059300.1 pep chromosome:AGI1.1:8:5587309:5589576:1 gene:ORGLA08G0059300 transcript:ORGLA08G0059300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPVICSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLGNQPSRNTSFGGAGSNSGPVSNALGRSNYSGSISSSVPGAGGSARAKSNSGPLNKHGEPGKKSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLDPSVSMKMRATSFAHNPAVTNLNADDGYSIKGSIPKTILWMVILLFLMGFIAGGFILGAVHNPILLVVVVVIFCFVAALVIWNICWGTRGVTGFVSRYPDADLRTAKDGQYVKVTGVVTCGNFPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTEHRQFTWGLRSMERHAVDFYISDFQSGLRALVKTGYGARVTPYVDESVVIDINPDNKDMSPEFLRWLRERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPSEPISTGCQWAKCILPTSLDGLVLRCEDTSNIDVIPV >ORGLA08G0059200.1 pep chromosome:AGI1.1:8:5569086:5571745:1 gene:ORGLA08G0059200 transcript:ORGLA08G0059200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAQTLTLTLARPAAAAAAAPLLPLRGLATKVEVIEIDLAEDDDAASTSGPASSPASVEVVGVRRLEEAIHGVMVRRAAPDWLPFVPGGSFWVPPMRRPHGVADLVGRIAAAAGGDAEVVAGGLAYEPEVYAPMTEEEALSFSTARGWPSASYFVEGKFPHTKKKSRKRATHTDEEES >ORGLA08G0059100.1 pep chromosome:AGI1.1:8:5566296:5566588:-1 gene:ORGLA08G0059100 transcript:ORGLA08G0059100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFDLNQPINWDEIDDLEGVIPYVNYDFVWDPGNEDGEGSGEERSDGDDDAGGGAEAVDAAETT >ORGLA08G0059000.1 pep chromosome:AGI1.1:8:5559636:5560082:-1 gene:ORGLA08G0059000 transcript:ORGLA08G0059000.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPTTEAAQRDNELARRNDDDDDDEHRRGSVEGEARPRATLPLRCRRWWWRSEPTSRGGGRGGRRDAGDEEDGEAGAGDGSLRTNQRAGRGGGRRGDAEGGDGAAGPHLSGAAGAAGGSGWRQGHRLPAGTRLLWFPCETEGXPGERRRL >ORGLA08G0058900.1 pep chromosome:AGI1.1:8:5557904:5558305:1 gene:ORGLA08G0058900 transcript:ORGLA08G0058900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSSALIETSMTQRYIDTYLHVSWSPLLSCLFIENPSISLGKTRDGKPFGFRRYLSLDRFESEFQRTYTNHKFWKVPNPDLRQRLRQAIVQKVVTHYSMYLEERAARGMHNQPPKSTPEQLKELLDELFEG >ORGLA08G0058800.1 pep chromosome:AGI1.1:8:5556614:5557468:1 gene:ORGLA08G0058800 transcript:ORGLA08G0058800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALASFMDLEGRPHQHRXSMRRGGGLFFPQISSAAGELGWSSDSSTASSPAPSTLSSSCMSSPWGGGSGRWWASPAPAASSGLHQMVRDNYTQGLIRAFGRRRHDEALLHKWFSQLDVEWVLLLHAGQRDLDSSSVEDLMALMERWMRALLIMVQVLSMTLLELRDRRSSSSLAGTDDDDAFLLRTKGSAGNRSPAPELPDFVCVQEVVQFAEASILRMLAFVDAITLAALNDDHRRRHREPEMLPGMLYLYDCFSDASPTVLAFFKEASDVLAFGSGSGSGKN >ORGLA08G0058700.1 pep chromosome:AGI1.1:8:5547214:5549664:-1 gene:ORGLA08G0058700 transcript:ORGLA08G0058700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFTGTGTIVVSDDSLYAAFGYGDPFGFAPRARPPIRSLPFAAGGYQWCLWFHPTTFAGFFGFGVELLTAGAKARASFEFGPVDAASHNVIVRMPPFLFDHPHHPMVIMVWPKAMLAEEATLFVRDHAVVFRVDVTVVPDEPLPPDAGVGDDDVLPPSDMLAQLGNFYDTKEGADVTFSVDGELFAAHRVILAMRSPVFRAAVYGEMRESGRGGGPIAIDDMRPDVFDALLRYIYTDALPAAADDDDMEATWSDLLVAADRYGVERLKLICERALRGRLDAGNVADMLVLADRQHCETLKDACIEFMATSGKMEEVKASQGYLEMVADRETGAKDQIGVYLELITKGTKARASYKLRLLNHVTACSCLWYTCPLKIYDSIDDNKSFTWGTKKFADRSKLEVASEYLQDVRLVIECDVTPLVRIEAVAEIIRSPSSPWSQLSEDIGKLLEMETGRSRRELQGRRSTLNTIDRVASTIRRANEREEKYLGEEERKELIKHLLITVDRYAVEGLKMMLEDGLCKVLSLGNVKEMFALTDQHDCSILKDVCFEFITSSSVHGLGDVALSEGYESLRESCLAAIVDALQRVQ >ORGLA08G0058600.1 pep chromosome:AGI1.1:8:5516424:5520747:-1 gene:ORGLA08G0058600 transcript:ORGLA08G0058600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGTEPLPMSRKLSSGGGWFDGEDPVEEGVLGDDAAKADAGGVGGGEELYSAASACVNITRNLSLPIKTNPEHDISSCEQGLLSEPHGKIPFLFSEIFSHHFKLFNSSPLSPFLPPPLLSFALSFSPLFDSSPSATRDDYGTNDGGQAGGRPAGGGGGSGRPASGSATPVLESDDGARICFTQPQECRRRERETSAWIRRLPANGDEQICSPRPRSDRGVRICRPQPREQATYLAPPPSGAITATATPGRIYQQQEQLEQVMDSSTNPSPLMWFDNTTHNLLRAVDCYGLERLKAICETKLCLDIDVKSVMVILLLADQHQCDMLKQACFSFIANPNTLETVTGTPEYHQFKSLYPILLIEVLENACILRK >ORGLA08G0058500.1 pep chromosome:AGI1.1:8:5504300:5505746:-1 gene:ORGLA08G0058500 transcript:ORGLA08G0058500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVARDCSESARNGGFWGVMVVDLEKISGREQHSIGPLVHSGLVGVAFVGSKQIGRLAFLTVFSSSSSAATAGGHHRNVRLVRHFPLLPSSSSPISETSCSPSCSGKHGGAEEDQVDVRREDEARRARVGGPGVQPAQGHGGRQLHQIRYTTSDRIFGDYPLLSRKVRMPSPRTLLTACRHKTPITLDWSRNXIFGDGKPSQVI >ORGLA08G0058400.1 pep chromosome:AGI1.1:8:5500652:5502115:-1 gene:ORGLA08G0058400 transcript:ORGLA08G0058400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKTVSKCITETVEESHMFSITGYSQKRGMGVNRGVEVHASSDLRLLDHTTGLSTSVTKTLPKASAYLRDDRLDIECVVTVMKEPRVSQTKSSPKVAVPPSDIAAHLGKLLESKEAAHVTFYVGEDTFAEHKVVHAMRSPVFKAELFGPMREAGAQVLPIKDMQPDVFKALLHFIYTDSLSIIDDLVGDDRGEMIRHLLMAADRYAMERLKLICESDLCENLNVQTVAATLALADQHHCASLRDACIEFMSSSSMDDIVATQGFVDLKTNCPSVLVDAFVNMSMLNKR >ORGLA08G0058300.1 pep chromosome:AGI1.1:8:5489112:5490263:-1 gene:ORGLA08G0058300 transcript:ORGLA08G0058300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHQMESVPRTVSTVVPNTAEATHVFDILGYSQMKGRGREEHVTSGTFVVGGLHWAILLFPDTHVILLDDEEDNVTAFLELQSQGGGKVRACCDVRLVDQTTGLASSAAPAQPDAKTFKVFNADESNRVSCLKMRRTEFEAPPYLVDDRITLECVVTVKKEPRVSRARPVPRIKVPPSNMMQQLGDLLESKEGADVVFDVAGETFPAHKLVLAMRSPVFKAELCGPMRESGTEPISIVDMQPVVFKALLQFIYTDWLPSIRDLEGDDNSEMIRHLLVAADRYAVDRLKLLCQSILCKNLRVGNVATTLALADQHHCGMLKDACIEFMSCPNMLDDVVASQGFVDLENTAPSLVAEAKEKMGRFKKMSRMTKSNAPEDEPNSN >ORGLA08G0058200.1 pep chromosome:AGI1.1:8:5485211:5486371:-1 gene:ORGLA08G0058200 transcript:ORGLA08G0058200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSAAAAACGPPEFTPGWKTVSTCAPDVVGEGVHVFDIFGYSDHKGMGAHEPIRSGAFSVAGLDWVACLYADGYGVAGIDDVSAYLRLLGDAPTPRVWVSCEVKLVDQRTGVASTPQPFLRYALVFGDKCKALHCMMIPRGQIEVEPYLVDDRLTMEFHVVVRRDPRVSRTARFPRILVPPPDIKRQFANLLQSKEGADVTFDVAGEPFSAHKLVLAMRSPVFKAELCGLLREPGTQPIAIVDMQPAVFRALLQFIYTDQFPATRGFERRDNCEMIRHLLVAADRYAVDRLKLLCQGVLCKNLNVHNVATTLALADQHQCDNLKDACIEFMSCSKKMKGVAASKGYEDLQRMAPSVLADAVAQMSKLNKMPRGSAPQDESKSC >ORGLA08G0058100.1 pep chromosome:AGI1.1:8:5477986:5478754:-1 gene:ORGLA08G0058100 transcript:ORGLA08G0058100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFFSRPRYSTGCGIDGCFKFLPIDAIGCNKVLDHCNGLILYHGEISEQYKLFVCNPATHRWVQLPPFTEYDSLCISAEYLVFDPAESLHYEVFLIPDLPEIPTKKKCHKGPLMGKDATMEWPPSVHTLWVFSSRTGSWEDKAFLHEGHATNMAGTSSEVLLDSPDMMSWGPRFIRAEYWNGALNVHFRARNPWQESFSLFLSPSALVNRMXIENSLVENXSFPVVPKITIVPV >ORGLA08G0058000.1 pep chromosome:AGI1.1:8:5476226:5476582:-1 gene:ORGLA08G0058000 transcript:ORGLA08G0058000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILDESDDDDDEEEDQDSAEWNSDDDNINIIGDLSKNKEEMSMWTFGSVDLLGFHPYKEVIYLIDLDEVVAYHLRSSKVQYLGCNRLNEYNRGMEKSFFYTPCFVDLIPEGAHQKSS >ORGLA08G0057900.1 pep chromosome:AGI1.1:8:5465300:5466391:1 gene:ORGLA08G0057900 transcript:ORGLA08G0057900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKKKTTASRHTTESEEGTHSFEIVGYSLQKGIGVDEFIESATFAVGGYDWCIRFYPHGKGDGAKDYISVYLELLTKNCAVRAAYDLRLVKHATGLPMSVYSETTHRMFNSDDSSKFAPHYATFMNRSHLEMEASGYIKDDRLTIECFLTVIVKESMASNTVKAHELINVPPSDLSENFGELLEKGEGSDVTFVVGGEKIAAHKIILAARSSVFKAELYGQMKEKRARRVTVEDMQPDVFRGLLHFIYTDSLPDMDVLSDDDYYEMIRLLLVAADRYAMDRMKLQCESILGEHLDVQTVATTLALADQHNCNGLKDVCIEFITNQNKMDDVVATEGYADLKRTCPSVLVDVFEKASKFRRI >ORGLA08G0057800.1 pep chromosome:AGI1.1:8:5456196:5457802:1 gene:ORGLA08G0057800 transcript:ORGLA08G0057800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WVPRRGCQGTPRSTRRPRSSSTSLGTASTRAWPPASSSGRRPSPSAATTGQSASTPTALRACSPVFKAELYGGMKEREARSVTVDDTQPDVFRALLYFMYTDSLPDMDDVEDADYVEMIRLLLVAADRYAMDRMKLLCESVLDDLLDAETVGTTLALADQHSCNNLKDVCVKFMATSKGMDAVMATEGYDNLKRNCPYVLIDVLEKLNRGKAIHTTLALLVVTNIFSSSNMFSLFEHED >ORGLA08G0057700.1 pep chromosome:AGI1.1:8:5443263:5444078:1 gene:ORGLA08G0057700 transcript:ORGLA08G0057700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGHWRDPLQLVPIIAEAVRFLRFEPFRAKIPLFIPRSDLEMEESGYIVNDRLTVECEVTVTKGPQVSRTIGCSEIGVPPSELSEHFGKLLEEEEDVGRDVVFSVEGESFAAHKLVLAARSPVFKAEFYGEMIERGTFSIDIKDMQPSVFRALLHFIYTDVLPADIGDLEGDDYVEFIRHLLVAADRYAMDRLKLMCQSILGKYVDVKNVATTLALADQHNCDKLKDVCIQYISSLDEVDAMFRTKGYANLKRSCPSVLADLFEKTSKFRAS >ORGLA08G0057600.1 pep chromosome:AGI1.1:8:5432022:5441504:-1 gene:ORGLA08G0057600 transcript:ORGLA08G0057600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFPSLLLPWLPWLLGSLLSVYLLDLLAHSRRRLPPGPRPLPFIGSLHLLGDQPHRSLAALAMAYGPLMSLRLGAVTTVVASSPAVARELLHRHDAAFASRSSPDSTGDHARSSVAWLPSSAPRWRALRRIMATELFAPHRLDAAAPRRLRREKVRELVAHVARLAAGEGGKPAVVDVGRVAFATSLNLLSRTIFSRDLTSLDDHGGSKGFQEAVARIMEAGGRPNVSDFFPVLAAADLQGWRRRLARLFARLHRVFDAEVDARLREHDAGEARKGDFLDVLLGIAARRDDAAELDRDTLRSLFTDLFCAGSDTSSSTVEWAMAELMQNPKSMSRVCDELSQVIGLGRNIKESEIGQLPYLQAVVKETFRLHPPAPLLLPRQAEMTMKIAGYTIPKEIRIFVNVWAMGRDKDIWPEPEKFIPERFLGSKIDFKGVHFELIPFGAGRRICPGMPLANRMVHLILGSLLNQFKWNLPVEVERNGIDMSEKFGLTLAKATPLCALVTPISVKPADHQE >ORGLA08G0057500.1 pep chromosome:AGI1.1:8:5411609:5412697:-1 gene:ORGLA08G0057500 transcript:ORGLA08G0057500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKKKTVSRHTTESEEGRHSFEIVGYSLKKGIGVDEFVESATFAVGGYDWCIRFYPDGKGDGAKDYISVYLELLTKDCAVRAAYDLRLVNLATGLPKSVYSETTHRMFNSEDSSKFAPHYATFMHRSQLEMEASGYIKDDRLTIECFVTVVVQESMASNTVKAHELIKVPPSDILENFGELLEKGEGADVTFVVGGEKIAAHKIVLAARSSVFKAELYGQMKEKRARRVTVEDMQPDVFRGLLHFIYTDSLPDMDDLSDDDYYEMIRLLLVAADRYAMDRMKLQCESILGEHLDVQTVATTLALADQHNCNDLKDVCIEFIATQNKMDDVVATEGYADLKRTCPSVLVDVFEKASKLRRI >ORGLA08G0057400.1 pep chromosome:AGI1.1:8:5368763:5377432:1 gene:ORGLA08G0057400 transcript:ORGLA08G0057400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVPVPRARAAPRSHIWIPVILILVAVTVLWIYLYPPQDYTYPVRDWYPVRDWFPAEPARELTDEETAARVVFRQILSTPPFPSRNPKIAFMFLTPGKLPFEKLWELFFKGHEGRYTIYVHASREKPEHVSPVFVGRDIHSDKVGWGMISMVDAERRLLAKALEDTDNQLFVLLSDSCVPLHNFDYVYDFLMGSRHSFLDCFDDPGPHGVFRYSKHMLPEVREIDFRKGSQWFAIKRQHAMVVVADSLYYTKFRRFCKPGMEEGRNCYADEHYLPTLFLMMDPAGIANWSVTYVDWSEGKWHPRSFRAKDVTYELLKNMTSVDISYHITSDEKKELLQRPCLWNGLKRPCYLFARKFYPETLNNLMYLFSNYTIF >ORGLA08G0057300.1 pep chromosome:AGI1.1:8:5334302:5338006:-1 gene:ORGLA08G0057300 transcript:ORGLA08G0057300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGGGDAAAEGRRGEGGGGAARWAVGAVCGGLVYYHCAVRRASAVSLAADVLLVLLCSLSILGLLFRHLHISVPVDPLEWQISQEMANSIVASLANTIGAAESVLRVAATGHDKKLFFKVVFTLYFLAALGRVVSGAAVAYAALCIFCLYMFAQSTDLFDQLPSWVPVGRDSLGGAQDTT >ORGLA08G0057200.1 pep chromosome:AGI1.1:8:5327785:5332119:-1 gene:ORGLA08G0057200 transcript:ORGLA08G0057200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAAAAAPARSALPFRSRVAAAARPGRAPALSAAPGRRLVARRAAGDGQAVEAQEALPIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWFPPFPSVMDINQIREILPHRFPFLLVDRVIEYKGGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVESEHIDTQFIHFQGTNLIXQNKKKVEFDEKSSSTFSKPFYCIFHLCRKVLXSNKELASINLFTFLSFSNXXKVLISKYSGTRWVMDIWYHDQXFHVGLVELISFMVKVLQQLCFHNPNXTNGATXNPXIDEDMAGCFLIAYCSIIKXTNIXTSLMXGYLYAQAMAQVGGLVMLQPEIGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLRKEHDEVANNGLSIFLDPKRLKLQDGEIPNTMEEEKPSAGVQPDPTVPTMALSWMLPTQGQETIHDTMNTAYEMSSSETPPLRADQAAAAAPMDVEVQLRQQAPPCQQAHFWSVSIDEDMWAGYWKYLASEISICFF >ORGLA08G0057100.1 pep chromosome:AGI1.1:8:5324120:5326880:1 gene:ORGLA08G0057100 transcript:ORGLA08G0057100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGLLAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFAPYFWPYLAQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGSMEATTDANVAVNQNTGADTYDKVKSQLSNFLPTSRRIMQFSNGQAPLPGARVVYIDGAFDLFHAGHVEILRIARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVVGIDPYAVPKSMGIFQTITSPKPITTVSVATRIIDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >ORGLA08G0057000.1 pep chromosome:AGI1.1:8:5311926:5317025:1 gene:ORGLA08G0057000 transcript:ORGLA08G0057000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVMVSSASGLLAMLQEPAAELKLHALANLNSLVHVFWPEISTSVPAIESLYEDEEFDQRQLAALVVSKVFYYLGELDDSLSYALGAGPLFDPSEGSDYAQTLLAKALDEYASIRSKSLKAAGEGDEKMDPRLEAIVERMLDKCILDGKYQQAMGMSLECKRLDKLEEAISRSDNLNGSLSYSIILSHQYVSHREYRCEILRCLVKIYQTLPNPDYLSICQCLMFLGEPETVGNILGKLLSGSKDDALLAFQIAFDLVENENQAFLLNVKNHLDTLSLQTSAQTLPSDQTAATEPSGDAQMTDDAITPNGNAHTVDPNEVAHADRLTKIKGILSGETSIQLTLQFLYSHNRSDLLILKTIKQALEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLTQGRALMAPYLPQSGAASGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSSEVVQHGACLGLGLAALGTADEEIFEDVKNILYTDSAVAGEAAGIGMGLLMAGTASEKAGEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESYDSRVGTFRRQLEKIILDKHEDTMSKMGAIMATGILDAGGRNVTIRLQSRSKHDKLTAVVGLAVFTQFWYWYPLTYFISLAFSPTAFIGLNSDLKVPKFEFLSNTKPSLFDYPKPTTQQTTTASVKLPTAILSTYAKAKSRAKKEAESKAQEKAEAPPSEDASTSMQVDGVAEKKAPEPEPTFQILTNPARVVPAQEKFIKFLEGGRYEPVKLAPSGFVLLRDLKPTETEELVLTDAPATQATNAAAATAGQQGSGAAAMAVDEEPLPPQPFEYTS >ORGLA08G0056900.1 pep chromosome:AGI1.1:8:5309254:5310451:-1 gene:ORGLA08G0056900 transcript:ORGLA08G0056900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKKRGIRDWIGDSQRKRNRKPGRLFFLLPLSSRPPRLRLRGRETDGGFGMRSRRREMNSGEGKNSKHLSVLTVKYYDLRTVKSTPFSAPVGRP >ORGLA08G0056800.1 pep chromosome:AGI1.1:8:5304233:5308410:1 gene:ORGLA08G0056800 transcript:ORGLA08G0056800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPLAGGRLVLVVVVAAACMARWSEGIGVNWGTQLSHPLPASTVVQLLKDNGFDRVKLFDAEDGILAALKGSGIQVMVGIPNDMLADLAAGAKAADDWVATNVSNHVNNGVDIRYVAVGNEPFLETFNGTYLNTTFPAMQSVQAALKKAGLADKVKVTVPLNADVYQSPTGKPSDGDFRADIHGLMLTIVQFLADTGAPFVANVYPFISLYKDPNFPLDYAFFQGSSAPVVDGGVTYQNTFDANHDTLVAALRRNGYPNVSIIVGEVGWPTDGDANANPQYARQFNQGFLTHIASGQGTPLRPGPVDAYLFSLIDEDQKSIEPGNFERHWGVFYYDGQPKYPLSLRGGGNGNGNGSTLMPAKGVTYLQRRWCVMKPSASLADQKVGDSVSYACGLADCTSLGYKTSCGGLDAKGNVSYAFNSYYQVNDQDDRACDFKGIATTTTVDPSAGSCRFIIEITPTANGVAMAATVRVAGVMAAILAAFIHLVVPVF >ORGLA08G0056700.1 pep chromosome:AGI1.1:8:5292552:5296614:-1 gene:ORGLA08G0056700 transcript:ORGLA08G0056700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CemA-like proton extrusion protein-related [Source:Projected from Arabidopsis thaliana (AT4G31040) TAIR;Acc:AT4G31040] MSRSAVSSEGGIALRLFVDWRIRRRRVCACKMFDTGSQRGRVRQLVAFAKKRRRPKKQPSRRPWWKAWFSDWNDEEESLSGWREDEELLEEVGGEEGLSDDEKFETWKRKAEAIVELREARQDAMNAEGRSWEDWIGGGSSTAGDGGGDWGGDLDVSDLITDDPTEIVRDKGLIETFRDSVDEDYNDMLFEDRVFLYASTNSAKFLALLIVIPWALDFLVHDYVLMPFLERYVQKVPLAAELLDVRRSQKLLMVKDINTEKARYRFEVEIGKSPPLSDDELWSELREKAIELRDEWRLENRKAFANIWSDMVYGISLFLLMYFNQSKVAMLKFTGYKLLNNISDSGKAFLIILVSDILLGYHSESGWHSLVEVILEHYGLEADQAAITFFVCLVPVALDVFIKFWVYKYLPRLSPSVGNILDEIKRH >ORGLA08G0056600.1 pep chromosome:AGI1.1:8:5282827:5285564:-1 gene:ORGLA08G0056600 transcript:ORGLA08G0056600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPQSEIVGENNASKSAAKEQILSSTNEKMTTSVPQGASSSKSPKGAQEKASFLGKGGEQPFYQPNVYAPQPQTIYSGGYLNHLGQWEEYPHYVNMEGLHSVSPGIYNDNQSIMLSPGYANNPQMMYGAYSPGVGDGQPYLPLHFPFSSPYYQPPASPSMGYSNSATGMSQGDPMLQQEYFLPDGLLYSPTPGYHQPFGSFDRASTQPSSTPGLFGQGNTPLAFGMHHGSMYAPGSYKPRQQGGKFGGTTPSWSSGRRFGTFDLSANQQKGSMPFGIQNGALEFLNEQNRGPRATKPKKQDTENSSIDDKNEKNVPLVDSELYNRPDFVTEYKDAKFFVIKSYTEDHVHRSIKYNVWASTASGNRKLDSAYRLAKEKEDYCPIFLFFSVNGSGQFCGVAEMIGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVTNSRDTQEVKLEHGLQMLTIFKNHESETNILEDFDFYEQREKALQENRRQQQPASPELQKPAENKALGELMAHISDTFGQTVQLKETENGESKPAVEGVSSADEASTATTKAEDGTASTNASPVE >ORGLA08G0056500.1 pep chromosome:AGI1.1:8:5277856:5281570:1 gene:ORGLA08G0056500 transcript:ORGLA08G0056500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAKFHGIVGAVGAHDVGGNFCDMGYYQKLGEGSNMSIDSLNSMQTSIYGGSVAMSVDNSSVASSDSRTGMLKHPGLRGPVIVSSYSVGNSIFRPGRVSHALSDDALAQALMDSRFPTETLKDYEEWTIDLGKLHIGMPFAQGAFGKLYRGTYNGGDVAIKLLERPEADPEKAQLLEQQFVQEVMMLATLRHSNIVKFVGACRKPMVWCIVTEYAKGGSVRNFLNRRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEVIQHRPYDQKVDVYSFGIVLWELVTGNLPFANMTAVQAAFAVVNKGVRPAIPHDCLPALAEIMTRCWDANPDARPPFTEVVRMLEQVEMEVVNTVRRARFRCCISQPMTLD >ORGLA08G0056400.1 pep chromosome:AGI1.1:8:5271735:5273270:-1 gene:ORGLA08G0056400 transcript:ORGLA08G0056400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGIFRYAFRDEEKKDTLLLEIGKCIVEKCHGVPLAAKTLGSVLFGKQDVKKWLRIKDANLWNIEQNKCDILPALKLSYDALPPHLNACFSCLSVFPKDYVILRELLIMFWMALGLLHKTREGDEIETIGGQYFNELDQRSLFQDHYVIYNGSIQSCKMHDLVHNLAMFVCHKEHAIVNCESKDLSEKVRHLVWDHKDFSTEIEFPKHLRKANKARTFASIDNNGTMTKAFLDNFLSTFTLLRVLIFSDVDFDELPSSIGNLKHLRYLDLQWNGKIKFLPNSLCKLVNLQTLQLSRCDQLEKMPKDVHRLISLRFLCLTLKNKYLSEHDGFCSLTSLTFLFLNSCAELSSLTNGFGSLTSLRKLYIFNCPKLATLPSTMNQLSTLQTLSINNCHELDLLEPSEAMGGLACLDVLQLVGLPKLVCFPGSFISAATSLQYFGIGNCNGLMKLPDFIQSFTSLKKIMINGCPELSRRCAVKSGEDFHLISHVPQITIDKKTYRKITPSHPECSS >ORGLA08G0056300.1 pep chromosome:AGI1.1:8:5263105:5270863:1 gene:ORGLA08G0056300 transcript:ORGLA08G0056300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:I1QGL2] MWKLKIAEGGPWLKSGNSHVGRETWEFDPNFGTSEEREAVEAARIEFQKNRFRTRHTSDVLARMQLAKANNFSIDLQKEKDGNPINIDTATVSDILKKALSYFSAIQAYDGHWPGDFPGPLFTTATMIIVLYVTESLTITLSSEHHKEICRYLYNRQNIDGGWGLHAEGESSMLSTALNYTALRLLGENVDDGPDISMHKARKWIHDHGGATMIPILGKVWLSVLGVFDWSGVNPIPPELFLLPSFVPIQPGRLWSHFRMAFIPMSYLYGKKFVGPITRLVISLREELHIHPYKKIDWKEARKLCAKEDAYNPHMWLQECLSDCLYSFGEPFLTRWPISYMRKRALYQIAEFLKYEDENSQYICIGAAQKALSMLCCWIENPNSDAFKRHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVQAILACSIAEEFGSTLKKAHGFIKTSQIMDNPSGDFSRKYRHISKGGWAFQVADQGWQVSDCTAEALKALLLLSKCLSDGADYQTETYCYFDAVNVLLSLQNPNGGYGAWELARTYPWMEIFNMTEIYADIIVEHQYVECTSSVIQALALFREKYPGHRKDEIDQCIRKATEFIEKLQNDDGSWFGSWGICFTYGTWFAIEGLSAVGQCYDDSTCIRKACKFLLSKQLTNGGWGESHLSSRTKAYTNLDGEKSHIVNTAWAMLALMKAGQVERDPAPLHKAARLIMSMQLSDGDFPQEEMIGSFLKNGPLCYMAYRNIFPIWALGEYQKLVFQNYQTSSIKQTNIAPSAGNAALKNSASTTAPT >ORGLA08G0056200.1 pep chromosome:AGI1.1:8:5232687:5236495:1 gene:ORGLA08G0056200 transcript:ORGLA08G0056200.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGKNGGKLTGRIFDSDLHDIGRFLNRILGLAPDIQNRLFDLFTSILDIVIQNARSEGQLDSGIVDIKAKSVKMKESPKTVHVDSLSGASTVLFTFTIDRGFTWESANAILEERQKDGAGSSDVGFYESRREWMGRRHYMLAFEGSTEGMYRVIRPAVGEALREMPLVELKSKYRKVSSIDKIGNGWQEEYDASSKQCMHGPKCKLGSYCTVGRRLQEINILGGLILPVWGIVEKALAKQVRQIHKRIRVARLETNDNERIVGLMIPNSAVESVLEGLQWVQDIDD >ORGLA08G0056100.1 pep chromosome:AGI1.1:8:5216702:5231148:1 gene:ORGLA08G0056100 transcript:ORGLA08G0056100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGSPSPVAASAAPVQVRCAGCRGVLAVAPGMTEFICPKCRMAQRLPPELMPPSPPKASPTPPPQPQPHPQLQPPPPPAPLPPPSRRSAPRAQGVDPTKIQLPCARCKAILNVPHGLARFRCPQCDVDLAVDLSKLRNFLATAGPGFAPPLPLPPPPPPPMPPIPLPHMPFLPMMPHVPVPMPPMASPAELPEEINEAYRFLRICHVAVDVEREEDEGGTVGETFMDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLTIMDELDETKVLSCLQIETIVYASQRHLYHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWKQGRHKALWISIGSDLKYDARRDLDDVGAKYVEGPAWGSFWQLQLLPESQAPPNSPDSYSDLHALNKLPYSKIDSKAVGITTGVIFVTYSSLIASSEKGRSRLQQLIEWCGSEFDGLLVFDECHKAKNLIPEAGSQPTRTGKAVLEIQEMLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFQKFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGAAFATVEAPLEERMMNMYRKAAEFWAELRVELLSAIEYYAEDKGNSSQIWRLYWASHQRFFRHMCMSAKVPAVVRLVKEALAEEKCVVIGLQSTGEARTEEAISKYGVEMEDFVSGPRELLLKLVDDNYPLPPKPDCFQQVGDEKVAEVQRKRHYGPDVCFKGRARKLAKMEDESDDGTDEYSLRMVIIREILFXYSHFDAMGFTYHXHEYAESDHESTESEEEFNMCQICNTEELNAILGEDDVAPLYWLRRTCSPWLSDSSLDWYDTAVERKSNILQIIRSLDLPNNPLDDIIDQIYGLNCVSSFSSDEVTLP >ORGLA08G0056000.1 pep chromosome:AGI1.1:8:5215836:5216222:-1 gene:ORGLA08G0056000 transcript:ORGLA08G0056000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQAAEMSVTGLFACPGSSPAQGHDTQGLGVRRRGGAPDEQAGHQGGHRYAKWPSLCRTTRGTPVTGKQSPMVGTKCVRERRPGHRWIGFTDEIPM >ORGLA08G0055900.1 pep chromosome:AGI1.1:8:5154340:5156746:-1 gene:ORGLA08G0055900 transcript:ORGLA08G0055900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGKHNGMSLKDRRELVYEVSQWPQGASEILQCWTRRDLLELICAELGKERKYTNVPKSKMIAYLLKLVLRKNGQPKDDNANASILGQNNKDDTEKKENEEQPHHFSRSAKSDSSMCREAQAGSTAVCRNVACQATLNSGDAYCKRCSCCICHKYDENKDPSLWLVCSSDTPYSGYSCGTSCHLKCALKNKKAGILKNGCNKKSDGSFYCVWCGKMNWLMRNLRKQLAIARESRRVDMLCERLSLTHKMVKGSERYRELANIINSAVKILEKEVGCALDQVSAITGRGIVNRLCCGADVQKLCSCALEMVDSTLSSTLDFETNNNLEVPGPRPQVFFVEITPFSVLVVLKYQDNIAEEIDGCKVWHRSANMANYPAEPTCHVLRPNTRSLFSGLSPSTEYFFKVLPFGCSQGYGEWEVKCSTRSLNHGSSQCSTQNSESMSIKEDLEQHQKNELNLKNKQWWGIQYDSPSANSNENDVCPDLHPKRAKLAKLDGASDNDESQLLPTSEVLPFMSSNSSLSEVPSKPDWVSSTPDSACKNHVERQYEYSVKVIRWLEHEGHMDKDFRVKFLTWFSLKASAQERRIVNAFVDALVSDPASLVAQLIDSFMEVVCSKEKPAQPNGGCCNLWH >ORGLA08G0055800.1 pep chromosome:AGI1.1:8:5146448:5147703:1 gene:ORGLA08G0055800 transcript:ORGLA08G0055800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVRWRSTNGIMCLSTGQPSPPSRHYPICSTRNRRRRLGCSSVAPLSDPFSSTPANSDGRPQRPIQSRPSSHPSLHEDGGDGDKPFKAEDWHQGGSNAAWRGGEGGTGRXGGVSRMSRGRAETHNLGDCALEEEGQHGDDAMLPN >ORGLA08G0055700.1 pep chromosome:AGI1.1:8:5141954:5144173:-1 gene:ORGLA08G0055700 transcript:ORGLA08G0055700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1QGK6] MKQYYKAALLRYWVVVPFLLWLVATEEQLAAGAVAGAAAESSSTWGGQGQLQLPLWVRPGDRRLLGMSVAGMAVDAVVAADGTGQYTTIKQAVKAAEADTSGRRYTIHVKAGKYVEDVEIWRPNITIIGDGIGRTIISGRKSKNMNRGTACTGTLNVQKDGFIARELTVENTAGPQAMQAAAVVVKSDRAVFFRCEITGYQDTLLADVYRQFYRECVISGTIDFVWGEATAVFQMCHLLVRRPLEGSHNTITAQGRRHTNDSEPVVARSGFVFQECNVSTKEDLRGVDTYLGRPWHPYSRVIFMSSYLDGNVVNPKGWVAWRINNATDERSTASTVYYAEYNNTGAGANVTQRVNWHGFHLLAPHEVRNFTVDSFIDGGSWLPETNVPYHLDLDLGL >ORGLA08G0055600.1 pep chromosome:AGI1.1:8:5082936:5083271:-1 gene:ORGLA08G0055600 transcript:ORGLA08G0055600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRELLLQSDKKTVAEAFWRGIVDGRVDDMVPAETMRTPWHSGGLLPHTQGYTHEEQQHDCAALAVEPSRRSGVAVRTGQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA08G0055500.1 pep chromosome:AGI1.1:8:5071629:5071964:-1 gene:ORGLA08G0055500 transcript:ORGLA08G0055500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRELLLQSDKKTVAEAFWWGIVDEGVDDMVPAEMMRTPWRSGGLLPHTQGYRHEEQQHDCAALAVEPSRRSGVAVRTGQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA08G0055400.1 pep chromosome:AGI1.1:8:5019159:5019748:1 gene:ORGLA08G0055400 transcript:ORGLA08G0055400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAAGGSGAAPGRPTGQRGTVAAAARHRAGCSAAADPTGVWTTAWAKPAMERPGWISRQSWRPGGQRLRLYLTDTKFLNFYLTNVS >ORGLA08G0055300.1 pep chromosome:AGI1.1:8:4985307:4985834:-1 gene:ORGLA08G0055300 transcript:ORGLA08G0055300.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDTSSPILSFQILIFRIGNVTDERSGTDEVDTDGDVVPPVRFACQGDGVEVEIRVVVRRSVATATGNWHLRDEMPTMVPCIQRQPRRRRARPSSRSSIHEEADSQRREQTVIHVDADNEMVGDEAPPYKEVRREGGLHPLGSTL >ORGLA08G0055200.1 pep chromosome:AGI1.1:8:4980196:4980408:-1 gene:ORGLA08G0055200 transcript:ORGLA08G0055200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSMATARRRPWGGDLGHGSLARTTTALVTVDGMAAVAAAAAECGGVVGSGGGMARMMMALVVATAWR >ORGLA08G0055100.1 pep chromosome:AGI1.1:8:4978730:4979056:-1 gene:ORGLA08G0055100 transcript:ORGLA08G0055100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFLACDVLGMHAIDRATHSAASLHAWRPERCHSQPLPAFVLLADKPCVFACFSFCFACARASVCGSRANRCHGARIARRGAGDGGVHAFCRSVGMDTIKSATWERF >ORGLA08G0055000.1 pep chromosome:AGI1.1:8:4965920:4966628:1 gene:ORGLA08G0055000 transcript:ORGLA08G0055000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAVHKASVSFKFKLIGGVETRSSCSTSTCGRRFRIQEDNKGEAASDHALSHLHSVREHIGDSPPTPQ >ORGLA08G0054900.1 pep chromosome:AGI1.1:8:4950234:4954118:1 gene:ORGLA08G0054900 transcript:ORGLA08G0054900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLSARRVRWERSISSSTWVAYRFCFFSRFQRVRSIFLHITVYSSKYIWASIESVLDIITWFVMSLSWVVSFWDEVSCCRIEPSAIALSVVDGKKIKRIKI >ORGLA08G0054800.1 pep chromosome:AGI1.1:8:4939769:4940457:-1 gene:ORGLA08G0054800 transcript:ORGLA08G0054800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAAMQRRCLSLYVAVLMLVWLFFAPLSVVAGSLIAIPSALDGCRTSHRRPIENPRFNVTLWEKNEINTRPKASHGFDDGDEVSYTVAMPFIEKLRRRCAVATGGSHRRCDATAIRQFEEDVAPCLLERLKVNREFSHTLSNLDYSRWLGPYYLDLAVQQRSQMMNLFCMNKPNVLACLC >ORGLA08G0054700.1 pep chromosome:AGI1.1:8:4929432:4933253:1 gene:ORGLA08G0054700 transcript:ORGLA08G0054700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT1G30960) TAIR;Acc:AT1G30960] MRRFVGALRPLRTQTLSPIPTPLRVLSTASASSSSASAAASSSDSDSAAAPDADFDSSEFALPPSGPTPPPPPQQARNPVSALRKLRFDPSLRARADEALFGKERGGVDDAVEEERSREVALALLEAALEPPDDDLGPGEVREEDQMSLSVGIVGAPNAGKSSLTNTMVGTKVAAVSRKTNTTTHEILGVLTKGKTQICFFDTPGLMLGHHGLPHRDVTVRVESAWSSINLYDLLIVMFDVNRHLKTPDTRVVKLIKRLGAEVNPNQKRILCMNKVDLVEDKKDLLKVSKEFEDLPAYERYFMVSGLKGKGVKDLVQFLMEQAVRRPWDEEPTTMTEEVMKTISLEVVREKMLDHIHQEIPYVIDHRLMDWKELKDGSLRVEQHFIAPKQSQRQILVGKNGSKIGRIGIEANEELRSIFKRDVHLILQVRVAKKRSA >ORGLA08G0054600.1 pep chromosome:AGI1.1:8:4922249:4924810:1 gene:ORGLA08G0054600 transcript:ORGLA08G0054600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRQSTPRAMLLLCAVLMLAVAPPGAAAAAATAAVGCECGNAAAVAVAGEDVRGALRLKLVAIASILAAGAAGVLVPVLGRSFAALRPDGDVFFAVKAFAAGVILATGMVHILPAAFDALASPCGGGRGGGGGFPFAGLVAMAAAMATMMIDSVAAGYYRRSHFKKPRPVDDPADAARAAGVEEGGAEHAGHVHVHTHATHGHAHGHVHSHGHGHGHSHGSAPAAATSPEDASVAETIRHRVVSQVLELGILVHSVIIGVSLGASLRPSTIRPLVGALSFHQFFEGIGLGGCIVQASFKAKATVIMATFFSLTAPVGIALGIAISSSYSKHSSTALVVEGVFNSAAAGILIYMSLVDLLAADFNNPKLQTNTKLQLAVYLALFLGAGMMSLLAIWA >ORGLA08G0054500.1 pep chromosome:AGI1.1:8:4910311:4912509:1 gene:ORGLA08G0054500 transcript:ORGLA08G0054500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATVAAVADERGDCGGIGRGRGRLWRTRKREAAAVEGGCGRGRGSCTRRWRRRTRMRAVAVVEGGDSDDDGGHGQGQQRLCFCCSCCYHWFLSLGSSPTLQLQLFKDGVLQTKIRDKDDPRLSKMRKEVVAGGKDAMEVDNDFFLVPVKISDHQLQTSSQNKKKDKAAVFRSCPFHFYNAKNTAEICRAHDEHQCKKFNSYGK >ORGLA08G0054400.1 pep chromosome:AGI1.1:8:4905568:4905996:1 gene:ORGLA08G0054400 transcript:ORGLA08G0054400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTQTHSEFHPKPPQMRTKPLKSTQVNSNHPEPTDLDSARKERRLLLLLLLLAAAACAVRLRHSPGSGGAARGPPRQGRHDGGVPRSSLSPPPPPLHEASVALPPRRHRRRCDGSAAEGRIRSGGRIREVRQKKRTMRKSR >ORGLA08G0054300.1 pep chromosome:AGI1.1:8:4898442:4901164:-1 gene:ORGLA08G0054300 transcript:ORGLA08G0054300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTERAFLKQPKVFLCPKKSGKGKKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVIKVIPAGSTGGGKKAFIAA >ORGLA08G0054200.1 pep chromosome:AGI1.1:8:4896275:4897824:-1 gene:ORGLA08G0054200 transcript:ORGLA08G0054200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1QGJ1] MSTKFIVPLSCCISALVLLSSHLHSPAISSFLGILLDLAAPSLPFYLLTSGTTNSTGNHRYRDEYNPLCDDFPPEFPPPDTAAISIFCVDPNGCCNFTTVQAAVNAVPSFSKKRNVVWINKGIYYEKVTVPATKPNITFQGQGFDLTAISWNDTANSSHGTFYSGSVSVFATGFVAKNISFINVAPIPRPGDVGAQAVALRIGGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYENCRVISIADPVPAGVRTITGSVTAHARESVDDNTGYSFVNCSIGGTGRIWLGRAWRPYSTVVFAYTSMSDIIASEGWNDWNDPSRDQYASSLYSVSIVTWTVFYGEYRCTGDGANLSDRVPYAQKLSDVQVLPYLNTSFIDGDRWLKPYCDSLISA >ORGLA08G0054100.1 pep chromosome:AGI1.1:8:4891678:4895866:1 gene:ORGLA08G0054100 transcript:ORGLA08G0054100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVAPRAPAPAGRWGAAPPQELVERLKDYGQEGAFALWDELAPEERDFLVRDIESLDLARIDRIVRCSLRSQGVPLPAVEPVPESSVSTVEDRTPEDKQRWWKRGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSGKSLFQLQAERILCIQKLTAQSTDGTPQIHWYIMTSPFTDEATRKFFESHRYFGLEPDQVTFFQQGTIPCVSADGRFIMETPYKVARAPDGNGGVYAALKSQRLLDDMAGRGVKYVDCYGVDNVLVRVADPTFLGYFIDKGVSAAAKVVRKAYPQEKVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQGTGRLRYCWSNVCLHMFTLDFLNQVTNSLEKDSIYHLAEKKIPSIHGYTAGLKLEQFIFDVFTYSPSTALFEILREEEFAPVKNANGATYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >ORGLA08G0054000.1 pep chromosome:AGI1.1:8:4882461:4885536:1 gene:ORGLA08G0054000 transcript:ORGLA08G0054000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNSSCSRTRSGLVRLNNTIESNEVLFSKTRSGLVRINSTVESNEVSLSKTRSGLIRGNTNVRSNEGSYSTTRSELAGANGVVDSNVGDLCSKTRSGLVRRNATLDSNEGSCSKTRSGLVRGGDIMNFSEGSSSKTRSGLVRGNTTVAASNGSYSATPSRFVRGNIIVGSKEGSCSRTRSGLVRESIQMDYSDSSCSRTRSGLVRRKPFMVQVKDEAAMNGLSDDCLKEDSPGKNEPNHKSNLVENSDKPVMKGPDGWWKEGMLTKNGSKYRSDPVQTKGEACINGLPGGQWKENSAEKNVSNHKNELVQRKDDLIVDGLPDGWWKEDRPRKNGSNLKTDPYYIDPVSGYEFRSLKDVHRFLKSGDIYKCIVRPRKRTIQDPCTIENQSHVSSLQFFLV >ORGLA08G0053900.1 pep chromosome:AGI1.1:8:4873144:4878360:1 gene:ORGLA08G0053900 transcript:ORGLA08G0053900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AICARFT/IMPCHase bienzyme family protein [Source:Projected from Arabidopsis thaliana (AT2G35040) TAIR;Acc:AT2G35040] MPLNLASSPAAAAAAAKVCAGVRASPRHLLPRALDHQSRRVLSLSSSSLAGRAAGVRAMAAAEAGASTATQAKSSAGVKQALISLSDKTDLAYLGNGLQALGFSIISTGGTASSLEAAGVNVTKVEQITNFPEMLDGRVKTLHPSVHGGILARRDQEHHLKALNEHGIGTFDVVVVNLYPFYNKVTSGVISFEDGIENIDIGGPTMIRAAAKNHKDVLVVVDHEDYPALLEYLQGKQDDQQFRKMLAWKAFQHVASYDSAVSEWLWKQSNKGDVFPPNFTVPLSLKSTLRYGENPHQKAAFYGDKSLSVVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFESPTCVVVKHTNPCGVASRQDILEAYRLAVKGDPVSAFGGIVAFNTTIDEDLAKEIREFRSPTDGQTRMFYEIVVAPGYTEKGLEILKGKSKTLRILEAKRSGKGMLSLRQVSGGWLAQESDDLTPEDITFTTVSERAPQDSELSDAKFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRIAFRKAGEEAKGAALASDAFFPFAWNDAVEEACQNGIGVIAEPSGSMRDGDAVDCCNKYGVSLLFTGVRHFRH >ORGLA08G0053800.1 pep chromosome:AGI1.1:8:4860761:4865217:1 gene:ORGLA08G0053800 transcript:ORGLA08G0053800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1QGI7] MASISDSETTNHGSIWDLDQNLDQPMDEEASRLKNMYTEKKFSSILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGVDDDEDVIGALSLIIYTLTLIPLMKYVFVVLRANDNGQGGTFALYSLLCRHAKVSTIPNQHKTDEELTTYSRQTYEENSLAAKIKRWLEGHVYKKNCLLILVLIGTCTAIGDGILTPAISVLSASGGIRVQNQKMSTDVVVVVAVIILIGLFSMQHYGTDKVGWLFAPIVLLWFILIGTIGALNIHKYNSSVLKAYNPVYIYRYFRRGKSESWTSLGGIMLSITGTEALYADLCHFPVLAIQIAFTLVVFPCLLLAYTGQAAYIISNKDHVVDAFYRSIPDTIYWPVFIIATLAAIVASQATISATYSIIKQALALGCFPRVSVVHTSKKFLGQIYIPDINWVLMILCIAVTAGFKNQSQIGNAYGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVIFIVLSLMVELPYFTACINKVDQGGWVPLVVATTCFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPTEERFIVKRIGPKNFHMFRCVARYGYKDIHKRDDDFEKMLLDRLLLFVRLESMMDDYSDSEDFTMMEEKTQGSSNALLLTGKAGSNTMCSTGDLSYSSQDSIVPAKSPIRGNSLTRYSSQTFGDELEFLNRCKDAGVVHILGNTVVHARPDSGIIKKVAVNYVFAFLRKICRENSVIFNVPHESLLNVGQIYYI >ORGLA08G0053700.1 pep chromosome:AGI1.1:8:4827819:4828415:-1 gene:ORGLA08G0053700 transcript:ORGLA08G0053700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TEAKTSRPGHQWWSTVGQRLSLTGALLGISPGCGCAMVEEKAIRHITGRRGRGMRRRRQHKGEATASPDALCANPSVLLEVRPRWIDGRQRQIEERPPSRRFFPPRIHHPHRLQLLPYRRREARGGGRGVIELVVIAQSMRRQRWTRQGKYGGGGDGATRWGACRGQRCGGEAPRQMVGGDKPGQHRRAVERRPRGCFP >ORGLA08G0053600.1 pep chromosome:AGI1.1:8:4815461:4820897:-1 gene:ORGLA08G0053600 transcript:ORGLA08G0053600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRSLLLLRSNAASKASSIVKHVAAPGCLPEYSSEAPARYFSSESSLQVDSAEENGFKGHGMLAPFTAGWQSTDLHPLVIDRSEGSYVYDINGKKYIDALAGLWSTALGGNEPRLIKAATDQLNKLPFYHSFWNRTTKPSLDLANEILSMFTAREMGKIFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARSKSYHGSTLVSASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPDETEEEFATRLATNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKIQAVLKKYDILLIADEVITAFGRLGTMFGCDMYDIKPDLVSIAKALSSAYMPIGAILVSPEITDVIYSQSNKLGSFAHGFTYSGHPVSCAVAIEALKIYKERNIIEHVQKIAPRFQEGIKAFSGSPIVGEIRGLGLILGTEFVDNKSPNDPFPAEWGVGSLFGAECEKRGMLIRVAGDNIMLSPPLIMTTDEVEEIISKYGDALKATEERIAELKAKRG >ORGLA08G0053500.1 pep chromosome:AGI1.1:8:4813530:4814140:1 gene:ORGLA08G0053500 transcript:ORGLA08G0053500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQAPPPPATGYPYPAQEAGQQQQQQAYPVYVAPPPAGYPTKDGPQEQYPAGAGAGETTSRGHHHHHHHHGDGFWKGCCAALCCCCLLDMCF >ORGLA08G0053400.1 pep chromosome:AGI1.1:8:4804359:4805580:-1 gene:ORGLA08G0053400 transcript:ORGLA08G0053400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQDQLIKRVGLKVSVNCCDGCRSKVLKALNLKGVLRTEVHPTAGRVAVVGDVDAGRLVKRLAKVGKIAEVIVVAQPSPEVEKRRRHDGGGGKKEASPDNGKMGGGTAPKHGDGGADDKRGENGGGGSGASSARIHGGGDDDVKAAMCCYHRAEPPAMAVPVLQPPYYGFGGCYHGTPPPAMAPCRRGRIPVVRPQPTRFADECCMYGDDDTAGCHVM >ORGLA08G0053300.1 pep chromosome:AGI1.1:8:4801599:4803504:1 gene:ORGLA08G0053300 transcript:ORGLA08G0053300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVLRVGMSCEGCVGAVKRVLGKMQGVESFDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTSFWDAEPAPVEATAASS >ORGLA08G0053200.1 pep chromosome:AGI1.1:8:4796685:4797850:1 gene:ORGLA08G0053200 transcript:ORGLA08G0053200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ASH1-related protein 2 [Source:Projected from Arabidopsis thaliana (AT2G19640) TAIR;Acc:AT2G19640] MAGDALRAADLPGRGRGLLAARNIREGEVILTEQPLLLYPASLASLPSFCSACFRSFSSAASPCPSCRAAGFCSPSCAAASHPRLHAMVAALVPPQMLPPGFSPDLTAAFLSKDRTNSFSIMEPYRPEVPQPLRKARAYAVYPRASLLNHDCLPNACHFDYADRPGPGNTDIVVRALHDITEGREVCLSYFAANWQYKDRQQRLLEDYGFRCECERCQVESKWKQDDDSDGGDGDDTMEEEEEDGGGEGGDDGMEQEEGDGGSDSDDDFPHSYFFVRYLCNHGECYGMLAPLPPLPNGEPSHVFECNVCGNLKNEDEINAPDGGDSSMAD >ORGLA08G0053100.1 pep chromosome:AGI1.1:8:4789517:4791501:-1 gene:ORGLA08G0053100 transcript:ORGLA08G0053100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:equilibrative nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT1G70330) TAIR;Acc:AT1G70330] MAGGEYTPADAEADLLLPPPAGSEPEPEARPPPADTLGVAYAIFFTLGTGFLLPWNAYITAVDYFSYLYPRAPVDRVFSVSYMLACFLPLVLIVLCFPKSSAPARINTGMSLFTVALLVVPVMDAVYVRGVPGLYGAFDVTVAATVLCGVADALVQGGVIGFAGELPARYMQAVVAGTATSGVLVSVLRVITKGVYPQDADGLRKSAILYFVVSIVVMIICIVCYNVADKLPVVIHYKNIKKRAQKAEEDGGMSGSAWRTTLWSIVGRVKWHGIGIALIYAITLSIFPGYITEDVHSEALKDWYPIMLISAYNVFDLVGKSLPAFYFLENANIAVAGSFARLLFYPLFYGCLHGPSFFRTEIPVTILTCLLGLTNGYLTCILMTLAPKAVPIQHSETAGIVIVLFLVAGLVVGSFVAWFWVI >ORGLA08G0053000.1 pep chromosome:AGI1.1:8:4777251:4782175:1 gene:ORGLA08G0053000 transcript:ORGLA08G0053000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAGIVVSASMGVMKPLLAKLTALMGDEYKKLKGVRKQVSFLKDELTTMSAFLEKLAFMDDDDDGGLDPLAKDWRNHVREMTYDIEDCIDDFMHQLGGGADASGFLQKTARRLKTLRVRHQIANQIDEIKARVIEVNQRRKRYELDECSNSSTSHSVAVDPRITALYQKADNLVGIDGPTEELVQLLTNAEQQKLMVVSIVGFGGLGKTTLAKQVYDKIGQQFECKALVSVSQRPDIPKLLSTIQSKLNIQESSQAREVQDIIDGIRYYLGNKRYLIVVDDLWKEEAWNIIHCAFPENGNGSRVIVTTRVEDVACWACSNHRYIYKMKPLDSNDSKKLFFNRVFGFEDGCPSQYEKVSAEILKKCGGLPLAIITIASLLACRPARIMQEWERIRNSLGTPFGTNPSLEGMRQILNLSYKNLPLHLRTCLLYLGNYPEDYKIRRDDVVRQWIAEGFVKSFPGQDLEDVGKSYFNDLINRGLIQPEQNYYRELTGCMVHDMMLDLILSKCKEDNFISVVYSGEDYVSIAGQHGYSCNKVRRLSLQSKAAESDCTVLIEGKATPAHLAQVRSISLFGNSTSVLPLLLRFKYLRVLHIMLGHGCERTDLTAVSQLLQLRCLIFLGYGCKVELPSRICGLVHLETLEIACDVITSIPLDIVSLPCLSDLRLPSCVKLNRLPNSKSLRTLRICPPLDMDFFKALGEQTNLRDLHLFFDIKESSTASNLDSLGSSVGKLQNLRYLGIHFLFGISADGLMGSLSDFPHSIEILNLQTCCISRVPRWINVALVNLRRLHLFVSETCTDEISILGELPTLVSLDLDLKLKSKGTIMFGGGEGSFPALEDLLLSCVGYVASHSRLCFLAGVMPKLQRLQLRFWFCELGIDTAPVGMEHLSSLQLIDVLIHVVPEKRNVYPRDATEHVFREAAQAHPNQPAFEFYCSGD >ORGLA08G0052900.1 pep chromosome:AGI1.1:8:4760692:4767198:1 gene:ORGLA08G0052900 transcript:ORGLA08G0052900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRGWGRAARLGNDQNRGQCTCCCRCIDETVGSVASSVHREDELLDDRRRGSEVVVEKEAPGVDVEAGCGKGGCEGDDMEAILRRPVHEGEQEMTCTDIVAQVLTKSSTFLRNVGLQQPVAAPKSISPQMQELQAQLEAEKEESAGLHQKVQRLEAQAEESEAKAHKQAEEIENLKKAITDTQKSAADTQNLIRQMIAFGQTQFLFLVLGPLIFFDTI >ORGLA08G0052800.1 pep chromosome:AGI1.1:8:4754872:4755147:-1 gene:ORGLA08G0052800 transcript:ORGLA08G0052800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGVLELQQAGIELLRMGWGLGSSRRCRAPAAALGEGRRRRAPTAGRYIGDRVGLQPAASGPAGAMGKGWWRRRRVQQPRWGKGSGVGLC >ORGLA08G0052700.1 pep chromosome:AGI1.1:8:4746561:4746833:1 gene:ORGLA08G0052700 transcript:ORGLA08G0052700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFIEHLAITLSPPLVITIVRPPGWSWRLEGVEEEPCCRRRHGGSRVAAGHQETPSSTLRRYRLPLSCTALSSTSPRFAVSPSRGTPSCP >ORGLA08G0052600.1 pep chromosome:AGI1.1:8:4733532:4735294:-1 gene:ORGLA08G0052600 transcript:ORGLA08G0052600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKLDDEVHKIESCFVQPSKMLPRQALWLSPLDIIKASRGHTPLVHFYQHGDDAAADFFDVGRLKKALAKALVAFYPFAGRLTTDADGRPSIDCNNEGVLFVVARSEQLTVDAFSNLKSLPELRRLFIPCIEPPSTVLAIQVTFLRCGGLALESAVHHSAVDGHSMFHFLQAWSYLCREGDDAAEVVVDLPCHNRALLQPRSPPVVHPDALAMFSPKTNLRERPPGRPVSTKIFAISDDEVAALKAVCGGASTFTAVSALVWRCACAARRLPPDARSKLSFPVNIRRRVRPTHPGPLLRQRDRHRVRGDRGEEHRRVGVIIGDGDDDGVVGQGRRADKRRDAPPGRRQQQGAAAVGRRLPRDGGDGDVALAAPGQGQPAGDGAAGHQLAAPAAIRHGFWMGFPADDVPCRVGTRRVRSRDEWQASRRWWRSRA >ORGLA08G0052500.1 pep chromosome:AGI1.1:8:4726420:4728699:-1 gene:ORGLA08G0052500 transcript:ORGLA08G0052500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQKPAKRLGGMAEALSIAADLGFPAPPAQEDQGSSDKSDDLVRVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPAVWGKCFDRYLLRLLLARASSRPCLQ >ORGLA08G0052400.1 pep chromosome:AGI1.1:8:4714476:4714861:-1 gene:ORGLA08G0052400 transcript:ORGLA08G0052400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKTQSQGSAPVPKNPAMSSCRKKKSDDATFLEDLKDHIDEFIHASMDEHKHCFKNTIQKMFGMSKVVAERSAEAKEAEVESALPLQTSVSQ >ORGLA08G0052300.1 pep chromosome:AGI1.1:8:4713173:4713385:-1 gene:ORGLA08G0052300 transcript:ORGLA08G0052300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLELGLSQDDHIGVLSARSLSQFYHQVGSAGAAGQLPHPHHHHQHHQEQHEDGEDDRDDGESDEESGQ >ORGLA08G0052200.1 pep chromosome:AGI1.1:8:4708665:4709066:-1 gene:ORGLA08G0052200 transcript:ORGLA08G0052200.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTEAARIKSEKNKATRASQLNSTHTIGSRVFAVVLDQTELKENIKVGRAELYVITHTKRNGKPLMNTVVIKLY >ORGLA08G0052100.1 pep chromosome:AGI1.1:8:4695646:4696222:-1 gene:ORGLA08G0052100 transcript:ORGLA08G0052100.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCIQSTHLHTILPHAHGMISKFGSTQARCIPWPRDNLMHSSGQALHSKVSTSARLNSADRGNSVALTEVFKHNSIDNALQSVVGHKRKVHDLTPKENIGREDTHSRAKKIGKGRPDSPYANFTNSQVLTTSQF >ORGLA08G0052000.1 pep chromosome:AGI1.1:8:4669267:4670295:-1 gene:ORGLA08G0052000 transcript:ORGLA08G0052000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAYATSSLVIGYALCSSLLAIINKYAITKFSYPGLLTALQYLTSVAGVLTLGKLGLLYHDPFNLQTAKKFAPAALVFYLAIFTNTHLLKHANVDTFIVFRSLTPLLVAIADTAFRKQPCPSKLTFVSLVTILGGAVGYVMTDSGFSLTAYSWAVAYLVTITTEMVYIKHMVTNLGLNTWGFVLYNNLLSLIIAPVFWFLTGEHLSVFRAIESRGQSWFELDAFVAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVMIWDKHASSFGLVCLLFTLAGGVLYQQSVTSKGNSSVQREAVAKQGKADNDTAELDEEKQSLVSSPKDSNV >ORGLA08G0051900.1 pep chromosome:AGI1.1:8:4663086:4664566:1 gene:ORGLA08G0051900 transcript:ORGLA08G0051900.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKLHIWTKLEAQEGGRLLWNCTQSICVGMKMGLFGTESLSTVCIGEESGSMLTLYLSDPNSAYVLDLPSGSITSVDDWKRWFNYMTAVSFEINWHSFFLTRLGDYCGRFC >ORGLA08G0051800.1 pep chromosome:AGI1.1:8:4596219:4597622:1 gene:ORGLA08G0051800 transcript:ORGLA08G0051800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLPLRITSGRRRRRFVAGLPHSEMAEMAAAAAAAVPVIPEDVVIEILARVPDPTSLFRCAAACKRWLRLIADQAFLRRRWPPGTRVPSLLGFFAQRHQIQANARRKLTKLFPTRAPPLIAAPSRATGNPPVGTGRRRRLFLTDFVRNAAGAGLFDQAKPLAARGGLLLVRVLPSPSPAPQNALHLCVCNLVTGNHDVLPPLPMDCFEKDGARGYAILTAADHRVSRNPSGGYNTFFQVLLLSIHHGNHQVYLHRFSSAAASAAAAAAIFEISWSTPRNCSEQIRGYVWGPSGNRVAAVSHGAAHWLFSWGEESLYTLDVSIDTDNIGATNIPIDPPPTVFHQSWLYVSVDERLSLLYLCDNKLHIWTKLETQESGRLLWNCTQSICVGVKMGLFXTESLSTVCIGEESGTMLTLYLSDPNSTYVLDLPSRSITSVDDWKRWFNYMTAVSFEINWHSFFLTRLGDF >ORGLA08G0051700.1 pep chromosome:AGI1.1:8:4585274:4593048:1 gene:ORGLA08G0051700 transcript:ORGLA08G0051700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSNSSVHVFLWLMLVYAFCAAVQAQQAARTDPAEVAALDTILGRWGLRASPAWNISGEPCSGVAIDETGVDNNPNINPAIKCDCSFNAGTVCHIIRLRVFSLNVVGQIPEELQNLTYLNNLDLRRNYLTGPLPSFIGNFSAMQYLAVSLNPLSGPLPKEIGNLRNLLSLGISSNNFTGELPAELGNLEKLEQMYIISSGFSGPFPSTFSKLKNLKILWASDNDLTGKIPDYFGSFPNLQDLRFQGNSFQGPIPASLSNLTRLTSLRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGLTLLDLSFNNITGHVPQSILNLDKLSFLFLGNNSLSGSLPYDKSPSLNNLDFSYNHLSGSFPPWVTGNNLQLNLVANDFILDRTNNSILPSGLNCLQQDTPCFRGSPEYYSFAVDCGSNKSTRGSDNTLYETDAQNIGAASYYVSDNARWGVSSVGKFNEASNGSYAIYSPQQFQSALNSELFQTARMSPSSLRYYGIGLENGNYTVSLEFAEFVYPNSLTSNSIGRRVFDIYVQGELKEKNFNIRKTAGGKSLIAVNKRYTATVSKNFLEIHLFWAGKGTCCIPTQGHYGPTISALSVTPNFIPTVRNGVPKKKSKAGTIAGVVIGASFFGLAVLVGLFMLLKKIRRTAQRKEELYNMVGRPNVFSNAELKLATENFGSQNILGEGGYGPVYKGILTDGRVVAVKQLSQSSQQGKSQFVTEVATISSVQHRNLVKLYGCCIDSNTPLLVYEYLENGSLDQALFGDGRFNLGWSTRFDIILGIARGLSYLHEEANVRIVHRDIKTSNILLDPDLTPKISDFGLAKLYDEKKTHVNTKVAGTFGYLAPEYAMRGHLTEKVDVFSFGVVALETVAGRSNTDYSLVEDKKYLFEWAWGLYEREQALGIVDPRLEEIDEEEVLRVISISFLCTQGSPHQRPPMSRVVAMLTGDIPVSDVVAKPNYIIELQLRGRNSSHVTTGYSGSTAYELSGQRETSPLTPSLEISREIIDDGR >ORGLA08G0051600.1 pep chromosome:AGI1.1:8:4576135:4583085:1 gene:ORGLA08G0051600 transcript:ORGLA08G0051600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVIVWILLLVCSWRISAAQAQQPPRTDPVEVAALEAILGRWGKTTSPVWSMSGEPCRGVPVDGVTGLDGNPKNNPGIKCDCSYINGTVCHITQLKVYALNVVGQIPAELQNFTYLNYLDLDQNYLSGPIPSFIGQLTALTELGISLTNFTGQLPEELGNLTKLQRLYTDSAGLSGPFPSTFSKLKNLKLLRASDNDFTGKIPDYIGSLTNLEDLAFQGNSFEGPIPASLSNLTKLTTLRIGDIVNGSSSFSFVSNLTSLNILVLRNCRISGDLGAVDFSKFTKLAFLDLSFNNISGNVPQSILNLQMLTDLFLGNNSLTGGLPDGISPSLKNLDFSYNQLTGSFPSWSTQNNLQLNLVANNFDLGSTNNGILPPGLNCLQKDTPCLRGSPEYYSFAVDCGSNRSIRGSDNTMYELDFTDLGGSSYYVTSETRWGVSNVGKYFQSPNDSKIIYSNEKIQNAVVSELFQTARMSPSSLRYFGLGLENGNYTVLLQFAELGYPDSPTWKSLGRRXXXXXXXGELKEKDFNIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKRKSKAGAIAGISIGASVVGLAALFGIFMFIKKRRRLAQQQGELYNLVGRPDVFSNAELKLATNNYSSQNILGEGGYGPVYKGMLPDGRVIAVKQLSQSSHQGKNQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQALFRKNSLKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVYAFGVVALETVAGRSNTNNSIEESKIYLLEWAWDLYEKEQAQRIVDPRLEDFNKDEVLRVIHVALLCTQGSPNQRPPMSRVMAVLTGDAEVVEMVTKPSYITEWQYRDGNSTNSESTTSEFSRQKEIDPLTMSPTITGSSHDGR >ORGLA08G0051500.1 pep chromosome:AGI1.1:8:4565380:4566642:1 gene:ORGLA08G0051500 transcript:ORGLA08G0051500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVLPDGRVIAVKQLSQSSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLKNGSLDKALFGNGSIKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTNLTPKISDFGLAKLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVFAFGVVALEIVAGRSNTDNSLEESKIYLFEWAWSLYEKEQALGIVDPRLEEFSRDEVYRVIHVALVCTQGSPYQRPPMSKVVAMLTGDVEVAEVVTKPNYITEWQFRGGNTSYVTSHSGSTTPELSRQKEIDPLTQSPTITGVSHEHEGR >ORGLA08G0051400.1 pep chromosome:AGI1.1:8:4562536:4563780:1 gene:ORGLA08G0051400 transcript:ORGLA08G0051400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSSNRSILSSGLNCLQRNTPCLPASPDDSSFAVDSGGNRPIIGSDKSYYEPDDTNLGEASYYVSNSTRWGISNTGKFMEAANASFIVYTSRQFTNTLDSVLFQTARTSSSSLRYYGIGLKNGFYNVELQFAEIFFPDNTTWTSLGTRIFDIFIQGELREKDFDIKKQTNGKSYTVVLRQYVVRVTENFMEIHLFWAGKGTCCIPRQGSYGPLISALSVSPYGGNNKVDPGPIKNSAGNSKIALVAGVVVCTIVLGFLSTGTFLWRQKRKKMEAEMGGS >ORGLA08G0051300.1 pep chromosome:AGI1.1:8:4486145:4486716:-1 gene:ORGLA08G0051300 transcript:ORGLA08G0051300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RWYLIAIGIWYLIPCTWYPWILDDLILMDTRLDTEVSRLMPDGEGHGVDVEGGEVRLEVGGDHLQEHALQQLHLEVDGEEEEVEVPEHVAAGVAAAEVPGPPTSSTSVVATEYPPALTGKEAAGALGKDDGTSGL >ORGLA08G0051200.1 pep chromosome:AGI1.1:8:4474938:4476097:1 gene:ORGLA08G0051200 transcript:ORGLA08G0051200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMMRREAGTAAGWRACAKRVKGGDQGEKGKGWRPALLAVACGGRSGTCKHWAGHLEYSELAESRSPQAKGSGKGEKGSGGGWPAEWGGGRLLGFWRVRLAVARARWCRRGMRLYGNNGYVLEYRFHLTSALFQSITDVDTVSD >ORGLA08G0051100.1 pep chromosome:AGI1.1:8:4464009:4467552:-1 gene:ORGLA08G0051100 transcript:ORGLA08G0051100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGMVEARMPPGFRFHPRDDELVLDYLLHKLAAGGRGGGVYGGGGGVAIVDVDLNKCEPWDLPDAACVGGKEWYFFSLRDRKYATGHRTNRATRSGYWKATGKDRSITRRSSISSGEPSSSAAAAAVGMRKTLVFYRGRAPKGRKTEWVMHEFRLEPQPLHLKEDWVLCRVFYKTRQTIPSPSSEEAVTLPNELDLPATPSLPPLIDAYIAFDSAPMTTPSMVGSYEQVSCFSGLPALPMKGSISFGDLLAMDTSAEKKAIRVLHNSNTAKLELSPDWGQESGLSQMWNPQ >ORGLA08G0051000.1 pep chromosome:AGI1.1:8:4459104:4460685:1 gene:ORGLA08G0051000 transcript:ORGLA08G0051000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCFMFGNDTKQTSEGEQGEKAVRIFSYNELRRATHDFSGANKIGEGGFGSVFRGRLRDGTIVAVKVLSATSRQGVREFINELTAISDVMHENLITLVGCCAEGSHRILVYNYLENNSLQHTLLGSGRSNIQFNWRARVKITVGVARGLAFLHEEVRPHIIHRDIKASNILLDKDITPKISDFGLARLLPPNATHVSTRVAGTIGYLAPEYALRGQVTKKSDIYSFGVLILEIVSGRCNYNSRLPYEEQFLLERTWTCYEQGHLEEIIDADIEDDVDVEEACRFLKVGLLCTQDAMKLRPNMINIVRMLTGEKDVNTERITKPSVVGDLGDLRGSSQQRPSDPQSLLMRSFATTDPSTSSDTTTRSSL >ORGLA08G0050900.1 pep chromosome:AGI1.1:8:4441456:4445267:-1 gene:ORGLA08G0050900 transcript:ORGLA08G0050900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTGKRPQQHRDHDREERRDQKRRPVPRAQENSGNDELVVYRILCPDRVIGNVIGKNGKVINSIRQQTSAKVKVVDPHPGADKRVILVYCFVKHRNLDVNDDDDREPVCTAQDALLKVHDAIVDALSITRDSDDEEANILVPASQSASVIGKSGAVIKRLRSTSKAFIKVSPKDPNEVTHSCAMSFDNFVQITGDARAVRKALFAVSTIIYKCPSKETIPLETSVSDLRPSIIVPSELPVYPSSNFYSPSDAAISSGHPSLSILGSTPHVPELTVSADAHSRLPIYQSVLPVIPAYNTPKCSGELVLRVLCPAGKIGLVIGKGGVTIKSIRKESGARIDVDDSKNDREESIITITSNEATDDAKSAAVEAVLLLQSKINDDNEGKMNLRLLVPGKVIGCLIGKGGSIVNDMRSKTKAAIYISKGEKPRKASSSDELVEVFGEVENLRDALVQIVLRLRDDVLRDSVDRQNSEKDGKLTVATTDPLYASSYPLPALLPYRQQITPLGYDHRGDIERGLEVYPHSSLYGYSSLQAIDDGYSAVSSYASKGYGGRPPHMEMTIPASGLSKVMGKHGTNLDNIRKISGAHIEIIESKSSRHDHVARISGTTEQKQSAENLIKAFIMST >ORGLA08G0050800.1 pep chromosome:AGI1.1:8:4436721:4438152:-1 gene:ORGLA08G0050800 transcript:ORGLA08G0050800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMITSPLVAPARAKGLPSISRRGSSFAIVCSGGKKIKTDKPYGIGGGMSVDIDASGRKSTGKGVYQFVDKYGANVDGYSPIYSPEEWSPTGDTYVGGTTGLLIWAVTLAGLLGGGALLVYNTSALAG >ORGLA08G0050700.1 pep chromosome:AGI1.1:8:4417829:4419111:-1 gene:ORGLA08G0050700 transcript:ORGLA08G0050700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATTSTLAVTMFGYPNRNCHLKPPATATLRFWRSAAAAVVATSRREAEAEEADEVRRCLAPARLEVLEQMEPWVEAHVLPLLKPAEEAWQPADLVPDAAALGADGFHAACVELRGRAAGVPNAHLVCLVGNMVTEEALPTYQSMANRFESARDVTGADATAWARWIRGWSAEENRHGDVLNRYMYLSGRLDMRQVERTVHRLIGSGMAMHAPASPYHGFIYVAFQERATAISHGNTARNVRAHGDDALARICGAIAADEKRHEAAYTRVVERLLEADPDTTVRALAYMMRRRITMPAALMDDGRDADLFAHYAAAAQQAGTYTASDYRGILEHLIRRWRVAELEAGLSGEGRRARDYVCALPQKIRRMEEKAHDRAAQMRKRPTAIPFSWIFDKPVDLMLP >ORGLA08G0050600.1 pep chromosome:AGI1.1:8:4410944:4411288:-1 gene:ORGLA08G0050600 transcript:ORGLA08G0050600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAPLVVGGHTTAAVLARGGGPGDSGGIISRPELXRRSMQRA >ORGLA08G0050500.1 pep chromosome:AGI1.1:8:4391904:4392332:1 gene:ORGLA08G0050500 transcript:ORGLA08G0050500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLLSTHHLLSLSLGLPPTVRGLLLSVTISNASTSLGMARSTPSVAPSWPSPCYGPLATVSSKLAPAIPTTAEAPTTRSTCHDDESDHQQRRGSFPCVARGFLWLSLLISYLLILVVKTILVIPFMLKWVSSAWVVDDPL >ORGLA08G0050400.1 pep chromosome:AGI1.1:8:4388834:4390408:-1 gene:ORGLA08G0050400 transcript:ORGLA08G0050400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATMAMPLANRLRCKPNTNSSSPSRTLFGRRVTMISSSRWGSAVSGSAIMSAAADVAAAVRREEDEEMRSYLSPEKLEVLTQMEPWVEENVLPLLKPVEAAWQPSDLLPDPAVLGGEGFHAACAELRERAAGVPDLLLVCLVANMVTEEALPTYQSSLNRVRAVGDLTGADATAWARWIRGWSAEENRHGDVLNRYMYLSGRFDMAEVERAVHRLIRSGMAVDPPCSPYHAFVYTAFQERATAVAHGNTARLVGARGHGDAALARVCGTVAADEKRHEAAYTRIVSRLLEADPDAGVRAVARMLRRGVAMPTSPISDGRRDDLYACVVSLAEQAGTYTVSDYCSIVEHLVREWRVEELAAGLSGEGRRARDYVCELPQKIRRMKEKAHERAIKAQKKPISIPINWIFDRHVSVMLP >ORGLA08G0050300.1 pep chromosome:AGI1.1:8:4383599:4388277:1 gene:ORGLA08G0050300 transcript:ORGLA08G0050300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding [Source:Projected from Arabidopsis thaliana (AT1G30580) TAIR;Acc:AT1G30580] MPPKASKKDAAPAERPILGRFSSHLKIGIVGLPNVGKSTFFNIVTKLSIPAENFPFCTIDPNEARVYVPDERFDWLCQLYKPKSEVSAYLEINDIAGLVRGAHAGEGLGNAFLSHIRAVDGIFHVLRAFEDKEVTHIDDSVDPVRDLETIGEELRLKDIEFVQNKIDDLEKSMKRSNDKQLKLEHELCEKVKAHLEDGKDVRFGDWKSADIEILNTFQLLTAKPVVYLVNMSEKDYQRKKNKFLPKIHAWVQEHGGETIIPFSCAFERLLADMPPDEAAKYCAENQIASVIPKIIKTGFAAIHLIYFFTAGPDEVKCWQIRRQTKAPQAAGTIHTDFERGFICAEVMKFDDLKELGSESAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >ORGLA08G0050200.1 pep chromosome:AGI1.1:8:4360619:4363968:1 gene:ORGLA08G0050200 transcript:ORGLA08G0050200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNTTGWPVPRGGAEPTGRPGPSAPVRAAHAFGQMPRRRTTTTARWVRRHQVHKGKPRGAAPVDRLSALPDALLHHVMSFLKAWEVVRTCVLSRRWRHTWASAPCVDIRAPSSRRERGPGRDSDPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAEMYDNDDVNMWISSAIKRNARVIHLNGHREDDDLLLEHTAFVSQRLKILKLSNVNLDWKIPRQLSSRCTSLTELELNNCPVNGGEISSVSLKKLRMVKCLITVDLSICAPNLELLCCITPYHRVPLFKNLSSLVAATIVLDDSFLCRDDFLHEDERGSSDDEDDNKTISDHYDKMVTNSDACGDGNLVDEYNYGSDIDSDDDVYEYSQIANECRDGKYSHCHDSECCSSYHDTCKLANSFSGQDLLCSLSNARSLELLAHSGEVVMVRELRRCSKFGNLKTLSLGEWCMAAEFDGLIFLLQETPNLERLFLKLELNYDNKEAVNIGVKLKERSFACKNLEVVNIRCSKDDGRVHMLAELFGANGLPLEKIFVRRTGSTYLRNMKKMRKIARDELRKARWFQLLNL >ORGLA08G0050100.1 pep chromosome:AGI1.1:8:4355278:4358650:1 gene:ORGLA08G0050100 transcript:ORGLA08G0050100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICRRGGAAAASRHLLDGMSRRTTTARWVPRDRPEPAGRSGTGAPGRASHALGQMPRRRTTTTTARWVRRQGQKGEPGGSGHAAAPVDRLSALPDTLLHHVMSFLKAWEVVRTCVLSRRWRDLWASAPCVDIRLRGSGRDGAPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAETYDNDDVNIWICSAIKRNVRVIHLNGHHKDELVLENTAFVSHHLKILKLSHIKLDGKILKQLSSQCTSLEDLELNNCPVNGGEILSVSLKKLTMVKCSITVDLTICAPNLELLCCITPYYHVPLFENLSSLVAATIMLDDSFLRRDEFLYEVEEETSDDEDDNKTTSDHCDSKMDADSDAYDDDDNDDILYDEYLNSRHGNLVDDYNYGSDIDSDDDLHEYSQIANECRGGRYGYCHDSKWRGSYYETCKLADSFSGKYLLRSLSSARSLELLAHSGEFLAVYHWLFVVYIIPFIYSIKYFACMYTAKVVMVRELRRCSTFGNLKTLSLGEWCMAAEFDGLIFLLQESPNLEMLFLKLELSYSNKEAINIGFELKERSFACKNLEVVNIRCSKDDERVHMLAELFVANGLPVEKIYVRRTGSTYSKDLMQTKFDFKHTAYSSKAVIELT >ORGLA08G0050000.1 pep chromosome:AGI1.1:8:4350558:4352796:-1 gene:ORGLA08G0050000 transcript:ORGLA08G0050000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSGQPQYAMADQGFHPFSPFMLAPSTTMQQHVGSSSSTPVIQVAALPSHAYYGNIDVADDGFHWRMCGQSTIQGGLCPTVFSYQCALPNCGVRKSITRSADGQTIETVCKGCHNHPRQSLRWLGDGSERLEPISQEIVLLEASDASGAAGGPSVPGTGNGHGQSSGSSDSCRDDDGDLGIDGNASVGDANAVKSGQVPAPAKEITVHSACEVDILNNNVRHENPQPRKKVRSKSTVWEEFEVVLIDGKVQTAECKHCKKCLSAKTSGGTSHLIRHLKICPAQHGTSRVQKKCSSLADLSIVKSWKDDQESSLDEIIRSIVSNLCPFSAMYSASFAQFLAGRNPVLNMVQQATVEEKFLSVFHNEKMKLKEKITATPGGVFLSLGEWQRLFYIQVRVACLTVHFIDEDWKINRKTIRCSLSVFGKSDILSLYPHWQSDIVHAEKVLKEVVQDWGL >ORGLA08G0049900.1 pep chromosome:AGI1.1:8:4337294:4337683:1 gene:ORGLA08G0049900 transcript:ORGLA08G0049900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFGDMKEKSSRSVEIRDIEPQVFGAMLGFIYTDSVRARSTRRRRRRAAPAGGGGHVRARRAQDHVRGEAHRWRHRGDGGDDAGAGGAAWVPAAQGEVRRGRRREPRRRDGDRGLQASHGELAAGDE >ORGLA08G0049800.1 pep chromosome:AGI1.1:8:4308921:4311293:1 gene:ORGLA08G0049800 transcript:ORGLA08G0049800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGDPATRPEEDFCFIPTSYAIDEELREWGNTAAVSWAIRAPPSTGAPEIEVIREEFKLHQGEVAVSLHHPEAFLIKFQHRRHCEDTVKKGFAKRRSIEVHFIKWRSLQNALGVALMFRVRLCLDGVPMHAWAADITERLIGRTCALEQIETDLVHPVESGNTRTINLWAWTANPSTIPKRMWLGFTNRAKDKQAEILFVEEKPPEHWQKGVRHPVLFHLEEIHDYTSAGVILTDETTCQPTRRRLPPXNLGVLDGEPVPARAFETFPHHPQPPRSVHERLGRNKERDDDDRVSRDDRRGRDNRGKGGRDRSREERNRRDEHDDRGDRDERGERSARDGRRGHGGVVASGHGDAVSTTTTTLASSTTSAGGTMTAMTTTMAVDAATSRVAASLGSTETRSIAVSARAHRSHAIRRTTTATGGGATEVWKAMASLQNLSXHCRTRGKMTLWXANFNFSXPCIPLSXRTTRRLRSSTRPPLXWRRFKNRRGKGMKPSPCQNQSRXAGFSRVSRVTCRQTSPHRRPPCRRLKKHLPEWKWRRQRRPRSRCXARNRHIGRRRISLLRTRQTPSSCSHRARISWLRPPRRTCQEARRNRTLWLRPPRRTRQAVRRQQQXRQXRQHSSRWIACSRHHQWRSSPRRRQQERWQSAAASSSASSTTLAPNVGVPTSPISRHCRRWNDASASSSSGWDGCLAVTTPLRWRRCLLSMLQCSRDRSRSTPSRRSRQCSASTLKTTTTPRTPLSRWWEKGWMKLPRRLRRSSL >ORGLA08G0049700.1 pep chromosome:AGI1.1:8:4304368:4304772:1 gene:ORGLA08G0049700 transcript:ORGLA08G0049700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVASHRRHLPRPPLPPLSPIAVVSRPPPSATLQIRPRGVDLGDTAAVAATSRHIRLQGPPPPPSRPRSMPSLPPSTTTARRRAPLPSPSPSLPLPLPPPVAIPPDPAGAAWIWRSPPPRRGRLPCPPDAWS >ORGLA08G0049600.1 pep chromosome:AGI1.1:8:4297144:4299939:1 gene:ORGLA08G0049600 transcript:ORGLA08G0049600.1 gene_biotype:protein_coding transcript_biotype:protein_coding REYKDFDEDAIDGSNSDDGESCTSDSDYDDSDANTCEYSEIADDYDDEKQRQEHGGGHNQIEDDYDDENQHEEHGEEHNQIGHDEILGGHNVLHILSNAEILELLADGGEVILNRALKTCPTFRNLKTLSLGEWCMGADFDPLVTFLQHSPNLERLFLELKLGFPPDHSNVKLEGGCQEGAAPQSSEVQASALIMLEVQHMSCFFRVHWNLNMVT >ORGLA08G0049500.1 pep chromosome:AGI1.1:8:4295330:4297132:1 gene:ORGLA08G0049500 transcript:ORGLA08G0049500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSSQCPSLEVLELKGCYLDGHQISSASLKILTIVECRIMEGFTIAAPNLVSLHCVTPYHRAPLFENVGSLTLHAATIVLDDSFLYAGYEYKYEDIDEDAIEGSGSEDGEGSLNDSDYDSDAVSDASTCEHSKIANNYDDEKQLVEHDEVHNRSKGNYHGYDHRYKARPYRGYHKKKFNGGKVLGGDNVLHSLLNARSLELLADAGEVILNRELRTCPTFSNLKTLSLGEWCIGADFGPLISFLQHSPNLEKLFLELKLDYDNTQAMKEGTKSEGGSFACTHLKMVKINCSIDDVRVHWLAQLFRTNGLPIENIFVRQTRSTWLPARPW >ORGLA08G0049400.1 pep chromosome:AGI1.1:8:4280343:4282376:-1 gene:ORGLA08G0049400 transcript:ORGLA08G0049400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRASTATAMQLGLFLAALLLLTSSPAGSVAAAKGGGAKSGGGTVIGIDLGTTYSCVGVYRNGHVEIIANDQGNRITPSWVAFTDGGERLIGEAAKNQAAANPERTIYDAKRLIGRQFSDAEVQRDMKLLSFAVVDRNGKPHVRVEVKDGDVRVFSPEEVSAMVLTRMKETAEAYLGEKVTRAVVTVPAYFNDAQRQATKDAGVIAGLTVDRIINEPTAAAIAYGIDKKGAEKNVLVFDLGGGTFDVSILAIDNGVFEVLATNGDTHLGGEDFDQRLMDHFVKVIRRKHGRDITGDARALGKLRRECERAKRALSNQHQVRVEIESLFDGVDLSEPLSRARFEELNSDLFKKTMVPVRKAMADAGLSKGDIDEIVLVGGSTRIPKVQQLLKDYFGGKEPNRGVNPDEAVAYGAAVQASIISGHVDENTESMILLDVAPLTLGLETAGGVMAKLIPRNTVVPTKKTQVFTTYKDKQTTVTIRVFEGERSMTRDNRLLGKFDLAGIAPAPRGAPQIEVTFEVDANGILSVLAADKATGRSEKITISGDDRKISQEEIDRMVREAEEFAEEDRRHREQVDARNSLEAYVYNIKNTLGGKMADAMEGEEKEKVEEAVREAYEWLDGNPDAGKEEYEEKLRGLEDVCNPVMSAVYQRSGGGGSAPEDGNVDDEDDHDEL >ORGLA08G0049300.1 pep chromosome:AGI1.1:8:4267510:4276573:1 gene:ORGLA08G0049300 transcript:ORGLA08G0049300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGNAALWRPRRGEGETSRHGGGVNGDGDAADRLSALPDGVLHHVMSFLKVWEVVRTCVLSRRWRNLWASAPCVDLRIRYFCLDSEPPEEPRDFVNRLFRRREASAPVDTLRLQLSDPDNLFDNDDDAWIRTAIKRNARFIHLTGHRKEIGVLKHRALVSTHLKILKLSYVLIDDKILKQLSSGCKSLEELDLKDCVMTGHQISSASLKTLKMDRCKINVDLSITAPNLVFLNIVTPYIXVPLFKNLESLVTCSIILDDLFLGDDYQHISDEDDIDETTDDDDFGYQKNDKAGYRINYAKKGFVFGGNEDGYXSLVIFSLEASLSLLPFSLTYTWDGPSSIDGMGQILXKWGLVKMVWHXAILSSXAPPVPXLQXPHPRXEGWFFLGNXKAAQFLSTXRPCPLVNGVWMLNSMHXFSCYSVHLIWRGFFLNLSXTSILGRHXKVVLNLREDHLLVKTCKWXRSDARRTMRESICWHICSGLMAYPLIRFMSIGLGALVSAARRXXEIXPSKNWSSGGQMSSGVQIMSTVVQIRSSGMRIWNS >ORGLA08G0049200.1 pep chromosome:AGI1.1:8:4256694:4256976:1 gene:ORGLA08G0049200 transcript:ORGLA08G0049200.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVFESGNGGWRLLRTSSTRRRAQPIRRNAQEERHARAAAPWRGGDVPAWRWRRGRRRRQWRWRRG >ORGLA08G0049100.1 pep chromosome:AGI1.1:8:4251607:4252932:1 gene:ORGLA08G0049100 transcript:ORGLA08G0049100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEETNPTVVEEEDGEPGSAEEDAVAYGEVQVFDEMPLNETDPAAAAEEGEPGSAEEDAVASGEDRLSEMPDMVLHHVMSFLKAWEAARTCVLSRRWRHLWASAPCVDILLTSDRQPPPPANRRMRHHQASAPCPCADVLWTRDRNPPSDTRRFVNWLLLARDELAPVDTLRLRSAHVDGFEDKFKNADVEKWISEAIKRKARVIQLEDHYGMFVVLAHQVFASNHLKILKLSYTELDDDIFRGFSSRCPSLEELELKKCVVSAREISSVTLKSLIMVECKFTMNLSVGAPNLVFLQCITPMKWVPVLKDSGSLVTGSIMIDDSLLIGDSKKRHEVDGFSSDYSYGGSSEDYFDDLSSDISDDYDYNYGKISIVMLIHMSTRRLRMDINLSSLKTMMMEVIAVWVVNIMAPVLTMGSMMIKLWVDKMFFTAFQMLEVWSC >ORGLA08G0049000.1 pep chromosome:AGI1.1:8:4245728:4248207:1 gene:ORGLA08G0049000 transcript:ORGLA08G0049000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGAAGEAPRRDDGEAAGPSGGGGGGGEDRISALPDEVLGRIVSHLKAWQAVRTSVLSKRWRNVWASAPRVDIRHPCACDGRADQERFHGFVDTLLLRRRPFAPIKALRLSWSHGGGANRWIAHAVRRGAEEIELSTRHHQGSLEPEPEFTSFISPKIKILKLTRVGMDIRSITQICSRCTSLEELELEDVRLLEGQIQSASLKRLSIIKCYIDDGFLVDAPNLVSLCFIRPLGIERKGGANSSSDRLWWPVWLNDDDGYDHDDDFFANASAVQSDDKRGSKSDQDDLEGCNDDDRTVAYDEIADEYSSNGGPGDEHGGYSESDDSTICGPYGLFNVLVKTSLIMIAREGELLLRRELENFPMFINLNTLSLGEWCMVPDFSALSTILEKSPNVERLYLHLDMVHRGRGDIDPSGGSFACNNLKKVKITCCEDDVMVHKLAEFLEANGLQRQRIFVRHTSRTRRDSRAKQKEQEDHLRLTKKW >ORGLA08G0048900.1 pep chromosome:AGI1.1:8:4224689:4227286:1 gene:ORGLA08G0048900 transcript:ORGLA08G0048900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGAAGPGPSGGGGDRLSALPDAVLLRIVSHLKAREAVRTSGISRRWRHVWASAPRVDVRYPCACDGRAVDQKRFRDFVTILLLRRRPLAPFKALRLSWSHDEDDVSAWIAHAVRRGAEEIDLSARRHQGYPVPDYKHFISPKIKILKLTHLGTTRFTTGNTLDLLCSGCTSLEELELKDIKSLWGGIQSDSLKRLSIINCHVTSDGFLVEAPNLISLCCIRPVRAVPWFSHMVSLVEATVVLDDSRLSDDYQQPVLEDDDDGSDYDDDFFAPKAEGSDDKRDNESDNDSGDKRKRDGSESDLDDHDGEYDHEDGSESGDKEVDDLEGGVDRTVTYGEIADESSSYGIPTPSYEYGGNYGNHDYTIFGGDHMLDHLSDVRTLGLLGHQGEDIHRSRGGINPTGGSFACNNLKKVKITCRKDDVMVHMLAKFLQRNGISLQKIFVRRTSSTHNGEEGTGKDSSAKRKAQDEAARRAVKQLRRARNSRSPE >ORGLA08G0048800.1 pep chromosome:AGI1.1:8:4220961:4223627:1 gene:ORGLA08G0048800 transcript:ORGLA08G0048800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGAAGQAGPSGGGGGGGTDRLSALLDAALFRIVSHLMARQAVRTSVLSKRWRHLWASAPRVDIRHPCACDERADQERFRDFVTTMLLNRRPFAPIKALRLWWSHDGDAETWIAHAVRRGAEEIDFSARHHQDDPKPELECTSFISPKIKILKLTTFEMGIKAITDICSRCTSLEELELKHFRRLDGQIRSASLKRLSIINCFISVAFLVDAPNLISLCFIRPLSFERTKESICSSDNRRWPAPVWKDDNDGFDRDGFFAIASGEHFDDKRETESDQDYGFGDGSDDNIASESDHDDDGPPSPYSVSYDGDNECESYEPRDKESDRTVAYGEIADEYSSNGDPGDEYRGNYVSHDSASYGRANKFGNLNFPVKSIVDSSAHEGELLLRRLLENFPMFNNLDTLSLGEWCMVPDFSALSTILTKSPNVKRLYLHLDMIHRRRKSIDPSGGSFSCNNLEKVKITCCKDDVMVHMLAQFLQDNGVSPEKIFVRRTSSPHNGKEGRGSNSSAKRKAQGEVARLAVKQRRARNSRSPE >ORGLA08G0048700.1 pep chromosome:AGI1.1:8:4216839:4219421:1 gene:ORGLA08G0048700 transcript:ORGLA08G0048700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGAAGQAGPSGGGGGGGGGGADRLSALPDAVLFRIVSHLRARQAVRTSVLSKRWRHVWASAPRVDVRHPCACDERADQERLHGFVTTMLLRRRPFAPIKALRLCWSHDGDANNWIAHAVRRGAEEIDFSARHHQDDPKPELEYTSFISHKIKILKLTRVRMGIKFIAQICYRCTFLEELELKNVNPLEGQIQSTSLKRLSIINCLISDGFLVDAPNLISLCFFRPLSGKSTEGANHSSDNRSWPFSASVWEFDDDGSDHDVDFFAIASGGEHFDDKRDNESDQDNGSSDEDSDDKRDQESDHDDDVPSSPYSDSKDSCDGNDSECESYESSDKEGDDLEDCDSNDMLENLIKVAKGLTAYHGEVLLRRQLENFPMFNNLKTLSLGEWCMVPDFSALSTILKKSPKVERLYLHLDMIHRGRGDIDPSGGSFACNNLKKVKITCCKDDEMVHMLEQFLQRNGISLEKIVHHTSSTHNGEEDGGGDSSAKRKAQGEVARLAVKQRRAQNSRSPE >ORGLA08G0048600.1 pep chromosome:AGI1.1:8:4209046:4213225:1 gene:ORGLA08G0048600 transcript:ORGLA08G0048600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIEQGVDWRTRLGQDIRDCMTNDILFSLQTKLQSTSTTLIDLQKVAARIEERIHTIASDYGDYLRRICLTRGDLDSYDVFLNDCQRQQASIRSSILLHQENKQGDQIVQAKRNIKGTSSSSVLSNQVALNDHKEHSHHYEKDMISKLPNDLVQHIMSFLSMREAVRTSVLSHWWVNQWTFLKSIQLNIYWFHMDREKFSSFLDKLLLSRVHADAPMDTFELKSFAIDRANCWINHAIKHNAKVLKFAEYGKWEPFYLDPNLVELNSRYLETLELTNVALDATIFSQLANSCPALQNMLLTDCLLEVEEISSSSLKNLDIIDCYILKDLSISTPSLVSLCIKNERTDNSSFRNSYLIFATVIIIDASSVSSMELLAMDRQFTFVEKDGGEPMFKNLRNLSLGLWCINNMFSPLRRFVRHSPMLRMVTLRISPLDWKSHLTKEHQEMLISIRDRRGLILYIDWY >ORGLA08G0048500.1 pep chromosome:AGI1.1:8:4206153:4206573:-1 gene:ORGLA08G0048500 transcript:ORGLA08G0048500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQYELNLDRDLNRSPTGLEGPSDGSYIFVRGVTGGTWEYGEENARGGEGRAGAIDYFLYIDARYFARVLSTSQRLLPLRSASSGNNL >ORGLA08G0048400.1 pep chromosome:AGI1.1:8:4202443:4204252:1 gene:ORGLA08G0048400 transcript:ORGLA08G0048400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDGETQLRPTAAGHPDPGLGTSSAEYVAPVGPATAPVAYPYIGTYYGGIYGAYSGQPLVNAALMAMPPHSVPLVTDAVVEPIYVNARQYHGILRRRQSRAKAESENKANKIRKPYLHESRHLHALKRARGSGGRFLNSKAVEGKQDTKSVDKKDGAVPSEKRDNKLANNIIKLENSSPTTQPGAVASDVV >ORGLA08G0048300.1 pep chromosome:AGI1.1:8:4177011:4177163:-1 gene:ORGLA08G0048300 transcript:ORGLA08G0048300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHANANAKRGVNLHGMAQAAVKRSAGRCEAFWGESAGSDRFLLFLGRA >ORGLA08G0048200.1 pep chromosome:AGI1.1:8:4175371:4175499:-1 gene:ORGLA08G0048200 transcript:ORGLA08G0048200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGLHCVVFSEENECFDDDINEDELDDEARMMLNGLRALLM >ORGLA08G0048100.1 pep chromosome:AGI1.1:8:4169570:4171293:-1 gene:ORGLA08G0048100 transcript:ORGLA08G0048100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLPRRGGGAGGAGARGGPGRSRSACSSTTRPCRRRGTGRSCRWTRSCRCSAGSTTSTSXWAPGWRVGRGAPPRATSRRCGAASTCGGSPASPTGSATGATPSAPWRARRCAAATGGARSSGARSAATEVLRFLADHAPYLRSIRLVKCDHVTKEGISAIIQSCPLLEALYIDSDCILRRDIDALRDTNKLRETNRALSIRTRSHGEEEEDDTDYGAQHGEDEDDTDYGDDEFTGDLSPWTSLCFEQDRDDGLDEFLESEYFLEPHRYLHDVHVNEFDEEQDCRMLDKGDRRYLKTEGWTYVE >ORGLA08G0048000.1 pep chromosome:AGI1.1:8:4165028:4167401:-1 gene:ORGLA08G0048000 transcript:ORGLA08G0048000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSRRRRRRGRRRKKKEEEARDWADGLPLDAILAIFHKLGHADILMAADQVCATWRRATRDEPALWRRITVRGTEALSARINRGGLACAAVRRSAGQCEAFCSEYAGDDGFLMYLAEQASCLKSLRLISCLGVSNEGIEEATKEFPLLEELELSFCYNVTHEAYAAIGATCPQLKRFRLSKRSFYDSGGIRWKNNDDACGISKMHGLRSLQLFANNLTNEGLSTILDNCPNLEFLDIRHCFNIDMGDDSLRAKCSRIKMLRPPDDSTDDYDFDVYTPRRLPISPGFVRYYSVYSDPEYSMYSDEWTSEEFDDDDDDYSGPSRYEEDLDKYDNVLPRCMRTFLK >ORGLA08G0047900.1 pep chromosome:AGI1.1:8:4127916:4128900:1 gene:ORGLA08G0047900 transcript:ORGLA08G0047900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAVDRSGGKLEVYKGRRFVTNNLLAYVANRSPSLKCLYLESCTRVSNKGLTELITKCPLLEDLKLYNCRKIDGDFFVVAGKACRRMKRLDVRWRGGAYLAHFDCDGDEPFEIATMRELRHQTLACLGVSKEELMAIVDGCPQLELLHVSGLPGLATAVDDDDDDDALRALCYGIKSLTLRPYQEQERVP >ORGLA08G0047800.1 pep chromosome:AGI1.1:8:4104682:4104837:1 gene:ORGLA08G0047800 transcript:ORGLA08G0047800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSGQKRICVIRDVCGHQRLGFPSGSGLLCCWAARLWESEKMKKALGAT >ORGLA08G0047700.1 pep chromosome:AGI1.1:8:4094044:4095676:-1 gene:ORGLA08G0047700 transcript:ORGLA08G0047700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMLMPVPELPPPETTTRDWSELPVDVLSVVFAELGTAEILMGAGIVSSSWLHAAMLPHLWRCVDMAQGVHHDAVCCAMAKVAVDRSGGRLEVFKATDFVDDELLKYIGHRSPGLKSLCLDSCSMVSNTGLTQLMAMTPLLEDLVLRSGLDLSDEFDDPLVIPTMHQLRQIALGGLYISRKALTKFVDSCPHLELLDASECIAVDVVDDDALRAKCAGIKTLKLPSYSAATDAMATVARLYYYLASEDDDDDDAFYF >ORGLA08G0047600.1 pep chromosome:AGI1.1:8:4088790:4090508:-1 gene:ORGLA08G0047600 transcript:ORGLA08G0047600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GASRCRDEGLVGPSFAERTGXAARRGPARNGVCQILXATQVHRGQRCGARNGEGGRGPLRWATXGVRGVRICXXXVASVYLGXVTLSEGPFPYFVIFSYLDISKKVFTEFISKCPCLEDLVVEEGGFIGGESGFTLSVEVTKMTEISVRNFXPCGEIVLAIAKSCTQLKRLKLTVHTYPDSRGFFVDEPFGIATMKQLRHLILGSICIGNEELMAIIDACPHLELLDVSKCYKLDVDDALRTKCAGIKTVKLPLSLSHDGDQYAYCDYQIDEYGDFIDDYADYF >ORGLA08G0047500.1 pep chromosome:AGI1.1:8:4082697:4084896:-1 gene:ORGLA08G0047500 transcript:ORGLA08G0047500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGQNRLYDGISWNSHLSMVFFVPLAMEVDSIRLPAPDERDWLELPVDALSVIFAKIGAIEILMGAGLVCHSWLEAAKVPDLWRSLDMTRHKVIFKKTIGVMCKMARVAVDRSAGKLESFWAQNFVTSDLLKYIGERTTSLKSIRLIACTRVPWKELVNLAAKSPLLEDLEHYYRSPEEEFFKHLGRKCPQLRCLRVNNDGFVYDDAKYDLMDQVIGDHDEDDDEEDELEYGPWDWPSNNNGVAFAIAESMHELRILQITNNTLTNAGVLAILDSCPHLEILDIAGCNNVSPNDQLRARWASLRHFNLSEGCRWSNFKELRVIDEDEGQEFRPEDELSDGLSKEFCCPSKDAEMEDGWDDVYWDDISLPSDDGRDPDLSNVDCDDVTQYTYLHDYYSL >ORGLA08G0047400.1 pep chromosome:AGI1.1:8:4062221:4064338:-1 gene:ORGLA08G0047400 transcript:ORGLA08G0047400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEVLMRAWNEWGIQALVLLSFTLQVSLLVLAEFRRRVNSDVLRFFIWSAYMLADGTAIYVLGHMSVTSRSTEHQLMAFWAPFLLLHLGGQDSITAYAIEDNRLWLRHLQTLAVQVAAAGYILYESSIVGSHSLLRWATMLMFIAGVVKYGERVWALRCADSTQMGKNYRTLQVSTIVSECSYYLDDKIISIPPWDTEAYLLIAHRMVEVPRNWLKGPPKPDLSLYPFASDLSGKDLYKVVEMQLSLMHDIFYTKVEVIHSNLYGLCIHMLPAMATTATFLLFQLVILGREGHGYDRLDVAVTYVLLVGAVILETASLLRAMFSSWTCPLLVRWSRDKCGMDNNTVCNNLGHTITSLRRLVRAAQWRRRYWSCSMGQHNLLRLGVGSKTSQGSKMARWMGVEDWWNTKVYSWSIPVSEFIQELLVNHVLERKGAASSSISMVLDEQYQFPIPSKGEEEVVEEGSASQGSRQEAEADDENTNLLFDSKGRAELKRWGLYKGGLTWSVEERILVWHLATNIYLTWWNKKQQKQANQLNKAEAVEALSNYMMFLLAARPYMLSPTASRDSYVEMAYALTAAGGLRYNSAEELASFLWTYGDTPGHDDRHNLRYRYGSNLDFTTQDHLQLVLETGCELGAKLISKEEDAVEGTLGLMAQVWVEILCHAGQQCSAYSHARQLSNGSELITVAALLVEYATKRVLTFPN >ORGLA08G0047300.1 pep chromosome:AGI1.1:8:4023427:4035456:1 gene:ORGLA08G0047300 transcript:ORGLA08G0047300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRKPXSYLSRQKSPWLXPAARRSASSPPSAASTPPSPLPSMSSAFSAATSAADEPPAAVRTTTTTPLIAGPIRSVTWPSSSTTSPTSAASSPAPVPAMASRGTAPTSRPGSPSRGGYARCGRSLASCWRRRTSGNASTXAPARFAAKTMMPMPLPLAGGWRRTRASWTRRRSSASPITGKCWRDGWPRRRRTTLVAVCGMGGVGKTTLVASVYKEVTAASHRFDCDAWVTVSQRFTMEDLLMKILRKLNLNAAGHRAGRRRWRSATDAGDDTDYGSLVATLRARLANKRYLIVLDDVWDGLERAMPMPDGVAGSRVVITTRKSGVAMAAAPERTMALEPLPTHQGXALLCSVVFKDVPGHRCPSHLREVAGDVMERCRGLPLAIVAVGKLLRHKGRTEFAWWNVHDSLAWVKNSEDLGIGEASRILNFSIDDLPYKLKKCFLSCSIYPEDFLIKRKILIRSWVAQGFIDEAQEVHGERRKMEDVADHYLINXXIFLYLHKNFKXNEWXNVVRKSSVIKDLINHRSKHEEGFVQLAECDLTMDSSIRVRHLSLDRCTSSRRSASAAKIAALRSFQAFGSKLDASLMSSFKLLTVLNLWFIEINKLPSTVTNLRNLRYLGIRSTFIEELPKDLGNLQKLQTLDTKWSMVQRLPSSLSKLKSLRHLILPPVMPLTTTGPILACQXDSFLKGYKTXPAFRHXNMSELMRXSPNLXPSLSRXRAWSCSMWIFMSCRWRKQNNXHQHLQRLGSLTVTRSVIDLSPSLXAPRKLQKLALSGRLARGKLPGWTCFLTSLKQVHLIASGIAQDSLLLLSSLPGLLHLGLNAAYREKEMTFAAGSFPALQTLTLLESSNLGQISVSERMPCRATXTGAXQVHQASXFTKRHGEPHTSLESETCLNGTRADGEAAGWKRRXWVPLQAWHWQLNDLSSIXPFNISYENNSFPKTTSSARL >ORGLA08G0047200.1 pep chromosome:AGI1.1:8:4016141:4018847:1 gene:ORGLA08G0047200 transcript:ORGLA08G0047200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSASRRRRHRHSHPGKPDYPRSELPDILRVRELRHAPHAAALQNPIPHKPQPHPTIPSSSTTTTTSGRRRSRRGRGRKEGDARDWAGGLPLDAILAIFHKLDHIEILMGAGQVCRSWRRAARDEPQLWRLIDMRGHADLSFELNLFGMAQAAVRRSAGQCEAFWGEYAADEKLLHFLGERAPSVKSLRLISCYDILNEGFSAAIKKFPLLEELELSLCSNIGESNVFEVVGKACPQLKRFRFSKDCFYSFEDSEYEMDEXALGIATMHELRSLQLFANSLRNEGLAAILDNCPYLESLDIRHCFNVNMDDTLRAKCARIKTLRLPYDSTDDYDFQVQKPISLADFYSDSDDDCVYGGPDYILYSDEYDDYSDPYRYLCSIYEGVASGRAR >ORGLA08G0047100.1 pep chromosome:AGI1.1:8:4003581:4005437:-1 gene:ORGLA08G0047100 transcript:ORGLA08G0047100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKPSPLPMSPVRDWSDLPTDALSTIFMKLGSIEVLMGAGLVCHSWLAAAKSPELWRSVDMTRHKVVFSKGIDTMCAMAKVAIDRSQGKMESFLAQKFVTSELLDYLACRASSLKSIRLIACRNFWEPSLAELATQCPLLEEIECSGNKVSSEFLVYVGRARPQLKRLKIHMRWFDEDAMESEMEHEMEHGMMHDDDDDEEEEEEEEEEEDEFLYEDENVDDDEDEGEEDEEIEEDEDENDDDDDDDDDDDDDEEEEEEMEEDEDLDEDKNDVVEEEEDEDMDEGEDDENETNEEWEVRKNKDAFAIAENMPELRLLQISGNNLTNKGVHAILDGCPHLECFDLSECYNVRVDDQLRARCAKIKHAWLPRQSPRVHCPDLRVIEEDEGEDYGITMQDLWEAEVETLEAEAKMEEGSYGDYYEDYSALSSPESCVDSKDVYCDDPNVYTMYHDYYSL >ORGLA08G0047000.1 pep chromosome:AGI1.1:8:3994510:3996426:-1 gene:ORGLA08G0047000 transcript:ORGLA08G0047000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPSPLPDTDSERDWSELPVDALSAIFTKLGTVEILTGASFVCHSWLAASKSPELSRFVDMTRHKVIFSKRTGILCAMAKAAIDRSDGQIESFWAQKFVTSELLDYIVRRASTLKSIRLVACTFIQWQSLAMLAAKCPLLEEIECSYHKMPADFFKCVGRVRPHLKRLRVHMHYFDQDELENELIKHVLEEGGEVFEEPFEQREARRNVDAFAIAENMHELRLLQIAGHNLTEKGVRAILDGCPHLECLDLSSCHDIYVDCQLQARFAMIKHVRLPWQRSHDGDCPDLRAIGERVTMADLFRGLARSLLENMEMGDGTYGDDQVPSSPDPRVDL >ORGLA08G0046900.1 pep chromosome:AGI1.1:8:3990589:3992090:-1 gene:ORGLA08G0046900 transcript:ORGLA08G0046900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPGDPLPDTNRDWSELPVDALSAVFMKLGTVEILMGASFVCHSWLAASKSPELWRFVDMTRHKVIFSKGTDILCAMAKAAIDRSDGRMESFWAQKFVTCELLDYIVSRASSTLKSIRLIACTFVWGQSLATLAARCPLLEEIECSHHKMSADFFKYVGIVRPQLKRLRVHMPYFDYDAMEDEMKKHHDEDEDDDEYEEPFEQWEARRNEDVFAIAENMHELRLLQISGNNLTNKGVYAILDGCPHLECLDLSDCYKIHVDDQLRARCAKIKHVWLPGQWPHVNCPDLRVIGENEGEDDGITLHDLWEAEVENLRAEAKIEDGSYGDYYEDYSGLSSPESCVDSKDVYCDDPNVYTMYHDYYSL >ORGLA08G0046800.1 pep chromosome:AGI1.1:8:3984846:3988924:1 gene:ORGLA08G0046800 transcript:ORGLA08G0046800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:geranyl diphosphate synthase 1 [Source:Projected from Arabidopsis thaliana (AT2G34630) TAIR;Acc:AT2G34630] MLLPRRLPLLAGLLRSASSVASSLPPNPKNVSGREGFLWSSWYFHSTRHQTSREGEQMAKELLDPLALIKDEVSEISNRLRSMVVAEVPELTLAAGYFFRAGAEGKRTCPTVLLLMASSISMDMADPIVGSKNEIRERYMRLAEITELIHVTSLIHDDVLDDANTRRGMDSLNCVMGKKLAVLAGDFLLSKAFSTAAVSLDNAEVILLLATAVNNLVTGEFMQMRITPIQRCSMDYYLQKSYYKTAALISNSCKAVAVLAGQTAEVATLAYQYGKHLGIAYQLIDDILDFTGTSASLGKGSLSDIHQGIVTAPILFAMEEYPQLRVIVEQGFDDPSNVDAALAYLARSKGIERTRLLAAEHAKLAADAIDALPESKDGSVLISRQALKDLTEKLIKRTK >ORGLA08G0046700.1 pep chromosome:AGI1.1:8:3981136:3982686:-1 gene:ORGLA08G0046700 transcript:ORGLA08G0046700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFSCRLNRFVCGMAPPPLEEEDDDAAAGAGGEAEDALNTPFLRYRRARHGSSPPLAAPYWPRGFADEEKAEKEAVVFEEAFRRLLAEKEEKAATCADEEKAEEEEEGSSWLRGVALAMARPPEPRGKDEEVRLPCLAFPSLDGYRVYSLAEGRMCDDGDVRLRMACRRRYVSSPYGGKVFVTDLNWRYSSHLVDPFTGERTPLPDLPIPLSETEPTPCADDEPRANRTVAVGTDDCFAWDWSPRGVMVARGDTVFFCEAGGGEWKPVHRSRTNSPMTVNHRGGFFFVLERRSLLTMVFDAETLAPTAEIAPPPSRHDIDDAYLVASTDDVLLLVRRRAADSDIREVFTHAYRARHRGAPTSPPLAWAPVTDIGDRAAFVTRAHGFTVGVVGPDPDADGGDAAAATVRRNRVYVIRGSTTRDQLDRRVVSHKIGEVHLKNPMPPTLLPLLQGELDGGSCLHERKLGQPHWIIRSDQSTSASVNESEESDQSTSASVNESEESDQSSSGSVNESE >ORGLA08G0046600.1 pep chromosome:AGI1.1:8:3973026:3977083:-1 gene:ORGLA08G0046600 transcript:ORGLA08G0046600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKKSVAVAVAPAAVPAKGKGGKKREAEDEIEKAVSAKKQKAAAAPPAKAVPAPKADAKKAKKQPPPKKAASSSSGSSSEEDSSESEEEVKVQVKKTTKPVKQESSSDESSDESSDDEDAKPAAPVANNGLKKGKPASSDSESDSDDEMDEDEKPAAPVKKTSVTAQKKKDDSDSSESESDESDSDEDVPTKSKAPAIAAKNDDSTDGSESESDSEDEDAAPKGAAKKESSSDEEDDSSEESSDDEPKQPQQKKAQEESSEESSEEDSDEEDEKLAKTPKKKTPAATKSQNDEPKTPASNQSQGTESATLFMGNLSFNLNQDQVKEFFQEVGEVISVRLATHEDGSSRGFGHVQFASSEEAKKALELHGCDLDGRPVRLDLAHERGAYTPHSRNDTGSFQKQNRGSSQSIFVKGFDSSLEESKIRESLEGHFADCGEITRVSVPMDRETGASKGIAYIDFKDQASFSKALELSGSDLGGYNLYVDEAKPKGDSRDGGGRRGGRSGDRFGGRSGDRFGGRSGGRFGGRDGGRRGGRGGRDGGRRGGRGGFQSRQSAGTASTGKKTTFGDE >ORGLA08G0046500.1 pep chromosome:AGI1.1:8:3969106:3970757:1 gene:ORGLA08G0046500 transcript:ORGLA08G0046500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLAGRNEVHHHHHHHWADARVPLMPKHATNPNPRRHHRPSPSIPPPPPPQRPPAATVAPSVPDPSPSGHVTIRPSELSRREAQALRARLTGELSRVRALLSDIDGWEARRRRPAEPPRQRGHVSPPPALQAAMRKRCTQILTRLRKQKISVWFNSPVDVERLKLHDYHAIIRNPMDLGTVKENLAFGRYPSHEAFAADVRLTFSNALRYNPADHHVHRYASNLLATFEGLYKEALSWFEQECQRLEPPMPLALPPPPQPPVPMPMQAPPRIGGGGRRPKPKAREPNKREMSDEEKHKLRVEIGNLPEEKMGNVLQIVQKRNTDPALMGEVVELDFDEMDVETLWELDRFVVNCKKALSKSRRTVAMNGDAVDATAIVPIEDDTVQVNVHHQPAVVEIGNSENDMPEKRVSEVDMVDEYVDIGDEMPTANYQSVEIERDAQVASSSSGSDSGSSASSDSDSRSSGDSDSDGDDAQSPN >ORGLA08G0046400.1 pep chromosome:AGI1.1:8:3951913:3955073:-1 gene:ORGLA08G0046400 transcript:ORGLA08G0046400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors;DNA binding;DNA binding [Source:Projected from Arabidopsis thaliana (AT2G36740) TAIR;Acc:AT2G36740] MADLADEDPPVLLDRASRATRGKRITKLLEDEVEQDEVFWNQDALKEDEEDDNYEEEQDAGDEFDSDFGEDESEPDDEPEKEVRERLPIKKRLIFPGKTMKKINAKKKKKVVPKLEDDSKTDKYSDQQSPSKQTDIPDELETGEKTIRKSTRTSVIVRQAEREAIRAEKEATMKVPVIKKKKEGEEKRMTQEEMLLEAAETEIINLRNLERVLAREEEVKKKAVVHKAVYEGPTIRFCSRDGESRLEFINGASFGSELCTTSAPYPEKSICAVTGLPAKYRDPKTGLPYATKEAFKIIRESFLKEEADRKRPNLANMGELFESITGEYSTPKKRRIEARSPSISSDQRHVGRFRRIPALDLLDED >ORGLA08G0046300.1 pep chromosome:AGI1.1:8:3950737:3950985:1 gene:ORGLA08G0046300 transcript:ORGLA08G0046300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFISQITTEVAPSKLSSIIRRARLLTMLDTITEDDREAMESPRAPPRRTSYDKEFGGTSVHCTNKEVLLAPMVKVGCLKI >ORGLA08G0046200.1 pep chromosome:AGI1.1:8:3946945:3947778:1 gene:ORGLA08G0046200 transcript:ORGLA08G0046200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENHLASLLLEEARRLQLEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVNKMWRAREKELELESKTKRRSINRGDSRGKKRRSDVRNQSSSPRMEQDSTSYNSSYLDLEGALGDDEIEMFLHSRLVASIFS >ORGLA08G0046100.1 pep chromosome:AGI1.1:8:3942712:3942966:1 gene:ORGLA08G0046100 transcript:ORGLA08G0046100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLAARITMEVAPPKLSSIIRRTRLPRRLDTIMEDDREAMESPRAPPRSTSYAKEVVDTSMHCTKKGVFLASMMKAGCLKIKA >ORGLA08G0046000.1 pep chromosome:AGI1.1:8:3940955:3941931:1 gene:ORGLA08G0046000 transcript:ORGLA08G0046000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGHLLLCAAAACLAVLLSSLMEIWNTSTDPQQIQFMAIEKDDLLVTNLEGTEWSLAQLDTSIYMAASGTNRSKLTRDKLTPHLSDLSLSAHSLQKGEENNLLCRNAAALAMRVPDHSIVYLPYKQFYS >ORGLA08G0045900.1 pep chromosome:AGI1.1:8:3935237:3939393:1 gene:ORGLA08G0045900 transcript:ORGLA08G0045900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59040) TAIR;Acc:AT3G59040] MEAAAAIGGARSPLSFSSSLCNAKVSCGLALHNVKIKSSRRLEVVCHGMLTTRKFMQKKKKEEVYKDAADEAEQKNWRMMMREIEESGSAVSILKTQRSKKEPLPRDAVLGTLMRFKQLKKWNLVSEILEWLRTQHWWNFSEMDFLMLVTAYGKLGDFSRAERVLKYMNKKGYKPSVISQTALMEAYGRAKQYRKAEAVFRRMQTSGPEPSAVTYQIILKSFVEGDKYKEAEAIFEDLLNEKRASFKPDQKMFHMMIYMYKKAGDYAQARKLFAQMSERGIPLSTVTFNSLMSFETDYKEVSSIYDQMQRTALKPDVVSYSLLIKAYGKARREEEALAVFEEMLDAGVRPTRKSYNILIDAFAISGLVEEAHTVFKAMRRHRVEPDLCSYTTMVLAYVNASDMDGAEKFFRRIKEDGLKPNVVVYGTLMKGYSKLNNVERVMRVYERMRMQGVEPNQTIYTTIMDVHGRNSDFGNAVIWFKEMEARGYPADKKAKNILLSLAKTPEEQEEANELTGNCAIQLEAKPDGTTYGLEINGTGNEYKHGQNDAGHDSLLDGACTTSNRNGKVEAGKGHFEEVNDDDDDDDDDYDEELNFVSFKDKRELNFAT >ORGLA08G0045800.1 pep chromosome:AGI1.1:8:3930970:3934572:-1 gene:ORGLA08G0045800 transcript:ORGLA08G0045800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGGGGDGDGRRGGEGCDAARDRGGVRHGGGAPAAAAGESPPVCYDSFLPCDRMTIAQGVLKAIHVRKMVEAGCRVKIWIDDWSAFLNNKLGGDMEKIQTVGRYMIEVWKSIGINHDGVEFLCSSAEINSRADEYWPRVMGISTHRKIGVVRELRECKKPTAQFFNPCMQCAGIFFLEADICQMGMDQHEVNKLATTYSDNRQEKKPIILSHYLLPGLKGQNKMSASDPASAIFMDDEKAEIDLKIKQAFCPPKIVKGNPCIEYIKYIIFPWFGKFEVVRKAKNGGNKTFMSVEELVMDYESGSLHPADVKPALKEAINQILKPVREHFENNKEAKFLRDTVKVISCPLYL >ORGLA08G0045700.1 pep chromosome:AGI1.1:8:3924760:3926389:-1 gene:ORGLA08G0045700 transcript:ORGLA08G0045700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glyoxalase I homolog [Source:Projected from Arabidopsis thaliana (AT1G11840) TAIR;Acc:AT1G11840] MASGSEAEKSPEVVLEWPKKDKKRLLHAVYRVGDLDRTIKCYTECFGMKLLRKRDVPEEKYTNAFLGFGPEDTNFALELTYNYGVDKYDIGAGFGHFAIATEDVYKLAEKIKSSCCCKITREPGPVKGGSTVIAFAQDPDGYMFELIQRGPTPEPLCQVMLRVGDLDRSIKFYEKALGMKLLRKKDVPDYKYTIAMLGYADEDKTTVIELTYNYGVTEYTKGNAYAQVAIGTEDVYKSAEAVELVTKELGGKILRQPGPLPGLNTKIASFLDPDGWKVVWLLSSCSTIFYYC >ORGLA08G0045600.1 pep chromosome:AGI1.1:8:3914922:3916449:1 gene:ORGLA08G0045600 transcript:ORGLA08G0045600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:I1QGA5] MARSSFKLEHPLERRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLFV >ORGLA08G0045500.1 pep chromosome:AGI1.1:8:3902326:3913414:1 gene:ORGLA08G0045500 transcript:ORGLA08G0045500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRPQSLLCPRSRLKVVIRPASSASGGGLAQYFLMTRRYTGSRIVRCMVSSSDCPNRKAKRTISLHTEVASSRGYAPRIAAESSIQEREHINSDEETFDTYNRLLRNESTEWKKLDTTEVDLSQDVSSSSMRKVDATDEAKLDILEDDLPRNLLNGVTMGEVDMLDEAGAEDDVFEVDLSALHNSTVGKVDAVNEVGTENDLFEVDLSALHSAAVGKVDVVDGAKAKEDLFEMDSLALHSVTMGKVDAINAAGAEGDKFEVDLSALASNNSMIEAVNVMDEAKAIEDTLEVDLSGNATSSSTYGEVKFEVDSLGNTSSTVMYGPADGAYEPRSDEVTFKVDSSENASNNVMYGRADVVDESWADEGIFEVDFFTNASSGAEYGKVDVVDEAKTDDFTFEIDSLEKDSNNKMHGKAHMVDEAWDDEAIFEVDLFGNASSIPIYGEVNVLDEARADDGKFEVDLLGNTSSNSTHEEVDVVDEAQTGEATFEVDLLGNALSSAIYKEVPVMGGAQDDEVDVDFSINASITETEKEADAVDEARVEDETFDMDLVGKQISIDSMNDDVVEEGTKHHRYPMLSSAFIEVKTIHETPVSLKPELMSVVMDQEQDKPISSVYQQEGSIFNLHAENQSTVDFHEREQMAITFDKQKESVAKLSKEDQQTAGLPEQNMSFDGVHRKSQSIIGLPFQHQSIVSSPEKYRSIVGFPGQNQSIISSHKQDKSIVGVPKKIQSIVGSTKHDDSIVGFRKQDRSIVSVPEQKQSIVGFHKQDLSIVAVSEQNLSIVAIPRESQSKQISIVRRHDPLHLKEVETKDRDGISKKSGGDDDLPHMLFEEELSQVEDEARAIAYKKQHEVDIISLTPDIQESPQDNIDPQELRRMLQELADQNCSMGNKLFVFPEAVKANSTIDVYLNRNLSALANEPDVHIKGAFNSWRWRPFTERLHKSELSGDWWSCKLHIPKEAYRLDFVFFNGRLVYDNNDSNDFVLQVESTMDEDSFEEFLVEEKKRELERVATEEAERRRHAEEQQRMGEQRAAEQAAREQAKKEIELKKNKLQNLLSSARTHVDNLWHIEPSTYRQGDTVRLYYNRNSRPLMHSTEIWMHGGCNSWTDGLSIVERLVECDDENGDWWYANVHIPEKAFVLDWVFADGPPGNARNYDNNGRQDFHAILPNAMTNEEYWVEEENCIYTRLLHEIREREEAIKIKVEKRAKMKSEMKEKTMRMFLLSQKHIVYTEPLEIRAGTTVDVLYNPSNTVLNGKPEVWFRWSFNRWMHPSGVLPPKKMVKTEDGCHLKATVSVPSDAYMMDFVFSESEEGGIYDNRNGTDYHIPVSGSNAKEPPIHIVHIAVEMAPIAKVGGLADVVTSLSRAIQELGHHVEVILPKYNFMNQSNVKNLHVRQSFSLGGTEIKVWFGLVEDLSVYFLEPQNGMFGGGWVYGGNDAGRFGLFCQSALEFLLQSGSSPHIIHCHDWSSAPVAWLYKEHYAESRLATARIIFTIHNLEFGAHFIGKAMTYCDKATTVSHTYSKEVAGHGAIAPHRGKFYGILNGIDPDIWDPYTDNFIPMHYTSENVVEGKNAAKRALQQRFGLQQTDVPIVGIITRLTAQKGIHLIKHALHRTLERNGQVVLLGSAPDPRIQSDFCRLADSLHGENHGRIYAGSDFILVPSIFEPCGLTQLVAMRYGSIPIVRKTGEQSLLGLKPAVGSTPSAKGSWSKTGPGTGLPWTTLNCTIQLTNFEAPIQRWQEKASIGRYYKLNETWLKVKIFYLSCRYKLTKTWFKVKIFYLSYTYICRIKTLYSMHKQLWEYVSAMFPILSFNYEYLI >ORGLA08G0045400.1 pep chromosome:AGI1.1:8:3895535:3896155:-1 gene:ORGLA08G0045400 transcript:ORGLA08G0045400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFRVLMQLAHSQEANGLAQMIAMAPLLEELVLSYCRKVRGGGGGGVYAAVAEACPRLRRLEVRRDPAWRDDDGGGDHHRRRPLGIAAMRELRHLTLVGVAGVGDDELAAIVDGGCPHLEVLHVSECPGLAAVDVAALRAKCGGVKCGGFTPASRPPMTTSRRLRRRKSGDCLATAGPTGSTTAQIGPPEKYSAQLRPATVLFANRY >ORGLA08G0045300.1 pep chromosome:AGI1.1:8:3891539:3894709:1 gene:ORGLA08G0045300 transcript:ORGLA08G0045300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAYGVGAPLKLAARRHGALALAGSHRCSGWKSSVSCPVPQAWMGSCSSVAMRRVASGSRLIVQASNSGGSSLKASLADASLLTEERITVLVIGGGGREHALCYALNRSPSCDAVLCAPGNAGIAQSGDATCISDLDVSDSDAVIAFCCKRGVGMVVVGPEAPLVAGLVNDLVKAEIPAFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYRTFTDPAEAKQYVKDQGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDSMLVQGSFGSAGSRVIIEEYLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKHTIMDSIIIPTVQGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLMSACRGELGDVSLTWSPEMAMVVVMASEGYPGSYKKGTVIRNLEKAEQVSPAVKIFHAGTALDGDGNLVAVGGRVLGITAKGKDIEEARARAYDAVDVVDWPEGFFRRDIGWRALKHKQVANY >ORGLA08G0045200.1 pep chromosome:AGI1.1:8:3878104:3884890:-1 gene:ORGLA08G0045200 transcript:ORGLA08G0045200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitate hydratase [Source:UniProtKB/TrEMBL;Acc:I1QGA1] MYKAAYSSASARLSSSLLRFRSLPSPAPSSSPSRLLSLRARAFSRPSRGAWAAAGGWSGRASSSPVVGCGACRAQIGAVAPAVERVHRRMAATAAAEHPFKNILTTLPKPGGGEYGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVNQNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSPNAVQSNMELEFKRNNERFGFLKWGSTAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTDGIMYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLQNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVAMIEAYLRANKMFVDYNEPQTERVYSSYLELDLNEVEPCISGPKRPHDRVPLKEMKSDWHSCLDNRVGFKGFAVPKEQQDKVVKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNKQGFHVVGYGCTTCIGNSGDLDESVSAAISENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGVGKDGKEVFFRDIWPSTEEIAEVVQSSVLPDMFKSTYEAITKGNPMWNQLTVPEASLYSWDPNSTYIHEPPYFKDMTMSPPGPHGVKNAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTVHVPTGEKLYVFDAALKYKSEGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTIDLPTNVSEIRPGQDITVTTDNGKSFTCTLRFDTEVELAYFNHGGILPYVIRNLAQN >ORGLA08G0045100.1 pep chromosome:AGI1.1:8:3873566:3874933:1 gene:ORGLA08G0045100 transcript:ORGLA08G0045100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:Projected from Arabidopsis thaliana (AT1G71090) TAIR;Acc:AT1G71090] MDWLSANLQVNPQVTVHGDWVSAVMPLMKLLCLTVIGLVLSNPRTQIIPKATFKLLSKLVFALFLPCLIFVHLGQSVTIQNILDWWFIPVNVLISTAIGCILGYIVALICRPPPQFFRFTVIMTGFGNTGNLPIAIIGSVCHTTDHPFGPGCHRKGVAYVSFAQWVAVILVYTLVYHMMEPPMQFYEIVGEGNEIVEEPAQISNYSRSLLHEAEWPGMADKETEHSKTPFIARVFMSISGSSQNTFPDIDFAEEGTSGAGPSSPKSLRCLAEPKVVRRIRVVAEKTPIQHVLQPPTIASLLAIVIGMVPLFKDFVFVPDAPLSFFTDSLEILAQAVVPSVMLILGGMLAEGPKDNALGIRTITGIIVARLLILPCIGIGVVLLADRLHLLVEEDHMYRFVLSLQYSTPSAILLGAIASLRGYAVKEASALLFWQHICAVLSLSIYLIVYFRLLTI >ORGLA08G0045000.1 pep chromosome:AGI1.1:8:3869030:3870928:-1 gene:ORGLA08G0045000 transcript:ORGLA08G0045000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:postsynaptic protein-related [Source:Projected from Arabidopsis thaliana (AT1G61780) TAIR;Acc:AT1G61780] MVCAKCEKKLGKVIVPDKWKEGASNTNESGGRKINENKLLSKKNRWTPYGNTKCVICKQQVHQDAKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >ORGLA08G0044900.1 pep chromosome:AGI1.1:8:3864330:3867465:1 gene:ORGLA08G0044900 transcript:ORGLA08G0044900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSTTRPAAPVRLRRRPLKTPLVVAAAAASSSAAVGLPRGGGPGTPHLRWGAGEREEEENDGEKGNAVGAPGRRSVRRLAAAVWRLRPAEEAPPPASRHAADRACLEHIPRHLQVQLLRKDHVSTRYGLKNETSSPISVLERHSGELHKGQLHLASDVLPITSLENATKWEPDGIEGIESDGAYVIASQLNLIEEQKGENYVSNLQVELQQTRDRVGKLEAERISAKKQLDHLFKKLTEEKAAWRKREHKKVQAILEDMKADLEHEKKNRRQLEKINIKLVDELKEVKMAANNLLQEYDNERKTRELTEEVCTKLVRELEEHKAEIEGLKQDSLKLRAEVDEDRKLLQMAEVWREERVQMKLVDAKLTLEAKYEELSKLQLDVEAIIASFSDTKGDDTIVQTAKNIVQSIESTREQEIKFTYEPPPASDDILAIIEELRPSEELETRETEPCHKHNSPVHESENQQDSPMTDIFLENPTKLYSNRSHYNESDMGDSSSWETISNEEMQGSSSSRNGSEPSVNKICDKISWTSGDDSEAGQNDNLSGELSKAYFADRKPSKKKESAISKLWKSSPLKNCEIFKIDVVEMMNGRSSNERLSNGMHSSNEGANQDAGLSSPSIGQWSSPDSMNSQLNRGFRGCMELVQKQSLKAKLLEARMESQKIQLRHVLNQKT >ORGLA08G0044800.1 pep chromosome:AGI1.1:8:3860384:3860605:1 gene:ORGLA08G0044800 transcript:ORGLA08G0044800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFLSYIRGFPKVFRTLSMSLVRSFRLLTSCINRGGA >ORGLA08G0044700.1 pep chromosome:AGI1.1:8:3853768:3854284:1 gene:ORGLA08G0044700 transcript:ORGLA08G0044700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELSTHDSGDRGSINLYRASRGRLAVLGLRSCGGVAARQIIRHGCSPPPPDLASLXPDLVSSWPDPPPPVVSHRSEKGVGGERPSDATRSGAEILRHHRSQRREGETGDVVPSPITTPREDPGRGRRWMGS >ORGLA08G0044600.1 pep chromosome:AGI1.1:8:3848518:3852942:-1 gene:ORGLA08G0044600 transcript:ORGLA08G0044600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGVVKFAVDKLESMAAQELKLQTEVGKKVLDLRHELEWLRTFLRDADRKRRGSSSSSGTGAAAAAAYDELIEVWVRQTRELAHDAEDLLEEFVHRGELHCHGCFDVPSFLRWLRHSAAGVFARHAIFDGIEDINKRIEQMKQQRKECNLEKLPCASKPHRKKYTDWSSLTELEIEDNLVKIGDYDEIERLVLDQSRQRTVINLTGKSGIGKTTLASYLYRRNSIRKHFACTAWVHVPRKFRFADLLHDVIRQANASSGDDELGGEAADDDVRRRGGMEAERLVKARLAAALQGKRYLVVLDDVRSREEWAFFLAALPGGLAGSCVLVTTQVKISEESEQPVAGGGGVVRTRELGKLRPEQAAELFRRRVYGHGEPEPKKMEQLKSLVDSMTKGSNLPLNIVMLAGLLRSKKEDEWEAVIHSLDDTPPPTSPEQTPPEAEPKKDSLDDSETAPTSTEQTKKRKKRKQMATSMDKILTVCMDDLPTHLKPCFLYFAGFTAQTPICAGKLVRLWVAEGFMQAKNGQTVEEHGEECLKELISRCLVQLVETDAGGGRVAAVSIHQAVLDFVQAEARDTNFLHVHSGAAVLSNGAARRLALRNTYDTDLAVMLEAPKLHTLLCDIPERAADDAAGAAAWQRALELINGRAPTFSVHGSRFLRVMDLKGVRLPHRESLPEEIGWLIHLRYLGLSHTAMRHLPSSVKRLRNLQTLDVSRTDVEALPWRLWRNPSLRHVMARRLAAWSAPDERAVLPDLQTLHGVPWGRWARSGGGGAIGKMTSLRSLMAWNVSAAAAAGGDGGGGEWLSSALAGLECLRSLDLEAADDAVTTLPLWDLLTMLGLRQLEYLTLRGRVAPPWNPPPPETTTTAPPPPLQGHHHHHHYLLPNLAKLELHRSECDQPLIDAIAKLPNLAELVLDEASYVKPYMRFPAAGFPKLRKLQLTSLDKLTECTAAAAAAAGDVVGDGGGALPQLRHVSVFHCGKLNMFPVKMAPKLELLTIHDSEELKNFMDNQDNEHIHVVHGKMSKRRVMTAPK >ORGLA08G0044500.1 pep chromosome:AGI1.1:8:3838690:3843000:-1 gene:ORGLA08G0044500 transcript:ORGLA08G0044500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQPQPGMAPPPPPPQAGAAGVGGAPPQWGAIPPPVPQQQYGPPPHQHQAPPPPQMWGQAPPPPQPAYGQPPPAQAGYYGAPPQAAPAVPAGPNEVRTLWIGDLQYWMDENYISACFAPTGELQSVKLIRDKQTGQLQGYGFIEFTSRAGAERVLQTYNGAMMPNVEQTYRLNWASAGEKRDDTPDYTIFVGDLAADVTDYILQETFRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPSEQARAMTEMNGMVCSSRPMRIGPAANKKATGVQEKVPSAQGVQSDSDPSNTTIFVGGLDPSVTDDMLKQVFTPYGDVVHVKIPVGKRCGFVQFANRASADEALVLLQGTLIGGQNVRLSWGRSPSNRQAQPQQDSNQWGGANAGYYGYGQGYEGYGYAQPQDPNMYGYGAYAGYPNYQQPLAQQPQQQQVRAVLFN >ORGLA08G0044400.1 pep chromosome:AGI1.1:8:3828299:3829111:1 gene:ORGLA08G0044400 transcript:ORGLA08G0044400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAILLALASWQGMASDPSPLQDLCVADMHSPAVLVNGFACLNPMDVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRAIEILMVLEGTLHVGFVTSNPDNKFFSKVLNKGDVFVFPVGLIHFQFNPNPYKPAVAITALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >ORGLA08G0044300.1 pep chromosome:AGI1.1:8:3823322:3824187:1 gene:ORGLA08G0044300 transcript:ORGLA08G0044300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSICLLAALLALVAWQAIASDPSPLQDFCVADMHSPVLVNGFTCLDPKYVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQILGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPQGLIHFQFNPNPHQPAIAIAALNSQNPGAITIANAVFGSKPPISDIVLAKAFQVEKGTIDWLQAQFWENNHY >ORGLA08G0044200.1 pep chromosome:AGI1.1:8:3811843:3812710:1 gene:ORGLA08G0044200 transcript:ORGLA08G0044200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSICLLAALLVLVSWQAIASDPSPLQDFCVADMHSPVLVNGFACLDPKYVNADHFFKAAMLNTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNTLFSKVLNKGDVFVFPEGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANTVFGSKPPISDIVLAKAFQVEKGTIDWLQAQFWENNHY >ORGLA08G0044100.1 pep chromosome:AGI1.1:8:3795420:3796284:1 gene:ORGLA08G0044100 transcript:ORGLA08G0044100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFCLFAALLALVSWQAIASDPSPLQDFCVADKHSPVLVNGFACLDPKYVTADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLHVGFVTSNPNNTLFSKVLNKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDEVLAKAFQVEKGTIDWLQAQFWENNHY >ORGLA08G0044000.1 pep chromosome:AGI1.1:8:3791211:3791788:1 gene:ORGLA08G0044000 transcript:ORGLA08G0044000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAEWWSRGPLDLVHRSLRATKSDSHELEGRRIQPVQTAQEQHPPLVISVGSSHNDDYRTMESTFEHGAIMRDWRFISTIFPSAMMAADGGPLLGEWMSATASAPPRGPRYLTVFPSLFPVIAADPVDNEKYKKFRMLDL >ORGLA08G0043900.1 pep chromosome:AGI1.1:8:3790011:3790875:1 gene:ORGLA08G0043900 transcript:ORGLA08G0043900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFCLLAALLALASWQAIASDPSPLQDFCVADKHSPVLVNGFACLDPKYVTADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPEGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDKVLAKAFQVEKGTIDWLQAQFWENNHY >ORGLA08G0043800.1 pep chromosome:AGI1.1:8:3783701:3784556:1 gene:ORGLA08G0043800 transcript:ORGLA08G0043800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSFCLLAVLLALVSWQAIASDPSPLQDFCVADKHSPVLVNGFACLDPKYVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPEGLIHFQFNPNPHQPAVALAALSSQNPGAITIANAVFGSKPPISDDILAKAFQVEKGTIDWLQAQFWENNHY >ORGLA08G0043700.1 pep chromosome:AGI1.1:8:3780142:3780996:1 gene:ORGLA08G0043700 transcript:ORGLA08G0043700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSLCLLAALLALVSWQTIASDPSPLQDFCVADEHSPVLVNGFACLDPKHVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLKKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDEVLAKAFQVEKGTIDWLQAQFWENNHY >ORGLA08G0043600.1 pep chromosome:AGI1.1:8:3774355:3775213:1 gene:ORGLA08G0043600 transcript:ORGLA08G0043600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSLCLLAALLALISWQAMASDPSPLQDFCVADMHSPVRVNGFACLNPMEVNADHFFKAAKLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPQGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDEVLAKAFQVEKGTIDWLQAQFWENNHY >ORGLA08G0043500.1 pep chromosome:AGI1.1:8:3769361:3770263:1 gene:ORGLA08G0043500 transcript:ORGLA08G0043500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLYLLAALLALASWQAIAFDPSPLQDFCVADMKSPVGVNGFPCKNPMEVNSDDFFNAAKFDMPRSTMNKVGSNVTNLNVLNFPGLNTLGISLARIDYAPLGVNPPHIHPRATELLTVLEGTLYVGFVTSNPNRLFSKVVHKGDTFVFPKAMIHFQMNLDHNKPAVAQSSLNSQNPGVITIASAVFGSKPPISDDVLTKAFQVEKKVIDWLKSQFWESNY >ORGLA08G0043400.1 pep chromosome:AGI1.1:8:3764085:3764920:1 gene:ORGLA08G0043400 transcript:ORGLA08G0043400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLFLLASLLVLASWQQAIAFDPSPLQDFCVADMASPVRVNGFPCKNPMNVTSDDFFNAAKFDMPRNTMNKVGSNVTNLNVINFPGLNTLGISLARIDYAPMGVNPPHVHPRATELLTVLEGTLYVGFVTSNPNRLFSKVVHKGDVFVFPKAMIHFQMNLDHNKPAVAQSALSSQNPGVITIASAIFGSTPPISDDVLVKAFQVEKKVIDWLKSQFSENNHY >ORGLA08G0043300.1 pep chromosome:AGI1.1:8:3753641:3754469:1 gene:ORGLA08G0043300 transcript:ORGLA08G0043300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFSFLLVAALLGLASWKAIASDPSPLQDFCVADLNSPVRVNGFVCKNPMNASADDFFKAAMLDKPRDTNNKVGSNVTLVNVLQLPGLNTLGISIARLDFAPLGLNPPHTHPRATEIFTVLEGTLYVGFVTSNPDNRLLSKVLNKGDVFVFPEGLIHFQFNPNPHRPAVAIAALSSQNPGVITIANAVFGSNPPISDDILMKAFQVDKKIIDLLQAQF >ORGLA08G0043200.1 pep chromosome:AGI1.1:8:3744633:3745456:1 gene:ORGLA08G0043200 transcript:ORGLA08G0043200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFISFLLLAALIGMASWQAIAAEPSPLQDFCVADLNSAAVRVNGFACKNPTNVSADDFFKAAMLDKPRDTVVNKVGSNITMINVMEIPGLNTLGISIVRVDYAPLGLNPPHTHPRATEIFTVLEGTLYVGFVTSNPDNKLFSKVLNKGDVFVFPKGLIHFQFNLDPHKPAVATSAISSQNPGIITIANAVFRSNPPISDDILAKAFQVDKKIIDLLQA >ORGLA08G0043100.1 pep chromosome:AGI1.1:8:3723610:3726011:-1 gene:ORGLA08G0043100 transcript:ORGLA08G0043100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G54890) TAIR;Acc:AT5G54890] MLLPRDLLLLLPWRRATAAGEAIARRLNHHRAPPFSDPDDDPPFTRLAERPPRAPSKKKKKEEEDQGGRIRPPEPASSDLPFDFQYSYSETDPAWRPIGFREPTRFSPFGPGRLDRPWDGVAAAAARGDGAGAAATSREEVLGEPLAEEEVAQLVERYRHSDCSRQINLGKGGVTHNMIDDIHNHWKRAEAVRIKCLGVPTLDMDNICFHLEDKTGGKVIYRNINILILYRGRNYDPKQRPQIPLMLWKPLAPIYPRLVQNVADGLTFEKTKELRNTGLNSSPLMKLTRNGVYVNVVDRVREAFKTVEVVRLDCSHVGSSDCKKIGVKLRDLVPCVPLLFKDEQIILWRGKVKQENSVSLQFSPEPS >ORGLA08G0043000.1 pep chromosome:AGI1.1:8:3721879:3722169:1 gene:ORGLA08G0043000 transcript:ORGLA08G0043000.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHAWAHGNPRRLPPGVGAMQRAGVLVSRAQHGAHHRAPYDNNYCIVSGMWNATLDRHRVFEAMEMVVFLRTGVRPRSWDEPDAAWTEDYDETAAVAG >ORGLA08G0042900.1 pep chromosome:AGI1.1:8:3704916:3711934:-1 gene:ORGLA08G0042900 transcript:ORGLA08G0042900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVAGAGGVGHVVERFRARLREEEEEEGGGGEAAAAAVVRVYVEVLSELTFNCKPIITELTIIAGQHAALAARGIADAICARIAEVSADQKLPSLYLLDSIVKNIGREYVGHFAARLQKVFCDAYRKVHRNQHAAMRHLFGTWSQVFPSSVLRGIEDELQFSPLENKRSATATDIRQSESISPRLSHGIHVNPKYLEAQQQFKQSTSVHQPITRGNRQMNDVEEDQINGLTSKSSQGWPATNSKLQKSTMLYADDLDQQEAFRSHTGLIRPSSPHLLSKHPSILNTEGPLANSRRTMSRSPPLDVLPRNASPKRALERPPLSHSVLGPDPRRLPDRNGWFERKWAFEDGAQRPSMSILDEEYRKQSARELIDAYGNSQGKDVDERLPKMQRLDSNGMAGRPSAQKWLTSEEEEYTWEDMSPTLTDRNRTSVPSLPPLGTLRAGFLGPNSGLLESDIVRHSWPGQAPRPAIDGPPLNLEDRIPTNGPVDRTNNRRYPGNFGVQNGAFLDYQSSEHTLDPGRTTMPVPPWQQTIGQPLRVQAPQPASILNRMPLPTDSEVPVKRLATGGTYDALNVDIPLLEKQRSSPAHAPMEWPLNTQSLTIQPIPPDTKHPRGASDGLDSRPFISQGSSSSVFVPQHHALDRRTMNADDLAQPSYQHPDLLSLSQQNQGTVLGNQGQPHHPPQFHPHPHSHLQETIRSFAPSMSVAPPQNIFHGQGGSAAALLPSSFPVPPAVPPYGLQSMPGFPLPSLPSGPPPPSQIGPSSSQVGGPPLVSGLLSNLMQHGIISLQPPSQPQDSVGVDFNVDLKVRNESVINALYQDLPRQCKTCGLRFKCQEEHRAHMDWHVTKNRNSKNRKQTSRKYFVTVGEWLRAAETVGNDGVPSFEPAEPVADAKEEKELAVPADEDQTTCALCQEPFEDFYSDETEEWMYKGAVYMNAPDGNIGGLERSQLGPIVHAKCLSGPNNT >ORGLA08G0042800.1 pep chromosome:AGI1.1:8:3694370:3700053:1 gene:ORGLA08G0042800 transcript:ORGLA08G0042800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLKLQADMLDSHHLQQALQQQYFDQIPVTTTAAADSGDNMLHGRADAGGLVDEFESKSCSENVDGAGDGLSGDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERHENAQLRAENDKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRVENARLRDEIDRISGIAAKHVGKPPIVSFPVLSSPLAVAAARSPLDLAGAYGVVTPGLDMFGGAGDLLRGVHPLDADKPMIVELAVAAMDELVQMAQLDEPLWSSSSEPAAALLDEEEYARMFPRGLGPKQYGLKSEASRHGAVVIMTHSNLVEILMDVNQFATVFSSIVSRASTHEVLSTGVAGNYNGALQVMSMEFQVPSPLVPTRESYFVRYCKNNSDGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDSSVHNIYKPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSVEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPAAVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNSVSLLRVNSANSNQSNMLILQESCTDASGSYVVYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGPSGNAQAAVGENGSGSGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVCRDSNPQ >ORGLA08G0042700.1 pep chromosome:AGI1.1:8:3684937:3685342:-1 gene:ORGLA08G0042700 transcript:ORGLA08G0042700.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAKEAGLLNGVIPHLVDDGVSILQYADDTIIFLEHDLQQEFRCILGSFLIKIRNLLKRELRKSLVVGKASIYLLVVDWC >ORGLA08G0042600.1 pep chromosome:AGI1.1:8:3662789:3663184:-1 gene:ORGLA08G0042600 transcript:ORGLA08G0042600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCQLINLYMELWDDDMPAGMLRRVIRRLGMASAAVAVAALEGQGRRRGAGGVVLLPALASFVLLLHSVAMVLLSVCGVQAAARGPGDGARRRCRLARAVARASLTPFLLLVLAGLLQGDGEEADRCYFT >ORGLA08G0042500.1 pep chromosome:AGI1.1:8:3641110:3641745:-1 gene:ORGLA08G0042500 transcript:ORGLA08G0042500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVRTVTAGPLAVEVNPWDTVGKVKAKIQAKGGIPAAQQRLMFAGRHLEDGRTLAEYDIKKEANLHLALRLRGGGAGGGGDVARAADSGGWGHWATTVGLSVTMVSLAVAVNAGDAGDLQLFFLWALAVAGVNLITAGVYLTSRDDVSRSCTVLAMAAAFARRNLAVLGTIAASSAATGVMFSATQPVLCFFFFALFVSSLSLVTNHCC >ORGLA08G0042400.1 pep chromosome:AGI1.1:8:3611586:3612956:-1 gene:ORGLA08G0042400 transcript:ORGLA08G0042400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPATSSTVCVTGAGGFLASWLVKLLLSKDHYVINGTVRDLGKKTFDFISVDIIATAVTGTLNVLRASHEAKVKRVVVVSSVVAVFNNPNWPTGEPFNEDSWSDEETCRKNEEWYPYYLSKTLAEREAFEYAAKTGMDIVTICPALIMGPLAYSTYKY >ORGLA08G0042300.1 pep chromosome:AGI1.1:8:3608240:3608752:-1 gene:ORGLA08G0042300 transcript:ORGLA08G0042300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGEFVVVPLPAHPQDSASEPEPFADHRAPFADRDARVRLTVAVADTNGADVLARERGVPSREAIRVQDRSPPGWAYMSESNLLARETGEPLLLLKRHRVRMGRGRNLRTRTASL >ORGLA08G0042200.1 pep chromosome:AGI1.1:8:3584837:3585777:-1 gene:ORGLA08G0042200 transcript:ORGLA08G0042200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVLADGRATGYTRELAAPSADWFLRMSHAGGGLGGGNDFTGNAVALYSSVGDYAGDQRTVLVDMDRVGELVHGEARNTGAPYTGAGGFARGHVALLVDQDRAGGLPLGGVADFLERDTAEHFAGLGALYSSNGGGVARAHGHGAPAPLLDKDRAGELPLGGVADFVERDMAAPHTVAGGVPFDKAQSVGTAAGAAPSSPNAAQVTIDVDFVAEAIEAKRAVGGRGSNLASAVVGIVTASSAVTMVAAGDVSLLVAFGLFVLMIAGLSLAVSGVRRV >ORGLA08G0042100.1 pep chromosome:AGI1.1:8:3580433:3581394:-1 gene:ORGLA08G0042100 transcript:ORGLA08G0042100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAAANVKLEDLGAAVDELDQIRQVLLLLSPSIGSSLASYVTIISSPFPCSDFHRGVSPVQQDFDVNGGGVCSGSRAHHLVEHTSSSSVPSSVMDVQSLVPQAMTMSISTPELLLPLGEGTLDDVDDLLTRELRVPFLALGAAAAPYSGNGSDGGFAREHGMDAEQVKIDIPSGAANAAADADARGGYLASAVIGIAAASSAVSVLAAGVVVSQPLAFGLFVLLLGSLSLAVSGVRGA >ORGLA08G0042000.1 pep chromosome:AGI1.1:8:3530222:3532324:1 gene:ORGLA08G0042000 transcript:ORGLA08G0042000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDINPVDQIHPDSLDDIKLASHSDNSRFRSKVWEEFTPVYVEGRIQGAECLHCHKRLSADKGRSHLNRHTQTCPARGETGINHKGPFSPSSAPSFKSGVQDELSPALTNGKVQIAEYASKLLKVNSSVDKTPQSQHILALPARDNMTKEQNASSTHAAPDVSTSKFDQETSYQELIRMVVLHGYPLSIVEHEEMKRFAKSLNPLFNMASSIDVEEYSTLLYQKEKADLKEKIAALSSRRISLSASIWAPHGAEPTVKYICLTAHFIDAEWRLQRKIIKFGVFWSLPTDLERMILHKEACVLDSESGPYNVIAEAISDWNLDNNLFSLISVSEIRNHEGTTKLKDMLIQKNSLPIRGELYNIACVDDVLNNIVSKGQSMLHLVDNILERFMLAHAYSSLTQQQLFEAVTNMGLKCPQEDAKWWHKIYFRLEVVLHCKKAFPSEELLPAEDYKVVESICKILRAFYHAIEVISGPVCPTANVYFNELWKVRTTLQEEASTDHTEVASMVREMQEAFHEYWENSYLWLSIPIVLDPRFKITFIEFRLKRAFGAEAAKYVAAVREIIRELFHEYCGPVDKGVHTSNNEARDVEMDGFDSDSLEDWDQHLSAQSRSQRLSELDNYLEDGLVPRKDDFDILNWWMIHSTKYPTLSVMAQDVLAMPSSALHCKAAFSSEGPVIHRQWSTLNIKTIEALVCTQDWIR >ORGLA08G0041900.1 pep chromosome:AGI1.1:8:3524795:3525739:1 gene:ORGLA08G0041900 transcript:ORGLA08G0041900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKARATAAASKPKPRATARAKPKPKPKTKPSPASLLSGGSSPASGDAADDLSFLSPSSPVVKPKPRSPLAAPASSPISPYASPASASVSVSTVADLRILAASHLDSLKRRLDTLHGDSARDLEASHSRISKRFKMQTQSCLQLADEAEKEHRKMADKISEHAEGVKASYKKFVAEVQASTSRVCKVTIPEMAKSADRTIDGLRSRYNIPAKAA >ORGLA08G0041800.1 pep chromosome:AGI1.1:8:3517119:3523644:1 gene:ORGLA08G0041800 transcript:ORGLA08G0041800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGNMNNDNYIDLSSDSDIDFDFDSDDSVGGLDQELASSSSRPTENINGQYRTLPPSFTNGRHVDNARHALGSGDRSYPHSSSYRGSPNDSARATPASNRTDIVVKKHNGFASDENDNGKRILPSSFSNGRTTNAMHPVVASETRKFPPSFTNGNSQRLAENRMGKNVANGIGEPSSSRFPSRSSFGTDNKKVITDSDNEDVYVYGSSSSHRVLPPSFGRNSSANHSEFANGIDMQGRLNLENRIIDSDERAVYQEALQNISQDKREDDLPEGVLSVPLLRHQKMALAWMVSKENSSHCAGGILADDQGLGKTVSTIALIQKQRIQQSKFMSVDSDRLKAEALNLDDDDEAAPVADNKGEQTKNDEPRKDLGAGSSSTAAGTGDVETCASLMNTAPDKMVERNVERKKKSKASTSSTMQSMTRPAAGTLVVCPASVLKQWANELTDKVGESAKLSVLVYHGGSRTKDPNELAKYDVVITTYTIVANEVPKQNADDDTDQKNGEESSAGNKRKQPPKAQSKSKKKKKKLKDSDIYLASGPVARVRWFRVVLDEAQTIKNFRTQVAKACCGLRAKRRWCLSGTPIQNAIDELYSYFRFLKYDPYSTYNSFCTMIKHPIARNAVHGYKKLQTVLRIVLLRRTKETLIDGEPIIKLPPKTINLDKVDFTKEERAFYLTLEERSRQQFKAYAAAGTLKQNYANILLMLLRLRQACDHPLLVKGHQSEYKGDGSIEMAKQLPKEMIINLLAKLEVGEFCSVCSDVPEDAVVTMCGHVFCYQCIYERITTDENMCPSPNCGNTLSTDSVFSSGALRICMSGVSSSHASGSSSLDDESSSISQTSYISSKIQAAIDILNSIINTYALTDSDTVESNPSRVAPVKAIVFSQWTGMLDLLELSLNSNLIQYRRLDGTMSLNSRDKAVKDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAIDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRAMVSSAFGEDKSGGHATRLTVDDLKYLFRI >ORGLA08G0041700.1 pep chromosome:AGI1.1:8:3506271:3511664:1 gene:ORGLA08G0041700 transcript:ORGLA08G0041700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDELDGYFADISNRESSTLSFGQGFYKRSKWLDIRKSSAVLSRDAQMRRLMQRKAENSYRKMEEFVINKLKEIMKSSRFDFFVPKVAKIEVRLKNGYYARHGFSYIKNDIRSMCRDALRYKGRSDLGDMKQIVVAFIQLAKKLENPRLISDRDGTAVQKDSSDTSQYSSDLKLKKKQSKTTSERRGANWTTAGADPSSRAFDREIKRSLSKLKKRDIDSGSETSDDDDGYSEGDETESETTVSDTESDLDVNSGAWDLKGNGMKLFESSESLTDDRGWGARMTKASLVPPVTRKYEVIEKYLIVADEEEVLRKMRVALPDDYSEKLLSQKNGTENLELPEVKDYQPRKVPGDEVLEQEVYGIDPYTHNLLLEMMPTELDWPSSDKHTFVEELLLNTLNKQVRQFTGSGNTPMVYPLKPVIEEIQKSAEESGDRRTSKMCLGMLKAMRNHPEYNYVAYRKGLGVVCNKTGGFGVDDFVIEFFGEVYPSWRWYEKQDGIKHIQNNSDDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGHYQIGIYTVRPIAEGEEITFDYNSVTESKEEHEASVCLCGSQICRGSYLNFSGEGAFEKVLMEFHGVLDRHSLLLQACEANSVSQQDLIDLGRAGLGTCLLAGLPGWLVAYTAHLVRFIFFERQKLPHEIFKHNVDEKRQFFTDINMDSEKNDAEVQAEGVLNSRLQNLTHTLDKVRYVMRCIFGDPKNAPPPLVRLTGRSLVSAIWKGEGSLVDELLESMEPHVEEDVLTDLKAKIRAHDPSGSEDIEGEIRSSLLWLRDELRTLSCTYKCRHDAAADLIHMYAYTKCFFRVRDYKTVKSPPVLISPLDLGPKYADKLGPGFQEYCKTYPENYCLGQLIYWYSQNVEPESRLTRARKGCMSLPDVSSFYVKSVKPTQERVYGSRTVRFMLARMENQAQRPWPKDRIWVFKSDPRFFGTPMMDAVLNNSPLDKEMVHWLKTRSNVFLG >ORGLA08G0041600.1 pep chromosome:AGI1.1:8:3493211:3497002:-1 gene:ORGLA08G0041600 transcript:ORGLA08G0041600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA cap guanine-N7 methyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QG65] MNKRPRDEPSSSFASAPKRQYGAGGGGYGGHGYSEERSSARRVADHYSARSNQTLEERENSPIIHLKKLNNWIKSVLIQLYAHPGDCVLDLACGKGGDLIKWDKAKVGYYVGVDIAEGSIKDCMTRYNGDTDQQRRKKFSFPARLICADCYEARLDEHLYEDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGVFIGTMPDANVIIKRLRETDGMEFGNSVYWISFGEEYAEKKFPASRPFGIKYKFHLEDAVDCPEWVVPFHLFKLLAEEYDLELVLTKNFHEFVHEYLQKPEFAELMRRLGALGDGRQDQSTLSQDEWEVAYLYLAFVLRKRGQPPSQRRANNANRGKMFLTENDIDFLGV >ORGLA08G0041500.1 pep chromosome:AGI1.1:8:3489505:3491669:1 gene:ORGLA08G0041500 transcript:ORGLA08G0041500.1 gene_biotype:protein_coding transcript_biotype:protein_coding STTAPTLVSAAGGGGGGEVPAVAASGAKWASSWEVDDWEFADWRDVAAAEPEVTAAGKPRLVFSPPSREEAEEATTELRDAIDRVYFSEAPIEVVKEQDKDLSKLGADAIIPAMPGHVMQAFTLLKSSPEAQGVVASLASDKNVWDAVLKNEKVMEFYKTHQQSLVYTFPEGTASVVSPEKLADASSENSSSGEKPESSPFADFMDNARKTVIDIVNNITNFFQDMFRKPNEAEILGGSFMALAIAVILLIMFKKA >ORGLA08G0041400.1 pep chromosome:AGI1.1:8:3473016:3476446:-1 gene:ORGLA08G0041400 transcript:ORGLA08G0041400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGGGAAAGGATTTTGSHGGGGGDWWSAAVSSCSAPAPETMQGFGGWSAAVVAVDGGGNTSRSAAGNTASSESPGSLATGSSITFQEPAGGGVADPAAIAVHAQTVAGGGGGGWNQQPFLDGSGFHGYMSSSRNDHHTNHHHHQINTPSLMSNSSSNNGVMLQEHQHDQNYQFLSNLGFELLSSPTSPYGGGGGFRSSLLRSLTEPAAAAKPNDSPGFQQYHHHQPAMNLQPPAAAAGREPLQFTNSTAAPFWNPSSGFTVAAEGTALGGAGASPAQPTPASLAAKRALEGVGDSSSIITKKAKADSTPLKKSRTGTPSPLPTTFKACVYIYIIFNLSQMVIDFLPIKFHVRKEKLGDRVTALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGALSAPYLKNRQQVPHLKNSTGVDNDGGGGGEGTAASKRDLTGRGLCLVPISSTFAVASETPVDFWTPFGAAFR >ORGLA08G0041300.1 pep chromosome:AGI1.1:8:3443569:3444832:-1 gene:ORGLA08G0041300 transcript:ORGLA08G0041300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAEGKEKGVGGGGGGAGAGACELCGAAARVYCGADEATLCWGCDAQVHGANFLVARHARALLCRGCARPTPWRAAGPRLGPTASLCERCVRRGGGGRGGGGGGGAAGGGGRGGGGDEEMGGEGDEEEEDEDEDEDEEVVVEEEEDEDDEDEEGEGEGEGEGENQVVPWAEEAEATPPPVASSTSSSSREAAANGANAADRVKEDQPCSTSQPSLCRYASSAHHGGGGRSDEATSSRNGGGVGGRFLASRHRKRSPSDFRRSGSAQSVSGVQGRNCSNAVVGRNDASMM >ORGLA08G0041200.1 pep chromosome:AGI1.1:8:3432047:3436301:-1 gene:ORGLA08G0041200 transcript:ORGLA08G0041200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:I1QG61] MEGEMDTVVGSSSKPCGPLTDYRIPDYILRPDAQRVLLDHAPPCPVLVFINSGSGGQLGSSLIKTYRELLGEAQVFDVSEEAPDKVLHRLNVNLEKLKMEGDILAVQIWRTLRIIVAGGDGTASWLLGVVSDLKLSHPPPIATVPLGTGNNLPFSFGWGKKNPCTDQESVKSFLGLVRHAKEMKIDSWHIMLRMRATKEGPCDPIAPLELPHSLHAFHRVSSSDSLNMEGYHTFRGGFWNYFSMGMDAEISYGFHSERKKNPEKFKNQLTNQGTYAKVGLKQGWFCASLSHPSSRNIAQLASVKIMKRAGSHWQELNIHHSIRSIVCLNLPSFSGGLNPWGTPGTRKVEERELTAPFVDDRLIEVVGFRDAWHGLVLLAPNGHGTRLAQAQRIRFEFHKGAAEHTFMRIDGEPWKQPLPKDDDTVVVEISHLGQVTMLANEPCKSKSVHDNQSSHAQHSGHGNDDDDKDSMEDEDEWEDGRRKFGAADTFKIPDEVDIAHLS >ORGLA08G0041100.1 pep chromosome:AGI1.1:8:3427815:3429558:1 gene:ORGLA08G0041100 transcript:ORGLA08G0041100.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPGLREQAVRQGGAGRRAGGAAGHDAPPAAARRAAATSLLRRWIAGRGGAGGEVGGGGEGEEVEEEGGEGREEIXRMFRQFCICTSPVQAEVSEEREIEEGRKKSRKQKSQAKPKKMDMEMDVASQEFGPQKEMNMNARSDSSSLFLLLLRSSSSSSAAFAALIACLDDSEPPAAAAALSFAFHVVAAPPSRVFPAGAGAGDGATRAPPTRPANLTLIAAAAAKLFAFLGEVKGEEAAAVAVLVGGAVRWAAPLVTPAKRRAKAQTCPRFSPHAAS >ORGLA08G0041000.1 pep chromosome:AGI1.1:8:3425814:3426086:1 gene:ORGLA08G0041000 transcript:ORGLA08G0041000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAVAAKAWWTAAMSVGAVEGLKDQSGLCRWNYALRSLHGAAMDTLMLQVHGGAGASSPAAAMAAERPEEEGMRRVMYLSCCWGPS >ORGLA08G0040900.1 pep chromosome:AGI1.1:8:3418492:3423015:1 gene:ORGLA08G0040900 transcript:ORGLA08G0040900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQQDASTSGAAMSMKEYLKRYQSDDAQGKAKKKKKKKPKPAAAVGGGVLIVDEDPTWHKPVQIEEEPASSGDERPLVDEDIEVKRMRRLEAIRAARPYNAIAEDGSGWVTVAAPEDSDGGLTGRRRRNDTPSPERGGAGRKDLSPPRRRQRQDTPSLDPRDAAGKDLSPPRQRRRRQDTPSPKGSEVAGGHGDLSPPRKSRQQRDPSPPRRLSRHDSKESQDISPPRRRTRHDSEEPQDLSPPRRKGRHDSEEPKDLSPPWRRVRHDSEEPKDLSPPRRRTRHDSEEPEDLSPPRRRTRHDSHEPKDKLPPLKRQALGDGDISPPRKGRKEVAPKEVRKAGLMTAEEVKEDIRKIKEDERLKFAAQDPSVIGKGAKAVFRDKQGKRISEEDMRKAKEDTKPKEIHIEWGKGLVQKREAEARLQELESEKSKPFARTRDDPELDTMLKNRIRWGDPMAHLVKRNDTDLLLEDLGDDEKMKESGFIVPQNIPSHSWLKRGVDPPPNRYGIKPGRHWDGVDRSNGFEKDMFKLKNEKQATEQEAYLWSVADM >ORGLA08G0040800.1 pep chromosome:AGI1.1:8:3401256:3403045:-1 gene:ORGLA08G0040800 transcript:ORGLA08G0040800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYDRRHHPLAAGVWGDHPFIRPDTTASTSNAAAAAMVVAPPPLTEPKFESQLALPLQHGDDQDDAAALQESPRHASDSFEQEASKPRDKIQRRLAQNREAARKSRLRKKAYIQNLETSRMKLAHLEQEITRARQQSAYINRSSNPATLPAPIDSGVVTFEVEYAQWVEEQGRQTAELRAALQAAAEGPELRAVVEAALAHYDRLFAAKREAARRDVFFVMSGVWRTGAERFFLWIAGFRPSEVIRVLAPQLEPMTERQAADVQGLQQKARHLEDALSQGMDKLKQTLADSLLAEAVVVSTSCDASPPPPPPEEEPSSSAAGDGGCYMAQMGSAMGRLSNLVAFVDHADHLRQETLQNMYKILTLPQAARGLLALGDYCQRLRALSSLWAARPREPA >ORGLA08G0040700.1 pep chromosome:AGI1.1:8:3392313:3396836:-1 gene:ORGLA08G0040700 transcript:ORGLA08G0040700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGLAPNMNPNKSFEVLPNPGDSVSSLSFSPKSNLLVATSWDNQVRCWEIVGGSSQPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQAQTVAMHDAPVKEIAWIPQMNLLVSGSWDKTLRYWDTRQSNPVHVQQLPERCYALTVNYPLMIVGTADRNLVVFNLQNPQTEFKRIQSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHIDDSQQSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSTFNSDGSIFAYAVCYDWSRGAENHNPATAKTSIYLHSPQESEVKGKPRIATSRK >ORGLA08G0040600.1 pep chromosome:AGI1.1:8:3379885:3389142:1 gene:ORGLA08G0040600 transcript:ORGLA08G0040600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPTGFKGFFRKLKKLKACPEIADAIDELIKKCAMEGSKRHKRYNSVNVASNSSTFGVDPRMLMFYDEVDKLVGVNRAKKHIIEWFTKEKDEKNLKVLTFADFGSLRKSNLAIKIYSQLEDQFQCTFFLSLSRNLCREKILRMIHTNLGITYNTSDDEEKLIDRIRDYLKDKRYFIVIDDVRDAEAWKAIELALFNNTCGSRIVITTRNSTVASCCSHDGGYVYRMEPLSLKNSKMLFFKRAFGSEDLPNPQLEKVSDGILQKCGGLPLAIMIMSSLLADQNEEDEWKRVLTAIGSVLAKDPDDDIMKSILSLSYYDLPHHLRACLLYLSIFPEDYEINKQRLINRWIAEGFILEEQGLTSYEVGERYFNYLINRCLIQPVGSKHGQAKACQVHGIILDFLACKASEENFVTPFNDDAEQGLVSENKVRVRRLHVNNHNKKEVARLTGLVLSHVRSLTLFGDFGRIPMSAFTALRVMDQEDNWDLGGNWGLGSNHHMAHIEMMLHLRYLRLNSPLLDFVLTARTGGLENLETLDLLGVSVVELPSAITRLRRLARLYISHLARFPKGTIAKLQSLEELSEFGFVSFHQQWECLQEFSQLTKLRMLKVKWDFDWSFVQDEEGLQSYMHALISSCNVHNLYIGNIRIWPGPYPLSLESCCPTTTCSLQKLHITYCFICKVPNWMSSLGNLKELKLYIYCLRAEDVKILGAIPTLIFLKLKTFYGTDGRIFIPGYKGFRCLKYFGLVMISCGTTPEFEEGSMPNLEHLKLRFCVHEMECINGATDFGIRHLSTLNKVEVHIYGCSVSHKDYDPEADREDSNAKNAAFLIKAAVKALPNRVTCSFELAKTYGNIGTFHGLIKIDETNQDESEEHVGNELQMVCYERFRIXSRGFGXTYGGAGPDLGGPWLVGRAAHSNKTXSCDFGXACNRAGPMXPGWPMVGGPGCALNSCYLGKILYQLAPALELPRFLSISQSINQISHCFTIVSLISYIICSSKMKRKTIDCYYNPVVSRVNSTENPSPIIAQDIDPVDLQAANENAATLPSLEAEQGQEIVATAFERDPGKRAQIFELPADQQDEARRFYISEGPFRPILQEQEYPLNDAKHRRRFRSSXSKQFCWLEYSRHTDCAYCLTCFIFSKKPTGKAGSNASTVNGFQNWKKVNVGKECSFLKHMGDASSAHNYSVGCFNNLKNSMAQIDKVMVRQSDEIVAIARLRLTTTIDSIRWLTFQGCPFRGHDEPLDSINRGNFLEIDKLLASYNKEVKEVVLENAPKNAKYTSSEVQKEILSIVARKVQKSIREEIGTSKFCIMADEARDESKKEQMAIVLRFVNKEGLIKECFLDLVHVSDTHALTLKNSICAVLSGNNLNVQDIRSQGYDGASNMRGEWNGLKALILNECPYAYYINCMAHQLQLALVAASREVQERNDELLANQTTEIAREIELGELDTGRGANQLQSILGEMLQVPYEXFSRLILCLFFSXWKILXRSLMSYAKHSKRKLLIFXMHWILFLTQKHCLLICEMRVGNLLSRRSNLFVRSMRLIFRIXITSMLMXQNLKTRMTTLQLFTITKLMCLMLQLINKXLSXKTDSVLKLQICXPCVFAWSQDLSHLTWQSVNCNYRELFQLXKLXRHVFEIKWETAICGITWXFILKKNXRLYRLXCVGLVC >ORGLA08G0040500.1 pep chromosome:AGI1.1:8:3362272:3365237:1 gene:ORGLA08G0040500 transcript:ORGLA08G0040500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAESFFRAAPPLRDRDRVAGDLAAFVARHAGRGGGGGRLAGVVCVTSGGTTVPLEQRCVRYIDNFSSGQRGAASTEYFLKAGYAVIFIYRRGSKQPYCRFLPEDSFVDLFELGEESDIQVPESHAAVVKTAIRNYRKAIDEGLLLKLPFTTIFEYLQLLQMVGTAMNCLGHQGMFYLAAAVSDFYVPWESMAKHKIESASGPLNMQLNQVPKMLFILRKQWAPSAFCVSFKLETDPDILLQKAEAALRKYGMNVVVANELANYKDVVVMVTSNGRTTVRRPSKEDDVEEQLIDLLVEMHSEHIMQLNQDHQTCTS >ORGLA08G0040400.1 pep chromosome:AGI1.1:8:3353207:3353473:-1 gene:ORGLA08G0040400 transcript:ORGLA08G0040400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLPSNKVRTLPAISSLSVRTNTLQTETMIVRLLVIHSSWYILSSVSFGAGVHCCAEARELLHDEAAARAVDSIMDNLVDRCYVACW >ORGLA08G0040300.1 pep chromosome:AGI1.1:8:3330233:3339800:-1 gene:ORGLA08G0040300 transcript:ORGLA08G0040300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPPLPPAPARQLRRWQGSSPRPPPWLSSPFRRTRYLSRPTFAAGGRQDYSPSSGMGVSKTGAFRLGLYGNLNVQSSVQEWVDETKRLFFLRTTNNVRNNITNGTTPLRVGNLRHDPSEDIRSSNYPSLYNQRERGPSNSIVNRHVDTDLAKHRVMYQSAHAVPAPFSVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVANIDVKEETPVGHGEVICFSICSGNSDGEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIKKVWHNYSFDSHVIENCGIKVAGFHADTMHLARLWDSSRRTDGGYSLEGLTNDHRVMDAVVKDIPKTGKVSMKTIFGRKKVRKDGSEGKTISIEPVEKLQREDRELWICYSSLDSMSTLKLYESLKNKLEAKEWIFDDCPRGTMYDFYEEYWRPFGALLVKMETEGVLVDRAYLSEIEKAAVTERELAADKFRKWASKHCPDAKYMNVNSDNQIRQLFFGGIENRNKRGETWPQSKTFKVPNDEGITTEGKKTPKSRTIKLFTIVEDLKIDMFTPTGWPSVSGDVLRSLAGKIPTDRIYKIDDGQEFDEDGSSLELPEQDIEDTSLYGTAYEAFGGGKKGREACHAIAALCEVFSIDKLISGFIVPLQGDRISCKEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNTLIVADYGQLELRILAHLTNCKSMLEAFKAGGDFHSRTAMNMYQHVRDAVEEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAVGLSWDWKVEVREARDTLKLWYRDRKEVSAWQKKQKAFALEKCEVYTLLGRSRQFPNMTHAGPGQKGHVERAAINAPVQGSAADVAMCAMLEIERNARLKELGWRLLLQVHDEVILEGPTESAEEAKTIVVECMSKPFYGTNILKVDLAVDAKYAKSWYAAK >ORGLA08G0040200.1 pep chromosome:AGI1.1:8:3325173:3327909:-1 gene:ORGLA08G0040200 transcript:ORGLA08G0040200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein [Source:Projected from Arabidopsis thaliana (AT1G34220) TAIR;Acc:AT1G34220] MLDAFFKGAGGGGGGFRGAKCKTLLKLSIPRIKLLRNRRELQLRQMRRDIAKLLEAGQEATARIRVEHIIREENMMAAQEILELFCELIAVRLPIIETQKECPIDLKEAISSICFAAPRCADLPELMQVQMMFATKYGKEFVAAATELMPDCGVNRQIIELLSIRAPPVDVKMKLLKEIAEEHEIDWDPSATETEYLKPHEDLLNGPTYFNGSTLPLPKEKHEETLAASAAEHPDEDYESDTGLESLDLPEVPKAAIRPPSDTPATPDIDTHVQSSQPTSHEFSNMPSSQPTSHEFLNMPSSQPSSHEFSNIKSSQTTSHEFSNVQTSQPSSHEFSNPSDLEENPTANAAFMTQPKGSEHIPTPFAQPSMPVSPNEKKHFVPFASPPPFAVPSLVEKNESIPSPSPSPPVKPTDPEMFRTIDEVTPPPTTTDYLFSKQPEQLHSTSAENIANIDLDDVLSAAQTAAETAERAASAARAAANLAQLRIADLKKNSKAYENYSDSVRKESHPQSEVTQKPVFDHQDSFSSDTQGYVPSHLPQRSPSMEDDPYFSYPNLFSSKP >ORGLA08G0040100.1 pep chromosome:AGI1.1:8:3317134:3318172:1 gene:ORGLA08G0040100 transcript:ORGLA08G0040100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMWLTVLTKNERIGAGETEEATTGEASSRHGARRRWSGAFRGCGPMRRPHIPCVMAVVAGEAITPSRCVVPKVVPLARSGKPKVGSVELNGVAAAA >ORGLA08G0040000.1 pep chromosome:AGI1.1:8:3310074:3311874:1 gene:ORGLA08G0040000 transcript:ORGLA08G0040000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRSRRRTRSSRSTSRSPTASPAPRASPFDSSNHGPYTGFSDGRVLRWGGAAAGWTTFAHHQDYRKIPMCTTPVAPPEETESMCGRPLGLAFHDRTGDLYIADAYKGLMRVGPRGGEAEVLAAGADGVPFNFVNGIDVDQATGDVYFTDSSTTYPRRFNSEIMMNADATSRLLKYDAATKQVTVLRSGLPYANGVAVSRNGSHAVVAHTVPCQAFRYWIKGPNAREYELLADLPGYPDNVRRDARGGYWVALNQEKVRLDATAAVAPPAKHLVGVRLDGDGVEVEELTAAKGVTLSEVVERGGKLWLGSVELDFIGLIMQ >ORGLA08G0039900.1 pep chromosome:AGI1.1:8:3298471:3300195:1 gene:ORGLA08G0039900 transcript:ORGLA08G0039900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G31010) TAIR;Acc:AT4G31010] MLLLAGLLRRARPPRRPSVRRLSGLLDRYGFVPPASLTPHSAAGSASDDGGAKKRRPKKPPYRPPSSLDRGGRPAARSDLPFDFRFSYTESSPGNKPIGLREPKYSPFGPGRLDRPWTGLCAPAVDTTLRDAHADDPAPAAERELEEARRRERERVLGEPLTPAERAFLVSKCQKSRTKKQINLGRDGLTHNMLNDIHNHWKNDEAVRVKCLGVPTVDMQNVCHQLEDKTGGLIIHRHGGQLILYRGRHYNPKKRPVIPLMLWKPAEPVYPRIIKTTIEGLTVEETKEMRKKGLYVPVLTKLAKNGYYASLVPMVRDAFLTDELVRIDSKGLPKSDYRKIGVKLRDLVPCIIVSFDKEQIIVWRGKDYNGTIQDNTQKTSVSVLEEESAGAESENGDQEQASSDWASDECSQLSSSDEMPDDKSAISEVDSD >ORGLA08G0039800.1 pep chromosome:AGI1.1:8:3291629:3297096:1 gene:ORGLA08G0039800 transcript:ORGLA08G0039800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRWAVWAVLLLRLLVPAARVLANMEGDALHSLRTNLVDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQLGQLKNLQYLELYSNNISGTIPSELGNLTNLVSLDLYLNNFTGPIPDSLGNLLKLRFLRLNNNSLSGSIPKSLTAITALQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPSLCGPGTTKPCPGAPPFSPPPPYNPPTPVQSPGSSSSTGAIAGGVAAGAALLFAIPAIGFAWYRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERPPSEPPLDWRTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKRLEMLVDPDLQSNYIDVEVESLIQVALLCTQGSPTERPKMAEVVRMLEGDGLAERWEEWQKIEVVRQEVELGPHRNSEWIVDSTDNLHAVELSGPR >ORGLA08G0039700.1 pep chromosome:AGI1.1:8:3280739:3281620:-1 gene:ORGLA08G0039700 transcript:ORGLA08G0039700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKSYGHLLSPVGSPPSDNESGAAAAAAAAGGGGCGSSAGYVVYGGGGGGDSPAKEQDRFLPIANVSRIMKRSLPANAKISKEAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEAYVGPLKSYLNRYREAEGEKAAVLGGAGGAAAARHGEGGCCGGGGGADGVVIDGHSPLAGGLSHSHHGHQQQDGGGDVGLMMGGGAAGVGYNAGAGSTTTAFYAPAATAASGNKAYCGGDGSRVMEFGGIGGEEESGGGGERGFAGHLHGVQWXRLKRNTN >ORGLA08G0039600.1 pep chromosome:AGI1.1:8:3270589:3276107:1 gene:ORGLA08G0039600 transcript:ORGLA08G0039600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSVTIIEDGRVAVPPPPPPEPALFKLTALDAQWIPLPLIQRVLVFDDGGEGRIPPFEDVVAALRASLAETVARLLPLAGRIVHLPETGEAAIDCSGRGGGVRFVVAECGGADAARVARDADHDVALMEQLAPVLDADALPAETMAAQVTRLGGGGGGVAVGVALHHAVVDGRSVWRFIEAWAACCRGDDAWSAAPALAFDRAAVALPDGEELARDVLRKYVPNLPVATVPKFLVKGRLQLSRRTFTVAAAQMLRLKHRIVAGAGAGLTPPSGFVALAALAWVSFVRSKHAAGAIAAGDEVYLFFFMDCRGRRAAFDPPVGEGFFGTCISGCLATATARDLLGGGDGGLVAAARAVQEEVRRAAEDPLAGWEWMSLVGRIALSRLVNMSGSTRFPAYEAADFGWGSPSRTELVTMNHGGQVVLVAAKGGGVQASVSMKPEHMDKFKSDFESYLE >ORGLA08G0039500.1 pep chromosome:AGI1.1:8:3263387:3265654:-1 gene:ORGLA08G0039500 transcript:ORGLA08G0039500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAVSGDAGARARVRVLAVSRVAPSPAPVERERVGLSFFDTPWVVLPPIQRVFLYETAAAADGGGGDGFAAAVERLKGSLAATLALYLPLAGKLVYVEETEDVVVDCAAADDADAGVAFVEAEAEDAAAEAMDVRRLAGDEAHDVAAFLALVPELDTRALPAPVLSVQATRLGSGAGLALGLSVHHAVADGRAVWQFMEAWSSAARVGSPVTKSLGAPHYRREAAIPQPDGGELARHMLKLVAPKLPAVASGEHDFSQRFRLARRTFHLGADAIQSVKRRIDELASAEEEAAGSAKPKPVSTFVALAAMGWAAFVRSKALADGDDTYLIFLADLRARLDPAVGEGYLGNCVRMCLARCPDAAELRGERGLLRAARAVQAAVAEMEAAPLAGTADGSAIARVMQIPFSRMANVAASPRFGAYGAADFGFGRPARVELVSMNHDGEMVLVGGRRDGEVQLSVSIDPAHVDAFKAQVLG >ORGLA08G0039400.1 pep chromosome:AGI1.1:8:3259285:3259572:1 gene:ORGLA08G0039400 transcript:ORGLA08G0039400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARLDPGDEPTQGNQGDVGATASTKQHHDDDDGEFGVLWRKAERLGPSLLLGWRWRATPRCASSGPSPTSTLLDGDGGRARVDGIVEEIHVSL >ORGLA08G0039300.1 pep chromosome:AGI1.1:8:3250716:3251264:-1 gene:ORGLA08G0039300 transcript:ORGLA08G0039300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVEQHHQQEEIAAAMTTPTPQQMMMRRRRARASSEYLGVRRRPWGRYAAEIRNPYTKERHWLGTFDTAEEAAVAYDLSAISISGAAAARTNFLYPDMHHHHPSPPQHALSPAVPPPPPPPPPSPLYDDDNADDDYQLQAAAAAEEVEAGDDESLTIATILQSFQYQQSVPPASSGSMFY >ORGLA08G0039200.1 pep chromosome:AGI1.1:8:3248802:3249365:1 gene:ORGLA08G0039200 transcript:ORGLA08G0039200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENTFQEGTAMASSSSSSLLAHPSSLLRQVVHGFAGYLAGLCRSLQNLRPAPAPKQDADDEFAVNNTAASSSEEVENVQMRTRAMPRSERPVLREGNGGKGGAHHNAGL >ORGLA08G0039100.1 pep chromosome:AGI1.1:8:3223924:3224439:1 gene:ORGLA08G0039100 transcript:ORGLA08G0039100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMALAGTCRRRPQLLRPSAARCLSFVFLSRPFPPAPAPLLQLIQRQRHRSSSSSISENGAAAPVTENLQAAAARRRRQSAGGRPAAPRGGRGGGGVRPTPPGNPREAQKGGGVIHAVAPPPAAPTSSKPKPEPPGYPREGGGGNGGVVDDVSPSSTDTSTSSSSSSSS >ORGLA08G0039000.1 pep chromosome:AGI1.1:8:3220468:3221013:1 gene:ORGLA08G0039000 transcript:ORGLA08G0039000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALASPTSPSFLPAHLLRPHAASSSSGLQLAGAKVLVRDAPPETGGGPHHNAILLRQPPVMLAAAAGIPEQGSGPHHNAVPQWKPRGGGELRLPASPPVILAAAGTTPERGNGPKTNAVLRRPTPPGGAGPREGRGGRGGVIHAVADSAPQRPGSPAEGAGGNGGAVHAAPAAAAAASS >ORGLA08G0038900.1 pep chromosome:AGI1.1:8:3219201:3219555:1 gene:ORGLA08G0038900 transcript:ORGLA08G0038900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPGRFSGVFLSSPHATSCSWSYTLTAAAHAGISSVPITTKNSSMLVVVSSSGGTHGQGKEAASAQEGRAGEVAGSGESETEACRADQGPLGGCK >ORGLA08G0038800.1 pep chromosome:AGI1.1:8:3216354:3216767:1 gene:ORGLA08G0038800 transcript:ORGLA08G0038800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAPFLIPAHLLQPHAASASSGLQLAGANVLLRDDAPPEGGRGPHHNAVLLRQPPVMLAAAAGTPEQGNGPKINAVLRRPTPPGGAGPREGSGGRGGVIHAIADSAPQRPGAPAEGAGGNGGDVHVAPAAATSS >ORGLA08G0038700.1 pep chromosome:AGI1.1:8:3213731:3214237:1 gene:ORGLA08G0038700 transcript:ORGLA08G0038700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTSPSSFLPAHLLRPHAASLAGANVLVRDAPPETGGGPHHNAVLRQPPVMLAAAAGTPEQGSGPHYNAVTQWKPRGGDQLRLPASPPVILAAASTPEQGNGPKTNAVLRRPTPPGGAGPREGSGGRGGVIHAVADSAPQRPGAPAEGAGGNGGAVHVAPAAAASS >ORGLA08G0038600.1 pep chromosome:AGI1.1:8:3208262:3208591:1 gene:ORGLA08G0038600 transcript:ORGLA08G0038600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSPSPTSPSFYVSSSPRHQPGLLLPLPSPSSSDRRRPTQLAVAAAMDTTPRQGGVLLEMRPRGGGGGAPRRPAPPAGPREGRGGVVVVHAVARDAPPETGSGPKIH >ORGLA08G0038500.1 pep chromosome:AGI1.1:8:3199603:3200022:1 gene:ORGLA08G0038500 transcript:ORGLA08G0038500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQYELNSDRDPNKSPTGLGGPSDASYIFVRGVTGGAWEYGEENARGGEGRAGAIDYFLYIDAPYFARVPSTSQRLLSLHSASSGNDL >ORGLA08G0038400.1 pep chromosome:AGI1.1:8:3198126:3198407:1 gene:ORGLA08G0038400 transcript:ORGLA08G0038400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSPASPPPSFLIGGAQAQLLRHREEMLLVLPSPPSGRQLPSEEEEAAPCAVNGQSTILAAADDSKPTRPGAPAEGSGGNGGAIHTAASS >ORGLA08G0038300.1 pep chromosome:AGI1.1:8:3193549:3194702:1 gene:ORGLA08G0038300 transcript:ORGLA08G0038300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLARLAGKQQQLLLQYALRRSPAPPGRRLPGVVPALPLLWSAPFLGGSRRLKSYNAAAGVAVGGDKAAADHHHRHAVGADLDVGELASEEHYSAAGTSSSSSREYHSVAQTVAAADGDFDGGEKMATRPAARGGGAKASVLPACGEPEQGGGPHYN >ORGLA08G0038200.1 pep chromosome:AGI1.1:8:3182955:3185691:1 gene:ORGLA08G0038200 transcript:ORGLA08G0038200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIFACGSISSAHGSCFRPACLAVDDLRLFYKINSVTCGAYSWRWCVKKLHMRTNRRQMGTTVRTNARWLFGGDGRSSSNARMERSESANEDILIFYFQLDLQTRIQYALNIEQFDVAKQLREKLTEIETVIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAALRDEIAKLETESLAVSAKALAYQNMKYAFRLGQKVRHKVHGYRAVICGMDHVCCESKSWMETANVENLSKGPNQPFYQLLVDVYADPELLVAYVAEENLAEAEIPEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKYDQPRYEASGDEDDDDGSTDS >ORGLA08G0038100.1 pep chromosome:AGI1.1:8:3169949:3171377:1 gene:ORGLA08G0038100 transcript:ORGLA08G0038100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPALARLDVSTVAAGAGKQQLVHARRRRRPAHAHRLPVPGAGSAIRLACSSPFLGGSNGSRSLKHNAAAGEKSAADHAAGALEDELIQKDNSGGDAAAGASPPSSCDNHSAPQQIEVTADTNDGDKEKTNGPARDVHIKAKLLGYNLEPGSGPHYNHLGPV >ORGLA08G0038000.1 pep chromosome:AGI1.1:8:3163222:3163584:-1 gene:ORGLA08G0038000 transcript:ORGLA08G0038000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGDAEEEKQQQSSSSSTFERLHGELVREAGELAASCGADVTVLAVPPARSSSFAGGGVTRFVGGGGGAAAVPRPEEVASMGPDEVVALDERLRSLRLLVMRRIKAEQEKAATKP >ORGLA08G0037900.1 pep chromosome:AGI1.1:8:3160217:3160651:-1 gene:ORGLA08G0037900 transcript:ORGLA08G0037900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGGGGGGESQQQLFERLHGELVREAEDVAAASFGADITVLAVSPRSGESRVSRFHGGGGGAGGEELERAVGVSTEEIARMGRDEVAALLERLRLLRMVVLRRMVVQRQRRRRLRRQRPAAPPRSIMVVQKRRRRRQIC >ORGLA08G0037800.1 pep chromosome:AGI1.1:8:3155066:3156007:-1 gene:ORGLA08G0037800 transcript:ORGLA08G0037800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFAPHPVVVPTAAMDGTSSVSARTASSLSSSSLDDGGRGRPPPAGSTLELPSRKHYRYPSRSARLFQKLRRSLPLLTLAPRCGRMQVGSASEVAAAAASGGGGGGAADSHLMSHVVSSFSGAARPMRRVTGTLFGHRKGRVALALQETPRCLPTLVIELAIQTNALLRELANPAGARIVLETERRAPSTDAAAGKHRRAPPLLDVAAWTMFCNGRKTGLAVRREATDDDLAVLETLRPVSMGAGVLPASNRSSSSSSQSPEKGAAAADDEVAYMRGCFDHFVGSRDSESLYMIAPQGGGTGPELAIFFVRL >ORGLA08G0037700.1 pep chromosome:AGI1.1:8:3150097:3151017:-1 gene:ORGLA08G0037700 transcript:ORGLA08G0037700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAQLKDKFCGLIGRITSCGRAAHKDAAHRDKVKGTSTVCVRRVQAPYQLTATNYH >ORGLA08G0037600.1 pep chromosome:AGI1.1:8:3134104:3137200:-1 gene:ORGLA08G0037600 transcript:ORGLA08G0037600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEKISQQAIGYSTTNPLFRVDTHSHQLYYPQRPLFKTVAADCLGKRDYTSGSKHDFARPEYFNGQNAIVSISVHQGFNQEDSLVLNRASLERGMFRTQHFKSYKALIENKEITKRLKHKENINFGKTPSKKGLVDSLDIDGLPYIGASLQSNDIIIGKVSDSGEDHSIKLLHTEKGIVEKVVLSATDDGTNSAFVILRQTRSPRIGDKFASMHGQKGVIGFLDSQENFPFTHQGIVPDIVINPHGFPTRQTPGQLLEAALGKGIALGGATRYATPFTSPSVEVITEQLHKAGFSRWGGESVINGRTGERAASPVFTGPTFYQRLHHMAEDKVKFRNTGPVHPLTRQPVEDRRRYGGVKFGEMERDCLLAHGAAANLHERLFLLSDVSRLHVCRRCQRTAVVVSRAVAANGGGGRKVRGPYCRFCRSAEEVVRVSVPYGAKVLYQELFSMGICLKFDTELI >ORGLA08G0037500.1 pep chromosome:AGI1.1:8:3128088:3129029:-1 gene:ORGLA08G0037500 transcript:ORGLA08G0037500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSRDVRGGAAKPPAVAAPAAESRRHSLRPSRTALVLTSLAFCRGRRDDAVHAYSSLPLEEPPAKGAHVSVDFDFRALPGEEDAKRGLKAALEDAAPARRCASFNASSPPPAAKEAEVRALPGFDQGIMSGLRGIVAAEPSPSPERENPTTPKASEEEAAAAAFDDMGTPAARDIPEVTGFVRARVDEFHEKIEKKKKAAKATDEEALDVAPPPPRRPERVTKASAVVVVYFTSLRGVRRTFEDGRAVRAILRGHRVRVDERDVSMHATFRAELRGLLGDGFAGPPPLPRVFVGNGRHDLGGADEVRALHE >ORGLA08G0037400.1 pep chromosome:AGI1.1:8:3122589:3124991:1 gene:ORGLA08G0037400 transcript:ORGLA08G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:I1QG23] MEVCEHAVVHGSAAVAAAIDLYTRCSLRLLPLADDEAGAAVLELDLRDHGLTFSWPCARLHEALLTEEVAGAQEARPCSPDRMASIARLLEEHEIPEAKVWLSAGLSAFLFLYTSILGCRPGKVTVSSDLPMGSGLGSSAAFCVSMSGALLTAAGMVAAVGGISSKGTGWELVGKDDLELVNQWAFQGEKIIHGKPSGIDNTVSTFGSMIKFKKGELTNLKSRNPIKMLITDTRVGRNTKALVAGVFERASRHSDAMASVFHAVNSISEEVSSLVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTLLSNLVLEKVIAELESQSFRCFIVEVGGQGFQVCQGGCSCFNGDVV >ORGLA08G0037300.1 pep chromosome:AGI1.1:8:3117455:3120017:-1 gene:ORGLA08G0037300 transcript:ORGLA08G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQPAASDPAPPPPPETEEQPAAAGGEEIAALDEQLAVADGGGDDGKAAAAAEGGGGGGKLVAETMRKYAAPRSSRYHGVTRLKWSGKFEAHLWDNSSQVEGRKRKGKHVYLGSYVTEENAARAHDLAALKYWGAGPNTKLNFNVSDYEKEIERMKTMSQDEFVVYIRRQSSCFSRGTSSYRGVTRRKDGRWQARIGRIGESRDTKDIYLGTFETEIEAAEAYDLAAIELRGAHAVTNFDISNYCEDGLKKLEASSEVPKLLEGPSKAAKLAGR >ORGLA08G0037200.1 pep chromosome:AGI1.1:8:3113649:3116459:1 gene:ORGLA08G0037200 transcript:ORGLA08G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKPPSCSTRLRSPATTAACFLPASLLLFLLLLLLRRPPMGSFPPTIPGGSVSSRRAELYGRMARDLDERGAAFLDGGETSQSLTLSELFDTRDGAVVPRLKAANPPVRANVLYLDPEFASVISYVLKKAVKEVFLPYFNQAIWFQNMSIYHFSMFHTSHHLEPIVATEDEIEAEVDAVKRVTDDVCPLKIILDQVVLTSTGVLLGLWQVESGTDPADIRSRLREALPRAPQKQLYDPVMLHTSLARILGHPKLPQEGNAQSLDHVKFFHDLVAQVNSKIRGFQATVKELWFVEEYDVLALALNGKMKVRRLQFGCKEGQGNGKI >ORGLA08G0037100.1 pep chromosome:AGI1.1:8:3107830:3111340:-1 gene:ORGLA08G0037100 transcript:ORGLA08G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKKPSRIEPFRHKVETDPRFFEKAWRKLDDAIREIYNHNASGLSFEELYRTAYNLVLHKHGPKLYDKLTENMEDHLQEMRVSIEAAQGGLFLVELQRKWDDHNKALQMIRDILMYMDRVFIPTNKKTPVFDLGLDLWRDTIVRSPKIHGRLLDTLLDLIHRERTGEVINRSLMRSTTKMLMDLGSSVYQDDFERPFLEVSASFYSGESQKFIECCSCGEYLKKAQQRLDEEAERVSQYMDAKTDEKITAVVVKEMLANHMQRLILMENSGLVNMLVEDKYEDLTMMYSLFQRVPDGHSTIKSVMNSHVKETGKDMVMDPERLKDPVDFVQRLLNEKDKYDSIVTTSFSNDKSFQNALNSSFEHFINLNNRCPEFISLYVDDKLRKGMKEANEEDVETVLDKVMMLFRYLQEKDLFEKYYKQHLAKRLLSGKAASDDSERSMLVKLKTECGYQFTSKLEGMFNDLKTSHDTTQRFYAGTPDLGDAPTISVQILTTGSWPTQPCNTCNLPPEILGVSEMFRGFYLGTHNGRRLTWQTNMGTADIKTVFGNGSKHELNVSTYQMCVLMLFNSADCLSYRDIEQTTAIPSADLKRCLQSLALVKGKNVLRKEPMSRDISDDDNFYVNDKFTSKLFKVKIGTVATQKESEPEKMETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVTEVTKQLQPRFMPNPVVIKKRVESLIEREFLERDKTDRKLYRYLA >ORGLA08G0037000.1 pep chromosome:AGI1.1:8:3102053:3102889:-1 gene:ORGLA08G0037000 transcript:ORGLA08G0037000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGVMGSLLPKLGELLKDEYDLQRGLREKIKSLSRELESVHAVLRKVGAVPLDQLDELVKLWARDVRELSYDMEDIVDMFLVRFNDNHESDDPWVLRRLRKKMSKLFKKAKDRREIAGAIQSINEKLQEVSTRRARYRVDSFVTKPAGPVSIDPRLQALYKRSTELTGVDGPMDRIINMLSPRDDIHLSDKKKIISIVGFGGLGKTTLAKVVYDKLKPDFDCGAFVPVGQNPDMKKVLRDILIDLDKQKYKHSIIMKLNERQLIDEIKDLVEKKRC >ORGLA08G0036900.1 pep chromosome:AGI1.1:8:3087676:3088736:-1 gene:ORGLA08G0036900 transcript:ORGLA08G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPSSFFPKVVVENLNAHERKDDDLRFDLAWMLQRNPCVKKFSVSIDCENVCLEEVEKAEAAARYAVDFHANRPTLELVKYGEDKMVLSGQHQQVCTPGCLSDSNP >ORGLA08G0036800.1 pep chromosome:AGI1.1:8:3075918:3076760:1 gene:ORGLA08G0036800 transcript:ORGLA08G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGVLGSLLPKLGELLKDEYDLQRGTREKIKSLSRELESVHAVLRIVGEVPSEQLDELVKLWARDVRELSYDMEDIVDTFLVRFNDGHEPDDPRVLRHLCKKMRKLFKKAKDRREIAGAIRRINEKLQEVATRRARYRVDSIVTKPAGLVSIDPRIQALYKRSTELIGVDGPMDKIINMLSPRNDIHLSDKKKIISIVGFGGLGKTTLAKAVYDKLKPDFDCGAFVPVGRNPDMKKVLRDILIDLDKQNYMHSNTTTTLDERQLINGIKDLVEKKRC >ORGLA08G0036700.1 pep chromosome:AGI1.1:8:3067722:3070981:-1 gene:ORGLA08G0036700 transcript:ORGLA08G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSCRRCVRRRWGLGGCSSGGACGRRQCALSRLQKIIRPKNSFGVQYVKFDRPLIILWDEQQPSSDQKKMDKLIKIVFGTVVAVGIAAVFSPQSNTSSLVSRALAISTYTSVIISMAATMTSCGSLWSVTTLYLVSLNWRQVVAVPVALVIFFAAGRWPFVSSVVVVHHPDHDENAIKAVIFRRCDLFIGTSLVGVFFGTSFNDYMTKASRVPGAVVYIWISIYLVFTIGLFLMASIGTGLEALTDDYARKLYYVAAALLTVAFLLVVVVNCLRVSELPTS >ORGLA08G0036600.1 pep chromosome:AGI1.1:8:3043906:3045909:1 gene:ORGLA08G0036600 transcript:ORGLA08G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G14310) TAIR;Acc:AT1G14310] MPAAAARGPLLHLRRNPAPRLAAARRSFSSSGMRCVSAAAAAGGGGRERRSSPAYGGLLLDAGGTLLQLARPVAQTYASLGRRYGMSKSEESIKEGFKRAFSAPWPKTLRYQGDGRPFWRFVVAEATECTNNDYFEEVYEYYAHGDAWHLPAGAYEALRDLKDAGVKLAVVSNFDTRLRKLLKDLHVSDMFDAIVVSSEVGHEKPAPEIFKIALDQIGVEASKAVHVGDDETADKAGANAIGLECWLWGQDVRTFSEIRDQILTKFPQ >ORGLA08G0036500.1 pep chromosome:AGI1.1:8:3034765:3042516:-1 gene:ORGLA08G0036500 transcript:ORGLA08G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRSLQHRHRYTYTSLVFPKHYLEELARVPTEVSSSGFFSQLNNLISLTSTYAQVIAVKDLASAFVQFLSSPAIPDDAVLVATKLYLEILFLENSLPLHRTIISVLAKCKKHCSLISGCFATLCEEYGGSGIKAKKRFLVSRAALSLIGYPKLGFLDESVKKCAEVMALDVVAGLDGVISDIVDGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTELDKASTVFKHVVRTILTVLKSSAFSRDCLVASGVSFCAAIQVFMSSEDICWFLSQGLFSICAEQKDIKESAGHEVLSDFNLCEEIRDISILSRLCLLRGILTAIPRTVLNMRQLHSNGFLWTILYNGILPELCKHCENPIDSHFNFHALTVTQICLQQIKTSVLADFTDFSGDYEPFSRDVINRILRIIWSNLEDPLSQTVKQVHLIFDLLLDIESCIPSGDPEENSKLFLFNIASDLLRLGPRCKGRYIPLASLTKRLGAKSLLKLKSNLLLETAYAYMDDDVCCAATSFLKCFLETLRDECWKDDGIEQGYDAFRFLCLPPLLQGLVSGNSKLRSNLNTYALPAAIEVDADSIFAMLGFINVGPSAKAIELDAALKNDQCIAALVSLLKVSRNLALVEGDIDLDPDVLSQQVANKCDAVISIRGINVTVPVKWFVLALTHNEESLRIDAAESLFLNPKTSSLPSALELSLLKQAVPLNMRCSSTAFQMKWTSLFRKFFARVRTALDRQVKQGLWLPSSLSGDKDSSSVDTVKATTIKRAEDLFQFMKWLSSFLFNSCYPSGPYERRTIAMELILTLLDVWPICRSEGKNDLYPYSDSITLPDSTISFVGSIIDSWDRLRENSFRILLQFPTPLPGISSGTSIDSVIRWAKKLVLSPRVRESDAELTSQNPVAQYIASLIQWLCAVVEEGEKDLSEACKKSFVHGVLLTLRYTFDELDWNTEVVQSCIAEMRCLVEKLLQLIMRVTSLALWVVSSDAWYMPYDVDDMIDDDSFLSDIIDEDQPGTASEIAETNVKSGHNGKPAEHVVMVGCWLAMKEVSLLFGTIIRKIPLPGCSHSNSSHGDLAENTEETGMTGDILDVEQLEKMGNHFLQVLLKMKHNGAIDKTRAGLTALCNRLLCSNDSRLCKMTESWMELLMDRTVAKGQTVDDLLRRSAGIPAAFIALFLAEPEGTPKKLLPRALEWLIEFAKTSLANFQNDSNQKLDTEKDFLGEPCESQSETTAGVHSNGNLSKSRNEGVVPTVHVFNVLRAAFNDANLATDTSGFCAEATIVAIHAFSSPYWEVRNAACLAYTALVRRMVGFLNVHKRESARRSLTGLEFFHRYPALHPFLLSELNVATGLLADGISSNLGSQIAKAIHPSLCPILILLSRLKPSPISRGTDDSLDPFLLLPFIQRCATQSNYRVRVLASRALIGLVSNERLQHVVGDILHNLPCGSHEVTAHRALCLSADMGNGNLTLPAKSFSFNSIHGLLLQLSSLLDNNFRALTDSTKKDQILSQLIEVLSKCSWLGSVKLCACPVVSTSYLRVLDLMLDVARTGKSGHTDAIQILLSELSYQCLNNGTSTHYAFHDPTQIELRQQAAASYFSCVGLPKSHDETNDEDVQLQILDMTSSVPEMPRDVSLYELHKEITSCLVDPAYDVRITALKRILQLAKSARSGDSKKFLHQWAKSNLQPVILKRIFEEEHPKCLYYNLKIIFSWNMECQFNSEEDSRTFLSFWDRLVHLNSTVSHAKTRETILCCMGMCMRQFAKMLRGLLDSKTHEHSISFVRIDEGKNLSTAILSASSFVNLVKNLSAPSETVNARRAAAEAIIASGQLEEANLFAPSLSNAYLPSEHDENHIEEKCSNATVSELINLYSCKILDLWFICIQLLEDEDTYLRQKLADNVQKIIAKGSANNLCDDSTPLQVDRVIELSFEYLTSLLGHWLKYTEYLLRLVLDTANTLNSRGDLIRQIFDKEIDNHHEEKLLICQICCSSIQKLLQSKYLVETGAEVELFLQNWRESFLHQLISLTSSFLEKEGKTDWIGGIGNHKDVFISVYANLLGLYALAQSQSLEQLKDRHTEYLKNFSDLDGVITPFLKNPLISNLYSLVKESHETFNSPKKQWDQVGSSATESFDPYFLIR >ORGLA08G0036400.1 pep chromosome:AGI1.1:8:3030852:3033807:1 gene:ORGLA08G0036400 transcript:ORGLA08G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGRRKPISLLELCIRTTMDNLRYVDNVDGVEMDLLQRILPHCKMEDLTRIENNTEMDLTPVTDKLWKLFYTRQFGEENANQVVKRMSMSGARYKWKDLFDAKTKKQKEYEEKMGQRLAKKYEAAKAEKQSKQIKICTKVPPSSKRSFFGGSGPSNLSSYKSPILKKARMEVNSQAKMKAAIQRNTIARTSQHIRMNSNHVQPMKTTTIHRPNSTITITKPTGPNKPLQSDRQTGLNRPFESNKPTGQNRPFQSNKPTGQNRPLQSNKPTDLNRPFQSNRPKF >ORGLA08G0036300.1 pep chromosome:AGI1.1:8:3022457:3023972:-1 gene:ORGLA08G0036300 transcript:ORGLA08G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIKLGDMSSFVRTTDPDDFGLRFNEEEANNCTKANALILNTFDELEADVLAALRAEYARIYTIGPLGTLLNHAADAIGGGLSLWKQDTECLAWLDTQQPRSAVENLVPGGPAALPPEFVVETDGRRCLATWCSQEQVLRHPAVGCFLTHSGWNSKCESVASGVPMVCWPVFADQYINRKYACESWDVGLRLDEEVRREQVTAQVKQVMESEEMRQDAARWKAKAEQAARLGGSSYKNLQSMVEVIRSFASDSKKAEA >ORGLA08G0036200.1 pep chromosome:AGI1.1:8:3017758:3019235:1 gene:ORGLA08G0036200 transcript:ORGLA08G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKCAIDLGIPGAIHRNGGSASLPDLLATLPIAENKRPFLHRLMRFLTVSGIFTSADDGVYQLTRVSRLLVDSIPLNLLPSFLCLGEWFRDGGDTTLFAMAHGTDVWGAMSLDRALAAGFSVSMAADSKFLAEIAIRRHAEAFMNVSSLVDVGGGDGSMARAIVKAFPHIKCLVLDLPHVVRGIPADGFVEYVAGDMMDFVPPANVVLLKLVLHDWSDEDCVRILSRCREAISNREGGKVIIIDTVIGSQSQQIYEAQLFLDLCMMTVTTGKEREEKEWHMIFLKAGFTQYKILPILRIKSLIEVYP >ORGLA08G0036100.1 pep chromosome:AGI1.1:8:3008201:3008679:1 gene:ORGLA08G0036100 transcript:ORGLA08G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNGGTRESSDQVALLRPNKCPTASNXXXVGPSNDSGVLSFANCXHRVGKAGQSLQRGNVLTRRSEGSKIYGNDKFV >ORGLA08G0036000.1 pep chromosome:AGI1.1:8:3004763:3006577:-1 gene:ORGLA08G0036000 transcript:ORGLA08G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLPPVLLSFLLFLLPSNNREEEGQGAGASVVERPRRRRPSVFPLAAHPGRSVEDIGRKEDGRNGNNKSSAPAGYGGAGGGWWKWLKERDGGKIDGREANRTLAPRIKWLREYNKESGRLKRIFYGLWEYLGGDPKERYWFWGK >ORGLA08G0035900.1 pep chromosome:AGI1.1:8:3003958:3004293:1 gene:ORGLA08G0035900 transcript:ORGLA08G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFCRHDRPPRLVTVCLQLHDQLDLASHYPGPSSAPPLAACLGQTDMSTILHTSSSEAPHKIRRLLWYRHGMTVEADAHVGNGLLPFKSREVFGVLLLSHRPLRLPVAW >ORGLA08G0035800.1 pep chromosome:AGI1.1:8:2999384:2999607:-1 gene:ORGLA08G0035800 transcript:ORGLA08G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGNTVTCTLFRDEGYNDLTASLQQTPLFREVYIKADLVQKKKNRKRKSANL >ORGLA08G0035700.1 pep chromosome:AGI1.1:8:2995737:2997875:1 gene:ORGLA08G0035700 transcript:ORGLA08G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSLLLLPPSLSLPSLFLSRGAIGGQGQRRRQRARAGAAXGKQRRQRAAETWSWRGSPRAAETQEWHDAVDDDGSAQPLADESLLTNGHLDTTIIDWIPGMPPISLGDISSFVRTTDADEFGLRFNEDEANNCTMAGALVLNTFDGLEADVLAALRAEYPRIFTVGPLGNLLLNAAADDVAGLSLWKQDTECLAWLDAQEMGAVVYVNFGSLTVLTPQQLAEFAWGLAATGRPFLWVIRENLVVPGDGGGDALLPTGFAAATEGRRCVATWCPQDRVLRHRAVGCFVTHSGWNSTCEGVAAGVPMVCWPVFADQYTNCKYACEAWGVGVRLDAEVRREQVAGAEEMRRAAARWKAQAEAAARRGGSSYENLQSMVEVINSFSSKA >ORGLA08G0035600.1 pep chromosome:AGI1.1:8:2986338:2986610:-1 gene:ORGLA08G0035600 transcript:ORGLA08G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLNSWGLRAVALLALPQDPACLAPTLEQLLDITKSIQPNVGGNWIWCPPTSRRSDLVPGSSAPRSRWPWKAEEAIGAELGRWRRGLAR >ORGLA08G0035500.1 pep chromosome:AGI1.1:8:2979068:2982202:1 gene:ORGLA08G0035500 transcript:ORGLA08G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERAEVLKEEVRCMVKGSKEVSEILDLVLTLQRLGLDSYYKTELDDLLYSVYNSDFEDKDLNLVSLRFYLLRKNGYDVSSDIFLRFKDKEGCFAADEVRSLLGLYNAAHVRTHGDKVLDGAIAFTKSHLEAKLEHLKSPLKEEVSSALETPLFRRVRILETRNYIPIYEKISGRNETILEFAKLNFNLLQLLYCEELKKITLWWKELNIQSNLSFIRDRIVEMHFWMTGVCPEFNYSLSRIILTKMMAYITIIDDIFDTHGTTEESMMLAEAIYKCNESAIVRLPEYMKCFYLFLLKTFDLIEEELGTSNSYRVFYLKELASIYAIKLLKRLVQGYSQEIKWRDEHYVPKTVDEHLEVSRATVGAFEIACASFVREQKGEHHVSTVQCYMFQHGTTMHDACVKIKELIEDSWKDIVKEYLTLPTEQPKIVAETIVDLARTADYMYKKTDSYTFANTIKDMVASLYVKPI >ORGLA08G0035400.1 pep chromosome:AGI1.1:8:2965500:2968119:1 gene:ORGLA08G0035400 transcript:ORGLA08G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKNGPTVNLKAFLEKAAAKKRTQEATGPSQPSCISPSQPSCIESQMQVVIYQGQPENESDIGASSPTTVPLDVENDDIGGGPNDESSSDEDNNGGVYDIEHDPGLRTPISQYDVNDQDSVRREYIALGPCQPKMKKGDFPXHECGGMRRFLPKWFSEFKWLEYSVHRDAAYCFVCYLFKDSTNNHGGDAFVNGGFRNWNIKSRFSKHAGAVNSAHCEAEEKYNLFMQPKTSIRESFGSNSAEFKVQYLARLTWSLKCIRYLLRQGLAFRGHDESKDSNNKGNFRELVQWLTGNFEEVNKVVLGNAPTGCQMIDHKIQKQLIGSCAHETTKLVIEELHDECFAILADESSDAYQQEQLALCLRFVNTTGQPVERFLGLVHVEDTTSLTLKEAIKSLLIKYQLPLSRYVHCFVHQLQLTLVAVAKENTDCAWFFGQLAYLLNVLGMSCKKIRMLRIAQAEYMIEALKLGEIESGXGLNQEMGLARPGDTRWGSHYKTVMHVMLLYPSIKKVLFKVGKECNGAEAIGAQTMLQVFQSFEFVFLLHMMNEIFGYTSDFCNALQRREQDIVNAMDLLEFTKAELDVLREDCGWKEFLGKVTSFCVKHKVKVVDMDGKYKPIQRSKKFFKDAINYHRFHADMFLGVIDRQLQELNNRFDEVNTELLRCMASFSPAKSFSAFNVDNLVKLAKFYPSDFDVEEMNQLPFQLNRYISDVVLPVATAGVERVFSSMNYIKNKLRSKMGQEYLNDCLVTFIERDFFLQVKDKDIINHFQNIKK >ORGLA08G0035300.1 pep chromosome:AGI1.1:8:2955118:2960158:1 gene:ORGLA08G0035300 transcript:ORGLA08G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPAANFSNEDDERKAPTSFHPSLWGDFFISYQPPTAPKHAYMKERAEVLKEEVRKVVKGSNEVPEILDLVITLQRLGLDSYYKAEIDELFCTVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDIFQHFKDKEGSFVVDDTRSLLSLYNAAYMRTHGETVLDEAVVFTSNRLRSELKHLKSPVADEVSLALDTPLFRRVRIIETRNYIPIYESATTRNEAILEFAKLNFNLLQLIYCEELKTITRWWKELNVESNLSFIRDRIVEMHFWMTGACSEPHYSLSRIILTKMTAFITILDDIFDTYGTTEESMMLAKAIYMCNESATVLLPKYMKDFYLYYLKTFDSFEEALGPNKSYRVFYLKELREQAGDHYASTIQCYMLEHGTTIHEACIGIKELIEDSWKDMMKEYLAPTNLQPKIVARTVIDFARTGDYIYKQADSFTFSHTIKDMIASLYVESYNI >ORGLA08G0035200.1 pep chromosome:AGI1.1:8:2948860:2952322:-1 gene:ORGLA08G0035200 transcript:ORGLA08G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPHGGGDRFGCELVGLSPSLLYEWRRPLPTRAADLASGFFPLPPPLFSRWPRDHQRQGGGVLDAIARWKPELIRISASYLVPKSVVSIIYYLRFTXXXXXXXXXXXXXXXXXXXPQGSCDSRVRGSRARRETGAPRPFALAPPLVSGVVGHLECAINAVGVTRPGCHHVVGGLGNGTDLLPLRLTPRAPRGLRKGDLR >ORGLA08G0035100.1 pep chromosome:AGI1.1:8:2942971:2947845:1 gene:ORGLA08G0035100 transcript:ORGLA08G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPAAFSNEGDEQPQRRAPTSFHPSLWGNFFLSYQPPTAPKHAYMKERVQVVKEEVRKVVKGSSEVPEILDLVIKLQRLGLDSYYETEINDLLCIVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDMFQHFKDKEGSFVADDVRSLLSLYNAAYLRTHGEKVLDEAIVFTTNRLRSELEHLKSPAADEVSLALNTPLFRRVRILEIRNYIPIYESATTRNESILEFAKLNFNLLQLIYCEELKSITGWWKELNVESNLSFIRDRIVEMHFWMTGACSEPHYSLSRIILTKMIAFITILDDIFDTYATTEESMMLAKAIYMCNETATVLLPKYMKDFYLYYLKTFDSFEEALCPNKSYRVCYLRELFKRLVQGFSQEIKWRDDHYIPKTIEEHLELSRKTVGAFELACASFVGMGDLVTKETLDCLLTYPELLKSFTTCVRLSNDIASTKREQAGDHHHASTIQSYMLQHGATAHEACVGIKELIEDSWKDMMKEYLTPTDLQPKIVARTVIDFARTGDYMYKQVYSFTISHTIKDMIASLYMEPYNI >ORGLA08G0035000.1 pep chromosome:AGI1.1:8:2933871:2934433:-1 gene:ORGLA08G0035000 transcript:ORGLA08G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSMVLASVILLIMAATAHGLRLDMGLHAALNNEGMLNSKWQSTANRPIDTRRASNDRRGPGRSRTRPPKMSNPHDMDPRFSEDYSGPGGHSPNHHRTTPCGPC >ORGLA08G0034900.1 pep chromosome:AGI1.1:8:2931343:2932987:1 gene:ORGLA08G0034900 transcript:ORGLA08G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chlororespiratory reduction 6 [Source:Projected from Arabidopsis thaliana (AT2G47910) TAIR;Acc:AT2G47910] MAATALQLPVASSQRLTLRRFPGSGSLGRYSGLRWPVAAQARRAAEEGFRLRVAFNPSGNFDLSLSTDQDDAPQVEPPPPPTEGRFEIVINTDIIRTLDLSPVHEVLGDLNSLTPAQTRNLLDRTVGFTINYEREDPYDVRELSEFPDIRLWFVRLDACYPWFPVVLDWRAGELARYAAMLAPHQMSMRLGVVFNPEALELFVMKKVFIVYSWLKQQEHPKPGLKTADMARMLGFGIGDELFDLIEKYPAGPS >ORGLA08G0034800.1 pep chromosome:AGI1.1:8:2921194:2928665:-1 gene:ORGLA08G0034800 transcript:ORGLA08G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGEQMARLQEQARFVGVAAGNAGVGFDEGRWLSRVRESMAERAAEELGAAAVKVFDVPRVLRSTRPEAYAPHHFALGPYHCRRPELRDMERYKLAAAKRAEKLFAAGKRFDDLVRRFSDIHDKILAPYHRLLELNEQTLAWMMAIDTCFLLDFLESYHRDEATDMVSSAANWINAVVRDAIMLENQIPLFLFAAALELRHGTDQAQAASAAADALRGVLGRFITEVSPIKTTASAALAVAGDDLARHAHLLELLYHFLVPTDAVAEAVGNEPPPLVPEDFSAVDVFDQMQKEIPDYDKVKQACVQVSSLDMAPIRFIKKNLISRPMGLAASLPGKLMRRVPLLSAVAPLVGKLWSSSSSAADMEARLKGVNLGTIINSPLAQELMIPSVAQLAACGVRFAPAPEGIAGIEFDAAAATLKLPVITVDGNTETVLRNLVAYEAVAVRGPLVLARYTELMNGIIDTAKDVKILRQSGVVVNRMKSDGEAAEMWNGMCRATRLSRVPRLDGVIRAVNEHRSRRAAVRLRKMMKRYVFRSWRVLTLLAAVVLLLMTALQTFCSVYQCNRWFGSMLQMPQPGVQPSGTMVAAGLGDEATGSIASSGRKSSVRVRTDQLAVWATFGIKEVAVVEVLQRGNLSMLGFTAIREARTSSDEWAWQEVVG >ORGLA08G0034700.1 pep chromosome:AGI1.1:8:2917408:2918238:-1 gene:ORGLA08G0034700 transcript:ORGLA08G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRLGRGRKAARRRWWWEDGRMSAATARRTWSPPSTAAMRTSEFAIADLLASSRSPPHAAATEGRDGDAAPRCSAHRKEPGCVVGEHAHSLLLLRAPRPPPPRDDDTTAAVAWGSATRKADGRRPPTFGWRVGGGGERAPAPDESLTRRQTEGDAGVGVVDLAIGPSAAWRGGASVAARPNLASPPRREFIGGKRVHHSKPKQVVSSSSSVWLPLWALGRGPHALFTLQRASRSIEDTRLPQRRRIMRASSPLILQSKDLVRSLTICLQMIIHY >ORGLA08G0034600.1 pep chromosome:AGI1.1:8:2909792:2914201:1 gene:ORGLA08G0034600 transcript:ORGLA08G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding cassette 14 [Source:Projected from Arabidopsis thaliana (AT1G31770) TAIR;Acc:AT1G31770] MPPQELHGDDHGRHHHHQTPAGGSGGGAGDGHHLDFSSPPSTTSSSSSSSSTNSPAATAASSSSTNTSGAAIVHPTTLSPPSVASGRRSAANSYPLVLKFEEVVYKVKIGKPAAGWCARMSSAIGGGGEGRRKKGAAAVAKEKTIISGMSGVVRPGEMLAMLGPSGSGKTTLLTALGGRHGGGGGGGGGRGMLSGKITYNGQPFSGAVKRRTGFVTQHDVLYPHLTVAETLWYTALLRLPRALGAGEKRAQAEEVMLELGLGKVAHSMIGGVRGVRGLSGGERKRVSIGLEMLVDPSLLLLDEPTSGLDSTTAARIVGTLRRMAAGGGRTVVVTIHQPSSRLYHMFDKVLLLSSDGCPIYYGLAADALSYFASVGFASPLSLNPADLMLDLANGIAPQIGGGGGDGDVGGGAAAAAANGGGSEAELKEVRGKLAAAYERHIAPAVKLDICAREGGGGGGQGAAAAAAVAGRKRRGGGKAAAEQWTNGWWAQFTVLLRRGVKERRYESFNKLRIFQVLSVASLAGLLWWRTPAAHLQDRTALIFFFSVFWGFFPLYNAVFTFPLERPMLVKERSSGMYRLSSYFAARTAADLPMELALPTAFVVILYWMGGLDPRPGPFLLSLLVVLYSVLVAQSLGLAIGAVLMDVKQGTTLASVITMVFLIAGGYYVQHIPPFVGWLRWLNYSFYCYRLLIGIQFGDGAAHYDCGGGGARCLVADFPAIKAVGLNNHWVDVCVMALLLVGYRVIAYLALDRLKPR >ORGLA08G0034500.1 pep chromosome:AGI1.1:8:2877227:2877739:1 gene:ORGLA08G0034500 transcript:ORGLA08G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSACSTPPNPPRWPTVSLAEIEIASVLCVVCCRWHGACGRTDRPLCWPVIGHPRARGSMPSAFTNSQMLHPLSLGRLNVDQLVSSPFLIYNRSEPLHFKSIHDKCFG >ORGLA08G0034400.1 pep chromosome:AGI1.1:8:2872813:2874180:1 gene:ORGLA08G0034400 transcript:ORGLA08G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYHFGDVVRLGTLCRNSKEYIPIAIFSGVNHHLQPVVFGCALIVDDSEASFAWLFEKWLEAMPVGPPVSLVLEFNQEMAAAATKILPDTHCTFCEKHILGTVREELGSLYPEPELDHFITDLRKCIDCCRLEESFESCWDSVIRKYGFRNNELLQSLYEIRRQWAPAYTRKVFCARNLLPQSCQNLENVIEKHFSSKTQLWVAVQQLGQAVCNFYEKETQADYLTTFQMATVRTASPLEKQASSIFTRSIFEKFQDQFAESFGYHADRLEDDMLHKYRVTVSEGDEEAHTVSFNPEKKTVGCSCCLFESCGILCRHALRVFIIEGVRALPKAYILKRWTKHAKSIATVDDYIDLRGCRDDPSTTMYNDLHCDAIKCAKEGSASSELYSVAKEALHKALDEVVTLRKIRDQQNLQSCKRSIKKSAKGKDSDHSTIISSTRSASKNSLLEIDDIT >ORGLA08G0034300.1 pep chromosome:AGI1.1:8:2859442:2861709:1 gene:ORGLA08G0034300 transcript:ORGLA08G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRGTSRGYRVGMEVFDDVAFARLRSHVYGSYLHADEDGRSVYHGSLRVGASQHNAVWAVEEFLVEGEDEEVQEEAPVRYLLLRSAYGRYLGATDAAPFEAARNAAARDAAANSDVRQPHAREGCCGGCCCCRLPFGLVEATQRDRDEEEPEVDAIMWLATRCGDQDVQEDRDARGVVLLRDRSGRYLRCNKSILACRRSISVDANFEDEDTLLWEVVRVPPSGDMPELPIATQPGFFVRACFPPPPREIQFVDEADLDNISEGENWATVEIRGRSVRLLREKIAKRVGYDDFTMCVSAGRHGQFTPLLIDLPRRRETLQIVLVRPNTESYDQLIFPNPNALPSAEATDEDNATIE >ORGLA08G0034200.1 pep chromosome:AGI1.1:8:2845164:2846150:1 gene:ORGLA08G0034200 transcript:ORGLA08G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFFLPRPTPVPSVASAADAVEYASLPCPAMTFYPPFSKLFANQKMEFLLLGGNHSNAVVAVDQTXRTVLYDPGEHAVRTMPALPYQVRLPTTSVTVGDDLYILDMPRHDDDDDDGDGCFYGLIYEDGLNEDWRCRALPPPPPPLSDLHVHSYAVVGDTEIWMSTHGGGIYCFDTVSHAWSTVATGWTLPFTGLAEYCHEHGLWFGFSRSNRDMRRNFVLSALDLDGGLKLPVLHRLPLEFTPPDALNLESSYLVNLGHGKFCIARFFHTDEDHRDGEELFAVLTAVEVECCDDDDDAGGANGGRLRMLKHRSEMYKLTSEMMYWVL >ORGLA08G0034100.1 pep chromosome:AGI1.1:8:2834849:2836098:1 gene:ORGLA08G0034100 transcript:ORGLA08G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAVADWSALPDDVVITVMGYLADPDDLVRSGAVCSTWRAAYATFRRLRLPSTTARPPWLLYSCDAYGPAAAALYCPATGKSLRVPLPAALLDGRPVIGASQGWLVTVDEAPNLHLVLVNPLTGAMATLPPITSLHNVERFTSRKGKTRYRVYDDMAYNEASLVYSPAQAREWAYHQVVLSCSPAEGSACVALLLHRPDGDERWTPVAYPGQACSTACRHAIYDDADGLFYTLRFDGSIYAIDVPRAASASSSPPPPPATREVMRGVTDLDNGSKFDYADGDDVEDEEAGLFTRQLQIFKVDGGEQKLVEASAASLEDHVLFLGYEFSACFPAEHFPALKPGCAYLADDHELVSMRKHCRRDIGRWDMKRGQMERLSGEDDVAAPSQPWLNWPTPV >ORGLA08G0034000.1 pep chromosome:AGI1.1:8:2830083:2832477:1 gene:ORGLA08G0034000 transcript:ORGLA08G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKKEEEEEKAAAAEGADLLCSLLEQGRLASPARPPAAQPSDHPMIERDIWNPTGAPAGIRALVWFANTVALLLLAFFVVPLVPRCNSIEGVFAIVFCLVILLGLPVMGHCTLKPKRDPRRNEG >ORGLA08G0033900.1 pep chromosome:AGI1.1:8:2828498:2829092:1 gene:ORGLA08G0033900 transcript:ORGLA08G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding IITIHNYIYIYIYNINVYTQADEEIWNKRQIGYVYILSTSLAVLFLAQPFLPAGYDGWMLAAFASVWGLGNVGLPCGMFGERIGKSFSRHVGHILYMTFSALVIYGIYLLAVHADPTHSASVPALALPSLGLTWEGVFGLIGVLVSFGHLFFWVKCCYTGVDRDREA >ORGLA08G0033800.1 pep chromosome:AGI1.1:8:2823853:2825813:1 gene:ORGLA08G0033800 transcript:ORGLA08G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRLASVAVSPSSPRHATPRHASPRHAEKPRSSKPLATANASPVYHPRRAAMQVFHGVQFVRLRNLWEETYITADEDGRSVYHYDPGRRPSHEAIWAVQLAVAGEPPTQYVLLRGAYGRYLGAPDAVERPWPLSCFCPAPVVGQRDFDQPVVDAIMWRAVRRTGHVVCLHDKSGRYLRGKLMSTLVCGGRPSLTVGDGRLSDDEKELRWEVRPVLPSPGRPELPIATEADLAELFVKICFPPRRREIQFVAPDGDGNIVWDSFQYQGRSVQLLRNELENRVGYAITVCVRAGRHGRLTPLLINLPHSRETLHIVSLRRNSEADHRLTFPDPDAS >ORGLA08G0033700.1 pep chromosome:AGI1.1:8:2820791:2822612:1 gene:ORGLA08G0033700 transcript:ORGLA08G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQGVQFARLRNWWEETYVTADEDGRSVYHYAPDRHRPAHEAIWAVQMVLAGAPATQYVLLRGAYGRYLGAPDAVVRRWPLSYCWPAPVVGQRDFDQPEVDAIMWRAVRRADHVLCLHDKSGRYLRGKLGTLVLGGRPRLTVGDGRLNDDEKALRWEVLPVLPIPGRPELPISIVPEADLVGRLVKACFLPLRREIQFVAADDDGNIREGQEVWDSFQYEGWSVQLLRNELEDRVGYAITVCVRAGRHGQHSPLLINLPHSRETLHIVVLRRNSEADNQLTFPDPKASSRRRYRHQRRAIIQ >ORGLA08G0033600.1 pep chromosome:AGI1.1:8:2816341:2817630:-1 gene:ORGLA08G0033600 transcript:ORGLA08G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAVADWSALPEDIIITVMGCLSVLGDLVRSGAVCSTWRDAYATFRRLHLPSTKAQPPWLLYACDAHGPAAAALYCPATGNSLRVPLPTALLDGRPVIGASQGWLVTVDEVPNLHLINPLTGATAALPPITTLHNIERFTSRKGKTRYRVYDDMGYSEASIVYSPAKARERVYHQVVLSRSPAEGSACVALLLHRPDGDVSFARLGDERWTPVAYPGQDCSTGCRHAIYDDADGLFYTLHYDGSVYAIDVPRAASASSPPATREVMRSVTNADNGSKYLVRVPCSGDLLQVWRFVDYDDGDEVEEDEDAEDLPLSTKHLQIFKVDGGEQKLVEASAASLEDHVLFLGHGFSACFPAEHFPALKPGCAYLADDHEQVSMRKHCRRDIGRWDMKRGQMERLSGEDDVAAPSQPWLNWPTPVWITPTFY >ORGLA08G0033500.1 pep chromosome:AGI1.1:8:2801601:2808596:-1 gene:ORGLA08G0033500 transcript:ORGLA08G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSWEGYRSTAAAAGMEQRRASARSATVPFLPMRSREPAEPMEWTSCAKQRRDSENYAWSTPARAACHAPVATSDSIKEFLKGSSSSSASRGMAPSVTLRTFMDSTRTRKIAAKAAEATSAVKKNCETMKTESINKLTSEALRIWKSGSYLHADEDGRSVYIGSLPRAGGDSRHGTVWAVEPPIDAAAPLPQYARLRGAYGRYLGTPDSYGSPLPFLPVDAAQRDRDRVEMDAIMWQPVACSGSDVVGGRDARGVVLLRDRYGRYLRGSNNLLAPRRSVPVKPYVVNEHMFRWEVVRVPLSQARPELPIAAQSGFVAACFPPLLRVIEFVGEDDLDNIGEGEIWTTVETRGRSVRLLREKIAKLVGYDDFTMCVSAGRHGQFTPLLIDANFEDEDTLLWEVVRVPPSEDMPELPIATQPGFFVRVCFPQPLREIQFVDEADLDNISEGENWATVQIRGRSVRLLREKIAELVGYDDFTMCVSAGRHGQFTPLLIDLPRRRETLQIVLVRPNTESYDQLIFPNPNALPSAEATDEDDPTIE >ORGLA08G0033400.1 pep chromosome:AGI1.1:8:2791539:2792934:1 gene:ORGLA08G0033400 transcript:ORGLA08G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1005) [Source:Projected from Arabidopsis thaliana (AT5G17640) TAIR;Acc:AT5G17640] MDPQIFVRLSVGQLGLKLPGANARKAARSFHCEIRLRGFPVQIAPVPLINYSEFNLDPHTNAAVFSLDESELKALSAPGCFGAHGSYLEVAVYVGRRGGHCGIVTGMKRLVGVVRMDIGPEWRDGKPVMLHHGLVGIGKGEAKPELHLRVKMEADPRYIFEFDDEVALNPQVVQLHGRNRQPIFSCKFIRDRRGSHSDQLYWSSSGGEEKEAEMMRRRERKGWKVVIHDLSGSAVAAAFMATPFVAASGCDTVARSNPGAWLIARAGATAPGSTSSSAAVESWQPWGRLEAWRDQGGAARQDTVCLRLRLLPDGQDACMLVAETPLRSDRGGEFAIDMDRQAPAAAAGAEHCAASLGEACAGGGFVMSCRVEGESRSSRPLVQLAMRHVTCMEDAAMFVALAAAVDLSVKACRPFRRKTTATKKTASASSSPDPLELDT >ORGLA08G0033300.1 pep chromosome:AGI1.1:8:2788879:2789882:-1 gene:ORGLA08G0033300 transcript:ORGLA08G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVVHGVQSGDSKPSSPPASPPPAQARHATPRRGQGQAHKAAAAAAAAKASLHLTMLSAAHGGGVHHDHRGDYHPDQRYGNGGEEARQQQHWWRAPRDQLTHHPRGRRAHRSGPLEWTAAVVFTVLAVVVLVAAVAVLVVVLLLQPRAPYLAIRSARLDDLVYDQQGALEAALSLGVAAENGNARSGATFSDFELRLVFHRVVIAILRADPFDVPPKGSLPLGYVVRSSGIPLDSSGMAAMEAALADGVVPFTVSGQASTRWKVGGLVPFKYWTRLRCDLRFFWPNGTAVDLSCSSKPKSKSS >ORGLA08G0033200.1 pep chromosome:AGI1.1:8:2780111:2783243:1 gene:ORGLA08G0033200 transcript:ORGLA08G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sigma factor A [Source:Projected from Arabidopsis thaliana (AT1G64860) TAIR;Acc:AT1G64860] MTATPAVIGLSAGNRLLSASFGPTDLMPDKVSLGVGGGGGGGGGGGGGDAMSFAPPAPATPKLTAVAAHRLKLSPHGRAQVMRALRHHSSAAAALAPPPPPPPPPTPSPASRAAHAHDLESSLEAIVLLQRSMLEKQWELPFDDEDHVSDDDDNHAMAIGLAEDDDDTSKATVVVARSSVSARQRRMSGRRRGRTKNGAAHFAVSPELIQSRNRIYLRGTVSKELLTHKQVVHLSHKIKDGIWLQQQRSKLKEKLGNEPSYKQLAHSLKISPPELRSRMHESFLAREMLTMSNLRLVISIAQKYDNLGVELADLIQGGLIGLLRGIEKFDASRGFRISTYVYWWIRQGVSRALAENSKTFRLPTYLHERLIAIRGAKYALEDQGIAPTIENIAGSLNISEKKVLNATEAVNKVLSLDQQAFPSLNGLPGETLHSYIEDQNVANDPWHGFEEWYLKEEVNKLLNSTLNERERDIIRLYHGIGKQCHTWEDISRQFGLSRERVRQVGLIAMEKLKHAARRKNLEALLEDY >ORGLA08G0033100.1 pep chromosome:AGI1.1:8:2776375:2776830:-1 gene:ORGLA08G0033100 transcript:ORGLA08G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGDVRSFFRQQKAHAAGGVKPTGGVSKKAALPRHHHKPASQATPDRAVDDARRHVEEAEEEEGRERMAREFDMDMRYGPCLGLTRAQRWRRAAALGLAPPPAVIAVCSDDQPCLWEGRV >ORGLA08G0033000.1 pep chromosome:AGI1.1:8:2774607:2775525:1 gene:ORGLA08G0033000 transcript:ORGLA08G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVHSALLLSTCPDIIKGLKYEYRWADGVQIKKPIEVSAPKYVEFLMDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSSFQKIVSLKEEAHLNTCFKHFILFTTEFGLIDKKELAPLQELIESIIPY >ORGLA08G0032900.1 pep chromosome:AGI1.1:8:2770670:2774249:-1 gene:ORGLA08G0032900 transcript:ORGLA08G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNFSFPPLTPEQIAEALHTYGLAPTANLRAEDIANPQPDLLPAVISNFLATVVDPTGADDLDGQLGFDALASLDNPEHYMEGIRVLRLHKRASRFLESIQFPGFTLRDLLRPDPRRLVQVLSALINFLYYRDDKLALLQPIIHEFPNLDERCMELKAKLAEHQKAIADHELAAQMEEPMVQQLEAEVNSLKQKLVEYNKKQLALRANATAINDKKEETHRKIAKNDFELVKLAQENSKLLSKIVESPEKLQRALEEKKTARAELKNAEKIATQSVQEKTATLEIYSKGYEKLSKHSTKIQALQEQVTATKALEKEVKARKTKISDESVEIMALDTKIIEWDGKVHEMEEHVKAKEKKRDQIVADENQKLAALSSEVEWKLKCLEPRERKVEETIAKATKLCAEVDSTRTDAAEELQLIYAKFQQIGHAFTCYKDNFKSFLEXVDEVSKETLESLDRQAVEPLDTSATLXIEKENSCSPAP >ORGLA08G0032800.1 pep chromosome:AGI1.1:8:2763771:2764734:-1 gene:ORGLA08G0032800 transcript:ORGLA08G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKREEQRTTDLXAAAAADIPKKYTLTIGISVDHRRKNRSLEGSRLMLVIPLPRSLPLPPRSRVINYMPIARGEKRSVEAVKVTDEMKAFKAYAN >ORGLA08G0032700.1 pep chromosome:AGI1.1:8:2759404:2760518:-1 gene:ORGLA08G0032700 transcript:ORGLA08G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQLQEDFEQYAEKAKTLPESTSNENKLILYGLYKQATVGDVNTARPGIFAQRDRAKWDAWKAVEGKSKEEAMSDYITKVKQLLEEAAAAAS >ORGLA08G0032600.1 pep chromosome:AGI1.1:8:2758116:2758706:1 gene:ORGLA08G0032600 transcript:ORGLA08G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYRGTSPAWGLGRRGGGGGPSPVVPLLIVVALVWVNYNETLTEWYDKAANLPGTVADNAVTLVAAGGLLLLAAVLLSRRSEVVVVPVAIVLSVMLLQNIMAFLVLLLVVAYFAGIYYYPADAAKYGVTAGGEWCGGGGFWSGLGFYMLLLLCLVLCAMFADEGVRWWIPGTLLAASLLCLNLFSGGQVLGYGHL >ORGLA08G0032500.1 pep chromosome:AGI1.1:8:2755000:2757108:1 gene:ORGLA08G0032500 transcript:ORGLA08G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rubredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G17170) TAIR;Acc:AT5G17170] MAATLSSAPAPSKHCSIVRAQHSPPPSILSSSTKTAFHGLSLVDRRWAASVGGGSGRRRRVLQVNARTAGAAKNIEVEVDKPLGLTLGQKTGGGVVITAVDSGGNAARAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAQVDVKRLPKRPAPPRFGRKLTESQKARATHICLDCGYIYFLPKPFEEQPDEYGCPQCNAPKKRFAKYDAETGRAIGGALPPITVIVSLIIGIAGVGALLVYGLQ >ORGLA08G0032400.1 pep chromosome:AGI1.1:8:2751492:2753626:1 gene:ORGLA08G0032400 transcript:ORGLA08G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 3006 [Source:Projected from Arabidopsis thaliana (AT4G19350) TAIR;Acc:AT4G19350] MEAAPAAAAGRYGNLERSFKLAARSVLTACSREDVKRAFPSFTDAERERLYQMFIYVIKSLHSNIEEEFDIPCQELQVAAALEKIDQFVEEQKLDVLSSDKTNIEDTKQMISKAKKDEVEYLKSLIEEVEEKNNAMKARIELLKKDDDLAAGKQVLEKVLLESYPSVSHFSPTVTLILA >ORGLA08G0032300.1 pep chromosome:AGI1.1:8:2746883:2748250:-1 gene:ORGLA08G0032300 transcript:ORGLA08G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSAAAAAGGGERRGPMDLNLYLGLPPLPPPPGRLDVAADYPSLLLNSAATVANEQRGSVVVAAPPPAAAAYSPSNALSAPEQVLVDPVAAWLVDPGEQQPVPPLETPSYMARASSTLPQIFACAALEMLVQTGRAIPPTGLIRGAEIAAASRPMTPENRLRRLIQVSDQHGVGNGRAGPGPVSRSRRANSPEADNLARAIQRSHNSLEASRRQMLDGDGSDATKKDDGCGCNGSFECNICFESAKDPVVTPCGHLFCWPCIYQWLHGHSEHSDCPVCKGKVLEVNVTPIYGRGGGEENSSRNDIQIPPRPSAQRTESLRQQLQRPDTRGGIANMVRRLMQNQDIVAGQAASSAPRPRGRGRRQARQDAPSAPATQQQVVNADAGSGNQAPLPPPDANGAAPAAAVAPQQSSSVEQASTSSTVGVIAGGPAQGRRSRVSESTPARRTRRRHQ >ORGLA08G0032200.1 pep chromosome:AGI1.1:8:2742764:2744872:1 gene:ORGLA08G0032200 transcript:ORGLA08G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07590) TAIR;Acc:AT1G07590] MLRALLRRRRPPIPSAAVAVAVATFFASSGGARIALPPPPPRPAADEAEREGSLAQRVERSASVCAAIRGWMGDGRAVHRGHVFHAVNRLRRRRLHRAALQVMEWIMRERPYKLSELDYSYLLEFTAKVHGISEAESLFLRIPQEYQNELLYNNLVMACLDLGLIKLAYGYKRKMRELSLPISPYVYNRLIILHSSPGRQKTISKILAQMKGDLVTPHTSTYNILLKIKANEHNIDGVARVFNDMKRAKVEPNEITYGILAIAHAVARLYTVSHTYVEAIENSMTGTNWSTLEILLILYGYHGKAKELKMTWDLMQGLPHIRPKSFILAIEAFGKVGSIDQAEEIWGKFESTRKPNLTEQFNSILSVYCRHGLVDKASAVFKEMRANGCQPNAITYRHLTLGCLKAGIVKEALKTMDIAKKEVVTKKVKSSTPWLETTHMILESFAENGDLVNAKRVFDELNESKYCRNSFVYNTLLKAHVKAKVYEPDLLRAMILRGAMPDAETYSLLGLIEQFKT >ORGLA08G0032100.1 pep chromosome:AGI1.1:8:2737658:2739265:1 gene:ORGLA08G0032100 transcript:ORGLA08G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G22760) TAIR;Acc:AT4G22760] MPAAAGDVNSTWTLAIRAAADQGRPRRAVALYLSSLRSGRRPCPFALAAVLKSVSRLLLPAAHPLLAAAAASIHAHLLRLGLLAHPYPRAALAHLYARLPDPSRAHSLLDETPPRPPRGRAGAHSFLVSRNSLLASLLRSGDLAAARALFDRMPVRDVVSWNSMVAGLAKAGHLDAAIELFDKMPERNAASWNAVMCGYIAQGDLAQARELFEQMPVRSNVSWITMISGYAKSGDVHAAGELFERMENKKDLYAWNAMIACYAKNGCAREALAVFNRMLKPHVWVMPNEKTFSSVISACSQLGDLRFGLWAESFMGSVGIELDDHLRTALVDLHTKSGRIDRAFDLFRGLGMRDVVSYSAMIVGCGMNGKFNEAVSLFKEMSDAKISPNAVTFVGLLSAYSNAGLMEEARACFASMTEKYKISPSMEHYTIMVDLLGRSGKLDEAYQLIMQMPMKPDASIWGALLLACRLHNNVELGEIVASKCFELEPGESGYYILLGNIYSEANKWEKVKRLRKIMAERGLNKMPGSSWVQAS >ORGLA08G0032000.1 pep chromosome:AGI1.1:8:2728207:2735820:1 gene:ORGLA08G0032000 transcript:ORGLA08G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESAFYFNMKHFEDLVQGGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLNFPPFKVSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPTNGPLVGPIPKSAAFPPMGAHAPFQPVVSPSPNAIAGWMTNANPSLPHAAVAQGPPGLVQPPNTAAFLKHPRTPTSAPAIDYQSADSEHLMKRMRVGQPDEVSFSGASHPANIYTQDDLPKQVVRNLNQGSNVMSLDFHPVQQTILLVGTNVGDIGIWEVGSRERIAHKTFKVWDISSCTLPLQAALMKDAAISVNRCLWSPDGSILGVAFSKHIVQTYAFVLNGELRQQAEIDAHIGGVNDIAFSHPNKTLSIITCGDDKLIKVWDAQTGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYNGFRKRSLGVVQFDTTRNRFLAAGDEFVVKFWDMDNTNILTTTDCDGGLPASPRLRFNREGSLLAVTANENGIKILANTDGQRLLRMLESRAYEGSRGPPQQINTKPPIVNTLGSVSNVSSPMAVNSERPDRALPTVSMSGLAPMDVSRTPDVKPRITDESEKVKTWKLADIGDSGHLRALRMPDTSATSSKVVRLLYTNNGVALLALGSNAVHKLWKWQRTDRNPNGKSTASFTPQMWQPANGILMANDTSDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKSKLKGHSKKITGLAFSQSMNMLVSSGADAQLCAWSIDGWEKKKSRYIQSPANRSGALVGDTRVQFHNDQTHILVVHESQLAIYDAKLECLRSWSPREALPAPISSAIYSCDGLLIYAGFCDGAIGVFEAESLRLRCRIAPSAYIPPSMSSGGSVYPMVVAAHPLEPNQIAVGMSDGAVHVVEPLDSDPKWGVAPPQDNGTHPTISAAPAAANKPEV >ORGLA08G0031900.1 pep chromosome:AGI1.1:8:2697562:2699008:1 gene:ORGLA08G0031900 transcript:ORGLA08G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLALTVVSVXTLQKALAGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCVVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVMRMLPRNRLRDDRDRKLRIFSGSEHPFHDRPLEPFAMPPRQVREMRPGARRALIRAQKKEQDRAAASTKDDKDGKSANTDVTS >ORGLA08G0031800.1 pep chromosome:AGI1.1:8:2692377:2696205:1 gene:ORGLA08G0031800 transcript:ORGLA08G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:I1QFW7] MVRVRMGAAVLGVAVVVLACVLGARADGSDHRYKDGDYVPLYANKVGPFHNPSETYRYYDLPFCAPDHPKDKREALGEVLNGDRLVDAPYELNFKEDRNSKVLCQKTLSKVEVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKDKEQGNAKYLLFKHIHFDIMYNGDRVIEINVQTDPNVAVDITEDKEVQVEFSYSVTWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDVFRFPQQKSLFAAIVGSGTQLLALAIFIFLLAIVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLILTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRSTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHEWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLLFVRHIYRSIKCE >ORGLA08G0031700.1 pep chromosome:AGI1.1:8:2683333:2684965:-1 gene:ORGLA08G0031700 transcript:ORGLA08G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNVLKVNRNATEEDLKKSYRRMAMKWHPDKNPGDKKKEAEAKFKKISEAYEVLSDPQKRAIYDKYGEEGLKASVDAGASSSMNGNRRFNPRNAEDVFAEFFGSSKPFEGMGRAKSMRFQTEGAGTFGGFGGGNENKFRSYNDSAGTSSSQPRKPPAVETKLPCTLEELYAGSTRKMKISRNVVRPTGQIGTESEILTIDIKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPHDLYTREGNDLLVHQKIELVDALAGTTVNLKTLDGRDLVIKLTDVVTPGYELAIAKEGMPIVKENGRRGNLRIKFDIVFPKRLSSDQRQNIRKVLGGQTQQQ >ORGLA08G0031600.1 pep chromosome:AGI1.1:8:2680057:2681780:-1 gene:ORGLA08G0031600 transcript:ORGLA08G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLKDADAQEKAAPPPTEWYDPLSSPGRPVRVYADGIYDLFHFGHARALEQAKKSFPNTYLLVGCCSDEITNLYKGKTVMTEDERYESLRHCKWVDEVIPDAPWVINQEFLDKHRIDYVAHDALPYADTSGAANDVYEFVKAVGKFKETKRTEGVSTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGISKLREKVKEHQEKFHSAAKIAGSNPVEWMENADRWIVGFLEKFEEGCHMMETAIKDRIQEGLKRQSRSDPNLSGEDSDS >ORGLA08G0031500.1 pep chromosome:AGI1.1:8:2676923:2679002:1 gene:ORGLA08G0031500 transcript:ORGLA08G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:I1QFW4] MAPGPEKKKSWMPAGLGGSGKLGATIDIPLEDPRKKEKELLAWEEDLRRRELDIKQRENAMDRAGVTVEVKNWPPFYPIIHHDIASEIPIHAQKLQYMAFGSWLGLIACLVWNVVAVLIESIHSDDVVLFLFAIIYAIFGCPLSYILWYRPLYSAMRTDSMVTFVQFFVFYSIHVGFCVIAAVTPPIIFKGKTLTGILVAIEVLTGDMFVGVLYLIGFTFFTLESIISIWVLERYLQQVQPMY >ORGLA08G0031400.1 pep chromosome:AGI1.1:8:2673028:2675253:1 gene:ORGLA08G0031400 transcript:ORGLA08G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase-related [Source:Projected from Arabidopsis thaliana (AT3G03070) TAIR;Acc:AT3G03070] MATAARRLLLPALRKSLPAANGAARGVSTERAVGAAAVVGSHTAKWMQDTSKKSPMELINAVPPIKVEGRIAACDGRQDKGRETGSLGHPIEYICLDLDQPAVCKYCGLRFVQDHHH >ORGLA08G0031300.1 pep chromosome:AGI1.1:8:2671994:2672315:-1 gene:ORGLA08G0031300 transcript:ORGLA08G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSDGSSSYSQHSSRSPIPYRVGPFDYQPAVMSDCRVKAASHGVRTTPAAGTSNVAMPGKVDVVFMLGMMGRRPPLLEKC >ORGLA08G0031200.1 pep chromosome:AGI1.1:8:2655571:2660252:-1 gene:ORGLA08G0031200 transcript:ORGLA08G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G32190) TAIR;Acc:AT1G32190] MLSGCTVSSLAARFAFFPPEPATYAVRKDEACGGGGRLVASGVPRDAAVDVLLVDTRKGNKVVAFYLRNPAARLTVLYSHGNAADLGQLYDLFVQLKVNLKVNLMGYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQEDLILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTFCFDIYKNVKKIKKVKSPVLVIHGTDDDVVNWSHGNELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSKFIREMENITTKTRLKKIRQSLQPAPKKVHHRASTGTTTTFTTNCCCRIRVRKPSCRCPGCNLSCGCCSGLMSCFSSRLFKCSTCFSSCSCRGCFKCPTCFSFSCSCCRSCLKCPTFKCCCCGSCRDEQ >ORGLA08G0031100.1 pep chromosome:AGI1.1:8:2654192:2654782:-1 gene:ORGLA08G0031100 transcript:ORGLA08G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGSGGPSSLPPAADPLAVAAASVVAAGGFGGGRRLPLPPLAFLPRRIQRRWRRWQQPRADPAAASRSSGGGSLRSLTPHLSPSTAAAPLLLPFRWWCRSPSPSHGGGRVRRHPLRRIQREGRLPLLHQRRRRSLSPSPSPSHGGRXGWHPPLRRIQREGRQRRPGVPTTTTVAPFPSGVGIFVLVMFLCCRCC >ORGLA08G0031000.1 pep chromosome:AGI1.1:8:2650755:2653267:1 gene:ORGLA08G0031000 transcript:ORGLA08G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAISRAAARLPLLRLSSQALLPTQIARFRSEFVQPNKPTADGIKFAQAVCDTEMNLASILLVQKSLDEKLAQHKLLSNVSLFEDKEVSQRSEEHSKQLTVQDIQMKKDELLSDIRRVEILEGTLRSLQKTRFQSQDNNICAQRLKGSEDGFTLCYSPLDFVSSAGFFYVYYYYYM >ORGLA08G0030900.1 pep chromosome:AGI1.1:8:2631552:2632010:-1 gene:ORGLA08G0030900 transcript:ORGLA08G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEVDLEEENAVDLDDDIVLGDGELVGDGDGLDLERVDVGDAVDDGDEHVHPAAERLVVLADQRSTTIAFFSGTVVVTPKFTGGVLGASHMRVEAAAVAELRQWEKTRSWIAGPAEDRRRRKGIAMVATTAREDEGVSGRRNCRLVAFWKAW >ORGLA08G0030800.1 pep chromosome:AGI1.1:8:2601868:2607304:-1 gene:ORGLA08G0030800 transcript:ORGLA08G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAGGGGRRNNEGVNGNAAAPACVCGFPVCACAGAAAVASAASSADMDIVAAGQIGAVNDESWVAVDLSDSDDAPAAGDVQGALDDRPVFRTEKIKGVLLHPYRVLIFVRLIAFTLFVIWRIEHKNPDAMWLWVTSIAGEFWFGFSWLLDQLPKLNPINRVPDLAVLRRRFDHADGTSSLPGLDIFVTTADPIKEPILSTANSILSILAADYPVDRNTCYLSDDSGMLLTYEAMAEAAKFATLWVPFCRKHAIEPRGPESYFELKSHPYMGRAQEEFVNDRRRVRKEYDDFKARINGLEHDIKQRSDSYNAAAGVKDGEPRATWMADGSQWEGTWIEQSENHRKGDHAGIVLVLLNHPSHARQLGPPASADNPLDFSGVDVRLPMLVYVAREKRPGCNHQKKAGAMNALTRASAVLSNSPFILNLDCDHYINNSQALRAGICFMLGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGLQGPIYVGTGCLFRRITLYGFEPPRINVGGPCFPRLGGMFAKNRYQKPGFEMTKPGAKPVAPPPAATVAKGKHGFLPMPKKAYGKSDAFADTIPRASHPSPYAAEAAVAADEAAIAEAVMVTAAAYEKKTGWGSDIGWVYGTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLFQVLRWSTGSLEIFFSRNNPLFGSTFLHPLQRVAYINITTYPFTALFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLAIVLGTLLILAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLAAVLQVVTKVVFRRDISFKLTSKLPAGDEKKDPYADLYVVRWTWLMITPIIIILVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGILGKHGKTPVVVLVWWAFTFVITAVLYINIPHIHGPGRHGAASPSHGHHSAHGTKKYDFTYAWP >ORGLA08G0030700.1 pep chromosome:AGI1.1:8:2588295:2591822:1 gene:ORGLA08G0030700 transcript:ORGLA08G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPSQPDLSLQIGLPTGAAAAAHGHGLNARFFAAAAGAGGHNPAMASSPPSSLQLPLPMPLPLPLPMAPAGAGGLQFYPDAAAAMLRPIRGVPLYHHHQQQHAAAAPFVGAAPLPHHPSSGGACYCEPCHVAGAWRRGGCGGGGARGVLPAKRAPRAPRMRWTSTLHARFVHAVELLGGHDRATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTERPAASSDQADGFENGSAGEICDENSLDLHGGCRPEAMSAAATAAAARHGRLAACNDHGSSTGAHGALWNSSSREDWSGFHESNTGTMQTLKDMQSKSLEILSDMNSCVSETTSSTSELNLEFTLGRPQNRPN >ORGLA08G0030600.1 pep chromosome:AGI1.1:8:2579004:2580123:-1 gene:ORGLA08G0030600 transcript:ORGLA08G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGRKVAGRGGDMSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEVEKDADNISDCERLYKAFLQEINTFELPLLKSKAVVDANLREKESFNELQDEIQRQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKMISLQPPRSETEKLIADLEKEIANLEAENTACIRTLELRKKQFALLLHVVEELQISIDDEQRSIADELRAATEEQKMSIEEVSGGASDAMAVD >ORGLA08G0030500.1 pep chromosome:AGI1.1:8:2572736:2575897:-1 gene:ORGLA08G0030500 transcript:ORGLA08G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDEAGSSKHHRRDKEKDRERSSSRHHRDRDRDRERSSSRHHHREDRDDDRDRDRDRDRERRHREKERDREERKAREREEREKEKERERARRREERDREERSRRREAAAEEEEEDVDRDRKRRRRSSHHHHHHRDAEPEGPASGAREEEVVDVEEAERRRQKKKEEEQKQLDEEMETRRRRIKEWQEMKRREEETKRREQEEAGVGTSAAAAAAPAEAEDGGNAGKKWTLDGEESDEEGNQEDGKKSDDNGGSGAGAMDVDVPNGGDNANGANAMDEDEIDPLDAFMNSMVLPEVAKLESMPAANVDDKNDKSAKDAVTNGDKKGPKKVMGRIIQGEDSDSDYADDEDDEGGSEDEDDEEFMKRVKKTKAEKLAIVDHSKIDYQPFRKNFYIEVKDITKMAAEEVAAYRKQLELKVHGKDVPKPIKTWVQSGLTSKLLDTIKKLGFEKPMSIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPAVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKALGINCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDITQLVEVRPENERFFRLLELLGEWFDKGKILVFVHSQDKCDSLLKDLFQHGYPCLSLHGGKDQTDRESTLADFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSEQAVPEDLKGLADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARKSAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIAAAQAAAAMVAAKAASNANQQTQGTSVGPLLPLAIASNTQNNEATARALQAAFNIQQNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLGPIQEWTGAAITTRGTFFPQGKIVGANERKLYLFIEGPTELSVKKAKAELKRVLEDCANHALNLPGSAQTGKYSVI >ORGLA08G0030400.1 pep chromosome:AGI1.1:8:2566302:2569224:-1 gene:ORGLA08G0030400 transcript:ORGLA08G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTNAIHIIPDAAGPDAWANAAAQGGDAGIWATEDDYNSQWNADGGGGGGGSSRAGSEQPPPGKKSRGGGGGGEGGGNTSKSRAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHGMEELRKPPPNWQEIVAAHEEATEAREEHQIPIMTSSGPTAGGDAGCGGGGGGGSGRAYKGRHCKKFYTDEGCPYGDACTFLHDEQSKARESVAISLSPSVGGGGGGGSYNSAAAAAASASAAAGNGPMQKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHKYGGGLVDIDSRDASATPDSKQAVVSAKAPAETAAASTTVLPHADVYHLGVQAQRSTIAGQRSGQVQRPIQKWKGPDKISRIYGDWIDETE >ORGLA08G0030300.1 pep chromosome:AGI1.1:8:2552562:2553431:-1 gene:ORGLA08G0030300 transcript:ORGLA08G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:I1QFV2] MAGLDLGTSYLHHHQSLHLRHDDGGAGSDDGGHDDLSPGSGGGGGPSSTAGGAGIGGGEVVARRPRGRPPGSKNKPKPPVIITRESANALRAHILEVAAGCDVFEALTAYARRRQRGVCVLSAAGTVANVTLRQPQSAQPGPASPAVATLHGRFEILSLAGSFLPPPAPPGATSLAAFLAGGQGQVVGGSVAGALIAAGPVVVVAASFSNVAYERLPLEDGDEVVPPAPAGSDQGGGGSGGMPPLGVDPSGGAAAGGLPFFNMPFGMPPMPVDGHAGWPGAGVGRPPFS >ORGLA08G0030200.1 pep chromosome:AGI1.1:8:2533369:2535451:-1 gene:ORGLA08G0030200 transcript:ORGLA08G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lsd one like 1 [Source:Projected from Arabidopsis thaliana (AT1G32540) TAIR;Acc:AT1G32540] MCIAEPIFCCIKSPETSCCKLKRFLSCRERKGDFSCLTAARICEASTSDAVVQAAGTAMPVPLAPYPTPPVPFTPPNGAQSQLVCSGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGTDQKPSS >ORGLA08G0030100.1 pep chromosome:AGI1.1:8:2522971:2523201:1 gene:ORGLA08G0030100 transcript:ORGLA08G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLEQPSSDRRVGGRTPAAEAADDRQKEPPERRRSNSPMDADLCCCSCALIGIAATVAASLLAFKCLLTTCYKL >ORGLA08G0030000.1 pep chromosome:AGI1.1:8:2520415:2520663:1 gene:ORGLA08G0030000 transcript:ORGLA08G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMAEDLEQPSSDRHGGGRTPAAAAAAAAGDRQKEPWERRRSKRPICADVCACFFTLIGIAAVVLVFVLAYKCFRTTCYKL >ORGLA08G0029900.1 pep chromosome:AGI1.1:8:2516384:2519355:1 gene:ORGLA08G0029900 transcript:ORGLA08G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFEWVLKLLNLVVMVVGLAMMGYGAYLLVAWLQLLPSPPPLPPAPAVAPGGGGGGEMVRLGRPLLLLLDVSSLPDGTAERLSAAWFIYAFIGVGVILFITSIFGCAGASRGGCCLSFYSFLIILFILVELAAGGFIFFNHSWKDVIPVDKTGNFDMMYSFLKENWRIAKWVALGAVLFEAVLFTVALIVQSGNQADYDSDDEYIAPRSSTRQPLVNKQPVADPRVPNLDYRPIRNDAWSQRMREKYGVDTFDPNRFQQATISPAEQRNRCAIL >ORGLA08G0029800.1 pep chromosome:AGI1.1:8:2511092:2512069:1 gene:ORGLA08G0029800 transcript:ORGLA08G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45420) TAIR;Acc:AT5G45420] MEFIDDDWDYQPRARVIHSRSNANSNGATTASSSQPTRSLPHTAACAAAAVALLAAAYYLLPDYQVLASVVVWVASSLLLAPFAPSSATGGDVSVGRGDPLPEQEPVEEPVSDPAPTSRRGRRQSSSSNPTPPPPKPSDPIAPPPPRHAAAAAAAAATAVSDGGEAVEDAGEWTDQEMDILRRQMVKHPAGEPQRWEKIAAAFGGRRTPESVIRAAKSGGGAAAAGASFDQFLRKRKPLDPRSEATDAGGGNAGGGGGESGDGSWSAGDDRALLNALKEFPKDTAMRWEKVAVAVPGKTKAACMKRVTELKRDFRSSKAASEAAP >ORGLA08G0029700.1 pep chromosome:AGI1.1:8:2504975:2510766:-1 gene:ORGLA08G0029700 transcript:ORGLA08G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MRAAAGGRGFLLHPRPPWRAPATPPCPPPPATATALARRLHHRRLPEGILSTLERGVSTLHLRRWVHSATCGVTLHDISQAEPVNGAKSDLVQKHEKVGAFQRIPMVMPATDILMSAQRKSRNVPPTKGIANIAKRERNKGAKQLDALMKEISVPLRTYTENFPKRRDLHPYERSLIELTFGEGYYEKVIARVDALRKKINSVGKQHASVCAKSLTKREAEERLSEGRKKLEEAFEHGKWVIDDLVNIAKTLRSMPVVDPHIPTLCLVGSPNVGKSSLVRILSSGKPEVCSYPFTTRGILMGHIVSNHERFQVTDTPGLLTRDDDERNNIEKLTLAVLSHLPIAVLYVHDLSEDCGTSVADQYITYKHIKERFGDRLWLDVISKSDLLDKKTSSEFDDADDEVGRYRRFGPECAIRVSVQGQVGVKELKEKVHQLLTSQMSRIKAGTIYQETQRTDDNAPGQT >ORGLA08G0029600.1 pep chromosome:AGI1.1:8:2503437:2504210:1 gene:ORGLA08G0029600 transcript:ORGLA08G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASARVVSTPPSPSSSSSSSSPAAAASASPAASAVVWASNHLLGNGHIVVVLLRSWSEFARTGTFAGGLNLDRSVLSRPHSPPRYSAAVDGMFVPWDHEHEVNPLTGEASFVERLYYVEAADIARLREEARATSVQAVSAYLWKKLAAVVSSSASIAKSDTAARRCSMGYWVDLRWRVRSPDFCRALRSYVGNATTYVEREEPADAVLKKPLGEVAAMVRRSCRPPAPLPSQPPAALRRRQRCLLPPSRGRRHAG >ORGLA08G0029500.1 pep chromosome:AGI1.1:8:2498799:2500825:-1 gene:ORGLA08G0029500 transcript:ORGLA08G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQLWALAPLALLLVLQLAGACHAVPQSLEAEQASVSHFQPPMMNWINGMVGLCIASAAVAVAAELSREQACLGLLLCLAFHAGIALVIRVAAAPDAGRSLARTQSRRNRSLLYSYY >ORGLA08G0029400.1 pep chromosome:AGI1.1:8:2462602:2466311:1 gene:ORGLA08G0029400 transcript:ORGLA08G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRSVGPARQLLLRPRPLPLPHAASSTRSFSRYYSRDDVSRYEALSTPVNWGVSIVPEKKAFVVERFGKYVKTLGSGIHVLVPLVDRIAYVHSLKEEAIPIPDQSAITKDNVSIQIDGVLYVKIVDPYLASYGVENPIFAVIQLAQTTMRSELGKITLDKTFEERDTLNEQIVRSINEAATDWGLKCLRYEIRDISPPRGVKVAMEMQAEAERKKRAQILESEGAMLDQANRAKGEAEAILAKSEATARGIRLVSEAMRTKGSTEAANLRVAEQYMKAFANLAKKSNTILLPSDAGNPSSLIAQSLQIYKHICQTNSLKSGKYLTDALEETEPEEEELDSTDLPSLSSGMPSPDMPDDHDKTFSLQRRNKDKH >ORGLA08G0029300.1 pep chromosome:AGI1.1:8:2457483:2459171:-1 gene:ORGLA08G0029300 transcript:ORGLA08G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIATAVLLSLLAVLRAGGDVDDGGGAIMEPCLAAAGVRNVTARRERDAYDAALRASAQNLRFAGDGVAKPAAVVVPATRGELRDAVRCAREAGLGAVRLRSGGHSYEGVSYTGEDDGGFVVVDLLALDGVRVDAASRTAWVESGATLGQVYHAVAAASPALAFSAGSCPTVGSGGHIAGGGFGLLSRKYGLAGDNVVDAVLIAADGRVLDRAGMGEDVFWAIRGGGGGTWGAVYAWRIQLVPVPERVTAFVVNRPGTAESVAELVAAWQHVAPWLPDEFYLSAFVGAGLPEMNRTGISVTFKGLYLGSAHEAVDILTARLPEIGLSDLNPIEMSWIESVVFFSGLPQGSSVSDLTDRVLHKKKYFKAKSDYVRRPMRIGELIRAIDLLSTEPKAYVILDPYGGAMDRIESASLPFPHRRGNIHGIQYLIEWTANDDDHREEYMDWIRRFYEFMGSYVPNSPRTAYINYMDLDLGMNNWSNLRMYGGDGSPNPEVEAARVWGERYFLGNYDRLVRAKTIIDPDNIFRNAQSIPPLGSRRMRRIPRGISPKVASKDKTYDS >ORGLA08G0029200.1 pep chromosome:AGI1.1:8:2453275:2454339:-1 gene:ORGLA08G0029200 transcript:ORGLA08G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFVSGGGFCLISRKFALAADNVLDAILMDPNGNALNRGSMGDDVFWAIRGGGGGSWGVVYAWKLQLVHVPHNITVFSLNRTGPLEQTAKLMHKWQFVGPHLPDEFYLSVHIPTGTSNGNFAMSFIGQVLGPKQYAMLVLHHTFPELGIVEPDLSEMSWIESTAKFARLNSTADLTDRKLGVKHYSKSKSDYVHSPISMQDTIKIIEYLSNGPQGFIQLNPYGGAMARIGSSKLPFPYRAGYLYSIEYSVSWKASDNDGADEYIRWLRSFYAYMAPHVSKNPRAAYVNYLDLDLGTNNWRNATDGTSNNSVIHAKSWGIRYFSKNFDRLVRAKTMIDPENVFNNAQSIPPLQY >ORGLA08G0029100.1 pep chromosome:AGI1.1:8:2448802:2450391:-1 gene:ORGLA08G0029100 transcript:ORGLA08G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTISPVLVFLLLSLHQSICSSAHDAASASSFSSCLAIHGVSNFSLPASPSYNTTLNFSIRNLRFTLPDVTRPAAIVLPGSKEDLQRAILCARNSSLAIRVRSGGHSYEGLSYTTENHVPFVVIDLMNLNRVRVDSVSATTWAEAGATLGELYYAVGQSSRSLAFSGGSCSTIGLGGVISGGGFGLLSRKFGLAADNVLDAVLVDPNGRVLDRSSMGEDVFWAIRGGGGGSWGVVYAWKLRLVPVPHNITMFIIDRTGPVEYIAGLVHWWQHVGPNLPDEFYLSVYFPTGSSDGNVSISFEGQVLGTKQQTLSVLSQSFPMLGVTESDLSEMSWVESTAKFANVGTVSDLSNRSPGTNSYTKSKSDYVRAPISRHDMVEIVRYLSAGPSGSIILDPYGGAMARIKSNATPFPHRAGILYSIQYTVYWGQSDQARANEYIIWLRSLYTYMTPHVSKDPRGAYVNYLDLDLGANNWTHPMGGSSMEAMARARSSWGAAYFRNNFNRLVSAKTTIDPSNVFNNAQSIPPLY >ORGLA08G0029000.1 pep chromosome:AGI1.1:8:2437614:2441285:-1 gene:ORGLA08G0029000 transcript:ORGLA08G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMELKKLPLGFRFHPTDEELVRHYLKGKITGQIRSEAEVIPEIDVCKCEPWDLPDKSLIRSDDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRVIRSKGDKKKQQVIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFRKQEEKIERPSPDEVDRSGYSPTPSRSTPDNMEPIEDGNTPLNRESPESALHESPIDLPALTEAQAAPITRWLADRTDNATTNEVNISHMPHHGLDGGAKQASPSTGAFPQLIGSQQNIHDNNELATVSAPMLPHEDFNNFPLGAIGNFDGNMNPRDPVEEFLNQTIADPDEHSSTTSKAQYDSDTGIIPTEFENHGVMQGEFMDDLSGLENLDFWPDDRNPQLSALYEDTPLLPYDSTDQDVLSMDSGAESLQDLFNSMDDSNARNNVWGNEPFLQGTGFPMSWPLQPNSAFPNQGTANRRLMLQLSESLSPDFDASMTRDECEDEEPGIVVTSKYVNEAPEESTAEKDMPSDGDDAEPTGITILRRRTASSFSDGDDAESTGITILRQHQAPNASLLSDGDDAESTGITILRRRQAPTASSASSFTQQGAAVQRVRLQSNLDAAPCSSVDGSSSCIINEGESERTMEKPEIEENAGSTLAEGGTCHEDDQKEHDASAANAKSVVRLRKTAEGSDKENKQEEEEGVLDSHVRAPGNKRGFPSYIIWLVLSVALVLLISLGIYGWV >ORGLA08G0028900.1 pep chromosome:AGI1.1:8:2432250:2434954:-1 gene:ORGLA08G0028900 transcript:ORGLA08G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTAAAAIQSASSSTLSPHAAPFALPVRPARAPLQDGDVSRSMDGSIVVHGLDNKILGDAHPSSSSATSCFQTQSFDTVLPASTCGANASQPREWPEIGSDAAYPSSICSTSVVFSYPSVMMASNHNQKNPLYPGMGSNGSRCSTVKIESPPNKISEANKISCGLTSKSSMTKDVSKSNEDAVKNAPFPQILEVGTEICSKEASPVSHTRPLHISTAGSDPCDSMADGVKTEPSECYVDSPCWRGRGTSLSHQTSVIQLINQESEAFDSGQKKSTSTVQHCEVLTASQNLDTIENKQNQSQSHVELSVSMKSGDIGKKEEEVSHNKEIESAKQCAAKCTAEQKHSLELRDNSVKRSGLNFAAPDFIPSSVGKSKIVKGSCSTTGRNTSGILKAMGNLSEMLRDSCLLDENELDEHEHTLLQSVIENLQTCIDRKKRVPLMMMALTRQD >ORGLA08G0028800.1 pep chromosome:AGI1.1:8:2426121:2426993:1 gene:ORGLA08G0028800 transcript:ORGLA08G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMDLTLGGALLQVEEATEEEEEEEEEEQVLGQEPAPAAAAAALVLGRRHGVVVGGGGGGVVVAAEREHMFDKVVTPSDVGKLNRLVVPKQHAERFFPAAAAGTQLCFEDRAGTPWRFRYSYWGSSQSYVMTKGWSRFVRAARLSAGDTVSFSRAADGRYFIDYRHCHRHGGRDISFASAATAMPAAAAAAWPLFGRVQTAAPVSYGGGHGSAATATMFLDTVAPVAAAGGHRGEVGPSGQRSFRLFGVNVECGGDVDAAAEEEDADDDVDDGDHRRGEEMELVMWTNHR >ORGLA08G0028700.1 pep chromosome:AGI1.1:8:2415245:2421769:1 gene:ORGLA08G0028700 transcript:ORGLA08G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSSGEEAVVKVRKPYTITKQRERWTEAEHNRFLEALKLYGRAWQRIEEHVGTKTAVQIRSHAQKFFTKLEKEAINNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSCLSSETSTREVQNDKATISNMTNNSTAQMAGDAALEKLQRKEISEKGSCSEVLNLFREVPSASFSSVNKSSSNHGASRGLEPTKTEVKDVVILERDSISNGAGKDAKDINDQEMERLNGIHISSKPDHSHENCLDTSSQQFKPKSNSVEITYVDWSAAKASHYQMDRNGVTGFQATGTEGSGGASGTMNQCIHPTLPVDPKFDGNAAAQPFPHNYAAFAPMMQCHCNQDAYRSFANMSSTFSSMLVSTLLSNPAIHAAARLAASYWPTVDGNTPDPNQENLSESAQGSHAGSPPNMASIVAATVAAASAWWATQGLLPLFPPPIAFPFVPAPSAPFSTADVQRAQEKDIDCPMDNAQKELQETRKQDNSEAMKVIVSSETDESGKGEVSLHTELKISPADKADTKPAAGAETSDVFGNKKKQDRSSCGSNTPSSSDIEADNAPENQEKANDKAKQASCSNSSAGDNNHRRFRSSASTSDSWKEVSEEGRLAFDALFSRERLPQSFSPPQVEGSKEISKEEEDEVTTVTVDLNKNAAIIDQELDTADEPRASFPNELSNLKLKSRRTGFKPYKRCSVEAKENRVPASDEVGTKRIRLESEAST >ORGLA08G0028600.1 pep chromosome:AGI1.1:8:2390126:2392809:-1 gene:ORGLA08G0028600 transcript:ORGLA08G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKGPAVDLKSFLVKAAAKKANQRQTPGEGNLDPGEDPSCNESQMQLVIYEGHHHENESGSPASSSTIPIDPEMGEIPGANDESQSSDEDNDGGMYDIEHDPGLRTPISKYDVNDQDSVRRSYIALGPCRPKMKNTDFPQHSCGGMRRFLPKWFDEFKWLEYSVHRDAAYCFFCYLFKESIHGGDAFVNGGFRSWNMKGRFHKHCGAVNSAHCEAEEKYNLFMKPKSSIHESFASNSAQVKADYEARLLWSLKCIRYLLRQGLAFRGHDESRNSENKGNFRELLQWLAGNFEEVNKVVLGNAPSKCQMIDHKIQKQLIGSCAHETTKFIIEELGDECFAILADESSDAYQQEQLALCLRFVNKAGQPVERFLGLVHVEDTTSLTLKEAIKSLLMDYQLPLSKIMDDSPSAYYVHCFAHQLQLTLVAVAKENTDCAWFFAQLAYLLNVLGMSCKKICMLRVAQAEYMIEALKLGEIETGQGLNQEMGLARPGDTRWGSHYRTVMHVMSLYPSIKKVLFKIGKECTGAEAIGAQTMLEVFQSFEFVFLLHLMNDMFGYTTDFCQALQKREQDVVNAMDLLAFTKVELDVLREDDGWREFLGKVTSFCVKHKVKVVDMDGKYKPIQRSRKFYKDAINYHRFHADMFLGVIDRQLQELNNRFDEVNTELLRCMASFNPSKEFSAFNVESLVKLAGFYPHDFDFEEMNQLPFQLNRYISDVTKDEKFTNLKGLAELSMMLVKTERVCRYELVYRLLKLVLVLPVATAGVERVFSSMNYIKNKLRNKMGQKYLNDCLVTFIEREFFLQAKDKDIIDYFQNIKRRKVNI >ORGLA08G0028500.1 pep chromosome:AGI1.1:8:2382525:2385368:-1 gene:ORGLA08G0028500 transcript:ORGLA08G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADMAAAADEEACMYALQLASSSILPMTLKNAIELGLLETLQSAAVAGGGGKAALLTPAEVAEKLPSNANPAAADMVDRMLRLLASYNVVRCEMEEGADGKLSRRYAAAPVCKWLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMTAFEYHGTDARFNRVFNEGMKNHSVIITKKLLDLYTGFDAASTVIDVGGGVGATVAAVVSRHPHIRGINYDLPHVISEAPPFPGVEHVGGDMFASVPRGGDAILMKWILHDWSDEHCARLLKNCYDALPEHGKVVVVECVLPESSDATAREQGVFHVDMIMLAHNPGGKERYEREFRELARAAGFTGFKATYIYANAWAIEFTK >ORGLA08G0028400.1 pep chromosome:AGI1.1:8:2371327:2371869:-1 gene:ORGLA08G0028400 transcript:ORGLA08G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVCYCVILPQPLVLVLQLLDLLRHAFLLCLSALGLAAPPPPDEHPAYAPPPPPPASMAALPADLVWAFQPAPEPAAIKARLPAVRYADFVRCRRAAAAAAASCAVCLGALEARHRVRELGNCAHAFHKACIDKWVDKGQATCPLCRAALLPSAAAAADDDAAAAIAATTRHHLPSF >ORGLA08G0028300.1 pep chromosome:AGI1.1:8:2358985:2363435:-1 gene:ORGLA08G0028300 transcript:ORGLA08G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G79730) TAIR;Acc:AT1G79730] MMRQSQATVLQKTQQVRAAQQPQSRHHQQPSGGSRPAVTATRPAAAPNAERFENRLKKPTTFLCKHKFRNELPDPSSQLKWLPLNKDKDRYTKYRITSLEKNYIPKMIVPEDLGIPLDLLDMSVYNTPPVQPPMAPEDEELLRDDEVLTPVKKDGIRKKERPTDKGMSWLVKTQYISPLSTDAAKMSITEKQAKERRESREGRNTFLENINDREKQIKAIEDSFRAAKSRPVHQTKRGMEAEWVLPLLPDFDRYDDQFVMVNFDGDPTADSEQYNKLERSERDECESRAVMKSFLVNGSDPAKQEKFLAYMVPSPHELSKDLDDETEDIQYSWLREYHWEVRGDDKDDPTTYLVTFDDDGAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSRTAHGGMMEHGESSSMHENLKRQRSSVDDDLYDHPKHSRVEDMDQYSGDEYSD >ORGLA08G0028200.1 pep chromosome:AGI1.1:8:2353074:2355600:1 gene:ORGLA08G0028200 transcript:ORGLA08G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGGGGGGHGHHGGGGGGHGHHGGIGGGEAQIKGTLTHGGRYVQYNVYGNLFEVSSKYVPPIRPVGRGACGIICAAVNAQTRQEVAIKKIGNAFDNQIDAKRTLREIKLLRHMDHDNVISIKDIIRPPRRENFNDVYIVYELMDTDLHHLLRSNQPLTDDHCQYFLYQVLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTNETDFMMEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIVTREPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRSLPQYPKQQFRARFPTMSSGAMDLLERMLVFDPSKRITVDEALCHPYLASLHEIYDEPVCPAPFSFDFEQPSLTEEDIKEIIWREALKFNPEPIH >ORGLA08G0028100.1 pep chromosome:AGI1.1:8:2348588:2351296:-1 gene:ORGLA08G0028100 transcript:ORGLA08G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sporulation 11-2 [Source:Projected from Arabidopsis thaliana (AT1G63990) TAIR;Acc:AT1G63990] MAEAGVAAASLFGADRRLCSADILPPAEVRARIEVAVLNFLAALTDPAAPAISALPLISRGAANRGLRRALLRDDVSSVYLSYASCKRSLTRANDAKAFVRVWKVMEMCYKILGEGKLVTLRELFYTLLSESPTYFTCQRHVNQTVQDVVSLLRCTRQSLGIMASSRGALIGRLVLQGPEEEHVDCSILGPSGHAITGDLNVLSKLIFSSDARYIIVVEKDAIFQRLAEDRIYSQLPCILITAKGYPDLATRFILHRLSQTYPNMPIFALVDWNPAGLAILCTYKYGSISMGLESYRYACNVKWLGLRGDDLQLIPQSAYQELKPRDLQIAKSLLSSKFLQDKHRAELTLMLETGKRAEIEALYSHGFDFLGKYVARKIVQGDYI >ORGLA08G0028000.1 pep chromosome:AGI1.1:8:2339917:2342338:1 gene:ORGLA08G0028000 transcript:ORGLA08G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKSAK >ORGLA08G0027900.1 pep chromosome:AGI1.1:8:2331206:2336015:-1 gene:ORGLA08G0027900 transcript:ORGLA08G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGPATAGELLRIDPVELRFPFELKKQISCSMQLSNLSDDYIAFKVKTTSPKKYSVRPNTGVVLPRSTCDVVVTMQAQREAPPDMQCKDKFLVQSVIAPSGVTVKDITGEMFTKESGNKVEEVKLRVTYIAPPQPPSPVPEESEEGSPSRVSESENGDSLGGGFTRALRERIEPQENSLEAGALINKLNEEKNSAIQQNHKIRQELDMMRREISKKRGGFSFIIVIIVALIGIFLGYMMKS >ORGLA08G0027800.1 pep chromosome:AGI1.1:8:2328239:2329823:1 gene:ORGLA08G0027800 transcript:ORGLA08G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSHEMTSRKPPGIRLFGGITALRTYQTLVLVLTFVAYTCFHMTRKIPSIVKSVLDPQTKLGSSPWGRLHTKNTLNIGWLPFNTIDGSALLGEIDVAFLAVYSVGMFFAGHLGDRMDLRIFLTIGMFGTAVFTALFGAGYWLNIHNFYYFLVIQMIAGLFQAIGWPSVVAIVGNWFGKSKRGLIMGIWNAHTSVGNISGSLLAAFLLKFGWGWSFAIPSLIMVAVGLLVFVFLPVSPEVMEIDIDDGEISSVKDTTKEPLLEPGQEVKHNAVGFLEAWKIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTPIGGEYLSDALAGSLSTIFDVGGVLGGVLAGHISDRLNARAVTAASFMYCAIPALFLYRTYGSMSIMWNICLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISSSSWSAVFTMLMAAALLAGLLLTQLVCSELKGKATSNASKDVADAQGTYSDEV >ORGLA08G0027700.1 pep chromosome:AGI1.1:8:2302910:2305918:1 gene:ORGLA08G0027700 transcript:ORGLA08G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLLHRLFPFLVGTAVGVYAAQNYKVPNLRGLADRGVDVAKHYEEAYRKKPSSAGAGAGGGSKKRMNKSVEIDDDVQ >ORGLA08G0027600.1 pep chromosome:AGI1.1:8:2299202:2299432:1 gene:ORGLA08G0027600 transcript:ORGLA08G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLRRLFPFLVGTAVGVYAAQNYKVPNLRGLADRGVDAAKHYEEAYRKKPSAAGGGGGSRKKMNKTVEIDDDEE >ORGLA08G0027500.1 pep chromosome:AGI1.1:8:2296933:2297244:1 gene:ORGLA08G0027500 transcript:ORGLA08G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYKYGFAFLAGTGFGAALTSLRRDGDSCCPMRRRGRRCRRRHHDDDDQLVDGDGEAAGEERDMKESKRATTTTNPKAKKGSNKEKKAAASVAREEEDDDDE >ORGLA08G0027400.1 pep chromosome:AGI1.1:8:2280214:2280778:-1 gene:ORGLA08G0027400 transcript:ORGLA08G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSCMVCLLLFFLLHLSVCHATSRNHRRLFAAAPAASPSTAALYGDDDITAAMHVWRSPRRYMRAAAEEQWGSPAPAPLPDGALGLQPGGVEGAAAAARTTEEEAAGAGAAMAPFPAAADVGGKDDGDSGDGGGSDGAADDAGVDYAPPKTHPPSHN >ORGLA08G0027300.1 pep chromosome:AGI1.1:8:2266564:2276281:-1 gene:ORGLA08G0027300 transcript:ORGLA08G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:I1QFS2] GREVPVLAGRGGQGAAGAVRHPQPRRDQANVSGADRACRNHGKGEAQAWWLEXPSVGDYXPEDQMXDMHGWDGGVPRPLWPPXACXANVPYRLHQDCTLHNALRLLQLFQDPCGXGXYXVQAGSXNKEPKNRLKRIYDACKSKKVCAGGDDLDVQEQQDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKAPKKKNDDQEQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKPINLIRFSAWHSEAETGFITPGDTMVRIEKGELLSGTLCKKTLGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMVKINETISKAKDDVKELIKQAHDKQLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKGEFDNVFRYELDDENWRPNYMLPEHVDDLKTIREFRNVFEAEVQKLEADRFQLGSEIATTGDNTWPMPVNLKRLIWNAQKTFKIDLRRPSDMHPMEIVEAIDKLQERLKVVPGDDAMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDVEFVRSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINHEFDDDLSCIFNDDNADKLILRVRITNDEAPKGEFVEFQILTKFLSNMGRSINLRTMLASKQIMSGCLIQKVLISWLSCAMKMLMLLGQQVTIXSKXLRFLESRLFVELSWMSCGWSYLSMVLMSITDIWPFFVIQXHTEGIXWLLQGMVSTVMTQGLLXDVPLKRRWISCLMLLYMLNLTTXEVSLRTLCLASLHLSVQEAVHCISMIRCCSRPSNFNSQAMLKAWTLAXHQHARPSLGHHIMKEXCHRATCXVQTSGLPPLQMLSSRHMLEEWLSHQYLHQATLHRQGWLQSIFSGIQSRARIQPNFSIIXSCFTQLQSHFSIIYTWLSYLLANKSIILTYQSVILAYQSIILANISKLQPYITXLXPYISKLQPDLAKLXSHFSELQSDITSLXSDFSCIXSNFSCIQPHITILXPHITILQPHITIIXPNISIIQSNISVLQPHFPLIQSDITLIQSDISCIQPYITWLQPDISKLQPNFTKLQSNFTKLQSFFGQVQSFPRVLSKQPKAEPLXSDFTKLQPNIADILTYLTIIFTTKSVIQPNKVFSLEKHLLEIFCILRIPIHHIWRAQPRLXPNISELQP >ORGLA08G0027200.1 pep chromosome:AGI1.1:8:2233244:2241485:-1 gene:ORGLA08G0027200 transcript:ORGLA08G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMLPETNAQAAAEEVLGDAWDYRGRPAARSRTGRWGAAAMILVAELNERLTTLGIAVNLVTYLTATMHAGNAEAANVVTNFMGTSFMLCLLGGFVADSFLGRYLTIAIFTAVQASGVTILTISTAAPGLRPAACAAGSAACERATGAQMGVLYLALYLTALGTGGLKSSVSGFGSDQFDESDSGEKSQMMRFFNWFFFFISLGSLLAVTVLVYVQDNLGRPWGYGACAAAIAAGLVVFLAGTRRYRFKKLVGSPLTQIAAVVVAAWRKRRLELPSDPAMLYDIDVGKLAAAEVELAASSKKSKLKQRLPHTKQFRFLDHAAINDAPDGEQSKWTLATLTDVEEVKTVARMLPIWATTIMFWTVYAQMTTFSVSQATTMDRHIGASFQIPAGSLTVFFVGSILLTVPIYDRLVVPVARRATGNPHGLTPLQRIGVGLVLSIVAMVCAALTEVRRLRVARDARVGGGEAVPMTVFWLIPQFLFVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSALVAAVHKLTGDRHPWLADDLNKGQLHKFYWLLAGVCLANLLVYLVAARWYKYKAGRAAAAGDGGVEMADAEPCLH >ORGLA08G0027100.1 pep chromosome:AGI1.1:8:2227164:2227532:-1 gene:ORGLA08G0027100 transcript:ORGLA08G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDGDMSGSNGDHVTAGGGNASAAAAAEEEKMTGVGSGGKESGSSPPSPSSTSTSTVTATASEGERPAAAAAATTSPAVWHWHVLSLLLGFVVGVAVAAAAMLFLKRGGGGGSPELCFVPT >ORGLA08G0027000.1 pep chromosome:AGI1.1:8:2223188:2226617:1 gene:ORGLA08G0027000 transcript:ORGLA08G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFLEIQPSELSFPFELLKQSSCSMQLTNKTDHYVAFKVKTTNPKQYCVRPNIGVVLPGSTCDVTVTMQAQREAPPDMQCKDKFLVQSVAAENGATTQDISAEMFNKVAGKVVEEFKLRVVYVPTTTSSAMPEDSEQGSSARPFAQENGIHNSTMPQPVFRSSAEPTKERPTEPSSMISKLNEENRVAIQQNQKLRHELELLRKESSKSSGGFSLTFLAIVGLLGIIVGYILKKA >ORGLA08G0026900.1 pep chromosome:AGI1.1:8:2218507:2221788:-1 gene:ORGLA08G0026900 transcript:ORGLA08G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing Brix domain protein [Source:Projected from Arabidopsis thaliana (AT1G63780) TAIR;Acc:AT1G63780] MLRRNTRLRREYLYRKSLEGKERQHYEKKRRLREALDEGKPIPTELRNEELALRREIDLEDKDSAVPRSLIDDEYAGAALREPKILLTTSRNPSAPLTQFVKELKVVFPNSQRMNRGGQVISEIVESCRSHDITDLVLVHEHRGQPDGLIVCHLPFGPTAYFGLLSVVTRHDIKDRKAMGKMSEAYPHLILDNFTTKTGERTANIVKHLFPVPKPDSKRIITFANRDDYISFRHHVYEKHGGPKSLDLKEVGPRFELRLYQIKRGTVDQAEAQNEFVLRPYMNTAKKQKSLGA >ORGLA08G0026800.1 pep chromosome:AGI1.1:8:2212239:2215981:1 gene:ORGLA08G0026800 transcript:ORGLA08G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEGLNESLIQECEQIVLTEFSSFRKQLKLCYEDQLSLFGSCRHHFEEGKDASIIQGALTRNDFSSSRHGNFSEYSTTESDEFEKQDGGDLTCEDESTFFDAADYFIEPNGRSSAMLSSTSCGEGLLDIQMEDSSHLLPQIKRRSKLPEPTEKERGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKGFEDLEYSHLLDQAYQYGKMGNSLMRILKVAAFAVSGYASSVARPCKPFNPLLGETYEADFPERGVRFFAEKVSHHPMLIACHCEGKGWKFWGDSNLKSKFWGQSIQVDPIGVLTVEFDDGEIFQWSKVTTTIHNLILGKLYCSHHGTMHIKGNRQYSCKLKFKEPSLLDRNPHLVQGFVEDDDGNKPSFLIGKWDENMYYSNLDTSKVKSVDQLKGAVLLWEKNKPSPNPTRYNLSSFAITLNELSPDLQDKLPPTDSRLRPDQRHLENGEYEKANAEKLRLERRQRMSSKLQDNGWKPRWFQKDGEDGTYRFKGGYWEAREHGQWDDCLDIFGEFSET >ORGLA08G0026700.1 pep chromosome:AGI1.1:8:2210102:2210533:-1 gene:ORGLA08G0026700 transcript:ORGLA08G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSQTRRRGASSMGVAMASIRPQQQPDGGRLKPSSHLQYVVIVLWISPLSSSVSDGDQGWVNGACWATAGNLSPTSICTEEARRLDGEGSRVEEARRLILPSLTNVVVVLVVNLHSRVS >ORGLA08G0026600.1 pep chromosome:AGI1.1:8:2205632:2208461:1 gene:ORGLA08G0026600 transcript:ORGLA08G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G03330) TAIR;Acc:AT1G03330] MLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVLLPQDGVDIDILHDATRREARGG >ORGLA08G0026500.1 pep chromosome:AGI1.1:8:2196994:2202142:1 gene:ORGLA08G0026500 transcript:ORGLA08G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDPVMFDNDDEEGPISFKRSSTSVKNRPTPTKQEGSSGNAGPIRSPKAVASNQQKNGLTGVSRSLQQRPQSSSPNPRPLGSGQPNSSKEHSSHNSNSVDKSKLKRPFVEDKSDDSEDDHKPIGLRRKVEDRNLKKPAVGSGTANDSDDDKPLSLKINSTKTPSSSANKAIVLKTTPKIEQPGDDSEDDKPLASRLPTNAALKRGGNVSDDSEDEKPLAARFSKVTGNASASISSSKDKVLSASIKASSSSKMSNNDASTSRNSIKRPIDNNNQTSSALKKARPSDVSASASVKREPKADDNDNVPLSQRLKMGESSKSKPPAKNIVKKSPSSLKKDNKKMKMKMKTKKTMKNSQFSKTMKVPPGSGGGQKWTTLVHSGVIFPPPYKPHGVKMLYNGQPVDLTPEQEEVATMFAVMKDTDYASKQTFIDNFFTDWRKILGKNHVIKKFELCDFTPIYEWHLRXXXXXXXXXXXEKKALKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIKPSDITINIGKGAPIPECPIPGESWKEVKHDNTVTWLAFWNDPISQKDFKYVFLAASSALKGQSDKEKYEKSRKLKHHVHKIRDTYTKDFRSKDKTKKQIAVATYLIDKLALRAGNEKDEDEAETVGCCTLKVDNVTCVPPNKLQFDFLGKDSIRYFNTVEVELLVYKAIEEFRAGKKPGQDLFDKLDTTRLNAHLKDLMPGLTAKVFRTYNASITLDDILHKETEDGTLLEKIAVYQRANKEVAIICNHQRSVSKSHDSQMTRLNEKIDELKAQRDELKADLSKVRKGKNLGNDKDGKPKRNLAPEAFEKKISQIETKIEKMEMDKKIKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLIAKFSWAMDVDPDFRF >ORGLA08G0026400.1 pep chromosome:AGI1.1:8:2188417:2191868:-1 gene:ORGLA08G0026400 transcript:ORGLA08G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12230) TAIR;Acc:AT1G12230] MALSISTPPTSSSLLRASLQVGRWSSSAAMSARPVAFSLRRPVLAARVAAGGNAPSSSVDEVVTELDAVASFSEIVPDTVVFDDFEKFAPTAATVSSSLLLGIAGLPDTKFKSAIDTALADGECNTMEKPEDRMSCFLTKALANVGAEMAHLVPGRVSTEIDARLAYDTQGIIQRVHELLKLYSDHDVLSERLLFKIPATWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGASVVQIFVGRVRDWTRTHSGDPEIDEALKKGEDAGLALAKKVYAYIHKNGYKTKLMAAAIRNKQDVFSLLGIDYIIAPLKILQSLEESVTDTDVKYGYVPRLTPALGKTYNFTEEELVKWDQLSLAAAMGPAAEELLASGLEGYVNQARRVEELFGKIWPPPNV >ORGLA08G0026300.1 pep chromosome:AGI1.1:8:2179148:2184151:-1 gene:ORGLA08G0026300 transcript:ORGLA08G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding FICETGFLLKVIAINNQFPGPLLNVTTNWNVRVNVQNNLDEPLLLTWDGIQMRMNSWQDGVAGTNCPIPPGWNWTYQFQLKDQIGSFFYFPSLGLQRAAGGFGPVTVNNRAVVPVPFAQPDGDITLFIGDWYTKSHVELRKMLDDGKDIGIPDGILINGKGPYSYDNTLIPEGLQHETVGVEPGKTYRFRVHNVGTSTSLNFRIQNHNMRVVEAEGTYTYQQNYTNLDIHVGQSYSFLVTMDQNASTDYYIVASPRFVTNESRWHDVNGVAVLQYSNSKGRASGPLPDGPNDFYYKSYSMDQARSIKMNTTAGAARPNPQGSFRYDSINITQTFVLKNELPLRINGKRRRTINGVSYSPPETPLRLADLHNLTGVYKTDFPTMPGNAPPKMASSTLNASYKGFLEIVFQNNDTGVQTYHLDGYSFFVVGMDNGDWTPDCRSRYNKWDAISRSTTQVFPGGWTAVLVSLDNVGIWNLRSEKLDNWYNGQEVYVKVADPLGYNITEMIMPDNALYCGLLKDLQKPQIHQVNSKSSAQAADRWGARVLAMVLLIIAAVVSI >ORGLA08G0026200.1 pep chromosome:AGI1.1:8:2172009:2175269:-1 gene:ORGLA08G0026200 transcript:ORGLA08G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEVVVVVDEEESERRRQKMIEEEKKRLDEEMELRRRRVKEWQEQKRLEEEEAKRREQEAAAGAGTPAAAAGADGDSNAGKKWTLDGEESDVEGYKEDNQNAEDDGGITADLPNEVNDANVAAPMEEDEIDPLDAFMSSMVLPEVAKLEKAVASMESMPASNMGDKNGKSAKDAVSNGDKKGQKKAMGRIMQGDDSDSDYDDDDDDEGGSKDEDDEEFMKRVKKTKVEKLAIVDHSKIEYQPFRKNFYIEVKDITMMTGEEVATYRKNLELKVHGKDVPKPIKTWVQSGLTSKLLDTIKKLGFEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIWAHYGSYQRARGADTFRHXEVREAAWHQLCSYLWRFRCCPADQXTKEGRXNCCLYTGQDDPYPLHQQWNDYXPSESYILGDGXSXXDVXYGLXASDYSDSSEYPPRXADCSFLSHFSTAGGDTGTXGADKACXDPGGWEECRQQRYHTTGXGAARKXEVLEAVGVAWRVVXXRQNSCFCPLTRXMXFSTXRLVSAWISMPIFTLTVNQLLLISTATYATCXLLVVNXMXRSLSWLXIMMSLTIMRTMFIVLDELVMLVGRALLXLLFLTKRSGMHQILPRLWSFLNRLFHRTLKAXLIVSWQKXSKEQNRPMEQGMVEVVSSSMKKRMKLGDLQRRLRQGNMDMRRISQIRILMRKEGFVRRGVTLQHKLLLLPKLPLLWLQPRLLVMPISKYKAPMQGPYFLYLSXPMLQIMRPRHVHFRLHXTFSKIXHGYRHMXSQNIMRLNLTLMISHKMHAGRSLTKRLWVLFRIGLKLQSLQGGHLFLKEKLLVQMSASCTCSXRDPLIVPIMLXTFLVLPKLESTLLFELRIANRTANSEMQGFLVKVFLGRWTAILVFLDDGVICNIRAEKLDKWQVRVFYVKVADPLGYSITE >ORGLA08G0026100.1 pep chromosome:AGI1.1:8:2170750:2171031:-1 gene:ORGLA08G0026100 transcript:ORGLA08G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRSPIFIWLSEPIVEGWLVDRACYQDVINAFLLLIAKNTAIRVWQPSTAQTVSSPASVHCDQPQEEAASRRSK >ORGLA08G0026000.1 pep chromosome:AGI1.1:8:2160033:2162847:1 gene:ORGLA08G0026000 transcript:ORGLA08G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLVDAKLIRVSTAMHRFASASSLPPPAPATAAAAAAQAAALRFGSAATTRVPRALALTASTCPWHRRHLCSSSSSSSSAAAAAATAAAVEEARQGRKQLGATTQLYEYLLANVREHPVLKELREETAAMRGSQMQVSPAQAQLLAMLAQILGAQRCIEVGVYTGYSSLAVALALPESGRLVACERDERCLEVAKKYYQRAGVAHKVDVKHALAADSLKLLIDGGEANSYDFAFVDADKRMYEEYYELLLKLVRVGGLIVIDNVLWYGRVADPLVNDRKTISIRNFNKKLLEDNRVSISMVPIGDGMTICRKLVDT >ORGLA08G0025900.1 pep chromosome:AGI1.1:8:2151605:2152435:-1 gene:ORGLA08G0025900 transcript:ORGLA08G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKTSKELDYVLVPMGMAVMVAYHAWLLLRIRRRPATTVIGINAINRRIWVRHVMEEASGKHAVLAVQTMRNSIMASTVLASVAITLSSLVAALMASGVAHGIFSPGAGDGQGEIVVGAVGETALSIKFFAILVCFLVAFLLNVQSIRYYSHTGILVNVPLHAHRHRRRRPGLAVDYVTATLNRGSYFWSLGVRAFYFSCPVFLWLFGPIPMFASCLAMVCALYFLDVYTEWDEKPEEEEELNGNGDGDDGGAAACHEQPKMAAGNRVTPQQDVV >ORGLA08G0025800.1 pep chromosome:AGI1.1:8:2146717:2147460:-1 gene:ORGLA08G0025800 transcript:ORGLA08G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLDYVLVPMGMAVMVAYHAWLLLRIRRRPATTVIGINAINRRIWVRHVMEEPSGKHAVLAVQTMRNSIMASTVLASVAITLSSLVAALMASGVAHGIFVSSGHAVVGGGVAGEAELSVKFFAILVCFLLAFLLNVQSIRYYSHTGLLVNVPLHAHRHRRRRPGLAVDYVTATLNRGSYFWSLGVRAFYFSCPVFLWLFGPIPMFAACLAMVCALYFLDVYTEWDKADDEEDDLDDDDDGCVPAKC >ORGLA08G0025700.1 pep chromosome:AGI1.1:8:2140452:2142293:-1 gene:ORGLA08G0025700 transcript:ORGLA08G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16835) TAIR;Acc:AT4G16835] MILCRCPFLASARSRTCSLSTVAVAAAVRRGDLTGAEEAFASTPRKTTATYNCLLAGYARALGRLADARHLFDRIPTPDAVSYNTLLSCHFASGDADGARRLFASMPVRDVASWNTMVSGLSKSGAVEEAKAVFLAMPVRNSVSWNAMVSGFACSGDMSAAEEWFRNVPEKGDAVLWTAMVSGYMDIGNVVKAIEYFEAMPVRNLVSWNAVVAGYVKNSHADDALRLFRTMVREANVQPNASTLSSVLLGCSNLSALGFGKQIHQWCMKLPLSRNLTVGTSLVSMYCKCGDLSSACKLFGEMHTRDVVAWNAMISGYAQHGDGKEAINLFERMKDKGVEPNWITFVAVLTACIHTGLCDFGIRCFEGMQELYGIEPRVDHYSCMVDLLCRAGKLERAVDLIRSMPFEPHPSAYGTLLAACRVYKNLEFAELAAGKLIEKDPQSAGAYVQLANIYAGANQWDDVSRVRRWMKDNAVVKTPGYSWIEIKGVMHEFRSNDRLHPQLYLIHEKLGQLAERMKAMGYVPDLDFVLHDVDETLKVQMLMRHSEKLAISFGLISTAPGMTLRIFKNLRVCGDCHNAAKVISKIEDREIILRDTTRFHHFRGGHCSCGDYW >ORGLA08G0025600.1 pep chromosome:AGI1.1:8:2127544:2128293:-1 gene:ORGLA08G0025600 transcript:ORGLA08G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQMNSVVHVSTSPSPSPATSPPPEGKQEHGEVAAVHVVGVGDDEAVMVVKDEEAFGGGGVDYSGRAQWLRAAVLGANDGLVSVASLMIGVGAVSESGRAMLVSGVAGLVAGACSMAIGEFVSVYAQYDIEVAAARRRRRQRRRRGDGDGEEEGSGRLPSPFKAASASALAFTVGALLPLLAGGFVRPWAPRVAAVCAATSAALAGFGALGAALGGASPARSAARVLLGGWAAMAACYGVLRLFANLY >ORGLA08G0025500.1 pep chromosome:AGI1.1:8:2119626:2125618:1 gene:ORGLA08G0025500 transcript:ORGLA08G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQEKDHPVEPSKHISVDDEEITSPPVEEKAAAAADKKFPFFGLLRYADGLDWLLMVAGTMGSFLHGMGPSMSYYLVGKGIDVVGNNIGNREATVHELSKLIPYMWALAIITLPGGMIEITCWMYTSQRQMSRMRMAYLRSVLSQDIGAFDTDLTTANVMAGATNHMSAIQDAIGEKVGMLSMLVVPMLLMVGATYAKMMIDASMKRIALVSAATTVVEQTLSHIKTVFSFVGENSAIKSFTKCMDKQYKLSKIEAMTKGLGLGMLQIATFCSYSLTVWVGAAAVVDRSAKGGETIAAVINILSAAIYISNAAPDLQSFSQAKAAGKEVFEVINRNPAISYESNGTILEKVTGNIEIREVDFMYPSRVDKPILRSFSLSIPAGKVVALVGSSGCGKSTVISLVQRFYDPISGNILIDGQNIKELDLKSLRRSIGSVSQEPSLFSGTIMDNLRIGKMDGTDEEIIEIAKSANVHSFVSKLPNQYSTEVGERGVQLSGGQKQRIAIARAMLKDPPILLLDEATSALDSESEKLVQEALDGAMKGRTVILIAHRMSTIINSDKIVVVENGKVAQSGTHEELLEKSPFYSSVCSMQNLEKESGKSEERFTDQVREEQDNGSGTSNEPSSTAHEQEKSLELNPNQPKQDIRNRASAFYRMFLGTFMLEPGKILLGSTAAAISGVSKPIFAFYIMTVAIAYFDPDAKRIVAKYSIILFLIGLLTFFSNIFQHYIYGLVGERAMNNLREALFSVILQNEIGWFEQPKNSVGFLTSRVVGDTSMIKTIISDRMSVIVQCISSILIATGLSIGVNWRMGLVAWALMPCQFIAGLVQVRSAKGFATDTSTSHRKLISLTSEAVSNIRTVASFGQEEEILKKADLSLQEPMQTSRIESIKYGVVQGVSLCLWHMTHAIALSYTIVLLDKSLATFENCVRAYQAIALTITSITELWSLIPMVISAITILDPALDILDRETQIVPDEPKVHCEDRITGNIEFQDVSFSYPSRQDVIILDGFSLAIEPGQRVALVGPSGAGKSTIVSLLLRFYDPCRGQVLVDGKDIREYNLRFLRKQIGLVQQEPILFNLSIRENISYGNEGASETEIVEAAMEANIHEFISGLSNGYDTVVGDKGSQLSGGQKQRIAIARTILKRPVILLLDEATSALDGETEKVVMSSLAAKEWKSKEGELSNKITSITIAHRLSTVTSADVIVVMDKGEVVEMGSHETLVTTSNGVYSRLYCMQSKGMKD >ORGLA08G0025400.1 pep chromosome:AGI1.1:8:2085013:2102387:-1 gene:ORGLA08G0025400 transcript:ORGLA08G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38120) TAIR;Acc:AT4G38120] MASTSAAAPSHGGAREWRTALLTLRDESVASPSPPALLALLRRVLLSAAPPHSLAASAAAVSAHEVGSDVAFLAETAAAVSPCDGADDVLRGVCHLIHDIMYKTNMEIDSSCLLAMLKFLDVLMQCSLEGSCGKGLSVRKTALDTVSECLQILRFLSKDFGGSTSLPENAHLLRVLISIVSCLQSELNLTDKPNGAGFSSHTFGPINNKNPNIWDMEISAFSMIEDALSKIASSLSEDLWQSIVEVLRKVMDFLTARNFIIESSTMSRFYTSFLRCLHSVLSDPKGPLSAHVPGFVANLQIFFMYGLRSSSPPVITPMEYKMDTKSNAGRYKPPHLRKRGGKGNDSFDGRNSDSESSRYDLSSSDSDMSDSDGYAKTGDRFRSSKARLAAILCIQDICRADPKLLTSLWPLLLPESDVLQQRKYQATLMTCLIFDPIIKVRIEAASTIASMLEGQALVLTQVAEYKESSRRGSFTTLSSSLGQILMQLHTGMLYLIQRETQTTLLSALFKVLILLISVTPYARMPKQLLPTVITDMRRRLLDRHSNKNEHYALLVLRSAVHNYPSCANIIWAKVQYIVLDLLQMQSLEDQRDANFGLPKEESSIKGRCLVAAIKVIDECLRVSSGFKGTDDLKEYRLQDIQQISDCTINKTIKSAPHFETDVPGPSQNFTLDITLGTNRWIEVIERLLPQGLSHGSATVRTASLTCFAGMTYDVFFSLPENKRDYVTSSSIHAALSDTAPAVRSAACRAIGIVACFPSILSSPSLPGKFIDAIEFNTRNSSTPVRITASWALANLCSSIRFRALGTNPSAGVLDKSAISLLVEIALRLAKDGEKVKSNAVRALGYLLRFIRFNNNSDTVDDPSNSVLCGDPVWLERMVHALMSCVTTGNVKVQWNVCHALSNLFMNDTLRLPDMPWASSVYSILLLLLRDSNNYKIRMHAAVALAVPVSRLDYGSSFPDVVRGIEHVLESLSSNSLSSPSNFKHRGNLEKQVTFTALHLFSFVSPKDDQSLRDFLIKKASFLEDWLKSLFSLFNNVEDQPLANEAINDEDGFSPNVAQKAMLSSAVKSLLDIYTSENQHTVAQRFEQLARSLEL >ORGLA08G0025300.1 pep chromosome:AGI1.1:8:2076387:2076725:-1 gene:ORGLA08G0025300 transcript:ORGLA08G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLWKLLLFLRWMCHHYCAMLMSLISLTWEIKGQPDCSRKQVCNFFSFHYILYIMNVHNLYTYSQRGVELFLCWAIMQGFAMMLQNRYQRQRLYTRIALGKVTYFSDQKTN >ORGLA08G0025200.1 pep chromosome:AGI1.1:8:2070052:2074195:1 gene:ORGLA08G0025200 transcript:ORGLA08G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVSCSVVNKCLAYNPCLSRNYYQRSHTVKLQRSQAGQIILPRKLRKSMLWQTNFTQRQIATHCSSDLSTSCREELPSYLTVNVLKDQSCARQGIFRKVIVILNPNSGFRSSREVFYQKVQPTLELSGFMMQVVETAYAGHAHALASTVDLSTCPDGIICVGGDGIVNEVLNGLLGRDDLEEAIQLPIGIIPAGSENSLVWTVLGIRDPVSAATTLAKGGITPIDVFSVKRTQAGITHFGLTASYYGFVADVLQLSEKFRLHFGPFRYVIAGVLKFLSLPQYRFEVNYLPLSPRRNHKLLPVTEKCNDHLAADSSAEDNWVTRKGEFLGIFVCNHFCKPAQGLLSPVIAPKAQHNDGSLDLILVHGSGRLRLFCFFIAYQFCWHLLLPYVEYFKVKHVKVRPIGKTHNGCGVDGELLLGEGQTEWQCSLLPAQGRLLGRHRSASE >ORGLA08G0025100.1 pep chromosome:AGI1.1:8:2067348:2068436:-1 gene:ORGLA08G0025100 transcript:ORGLA08G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT1G06050) TAIR;Acc:AT1G06050] MDCSNGEVQHQWMEDVKAGGAVPFLDPDNCPNGWATPPGDTFMVRGPDYLATKVKIQGGEYLLKPLGFDWMKSPAKICEVLNNKTHRVRKAIDDEVSRGNQPFVWAFNLQLPSKDNYSAIFYFVSLEPVPEGSLMDQFLKGDEAFRKSRLKLIANIVRGPWIVRTAVGEQAICILGRALTCKYTQGSNFIEIDVDVGSSIVANAIVHLAFGYVQTLTVDLAFLIEGQTESELPERLLGAVRFSELNPGSAGVYEVPSEEQQESAPFLPARLWQGFSNMLHNPGNSREPSSTSQSTNGSLHKEDADENTKGSLHKEDVDDNTAGSSLKEDGYESATGSFDKEDTEEDSNGSLHNEDADENTKW >ORGLA08G0025000.1 pep chromosome:AGI1.1:8:2062667:2065129:-1 gene:ORGLA08G0025000 transcript:ORGLA08G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNLSSSSAAASPPSPLSPADGFLCVKEGVDEMIKYVANEPSVGLYFVQQHAQASMPLLLDVKGKVAEKIHEVTLHTEDIEDSICAVRSMAEFGLPIADDMIKDINKSLKIMSKTQPKRGLIQNPTWGFQSGKSSGTWEEDLGTTDGGSSRNYFSSMFNTAKQKASTLRWPQPDFGTKDDTTEESESSAAPESSQAGGHGASTPSDTEKDDLPVSSHLLDNNTATMKESSSTDISKSVENYNKFKEEQELKLQEWLRQSEEADDNKE >ORGLA08G0024900.1 pep chromosome:AGI1.1:8:2060096:2061634:1 gene:ORGLA08G0024900 transcript:ORGLA08G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRALVHRGAAFASRPRGGGGPASALLSSGGRNVSSAPYGPTWRALRRCISSGVLNPARLRAFSDARRWVLDVLISHVRGEGGAPVTVMEPFQYAMFCLLVYMCFGDRPGDARVREIEALQRDLLGNFLSFQVFAFLPPITKLVFRERWNKLVSLRRRQEELFVPLIRARREAGAGGDCYVDSLVNLTIPEDGGRGLTDGEIVSLCSEFMSAGTDTTATALQWILANLVKNPAMQDRLREEIAAAVGGDGEVREEDLQAMPYLKAVVLEGLRRHPPGHYVLPHAVEDETTLDGYRVPANTPVNFAVGEIGLDGEVWASPEVFRPERFLAGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVREFDWREVAGDEVDLTEKLEFTVVMKRPLKAIAVPLRGDRSAAVE >ORGLA08G0024800.1 pep chromosome:AGI1.1:8:2052179:2053606:-1 gene:ORGLA08G0024800 transcript:ORGLA08G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRTGSSTPSPRCSASCARCCCAPAPPPGPRTVPVLGPLLFLVRRDIDVEPTLRRIAAEHGPVFTFAPLGPTIFVAARGAAYRALVQRGAAFASRPRAVSPASVWPHLDGPPEMHLLRRAQPGPPPRVLRRATLCARCPRLPRPRRGRCSGDRDGALPVRDALPPGAHVLRRPSRRRAGEGDRGDAARPLANFPSFEVFAFLPPITKLVFRKRWNEMVSLRRRQEELFVPFMRARREAGAGGDCYVDSLVKLTIPEDGGRGLTDGEIVSLCSEFMSAGTDTTATVLQWILANLVKNPAMQDRLMEEIAAAVAGDGEVREEDLQAMPYLKAVVLEGLRRHSPGLYALPRAVEDETTLDGYRVPANTPVNFAVREIGLDSEVWTSPEVFRPERFLAGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVWEFDWREVAGDEVDLTEKMLXFTVAIFWEYARRAFLY >ORGLA08G0024700.1 pep chromosome:AGI1.1:8:2048810:2050236:1 gene:ORGLA08G0024700 transcript:ORGLA08G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHKSGMEAVAVTIPPLHTGESNHRIDSNVSSQCHADPAELSDETQQQSLWHQGLRKIIPSSVPLLKKVSAEFFGTFILIFTVLSTIIMDEQHKSIETLLGIATSAGLAVTVLVLSLIHISGCHLNPAISIAMAVFGHLPPAHLLPYISSQILGAVAASFAVKGLYHPVNPGIVTVPNVGTVEAFFVEFIITFVLLFIITALATDPNAVKELIAVAVGATVMMNILVAGPSTGASMNPARTIGAAIATGRYTQIWVYLVATPLGAIAGTGAYVAIKL >ORGLA08G0024600.1 pep chromosome:AGI1.1:8:2042175:2043542:1 gene:ORGLA08G0024600 transcript:ORGLA08G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKMSSMGMDAAAASVTVPPMQMQAGDQSNRIAIIISPRAGSSKILPFELVNGAANAGSQRHADPAESTPEAHHHLWHPGDLPKIKPPVPLVKKVGAEFFGTFTLIFTVLSTIIMDEQHKGVETLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMAVFGHLPPAHLLPYIAAQILGSITASFAVKGMYHPVNPGIVTVPKVGTVEAFFLEFVTTFVLLFIITALATDPNAVKELIAVAVGATIMMNALVAGPSTGASMNPARTLGPAIATGRYTQIWVYLVATPLGAVAGEGFYFAIKL >ORGLA08G0024500.1 pep chromosome:AGI1.1:8:2038025:2039231:1 gene:ORGLA08G0024500 transcript:ORGLA08G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAEFIGTFILVFTVLSTVVMDARHGGAAENLVGVAASAGLAVVAVVLSVVHISGSHLNPAVSLAMAALGHLPPAHLLPYAAAQTAASLAAAFLAKGVYRPARPAVMATVPAAGVGAGEAFVVESKELVAIAIAAAIMMNALVGGPSTGPSMNPARTVGAAVATGEYRQMWIYLVAPPLGAIAGAATYTLIKP >ORGLA08G0024400.1 pep chromosome:AGI1.1:8:2031203:2035523:1 gene:ORGLA08G0024400 transcript:ORGLA08G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:monodehydroascorbate reductase 6 [Source:Projected from Arabidopsis thaliana (AT1G63940) TAIR;Acc:AT1G63940] MASTAAAASSQGCISWALRQRGLGGGGARAVPVLPRRRFCVSAAAGAGFDNENRRYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAYPPYERPALTKGYLFPPDKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTHTLKTSSGKILKYGSLIISTGCEASRLPAKIGGNLPGVHYIRDVADADSLVSSLGKAKKIVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEELYQQNGVKFIKGALIDKLEAGSDGRVSSAVLEDGSVVEADTVIVGIGARPVIGPFEAVGVNTKVGGIELQVDSLFRTSIPGIFAIGDVAAFPLKMYDRMTRVEHVDHARKSAHHCVEALLTSHTKPYDYLPYFYSRVFEYEGSSRKIWWQFYGDNVGETIEVGSFEPKIATFWIDSDSRLKGVFLESGSSEEFSLLPQLAKSQPVVDKAKLKSATSVEDALEIARSSLHSGSSV >ORGLA08G0024300.1 pep chromosome:AGI1.1:8:2026209:2029468:1 gene:ORGLA08G0024300 transcript:ORGLA08G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAWPVCTICYEDLRPLSDQHLHCLPACGHVFHALCLEQWLEYCPGGKKKLTCPICKQPCGAAHPPTRLFFQSTGACPTQTASSSPSRHEPTDGGADREELAAEVARLEQKAASLGRVLDEQRDGIQKLNAEVAMWREKAAEAEVMKESVRREKECVQHLLNAKTEELSRKTSECGRLQEKGLSLAKELAALKLSTDMNLQEEEILKLASLGNHGNAANAVDVLTRSLALRNKSYKELMIQCNVLGRSESRSQQRFEKAKELIKKLKARVQDLEKEQEEKENGVIRDLRSAKKFKADQTNSGNTTVNNGFSGLAAGCGDYPMKLDEVMHDPCDKPGPSPEAKNDLNIKDKMDDKHADVIDLDADDSVFQHEHKKGLSAKPFGNDGNDLDFKSRSSLQERYRKESISCKTYVAEENSFLKPSMVTERSALQESFTTNKLQSFQETPVVRSMKATTSTWEKETLTIDGISKQATRMAPGTGPQQVHNFNSLSDDFQIPIRNLGGEGTGKSVGKWCKGVATPGSLNTNANKRNLIAVGPDGRGGKVKILRDLGKFQDSKTQALWPKAQKVGSRGGQSQIDHFFGKR >ORGLA08G0024200.1 pep chromosome:AGI1.1:8:2022896:2025013:1 gene:ORGLA08G0024200 transcript:ORGLA08G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRCASSTFASRRGGPPHRPASRSRCAATAAATRRRPPTPLSLPLRLDRRDPASGEATYVSTASARLPPPSAAFEVADHRGAALLRGSLRRCPDAKPDSSPAWAIDCIPAAGAEAETSAFEVYVAGCCAGEPAVLTCALRLATPEEQKAAGGLVRRRSPTSNAAGDEDVNGSIQHPEGWYSDDDDGQLTWFNAGVRVGVGIGLGVCVGVGIGVGLLMSSYQATARSLKRRFF >ORGLA08G0024100.1 pep chromosome:AGI1.1:8:2021859:2022536:-1 gene:ORGLA08G0024100 transcript:ORGLA08G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGRKAAVTGREEAEVEALLRAAQDAVMLKLQANSHLVSSSSSATAPNLPPSLDHPAAAAAADPLDADLARRFDALRSHRPPDPKPKQPDAPSAAAAGGMDELEARFAALKGAAGPEKETRVRLEDLGGESDEDEDDEVDKVMRWAMDAARLDVATAGAGKAKSTKKDDDEEEEEKDQTSSSVSSEDEEEEEEEKLEKERERKRKEMMSKNKSKTKWFSLF >ORGLA08G0024000.1 pep chromosome:AGI1.1:8:2018853:2021028:1 gene:ORGLA08G0024000 transcript:ORGLA08G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKDTRKSLGRILLKGDNITLMMNTGK >ORGLA08G0023900.1 pep chromosome:AGI1.1:8:2003081:2005254:-1 gene:ORGLA08G0023900 transcript:ORGLA08G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 103 [Source:Projected from Arabidopsis thaliana (AT1G63910) TAIR;Acc:AT1G63910] MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTAEEEKLIISLHAIVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPAAAAAAATTTSPNNPPPCSTATSDHHHLPPPAFGGADHHLQLDAIINQNLISSLPPKLAGGDDSPPAVPGLPHHCPLFMFDATTTGAGGAVSPPPPSSLIPTHLHHHHHPFIASFTAAMSADTPSYLPPLVDGMAAMGAAMDCSLEDGQTAAAMAATNGYYQHHQKHQQLEIELEEEEQRQLGHHHHQHHHEHEHEHENHQWDEEEAQHLLMWDQEVLTSSNLEAMQSGAHSLLFMGPNDHD >ORGLA08G0023800.1 pep chromosome:AGI1.1:8:1987525:1993368:1 gene:ORGLA08G0023800 transcript:ORGLA08G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLKIRAPPRCASSASPSRRRRRRSRSRSRSRIRPTPGIRARGRRGEEAMRKCKSMGNLAAXXXXXXXXXXXXXXXXXXXXXXXXXSDGGLLLSSGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGISKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMATDMSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEGVSSSSTVNLAPQVARDLPPPIPSFKATNVDSSLSKMNHMDGFLRAPMLFRPIPRIAEGASSSTPATASIADLEFQANLTACSNALFASPRRKPKKADPPAEKDLDLTVAPPSQQNRASISSQNAVGVIQVV >ORGLA08G0023700.1 pep chromosome:AGI1.1:8:1983954:1984677:-1 gene:ORGLA08G0023700 transcript:ORGLA08G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMPLNISFRRAIVGQKLIKWNDLILRLANITLSNEKDDFVWSLHKNGQFSVKSMYAAIMNCNVRIKKRILWDLEIPLKIKVFMWFLHKKVIFMSSYWLHFWSTMLPQEEQDTMRNGATLLESVAKGLLFHYG >ORGLA08G0023600.1 pep chromosome:AGI1.1:8:1976883:1980917:1 gene:ORGLA08G0023600 transcript:ORGLA08G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQPSGSSADASASSSSSSAVENLAAGMAAMSLQDRFELLRGIGEECIQEDELMNLLQKKPVPICYDGFEPSGRMHIAQGIVKTINVNKMVRAGCKVKIWIADWFAQLNNKMGGDIKKIQTVGRYMIEIWRAAGMNLDGVEFLWSSEEINKRANEYWPLVMDIARKNNVKRIMRCCQIMGRNDSDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNMLAREYCDDIKRRNKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEAQVNLKIKQAFCPPNIVDGNPCLEYIKYIVFPWFETFEVVRKEENGGNKTFANMNELIADYESGALHPADVKPALAKAINQILQPIRDHFKNNSEAKVLLNTVKNYKVKTEDTSSRPQAS >ORGLA08G0023500.1 pep chromosome:AGI1.1:8:1971274:1973686:1 gene:ORGLA08G0023500 transcript:ORGLA08G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGGGEAVEEGTTTRVGDLPEACLADVIALTSPRDACRLAAVSPSFRAAAESDAVWDRFLPPDYRAIAPLPPPPATAAASGGKRMKKGVYLGLCDKPVPVDDGSMMVWLEKESGAKCFALPARKLSLPWEDGEFSWRWTPHPLSRFEEVAQLVDCTCLDIYGRLPAAALTPATPYAAYLVFGTAAAAEGHRGLSFPDQETTVSAAGRVVARHAVCLRPDDAEARKFRGVGLAGAGVPVRRPARRGDGWSEMELGRVAADEVAGAGGEDVVASFEVLGWYPKRGLVVECMEFRPVV >ORGLA08G0023400.1 pep chromosome:AGI1.1:8:1962470:1962971:-1 gene:ORGLA08G0023400 transcript:ORGLA08G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYMPLQDEDEDEDDSFRSRKNEVLKRDLRRCQSFNNLKKLSVDDWCVDVDLSALIYLLRCSPIIEKLTLHLGMIEGLAWEQWMSYPQEETPDLSFSCERLKKVKIICVQDDKRVPAIVIAILANANSLPEIVIKPYKRFE >ORGLA08G0023300.1 pep chromosome:AGI1.1:8:1911681:1915494:-1 gene:ORGLA08G0023300 transcript:ORGLA08G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTTLKSFDIHNAEDIEHLPKQIEYLVIEMPNLVTLHIEEIPRRNIHLVDLSSVKEATIYFFEHSFRNSAVDCNILSALSNATSLKLICDSVYDEVSKVLMRDLPRCKAFDNLKRLKLGEWFLRNGCYPLLFLLRYSPHIEKLRLQLTKLGAEEYEKFPTAAAAIDPPCKEAARTFHCEKLTEIEIVYPQGDKRVHIIVRILIANISPLPEIKIKPCPKSRLSXMELMTMRITQMLLQQLILLAEK >ORGLA08G0023200.1 pep chromosome:AGI1.1:8:1905836:1907958:1 gene:ORGLA08G0023200 transcript:ORGLA08G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLVLRCATSTEFFLKLSVRMPNRTITEGRLLFFNVHYGIALLEVKGDFQLQVPSFGLGINYGQDVFALARDENMSLMVRHGTISWLDYPGLLTNPYMFLSCDIPEGGSGGPVVDHDGNIIGIAFDRNPGPVVISITTIRTCIEMWHQFSRVARPMLGMQLKAVELLDVSMREELCLEYNITGGFIVNLVKVDSTAERIGIRRGDVIVFKDNRCSTLPQLEDYLLSLGWGYLQGLSFTVDLKVEVHNLADSYKESITFPVPFSDVSKRVTT >ORGLA08G0023100.1 pep chromosome:AGI1.1:8:1888767:1890460:-1 gene:ORGLA08G0023100 transcript:ORGLA08G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSKMARANLKISGHGHDTTGVPTSFTQCFLDLGQGVSSHLDCEGQFCELENLLDAKIYRVSDREEIELCVPSAKEMYRFYDPKTICFEDLSEELGVLCPKYDALITELQNKQSYMYEDADDITKESRRRLSNFSKNLLLP >ORGLA08G0023000.1 pep chromosome:AGI1.1:8:1887813:1888010:-1 gene:ORGLA08G0023000 transcript:ORGLA08G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGYPNSTVHRISELLSVFDGNPDTIFVFVSGRKYPYSYPYPKYPRIIRSERYPYPFLTGADGNYPL >ORGLA08G0022900.1 pep chromosome:AGI1.1:8:1883106:1883966:1 gene:ORGLA08G0022900 transcript:ORGLA08G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNNNRRRPNLLTGGCSAGKQYLASKTAPSIFSVEVAVRHEKQNNLKAMRKYVALTDKATDVMDTGVPTDELTIKAKRRKFGERQQTGFVVHSSDTQLFILTTAHAVDCVFKKGVHQVTAEELNLVFLFGVACTHHEAQIQADYPDGNVSELLRSYCDAHVVALDTEKDLLLLEVTKDELCLQDVDVGGVFVPCSSDHPIIHLADLPPEQSDLSLLQGWPPLRANSSIWGSVSYLERPYDVLTSCNTKGYTMKLTEFHEFDCANGFSGGPVINGMVNVWLYFTQ >ORGLA08G0022800.1 pep chromosome:AGI1.1:8:1860091:1868336:-1 gene:ORGLA08G0022800 transcript:ORGLA08G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKPRSAPRRKICSSTDAATGESPVATGTWTRSRTRRMIMRLDSTVSDSAGGDGVQGGGGGGGVGGGQGGGGGGRGGGWGGGRVTAEAEVPACSTPRKSKRIVVVSPSSGSVLKKSRKTTPQKASRTKERGTTAAAGTSLASRPVPLRYPDYPSLQPGQHTLSNKYMCAVGNWMTECSRISKLEKQAHRKDIPTLRGEPKDPRTADAVVSSEDKAMVGRVACSVVGVKSKKPDGELVSQCTGIVVGLDGVNKCAKILTAASLVCDFEGELHDPTLMLSVHLPNKVVTEGRLLHFNVHYGVALLEILGDFQLQVLSFGSSTNYGMDVFVLARDESMSLMVRHGKISWLYYPMLWNNHCMFLSCDIPQGASGGPVIDHDGNFVAIALVNNPSPVVIPVSTIRTCIDMWLQFSRVARPILGMQLEAVELLDVSTQEELRRDYNVTGGFVVNQV >ORGLA08G0022700.1 pep chromosome:AGI1.1:8:1857775:1858176:-1 gene:ORGLA08G0022700 transcript:ORGLA08G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDKRALLAAENGHGGGGPVAVSFNTNAIVLLALLVCGLGAVALHVVLQCALRVMPPSREERMHETRSATWPR >ORGLA08G0022600.1 pep chromosome:AGI1.1:8:1838155:1838803:1 gene:ORGLA08G0022600 transcript:ORGLA08G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVAPALLVDALLRRQHGHPLPPQLPFAIFDANVFASDPSTLYNEYWSYAADDGSIYLFSPGPSTEGQWRTATAARSITTADGTYIGRRTTWVIFDRANGGWAMEEFCTYHNDGGGGGVAEDVRLYRIYRRIPSLQPLPPLVQRRRQHQVGLEGQFSQMCSLR >ORGLA08G0022500.1 pep chromosome:AGI1.1:8:1832859:1836106:1 gene:ORGLA08G0022500 transcript:ORGLA08G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVHVLLLLLPLATITSASSAPLPLLALLSLRSSLGDPAGALRSWTYAAAASAGATRSLAPPWCAWPGVACDAATGEVVGVDLSRRNLSGTVSPTAARLLSPTLTSLNLSGNAFAGELPPAVLLLRRLVALDVSHNFFNSTFPDGIAKLGSLAFLDAFSNCFVGELPRGIGELRRLEHLNLGGSFFNGSIPGEVGQLRRLRFLHLAGNALSGRLPRELGELTSVEHLEIGYNAYDGGIPPEFGKMAQLRYLDIAAANVSGPLPPELGELTRLESLFLFKNRIAGAIPPRWSRLRALQVLDVSDNHLAGAIPAGLGELTNLTTLNLMSNSLSGTIPAAIGALPSLEVLQLWNNSLAGRLPESLGASRRLVRLDVSTNSLSGPIPPGVCAGNRLARLILFDNRFDSAIPASLADCSSLWRVRLEANRLSGEIPAGFGAIRNLTYMDLSSNSLTGGGIPADLVASPSLEYFNVSGNLVGGALPDMAWRGPKLQVFAASRCGLVGELPAFGATGCANLYRLELAGNALGGGIPGDIGSCKRLVSLRLQHNELTGEIPAAIAALPSITEVDLSWNALTGTVPPGFTNCTTLETFDVSFNHLAPAEPSSDAGERGSPARHTAAMWVSAVAVAFAGMVVLAGTARWLQWRGGDDTAAADALGPGGARRPDLVVGPWRMTAFQRLSFTADDVARCVEGSDGIVGAGSSGTVYRAKMPNGEVIAVKKLWQAPAAQKEAAAPTEQNQKLRQDSDGGGGGKRTVGEVEVLGHLRHRNIVRLLGWCTNGESTMLLYEYMPNGSLDELLHGAAAKARPGWDARYKIAVGVAQGVSYLHHDCLPAIAHRDIKPSNILLDDDMEARVADFGVAKALQSAPMSVVAGSCGYIAPEYTYTLKVNEKSDVYSYGVVLLEILTGRRSVEAEYGEGNNIVDWVRRKVAGGGVGDVIDAAAWADNDVGGTRDEMALALRVALLCTSRCPQERPSMREALSMLQEARPERKNSAKKQVK >ORGLA08G0022400.1 pep chromosome:AGI1.1:8:1822192:1826604:-1 gene:ORGLA08G0022400 transcript:ORGLA08G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT4G17370) TAIR;Acc:AT4G17370] MAATTATAAAAAEVRYGIVGVGMMGREHLHNLAHLAGEVGRAEPPVRVRVTCLADPHPESLRLGLQLAADLGLPAPQVYTRHGELLDSGLCDAVVVSSPNMTHYQILMDIISHPKPHHILVEKPLCTTVQDCQKVVEAAKQRSDILVQVGLEYRYMPPVAKLIDTVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDEVYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEISVVGDIGKGEAFVPESIVRVGKRTGGRDGVVTIMAEDERIKYQGLHHGSSYLEHLNFLSAIRLQGASGPSVDITDGLLSVAIGVAGQLSIEKGRFVTIEEVLAG >ORGLA08G0022300.1 pep chromosome:AGI1.1:8:1764164:1764388:1 gene:ORGLA08G0022300 transcript:ORGLA08G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSAAPSTPCLDAYSGWSFTIPSTSIARRTVSSRALCDPSRSAATHGCRSNTSAPLNLHKPPIPLAVILSIDTG >ORGLA08G0022200.1 pep chromosome:AGI1.1:8:1744835:1747533:-1 gene:ORGLA08G0022200 transcript:ORGLA08G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSEGNPEKRERIQDQLAAASSAAAAAAGPVPKKRKSNETTTAGSTTLASRPLPLRYPPYPTLPPGTRPTSTKYLDPVLDRKYLDSVLEWAKERRRIAKLSKKAQCKDIPTLRDDPITADAVVTSQDKAMVLRVARSVVSVSSTMPDGGGLISRCTGVVIGWDGANKRAKILTAASVVCDFNGELHNPALKLSVSMPNNTTTEGRLLFYNVHYGIALLEVMGDYKLEVPSFGSGTNYGQVIFALGRGENMSLMVSHGTISWTDYPVLLRNHNMFLSCDIPEGGSGGPVVDHDGNMIGIAFEENPGPVVISIKTIMTCMEMWDQFSR >ORGLA08G0022100.1 pep chromosome:AGI1.1:8:1735238:1735789:1 gene:ORGLA08G0022100 transcript:ORGLA08G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMMMMKHSSGSSPCHHAISRRSAAVELHRCSRRRRPSPQTTTVALARRRSPAAVRCFSLHGDSGGGGGAGAGLVDEGMPVLRQRISEIKAAAAATEEEEEYFSRDAPPEWEEEYSVWETAGGGGDGQLFHVLGDFLMRSRPGVAVGIAVFLMVSLPTSVFFAGCTRLVVECQRLLFNLTKC >ORGLA08G0022000.1 pep chromosome:AGI1.1:8:1731118:1731708:1 gene:ORGLA08G0022000 transcript:ORGLA08G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKQSVAAAKPSLAKKTASASFRLRNGSLNAVRLRRVFDLFDRNGDGEITVDELAQALDALGLVADRDGLAATVSAYVPEGAAGLRFEDFDALHRALGDALFGSLDGAAAAGEPGGGGGDEEEEMREAFKVFDVDGDGFISASELQEVLKKLGLPEAGSLATVREMICNVDRNSDGRVDFGEFKSMMQGITVWGP >ORGLA08G0021900.1 pep chromosome:AGI1.1:8:1700845:1703474:1 gene:ORGLA08G0021900 transcript:ORGLA08G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGAPPASGGGGAAEGPRRCSQCGHHGHNARTCTARGPVKLFGVRIGDKPPTAAAGGGGGMRKSASMGSLAQLAEGGGGGGGREEGYGSDGNDDKRRKRGEAWSEEEHKKFLLGLSKLGKGDWRGISRNYVGSRTPTQVASHAQKYFIRQTNVHRRKRRSSLFDMVIDDSDDQPLSRTSSQEVEVEENLEDGHPVTAPVIPPAPVPMLSSSLVPPPVPAMAPVAPGPVLTSASATLPVSAVAPQTDEKEQVASGSNTTETGAAIPEVMPPYGYPMMLPPYYPPAFVPMPYYGYVPVFYAPPGAVQAQHEVVKPVAVHSKPPVQIDELYSMSELSLKGEAGVKNGTPNSPLPPRPIGRPDRQSAFHGKGPSDGSSNGLIPAK >ORGLA08G0021800.1 pep chromosome:AGI1.1:8:1697770:1698096:-1 gene:ORGLA08G0021800 transcript:ORGLA08G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAVATTDSIPLLPSTSDTSRSATSPSSSSTSALLLSHQLWYGGKLASWGCQRRLIWSLAAEHKRHASRSATSPASSSTTQCIRRHNINLKCGPPNRLPCFRVPA >ORGLA08G0021700.1 pep chromosome:AGI1.1:8:1690103:1697067:1 gene:ORGLA08G0021700 transcript:ORGLA08G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNSMIPPSEASQLHDAGASPMFLDNTSATTTSFTNLVEPDDSSAGLGMKQIETDQGANEDSQTRKASEKMTPKVGMKFNSEQEVYDFYNAYASKIGFFIRRSSYHYMGNTKIIKNRTFCCCREGTRGVDTRTEALGYGNSFNRPETRCKCQACMKISLIDGFYQVYHFVPEHSHILATKSQAHQLRSQRKLNEAQVASVEVAKSVGISTKAVVDLLAKQSCGYENLGFTRVDMKNKLYSKRSLQTKQEEEEEFIKAWHQLLDKYELQQNKWLQRIFDKRHQWALVYGRNTFFADMSTTQRNESFNSELKGYISVKYDMLTFFEHFDRLLGDKRYEEVKCDFRATQSTPRPKAELRILRDVVEVYTPAVYKIFEEEVMQTLNCDIFYCGDVDEQKVYKIKSHEDIEKCLKIRCNPDLETSSSPQGVKEN >ORGLA08G0021600.1 pep chromosome:AGI1.1:8:1675028:1680951:-1 gene:ORGLA08G0021600 transcript:ORGLA08G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGDEAAVLRAEESASGGVDVWSDAVSSHDPDHLLVMVHGILGSNADWQYAANEFVKQLPDDVIVHCSEKNINTLTLEGVDVMGERLTDEVIDVISRKPELTKISFLAHSVGGLAARYAIAKLYRHPSDTSKSETKGTICGLEAMNFITVATPHLGSRGNNQVPLLFGSIAMENFASRVVHWIFRRTGKHLFLTDDDEGKPPLLQRMAEDYGDLYFISALHAFRRRVAYANADCDHIVGWRTSSIRRKTELPKWEESISEKYPHIVHEEYSEELSDETCQNSATVCDSDILEEKMVTGLRRVSWEKVDVSFHTSMQRFAAHSIIQVKYQFMNEGADVIQHIIDHFQV >ORGLA08G0021500.1 pep chromosome:AGI1.1:8:1668462:1669907:1 gene:ORGLA08G0021500 transcript:ORGLA08G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAPPATSLLRPAAASLTTASNSSNRVHLKNLEHLFRNRGAAVAVESATPAQQQQPVLKAPLLRLPSFLARGRGEVAMKEEAHGVSPRRLERVLLPAAPDGPSPRGNIAATWRRLHGEHDWRGLLDPLHPDLRREIVRYGEFVGAAYGAFLSRPDAAPGDRARAAPPLQDGGAYRVTAPLFATSSVGLPAWLASAAPCAAQRTSLVGYVAVCDSPAEVRRMGRRDIVIALRGTCTVLEWAENVRAGLVPATEAASAADSPDAPTPKVECGFWNLYKTAAADGSPSLSEMVVTEVRRLLTKYEGEEVSITVTGHSLGAALAVLIADELAGLGAPAPVAVFSFGGPRVGDRAFASRVEARGARVLRVVNAHDVVPRFPPPSRYADVGRELRLDSRASPYLRPDADAACCHDLEAYIHLVDGFLGSHCPFRDNAKRSILRLLENQGGNVKQLYISKAMDMRVRLDAAVADMPAEVLECVH >ORGLA08G0021400.1 pep chromosome:AGI1.1:8:1652221:1655166:1 gene:ORGLA08G0021400 transcript:ORGLA08G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSWGLGRPSGDRRWLLPFAASLLVSAALFLAAACGLFSPPSLADGDDDSILIDVATWDTASAAESEIKNRLLDSNSDSDDGDNPDDAAVNSDASSADPPRIAYLLEGTKGDGARMRRALQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDAMFSEVGNVRVIAKGNLVTYKGPTMVACTLHAVSILLKEGLEWDWFINLSASDYPLVTQDDILHVFSSLPRNLNFIEHMQLSGWKVISRAKPIVVDPGLYLSKKFDLTMTTERRELPTSFKLYTGSAWIMLTKTFLEYCIWGWDNLPRTLLMYYVNFISSPEGYFHTVICNSDEFRGTAVGHDLHYIAWDYPPKQHPNMLSMKDFNKMVKSGAPFARKFPKDDKVLDKIDRELLHRSEGQFTPGAWCDGSSEGGADPCSSRGEDSVFEPSPGAERLRGLMKKVLSWDYRNGSCSSLGYDQTKRDWYVPKGRG >ORGLA08G0021300.1 pep chromosome:AGI1.1:8:1648216:1651524:-1 gene:ORGLA08G0021300 transcript:ORGLA08G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1-like2 [Source:Projected from Arabidopsis thaliana (AT3G13682) TAIR;Acc:AT3G13682] MSSSSRRPARRAALTARSSYDESLVDAELESYLGNARSRRISRLRRLSADERQRETETEALIALSLGFPIDELLPAERPLLPAPVAAAPNDYIVVRNHILASWRADPRVPLPRSRVQETVAASYDNLVAVAHGFLAREGHINFGVSAAFPASPPPDAPQRLAASVLVVGAGLAGLAAARQLLRFGLRVLVLEGRARPGGRVYTTHLGGDQAAVELGGSVITGIHANPLGVLARQLGIPLHKVRDSCPLYHHDGRTVDMKLDRSMDLVFNTLLEHATRLREYLKKAAEGISLGEGIERLRRFYKVAKSVEEREVLDWHLANLEFSNAGCLSELSLAHWDQDDQYEMGGDHCFLAGGNARLVHALCDGVPVLYEKTVKRIEHGEDGVSITVEGGQVFKADMALCTAPLGVLKSRSIIFEPELPERKLEAIQRLGFGLLNKVAMVFPHVFWDEEIDTFGCLNKERSKRGEFFLFYSYHTVSGGAVLIALVAGEAALEFEKVDPAVALHRVLGILKGIYGPKGVTVPDPIQSCCTRWGSDPLCSGSYSHIRVGSSGTDYDILAESVNDRLFFAGEATNRAYPATMHGALLSGLREASKILHASESRLNSDYKKYALQKSIRLINNVLDDLFMEPDLECGRFSFVFSYITPEEEQAPGLARITLEKPLLLPSKKRKVKGNQKDQDPVAEKIDQEVFYLYATVSQEQATELMECDDDKSRIAVLCKDLGVKLMGYDSTYDVCSHLISSISRAQKARKRLQGPKSLKTGL >ORGLA08G0021200.1 pep chromosome:AGI1.1:8:1644444:1647463:1 gene:ORGLA08G0021200 transcript:ORGLA08G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDAIAAARNAGCSSGRISQPPPPPPPFYSAATGIYSSIHPPVALPTDPSLTLVAHLFARLPLADPGAPSLVDAATASAVSRADLRRLVASLAAGLRRRHGVRKGSVVLLLLPNSVAFPVSFLAVLAAGAVATTMNPSSSPAEIAAQARATGACLVLASRDGAARLPPLAAPVVLVPEILDHSAAADDGDDDQRVFAAFRAMLDGGGGDGDATETAVPVVGQDDAAAILYSSGTSGRSKGVVLTHRNLIAMTELFVRFEASQYHARGARENVYMAALPMSHVYGLSLFAVGLLSLGATVVVMRRFDAGDAVAAIGRYKVTHMPLVPPIMAAMVRAAVAGGVPPSQVASLVQVSCGAAPITAALIHEFLQAFPHVDFIQGYGMTESTAVGTRGFNTSKHKKYTSVGLLAPNMHAKIVHLESSSCLPPGFSGELWLHGPGIMKGYLSDDDDACTRKDGWLRTGDIAYFDLDGYLYIVGRLKDTIKYKGFQIAPGDLEEVLIHHPEILDVAVTSAEDEEAGEIPVAFVVRRSGSNLSCKQVMEYVAKQVAPYKRVRKVVFVEAIPKSPAGKVLRRLLRNSHDTAAAATSSCSISSKL >ORGLA08G0021100.1 pep chromosome:AGI1.1:8:1633536:1633925:-1 gene:ORGLA08G0021100 transcript:ORGLA08G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIPFLSPLLVAVSLIVAGAGAGDETTTCPGAPSMTVESACRNVSHTQAMYDACKGALAGVPNPMSDHDATVYALAAVRGAMASADATVVAANEQVTYNGSLSGEEKGAYEGCVEAYAAAEHTPWAPS >ORGLA08G0021000.1 pep chromosome:AGI1.1:8:1629475:1630041:-1 gene:ORGLA08G0021000 transcript:ORGLA08G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIPLPLRLLVAVSLTVAGVAGDDEKTCPGAPTMTVESACRNVSGTQAMYDTCRDALAGVADPLSDHDVTIYALAAAHGAAASAEATMGAAIDEVRNNRSLPGDERDAYMECAVDYSTALHAMGSVVDKLDGCSFDGLAGDYLNGLIDVENCRDRVLKLPASPLYAMVLVDRNKAGMALFLGKLLGI >ORGLA08G0020900.1 pep chromosome:AGI1.1:8:1627065:1627598:-1 gene:ORGLA08G0020900 transcript:ORGLA08G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAAVFAVLSMSATATLLLLLAGGGGVADACSDWDVPSMSAAAVCQRASTGRTISQICADEGGTATAPEQEVTVFVLAAVNAGARSYEATVGSYLWPLATDPSAPGAARAAAQACVHKYGDARAAADGRRGGPPERLRAGGARQGRSGGVVAVDDCAIALLQVFGVNSAWYRTVVG >ORGLA08G0020800.1 pep chromosome:AGI1.1:8:1624130:1624687:-1 gene:ORGLA08G0020800 transcript:ORGLA08G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVALTLSLLLTAASLLAGGADGCDGVPRMSAVDACKQASTAGVMWQLCVRELGASPEPEEVTGFVAAAMRANREAYGVSYDAAEKVRVDPTSPAGLATVSGYCEGKYDTAQELMTGWIDRLPGCDITADIRVDLASAAAAVDECATLLLQNGGEHTTLYQMVLLDRDRAVLAVRLAILLVPNKV >ORGLA08G0020700.1 pep chromosome:AGI1.1:8:1621958:1622323:-1 gene:ORGLA08G0020700 transcript:ORGLA08G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATVFAVAATKYAKESYESTMEAAFRALQNASLPGDERAACAACRDTYYAQARSSTVAAMNLLAECSLGQLGGEYANAADAIKACRDAQSKLQSPAIYGLAVSDLMVAALASGLGELVIAKQ >ORGLA08G0020600.1 pep chromosome:AGI1.1:8:1617720:1618295:-1 gene:ORGLA08G0020600 transcript:ORGLA08G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTIFAVLSLSLLLLAGGGGADACEDYDVPMMSAAVAFERASTGRAMSEMCADEVGTATAPDQEVTDSVFAAVSAAARSCAATARAVRDMARDPSTPPGAREAGRACGGRYGEAMARLADAVGHLNGCQLVELSADAPAAIAAVDDCTTALLPVVGFSPLYNRVIGDRDRCVLALRLISILLHHPGSTLA >ORGLA08G0020500.1 pep chromosome:AGI1.1:8:1615696:1616121:-1 gene:ORGLA08G0020500 transcript:ORGLA08G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLCAAMLGTSPEPKEVTGYVFAAMDSNIAQFLISYEAADKVRQDAASSEQLSAACETCMGKYDLAKMKMVYSGDRLRSCDMXPVTREDLLTAVVGIDDCATLLLNAAGDKTPLRRMVLLDRDRAVLLLQLAILLLPNKS >ORGLA08G0020400.1 pep chromosome:AGI1.1:8:1612243:1612815:-1 gene:ORGLA08G0020400 transcript:ORGLA08G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSATVFVALTLLVAGSVVVVVVDACDGVPRMSAVEACKQASVGPAMSRTCAETLGTSADEQEATDFMVAAANAATESYKAGKEAVGKVLSNPLAPDGERLPCLVCANKYDDASMLVASTADDAKRCKLSADSLPDLVTAVSAVDECATKMFEESGNTTSVYATAITNRDWTVLVLRLATLVVPRQQLS >ORGLA08G0020300.1 pep chromosome:AGI1.1:8:1609666:1610220:-1 gene:ORGLA08G0020300 transcript:ORGLA08G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSISHRLPRRRRDGLSRRRRGGGRLRQRAVDEHGRRMPEGVDVAAAARAVPRRAPERAGERGGDGVRGDLGEVGQWAYEATSRAAAGLLGNNNASLAAGERAAYEACLARYASAKARVMAVQTQLLECSYASPKQELIDARVDVEACGGELSRFAASPLHAMNADDQLKATLAYELTGLIIGK >ORGLA08G0020200.1 pep chromosome:AGI1.1:8:1599647:1604368:-1 gene:ORGLA08G0020200 transcript:ORGLA08G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTAFMWEGASRAFNHRPTFTNLVLVLGAASSGGLVAYADSNSDDVVGKPQGPPKKKIVVLGTGWGGTTFLRNLDSRLYDVQVISPRNYFAFTPLLPSVTCGTVEPRSVVEPIRRILEKKGGDIKFWEAECFKIDSSNKKIHCRSNIGTNLDGNGEFLVDYDYLVIAVGARSNTFNTPGVEENCFFLKEVEDAQKIRRNVMDCFERASLPYLDEEERKKNLHFVVVGGGPTGVEFAAELHDFVTEDLSKLYPSIQHLVKISLIEAADHILTMFDKRITEFAEDKFGRDGIDVKTGYKVVKVAKDAITMQNPATGDIAVPYGMAVWSTGIGTRPFISEFMKQIGQGKRRVLATDEWLRVRECDGVYAVGDCATINQRRVMDDISEIFRVADKDNSGTLTVKEIQDVLDDIYVRYPQVELYLKSRQMNGIADLVRTAKGDAEKESVELNIEEFKKALSLVDSQVKNLPATAQVASQQGQYLARCFNKMKDAEENPEGPIRIRGEGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWISIGHSTQWLWYSVYATKQISWRTRALVISDWSRRFIFGRDSSCI >ORGLA08G0020100.1 pep chromosome:AGI1.1:8:1595208:1597410:1 gene:ORGLA08G0020100 transcript:ORGLA08G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWGTGSSAPSAAAEGSAAGGDGAARSSSGGAAVIRSLLPTRRRLRLDPPSKLFFPYEPGKQVRSAVKIKNISKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVEHPENNEKPLDQKCKVKFKIVSLKVKGPMEYVPELFDEQKDQVAVEQILRVVFLDAERQTPQMDKLKRQLAEAEAALEARKKPPEDTGPRIVGEGLVIDEWKERRERYLARQQIEGVDSA >ORGLA08G0020000.1 pep chromosome:AGI1.1:8:1553710:1554388:-1 gene:ORGLA08G0020000 transcript:ORGLA08G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRILNSITRTKAEELFARERMGDGTAAATGAQEPERRRWRWQSGGQEPRWLGANALLGDAAAMSSQHPVHSTKLLRVDYRLGLGQRRPRRQHHGXPWQAIHPPQPPFAAAAHQRLPTRKLHIRPLRHQXRGGKSRGVRRAPSPPIIIPALRMSRR >ORGLA08G0019900.1 pep chromosome:AGI1.1:8:1546458:1550601:1 gene:ORGLA08G0019900 transcript:ORGLA08G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERWSDRERDDGGWMAAGSSSGAMVRRETRRSEVGLEKSSSLPPPLPKEAVKIDKKKTKKRSDYSIEDILKILDMSEEELAVVKEEWAEMEAKEKEEAPKVKETMVEWEARVKKRIEEENKAYREMMRSQDDDESSWDAIQYRKSWNARWSGTRGSFEDTTRIPPMRFTHKPALDYSAAGTPTLQVFFVKVAVAKGALQWPLDVFGIVAMRDVLDRNRNIVFHRTRDNCQTLTEEDRNLVLVGPTCAVALWMPEPVIIDVELKVKGTTESEDKGLSNLAVPLLCDDTSYSRLLHSGSYTSKLSTLEFRLGYITSSVEATIFIRVIQGSWPDGLSAQFAAFTTGFYGKGMACRDSNMSIDDERIVLLDSRGEKVVVTSDGNIKLSRCVVSVESNAELKVSVKAWKADNNVVQNENVFTALEAGVSYATLDIVFCKLEISVAWSLISQYPVSANSVL >ORGLA08G0019800.1 pep chromosome:AGI1.1:8:1537846:1538517:-1 gene:ORGLA08G0019800 transcript:ORGLA08G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERNLLLVGPTRAIVLSMPEPVIVDVELKVKGTTESEDKHLSFLAVPLLCHGKRYSCMLLNSGSYTSKLSTLEFRLGYIVSSVEATIFVRVICGSWPDDFHSQFAAFTTGVRWKDLAREKKIASVDDERILLLDSRGDQKVVVTGDDGKIVLSRCVVSVEDKGELKVHVRAWKVDDSVVEAEMVFTALKAGLSNGELDMGFCKLGVSVAWSLISRKPVYADSVM >ORGLA08G0019700.1 pep chromosome:AGI1.1:8:1526682:1528253:-1 gene:ORGLA08G0019700 transcript:ORGLA08G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDANPAAAYAAFAGDVEPFRPLDADDVRSYLHKAVDFVYDYYKSVESLPVLPGVEPGYLLRLLQSAPPSSSAPFDIAMKELREAVVPGMTHWASPNFFAFFPATNSAAAIAGELIASAMNTVGFTWQAAPAATELEVLALDWLAQLLGLPASFMNRTVAGGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSNGVAGITRLTVYAADQTHSTFFKACRLAGFDPANIRSIPTGAETDYGLDPARLLEAMQADADAGLVPTYVCATVGTTSSNAVDPVGAVADVAARFAAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLYVRDTHRLTGSLETNPEYLKNHASDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGAGKLQEHIRSDVAMAKTFEDLVRGDDRFEVVVPRNFALVCFRIRPRKSGAAIAAGEAEAEKANRELMERLNKTGKAYVAHTVVGGRFVLRFAVGSSLQEERHVRSAWELIKKTTTEIVADAGEDK >ORGLA08G0019600.1 pep chromosome:AGI1.1:8:1523600:1524415:1 gene:ORGLA08G0019600 transcript:ORGLA08G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGRGGVRRPGAGATAVQVALRGVLANEGPAQGNREADGSRLATSVVAGTSNTMGNAISGVRSTASMKVGVLVHPRERHRRVAAVREAPPQRRGGRDVRGPGEHRGERDVVGGGEERGGGGDNARENRVGHLMEATGRTKEDERVDGEGEHEEERVVAEREGHAASDPSLWRALDLLRNHLAHLMSWDALAEALARLHDDVRRRTCTTFSPSARPPCHYTSPCHPHGRHEPQLDASRTVSPRATVAATTPCHAARTAFTPVTVVRTLPRLSE >ORGLA08G0019500.1 pep chromosome:AGI1.1:8:1513415:1514959:1 gene:ORGLA08G0019500 transcript:ORGLA08G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTNPTAFSAFPAGEGETFQPLNADDVRSYLHKAVDFISDYYKSVESMPVLPNVKPGYLQDELRASPPTYSAPFDVTMKELRSSVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQASPAATEMEVLALDWLAQMLNLPTSFMNRTGEGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSDGVAGLHRLAVYAADQTHSTFFKACRLAGFDPANIRSIPTGAETDYGLDPARLLEVMQADADAGLVPTYVCATVGTTSSNAVDPVGAVADVAARFAAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLYVRDTHRLTGSLETNPEYLKNHASDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGVAKLQEHIRSDVAMAKVFEDLVRGDDRFEVVVPRNFALVCFRIRAGAGAAAATEEDADEANRELMERLNKTGKAYVAHTVVGGRFVLRFAVGSSLQEEHHVRSAWELIKKTTTEMMN >ORGLA08G0019400.1 pep chromosome:AGI1.1:8:1495212:1496285:1 gene:ORGLA08G0019400 transcript:ORGLA08G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDPPLITGDALALHPIDVCHRSLLHGTLPPPPPSTGRKRQRENEFVPTVTELEQAGVHFSRSPTRSLRDISFWPDDDVRLLSDGVVSNGLGSDKAVARMFNRLAKNAVLDRRSPLRGVQGQVNDHRENAWNEWWATAGAEGGAATGRGKLLSSSRDENRTERQIEGPEVNLFLFPLQGQIT >ORGLA08G0019300.1 pep chromosome:AGI1.1:8:1489338:1490060:-1 gene:ORGLA08G0019300 transcript:ORGLA08G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSLDLRFILVLVLLLNPTFAEDCIIDIGWVVFCTKRLCKFSCWGEGLIKKGKVRDYWCSDFHGCNCLICRGS >ORGLA08G0019200.1 pep chromosome:AGI1.1:8:1481174:1484819:-1 gene:ORGLA08G0019200 transcript:ORGLA08G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVPSVLLLPVPTCTDMLVSPVEGGDLLHCKPHFDHHPNVWGDYFLTFSPCTPSMLLNMKRKAHVSEEQVRRMILECSSGPNLHVKLELIDTLERLCIDYHYEKEIENVLRRVHEEEDDTDNHYDLHTTALRFYLLRKHGYYASPDVFQRFRDEEGNFTCDDNNNGTRSMLSLYNAAHLRIHGEEILDDAIVFTRNYLQSVVKHLQSPMADEVCSALRTPLFRRPRRVEARHYISVYDKLPTRNETILEFAKLDFGILQSLYCEELNILTMWWKELQLQDHLSFARDRMVEMHFWMLGVLFEPQYSYGRTMLTKLFIFVSIFDDIYDNYSTLEESKLFTEAIERWDEEAAEELPGYMKFFYKKVLTTMKSIETDLKLQGNKHVDYVKNLLIDATRCYYNEVKWRSEGADQAAATVEEHLKISVPSSCCMHVPVYAFVAMGNDVTTDDAINWGMAYPKIITSSCIVGRLVNDIASHEREQGSSSSSSTVEACMREHGGITKEEAYAKLRELVEESWMDIAGECLRPAAAQPPPLLEAVVNATRVLDFLYKDDQDAYTHPSSLKDTIHSIYILSV >ORGLA08G0019100.1 pep chromosome:AGI1.1:8:1478953:1480071:1 gene:ORGLA08G0019100 transcript:ORGLA08G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARSESEADPTKSALPSTICTFAALFLFARGNFSYDRADIVVSYILLSGAFILEILSVFIVVSSFWAYFMATISDFLCTRCHDVIFSIVKLVHPESKPQWSQKLAQYNLIIGCIKQKRAAAGSCLLKCMKRVIGIQPSTMTHVDISHELRKLVLDKLLQVLISDSIQRAGFMSAVLAWHIATDISFFHEDELGCSSPSRGPSRELSNYVMYLSAKHGILSGNDGHMRLKNAQEFIVECLEDRQEALDQDAVVRSVAAKIDNLTEDFEHPRILTAVEPVLIQSGQLAKELLKMKEANDRWDIIMNVWMEMLCYMAFHCGPGFHIKQVSKGGEFIS >ORGLA08G0019000.1 pep chromosome:AGI1.1:8:1477621:1477848:1 gene:ORGLA08G0019000 transcript:ORGLA08G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWRQCHEADAARRVVVVDFVVVAEYLCLGAAFGRHAGNGCAAAAGSLEGRDELPQQAARMEPEEEVPRCVHGFG >ORGLA08G0018900.1 pep chromosome:AGI1.1:8:1475623:1476477:-1 gene:ORGLA08G0018900 transcript:ORGLA08G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAETRENGRAVAGRGGVGRRARWRRRRRRGSHSLSHSSPSSGGGGHRAAARRGHRSPWRWHLGSAAARRSGCRRMRARARSSCTRATSPSGPSTAPLSPSYVNAANERMLGGGGVDGAIHRAAGPKLVEPCPVQRRGAGRFSYADELLKAFCAAFPSSSSSSSLPTPTPPPTQPQPEQQKPVVVVV >ORGLA08G0018800.1 pep chromosome:AGI1.1:8:1468002:1469087:-1 gene:ORGLA08G0018800 transcript:ORGLA08G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 8 [Source:Projected from Arabidopsis thaliana (AT4G21350) TAIR;Acc:AT4G21350] MEVSPEQGSTWPDDFRCPISLEVMTDPVILPSGHTFERRSIQRWLDGGHLTCPVTNLPLPPSPPLIPNHALRRLIAAVSPVAAAAVPTAAAGGGGGERREPAAAAISSVYGLLRLARSGPEGRRQVMESGDVGVLLRHAAGGDEVAARALLHLSLDGDDARVGLVADGAVDALSAAVSSGGAAAAVAATALTSLATVDVNKCTIGAHPSAVPALVGLLRRGGGARERREAATALYELCKLPENRRRAVRAGAAPALVDLAAAGSARAAEVLGLFAKSREGRHELSRIPRVVAVLVGVAGSGNARAIEQALVVLNLICGESNQLAREAIKLGAFHLCEALVNDDNCKIAKNAVELARTLEKL >ORGLA08G0018700.1 pep chromosome:AGI1.1:8:1455243:1456905:-1 gene:ORGLA08G0018700 transcript:ORGLA08G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIYIVYYSMYGHVAKLAEEIEKGASSVEGVEVKLWQVPETLSDDVLTKMGAPSKKDVPIITPAELAEADGVIFGFPTRFGMMAAQFKAFMDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPVGYTFGAGMFEMEQVKGGSPYGSGTFAGDGSRVATELELQQAFHQGKYFAGIAKKLKGSA >ORGLA08G0018600.1 pep chromosome:AGI1.1:8:1450918:1452337:-1 gene:ORGLA08G0018600 transcript:ORGLA08G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPTTAAAAAAQAFAFALAPKPSSSAAAPSALFPRTAAAAAFPTLAVRGSGKARQPVVAAAAGAGTGSEQRETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKERRTSKYESRRYERRRDGPPASRRPRQQTAQPESASSS >ORGLA08G0018500.1 pep chromosome:AGI1.1:8:1446189:1449215:-1 gene:ORGLA08G0018500 transcript:ORGLA08G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATARSGFRRMFSISAFSPPKPPTPPPKADPSPNLFISGLSKRTTTDGLKEAFAKFGEVIHARVVTDRVTGFSKGFGFVRYATVEDAAKGIEGMDGKFLDGWVIFAEYARPRTPPQQPEMNSQPQQSWGPPSSSWGAQ >ORGLA08G0018400.1 pep chromosome:AGI1.1:8:1442937:1443420:-1 gene:ORGLA08G0018400 transcript:ORGLA08G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSFVPCGCRAGPIDDAPPAALPAATAGDGGAAARRRRRRARSLGGSPQWRPSLGDIYEEYSAAGAADAAAAKLRRPASAAAARAGRSAARVLPRAHSDEYRHIETAVSMPAFAPAAFLF >ORGLA08G0018300.1 pep chromosome:AGI1.1:8:1432045:1435072:-1 gene:ORGLA08G0018300 transcript:ORGLA08G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54590) TAIR;Acc:AT5G54590] MGRMKGVSEGLIIGITVGVVIGVLLAVGILLCLRYRRSQAQIRSSSSRRASTIPIRANGVNACTILSNSTTGQESPREVEDRGASMWLEGPGRKSVISASGIPKYAYKELQKATSNFTTLLGQGAFGPVYKADLSSGETLAVKVLANNSKQGEKEFQTEVLLLGRLHHRNLVNLVGYCAEKGQHMLLYAFMPNGSLASHLYGENIAPLRWDLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQSMHARVADFGLSREEMVTRNGANIRGTYGYLDPEYVSSRSFTKKSDVYSYGVLLFEMIAGRNPQQGLMEYVELAAINADGKTGWEEIADSRLEGAFDVEELNDMAAVAYRCVSRVSRKRPAMRDVVQALIRVAKHSQSKKHHKRRPPPGRADDESVDLEASEVQSSLSGHQRQESVGSVSDLADV >ORGLA08G0018200.1 pep chromosome:AGI1.1:8:1430115:1431100:1 gene:ORGLA08G0018200 transcript:ORGLA08G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RATIGAAILVCVVILALDVTAGILGLQAQIAQNKVKTVRMLFIECEQSSSKVYQLGLAAAVLLVAAHAVANFLGGCACICSQMEFIRASIKRKLAATLIVLSWLALIAGFSLLLAGAMRNSNPRRNCSFAQGHTLDLGGILCFVHAGVTVAYYVTANAAA >ORGLA08G0018100.1 pep chromosome:AGI1.1:8:1426882:1428951:1 gene:ORGLA08G0018100 transcript:ORGLA08G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50390) TAIR;Acc:AT5G50390] MEPQLAALCNPRLLHSPHAFLPKATSTSSSSSSAAAGGEALKPRPRLPPPQQQQQQQPGVSHHHHTRRRRELGAAALASLCAGIEALAAEGRHDEARDAFRGARAGAPFTPLPASTYDALVASAAALREPGFAAAVLWHVESSGFEPGQRAWNRVLRMQLACGMLAEARQVFDGMPARSEATWGTMMGGLIDAGRPRGALALFRELWEEVGGDAAPRVVVVAVRAVTALGSARAGQQLHCCVAKTGMYEDQYLSCALIDMYNKCGKLDEARRVFDGVPQKSVVAWNSMLASYSLHGCSEEALDLYHSMCEGGVDIDQFTFSTMLRVFSRLGLLEHAKQAHAGLIQRGLPLDIVGNTALVDLYCKWGQMEDARNVFERMPIRNLISWNALIAGYGYHGMGQKAIEMFEELIAEGIAPNHVTFLAVLNACRFSGFVEEGKRIFQLMTQNQRTKPRAMHYACIIELFGQQGRLDEAYSMIRKAPFIPTANMWGALLTASRIHRNLQLARLSAEQLLAMEPQKINNYVELLNLYINSGRQTEVSKVVNTLKRRGLCIHAACSWITVRKKDHRFFFKDSLHPQSSEIYRKLDSLLKEIKQLGYVAEENELLPDILPDEQKTSKVYHSERLAVAFGLISTSLSTTLRVTQCHRLCHDCHKVMKFVTQVTKREIVIRDGSRFHHFKLGTCSCGDYW >ORGLA08G0018000.1 pep chromosome:AGI1.1:8:1421491:1423740:-1 gene:ORGLA08G0018000 transcript:ORGLA08G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPADGGGGGGGGGGGGSGDDWFLDCGILEDLPAAACGAFPWDASPSCSNPSVEVSSYVNTTSYVLKEPGSNKRVRSGSCGRPTSKASREKIRRDKMNDRFLELGTTLEPGKPVKSDKAAILSDATHMVIQLRAEAKQLKDTNESLEDKIKELKAEKDELRDEKQKLKVEKETLEQQVKILTATPAYMPHPTLMPAPYPQAPLAPFHHAQGQAAGQKLMMPFVGYPGYPMWQFMPPSEVDTSKDSEACPPVA >ORGLA08G0017900.1 pep chromosome:AGI1.1:8:1420314:1421083:1 gene:ORGLA08G0017900 transcript:ORGLA08G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAVVVVAAAAFSTASGASYGVGKPNGGWDLQTNYTSWASSITFRLDDKLVFKYSAAAHDVVEVTKDGYLSCSASSPIAVHRTGEDAVELGRLGRRYFICGVPGHCDAGMKLEVRTLCSIPSPPPPGSDGDGNGTPGGICIDGSSPPTIISTPGVVSYGSAPGSSGSATTALAILAAATVMLLSLIIV >ORGLA08G0017800.1 pep chromosome:AGI1.1:8:1412685:1413257:1 gene:ORGLA08G0017800 transcript:ORGLA08G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARALLIVAVAAAAAVLATTAMGATTYTVGAPAGSWDTRTNYAQWASAATFRAGDRLVFRYSPAAHDVVEVTKAGYDACSAASPIATFNSGDDTVPLAAVGTRYFICGFPGHCAAGMKLTVKVEAAAAAPGGSSTTPSPSPSPAALPPVNGGRPVTPSSSASKSGGVVESLVGLGVGAMAAGLMVFY >ORGLA08G0017700.1 pep chromosome:AGI1.1:8:1409537:1410085:1 gene:ORGLA08G0017700 transcript:ORGLA08G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLATTATGATTYTVGAPAGSWDTRTNYAQWVSAITFRVSDQLVFKYSPAAHDVVEVTKAGYDSCSSSGPIATFNSGDDIVPLTAIGTRYFICGFPGHCTAGMKVAVKVEAATATGGSGTAPSPMASRPRTPTAMAPNEMPPMAGGRPVSPSSSASKSAGVASLVGLSLGAIVASLISF >ORGLA08G0017600.1 pep chromosome:AGI1.1:8:1405747:1406313:1 gene:ORGLA08G0017600 transcript:ORGLA08G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLVVAMAAAVLGTAMGITTYTVGAPAGSWDTRTNYAQWVSAITFRVGDQLVFKYSPAAHDVVEVNKADYDSCSSSSPISTFNSGNDTIPLAATGTRYFICGFPGHCTAGMKVAVKVEAATGSNPTPSPLAPLPRTPTAMAPNAMPPTNGGQPAPPSSSASKPVGVASLVGLSLSAIVASLMVF >ORGLA08G0017500.1 pep chromosome:AGI1.1:8:1401728:1402419:1 gene:ORGLA08G0017500 transcript:ORGLA08G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMAARALLVVAMAAAVLGTALGATYTVGAPSGSWDLRTNYDQWVSNINFRAGDQIVFKYSPAAHDVLEVNKADYDSCSSSSPIATFNSGDDTIPLTAAGTRYFICGFNGHCTGGMKVAVKVEATTGSNPAPSPMTPRPRTPTAMAPNAMPPTAGGRPVPPSSSASQSAGVASLVGLSLGAIVVGLMAF >ORGLA08G0017400.1 pep chromosome:AGI1.1:8:1397023:1397978:1 gene:ORGLA08G0017400 transcript:ORGLA08G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWARGPAMAAAAAVVMVAAVLAGQAMAAGATTYTVGAPDGLWDMETDYKEWVARRTFHPGDKLTFTYSRELHDVVEVTKAGYDACSNANNISAFRSGNDLVALTAVGTRYFLCGLTGHCGSGMKIRIDVVAAASSGPAAAAAPLPSTSSVTAAVAGSRLVLVLLYALLPLW >ORGLA08G0017300.1 pep chromosome:AGI1.1:8:1389474:1392373:1 gene:ORGLA08G0017300 transcript:ORGLA08G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF604) [Source:Projected from Arabidopsis thaliana (AT4G15240) TAIR;Acc:AT4G15240] MAPTPPSSRPRLPLRPLLLSLPLLSLLLLLLIHRPHPSPPPPLLATATATRRDDEPAPRRAASSSAPEATTTTTLAHVVFGIASSRRTLSLRLPLLRLWLRPPARAFLFLDGPAPAAAAASEPLPPNLRVCVSSTDASRFPYTHPRGLPSAVRVARIAKELLQLDDHHHATPPPPRWLVLADDDTAFVLPNLLHTLSRYDWREPWYLGARSESAAQNAWHGFAMAYGGGGIAVSWPLAARLARVLDSCLLRYPHLYGSDARIHACLAELGVELTHEPGFHQIDLHGDISGLLRAHPLTPLVSLHHLDHVYPLYPGMDRATAVKHFFRAANADPARILQQTVCYDHSKAITVSIAWGYSVQVYKGNVLLPDLLAVQKTFVPWKRGRNATDVFMFDTKHYPRDECKRAALFFLKSISSGEGKIKSDYTRQLPRKCSPNLIPLRNLHQIKVASEPLHLVPGKALRRHCCDVVSSSSETNMDVNIRKCKEDELIAMHS >ORGLA08G0017200.1 pep chromosome:AGI1.1:8:1381124:1385617:1 gene:ORGLA08G0017200 transcript:ORGLA08G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSRNQKSSQKDAAPNEAKPPRYPQRNRSITASASASAFASPAVANSRVAKERPSSSTAGEGEPQETVLKLPSIPTLPAWMAKLVPLEGLGCEAAVGSLTPSREREYKVTNKHTEGRRPIYAIVFNFLDVRYYDIFATACGPRLSTYCCLMNGKFALLQSYLDDDMNESFFTVSWACDIDGNPLLVAAGSTGIIRVINCATEKIYKSLVGHGGSVNEIKSQPLNPSLIISASKDESIKLWNVQTGILILVFGGVGGHRHEVLGVDFHTSDIYRFLSCGMDNTVRIWSMKEFWEYVEKSYSWTDATSKFPTKFVQFPVLCAEIHSNYVDCTKWLGDFVLSKSVENEILLWEPITKEENPGEGHIDVLQKYPVPECNIWFMKFSCDFHHNQLAIGNRDGKVYVWKVQTSPPVLIARLNNPQVKSAIRQTAVSFDGSTILACTEDGNIWRWDEVDHPTAPVPSKKQK >ORGLA08G0017100.1 pep chromosome:AGI1.1:8:1369918:1378483:1 gene:ORGLA08G0017100 transcript:ORGLA08G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 4 [Source:Projected from Arabidopsis thaliana (AT1G18450) TAIR;Acc:AT1G18450] MYGGDEVSAIVIDVGSYSCKAGYAGDDTPKAVFPSVVGSIEQTGETDEAKADKEAEAASDSKNGAKPMDVDKAKTKRKLYVGQELEFRRDHMEVISPMKDGTVTDWDIVDNIWNHAFRQRLLINPEEHPMLIAEPSTNTGQQREKAAELMFEKYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTVVAAVHDGYVLQKSVATSPIGGEFLTDCMMKSLESKGVVIRPRYSFKKKEVGPGEYKVVDLDLPNTTESYKLYCMRAIASDIKESVCRVPDTAFDEVAYANVPTTSYELPDGQTIEVGADRFKIPDILFNPSLSQTIPGVDGFADSMSVRGLPRMVIDSVNRCDVDIRKELLSSILLSGGSSSILQLKERLEKEVLEESSGNTRVKVLASGNSVERRFSHFILQCLDWREHSSIPWVVPANVVLQSRIRRTWSILHPKEVPMKLWSIATICDQIWVHVGNRITATLLLIYIDYYPTIFIIIL >ORGLA08G0017000.1 pep chromosome:AGI1.1:8:1363753:1368151:1 gene:ORGLA08G0017000 transcript:ORGLA08G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TFARPTNPPSQTAQNLNDAAAGIRHRRRRKQGQVRPSSGGGGGEGKAQWRSVGPGRGGWGAEAXXXXXXXXXXXXXXXXNKLTEGKRPLYAIGFNFLDVRYYEVFATVGGNRVTTYSCLKDGNFAILQAYIDEDKDESFYTLSWACDLDGTPLLVAAGSNGIIRVINCATEKLLKTFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWPYVEQSFTWTDLPSKFPTKYVQFPVLVAVVHSNYVDCTRWLGDFILSKSVDNEIVLWEPKTKEQSPGEGSIDILQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKVYVWEVQSSPPVLTARLTNPQCKSAIRQTAVSFDGSTILACSEDGSIWRWDEVDHPKA >ORGLA08G0016900.1 pep chromosome:AGI1.1:8:1350458:1351410:1 gene:ORGLA08G0016900 transcript:ORGLA08G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSQLVLVAVVSLVALLPLGMAATTYDPIGSFCSETWMNYGDLNSSEAVTRRRAVNFVVSDLVAKARTGGGFATSKAGRGSEVFYGLAQCRGDVSGGDCDACLAQAAKQMVSNCNYTSDSRIWYEYCFMRYYSSYNFIGDVDTREDASVTLRRWPDMDNPKAFQKVVGKAMGKATTQAVSVGGNGLGRAKEQYTPFVSVYALAQCTRDLAPPACAQCLSSTVSKFDKACGAAQGCQIDYSSCWARYEIYPFYFPLEANGRATIDMNKYTKVTMH >ORGLA08G0016800.1 pep chromosome:AGI1.1:8:1347237:1348435:-1 gene:ORGLA08G0016800 transcript:ORGLA08G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSQLVLVTAFLAAALLPLGMAATTYPIGSFCSESGMNYGDLNSSEAVVRRRSVNFVVSDLVAKARTGGGFATSRAGRGYDAFYGLAQCRGDVSGADCDACLAQAAKQIVSNCNYTSDSRIWYEYCFMRYYNYDFIGEVDTREDASVTMINWQNMDNPKAFQKAAGKAMGKATAQAVAVGRSGLGRAKEQYTPFVSVYALAQCTRDLAPPACARCLSEIVSKFDKTCNNAQGCQIDYSSCWARYEIYPFYFPLEAGSRATIDMSKYTKVTMH >ORGLA08G0016700.1 pep chromosome:AGI1.1:8:1343547:1344926:-1 gene:ORGLA08G0016700 transcript:ORGLA08G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRRSHHCCLVLVALSLAAMLLPMAMATTISMSPIGTFCWNPSYNEMSSGEAIARRRNINSVVSDLAAKARAAGGFATSSAGRGIDAFYGLAQCRGDVSGGDCDACLAQAAKQMVTNSNYTLDSRIWYEYCFMRYVDFNFFGEMDTRTDASVTLRQWPDMDNPMAFQKAVGKAMGKTMAHAVTVGSGGLGRAKVQYTSFVNVYALAQCTRDLAPPTCAQCLSMTVSKFAEACGSGQGCQINYSSCWVRYEIYPFYFPLEANGRVTTDLTKYTKVTMH >ORGLA08G0016600.1 pep chromosome:AGI1.1:8:1338278:1339587:-1 gene:ORGLA08G0016600 transcript:ORGLA08G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSQLLLTVFLAAALLPLAMAATTTTYPIGSFCWEPGMNYGDLNGSDAVVRRRSINFVVSDLVAKARTGGGFATSKAGRGYDAFYGLAQCRGDVSGGDCDACLAQAAKQMVSYCNYTSDSRLWYEYCFMRYDNYNFLGEVDTREDASVTMRQWPDMDNPKAFQKAAGKAMGKATAQAVAVGSSGLGRAKEQFTPFVSVYALAQCTRDLSPPSCAQCLSAAVSKFDKACGSGPGCQIDYSSCWARYEIYPFYFPLAAVGHATIDMTKYTKVTVH >ORGLA08G0016500.1 pep chromosome:AGI1.1:8:1329449:1329679:-1 gene:ORGLA08G0016500 transcript:ORGLA08G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCEGGSDDGGRGSGTSVVVDLVLETSATTDLVLGTSVAAELVLPASGAAGPLATMADDAQELCDNDDGGNNGLQ >ORGLA08G0016400.1 pep chromosome:AGI1.1:8:1321894:1324356:-1 gene:ORGLA08G0016400 transcript:ORGLA08G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLDGHHHQLPSLLQQHHNGHHLLDQHQQHQHQLPPQATTTSESDGRAPRDELEMSKSGGSDNLESGGGGGGGGSGDDQDPNQRPRKKRYHRHTQHQIQELEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENNALRAENEKLRAENMRYKEALANASCPNCGGPAAIGEMSFDEHHLRLENARLRDEIDRISAIAAKYVGKPAAAVSAAYPPLPPSNRSPLDHMGIPGAGADVFGADFDKPLVIELAVAAMEELIRMAQLGEPLWAPALGGEALGEEEYARTFPRGLGPKSPELRSEASRETAVVIMNHVSLVEMLMDVGQWTALFSSIVSRAATLEVLSTGVAGNHNGALQLMSAEFQMPSPLVPTRETQFLRYCKQHPDGTWAVVDVSLDGLRAGAGGGCQPAAARGHRRRPSGCLIQEMPNGYSKVTWVEHVEADDQMVHNLYKPVVNSGMAFGARRWVATLERQCERLASAMASNVASSGDAGVITTSEGRRSMLKLAERMVASFCGGVTASTTHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIILNAATSFWLPVPPSRVFDFLRDDSTRSEWDILSNGGVVQEMAHIANGRDHGNAVSLLRVNNANSNQSNMLILQECCTDATGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPDGGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAITGDNGVAPPCPR >ORGLA08G0016300.1 pep chromosome:AGI1.1:8:1316582:1319536:1 gene:ORGLA08G0016300 transcript:ORGLA08G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase [Source:UniProtKB/TrEMBL;Acc:I1QFG2] AAAAVGNPNAAAAAASVSASRVGAGALRAGGLRVAAGGSVARRGGAVVAAAMRPAKAVASPAKEAAGEVNGAAPGGFARPDAFGRFGKFGGKYVPETLMHALTELEAAFHALAGDEDFQKELDGILKDYVGRETPLYFAERLTEHYKRADGTGPKIYLKREDLNHTGAHKINNAVAQVLLAKRLGKERIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMKLLGAEVRAVHSGTATLKDATSEAIRDWVTNVENTHYILGSVAGPHPYPMMVREFHKVIGKETRRQAMEKWGGKPDVLVACVGGGSNAMGLFHEFVDDQDIRMIGVEAAGYGVDTDKHAATLTKGEVGVLHGSLSYVLQDDDGQVIEPHSISAGLDYPGVGPEHSFLKDIGRAEYDSVTDQEALDAFKRVSRLEGIIPALETSHALAYLEKLCPTLPDGVRVVVNCSGRGDKDVHTASKYLDV >ORGLA08G0016200.1 pep chromosome:AGI1.1:8:1310206:1313465:1 gene:ORGLA08G0016200 transcript:ORGLA08G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uncharacterized protein [Source:UniProtKB/TrEMBL;Acc:F1BLA3] MTGETRKERSKWDTKGPPDIVEISEDESLPMNMDDHKKGNDLPPSQDFGHGNDKQIGESVNLKSTVSMHHGSTGHEHDRADGLNKDIKERSSKASSERLPLRMGDEDHNKNDWHNRGFEKAAGNQGMGRYADDRRRGDGWGTTLSRGYSSRISSSGPDAWKRSRSPLSPRGGWNRSRRNRSRSRSRSRSRSIGRGRGRSRSRSRSPYFSDRGSEWRVERGRSSGGPALPCRDFVAGRCRRGSNCRFPHEDGVRRQLDEHYPVDSRERYGHQNRDFMDPREQDDYLRSRPLRGGHYDEGTWERSEPRREYRSTMPCHDFVKGRCSRGANCRYVHDDSTSHGGWRDEVRDNAIGRSGPDSSYGNRTEHRRTNKNPCKFFANGGCRRGQNCPYLHEEASQSQMGLGAPDEPGYTGGPTTRGDYLSWSEQNNSVQASSHVLSRDDRENPVPQGTGRNDSRYENKNRHSKDAGSSQYQIFPQDDFGSVGQNKPEIAASQLPQFIPSVQTGTESINIDKVSDMGGQSGPGTVGNLSMQIGMHSANLLGGHNLGQKAESQDAISQISAAPSLPGATQLQNTTSSVPLNSQVQQSDFSLHPNRQDQFAVPLATTNNSAPSMQSQPVAPYMGHSQHGYIMGAQSLPDLSVHNGQIFNVGQVPQNLPTIVHAGQNQATSDTANLGRDSGDQSLHNTHNFQPVAPNEQTQSQTLQGLSVVASSSSVDMAGAPLSHNAVSSQEEVRRVTASLAQYFVPSLTADTSGLQSSQPDPNSSLMNNSSAAPQAVQPNHWPWLQQAGMVQPSHIVPSEQPAPQTFQAPMAAGSSNGNPLILPHSVAPTGPAAALATNETTPAENKKEEPKDTDAEANEYGENKKSKDSKALKMFKLALADFVKDALKPTWKEGQMSREVHKTIVKKVVDKVTTTVENTPQTKEKIDIYMSYSREKLNKLVQAYVGKYAKKD >ORGLA08G0016100.1 pep chromosome:AGI1.1:8:1303437:1304764:-1 gene:ORGLA08G0016100 transcript:ORGLA08G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator 21 [Source:Projected from Arabidopsis thaliana (AT4G04780) TAIR;Acc:AT4G04780] MDIISQLQEQLNEMAMVAVNTFGTLQRDAPPVRLSNNYPDPLNPAAAAANPNPDDPAQPQPGAAAAAPGAPAAQAQAPPAQAQPPALDLAEHPKAMSHALVLAAKKMQFDALVSALPLSSEEDQLKRIKELQAENEVVGSELQKQLEAAELELKQVEALFNEATDHCINLKKPE >ORGLA08G0016000.1 pep chromosome:AGI1.1:8:1301586:1302371:1 gene:ORGLA08G0016000 transcript:ORGLA08G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATASLLTCFFALTLFMLHSNVFHAAATAPSLYHSQSSKTWCVANPAASEDALRANLEFACSESDCAAIQGTGGCSFPDDDGSLPTRASVAMNAYYQARGRNSWNCFFNGTGLITITDPSSGSCKYA >ORGLA08G0015900.1 pep chromosome:AGI1.1:8:1297810:1300777:1 gene:ORGLA08G0015900 transcript:ORGLA08G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca(2)-dependent phospholipid-binding protein (Copine) family [Source:Projected from Arabidopsis thaliana (AT1G79380) TAIR;Acc:AT1G79380] MGGVIGALFRGGGHRREMHRAPMNRPVYGGGGGENHRRTMLTKKYSYIPDNYQSLDQVTAALRDQGLESSNLILGIDFTKSNEWTGKRSFSGQSLHRIGSTPNPYEQAISIIGKTLAPFDDDNLIPCFGFGDATTHDYNVFSFHPDNSPCHGFEEVLSCYKKIVPHLRLSGPTSFAPIVEAAVDIVDRSGGQYHVLVIVADGQVTRSVDTSDNDLSPQERRTVDSIVMASSYPLSIVLVGVGDGPWEDMQKFDDKIPARQFDNFQFVNFTSIMSRSSTQQQKESAFALAALMEVPIQYKATMELGILGQSTGKAKRIMPAPPPLPSAAGRQPSLRREGSAATAAAAAPPSPREDQVCPICLTNAKDLAFGCGHMCCRECGESLTKCPICRQTIRSKLRLYSG >ORGLA08G0015800.1 pep chromosome:AGI1.1:8:1289829:1294875:-1 gene:ORGLA08G0015800 transcript:ORGLA08G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSSEPDTSASQSEILHFIKSTFGTLEGQNHCWLNCMNDTWKTLNQGVYLILLYESCGTSDSHGKLSSAFQRLKYLQQRYLCSKDHALFSNLDEELEVLIKACKSLLKAGMDNAVLKAEPSDTALESRVSWQKEEVPKEPYVGSIGCSPGFEDGEFESAKFLRPAASFYNTAEDCLYIVDSENHAVRKADLGRRMLETVYPVSSKSSSGIWSWIVDKLGLRREDAPSENFIADSIAFPWHLLNITEDDFLVADRNFETSWILSVSTGQKQEIGRGIAEEMESYQQIIHERCALLKDMNMNWSSSAKEHSDLLGKIPFKELVSSVARFQKYIIFCDADGQRVLKHDLDTNGTSNIQFSNFGVLGLPYWFVCHLERVSTRGHSIGKFQEHTRKVNVLPGRCNIKVSVDIPADTQLAAPLVESCIWRQVRGSGAEVSGFDEPSTSTEKVGIAQQWYDEIDNLAFSEVPEEPTVHEGNENLSDGSYQEQRRAHFNCVVNVSPGTCELVASAALYLKIDSAKDDHGEQQALVKRIIQCQRREDHAGVELLMESCKDSRDLTIMKPVHLRLMLECADHPAGTTNKETISSESSFEINISLD >ORGLA08G0015700.1 pep chromosome:AGI1.1:8:1284153:1287947:-1 gene:ORGLA08G0015700 transcript:ORGLA08G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTAAEAAAGGKGAWRDGAVTYLHLLFYIAISGGQIFFNKWVLSSKEINFPYPVALTLLHMVFSSVVCFAITKIFKIVKIEEGMTTDIYISSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSCKMLAIMSVISVGVIVASVGEITISWVGVVYQMGGVVAEALRLIFIEIFLKKKGVRLNLISMMYYVSPCSALCLFIPWLFLEKPKMDESASWNFPPFTLFLNCLCTFILNMSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTQLTFINIIGYAIAIAGVVAYNNHKLKPKPQGNEQQSADSKANPGSPQDVETSISTKEAS >ORGLA08G0015600.1 pep chromosome:AGI1.1:8:1282539:1282811:1 gene:ORGLA08G0015600 transcript:ORGLA08G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCPDLTAEAGLGPPIDSLTYSVYPDLETSVNIISIKVIKSDVGYPISVFGTVLARDQYDYRCVYLFRRGRDDPQIINSPVSISYYLTNGD >ORGLA08G0015500.1 pep chromosome:AGI1.1:8:1277273:1279412:1 gene:ORGLA08G0015500 transcript:ORGLA08G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGANEDGGGSAAGERPMVAIKRKREKVPGLAEDGDSSPSSANRSVDMEVEEKLDYSCAGAEEDVSSGCSIEMEDVGEQRQVEPTWEDKVLRVLHIVRRNQFAEYDPKEGGIVYTRFCIHNIALFDLDKESTIGPGPPINSLDPSEYWWLDDSLNIIAIKVAESDVGYPVRIYGTVLARDQQDYRCVYLFRRSRDNPQLITSPEDSLTLTGPYRALASKDIIIFEFNLKILGDGDVDRDFSKGVIEHSCIRHTKKLMTLDLTSWLSKIDLVYTPVDYAVEASLAVNILDGPSDFTGKVIAWTSGNKDNEIVLHDTQVTGSPTKLGDDGSIELSRHIVVVPLDEELVLNVILFHGDDHEDECFEFVLTNYDEESSFKEGRFELQVKVIWTGVVRTGEHKMWESTGRNRMLV >ORGLA08G0015400.1 pep chromosome:AGI1.1:8:1250483:1251210:-1 gene:ORGLA08G0015400 transcript:ORGLA08G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSPHYNVILALPLAVLYPQSGGNMHCFTSVKSCAVLDVIAPPYSESSGRVCTYFHDYPFSSFSAGQAKVVHGPDNYAWLEALNVPVNINMRPGMYTGPTIQEHLP >ORGLA08G0015300.1 pep chromosome:AGI1.1:8:1238390:1239555:-1 gene:ORGLA08G0015300 transcript:ORGLA08G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:I1QFF2] MEEIPAKLETILNQQAEKENTKFEKIAGKLCTPPKGGGTFLVRLVPRRESNFDEPVYLLFRWKDLYFEAFYSRGKWYRLKDHEEKLPPRSQLPYSEKPDEGIYVLMNTTSYGSIGGSSVVLGPRAWDHCHVSFLKADDLVRQSNKKPLTSGESPALAVPVVGISEPLRFPQLQKWIVENCTATASSDVMVPYEFTKHFTNWGDLSTALFSGKLTEKLKAYTLEQIAEMLGILMSGKREAVRSPPKKKNDHEAGSSRNRGKRKDN >ORGLA08G0015200.1 pep chromosome:AGI1.1:8:1225938:1228724:1 gene:ORGLA08G0015200 transcript:ORGLA08G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDERWRAAVIGGGGDAATATEPSLPAAITRRLRELAWEDKDKEKARTVVDLVDSETPIECDHEEGHLCPSPCSDIPSMVAASGGGGGNEEMGKVNCNEKHTYGEEKEKEKEKVVETKSELKPKPRRKNWEEEELTWEEKVLKVLHMVRIWEVTEFDPKMEWFEPTRLCLFNTAFFDLDKESKAGLGPPIHSLTSSDYRHLETSMNIISIKVVESDVGYPISIFGTVLARDQYDYRCVYLFRRSRDDPQIITSPEDMLTLTGPKRGLGAKDYMFFEFNLKMKGDDGVDKDFSKGLLPYNVVCRTGRLETLHLRSWLSVVEFAFVTVQYAVEATLAVKMLGGASVFTGRVTAWTTGNDEDEIVLYDSEVADTRTEITADGSVQLNRGLVVVPLDKELVLNICVFEGEDEAQSFEFILGHYDEEFTCKQGCYEFQVNIIWTAVKTRRRPNMWKRIGCIVLLL >ORGLA08G0015100.1 pep chromosome:AGI1.1:8:1200780:1202627:1 gene:ORGLA08G0015100 transcript:ORGLA08G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGIPTRPPATSTPSRVQALYELCKRTFPSPSSVAASSSPSSPPPDHAIGAISSLMDTITPVDVGLRDDNLEDGRGFGFFESNFLKNSARVARWAQPITYLHVYECDAFSIGIFCLPTSAVIPLHDHPGMIVLSKLLYGSMHVKSYDWVEPAVLANGKPVKLGKLHTDDVLNAPCPTAVLYPQSGGNMHCFTSVKSCAVLDVIAPPYSESSGRVCTYFHDYPFSSFSAGQAKVVHGPDNYAWLEALNVPVNINMRPGTYTGPTIQEHLP >ORGLA08G0015000.1 pep chromosome:AGI1.1:8:1194744:1196998:1 gene:ORGLA08G0015000 transcript:ORGLA08G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TWFDAERSPNITPKQFCSIPTRFCAYNIAFFDLDKESKLARGPPIKSLAFPDYWWEMDSVNVIAIKVAESDVGYPIRVFGTVLARDEYDFRCVYLFRRDRNNPQIITSPEDTLTLTGPNRALGATDKMYFEFNLKIRDDGDVDKDFCKGVREHNAICYTKQPMTLSLESCLSTIDFVYSPVQLAVEASVAVKIKGVVSTFFTGKVTAWTTGDDQNKIILYDSEVEGSNRVLGADGSVDLTRCFVAVNLDDELVLNVCVSEGAGSIFELVLGHDDEECVREQGPYELQVNVVWTAALKHRQRRKLFERIGDFRVLR >ORGLA08G0014900.1 pep chromosome:AGI1.1:8:1191395:1192866:-1 gene:ORGLA08G0014900 transcript:ORGLA08G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WVNXPRRSPWRRRRSMEVVWLLGRRRSSSQLIXKSSSSRWERXRRRGSRILDRSXHGRRKRXAFLTSFAAISXLNTTPSQKNLPLPRSVSVSATWHSLTTTKSQKLVLGLRFALSLPPSLXCWKALLMSLQSRRIHXLLQAQREGLLPKDPCILSSIXRLRGMGLLTDFSKGFIEHDAVAYEKPLKTLELESFMSRVAFIYTPVPYAVQATLAVNFLEGLSNFTGTVSAWTTGNVENEIILYDSRVEGTETSVRNDGRVTLTRNIVAVVCKHKLVLKVCVFEGGSEVACFKFVLGHRNEECTQKKGPYVLQVKVRWIGIIEHYNRKMWERIGRFGNILW >ORGLA08G0014800.1 pep chromosome:AGI1.1:8:1176153:1177640:-1 gene:ORGLA08G0014800 transcript:ORGLA08G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uncharacterized protein [Source:UniProtKB/TrEMBL;Acc:F1BL96] MATHQRRHPSVAAELEGTLLISGDLFPYFLLVALEAGGPLRAAVLLAAYPVAALLGVALPDDDLAVRVMTFVSTAGLAVADVAAVARATLPRFFLADLSDAAFRAFARRDAAERYVVTRLPTAMVEPFVREYVAEGARVVGAELRVVGGRFTGAAVNGDRSLGALQAVLGRGRRVIDVGLCSGDGAAKRQPAFMKICQERHVVSTPEKAPAAPLPRSEYLRPLIFHDGRLVGRPDPLACLAVALWLPLGAALAVTRILIAFLPYSVGLLLAAATGFQIRAHLGGAPPRWRGGTLYACNHRTLLDPAVLSTVVHRKVTAVTYSLSGLSEMIAPIPTVRLTRDRGRDRVIMQSVLAGGDLAVCPEGTTCREPYLLRFSPLFAEIAGEVTPVAVRAGGAMFHGTTVRGYKGMDSFFFLMNPAPWYHLQLLDPVPSSSAAADGDGGGGDGGESSRDVANRVQRAIGDALGFECTALTRRDKYRMIAGHDGVDMRGNARL >ORGLA08G0014700.1 pep chromosome:AGI1.1:8:1174431:1175338:1 gene:ORGLA08G0014700 transcript:ORGLA08G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAAAVAMVVVAGCLLAAAAVSVVDGAVTCGDVDASLLPCVAYLTGKAAAPSGDCCAGVRHLRTLPVGTAERRFACDCVKKAAARFKGLNGDAIRDLPAKCAAPLPFPLSLDFDCNTIP >ORGLA08G0014600.1 pep chromosome:AGI1.1:8:1171566:1173774:1 gene:ORGLA08G0014600 transcript:ORGLA08G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 703, subfamily A, polypeptide 2 [Source:Projected from Arabidopsis thaliana (AT1G01280) TAIR;Acc:AT1G01280] MDPFLLSIILCSWIFVVVSWKKLNCMRRRLPPGPPRWPIFGNLLQLSPLPHKDFARFCTKYGPLVYLRLGTIDAITTDDPEVIREILIRQDEVFASRPRTLAAVHLAYGCGDVALAPLGPNWKRMRRVCMEHLLTTKRLESFAAHRALEAEHLCQFVWAKAQSGKPVNLREVLGAFSMNNVTRMLLGKQYFGLQSAGPGEAMEFMHITHELFWLLGLIYLGDYLPAWRWLDPYGCEKKMREVEKKVDDFHQKIIDEHRKAREAKKSASLDDDNKEDMDFVDVLLSLPGENGKEHMDDVEIKALMQDMIAAATDTSSVTNEWVMAEVIKNPRVLRKIQEELDGVVGRGRMVVESDLGQLTYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYDIPARTRIFINTHALGRNTRIWDDVDAFRPERHLPAAADGGRVEISHLPDFKILPFSAGKRKCPGAPLGVILVLMALARLFHCFDWSPPDGLRPDDIDTQEVYGMTMPKAKPLVAVATPRLPPQMYGRHGKQV >ORGLA08G0014500.1 pep chromosome:AGI1.1:8:1168348:1170372:1 gene:ORGLA08G0014500 transcript:ORGLA08G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53600) TAIR;Acc:AT1G53600] MAALRLPRAPTTAALAAGVPHRFPAPEGEPPPPRGPNRAHLNALLTSYGRRGRLRDAQLLFDQMPSRDVISWTALLTAYADGGDLASARLVFDDMPRRNAPSWNALLSVYLRAARPRAAHALFYKMPAKNAVSYGAIISGLAKAEMLHEAELVYEEMPWQWRDPVGSNALMAGYLRVGELAMALRVFEGMTVRDVISWSAMVDGLCKHGSVSEARRVFDAMPERNVVSWTSMIRGYVKRGMCRDGLLLFLNMRREGVQVNTTTLSVALDACAVASLAREGIQIHNLIISMGFELDIFLGDSIIIMYSRFGWMVDAKRAFDCMQQKDIVSWNSLITGYVQHDMVEEAHVLFKLMHQKDAVSWTSMVVGFANRGWMRESVELFEQMPVKDEVAWTAIISSFITNGDYLSAVRWFCRMSQEGCKPNTIAFSCLLSALASLAMLNQGRQAHAYSINMGWVFDSAVHTSLVSMYAKCGRLAEAYHVFSSISNPSLIAINSMITAFVQHGFVEDALKLFTKMQNAGYKPNHVTFLGILTGCARAGFVQQGYNYFESMRPVYGVEPNPEHYTCMVDLLGRAGLLAEALEMINSMPQNDHSDAWAALLSASSLHSNLAFAKIAAQKLLEKDPYDATAYTVLSRMFSSAGMEDEEMLKVVQLSNLASKRPGYSLIMQDKATEI >ORGLA08G0014400.1 pep chromosome:AGI1.1:8:1162889:1167065:1 gene:ORGLA08G0014400 transcript:ORGLA08G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G21060) TAIR;Acc:AT4G21060] MARRWRPSHLVLVAGAAYLLLISLKFRRVLDLATSDLAATDAAFSSPSSSDHLPPLPVSTTTTTTTSTSPGNGNGNATLFQVQPFWHRYDRVSLPDIVARNRSALDRMADDAWALGLTAWEDAAAFAGDPWELAAVDTATTDKCPSAVSVRARGRVVFLPCGLAAGSSVTVVGTPRAAHKEYVPQLARMRQGDGTVLVSQFMVELQGLRAVDGEDPPRILHLNPRLRGDWSQRPIIEHNTCYRMQWGSAQRCDGSPPEDNDDKVDGFTKCEKWIRDDVVDTKESKTTSWLKRFIGRAKKPAMTWPFPFVEDRLFVLTMQAGVEGFHIYVGGRHVTSFPYRPGFTLEDATGLFVKGDVDVHSVYATALPMSHPSFSLQQVLEMSEKWRTRPLPKDPVFLFIGILSASNHFAERMAVRKTWMQSSEVRSSKVVARFFVALNSRKEVNVMLKREAEYFGDIVILPFIDRYELVVLKTIAICEYGVQNLAAVHIMKCDDDTFVRVDVVVRHIKLNNGGRPLYMGNLNLLHRPLRMGKWTVTTEEWPEDIYPPYANGPGYVISGDIAKFVVSQHANQSLRLFKMEDVSMGLWVEKFNSTSPVKYSHSWKFCQYGCLENYYTAHYQSPRQMLCLWDKLVQGRASCCNYR >ORGLA08G0014300.1 pep chromosome:AGI1.1:8:1145143:1150625:-1 gene:ORGLA08G0014300 transcript:ORGLA08G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMATMVALVFGLALLLSAAAPAAAQNCGCQDGYCCSQWGYCGTTEAYCGQGCQSGPCWGSGGASVSVESVVTEAFFNGIKNQAPNGCAGKSFYTRQSFLNAARSYSGFANDRTNDDSKREIAAFFAHVTHETGHMCYINEINGANMDYCDKSNKQWPCQPGKKYYGRGPLQISWNFNYGPAGKNIGFDGLRDPDKVAQDPTISFKTALWFWMNNVHQVMSQGFGATIRAINGALECNGKNPGAVNARVNYYKDYCRQFGVSPGGNLYC >ORGLA08G0014200.1 pep chromosome:AGI1.1:8:1136419:1138682:-1 gene:ORGLA08G0014200 transcript:ORGLA08G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFQATTYKPHNGIIVDKVAIGLGSTCKLLHERAKCSYSNRFIKLQEQVYPRLLLVAACHNRIGPVYASSGKGNSERVNDPFSMESLNKAIAGTKKQWPIQDMLIDQISKIRGSGSGGNGGGNKNSHEGSGGGSEDESLTESLYEMVQVLLATIAFILMYIHIIRGEELYCLARDYTRYLVTGKRTSRLKRAMLNWHNFCEGITNKDSVQESTFERSTSEPMWWQQPLKFVHRIEELYRGYFRPHAQES >ORGLA08G0014100.1 pep chromosome:AGI1.1:8:1123597:1124528:-1 gene:ORGLA08G0014100 transcript:ORGLA08G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMMMTEVANHSKRNHNESYFTGKAAVTSSSEEFGSMTSKKPRNTSPRGAPVSPKEKKDKIGERVAALQQLVSPFGKTDTASVLQEASGYIKFLHQQLEVLSSPYMRAPPVPGAAPEDPDHYSLRNRGLCLVPVDQTLQLTQSNGADLWAPANTTRRR >ORGLA08G0014000.1 pep chromosome:AGI1.1:8:1116104:1117104:-1 gene:ORGLA08G0014000 transcript:ORGLA08G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCDACEAAAATVVCCADEAALCARCDVEIHAANKLASKHQRLPLDAALPAALPRCDVCQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFSSLCSANADHLPPPAPKGNSKPPASGIAAAAAPKPAVSAAAQEVPSSPFLPPSGWAVEDLLQLSDYESSDKKGSPIGFKDLEWLDDIDLFHVQSPAKGGSTAAEVPELFASPQPASNMGLYKASGARQSKKPRVEIPDDDEDFFIVPDLG >ORGLA08G0013900.1 pep chromosome:AGI1.1:8:1112328:1115009:1 gene:ORGLA08G0013900 transcript:ORGLA08G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVLLLRRMEKGWRPMSRALYPSPGSTSVAPPHELRAPRRCSPSYTSHKVFHRDVGFFSGWQSYNLQIYCCIHTSRPVNSQNHTIAEPQQKQEDVALVDESGRPKAKRKKLKGRRAVTRFLKSLRWKKKREFQRMTAEEKILYKLKLARNKEERLVAALTKIEPDDPSEPTHDPEVLTPEEHFYFLKMGQKSKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFTPDEVKEIASELARLSGGIVLDIQEGNTIIMYRGKNYAQPPPEIMSPKVTLPRKKALDKSKYRERLRALRRYIPRLEQELVDLHAQMKLARDYKGQNAAEDITCISDSVNSTSAKEYSSCSVRKRSVSDLLSESIEGSGRLEDENYEVSADSASESITYSESKDLSDIFETDSEEEQVQESKEQPLYLDKLDKYPSENNDNEPDDFEEHLRKIASLSDRTDSSAKELKVSELDEIDKIFLRASSLLKKR >ORGLA08G0013800.1 pep chromosome:AGI1.1:8:1108064:1108819:1 gene:ORGLA08G0013800 transcript:ORGLA08G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQVPTVPASPVVKFPLLPRGLLSYLPANLSSILPVARGAASTCEASSTTTTTMPPAPPASPPPPPKKMSPPGAGAGAGSKKKQQQQADAAELARVFELFDRNGDGRITREELEDSLGKLGIPVPADELAAVIARIDANGDGCVDVEEFGELYRSIMAGGDDSKDGRAKEEEEEEDGDMREAFRVFDANGDGYITVDELGAVLASLGLKQGRTAEECRRMIGQVDRDGDGRVDFHEFLQMMRGGGFAALG >ORGLA08G0013700.1 pep chromosome:AGI1.1:8:1089023:1103107:1 gene:ORGLA08G0013700 transcript:ORGLA08G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSARKKKKKKGGGGRKAAKDHGGQLEGDQAALADELTALGSIFLEDFKVTSESPQTRFTICIRPYSDGMGFGDSNVSATLDVTCFAGYPHKCPKLRVLPEKTLSREDANRLLSLLVDQANIYSREGRVMIFNLVEAAQEFLSEIAPANDSTSMAPWLGSGKVQQTTDVDVKVKLDNGSYHGIAYMHNSFDLYSQLYDGGSWSTQGPDPATDSAGKIVGSQVKSNLKSKRKTIIEKSRVSSDEVNVAKGLLPDNAGQKNIMKHDVIRETVPSLHVVAEETENDSKTVSTSNRENTSGTRERSFSSVHQLEDSDLPDEDWNDEDSGSGSGFSNTPSFDMFDDASRNKKKDLILILSEWAKDLISKSPAVFGETFGHFFGPQMTSSECSLFWRADNSSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILREVATLSRLQHQHVVRYYQAWVETEYGQHHVLNAAGSCTAESSMYSYDNISLSDAGGGNKQESTYLYIQMEYCPRTLRQDFETYTSSFRVDDAWRLFRQIVEGLAHVHSQGIIHRDLTPNNIFFDVRNDIKIGDFGLAKFLKLEQLDHDQYLPSEGMGVSMDGTGQVGTYFYTAPEVEQKWPHINEKVDMYSLGVIFFELWYPFSTAMERHLVLSDLKQKGDSPLSWATQFPGQSNLLRRLLSPSPSDRPSAVELLQNDLPPRMEDEWLTDVLRMIQTPEDTYVYDRVISTIFDEERLIAKTQCQLEGSKKSTCKSDNSELLDSIIEVSKEVFKRHCAKRFQISPLHTLEGKFTENRGKTVKILTQGGEMLELCYELRTPFVMSVAANQILSFKRYEVSWVHRRAVGHSIPYRFLQGDFDIIGGASPIPEAEIVKVTLDVGAHFYDPKAIIIRLNHSKLAEAVCSWAGVPQERRQNVAEFFSSTLVQYWPNKADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADQVLARLRGTLFYDKSACKALDEISAFLKCLRIWSIEEHITIDVLMPPSECYYTDLFFQIYVKEGNPGSSSHEKLLAIGGRYDWLIEQAWDRTYKSKPPGAVGVSIALEKFLPNSPSSDIGFPRIEPSISVLVCSKGGGGLLNERMELVAELWEANIKAQFVPQEDPSLQEQYEYASDHDIKCLVFITEAGVSQTELVKVRHLDAKREKEVKREELVKFLSDAICLQFKNPTIWS >ORGLA08G0013600.1 pep chromosome:AGI1.1:8:1086200:1088090:1 gene:ORGLA08G0013600 transcript:ORGLA08G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPSLLVQCFPGLLPSKATSCVPIVSERDLQLPSPAVEIIPSKSAHPYKYAGEKVDVQGLDIFKGKVSVADMIAFSPSEVVASKYDGSLKYWESSITLVNIIKNEIRDGQLSFRGKRVLELGCGSGLAGIFACLKGASTVHFQDTNAETIRCRAIPNVLANLEQARDRQNRPSESPVTPSRQLLAPNVHFYAGDWEELPTILSVVHPPAAPTNLSFSEDDFMDGCSSHDGSSIVGVDNCPRRSRKLSGSRAWERASETDQADGGYDVILISEVPYAVNSLKKLYALITKCLRPPYGVLYVASKKNLVGSNGGARQLRALMEEEGVLGGHFLTELADREIWKFFFK >ORGLA08G0013500.1 pep chromosome:AGI1.1:8:1063432:1073526:1 gene:ORGLA08G0013500 transcript:ORGLA08G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:I1QFD4] MARPEEKLRCTKEPFIEDVGTRRIKSIRFSMFSGNEVRQSAEVQVWNSRIYNHEMKPVPNGLLDTRMGAANKLGECSTCHGSFAECPGHFGYLKLALPVFNVGFFNCILDVLKCICKSCSRVLLMEKDRLEFLKKMRNPKADPLQKSAIMKKVRDKCKLSRCPWCGFINGNTGVAKKGRAGLIILHDCSKTLDGSTEELRDALSHKKEKLSISAVRMLDPAIVLSLFKRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVFVAGGRMSNEDSITVILKSIANTNSILKENLQTGGQFMKCFDCWQHLQLQVVEYINSDAPSLPESQHRGLVQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLRITEVAIPILMARVLTYPERVSYYNIEKLRQCIRNGPHKHPGANFIIQPDGTKLHLKYCDRRIAARDLKYGCVVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDNFYDRSSFSLLCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNACTKVFLNLTVKEKIYKTPKGSTLEPEAMCPNDGFVYFRNSELLSGQVGKATLGNGNKDGMFSTLVRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNQEKKMKIDGGYKDCHDLIASYSKGALRLQPGCNAAQTLEQSITRVLNEIREEAGKVCMNTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPNGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGKPLNLDQLFMKVMATCPQRGQNTLSPGEILQILNDKLSEHDASSDDGCSEKFKQLLTYFLEDRIKLLKSTRRALLLDEDHVGERHSSFEESIAANISGISAKQLQVFLDTCLSRYHLKKIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITAELLSEKDVLSARIVKGSMEKAVLGEVAEAIKIVLKSSQPNLVVKLDMQRIEALHMGISADSVQLSILNHPKIKLKSEHVRVIDKSKLRIYPAGIDKSKLLYELHHLKSMLPKVIVKGIPTVERAVISETGEENDKRYKLLVEGTNLLAVMGTPGVDAMKTKSNHIMEVNRTLGIEAARRSIIDEIQYTMKSHGMNIDSRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTAEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHLPEFKYQPDPILA >ORGLA08G0013400.1 pep chromosome:AGI1.1:8:1059152:1059853:1 gene:ORGLA08G0013400 transcript:ORGLA08G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVMSMLLASSLLAAASAARADHHSPAYAPYPHHHAPWPAPAQSPSAPDHGAHGHHAPAPAPVHADQPARAPEWHHHAPAPAPVRDDKPSPSHHHHHHGHHHHRHATATAPAHAPSSHHDRHAPAPTPVHSSWPWPAHAPAPAPAVIHGTNSHLAPPAPSSHVQYSPAPTPGDGRHQSPPPPPSPPSADEGAQAPSYNPSPAPAPAQESSSAAVAFAGGAGVLAVTAVALLL >ORGLA08G0013300.1 pep chromosome:AGI1.1:8:1051543:1058094:1 gene:ORGLA08G0013300 transcript:ORGLA08G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMLDANDSADDDFYSGGEAGLGGSDDGDADYDFADHDSDDSAELLSHRQQQNYSILSEADIQQRQEDDINRVSTVLSISKSEACVLLRNYNWSVSKVHDEWFADEEHVRKVVGFPEKLIEMPNDRELTCGICFENCPCTSMSAAACGHPFCSACWRGYISTSINDGPGCLMLRCPDPSCTAAVGQDMINSLADDEDREKYGRYLRRSYIEDNRKTKWCPAPGCEYAVEFVMGSGSYDVNCNCSYGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSEHGERTGGFYACNRYEAARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRQKALGDLLSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLEAESPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLNDVGPSTSHGTCSKSATSKSLGSKSKSSKSRASSTSSKTGGSSRGVDESNIWTCDQCTYANPRSARNCQACNNQHR >ORGLA08G0013200.1 pep chromosome:AGI1.1:8:1035039:1038421:-1 gene:ORGLA08G0013200 transcript:ORGLA08G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTIDLSMPGSSGLLDDVGGKKHMNFFSNRYVLALTGAAGIGGFLFGYDTGVISGALLYIRDDFPAVRDNYFLQETIVSMALVGAIIGAAGGGWINDTYGRRKSTLVADMLFALGSLVMCAAGGPYILILGRLLVGLGVGIASVTAPVYIAEAAPSEIRGGLVSTNVLMITGGQFFSYLINLGFTEVPGTWRWMLGVAAVPAILQFVLMLFLPESPRWLFWKDEKAKAISVLEKIYDSDRLEEEVELLASSSMHEFQSDGTGSYLDIFKSKELRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFTSNKLALLLSLIVAGMNAAGTIVGIYLIDRCGRRRLALTSLAGVVVSLAILAMAFILQSSSDICSNALNGACQGAVGWFAVAGLALYIAFFSPGMGPVPWAVNSEIYPEAYRGMCGGMSATVNWVSNLIVAQTFLSIVGLVGTGLTFLIIAGIAVLAFIFVALYVPETKGLSFEQVELLWKERAWGNQGNRQSLLGAAP >ORGLA08G0013100.1 pep chromosome:AGI1.1:8:1026253:1028156:-1 gene:ORGLA08G0013100 transcript:ORGLA08G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQLICSGCRRVVQYRRGVAGVCCPGCNTLTAVNPSAVADMSELICSGCPTLLFYNRGASNIRCPSCNRLNSTRSANQIAHLTCGQCRTTLMHPPGASTVQCATCRYVNHVRDARPQTVLVENPKTLDDKGKLVSNVVVGVTSWKR >ORGLA08G0013000.1 pep chromosome:AGI1.1:8:1021233:1023846:-1 gene:ORGLA08G0013000 transcript:ORGLA08G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter CorA-like family protein [Source:Projected from Arabidopsis thaliana (AT2G04305) TAIR;Acc:AT2G04305] MAAAAGAGEPSPYAEAAGSDLANARAPSPVVGKHLPSGAVPRHAYVFDGEGGFADAAWDVAAAAPGAFTWHHIELPRQQPGGAAAKPLHHAQALIELLCPPLTLQEILAFVATGPHCGVVDGGGGGGAGALLLRVSSPGPVGSAFALRLAARVTDSSVVTVSVGGVPRLAFGTTQASLLSEVPLGVTASLSDEGHGGGRAVEGGVVIEERLLESLLAMNHADGAHTDNPVPRTVSNLLVHVLGTHVDHVHDIVTRLEMELDSIELHLDKGGHFMRKLLLDGRRFPKMHLDLQRLLQVVSHGDQVFPRVKEKCASKSWFASEDIVALEDLIGRLRRLKENLGFITNRVTTLQASLDSWQSEQINKSLYYLSFLSIIFLPLSIVTGVFGMNVGGVPWTEQKNPANLDGFFNVMLICVVILLILLLCFLFPSLYSHVSAWRTRRALARSSSQNKRHLKLFKGHKDGYMRL >ORGLA08G0012900.1 pep chromosome:AGI1.1:8:1017590:1019307:1 gene:ORGLA08G0012900 transcript:ORGLA08G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-associated protein-related [Source:Projected from Arabidopsis thaliana (AT1G79070) TAIR;Acc:AT1G79070] MDGSDPPAAASPSAAAAAGDDDDERAAAPAAQPERCEALAGAIAGVLGGALQEHEACAAATARSQGELAAAVDRLNGELDKLLENAPSPVIMQQATRICSIRKRVLALNMLLRSIQRRIDNIDRIVSTGVTSDHSSHVQLHRQN >ORGLA08G0012800.1 pep chromosome:AGI1.1:8:1016062:1016262:1 gene:ORGLA08G0012800 transcript:ORGLA08G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAKLVDMSSCLAWCHHLTVEWLIYLCCYHLISLVLPRVLHQDMSVQLCELVMEPYLLLIFTYR >ORGLA08G0012700.1 pep chromosome:AGI1.1:8:1015698:1016012:1 gene:ORGLA08G0012700 transcript:ORGLA08G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVCSTMSMLAFLNHASNEFKSTKFAVMLCCFLLLRSSFCSPLCSLREGYCVVIFCSFLAMLPCLSANFHQLTFCDLILLFVLYSVEEEAHEEAEEEAPKDEAEI >ORGLA08G0012600.1 pep chromosome:AGI1.1:8:1007176:1011315:1 gene:ORGLA08G0012600 transcript:ORGLA08G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLNRRASQTRGGMEYFDARRKPHNVGKVIAALVLTTLCIFVLKQSPGFGGSSVFSRHEPGVTHVLVTGGAGYIGSHASLRLLKDNYRVTIVDNLSRGNMGAVKVLQELFPQPGRLQFIYADLGDQKTVNKIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPIVETTRQLPINPYGKAKKMAEDIILDFTKGRKDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYPTTDGTCIRDYIDVTDLVDAHVKALNKAEPSKVGIYNVGTGRGRSVKEFVDACKKATGVNIKIEYLSRRPGDYAEVYSDPTKINTELNWTAQYTDLKESLSVAWRWQKSHPRGYGSN >ORGLA08G0012500.1 pep chromosome:AGI1.1:8:993311:996877:-1 gene:ORGLA08G0012500 transcript:ORGLA08G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDIMFDNQTKPCRSRVDSKSNINVLKPKFGSSWGSQIVKGFTADKKTKKTAAAASKKPPLASVENVNTSNQQIPYHSRVKRSLMGDFPCSPAGAQVHPHVFDCHGIRSPASHDLFLELDHLREQLRESKERELALQSELRQCRENPRVSELEKDLDSRKNEIDRLVRLKTSLEVEKTSLSEQLSALSCMVEQHEENARLDGHGNRVSSMDGGNASSSENLEIEVVELRRLNKELQFQKRNLAIKLSSAESKLAVIEKNAESEIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELSNSDQAARAMTDADYNDEMACHVDDCDGDARLDQNSSDHKKFSIAERIKQWSQNDKNCEASKKEALLDRAWIEAAECRSPTRRHSLGGPKGCAQEFSIVKRRQSDTFICLPEATDEAISCNKDETIREKRELLVDKYDFGRSESSRFLLGKSEVCKSQSMDVEKRALRIPNPPPRPSVSVPHSGPSNGSAANPPKPPPPPPPPKFSTRNAGVMKRAPQVAELYHSLMRRDSKKDTSGSGICETANSANVRSSMIGEIENRSSHLQAIKADVETQGEFVKSLIKEVTNAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLESEVSNYKDDPRLPCDIALKKMVTISEKTERSVYNLLRTRDATMRQCKEFNIPTDWMLDNNLIGKIKFSSVKLAKMYMKRVAMELQYMGPLNKDPALEYMLLQAVRFAFRMHQFAGGFDPETMDAFEELRNLVHVRNSTQ >ORGLA08G0012400.1 pep chromosome:AGI1.1:8:989052:992275:-1 gene:ORGLA08G0012400 transcript:ORGLA08G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPASSGKAASDSSAPVVAVANGNGTTPQKLPPASAFDMPKPNLRGLNKPKCIQCGNVARSRCPFQCCKSCCYKAQNPCHIHVLKQNNTLPDKPPPSTAPLSEQPSANIPSTGSSRLASLQRLPHHFLNSLRTKKSLAKKDVVGINKWRFEKLKEHIQGDIDAENEAYERYTQNVGLLEETFGLTEDAADEPEPEATSSEERMETLVSEAKVRLKSDSANADGFRDRIATILDQKIKELLESKSTYEDDNPPDQNPDDHPKPVKLSIKQQMERGAKMTELLAKMIRAQSEVDLKICSGIAAQLFGKKNELSNQESVASVTIPYSFPKLWTRVEIDDAMMCKINGEFSSSTEVMQL >ORGLA08G0012300.1 pep chromosome:AGI1.1:8:988319:988831:1 gene:ORGLA08G0012300 transcript:ORGLA08G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTASTCTTPIAQGAHAFTVYQHGLVKRTAAAGEFVRSGTFAVGGYDWAVRYYPNGDSAAEAACRQPSVVLELMTADAAASAVYELKAVDQVTGERLVLREDKTAAFDTRNGQFSCSGVQFVETPAFLAGDFLSIECIVTIFGEPRVSKTNKMPQPPPPPPAAETSDVS >ORGLA08G0012200.1 pep chromosome:AGI1.1:8:986082:987292:1 gene:ORGLA08G0012200 transcript:ORGLA08G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRISARNKVHTMATVEGRHRFRVAGYGATKGAAPGHRVASGTFTVGGFDWAVVFYPEGVTAADMDFVSVYLELKNAAAAAGGGGGGAVARAFYDLRLIHPATGEPRSVRWPMDGSTSRVFSQAFPAWGHLRFMRRRELEEMGFVRDDRLTIECVVNVVLDPVVTAGDAPELDHPPSNILGHLAGLLGDKGTADVTLVVRGEEFAAHRAVLAMRSPVFKAALYGPMKKSTDANAGRVAIDGVEPAVFRALLHFIYTDTTAAMDDLDDDDDKAQMIMHLLEAADRYDVERLKLICELMLCKSIAVDTVAATLAMADQHHCQKLKEACIEFLATSKKMEGIMESQGYEKMKLSCPSFMVDLWEIIGRKMTSYSVIPNIYARDKD >ORGLA08G0012100.1 pep chromosome:AGI1.1:8:985111:985701:-1 gene:ORGLA08G0012100 transcript:ORGLA08G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERVKGTVKWFDATKGFGFITPDDGGEDLFVHQSSLKSDGYRSLNDGDVVEFSVGSGNDGRTKAVDVTAPGGGALTGGSRPSGGGDRGYGGGGGGGRYGGDRGYGGGGGGYGGGDRGYGGGGGYGGGGGGSRACYKCGEEGHMARDCSQGGGGGGGYGGGGGGYRGGGGGGGGGGCYNCGETGHIARECPSKTY >ORGLA08G0012000.1 pep chromosome:AGI1.1:8:982046:983179:1 gene:ORGLA08G0012000 transcript:ORGLA08G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAPAGHHAGEEPPPPSTTRSTMSTCTMGTVEGHHRFAIRGYSRLRALLAAGEYVRSAAFTVGGYDWAVVFYPRGATHADRDHAAVYVQLLTDRAAAAATFDLRFVRADSGRPLSVHPPLAAPRTFSTVLRSSSAAMYGVKVEAIQALQANYVRRDRLTIDCAVRVVGKPRVSAAAPLTAADVPPPDLAAHLGRLLDLKSHADVTFDVRGVQFAAHRVVLAMRSAVFAAELFGPMRNNAVGAIKVGDMQPAVFKVLLGFIYTDTLAAMDDLDADEDDRRELARHLLVAADRYDMGRLKLICADMLARSLTAQTVASTLALADRHGCRGLREACVEFVIAMGMNDEVVISRHPDQLNCISLFKYFFYQIGSLLKIH >ORGLA08G0011900.1 pep chromosome:AGI1.1:8:978697:981076:1 gene:ORGLA08G0011900 transcript:ORGLA08G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMETTTTTATESMSKMETVRGTHRFTFHGYSLCKGGGAGRCIRSGTFTVGGYDWCICFYPEGQGGGGGGDREHVSVKLRLVTRCATATAFYELRLLDQDTGRAAAVARASGAPRVFASSNPGTACFGRRAFMERSKLEASPACLRGDSVVIDCAVRVVVHDPVVAAVRRREAPDDVPPSNILRQLVAQVESEGADVTFAVQGETFTAHRLMLAARSPVFKAELYGAMKEKDADHVIAIADVQPAVFKALLHFIYTDDMPPDLGLAASDDDDDDTDRIDMARHLLVAADRYAVERLRVICERVLRRSLGVETVIDTMALAEQHSCGELKEACLEFIDSHSKRIVESDGYKNLKRACPLLVADMWERIVRSRV >ORGLA08G0011800.1 pep chromosome:AGI1.1:8:974335:975204:1 gene:ORGLA08G0011800 transcript:ORGLA08G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPISESTITRSTCATRTARATHQFEIVGYSLKRCLAAGEFVRSSAFAACGYRWSVRVYSVKHKKCKGKRGTRAFMRRRDLESSAFVRDDRLIVECVIDVVVANGGDDDTAAAASPLAGVPAPDLSRHLGELLERADGVGADVTFDVRGQPFAAHRIVLAMRSPVFMASLYGSMREHRAPRIAVDDMEPEVFDALLRFVYSDTLALPGDLGEGEYKEMVRQLLEAADRYAMDRLRVICELILSRSLDAKTVAATLAMADQHSTTATRSRMFVFSSWPLGWMTTIND >ORGLA08G0011700.1 pep chromosome:AGI1.1:8:972078:972983:1 gene:ORGLA08G0011700 transcript:ORGLA08G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAPSSSMSPASGTYVLDVHGFSGLRRQHCGGGGGCIVSPTFTVAGLEWAILYHPEGDADEVTDDVAVFVVLVTKDATAWAHVEFRLLDQAAGEMVTFFGEKDPILFDSGSEDLSTWGTGELAARSFLDGSPYVAGDCLKIECAVDVCRDRLTFHHDTPPSGEPFRQYPADDEPADVTFKIAGETFPAHVSVLAARAPGLLNNTTSQAATITIDDDDDDIPAAAAAFGALLHFAYTDTLPVASGLDGAGHTALLGRLLVAAGRYGMARLGAICERAMCRSLDAGTAADTLAMADRHGFDAL >ORGLA08G0011600.1 pep chromosome:AGI1.1:8:969808:970920:1 gene:ORGLA08G0011600 transcript:ORGLA08G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSISTCTTETAKGIHRFEILSYSMMNTEAEDDSIRSGVFNVGGFDWALLYYPDGIDDDSKGYIGVYLELISKNGEPWALVDVNLINQLQPGQPRQLFTKTDVPTPFRSSSFQESTLGSLKCMKRSDIESTPGFIVNDCIVIECNVTVIYEPKVSKTRALCEAETSSALREIEVPPMEISSDFAKMLKDGVGADVTFRVGEDTFRAHRAVLAARSPVFHAQLCGPMKEKKETQMQEITIQDMQPSVFEAFLYFIYTDCVPRMDDLGNGEKMHLMMHLLKAGDRYGLERLRIMCERFLATNLDTEHVSAILGLADLLDLKKLKEACMEFMVPSERMDAVAASQGYQQLKRAFPSLAFEVWERRSRVRRN >ORGLA08G0011500.1 pep chromosome:AGI1.1:8:958753:960689:1 gene:ORGLA08G0011500 transcript:ORGLA08G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37 [Source:UniProtKB/TrEMBL;Acc:I1QFB4] MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFREGTEATPRKRAAVAN >ORGLA08G0011400.1 pep chromosome:AGI1.1:8:949524:954015:-1 gene:ORGLA08G0011400 transcript:ORGLA08G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13180) TAIR;Acc:AT1G13180] MDAATRPAVVIDNGTGYSKLGFSGNSEPCFTIPTVVAVNESFLDQSEMCSSANWIAQYNAGVMADLDFFIGDEALSLFKSSGLYTRKHPINHGQVDDWDTMERFWQQCIFNYLRCNPEEHYFLLTDSPVSTPESRECAGEIMFETFNVPGLYISVQSVLSLSAGYAFLKSISDEDSVSVSDMTGVVVDIGDGAPHVVPVVNGYVIGSSIKSFPFSGSDVTQFVLQLLQERGELIAPEDSLDIARRVKEMYCYTSSDIVKEFKKHDKKPDKYIKHWSAIKPKTGVPYTIDIGYERFLGPEIFFHPEIYSANFSTPLPELIDSCVQSAPIDTRRDLYKNIVLSGGSTMFKDFHKRLQNDIKKIVDERVAATNARHHVEVKPVEVNVVAHPIQSYAAWFGGSVAASNPEFYESCHTKEEYEEHGASICRTSTVFKGMY >ORGLA08G0011300.1 pep chromosome:AGI1.1:8:945797:948526:1 gene:ORGLA08G0011300 transcript:ORGLA08G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3537) [Source:Projected from Arabidopsis thaliana (AT1G67570) TAIR;Acc:AT1G67570] MAPSNRLAGAAAAAAAAPPPPPPPPPPLPPRGSPAPSENHTAISAPLLQPAGAGAGDAAPLARWLRRLEAFLSAAGLAASSPLGKAGAASALAVLGVALPALAVALSPCRGRGRGCDEFEVEVFEVCVLLSQAAAAAVALACVSRKMAMYGLRKFLFVDPELGMRIRFQKEYVAKIKDFFSTILWWILPCFVVKVTREMFRFSHIFQESTWRSCAVLFASIMSWMYLTTIILTSCMLFNLVCNLQVIHFDDYGKLLEQDSDPLVYLKEHLQLRHNLSKISHRFRMFLLLLFFSVTASQFAILFKTTAYTGPINFTNGGDIAVSSVVQVVGLVLCLHAAAKISHRAQNIASLASRWHALVTCSSDSTYVSTPNSSGNLVPFPAHMFLRDFSESDLESLESGSVQGNSHGTAQLASYMSSYHKRESLVLYLLGNPGGITIFGWIVDRTFLNTILMLELTLVLFVLSKTVVVPAKTLVLDYIRFP >ORGLA08G0011200.1 pep chromosome:AGI1.1:8:940334:942604:1 gene:ORGLA08G0011200 transcript:ORGLA08G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPLSPRFAVPLAIALLLALGLVADFLWSSSSSSGTSGRGQLASASRTATAKKSGRARGKKPAAEGYLNATYADIPAPRWDWEEMPAAPVPRLDGYSVQIGDLLYVFAGYENLDHVHSHVDVYNFTSNTWTGRFDMPKEMANSHLGIVTDGRYIYALTGQFGPQCRSPINRNFVVDTVTKEWHELPPLAVPRYAPATQLWRGRLHVMGGGKEDRHEPGLEHWSLAVKDGKALENEWRTEIPIPRGGPHRACIVANDKLFVIGGQEGDFMAKPGSPIFKCVRRHEVVYGDVYMLDDGNKWKQLSPMPKPNSHIECAWVIVNNSVIIVGGTTEKHPITKKMILVGEVFRFDLDTLTWSVIGRMPFRIKTALAGYWDGWLYFTSGQRDRGPDNPAPKKVVGCTWRTKLHL >ORGLA08G0011100.1 pep chromosome:AGI1.1:8:936740:938798:1 gene:ORGLA08G0011100 transcript:ORGLA08G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTASMAASLLIPLCLCILLLRGASAVSDQQEAGRRDSCDRIDRRIRALEPTRRVDSEAGHTELYDDRDGQLPCAGVAAARITIQRNGLLLPSYSNSPRLAYIVHGRGIVGVVIPGCPETYQETSSSSSQEQEDDERRRRGRRGDEERRRSSEGEEEEEEETSRRSFEQSIRDEHQRITTVRQGDVVAIPAGAPFWVHNDGDSPLVAISVHDVSNSANQLDQTSRRFRLAGGQARSEGRQRYGEGESSESETERGGEESYNILSGFDTELLAESMRVSPDIARKLQGRSDKRGNIVRVRRGGLRMLRPATERVTDEEMMRGANAAAAAGNGIDEAVCLMKLRENVADPMKADLYTPNGGRITVLNSQKLPVLKLIKMSVNRGVMRRNAILAPHWNINAHAAVYATSGSARLQVVSSEGRRVFDGELRRGQMVVVPQSFAVAGRAGDEGFAWVSFQTSDGAMNAPVVGKSSALRGMPADVLANAFGVSREEARMVKFGRGQELAIFSPKSGAAARRRRSPGHRDDGVLAAPA >ORGLA08G0011000.1 pep chromosome:AGI1.1:8:928923:929696:-1 gene:ORGLA08G0011000 transcript:ORGLA08G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGMASGSSAGWPLSPSPSSTRGRRRVSVKPWRLWWRRCAGVAAVIQSKIHRRAVRWPGGHGGGRRRREAATASTREREGWCHHRSFAPVYIDELYSHPKTHHVAVHEAQAQQPNTTAAKTNAGAASCKARAVAAAAANNNNNAVAATNASAMFAAKNAAADAATNAAAGARGKGRVGGGKKAAAAGAATNGGGAKAARGGVRSLLMSPLRGGGACGMGEVDVRAEVFIRKFREEMRLQNQKSAEEFQAMLARGL >ORGLA08G0010900.1 pep chromosome:AGI1.1:8:924818:928202:1 gene:ORGLA08G0010900 transcript:ORGLA08G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVSFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNADKPSLKHQRNWKSDPNYTKSWYDRGAKLFQANKYRKGACENCGAMTHDKKSCMERPRSVGAKWTNINIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIADYEAREEARKKYLKEQQLKKLEEKDGEEGDENVASEEDDEEDGLKIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNIHAWEAFDKGQDIHMQAAPSQAELLFKSFKIKKEKLKSENKDKIMEKYGNAASEEPIPRELLLGQSEKEIEYDRTGRIIKGQDVALPKSKYEEDVFINNHTTVWGSWWKDHQWGYKCCKQTIRNSYCTGLAGIEAAEASADLMKANMARKEAAEDEPVRHEEKRLATWGTDVPNDIVLDKKLLDEALKKEGARRKEEMDERKRKYNVKWNDEVTAEDMEAYRMKRIHHDDPMRDFLH >ORGLA08G0010800.1 pep chromosome:AGI1.1:8:920881:924439:-1 gene:ORGLA08G0010800 transcript:ORGLA08G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast J-like domain 1 [Source:Projected from Arabidopsis thaliana (AT1G08640) TAIR;Acc:AT1G08640] MATATATAAAAAPAAVPALVSPLSRRAFFPLPRRAGPKSLRVFASAARRRGLVVVAADAAAAAGGAEFSDEENPYEILGITPLDSFDHMKLAYKRKHKEADENGDQYYLSKLEKAYDTVMMQQLQYRKKGVTYGSVQVSKDIKYADDQPIVPWGPRSSKSTVKDMRINLGISAAIVVWIAIMGNADWKPLQFLCFAFFYRILQKLRATEPPITPIYNEYGEVEGRGIRMAKRVVRALGLIFGCVFAASLGYTAAVNVIEFSWQYTPRIVYYYQELIVTAATAALLYITASYYR >ORGLA08G0010700.1 pep chromosome:AGI1.1:8:917888:919558:1 gene:ORGLA08G0010700 transcript:ORGLA08G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNASASASVLAPPVGAGEGDAPSFSYLAALGNCPLVAAVLAGAIAQFIKVLTTWYKENRWDAKQLVGSGGMPSSHSATVVALAVAVGLQEGFGSSLFATAAIFASVVMYDAFGVRLHAGKQAEVLNQIVYELPSEHPLAETRPLRELLGHTPAQVFAGGVLGFAVATFTGMIAGLGNTGSLP >ORGLA08G0010600.1 pep chromosome:AGI1.1:8:907831:912548:-1 gene:ORGLA08G0010600 transcript:ORGLA08G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKEDASTKPALQPKKRKASPLVQGSLEIPVVEADKVLDDAQVVQPSKVIDDAQVVQASKVIDDAQVVQAFKVIDDAQVVQASKEIMTDRQKYELSVRLQSYGGLIPNHVVDFIRSHLPDDNEGDEDELELDMNVLSDSTLFELQKLLDDYDRVNQSGNPTKDEHREVEFESEYGLSNSSMHHEEGNELVEEDVDIGGNDLPPLTYPPAVFESETAERSSKHSTSSSSSSDSESSSSDSDSSSSSGSDLDVNVPPSTSGAKDNTQSAVRLDQENDPLSSTNLPQQSSDPVPISAEDEGENVSEKQVPPAKQYRAAVLLNRFADTIFKAREKTLDQVAKKDPEKLQHDMEELERLRREERARIQAEAKAAEDARKRAEAAAAAEAAAEAKRQREREREAARKALQQMEKTVDINEGNLFLKDLEMLGTVTSGEQFPSSVGETSPTHTPEGLGFQLGSNPLEQLGLYMKNDDEEDEEGESADEPTIDVEEGEID >ORGLA08G0010500.1 pep chromosome:AGI1.1:8:904713:906449:1 gene:ORGLA08G0010500 transcript:ORGLA08G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQWWQDGRSAQEKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGVNIVYMVTGGKSLKKFHDVLCEGHGCKNIKLTYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGKVADVDYHLRATTSTGKVFGFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWKGVVVAYIIVALCYFPVALVGYWAFGNHVDDNILITLSRPKWLIALANMMVVIHVIGSYQIYAMPVFDMIETVLVKKLRFPPGLTLRLIARTLYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRRFSLSWFTNWICIILGVMLMILSPIGGLRQIIIDAKTYKFYS >ORGLA08G0010400.1 pep chromosome:AGI1.1:8:885283:886497:-1 gene:ORGLA08G0010400 transcript:ORGLA08G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRGWWNDLPSDLHALVAGGLTFQAYTRARAALPAISSPSLLVLHDDHRERSSFSAWFLSPRISIASLATNLISTGRAQRCVGSGHGWVAFGDFNASLVNAFTGDEIPFHSFPEKHGVVMSKVVFAPNPTPAEFTAAAITGHRRVTXTTRGNSGWTDVELPRLGAIGGGDYIADVVYHEKEFGGGKKKKVVYCLTGGGDVLVLRLPAGRRRRQRRPASFEPLFDKASAVFYPAAAFAPPHSTGDKYLVVCDDGHLYQIWMDEKISYGSGAIASVLRYYPRRRPCWLPAKDLGGRSFFVGVNNAVALRVDGGGGGSSGLRGNCVCWSARCSSRAKVFDVESGKSATCFPVVDLETGKSAPGFPGGAEAHRALCWFFLADMRSSSSNTRVGTSAHQLQKRARHA >ORGLA08G0010300.1 pep chromosome:AGI1.1:8:881824:883363:-1 gene:ORGLA08G0010300 transcript:ORGLA08G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGWEDLPPDLLVHIAGGFSIQAYTRLRGVCAAWRDALRPPSPSLLVLRDRHAGQRFAAWCVSPRMVSTALHETLAARLSPASRCVGSGDGWVAAHVPGGAVLVNPHTGDEIPLHSFPGGGGNNVVVFKVVFAPNPTPSEFTAAAITGGGRVVYTTNGNSGWTDFKCPRLGAHGDGGSIADVVYHDHGGGKKVVYCLTAGGDVHVLRLPAGGQRRTTASLVPLFDKPSATFYPAAAFAPPYDTVRTFADSKNLAVCGDGQLYQIWRDDDANATMFVLRYHPRRRPCWLPAKDLGGHAVFIGKNNTVALRGDDGGGATPAPRANCVYWTDVWTDRAKVFDVVTGESTLCFPGAEVSFNTGYILQCFKIVFDHPINVDPMFIGGNGIGYDL >ORGLA08G0010200.1 pep chromosome:AGI1.1:8:877765:880677:1 gene:ORGLA08G0010200 transcript:ORGLA08G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDRCARDTIHPIESRALDRSRILFLLCCYKRRPRFRFHVHQWWWWVAMEVPELVKLAFARVQRVEPEHVGKIFGVMLLREPDEDELVQLAYGPEATLLAKIEDTKAALTVIYARCSAAAAHGPPGGGGVGVGGGGGYHQQPQQLFSRPPVPACGGVRHHYSPAAAAAAAFGYQVQSPQYWPDSPPAPPTKAAQQEFAPPGLVVDASAEGPYPLRGGQHVLDDNNFGGGYYYPAGEDAFPNGGGGGGGGGGSPARARRSNGLSTRRPCHYFSKGICKNGQNCHYSHHQVYQDALAGAAINGDVYNHQPGGVTPGSLETLEMEITELLNSRRGQPVSIASLPTLYGEKYGKGLQADGYLTESQRHGKAGYSLTRLLSRLNKIRVIERPHGQHSVVLAEDAAKYMDFRGGGGGGGGDTGSVPASSHQIYLTFPAESTFAEDDVANYFGQYGPVRDVRIPCQERRMFGFVSFQSPETVSTILMRRNPHFICGSRVLVKPYREKSKCVDRTCVDNIKSMVPYCPPRFFEFDQELYTAEYDASRLMRKQLAEKREMLLEMERRRATVRRLESMPPQFAYFDCSIEDASPLHSLQDDSKQLDLMNPSLASPDPLEIVSNSQAPPTQAGNIYDDHESNQIELLPESPFAASAPAGNSISTII >ORGLA08G0010100.1 pep chromosome:AGI1.1:8:873904:875034:-1 gene:ORGLA08G0010100 transcript:ORGLA08G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASSRGWGDLPPDLLALIADGLPIKAYTRVRAVCTAWRAAIPAASPSLLVRLDWNRHDAWFLSPRISTALHERLATLLPGRSICLGSGHGWVAVHDPIFYELQFGLVDPLTGAEIPFSSFPHFAEHKLRVSKVVFAPNPTPTDFTAAAIIGNGGRVITYTAQGNSGWADAECPRLGDRDGIADVVYREDPGGKRAVYCLATSGDVHVLRLHDAGGAFEPLFDRGNAAFDAAAAFAPPYDTIRHCTNAKNLVVCDDGDMYQIWRNSTCTRMGPLPGGGEYRVEYNQMFVLRYHPRRRPCWVAVEDLGGRSVFIGKNNAVALRVDGGVPGLRANCVYWTDICPARAKVFDMVSGKSTLCFHGVEDHRAICWYFLG >ORGLA08G0010000.1 pep chromosome:AGI1.1:8:865656:868937:1 gene:ORGLA08G0010000 transcript:ORGLA08G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1QF99] MGEFSGGVFGDAGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHSDIKIKDSKTLLLGEKPVTVFGIRNPDEIPWAEAGAEYVVESTGVFTDKEKAAAHLKGGAKKVVISAPSKDAPMFVCGVNEDKYTSDIDIVSNASCTTNCLAPLAKVIHDNFGIIEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPDLNGKLTGMSFRVPTVDVSVVDLTVRIEKAASYDAIKSAIKSASEGKLKGIIGYVEEDLVSTDFVGDSRSSIFDAKAGIALNDNFVKLVAWYDNEWGYSNRVIDLIRHMAKTQ >ORGLA08G0009900.1 pep chromosome:AGI1.1:8:857058:859169:-1 gene:ORGLA08G0009900 transcript:ORGLA08G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGRCDGCHGDFGLWEPPLTAECSHRFHLHCVVSGADVCPACNARWTNAPSKPPPQPAGGSTTPFGQTTGFPMRVRPWSSCDKCRGVIDHSQPTVTSECSHTFHLRCFSGSVICPACNARWRDTVAVPNPSPAPPSTFFPGGVPAPPPTFGPFWVSHVYGDDEPVEPPVAAQGGGVAPANNGALVVTTHCEHTAVARSMSRENFAVLVHAKAPSIAAEATAAAARAPLDLVTVLDVSGSMAGSKLALLKRAMGFVVDKLGPDDRLAIVSFSGEARRVIRLARMSDDGKASAKSAVESLAASGGTDILKGLVEAAKVLDGRRYRNAVASVILLSDGEDTYNLNDRLNSKNYSALVPPSFKRSGGRCLPVHTFGFGTDHDAAAMHTIAEETGGTFSFIENQSVVQDAFAQCIGGLLSVTVQEARIAVACSHPGVRVRSVKSGRYESLVQADGRAASVDVGELYADEERRFLVFVDVPAAGAGEDATELIKVSCTYRDTASRQQMVVAGEDAVVERPVEVTTTMEPSIEVERERFRVEATEDIAAAQEAAERGAHAAAKAILDRRQEALARSTRGLAGDARCAALVSELRELSARVASRREYEQTGRACMLAGMSSHAQQRATSVQLFGRAAPTWSMPMRGSAGRASFSLMDMELGGYVTPAMRSMVESSRKRREGGDGSLSFLDLVRTEEEAGSSDDEKENL >ORGLA08G0009800.1 pep chromosome:AGI1.1:8:853157:855394:1 gene:ORGLA08G0009800 transcript:ORGLA08G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPAARGVVGVEHLALRDVLIREPAARGVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAARGVEHIVLRDVLIREPDARGVVGVEHLVLREVLILPVQTPPVAYPAQPSVFATPFRRVTEEGHAYDDDEPVESPPAQGGEPGGGEAAANDGGLVVIKTHCEFPAIARSTPRDNFAVLLHVKAPSIAGEAAARAPVDLVTVLDVSGSMEGYKLTLLKRAMGFVIDKLGPGDRLAIVSFSYNARRVIRLTRMSEGGKASAKSAVESLHADGCTNILKGLVEAAKVFDGRRYRNAVASVILLSDGQDNYNVNGGWGASNSKNYSVLVPPSFKRSGDRRLPVHTFGFGTDHDAAAMHAIAEETGGTFSFIENQAVVQDAFAQCIGGLLSVTVQEARIAIACPHAAVRVRSVNSGRYDSVVDGDGRAASVDVGELYADEERRFLVFVDVPAAGAGEDATELIKVSCTYRDTASRQSMAVAGEDAVVQRPAEVSTSTEPSMEVERERFRVEATEDIAAAREAAERGAYASAKAILDRRQEALARSARRLAGDARCAALVSELRELSARVANRREYELTGRACMLAGMSSHAQQRATSVQLFSSAAELSSGAVPFGYSTPAMQSMVESSRKSRESGSGGN >ORGLA08G0009700.1 pep chromosome:AGI1.1:8:846626:848907:-1 gene:ORGLA08G0009700 transcript:ORGLA08G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGELVTRSSMAAASASASAIACLLFLGFLPSLATAVSFSYSTFSNGTQNITLQGSAAIAGDGWIEITTGGNLPSGGTMGRVAYSPPVQLWDAATGEVTSFTTRFSFNITPTNLDNKGDGMAFFLVGYPSRMPDTADGGALGLTSRTFDAVMSGDNRFVAVEFDTFNNSFDPNATYDHIGVDVNSIVSVQTESLPSFSLTGNMTAIVDYNGSSSILSAQLVKTWTNGSTTLYNLSTTVDLKAALPEKVSVGFSAATGSSLELHQLHSWYFNSSFQQNPPPAAQPSPTTSGSGLAGVIAGATVGAALFVVLLFAMVAVLVRRRRSKKRREAEEAEEARHVGLAGDDDDDDDDGEPIVEIEMGMGPRQIPYHELVEATKSFAAEEKLGQGGFGAVYRGYLREQGLAVAIKRFAKDSSKQGKKEYRSEIKVISRLRHRNLVQLIGWCHGRDELLLVYELVPNRSLDIHLHGNGTFLTWPMRVKIVLGLGSALFYLHEEWEQCVVHRDIKPSNVMLDESFNAKLGDFGLARFIDHAVGMQTMTAVSGTPGYVDPECVITGRASSESDVYSFGIVLLEVACGRRPMSLQDNQKNGIFRLVEWAWDLYGQGDVVSAADERLNGDYDVSEMERVIVVGLWCVHPDPSARPSIRAAMAMLQSSGQLPVLPAKMPVPTYAPPVASVEGLFTSSTGMSSSSATQSSSTTSGYITHTSSSSNTSTSAGSKDSSSLLKHQYL >ORGLA08G0009600.1 pep chromosome:AGI1.1:8:803309:837333:-1 gene:ORGLA08G0009600 transcript:ORGLA08G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQPPAPVAALSFNYPTFASSDNQNIDIQGQASVSVGYVDISANSVSGMGNSAGRVVYAPPVQLWDAATGEVASFTTRFSFNITPSDRSKKGDGMAFFLTSYPSRLLVGQEGGENLGLTNQTVGNVSTGQNRFVAVEFDTFVNPFDPNTTNDHIGIDVNSVVSVTNESLPNFSLIGNMTATVDYNNNSRILSVKLWINETTTPYTLSSMVDLKRALPENVTVGFSASTGLAFEQHQLTSWYFKSSSSFEQKLAAKVASPPPPSSPSPPPPSLTPITSHSRRGGVVAGATVGAVMFVILLFAMVAVLVRRRQSKKRREAEDGGWHGSDDDDDGESIVEIEMGMGPRRFPYHELVDATKSFAPEEKLGQGGFGAVYRGYLRELGLAVAIKRFAKNSSKQGRKEYKSEIKVISRLRHRNLVQLIGWCHGRTELPLVYELFPNRSLDVHLHGNGTFLTWPMRINIVHGLGSALLYLHEEWDQCVVHRDIKPSNVMLDESFNAKLGDFGLARLIDHAVGIQTMTHPSGTPGYLDPECVITGKASAESDVYSFGIVLLEVACGRRPISLQDTQNNCLFXLVEWVWDLYGQGAVLNAADERLNNEYDTTSMECVMAVGLCRYPSPYRGPGNVGVNNRSQFAEYFGYTAAMLSFRQATSVTKIGELELLVQFRTGHLLQSSLPRGRTKSXSVVSKQKKEGYNKEIRILCTXVSXHLTFMCDLNSYXKKKXKNXXHXLXXYKSVHKHASFYLIYNXKNKNNKICXFYFFMCLLGNSSLVLXSCFTAPLIPGYNPGLKMSIISPAXNNRDXRSIFCPGWXFLVPHQPDXKLXRXNLDXKXNKNLKLEKYQREFKXGYNFETTKIETIIKEYXKNCPYRTQNDINXNSKXKXNKIXNLKRKIRVQLGIQIKNSXXKIKSIKRRDHLKHMTRSIKILNNKXNKFRKXKNSXMNIFXMYLXICGVHLICDVQYVNLSMFIRSVNLXCSFNLXITMKKRPICKQQKTMKKEKKCSYMDFSFNITYFNFEFXLFFTFXPTNRHYSVAXRLARSSSSXSSLGFXKSNLIIFSVLLIVFIRCPINRHHTIALXVCCSSSLYYHLLLLYFFNGLLFYNFVFFHSFFYLKILFLRELLFLFFYFLELILFFLNFSXPRILFYMYVLAIIIFILKEKDRIGEKSEKNSRGXPAKYQVRKGEGXNWREKGKEQXRITCMFLQXSFLFXRKRIKLERKGKRTVEDNQQNIRLGKELDKMEKTKRSRESNSXTIDQMFQSNQLRIIDIVLHCVATFXKYGXRXCVRPCTLYMLERGKEKKLVWTRPPIEPYLLLXNPPPPLLSSLSSLSPSPESPSLLLCQQPRVRESAAPPPPSLLPPVPLSPSRLSLAGSRGGGSDGFSCSRGPDPGVAVESAATIGGSGDGGGGGVSGRRIRRAILYHLLLTLHAQACPAAAAVTSVLPWADPAEVAAAFFLVGGSSDPLPPPPPPQASPLDPAVATSAAPADGSNREDLPDIAAVPSRPDLPVLLVVYGLFLFFFMXXXCRFRDXFWGFYERRPLIDYDLDLDXNXDFVFGXDCDDVGFEEEVRDGRKIAVWTKNRCDVVILFWIFFMIPIXIWIGILKSFYLDWDGLRFWREGVLNVGLFVXYGISTGIWGGGGLTGRKITACTRXRKEKYEYLYXLRYSRXVDRRFKIXSNTNKNLINIXXDNFSYYLFSSMYVHGYQKLGAFGLFVSXSFPCIWPYTXVGHDFFGAFANLPLVFSILQGCHSTDRSSGIFVIFXXQSYNNDSNXXXICNCPFFSYYPTIIRPCNPVHRALGVMLMXKKNTHWPRRSVXLXCRSKMLMRCGCVSQFDPVTDKICKQYIRXPIGXQADGVIPADSRYXPMPIPADVNRVLSNRLYVQCRXXCKDNRLMIIKYSNNIIQQKPIGXXXYDRINTDPMVKAYIGWRSDAIKSTRVDXTMKPLLSSAKSNLYMQTLXVDATSRXLIDXNPDKTLIGSQXAGXRLWFXARLNILNLTDAYTKYEKKHNIXTIKPLTDFQGDKCLSXSNLVKQLSRYRQKHXSERQPVELNXDTKTRLTKTYDKLANKLLMRKTHTGLGDLLNSSVGRKSCSWVQRHASXFDPTINKKEKQENQGXIHKRXPIGXGPMTYRRTLSRCYWYXIGNEEXGNIELNLLDRLXISTIYNHFLKIYLNRVIGIDRAASQDSINHLCLKILXDNDYIYVYSIADQIDLTYIGXYSDTTPYXDIKSDKIYQITSLIYFNATISXYKGQIXHIKXAYKVXNSXIRXDRLKPRYYLYWWSXNKLDIAIMNITXXIELNRCSINXAXEXIQDLDKMMKTSKRWXICYTIXINNKIXLYRLLSSNRCXPTEGXIMIVIKITRHIXXLDDLHKQDXSVIKMEALIPRTQAVMTNFTSCXRSKSMXFNPKARTCRNNESRKRAAIHRKCYXTIVVNYMGSGYIYTWDTXLVLAGQDSYLXQTLRYEQVFTADSYRNISLKKLFKISXLIDIIAYRXAYLHHGNPCVARXSIPKTVIQPSQRNRLHRLNRLSSIRSISSDAVFSRHPXLMRTVLGIXFPAKSALIPMLNVVHGLPNLVINPNISSKPKQSKRSNVLMQPXTTPTXMIQLPKPAEHWTYLFAGDREPMQPRVRCQVPPXXIKRXKRXKVAMRRIVLIVEIIYNDLGCTYLYPWVDTSPCRTRKKLSXRXKKNIKFLLDTKHTFLKIKENXXTLPNYXINCHAASSSNLVSSGXVSLNRLISLTECYKNPTIGDMIILGKIXIKRPLVLVLTRDPIXMPXLXYXSPSIKXKIYSYHLCFKXNFLLNYSSDLXSNYTVVFVRIKSLQQDLTXLYFDEKLQITFIVYLNYFXISLNYFLDIXKXFQXSLKIIYYRSNLRQKGSNFVMTLKXIRYGGKNVSLSKNXIXSARIMELTKKDNKINNSQHYGCIISNLIKSKSNLYIXXKXLTYIIKVIYNIDISFIKIXSCKILLXRFNCNEHNGVIGFDVNESRXIYMSIFININMNVGNAKMTYIMKRREYFSVYIXNRAMNXKEKGTERPCERGHRSRQRPAGRFADQQRKAMCRRVAKRXIXMYKNFFXXRVDNILNTNLTSNHXVSKVLKCPYESTVQINSTSCIILRVESTEHRSLFFGNAMNLESHIRFHLYIYSQIHVHTKKADPKKYDXIPSXICIYLSVXILFXWKGRKDPAYIESQPRAEFLLRLPALQNGAQKALGDDXVPTDKGYIKGVFRXEKDXTLVRTYFFCERDPLTQTPPKPLKTRRQQSKTDRTPKHCTGLARSTNSYSILSXSSSSLLKPLYQLLXITLIHLQPMQRTTLQXERDNLERXYXGXVNKFIPEHDVVSRCPYIESGKWQQAGHFSQLYVXFSSNVSKDKVIKVKRNMIPVQHISTETPNKMRQRAAKDYVVCSFXMDSGEKKTNFKISNXRVSVYGTGLTTGHGWRGAQRLQFILILVLEETQTVIHATSFVSXCKLFXYFSYSYXCXXIXIVIYVXIYXHKYECGKCXNDLHCETEGVVSIIXRVQXHCTIRTKRILHFSGMWFDLLLFRRHAPARERVQWRIPQIQTQTGSIGSCVVAPPAGEADQYTVLISQYCISITLHTIDRRWLAGGDGRLFVDHLCCRPRHLLLHLLHAASGSCRRLVLQLPHLRLLTQPVHRNXRQCLCQRRVYRHQCEQCGQQRRTGVPQIASAALGRRHRRGGQLHHALLLQHHPGKXKQKGRWDDLLPHQLPIEVTRGRRRWPKPRPNEPDGRRLDRREPVRCRRVRHLRQPLRPQRNQRPHRHRRQLRRICDERVPTKLQPHREHDRHRRLQQQFENTVSXAMDKWLDDALHPXLHGXSQESLAGERHHRLLGINWLSLXAASADFLVLQVDFVVRAEAGSRSSISTAAVVTVTTTDFQTWRSCSASHSWRGNVRHTPLHHGTSPCTTASEQEKKGGRGWKLARQXRRRRRRAHHGDRDGDGTKAVPVPRARRRYEELCAGGEAWARRFRCSVSGLPQRAWPRRRHKEVCQKFLXAREEGVQVRDQGNKPAAPPQSGAAHWLVPWPHRAAPRLRAIPQPXPRRPSPRQWHLPHMANEVYXLTHXFSNFRYVQSTPLKFNXSADLDVATGSILFMDSDLHYSTYMRSGINVXCTVTSSRATSCXMNPSTRSXAISALQGLLTMLLGYKQXPIPQGRQAILILNAXSPAKQAPSPMFIVLALFYWKWHAGEDQLAYRTPKTIASSDWWNGCGICTAKEPFSRQPTSGSTMNTTQLAWSVSWPLDFGSTLFLDLGMHCSTSMRSGSNASCTVTSSRATSCWMNPSMQS >ORGLA08G0009500.1 pep chromosome:AGI1.1:8:794632:796089:-1 gene:ORGLA08G0009500 transcript:ORGLA08G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLITSAITCAVGIIFFSVCYLPPAPVAALYFNYSTFSQDDGNTIRLEGDASFGDGWIDISANRYGHRGHSKGRASYSARPMLLWSRDTGEVASFTTRFSFNITPPKEDGGIDNKGTGMAFFLAAYPSMLPSGVDELGYNIGLTDQGPDAVATGDSRFVAVEFDTFNNTMVHDPDATYDHLGIDVNSVVSSKTLTLPSFTLVGNMTAVVEYDNVSSILAMRLHLGYGLSGPRHRPDYNLSYKVDLKSVLPELVSVGFSASTTTSFELHQLHSWYFSSSLEPKAAAVRGRVVAGATVGTVMFVILLFAMVAILVRQRQSKKKETEEAKNGGMDGSDDDDDDGETIVEIEMGTGPRRFPYYELVEATKSFAAEEKLGQGGFGTVYRGFLREQGLAVAIKRFTKDSSKQGRKEYKSEIKVISRLRHRNLVQLIGWCHGHDELLLVYELVPNRSLDIHLHGNGTFLTWPMRLLTLANFVLIFVVST >ORGLA08G0009400.1 pep chromosome:AGI1.1:8:793909:794325:-1 gene:ORGLA08G0009400 transcript:ORGLA08G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESDVYSFGIVLLEVACGRRPMSLLDSQKNGIFRLVEWAWDLYGKGDILTDADERFNGDYDAAEMERVIVIGLWCAHPDPNARPSIRNAKAMLQSGGQLPVLPAKMPVPMYIPPVVSVDELFTSPAGMSSSSATQSSTTT >ORGLA08G0009300.1 pep chromosome:AGI1.1:8:789853:792059:-1 gene:ORGLA08G0009300 transcript:ORGLA08G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLPATTTTYNIVGLIFFFFSVFCDDLYSPAPVAVALTFNHTNFGPDEQTNIRLEGDAAFSADVSFSGDGGGWVDISANRLDGSIDHSRGRVSYALPVPLWDAATGEVASFTTGFSFVINPPKQDGGINNKGAGMAFFLAGFPSRLPSAGSYAYNLGLTNQTADQVAAGDDRFVAVEFDTFNDTIVHDPDATYDHLGVDVNSVVSKTTLTLPSFTLVGNMTAVVEYDNVSSILAMRLHLGYGLSGPRHRPDYNLSYKVDLKSVLPEQVAVGFSAATSTSVELHQLRSWYFSSSLEPKAAPPPVAPPSPSPPPTSGSGSGGVVAGATVGAALFVVLLFAMVAVVVLVRRRHQRKKMREAEEANDDDDDTEGDPIMEIENGTGPRRFAYHVLVNATKSFAAEEKLGQGGFGAVYRGYLREQGLAVAIKRFIKDSSNQGRREYKSEIKVISRLRHRNLVQLIGWCHGRNELLLVYELVPNRSLDVHLYGNGTFLTWPMRINIVIGLGSALLYLHEEWEQCVVHRDIKPSNVMLDESFNTKLGDFGLARLIDHADGVQTMTHPSGTPGYIDPECVITGKASAESDVYSFGVVLLEVVCARRPMSLLDDQNNGLFRLVEWVWDLYGQGAIHNAADKQLNNDYDVVEMERVVAVGLWCAHPDRCQRPSIRAAMMVLRSSGPMPMLPARMPVATYAPPVASSEGQLSSST >ORGLA08G0009200.1 pep chromosome:AGI1.1:8:775940:776164:-1 gene:ORGLA08G0009200 transcript:ORGLA08G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAATIRPLFCFYPPVSSWLKVTFVMNLDYHSILKISLIDKCTAMFQITFYSLISPNFPSIGNSRGVGLSVLHF >ORGLA08G0009100.1 pep chromosome:AGI1.1:8:772251:772688:-1 gene:ORGLA08G0009100 transcript:ORGLA08G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIAGVVILVLVICPTITSATETRGGRDDDLSDDKIWTSLIAFVGRYMLTHYPGLVVPPICIEDIAKKCGWNNLTPACVMEEMDSCH >ORGLA08G0009000.1 pep chromosome:AGI1.1:8:751869:752223:1 gene:ORGLA08G0009000 transcript:ORGLA08G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQNIILSLYSGRTAYNLALHEHGPKLYDKLTEDMEDHLQEMCVSIEAAQGGLKIIDRFSQESTLPYYLLPFVWENILACALIVAAE >ORGLA08G0008900.1 pep chromosome:AGI1.1:8:749545:749979:-1 gene:ORGLA08G0008900 transcript:ORGLA08G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDGGGDGGRSGGGGSGDLASSAKLVAEAASRCSRTASRRDLEKVDKACIAGGASRREAAQSSGAEERHRWRGDAEERRRGRGGEEEQRRWRGGAEERRRRGAARSGGAEEQHRWRGDAEERRRRRGGEEEQRRRRGSAEGRR >ORGLA08G0008800.1 pep chromosome:AGI1.1:8:744051:744440:-1 gene:ORGLA08G0008800 transcript:ORGLA08G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKTISVALLLSTLVVVVAAVAATGPPDLLQGWCADACREEQQKDPIYNKHCPDFCVISTKQIFRAYKGATDPPVERFSALCDEGCSKEFKEDPAISKKCVDTCIVMSKEAKEYFAKGGTIGAPAGA >ORGLA08G0008700.1 pep chromosome:AGI1.1:8:713163:715939:-1 gene:ORGLA08G0008700 transcript:ORGLA08G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPKKEKPGKSGEKDLAGVGSSLPDARTTTDLTMSSRIANPNNYTAAVTNPGQNYTVKNAHHGGAGVSNGFLAPPVFEALPSLRDAPAPEKPSLFLRKVVMCCVVFDFTDPTKDVKEKEIKRQTLLELVDYVTSATGKFPEPAVQEVIKMVSTNLFRVPNPAPRENKPLESFDMEEEEPVMDPAWPHLQIVYELFLRFVQSPETDAKLAKRYVDHGFIIKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSMYHQQLSYCVTQFVEKDCKLADTVIRGLLKYWPITNSAKEVMFLGELEEVLEATQPAEFQRCMVSLFRQIARCLNSSHFQVAERALFLWNNDHIEVLIKQNSKVILPIILPAIERNTKEHWNQAVQSLSLNVRKIFMDHDPVLFEECLKKFEEDEAKETALRSKREATWKRLEEIASSKTISSEPAVPPEATVH >ORGLA08G0008600.1 pep chromosome:AGI1.1:8:704173:706573:-1 gene:ORGLA08G0008600 transcript:ORGLA08G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAKERKLSRLGSKGSGGGGGGGSFGARGQRAPAGTQRRLFAAFFAFLFAGAVLFGAAHVIGASFRPVLKTAWPSATLNAVSSERGAQQAGMVSVDAVLPSVHIQHAVALPDHVLLMLRDGSLLPASGQFECLYSPVNSSQLRRQPLSVATLPDGPSLVHCPAGPSRVAVSLSLAQSAPVAPLQWDRLVYTALIDSKDNSTVVFAKGMNLRPGRLGVPSRYECVFGRDFSKPKLVVTSPVVSAAQEIFRCVTPVRIRRYLRMTTGGKNSRNNDDKPMLVSIRTKGRGSSTLPSIAQPEPLPRYNKHWRRKAHSMCVCTMLRNQARFLREWIIYHSRIGVQRWFIYDNNSDDGIEEVLNTMDSSRYNVTRYLWPWMKSQEAGFAHCALRARESCEWVGFIDIDEFLHFPGNQTLQDVLRNYSVKPRIGELRTACHSFGPSGRTKIPKKGVTTGYTCRLAAPERHKSIVRPDALNPSLINVVHHFHLKEGMKYVNIGQGMMLINHYKYQVWEVFKDKFSGRVATYVADWQDEENVGSRDRAPGLGTKPVEPEDWPRRFCEVYDNGLKDFVQKVFTDPHTGNLPW >ORGLA08G0008500.1 pep chromosome:AGI1.1:8:699636:700957:1 gene:ORGLA08G0008500 transcript:ORGLA08G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAAAATATAGIISPPTPSLLRANTRATFVSFPRRSPPTTSLLAVSSAPAPPSANPKYHNAKMDAGDEEVDVEELLQRFRREVARAGVMEEIRWRRRHEDARDKRKRKARSAARRFRRRHFKGPYPFGDEQEPKEGIMEDDKHDNWELPGGELPSYR >ORGLA08G0008400.1 pep chromosome:AGI1.1:8:687327:688694:1 gene:ORGLA08G0008400 transcript:ORGLA08G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVVAIHVDVGHGVPDEAQATTKIQKLDGSHITPIAQGKIHRFPRGLIGIGGSNEQRYIVPTFVAIGPYHHGKPHLHKMEEVKLAAMNRFIATANGASAGDVSGKLLSVVGDVRGCYADDEKLKCFSDDDFAAMMLVDGCFLLQFMMEKRKPLFEGRALSSEYSILKDMMLLENQVPWLVLDTLMEFLPMEMEVEQNVRRFVADVGDMFLRNNKEHEVSLTTSCFSVLFEVSPHKSSFLKDYKPANLLDLLRSSQIFRMPTEELSVRLVGSSLLSSSAVELAQIGVNLTASTAEWFGDMSVKEGPVYGELSLSPMFLNDVSAGWLVNMAALEASGGATTADQSSSSSSVMCSFLSVVAMLMDREEDVHQLRAKQVLYSTLSNAQTLDFFKRISQHLGFGHRYFYILQQINKFKQGRPVRSAVHKFLYKHIRAISIILSIASVLVGIFKALREL >ORGLA08G0008300.1 pep chromosome:AGI1.1:8:681914:682948:-1 gene:ORGLA08G0008300 transcript:ORGLA08G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SILEDPPHRKRCPKLLRGRCGSRRSKSRVCSYDDTRWLFLLQFMAHMCDVAVDPLLQTWFGSKQPSILRDMFMLENQIPWVVLEALMTFMPVPVPVDNFISNAGASFNVRLDDNINPFDLNEISCKPHLLGLLRYYQSGLMSKLGESSWVLKRPEGVTTALRQSSSAIELAEIGIDVVATEASWFADMKISKGLLFGKLSLPPLVMDDLNACWLLNMVALESYLAFTGKNDVQTVSSYISLLAMLMNRKEEVHELRLKGILHGKFSDKCTLSFLKNLAGLISLPPQHVCLLAHLEAYQRKRWMWIPIHKFLYNNYRIIVTVFSVIGVLVGIFKTLVSIEQQMQL >ORGLA08G0008200.1 pep chromosome:AGI1.1:8:678461:679812:-1 gene:ORGLA08G0008200 transcript:ORGLA08G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:I1QF81] MSAYCGKYKDELIRNAAYIGTPGKGILAADESTGTIGKRLASIGVENVEENRRALRELLFTAPGALDCLSGVILFEETLYQSTRDGTPFVDVLAAAGVLAGIKVDKGTVELAGTDRETTTQGHDGLGERCRRYYAAGARFAKWRAVLSIGGASSRPSQLAVDANAQGLARYAIICQENGLVPIVEPEILVDGEHGIEACAEVTERVLAACYKALSDHHVLLEGTLLKPNMVTPGSDAARAPPEVVAEHTVRALLRTVPPAVPAIVFLSGGQSEEEATRNLNAMNQVASRGKKPWSLTFSFGRALQQSTLKAWAGKAENVGKAQAALLARCRANSQATLGAYAGDAAAGEGVSESLHVKDYKY >ORGLA08G0008100.1 pep chromosome:AGI1.1:8:672787:676798:-1 gene:ORGLA08G0008100 transcript:ORGLA08G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRNEGFLTQDQREKLRIAVQNAETLSLASPRSPTGGSTSALLQQYEQQRAAAAAAAARGGGGGGGGVRHVRRSHSGKTIKVKKDGAGGKGTWGKLIDTDTDACLDRNDPNYDSDEEPYELVEAPVSTPVEDYKKSVAPIIEEYFSTGDVKLAASDLKELGYDDFHRYFVKKLVSMAMDRHDKEKEMASVLLSSLYGDVISSTQIRLGFVMLLEAVDDLAVDILDAVDVLALFIARAVVDDILPPAFLSREKASLSDSSKGMQVVQIAEKSYLSAPHHAELLERRWGGSTRTTVDAVKLRITDLLKEYIKNGDTAEACRCIRELAVPFFHHEVVKRALTLGMESPTAEALIVKLLKEASEELLISSSQMMKGFSRVVDSLDDLSLDIPSAKSQFQTLVSKAVSEGWLDSSFVHVGANGDVQDDEHEKLRRYKKEAVSMIHEYFLSDDVPEIIRSLKELGSPEYNPVFIKKLITIAMDRKNREKEMASILLSSLSMELFSTEDIVKGFIMLLESAEDTALDILDASDELGLFLARAVIDDVLAPLNLDEISGKLPPNCSGAETLNMARSLATARHAGERLLRCWGGGTGWVVEDTKDKIAKLLEEYESGGDVGEACNCIRELHMPFFNHEVVKKALVMAMEKKNDRILGLLQECFGEGIITINQMTKGFSRVRDGLDDLALDIPDAREKFLSYVEHAKKSGWLLPSFGVATSA >ORGLA08G0008000.1 pep chromosome:AGI1.1:8:670248:670445:-1 gene:ORGLA08G0008000 transcript:ORGLA08G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPLSRPLAPLGGVSDLTSKVSGEEQGQRMWQGGEGLSSRRWALKADESEAAGGPINRPTHHP >ORGLA08G0007900.1 pep chromosome:AGI1.1:8:669901:670236:-1 gene:ORGLA08G0007900 transcript:ORGLA08G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSGAYFHHTPPPPPTPVPPAAVAPTPPPAVLFLPVDVASRCPFYLSTLWLQSVPSHIPDAEPSSGNAGSGTLSPDWPRSRAGRLQLAVAQVLLSALPPSEPTDALVG >ORGLA08G0007800.1 pep chromosome:AGI1.1:8:663003:666326:1 gene:ORGLA08G0007800 transcript:ORGLA08G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGRRHSVDIPISRALLAVMRSRSLRDPETNSLAKFSAKKTIWEGCSLEEDDLEGSNYGRHSFSYNMYDHLQRRREEFGDSARSGRLVNSPINIIKANARVKAALHNQTCSSVISGMSRATKDRASSLMIEGEQLGRREGATFQESARSLLQKYRPKAFSELVGQNVVAQSLSSAVLKGKLAPIYLFHGPHGIGKTSAARIFAASLNCHSSGGNQPCGHCEECMAIFSGNSSSVIEIDASKLDCKSRVAALLRNACEVPASHFKVLIVDDCQHMDKEGWYSVYSSLEGIPDSSIFVMITSDIDKLPSNSVGWCQSYRFCKVDEAEIALRLSRICINEGMEFEAEALELIARKAKGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELLDLLNLAFSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDILAGRHQSDSQEVRKVTGKHTSAEIDVHKLRNALEILSETEKQLKTTKNQSTWLTAALLQFNMREPYCLDDAAVSSMFTESQTDDEAAILKDESLDTSSHLCYQNKIGCLDMNLGDPDVLETIWIKALENCTSKPLHSLLRKDGKLSSLYTTQGVAVAELQFCHPEDVPTTESFWNPFVVSLQNLLKCNVDIRINLSPISTSNRVVSKNSSVSLVMQSREDQETEDPVAAGCRTVASSRKECPSPLAVQPKEKPSHILGCLHATDGDAVDTESRILSYQKISVIPEASTPGNGSFKAGGHTPKVDEARARRGCFSKLLQRRAGAPYQNGALPRRGLFKCCFCKIRPDCKTKVEETDGFQGHSKN >ORGLA08G0007700.1 pep chromosome:AGI1.1:8:649154:650946:-1 gene:ORGLA08G0007700 transcript:ORGLA08G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase [ubiquinone] iron-sulfur subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1QF76] MAAAALLRRSPAARALLSPALSSRLVASKPHSSSPAPPPPPSKAGANTKTFSIYRWDPDSPSTKPHLKDYKVDLSDCGPMVLDVLLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISSASSASTISPLPHMFVIKDLVVDMTNFYNQYKSVEPWLKRKDAPPQPGKEIPQTKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRLPLLGTLIKPKPNMFMHIQARGYHGVSEKRNLLDHKRRLLAAKYELKGKLYKAVCRDPDLPADMRDQFRYKLSKLPRNSSMTRLRNRCIFTGRSRAVYKKFRMSRIVFRSLANKGELLGVKKASW >ORGLA08G0007600.1 pep chromosome:AGI1.1:8:646278:646892:-1 gene:ORGLA08G0007600 transcript:ORGLA08G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II BY [Source:Projected from Arabidopsis thaliana (AT1G67740) TAIR;Acc:AT1G67740] MATTIAAMAMLKPSKIVARSSPAPSGSGRVPSISLKSLAKKGMSVSSSSAAAPVAAAAMAGAFFSALASTDAALAAQQIADVAAAAAGADDNRGLALLLPIAPAIAWVLYNILQPALNQLNRMRSEQVLVAGLGLGAAAGAGLAFPPEASAAQEVARLAAEAAAAEGGDNRGLLLLFVVAPAIAWVLYNILQPALNQLNRMRSD >ORGLA08G0007500.1 pep chromosome:AGI1.1:8:640088:642943:-1 gene:ORGLA08G0007500 transcript:ORGLA08G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGARRRKGRRGGRGNVKRRTKYLSLSRFLIKKEEEEVVVVAVRSPELVPKEEAPSSPEDGGDGGEDEEEKPQMEPFALHPEPSTLFAAAPSLTDILGASAGGGGGGGGESSSAASPGVSGGKELSGEEDDLARRALRGRERWVYCSSSSPSATTTTTTTTSSSCSSAASTGASSGGAAARSLLLKLDYEEILAAWAGRGSLYIGGAAAATAGGTDNAAAELELDSVFVEVSASPEPAAAAAAWSVAEPAARAEKVRRYKEKRQGRLFAKRIRYEVRRLNAVKRPRLKDENNSNSVQFSHDVPNCSSTISSTFCNVFSMQLEQMLPVAVEPLHAPFCRRCKSSVSSRE >ORGLA08G0007400.1 pep chromosome:AGI1.1:8:635801:636580:1 gene:ORGLA08G0007400 transcript:ORGLA08G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARRRRKAPPPPPPPPPPPSSSSIPFLSLLLLYTYSSSSPPPPPVAFAVPLSPTPPSPHIRMRRAGFRSYEDYLRHQLNKTLDPRLRRVWATRDWHRKVDAFARAFAALRDDDGGLLSNASRALCVGARLGQEVAALRLVGVRDAVGIDLAPAPPLVVRGDFHAQPFANDTFDFEFSNVFDHALYPDRFVAEIERTLRPGGVAVLHVAVHRRGDKYSANDLLDVQGLVGLFRRSDVIRISKVDAFGLDTEVILRKKRSSP >ORGLA08G0007300.1 pep chromosome:AGI1.1:8:631238:634150:1 gene:ORGLA08G0007300 transcript:ORGLA08G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAARLLSTAPCRSTSSWPPPPSKPYFRFPSAPLLLLLRSPAAASVIHLSPPTVTPLAAVARDDRHALPDPEETRNRIPPDHPAPLLAAGAAAAAAVANPHAALALSGGSMGGCSDTSSSYSSSSSSSSSSSSSDSYSSWSSSSSSSSPPKKKKVVMVESADLETHESVGTAASPPPPPPVALTPWEKFWISVAVVLGVGGLVFGLIFLIKRSIPPPRTISVVKLQVALGGVAAAKSVQKDLNRIAERVQGSSRRWYKFILTDLFKKYQVIAFAEINLFVHRTQFSIKVMVDIKDSDSWNGHFKKISLEERSKFDEETLSNLEGVKRKKEYSTKMDGSKNEYIVLTILVATDGTMDFPKLITNAADLKVALTKLYSTPETDLEGVHVLWAPQDKDDILSKERMQKDYPYLKPLSV >ORGLA08G0007200.1 pep chromosome:AGI1.1:8:625786:629699:1 gene:ORGLA08G0007200 transcript:ORGLA08G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAGLAVAVTVDCIPPPSLLAQSCGHGAATAAAYPRARGERGRLLPKQGPAXSLSTTARRRRRRRCGGHTAHRARGVRGRHGRPRRLPIPLLLLVVIVVVVVVISVGETLPLLPAITDEVIIAADSGGPYHQLPYMSVRAVGRRRRYHYHLSLHLGQQPEDYCRQAPGSVAGSGKDNAKGSQYDCCQGGYYXTASLQIHVNGDDMFLEPSERLLVLFSLIVDEIDSWEEHFDKISIEERSKTDEETLFNVEGIKISKKYSKNPESCRKEYIVLTIILAAEGKLKFPQIRSADDLRLVLGMLNGIHASEIKGVQILWTPQEEDDALSEERLLKDYPYLRPLKQVLIGESKLLLLGESRFKQILFGESEEKQLLLGEPKEPSVQEDPNNTKG >ORGLA08G0007100.1 pep chromosome:AGI1.1:8:619817:623181:1 gene:ORGLA08G0007100 transcript:ORGLA08G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREGASSSSGSSSSHHEAGGRRSPLELSLLLTAAAGAAVAAAATPRDAHAASGGVMGGRRSSSPSSYCWRTSSSSSSSSSSSSSSSSSSSSSSSSSSPSSREDESVISVIVAGAVVVAYGVAYVASGVVYVAAHLLRPRSATTVVKLQVAFRGLTKIIQRDLNGIARKVDTSNRSWDKFMLTETICSFNRHKDICISSSLSADLQKRLLFWGDCWEKHFDKISIEERSKFDEETLYNVQGIKMKKKYLKPGLGKENEYLVLTILLAVEGKLEFPIVRSASDLITVLGILNSIHANKIEGIQVLWTPQKIGDILPEERLLKDYPYLKPLLKESDSLGVASVTEIEQSNQRSITEGQDSFAVSSVTETMQSNQGSVMQDQDFIEVANVTDVKQSNQESTKEDQDSKQSN >ORGLA08G0007000.1 pep chromosome:AGI1.1:8:614175:618128:1 gene:ORGLA08G0007000 transcript:ORGLA08G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain protein [Source:Projected from Arabidopsis thaliana (AT5G14250) TAIR;Acc:AT5G14250] METVETLVAHIQGLSGSGEELAHLHNLLRQADGEPLRAHSAALLPFLAQLHPSAHSLGFLYLLEAFASSASNLRAQGGGDFLVTMADFLVSCSAEQIRLAPDKFLSVCRVFKNEVMQLNAPIRGIAPLRAAIRKIQTSSEELTPIHADYLLLCLLAKQYKAGLSVLEDDILEVDQPKDLFLYCYYGGMIYIGLKKFTIALDFLHNAVTAPMSSLNAIAVEAYKKYILVSLIQNGQVPSFPKYTSSTAQRNLKNHAQVYVDLSTCYSKGNYSELEEYIQLNAEKFQSDNNLGLVKQVLSSMYKRNIQRLTQTYLTLSLEDIASSVQLKTPKEAEMHVLRMIEDGEIHATINQKDGMVSFHEDPEQYKSCEMVEHIDSSIQRLMALSKKLSSIDENISCDPAYLMKTGRERGGRFDYDDFDSVPHKYF >ORGLA08G0006900.1 pep chromosome:AGI1.1:8:610462:613147:1 gene:ORGLA08G0006900 transcript:ORGLA08G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G01820) TAIR;Acc:AT3G01820] MAGVLRLAGAARSPLARALAPAARRMGASAAAAMEDEAYWTEWEEEEEKARARESAPVAEMCPTGGGGGGGGPQWVVMGRPGPQKHAHAARLADVLAVPYISMGTLVRQELSPASSLYKKIANSVNEGKLVPEDIIFGLLTKRLEEGYNKGETGFILDGIPRTHMQAEILDEIVDIDLVLNFKCADNCFMKRRFGGDICPHCGQLFDFSKTASSDRNPSLGSCTWPSQVQHAAVLGLEDSRMEKMRAYAEQTKLLEDYYRKQRKLMELKTSARPGETWQGLVAALHLQHLDASPTPHKLTM >ORGLA08G0006800.1 pep chromosome:AGI1.1:8:609131:609439:1 gene:ORGLA08G0006800 transcript:ORGLA08G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLQHLMERLHLAGKGGGGGGARDVPRGHFAVYVGEARARFVVPTAYLRQPAFVALLKSVEEEYGFDHCGGGGLTIPCSERDFAALLGRLASSPPPPSWR >ORGLA08G0006700.1 pep chromosome:AGI1.1:8:603115:603444:1 gene:ORGLA08G0006700 transcript:ORGLA08G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLYQLMSRMHLARSRSSSSSAATAAAAAADVPRGHFAVYVGERRKRFVIPTAYLKHPSFVLLLKRVEEEFGFDCHRCGGLTIPCATEGDFASFVAGAIASDDHHHH >ORGLA08G0006600.1 pep chromosome:AGI1.1:8:579990:581617:-1 gene:ORGLA08G0006600 transcript:ORGLA08G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDEYEKLVIRMNTPRVVIDNAVCSTATLVQVDSARKTGVLLEAVQVLADLDLSINKAYISSDGRWFMDVFHVTDRLGCKLTDDSVITYIEQSLGTWNGPARPAALEGLTALELTGADRTGLISEVFAVLADMDCAVVEARAWTHRGRLGCLVFLRDEEADTERMARIEARLGHLLRGDSTGAGGAVAAVPAAAVAHAERRLHQLMSADRDQEERAAAAAAASPTPAVSVQSWAERGYSVVTVQCRDRPKLLFDVVCTLTDMDYVVFHGTIDTTGDQAHQEFYIRHADGSPISSEAERHRVSQCLQDAIERRSLEGVRLELCTPDRPALLSDVTRTLRENGLLVAQAEVSTKGDMASNVFYVTDAAGHAVEQSAIDAVRQRVGMDCLVAREEHRLQLYQKAGPRDAVDGNGGGGGIGLFYLGNFVKRNLVSLGLIKSCS >ORGLA08G0006500.1 pep chromosome:AGI1.1:8:572381:575244:1 gene:ORGLA08G0006500 transcript:ORGLA08G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSGEASVAPVAVATEATAATVSFQPQAAVAEQGSSSGGVLVPPPPMAAGGGGVVVAAAPVAGVVKVGKKRGRPRKYGPDGSLIRPLNATPISASVPMAASAVGPYTPASAVGAAMKRGRGRPLDFASTAKLHHHHQHQHHHQQQQFGFHFDSIGEMVACSAGANFTPHIITVAPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENSGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVVGSFLPSYQMEQKNKKPRVEAAGALAQTPPAVPISSTDTHSSEQGQHSSVAPRTTNIVTSAYNPDQSWASPAQSIPDSARTPSGDVKVTASGA >ORGLA08G0006400.1 pep chromosome:AGI1.1:8:561272:563821:-1 gene:ORGLA08G0006400 transcript:ORGLA08G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRGRRDGFFGFGDPFPGLGSFGQPGSLMSSFFGGANPFDDPFFTNPFGAMMGPSLLQPSMFGSFGSSMFGPHGNVNGLSNTGGFLQQAPEPSRPRGPIIQELSSDDEDGADVNKEDEKRNDNPRKHPRMAQMPYVEDPDEDTEDNKRSRHEQFERGYARASTSRPQPQTFMFQSSTVTYGGPNGACYTSSATRRTGGDGVTFEERKEADTTTGKATHRILRGIGNKGHSLTRKLSSDGNVDTMQTLHNLNEDELARFEESWQRNAVQNLSGWDPRVNMLSTGTARSGIRDGNQMLTLPAPGPGQSRGASSSRTKRPTQNTSSRRT >ORGLA08G0006300.1 pep chromosome:AGI1.1:8:558250:560814:1 gene:ORGLA08G0006300 transcript:ORGLA08G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPPAARVHLQEVAVNVNKSLFCFDHDSGATSSGVFAGDDPLKFYFPLFLYHVCTVFALSRAINALLRRANVPLVISQILAGTLLGPSFLGHIAPRVGELFATPEGWVLINTIGGYAFTLHIFVIGVKTDLGMIRKSGNKAIAIAVLGTASPHLAMYITGLALKARVPAAWAASFLLTNLNSWWSLSAFIVVCCTLHDLNLLSSKLGRLAMSAALIGDFANTFAIAGVTSYLLAASPSEKLQRIGIASVIAFTTFIAFMALVARPAILRLIRDVPEGALLTEARLIAVLLICLTCSFTGELLGLHATYGPFMLGLMLPGGAPLGVTMAERLDRLVAGVLMPLLFAQGGMRLNVKKITDASTCALLETFLVVGVVSKFVASIMPCLYFRMPVRDAVVVGLMMNFKGITEVVYASAFEDAQVLDEQVYAAFMINVLLIGAASASAVKYMYHPEEKYVAYRRRTVEHKKLGEELRVVACIHSQDDVGPMLALLDASSPTPMSPLSVYLLHLMPLAGLTSSVLRHFKHGKRNCVPSGTTDSERVVNAFQFFVQQRPPGAASLVPYVCIAPYATMHDDVCAVALEKRAMLIVVPFHKRLAIDGSVEPTSHNAGAIQAANTNILNYSPCSVAILVDRGSLSTVAATAAAADGFPHRVALYFLGGPDDREALALAATMAEDATIGLTVFRFMLPADRQSRGGEGDGEEDRRDEAELQEFVLRWVDDHRVAYSENMVGGSDEMVDVIRKTSPAFNLLVVGRRSESPESPLTAGISDWSEHLELGVLGDLLTSTDFGCRVSTLVVQQQTMAAAGESCRLPELPAKHKSDEPV >ORGLA08G0006200.1 pep chromosome:AGI1.1:8:551347:555634:1 gene:ORGLA08G0006200 transcript:ORGLA08G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTKNALLLLLLLLLLLFPLLHSSLADVSAAAPSSASAAEVDALMELKVALDPSGRLLPSWARGGDPCGRGDYFEGVSCDARGRVAAVSLQGKGLAGTISPAVAMLPGLTGLYLHYNELAGAIPRQLGDLPMLAELYLGVNNLSGTIPVELGRLPALQVLQLGYNQLSGSIPTQLGQLKKLTVLALQSNQLTGAIPASLGDLPELARLDLSSNRLFGSIPSKLAAIPKLATLDLRNNTLSGSVPSGLKKLNEGFHFDNNSELCGAHFDSLKPCANGDEDDNEEGSKMARKPESTNVKPLQAPQTMNVNRDCDNGGCSRSSSSSTTLSSGAILAGTIIIIGGAAACGISVISWRRRQKQKVGGGGTVESLEGRASSSNASSSLINVEYSSGWDTSSEGSQQGLRLSPEWSPSVRYNMEEVECATQYFAGANLLGRSGFAATYRGAMRDGAAVAVKSIGKSSCKAEEADFLRGLRAITSLRHDNLVALRGFCRSRARGECFLVYEFMANGSLSRYLDVKDGDVVLDWATRVSIIKGIAKGIEYLHSSKANKAALVHQNICADKILMDHLFVPHLSGAGEHKLLADDVVFSTLKDSAAMGYLAPEYTTTGRFTDRSDVYAFGVVVFQVLTGRKAVSSELRLLGGGGGAEYSGKLDDLVDPRLGGRFSRPEAAKLAGIALLCTSESPAQRPAMAAVLQQLGATQ >ORGLA08G0006100.1 pep chromosome:AGI1.1:8:544500:548338:1 gene:ORGLA08G0006100 transcript:ORGLA08G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VASAMAAASLSDELEPATARTRIRSIPSLPHGRRCHGGRYGHDLPLRRPEVRHHPHLHLASTSSWAGGSRPGESRRRAPSPSSSSTTAPASPTCRSLATPLSIRLGHFELLRQSSSPISSSGDIPFPIPLAPSLAPATRQFTITVSSAGGTPFP >ORGLA08G0006000.1 pep chromosome:AGI1.1:8:540638:541084:-1 gene:ORGLA08G0006000 transcript:ORGLA08G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKELSEEQVASMREAFSLFDTDGDGRIAPSELGVLMRSLGGNPTQAQLRDIAAQEKLTAPFDFPRFLDLMRAHLRPEPFDRPLRDAFRVLDKDASGTVSVADLRHVLTSIGEKLEPHEFDEWIREVDVAPDGTIRYDDFIRRIVAK >ORGLA08G0005900.1 pep chromosome:AGI1.1:8:533530:534967:-1 gene:ORGLA08G0005900 transcript:ORGLA08G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWLKTAASDVGGGDDHEGREEGSDAVADRRGAPXPARNPPRQERHRQQDPPHPQGPRACPGDPGGPILLDQEGCCYXEALGEEQEGQGLQVQAYSCXEQDPPPRPLLXAHKEAPTHLEV >ORGLA08G0005800.1 pep chromosome:AGI1.1:8:528730:530689:-1 gene:ORGLA08G0005800 transcript:ORGLA08G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSAIPYKRTPPSWVKTAAADVEEMIMKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA >ORGLA08G0005700.1 pep chromosome:AGI1.1:8:525867:528088:1 gene:ORGLA08G0005700 transcript:ORGLA08G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT1G01080) TAIR;Acc:AT1G01080] MAASSHYALLHHHLPNPLHPRHLSSSSSPSPPPPLHLHLHLHRHRLALSTARFFSLAERRASAGPLVFETEEERSGWSGAEAAESNYDDEEDEEEEQGWAGGNAAGWRGESHEDDQEEGSGSGEGRRPRRSRPRELFVCNLPRRCDVDDLYELFKPYGTVLSVEISRDPETGLSRGCGFVTMRSLPEARTAMNALDGFDLDGREMLVKLSSDVVSKRRNINMTHTPPVKDHIFESPHKIYVGNIAWSVEPQELREYFSQCGTVVSTRLLTDRKGGRGRVYGFLSFASAEELEAALKLDNTHFHGRNILVRQAHEERQAR >ORGLA08G0005600.1 pep chromosome:AGI1.1:8:520981:524445:1 gene:ORGLA08G0005600 transcript:ORGLA08G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G63480) TAIR;Acc:AT3G63480] MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETQMNLASSRSHCLYIFSVQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGIDFSGENKHQQKVNRIMCLIVTLSLRAFFKMHWLVKRFSQEFLNRRVCLNATGFCRVATQERHYCAVVPPVLQMHQKHLSRTKLIKTTPKSTSPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSTCQDAASQEVSLLTQAVEELKETVEEVVVASSLHSPYTPSQCHCSGSNCSKHVLQLTDENERLRGELELAQEAAAAAAARADGVLLGFVPAVAISSLLRPFGFVPD >ORGLA08G0005500.1 pep chromosome:AGI1.1:8:513278:516485:-1 gene:ORGLA08G0005500 transcript:ORGLA08G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G32930) TAIR;Acc:AT1G32930] MFAPAAAARPHKQAPPARVPTRLVAALCTACFFLGVCVVNRYWAVPELPDCRTKVNSDNPGAVMNQVSQTREVIIALDRTISEIEMRLAAARTMQARSQGLSPSDSGSDQGSTRARLFFVMGIVTTFANRKRRDSIRQTWLPQGEHLQRLEKEKGVVIRFVIGRSANPSPDSEVERAIAAEDKEYNDILRLDHVERNGSLPLKIQMFLSTALSIWDADFYVKVDDDVHVNIGITRSILARHRSKPRVYIGCMKSGPVVDKNESKYYEPDHWKFGTEGNNYFRHATRQLYAVTRDLATYISANRHILHKYSNEDVSFGSWLIGLDVEHVDERSLCCGTPPDCEWKAQAGNPCAASFDWNCTGICNPVERMEEVHRRCWEGHVADLQAQF >ORGLA08G0005400.1 pep chromosome:AGI1.1:8:504515:512517:-1 gene:ORGLA08G0005400 transcript:ORGLA08G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAATAGTYSPAAAAGEKRRERKEELRRHLAEDADWPRADGRSFHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMLYSDTGRLNCNVSYTTFATPIRGQGMDNKEYSAMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCFGKNIIIDPTSDEEAWQDGSIMVAYMPARKEITQLTLTGEWSDGKITNAVELCMDACSKLCDILRERLKDAASLAE >ORGLA08G0005300.1 pep chromosome:AGI1.1:8:474936:502661:-1 gene:ORGLA08G0005300 transcript:ORGLA08G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT5G24740) TAIR;Acc:AT5G24740] MFEDLVSKVLPALLGRYVSFQKDQLTINIWNQEIILVDVELILEAFDYLQLPFALKKGRIGKLSVRIPWKTLGWGAIIIAIEDVFICACPREDSEWSSDSLDKRELDGKLAKLKAIELAKISRRITDNQTGQSLLSYILAKILDNIQVSIRNVHITYADNYKDQGNFMFGLEFSSLSIQTDPKKQSFAMSLMAMSRQDEVNKTVEISNVGIYCHHLDEQQGSCDTGGLTETNFSFSHELAHPRDAYLLNPFNVTIFVLANKAGKLDGAPRYNITVELTALILSIDEIQIQQILNLCDYFSICALRTKELRVSELMRSNRKNANLPPLEGTYSLTAVXSSLPTHRTAVGNMSIFTEXNWSFCRKDSLLVKTFYKSWKIWTEKVIXMIYLTTELLQSRNYRKHWXNLPKIIFLVQEVLELMISQLGQAKDGXSGFLVECLVLVEQLIPAHLLMFQMTLLRIYTRERNSILFLLLKIIXQKKTTILYLLGYLFLRSLXASPPGKCCIPISLLLTXLSKTRVAQKGPVVALVMVWGHLLSVFKLTVLSQTIAQKLXHGLLSRNSVPYMNQCSSIISCTSMICFPPSSFSMIGYTSANRKKLLWDLRIHHFVVRLPSRNCGTEELIMVFEAGDVSMQSKDTVRDASRTQESNSFLDYISKTLPSNFSDDLLIGFKLDDLYNHFEVKVLMPDKHDISSTFVKLDASIVFGLCIFLDEPVLKQLEVSFIVPFANMYFCQTLYSAFVNLCFYYAKETDLIRNNTSDDTKSEPKKLALNMFASLKLAKLSLRVDLEDHHEESSAITVCIGDVDIRYAIQELSDIWVIVKMVQITSNNLKEESYSCVLCLSGNCKTCVNLTGFPESSTSDACLKLHYRTLKYEDQMHHVYQLNLNDVDLHLIPSVFGQIRRFLKSLDAAYPDGTNVVLSELDLGSMKLGSANTKFPKFALSSFCGVDGTLFAGIPVDHFPFVRMDFISGHQASGGSSSKSKCNETSDLNCYCAQGPASNSLCKTKHSNCSSNSSQNSMNASLTVLDLSLVSVRVHFHESCGILATLSVPESIAALSLSDASSWDLLLSAKDIMLSSSWTSPSVHELLWSRSSHGNANILNIRIKKDFPALSTEVCIGIQNVCCVLPSKLLAMFIGFFLLDDWTSLPEEHHSVENHNLESSGESLDSMTYTFELCDCVVLFPVENQHFFGLRLGVPYFFGEFISTGSTAEFANRIPKEFFSSECMVSSRVDIISLCAVKASISLLFPDDQANFILKLDENMPRRIQSLVEKLDAGIWIQIPCIELSCSEQSSLPTFIMSKISKCNLIAEDLYFVDGMEAVFAVTDELISIGKASKLYKGNALQFLEQRILNEESPGPNDSINITVSVSDLAIFFCHSKDKGLALEKIANANMKFDVSAVLVSEKPERIDMDIVSLALQSSDIHVPIWQKTENCASNVMPGTYGSCTMQATSHHFADDIRSPESKDCDSRMNFRCDLDRMKIILEMIQGDKGTSVPFMHISKAKAAGYIHQPEGKPLHLSVDLQAEYMDVGFSHQIFSFCRSMELKFPVSSSSASSFYSVTFKVGLRKGSILLNDGRWGSHGPVIETLMKNLLVQFSQMSYRIEVSAFVDLLVNYNNIDKVMWEPFIEPSKFQLNVIRKCANHALDISPSTEVWLNSSNQLNLNISEPLIEAILRLGQMITNSLNPVSEGGLREDIGILRLSRDDVHTRRYAPYILANDTSLPFKFRVYRGAVNSDDIDSFSVVDENSVPAGYAVPIYVEEALDEFFFQHREARSSEHLIEKRMSAVSHYMISIEFDATSGSSKPMSMDLVGIYFFEVNFSSSKKTLSEESWEAFASNRKGSHESGLIVPVVLDVSLHNYSKLIRVYSTVKLYNATSMPLELRFDIPFGVSSKVLGPILPDKEFPLPVHLSEAGQIRWHPVGRTYLWSETHSLSSLLSHESRVGFMKSSVCYPSHPSNDPFRCCVSVEEYSIPTSSSTQKSQPNYGNPIPKASKQILARKHFIRKVRLSTPLLIKNYLPVCISLTIDNGGVANEVSLKEVSFASIFFVDPSNDLGITFHIQDYRSLAIKFPRVESFSTAAKSNGPKFSLTETITFYSNELNCPLNVTLEKAMDANSGARELYLSVPFLLYNCTDLLLTITESSCERNGSTLVIPSSFELDGQTRHLLGKNGLFLVSEDPPIQSVSFWRLKSFANKIPQLDFADGGSSYSNRTAANNTKDAPKECNKEAKAYMFAPSGHTPATELLVKLNASVPNSGTETTRRDWSSPFLLVPASGSMNATIPQSSSSGAFLVAATSIPVSTELFGRTRAIAFQPRYVICNACSNDLFYRQKGTRFSKHLSSGQHSFLHWSDTERELLVSIRFDGPGWQWSGSFFPDRLGDVQLKMRNSASGVSNMIRVEVQNADIDVHSNKFAGRNNSNTGTILILLSDDKTGFVPYRVDNFSMEKLRIYQQKCESIETIVYPYTSCQYAWDEPCYPHRLTVEVPGERSLGTYNLDILNDDIHVSLPLTSEKAERKFCISVHAEGAIKVLSVIDSNCHNMDKRETNLLGSREPKDADQKQELELNFSDVFRIHLPFIGISLISSLSQELLFASARETRIVAMQSLDQQQITIEMQSMQIDNQFSDSPYPVMLSFEGSHKGKNMNFFKSRDTKVRSPNENSSPEPILRLAAAKWRSNDAPFVSYQCINMSIAPFHLELEERLVFSMIDFIRSVSTRIHLGQLDRSFDLGILDDATDIFGRYEKISKRISGKPQSSYMVEAQQDQLLPSVVPIGAPWQQIHLLARKQKKVYIELFELTPIKLTFSFTSTPWLNRNESSSDPSTSFNNSTAIQRGLMALIDVEGVPVHLGEIVVENLMASWQSIQDILVRHYSRQLLHEVYKVFGSAGVIGNPMGFARNVGFGLKDFVSASRRGILQSPVELLNGIAQGSKTLIGSTVYAVSSATSHFSKTAYKGLVAFTYDEQAASKMDERERQLSLHGEGVLNGFLEGLTGLLQSPIKGAEKHGLPGVISGIAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFPRPVARDRSLFPYSWEEAIGISLLFQADGGRLREETFVMCKTLREPGKFLVLTEKLLLLVSSPYLVDLGSPQFVGVPPDPQWAIETEMSLKSIVHLDRAQEVVNIVGSNGETSPRDKRGSIRNRGASSAFIPLFHFSVEMPNIEDAEGTLQILLALIEKGKARRWDKNIIHRSNIY >ORGLA08G0005200.1 pep chromosome:AGI1.1:8:472535:473614:1 gene:ORGLA08G0005200 transcript:ORGLA08G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVACTLAALILHDDGIPITSEKIATLVKAANIKVEAYWPGLFAKLLEHRSVDDLILSVGSGGGAAPVAAAAAPAAGGGAAAAPAAEEKKEEAKEESDDDMGFSLFD >ORGLA08G0005100.1 pep chromosome:AGI1.1:8:461060:466523:-1 gene:ORGLA08G0005100 transcript:ORGLA08G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVETSADHAAPPPPEGDAGEREMRDLEDLLSKLNPMAEEFVPPSLAAASPTAYAYYPTPTPSHVFPAVDGLAGPRPRKKGGGGGGGGGFGGQGHAGKRRMNSRTSMAQRDEVIRRTVYVSDIDHQVTEEQLAALFINCGQVVDCRMCGDPNSVLRFAFIEFTDEESARAALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRQLMH >ORGLA08G0005000.1 pep chromosome:AGI1.1:8:456593:457896:1 gene:ORGLA08G0005000 transcript:ORGLA08G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSRMARPMSPPAASALNSLARIRTGRRLLSLAAVLATDPAAYVAVAVAGLCKVN >ORGLA08G0004900.1 pep chromosome:AGI1.1:8:413556:415262:1 gene:ORGLA08G0004900 transcript:ORGLA08G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSCVPPGFRFHPTEEELLNYYLRKKVASEQIDLDVIRDVDLNKLEPWDIQERCKIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYNAVHRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPATDTAAATPTVTSAAAAAAAAMAAAADGGQEDGWVVCRVFKKKHHHKEAGGGGGKHDGDGSAGAKAAHAYSSSDDALDQILQYMGRSCKQEHELPSPQASGGGGAGAGSRPASRYLRPIDTVLGGHGFMKLPPLESPSAATALSSTPSTGGDAASSAAAAAADHLLLHHHHRTDWAMMDRLVASHLNGANSDAPDDQLCFDAADDDGLAYYSAAATRLLGGANAGTDDDLWSFARSAAPPPPPPPPSSATPERLSHVAL >ORGLA08G0004800.1 pep chromosome:AGI1.1:8:374896:375450:1 gene:ORGLA08G0004800 transcript:ORGLA08G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVKSIKPFEEDYEQLLKDARDVHQRHGCIVNVLAIHPITGERYKVTVDSTSDDDVSAPNEDHFTAHVVRRYLRLKGQLGEVTLQMLSEEFGGAMRLADVKKLMFRVRAVRLAVLRRSKAVRMATSAELLPELARVGSLFRAPVAMVAPLDQGIKFASHLIALGSSIMNRREEKSVTLNMFG >ORGLA08G0004700.1 pep chromosome:AGI1.1:8:349591:351467:-1 gene:ORGLA08G0004700 transcript:ORGLA08G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAGTTSSWLTAVKRAFRSPSKEDSPNKAARLRDDTDDDKGKRERRRWLFRKSSSPSPAPPTPPPPQQQQQQSRAAAVTEEQRHAIALAVATAATAEAAVATAQAAAEVVRLTRPSSSFVREHYAAIVVQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQANMTLRCMQALVRVQARVRDQRMRLSQDSISLSAAAASAAPCGSSKSSYSVDTSTFWDSKYTHDFAAADRRSIERSRDGSSFAAGDDWDDRPRTIEEIQAMLQTRKDAALKRERALSYAFSHQIWRNPAPSVEEMDVDGQPRWAERWMASRASFDTSRSTVRASAAAAPGRASTDHRDQVKTLEIDTARPFSYSTPRRHGNVLYHASSSPMHRAHHHSPVTPSPSKARPPIQVRSASPRVERGGGGGGSYTPSLHSHRHHASSGGAAAVPNYMAATESAKARVRSQSAPRQRPATPERDRMSFGGGGGGGGAKKRLSFPIPIDPYGAYAQSLRSPSFKSAAGRFSSEQRSNVSSSCAESLGGDVVSPSSTTDLRRWLR >ORGLA08G0004600.1 pep chromosome:AGI1.1:8:332042:334169:-1 gene:ORGLA08G0004600 transcript:ORGLA08G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMLPLLVILVVGLRLAGASPPPQPVACTKGTTDCTVTNVYGSFPDRTICRAADASFPRTEAELVAAVAAAAAAGRKAKAATRHSHSFPKLACPGGRDGTIISTRFLNRTVAFDAAARRITVESGVVLRDLIRAAAAAGLALPHSPYWYGLTVGGLLATGAHGSSLWGKGSAVHEYVVGLRIVTPAPASQGFAVVRELVAGDPDLDAAKVSLGVLGVISQVTFELQPQFKRSVRFVTRDDSDFAEKVAVWGGAHEFGDMAWLPRQGKVIYREDDRVDVATPGNGLNDYLGFRAQPTLGLITARAAEERLERNGTDIARCLAARLPPSLFELQAYGFTNDGVFFTGWPVVGFQHRIQASGTCISSPEDGLLSSCTWDPRIRGPFLYNSGFSIALPRAAAFVADMMRLRDLNPRAFCDIDAKLGILMRYVKASSAYLGKPEDCVDFDVTYYRSYDDGEPRPHSDVFDELEQMALRKYGAVPHWGKNRNFAFDGAAAKYPNSGEFIKVKERYDPDGIFSSEWSDQVLGISGSPNIVDKRCAIEGLCVCSDDSHCAPELGYFCRPGKLFKEARVCSKDKSAAAGDDDLLDEL >ORGLA08G0004500.1 pep chromosome:AGI1.1:8:326702:328358:1 gene:ORGLA08G0004500 transcript:ORGLA08G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:I1QF44] MCSWSLSSHTLTSPVRQAAMEPKSSRCGGAGIRLRLLVVLHLLLLVPSSAMAFNYADALAKSIIFFEGQRSGKLPPGNRMPWRADSGLTDGAQYNVDLVGGYYDAGDNVKFGLPMAFSTTMLAWSVLDFGKFMGAELPNARAAVRWGADYLLKAATATPGALYVQVADPNQDHRCWERPEDMDTPRSVYRVTADKPGSDVAGETAAALAASSMVFRRADPAYSARLLHAATQVFDFADRHRGSYSDSLASSVCPFYCSYSGYHDELLWGASWLHRASRNASFMSYVEANGMQLGAGDDDYSFSWDDKRVGTKVLLAKGFLRNRLQGLELYKAHSDSYICSLVPGTASFQSRYTPGGLLYREGSSNMQYVTTATFLMLAYAKYLRSSGATASCGDGGGGARGEVSAAELVAVAKRQVDYILGKNPAGMSYMVGFGCRYPRRAHHRGASMPSVRAHPGRISCDAGFGYLHSGEPNPNVLVGAVVGGPDSRDAFADDRGNFAQSEPATYINAPLVGALAYFAGTTK >ORGLA08G0004400.1 pep chromosome:AGI1.1:8:315199:316450:1 gene:ORGLA08G0004400 transcript:ORGLA08G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLLAPVAAPWSRVPSAGRRVRCAATAPAPMGEKTEYRDGPVERAFMGLFARKMEKYAVVSSSGGKGKEKKKEKSSRSVWEWDYESFVDVSRRVMVGRTRAQQQEAVREVLLSMLPPGAPEQFKKLFPPTRWACEFNAALTVPFFHWLVGPSEVVEVEVNGVKQKSGVLIKKCRYLENSGCVGMCVNMCKIPTQNFFTNEFGLPLTMNPNFEDMSCEMIYGQVPPPLEEDPASKQPCYANLCSISTPSAPICPKLQT >ORGLA08G0004300.1 pep chromosome:AGI1.1:8:311768:312094:1 gene:ORGLA08G0004300 transcript:ORGLA08G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEKPPAAAAGAIGGEVAAGAVAVDACLVAAAMAGASLLAWWAVAFHPSNSRLWMVPVGLVLACTPAIVYLALSLQPPSTVSDHKGSHAAGHPPPPPPLSMVVIDK >ORGLA08G0004200.1 pep chromosome:AGI1.1:8:306795:310551:-1 gene:ORGLA08G0004200 transcript:ORGLA08G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G23093) TAIR;Acc:AT2G23093] MGVVIEREEWALTPLAYPLLSAASLAAVLLLPYFSPPSYATAAASPSSHSPFDVGTTPFLRFRRGFLFVFSLASVAEGIHSVFGEDEFARCGLGREQMAARLAAAAAAVLFLGGLSGVVSDKLGPRQACIFYWMLQLAVGALKSFSGLRCAWISNLISALASSMFYFCFETWFVVEHEKQGQKQDLLFDSFWLMTFFESMSLLGSQGITNLLVNDDDKGFLLPYAFAALLSIVGLLYIRKNAPSTTHHASVIGSYQKSFFAHVFRDKRVLILVLAQASIHFSMSAFWFLWAPTIVADGRDAQLSLIFPCFLASRMFGSASFPWFYGTTAPFQNEDSLTIAYVTVGIALSIVAYDYQDIGTLVILFCIFHACVGFILPSLARLRTMYLPNELRGGMMSFSLGLANAAIFIFLMQGSYHQNIANSTILGFAACGLLVAGGCIHMLRRRRKHTRQDTRSL >ORGLA08G0004100.1 pep chromosome:AGI1.1:8:300400:300783:-1 gene:ORGLA08G0004100 transcript:ORGLA08G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVILLRYEEDAMAGHRSTAAAAGGRLYGQVGVKRRVVEETAAAVEVGGGGGGYLGVEAAVLLGVVTATLLVLPLLLPPLPPPPPMLLLVPVAIFAVLLLLVLLPSDAKSIAAAGRPSSSSSSSYL >ORGLA08G0004000.1 pep chromosome:AGI1.1:8:293819:300153:1 gene:ORGLA08G0004000 transcript:ORGLA08G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDHHQDVVAAPRGGGGGGDDGQAHDMVMPGFRFHPTEEELIEFYLRRKVEGKRFNIELIAFVDLYRYDPWDLPALASIGDKEWFFYVPRDRKYRNGDRPNRVTPSGYWKATGADRMVKVEGDRPIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPHGDADRYQKEISLCRVYKRPGIEDNFHLTGTTTKSSGSKAAAAMGKKHAAANRTSSTAAAAAPRLAPMFDGGGGGQARATASAAKKRAATAAAAKRRHRRRHRRPWSLQRRRHSTSSRVCSRVCHRWYGAPLTRGSPTGAGTGDISHPTTCAGSGSEGEVRERGRGRSSPTRPVANP >ORGLA08G0003900.1 pep chromosome:AGI1.1:8:282197:283456:-1 gene:ORGLA08G0003900 transcript:ORGLA08G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYCDGKSKKSPAMGRRRRYCRCGTTMLTMLLFVVTNSASVLLSSGAGAFLLRRYKPATARLWAWDDSAALLDDLNATQSALADTHAQLADLHPRLGTANSLLETLLAAMAAERRDGGTPWARELSGELELAVAPHRNVTGKATVFPALGHACARFQDDLEAYMRYTPGGECPSDEQLARQLMLNGCDPLPRRRCRPRSPAGYVQPAPLTKSLWAIPPDTTVVWDAYRCKNYSCLVRGGGGGEFDLLGREKRRWMRDDGALAYSIDSVLAARPNGTVRIGLDIGGVSGTFAARMRERGVAVVTTAMNSGGPSGSLIASRGLVPVHVGPAHRLPFFDGTLDIVHWTSPEHVAGVMLEFALFDIYRVLRPGGLLWLDHFVFPGEQLNATFAPMVDRVGFRRLRWNTGKKLVSALLEKPMT >ORGLA08G0003800.1 pep chromosome:AGI1.1:8:277342:281523:1 gene:ORGLA08G0003800 transcript:ORGLA08G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carboxyl terminus of HSC70-interacting protein [Source:Projected from Arabidopsis thaliana (AT3G07370) TAIR;Acc:AT3G07370] MSPAADSAASKRQAELLKQEGNAFFKKDRISAAIDAYTGAIALCPKVAVYWTNRALCYKRRNEWVRVEEDCRTAIQLDSHSVKAHYMLGLALLNKDELAEGIKELEKSLELGRGAHPASYMVEEIWQELSKAKYIEWENLSSERVRQLHKLKEACKEALRNYNSLDNPPAYVPEEQLNELEEVFRKARKSDIPTEVPDHLCCKITLDIFRDPVITPSGITYERAVILDHLHRVGKFDPVTRETLEPHQLVPNLAIKEAVHAFLSEHGWAYRMR >ORGLA08G0003700.1 pep chromosome:AGI1.1:8:268358:275401:-1 gene:ORGLA08G0003700 transcript:ORGLA08G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMBRYO DEFECTIVE 140 [Source:Projected from Arabidopsis thaliana (AT4G24270) TAIR;Acc:AT4G24270] MATPMEEDLPGAEAEAAGPAPPPAAATGGDGDGENPAPAPASPFSDSDSDSDDGGEGGDAADELRIQALEQALQEQPLDYESHVQYIQCLRKSGKIEKLRAAREEMNKYFPLTPKMWQEWTKDEASLRPESFEDIEKLYERGVQEYLSVRLWRDYLDFVEENDKSVSQCSPSGLTKMRNLFERAITAGGLHVTDGSKLWEAYREYEMAILTIIDDDDEEKAKQVQRIRVLFHRQLSVPLVDMESILAEYKSWEAEQGNANDPTSNFDGVPSNVVAAYKKATEMYNVRKQYEDQLSNADASDGDKLEEFLKYIKFEESSGDPARVQVLYERAVAELPVSTDLWMGYTSYLDKTLKVPAVLKSVYQRATRNCTWISELWVRYLLSLERIRASEEELRHVFEQALQCSFPSIKEYLEIYLTRVDSLRRRMADGLDFQLIRQTFMDATEFLSPQMGTEDLLLLHAYWAKLERTLGNDLAAARGVWENTLKKSGSVLEVWQHYIAMEIETEHIHEARSLYKRCYTKRFSGSGSEEICHAWIRFERECGTLEDYDLAVKKVNPRLKELMMFKAQQEVKVDTHVVPKETTGSDFSQKRKPSKIPTKQQPPAKKKKDNPPKSAVLSDDHGSKEQISTGHVKTGEVSGEKTQASMEVNLVDGSRRENTASKESKSNFYSDKCTAYMSNIDLTANEEHIRRFFSDIGGVTAIRLLRDKFTKKSRGIAYVDFSDNEHLEAAIRKNKHKLLAKKVSVARSDPSKGKKNREAGSFSKDQGTSGDRGETAEFANRPDKEIPKDKPTITGKNTFAAPRSVVKPLGWTQKDEKSDVGAEELKSNEEFRNLLLKK >ORGLA08G0003600.1 pep chromosome:AGI1.1:8:262894:265230:1 gene:ORGLA08G0003600 transcript:ORGLA08G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G10260) TAIR;Acc:AT4G10260] MAPLGDGAAAAAAEPNLVVSFGEMLIDFVPDVAGVSLAESGGFVKAPGGAPANVACAISKLGGSSAFVGKFGDDEFGHMLVDILKKNGVNAEGCLFDEHARTALAFVTLKSNGEREFMFYRNPSADMLLTEAELNLDLIRRAKIFHYGSISLITEPCRSAHVAAMRAAKSAGILCSYDPNVRLPLWPSEDAARAGILSIWKEADFIKVSDDEVAFLTQGDANDEKNVLSLWFDGLKLLIVTDGEKGCRYFTKDFKGSVPGFSVNTVDTTGAGDAFVGSLLVNVAKDDSIFHNEEKLREALKFSNACGAICTTKKGAIPALPTVAVAQELISKAAN >ORGLA08G0003500.1 pep chromosome:AGI1.1:8:258793:259743:1 gene:ORGLA08G0003500 transcript:ORGLA08G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33420) TAIR;Acc:AT4G33420] MMKKLVRLLIMVEVVAMAAAPRRAAALSMDYYGMSCPFAEMVVRSVVSQALMGDPSLAASLLRLHFHDCFVQGCDASVLLDSTPDNTAEKDALANKSLRGFEVIDRIKDALESRCPGVVSCADVLALAARDAVIMAGGPYYGVATGRRDGTRSSAADTVALPPPFLNATALIQLFGTHGFTAQDMVALSGGHTLGRAHCANFKNRVATEAATLDAALASSLGSTCAAGGDAATATFDRTSNVFDGVYFRELQQRRGLLTSDQTLFESPETKRLVNMFAMNQAYFFYAFQQGMLKMGQLDLKEGDAGEVRTSCRVVN >ORGLA08G0003400.1 pep chromosome:AGI1.1:8:249156:254708:1 gene:ORGLA08G0003400 transcript:ORGLA08G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMATLRPAPASMVVLCAVVVVGAVLVVAAEGGGSEEAAASTGKAAMVPALFVFGDSLIDNGNNNNLASFAKANYYPYGIDFAAGPTGRFCNGYTIVDELAELLGLPLVPPYSQASGHVQQLLQGVNFASAAAGILDESGGNFVGRIPFNQQIDNFEATVEQIAGAVGGKEAAASMVARSILFVGLGSNDYLNNYLMPNYNTRRRYTPRQFADLLADRYAAQLTRLYKAGARKFVVAGVGSMGCIPNVLAQSVESRCSPEVDALVVPFNANVRAMLGRLDGGGLPGASLVFLDNYGVFKAILGDPAAHGFAVVDRGCCGIGRNAGQVTCLPFMPPCDGRDRYVFWDAFHPTAAVNVLIAREAFYGGADVVSPINVRRLAAL >ORGLA08G0003300.1 pep chromosome:AGI1.1:8:245814:246907:-1 gene:ORGLA08G0003300 transcript:ORGLA08G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHEHVEGIKAHWAKNFAFLDYFKKVYGRDKPLPKWTDADVDEFIASDPVYGPQLKAMRESRKFALGGALVGGAHLGGIALKYSKAPHGVVLATGFGAICGAVVGSEVAEHWYQLYKTDKQGANLRFIYWWEDKVAGNQKS >ORGLA08G0003200.1 pep chromosome:AGI1.1:8:242478:244326:1 gene:ORGLA08G0003200 transcript:ORGLA08G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSILCEADIGIIIFSAHGKLYDLATTGTMEELIERYKSASGEQANACGDQRMDPKQEAMVLKQEINLLQKGLRYIYGNRANEHMTVEELNALERYLEIWMYNIRSAKMQIMIQEIQALKSKEGMLKAANEILQEKIVEQNGLIDVGMMVADQQNGHFSTVPLLEEITNPLTILSGYSTCRGSEMGYSF >ORGLA08G0003100.1 pep chromosome:AGI1.1:8:234535:237177:1 gene:ORGLA08G0003100 transcript:ORGLA08G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKELLSSAPWRTGEAAEDDDEAARLSREGKVSVTSNPGETPTMHVPRNKRQDLDLAVDDFDEDEIDPELRYSFQRNSRFLRRVFSVDTLVKPLPPVMAYSVSRNVNFFFKIFTQFWDEEGIANAQKSLGLGNEDGSRRR >ORGLA08G0003000.1 pep chromosome:AGI1.1:8:225348:229855:-1 gene:ORGLA08G0003000 transcript:ORGLA08G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFHGEEQRGGEGKPRRRPSSNSLRRLVSYNSSKRHEHFEEEDEEGVVVSATSSSAGHRVGNDVSTARLIRKPPAPVVEAVAVPVAALPDEAASVAVSVVDVERPVAAPVNWRRAPNGTAEQEPRSGGTRSEAKPRITDVVPNGVQGGHAAAGWPRWLTEVAAEAVRGWQPRKAESFEKLDKIGQGTYSSVYKARDLESGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGIKFTEPQVKCYMQQLLSGLEHCHNRGVLHRDIKGANLLIDNNGVLKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEDFWANLKLSRATIFKPQHPYRRCVNDVYKDFPPPALALLDCLLAVEPQNRGTAASALGSEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAAKGHESEAGRRKQLPAPNGNNELQQRRVQLNPKSSSNKFIPKEDAVTGFPIDPPGRAGDNVYPQRAPLMHAGRSSSTLGRSSGTDQNAQRFYTSQIAAAEMSTATGGQRGNATKLSSNLGESARRQYLREHRSSSRYSQLAAAEPSDRPEWTHQFQERPSSSHRKDDGAANKEPTVVNGAKKSRIHYSGPLMPPGVNMEEILREHERQIQQAVRRARLDMGKGKNHVERDQSESLLYTTQNGRP >ORGLA08G0002900.1 pep chromosome:AGI1.1:8:222247:224250:-1 gene:ORGLA08G0002900 transcript:ORGLA08G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPTSPPKQHLLRIRRCLPSVWRNAAPAQESPPPSPPHPASSLSAILYSCTARRARRPGELAHARAATLGLAAHPSVLPRLASFYLALADLPAARAAVEQAAGKARAFPWNLLIWGYAGRGLWEDVILSYEKMVAWGVAADRFTYPSVLRACGELREVTIGRNIEQRIRRCRYGLDMYVWNALVGMYAKCGELEDARRVFDGMAVRDVVSWNTMVSAYASTGKWNKAFELLQQVPGANVVTWNAVAAGNLKAGNYDEVIRLVSQVRGYHGTGVDSVTIVIGLKACGRTGYLSVGRELHGVAVRLCFDSLECVVNSLITMYSRCGMMSSACLLFRACSVRSITTWNSLLAGFAFMEQIEEASLIFREMIGFGVCPNGVTVLTMLSLGARVGHLCHGRELHCYILKHELDSSKLMENSLVDMYSKCRQMVVAQRVFELMQFRDKHAYTSLVLGYGLQREGHVSLKLFDEMIVNSIEPDHVTFVAVLSACSHSGLVTQGQLLFAKMVSIFGIAPRVEHFSCMVDLYCREGLLKMAEVIIDNMPFQPTAAMLATLIEACRIHGNTEIGDRAAKKLLAMRTNNPGHYRLIANMYIAAKCWPELAKVRSLMSTLELNMIPSHSLLESEYDVCPVEQDNFLNHVTYGLSSDHLIDTDSSSSEEVKCSEAFGG >ORGLA08G0002800.1 pep chromosome:AGI1.1:8:218762:220177:-1 gene:ORGLA08G0002800 transcript:ORGLA08G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANTGGGGGGSGVLVVSRRMIRPEFKELPPEHDTTVHLTPWDLRLLTVENIQKGILLPKPPTGGETLVEHLASSFARALGRFYPFAGRLVVEEVDGGASPASSVSVSLRCNDEGAEFVHAAAPDVAVADIAASLHIPRVVWSFFPLNGVVAAHAATESLPVLSTQVTELSDGVFIAMSVNHVVGDGTNFWEFMNTWSEISRSSGGELGISPSASTSTSRPLVVKRWFLDNCTVPIPLPFAKLEQIIPRSEHQAPVQECFFAFSAASIRKLKAKANDEIAGAAVAISSLQALLALVWRAVSRARGLAPRQETAYVVVVGCRGRVGGISSGYMGNAVVPGAVRLTAGEIMERGLGWTAWQLNKYVASFDEAAMRGALASWPRRPDFFSVLSLLGGAAIITGSSPRFDVFGNDFGWGRPATVRSGGANKFDGKVTVYEGPDGAGSMSLEVCLTPAALAKLVADEEFMGAVTTP >ORGLA08G0002700.1 pep chromosome:AGI1.1:8:215420:217063:-1 gene:ORGLA08G0002700 transcript:ORGLA08G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNTGGVRVVSRRVIRPELPPEHETTVHLTPWDLPLLTAEYIQKGVLLPKPPTGGEHLVEHLASSFARALGRFYPFAGRLAVAEVEDGASPPSSISVFLRCNDEGAEFVHATAPDVAVADIAASLYIPRVVWSFFPLTGLLPAVAAADSLPVLAAQVTELSDGVFIAMSLNHVVGDGTNFWEFMNTWSEISRSRSKLDISPSPPPPSPSPPLVVKRWFLDTCPVPIPLPFAKLEHIIPRRDHPPPPLQECFFAFSAESIRKLKAKANGEIAGAAATISSLQALLAHVWRSVSRAHGLAPRQETAYVLVIGCRGRVSGISPGYVGNAVVPGAVRLTAGEVMERGLGWTAWQLNRFVASLDEAAMRGAIAPWPRAPEFANFAKAAGGTAVHTGSSPRFDVFGNDFGWGRPASVRSGGANKFDGKVTVYEGPGGAGSMSLEVCLAPAALGKLVADEEFMGAVTTPSINRNFGQAFYAITTNWTLNEACKDMKG >ORGLA08G0002600.1 pep chromosome:AGI1.1:8:210967:211309:-1 gene:ORGLA08G0002600 transcript:ORGLA08G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALACRDGMFNLPAERNSCLSEADDRLGEWHSVPACIEHLVTEGCNSAVS >ORGLA08G0002500.1 pep chromosome:AGI1.1:8:202477:203066:1 gene:ORGLA08G0002500 transcript:ORGLA08G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVRQFRKNAIDAEIVVGQHVGKRVFLPRIPLCPSDDEMFPFRFKRKQFPVRLSFALTINKAQGQTIPNAGVYLPEPVFSHGQLYVALSRATSRTNIKILSMPVEDKKQNKKSKRTGVKDNEKKGKELSKQVFSEDGEINHL >ORGLA08G0002400.1 pep chromosome:AGI1.1:8:200161:201801:1 gene:ORGLA08G0002400 transcript:ORGLA08G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEIIRELEPGQTPQDRPDLVVRVFRAKLEDLKKQLFEKHILGKVIAHVYVVEFQKRGLPHAHFLLIMSSRYKLTSADQYDRIVSAELPDKKKYRELYDMVVKHMMHGPCGPLNGKCQCMRDGKCRNNYPREFNPTTSQGKDSYPLYRRRNDSKSRMVRGHPLDNRWVVPYNPYLLRMYNCYMNVEVCSSIKAVKYLFKYLYKGHDRALISINEADNNGEINEIQRYRDARWVTPPEALWRIYGFDICHISPSVRQLQLHLPNMHMLAFDADKDLRDVLDKEDAGRSMLTAYFEANRQHVWARDILYRDFPMWFTWQTPGSTSFEDLRIVDGVVMPSFRAAAERKGLIEADNTLDECLTEAEVFQMPLSLRRLFATILVYCEPNDVRGLWDKHLDAMSDDYKRNNTCPHVVQQMVLIDIRGMLQSMGKEITSFPLPEIDESHDSTRGDPREIIEESSIGVETDDMNLSDQLNDEQRSAFNKIMNVVGSAQGGVFFVDGPGGTRKTFLYMG >ORGLA08G0002300.1 pep chromosome:AGI1.1:8:199948:200160:1 gene:ORGLA08G0002300 transcript:ORGLA08G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEIRADLYQGLMDSIQAGESRASAVGKRTVLPASFVGGGRNMKRRYMDAMALVQKYGKPDVFLTMTSNPK >ORGLA08G0002200.1 pep chromosome:AGI1.1:8:185826:187259:-1 gene:ORGLA08G0002200 transcript:ORGLA08G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDHRNVALAVDRVRVLSRRLVRPSSSSSSSGHAPPCDIHLTPWDLRFLSIDYIQKGVLLPKPPLSGDRLADALASSFARALALFYPFAGRLVAEERADDGTVTVALRCTGEGAEFVHAAAPGVAVSDVVSSLYTPPEVWSFYSYNLVLGADAATESRPVLSTQVTELADGVFVGMSLNHSVGDGTTFWKFMNAWSEINRRAGGAMSDDLMIREISTPAPVFRRWFVETSPVPIPMPVGKLQHIVRRLERPEVQECFFTFSATSARKLKAKANDEMSGAATATISSLQAVLAHLWRGVCRARRLPPEQVTFYTVMVGCRGRVNGIPAGYVGNALMFGKAEATAGEIEEKGLGWTAWQLNRAVASFDEAGMRESLERWVREPEFTYMSKLQSGDAGGVALITGSSPRFDVFGNDFGWGRPVAVRSGAGNKIDGKATVFEGPDGAGSMSLEVCIAPDALRRLVADDEFMDAVTLPSS >ORGLA08G0002100.1 pep chromosome:AGI1.1:8:178763:178999:1 gene:ORGLA08G0002100 transcript:ORGLA08G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLELMHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGTIDYVKFVSYTRGFPKVFRTLSMSLVRGFRLPTSCINRWGA >ORGLA08G0002000.1 pep chromosome:AGI1.1:8:164131:169686:-1 gene:ORGLA08G0002000 transcript:ORGLA08G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:I1QF19] MGSSELEETKAVLHMDCEKPPAISWERTFDDEGKKVAMFSMTLNDMMAIVPLMIKMLGLNLKDNAKGLASVYDPLKKWMDNCYRGVPLGGIGAGSIGKSYRGYFQQFQIFPSIYEEKPILANQFSAFISRPDGKRYSTVLSAPNADVLKGIDKAGIGSWDWKLKEKNCTYHGLFPRSWTVYNGEPDPEIKITCRQISPFIPHNYKESSFPVAVFTFTLHNSGSTPADVTLLFTWANSVGGKSELTGNHKNSRMTTADWRPPVTFAIASRETDGVRVTGCPRFTMGPSNSGDVTAKDMWDQINKNGSFVGDGNAAAAATGASRPGSSIGAAVAATTTVAAGGARAVSFALSWSCPEVKFPAGRTYHRRYTKFHGTDRDAAAERLAHDALLEHMKWESQIEEWQRPILQDKSLPEWYPITLFNELYYLNAGGTIWTDGQPPKNTSLSSATEPFNLDTFSTVANGGSAVDGILSTVAAAAARSNTAAAAMGTALLRDGEENVGQVLYLEGMEYNMWNTYDVHFYASFALLSLFPELELNLQRDFVRGVLLHDPCLRRTLDGATVARKVLGAVPHDMGLNDPWFEVNAYMLHDPVRWKDLNPKFVLQVYRDVVATGNAGFAEAAWPAVYLAMAYMDQFDRDGDGMVENEGRPDQTYDLWSVSGVSAYTGGLWVAALQAAAAMAGIVGDGAAEAYFRGRYHRARRVYTDELWNGGYFNYDNSGGATSSSIQADQLAGQWYARACGLEPIVDGDKARRALATVLDYNVMRVKGGAIGAVNGMRPDGAVDASSTQSKEVWPGVTYAVAAAMIHEGMPEAAFKTAKGIHDAGWDKHGFGYAFQTPESWTADGGYRALHYMRPLGVWAMQWALSPPVLHKEHRVAAVAASPEDAALGQEKFDKVASMLRLPEEQQHKGILRTLYDTLRQVLLPS >ORGLA08G0001900.1 pep chromosome:AGI1.1:8:156538:161702:1 gene:ORGLA08G0001900 transcript:ORGLA08G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDAAAPPPEVVAPVEEQTAPAVGASTLEEAEPAAAAAPAAEGEGEHKRKLEEVDAAPDANGGGEDAKRPRVEGDGEGVVQSNESSAKVDEPVATENSDAAPAEASVDAVNGKVPPTEDSQMGSGEKPAAEAAVTEAPPQEGGGAPGQETSRIINVPNNKVGVLIGKSGETIRNLQMNSGAKIQITKDAEADANAPTRSVELVGTLESIDKAERLIKNVIAEADAGGSPALIARGFGSGQSGSEQFEMLVPDNKVGLIIGKGGETIKTLQTRSGARIQLIPQHPPEGVTLTERTVRITGNKKQIEAAKDMIKQAMSQTFSRHGAQSGGYGQQNYRPQGHGAASQWGPRSQPQPGYGYPPRGPPPQNMPYSQPYGGYPQQPPPRGGMGWDQRQGPPPHASHHGGGYDYYKQGSQPYEGQPPNYPPGPGNYNSYGPSQGPNYGQPQYPQSAPPQNYGPGYGDPRYNAPAPNQQYYGQPPAGPQQGYPPQQDPYARPYGGPGQWAPRGAPAGDGTYQAPPPTSYGPPSQQPPAYGQTYGPTTGPDGYSQQSYPQQGAQAPATYGQSAPAGPGYAQQGTQQGGYAQYPQSQPAYGDQAAQNNANYGYQGAPADPNYGNAYPQAGYGSTPASGQAGYAAAPAAGQPGYGQPGYTQPPTNPPAYDQSAQPPAQSGYAAPPANPQPAVAKGVSPQPAGYGGQWTA >ORGLA08G0001800.1 pep chromosome:AGI1.1:8:145044:154631:-1 gene:ORGLA08G0001800 transcript:ORGLA08G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAARGALGDDASGASTSQAAPGAVDGGASPDSLRNTPSNIARLEDAIEHCAARRKYLARTKSPSDGEDVRWYFCKLPLADKALSASVPRTEIVGKGDYFRFSMRDSLALEASFLEREEALLAYWWREYAECSEGPKGSLVAADASDSKSLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGLDWIPLREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPDLHALFTGEDDTWEAWLVFDTGPKLGGNTIKLRRGFSSSGSAKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGEQSVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNQLYTKFIKRNPGYSGKVSIYGHSLGSVLSYDILCHQESSSAPFPVDYMNMEVSSDEGHIAKSPDTVTAHESVMKEQDTSSISGHSCADNVNDVVDEGSTRTGPSCTEDTTLPTCALENSPKLTTDALPTAVDGEQIEVEKQVDNHKIACSEEGDNSSVRAKDIDSCIISRSAEGVHADVPDKDTLISSLKEEVERLKARLAQLEQHNDLVTESSAESHQGKSATHAVNLSSGKNRIGQGSTSESYTPHIRYTKLNFKVDTFYAVGSPLGVFLSLRNVRIGIGRGQDYWQNENIVEEMPCCRQMFNIFHPFDPVAYRIEPLVCEDYISKRPVIVPYHRGGKRIHVGVQEFTEDIAARSQAVARQFKSLKVKAVAALLSLSRNDTDEDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEPPTDDPERMPIRLFYVRDPIAEETPLTFSDNSLVKEFSRKVRTYSRKSENDSSCESS >ORGLA08G0001700.1 pep chromosome:AGI1.1:8:140616:142183:-1 gene:ORGLA08G0001700 transcript:ORGLA08G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYLHTLQLCKEQQQDDASGSGMVMEPRSMEYIAALAAGNQARHLLDVASSAGGGGASSSSSSSPATAVALAIAAARTGGRLVCVRDDQQGLDGVRRHLRRLGLATSAVDFQLAPSPSAAVRRLRRVDFAVVDAGVERCGEVLGAVDVDPMGAIVVVTNVFQEERTSWSSRSGHGDGSRVCSYGQVVGKGRSMVLPIGHGGMEVTKLGLGRRVGGGGLIGAHLQWQRQQMKKLVSTPKRTFLVCDGSS >ORGLA08G0001600.1 pep chromosome:AGI1.1:8:136176:139645:1 gene:ORGLA08G0001600 transcript:ORGLA08G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1QF15] MENFSPRTLLNSILRITVLTSDGSTARPKPIQKYCQNVCDISSIVSPLIEDLCESPEEQLNEVLRELGTAINRASGLIGNWQQTTSKIYFIWQIESVISDIQGCSLQLCQLVNSLLPSLTGRACTCIEKLQDINYENMFDLVKESSLELVETDTTSPENLSRLSSSLSLSTNLELYMEAVSLENLRARAMRSENREEMDLADKMIPLVNYMHDHLLRETQLLSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRLGHSNLIPNYTVKALIANWCESHNIRLPDPMKSLKLNFPLAASALQDSSTTGSSPLHPTVAAKGNIPGSPEADLYMRSLNRASPPHSVVHQNSHAHVNRAGHEASIKQSSENANGSASDVSRLSLAGSETRESSLEERNAGSIGQTSEQSIEEAFQASNLDRDSHDRVGSSSVNGSLPNSGQLDAECDNGPSERTNYSSDASGEVTDGPSASSAPQREHLIPSRLADVRSRGQFVRRPSERGFPRIISSSSMDTRSDLSAIENQVRKLVDDLRSDSVDVQRSATSDIRLLAKHNMENRIIIANCGAINLLVGLLHSPDSKTQEHAVTALLNLSINDNNKIAIANADAVDPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIVQADAVKYLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQARGIPALVEVVELGSARGKENAAAALLQLCTNSSRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSARR >ORGLA08G0001500.1 pep chromosome:AGI1.1:8:129869:132154:-1 gene:ORGLA08G0001500 transcript:ORGLA08G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDGKAMLKSRGGGAAGGAGGASGDEEADYCPPTPRKEWWTTGLLVRLVTVAVIFMAGVVIGLSASANVSRYYYNSHTELFFPSNTFGACERADCAPTFKSFVHPPHLAHSMSDPELFWRASLVPVADDFPFQRVPKVAFLFMTRGPLPFAPLWDRFFRGHHGLYSVYVHTLPDYKLNVSKNSAFFARQIPSEEVSWGSITLVDAEKRLLANALLDFSNERFVLLSESCIPVFNFPTVYEYLINSAHSFVESYNIDTPQCAGRYNRRMAPHILPSQWRKGSEWFELNRELALRIIADNKYYSIFRKHCRPSCYPDEHYIPTYLHLFHGSLNANRTITWVDWSRGGPHPARYGASNISEEFIQAIRNNGTRCTYNSKPTSVCYLFARKFAPSALGPLMNLTSTILDF >ORGLA08G0001400.1 pep chromosome:AGI1.1:8:122515:123348:-1 gene:ORGLA08G0001400 transcript:ORGLA08G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRSRSVKLVSTRAKPLEVEIAEEDERMSSSADNTVYCCIAKGRKIIYCYNSKDGDPHMETTAALCLENAPSYHRHYIHTAGSRSYGYLMADGHTFFAIIDPSVGNVGALQFLERVREVFRTVNRSGFHDSLVPAVQRLVASLEKMPHATFVLEESVEKGEPSDSSSCTSSKVPLLGRSGSRKDKKKAKEKAASAAVCEDEQHGTRGVRIDVPPEEVGGMSLERSASQSRLRRQHSSRSLWVRHVKIIVVVDAIICILLFAAWLAVCKGFQCVSS >ORGLA08G0001300.1 pep chromosome:AGI1.1:8:118395:120842:1 gene:ORGLA08G0001300 transcript:ORGLA08G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRVRVTGLGRPDAGVSRTKKQQQNQGLPRQHQQQQEAGGGGRAGREHAHHLFDELLRRDTTSIFDLNSALSAVARESPAVSLSLFNRMPRADLCTYSIVIGCCSRAGHLDLAFAALGRVIRTGWTAQAITFSPLLKGLCHDKRTSDAMDIALRRMPALGCTPNAFSYNILLKGLCDENRSQQALHLLHTMMADDTTGGCPPDVVSYTTVINGLLREGQLDKAYCLFDEMLDQGMSPNCITYNCLLHGYCSSGKPKEAIGIFRKMCRDGVEPDVVTYNTLMDYLCKNGRSMEARKVFDSMVKKGHKPDSSIYGTLLHGYATEGYLVQMHQLLDAMVRNGTQPDHYIFNILIGAYAKHGMVDEAMLAFSKMRQQGLHPNIVTYGTVMDALCRVGKVDDAMSQFDRLISEGLTPNGVVFRTLIHGLCACDKWDKAEELAVEMIGRGICPDTIFFNTLLNHLCKEGMVTRAKNIFDLMVRVDVQRDVITYTTLIDGYCLDGKVDEATKLLEGMVLDEVKPNEVTYNTIINGYCKNGRIEDACSLFRQMASKGVNPGIVTYSTILHGLFQTRRIAAAKELYLWMIKCGIKLPIGTYNIILQGLCQNNCTDDALRMFHNLCLIDFHLQNRTFNIMIDALLKGGRHDEAKDLFASLLARGLVPNVVTYWLMMKSLIEQGLLEELDDLFLSLEKNGCTANSRMLNALVGKLLQKGEVRKAGVYLSKIDENNFSLEASTAESLVFLVSSGKYDQHINAIPEKYRPTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA08G0001200.1 pep chromosome:AGI1.1:8:112054:112416:1 gene:ORGLA08G0001200 transcript:ORGLA08G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAFNAFKSRVAVAWSPKLYITLVRGLPGTRRLHRRTLEAMRLRRCHRTVEHRTTPSLLGMLTQVKRLVVVETQEMYAARRQAEDDRRAPRPPLVVSHRPPAAATPTPTPTPTPTAGH >ORGLA08G0001100.1 pep chromosome:AGI1.1:8:102305:105374:-1 gene:ORGLA08G0001100 transcript:ORGLA08G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAFRCMSRKEHRGGAAVSRSKRMGSARSGRGGGGGKLTPAEEELLHRQALAMAIHQHLDAGGSMSRRIDAGGSMSRRIGPGSTSSRRRGDLPDSVTGAKPVQIVLENLETKKIVLVHGEGFGAWCWYKTISLLEEAGLDPIALDLTGSGIDNADTNSIATLADYSKPLIDYLNKLPENEKVILVGHSCGGASVSYALEQCPKKISKAIFLTATMVKDGQRPFDVFSEELASADVFLQESQLLIYGNGKDKPPTGLMFDKQQIKGLYFNTSPSKDTVLAAVSMRPIPLAPIMEKLSLTPENYGTVPRYFIQTLDDRMLSPDVQEKLVRENPPDGIFKIKGGDHCPFFSKPQSLNKILLEIAQIQAPTALLPGKAKTEAIEATEAKTEEEKTEKLS >ORGLA08G0001000.1 pep chromosome:AGI1.1:8:96338:100570:-1 gene:ORGLA08G0001000 transcript:ORGLA08G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;RNA binding [Source:Projected from Arabidopsis thaliana (AT2G02570) TAIR;Acc:AT2G02570] MEDLSVEELASNLSTYKEQLREVRKIIKEKNDDPGISEYLDMEKELQEVITLTEELLATANQSGNTQNDVGLSPPNYSSGLQSEALDDPSQSHEKFAVGTKVQAVWSEDGEWYNATIEELTENGYYVSFEGWGNKEEVDPANVRSLEEEAADALRQAEKEAEATKMAIKRKIEQAATSDFQMRSLPTKLRIDPNDPEDVKATKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTTKGKAKKVGFFSGRKKESIFKSPDDHRGKVGVTGSGKGLTEFQRREKHLHLKDGSSDALDEE >ORGLA08G0000900.1 pep chromosome:AGI1.1:8:79367:81880:1 gene:ORGLA08G0000900 transcript:ORGLA08G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSRHGALALTTSFLLAAVAVFLPGHAAARQFSTVAISNSPDATVVCALVRPKDVPDDVPGAKLRCTSMPTGEVMSYPSADVPYNAIAAGTDFLCGLMAPNGGHAAMRWWSFSDEAAANRSRPVGRRLYWGPSLRALSAGGPHVCGLSDAHDPTCWEWPGLSLPKGLDFSRIALGRDFLCGVVKGNASAMTCFGGGMAPPAFAGAASIVAVAAGHRHACAVDDDGGMSCWGEGYPDMRPGDLPADMKSMALGRNTTCILDGDDMVRCWGVEVPEEYRRASFVSIEADGDTVCGVLTTNFSVVCWGNERFHGRHLIFNDTMPGACATVGNCSSSCGFVPGSAALCGNGGGEGGEQLVVCQPCKLPLNASRLVVGNGTSKNAAAPTDSGKNRKAVKVAVTAAGVGVAALVVAGLVLYLAVVRKRGGKKNATVQLGESSTLTLCRDLEGAVIMPAPEASPPPPPPLGCEEFTVKELSRLTNGFAEERKIGSGSFGSVYRAKLPDGREVAIKRAERPRAASGGGRRRRRRYDAERAFRAELRLLSRVNHRNLVQLLGFCEERGERILVFEFMPHGALHDHLHGRVDGHSPLFASWEARLRVALDAARGVEYLHCYAVPPIIHRDIKPSNILLDGEWTAKVSDFGLSLASSSSSSATATPPAACSTSSTAGTVGYIDPEYYRLQELTQRSDVYSFGVVLLELVTGRKAIHRQEGGSGSPRNVIEFAVPAVEAGGVGRIMDGRVPAPRGNEAEAVSRVARIASECVRPRGRARPVMSEVVAELEWAVTLCEESVHRSSAAAQQQNSSRHGGSDVSRSESDDPSPFHTREFAGVGHGRSNSAM >ORGLA08G0000800.1 pep chromosome:AGI1.1:8:71687:73958:-1 gene:ORGLA08G0000800 transcript:ORGLA08G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEEKPKGKVAVEEEDEDQDDDDGAQLGNGGVILVLFETPTGFALFSYDGIKLLRPHALMHPVWLKEFQAFEDKASALSLDTGVSEQLAGMIRRFICPGQTLAVGKQEYVTIIQSNLGIKCLWNAEVMELMWGLNNLKEHLVPDGKSDLSKEDCLPMCEGMKFTLNKYGFGDLKPEMVTRSIIEATGLLYETDYHVRKHGESMRYAGKHLKKISGINAEDWDLLKLATAIMMLCYPNGEYKLVGNLPELFGDDYSKLVDDAPKYKGIFRKLSCLRAYAEMVRSRRIRSKAARRLDSLVTAAERIYDEAQQAQPGVIKQE >ORGLA08G0000700.1 pep chromosome:AGI1.1:8:59455:64078:1 gene:ORGLA08G0000700 transcript:ORGLA08G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKMGKKSKLKASITVDASPMSPSSPSTVDASSKSPSSPSTEENGTSPAHHQADNAPVIAPPPVPEPAPPEPEKPAVSTDVQNAKPKVYSRVRLKFKSAKVLETHQGPSEAKAPVDGGGGKPASAAPEASKQVAEKAIVSPDGQKDAQAAELSGSDKDKMARKVASINIKSVGLSSVEDKNQDRKADSVSEPLPSKQETVLENVESETALEPRSSQELEVKQATPERQRDDRELTAALEAIKKVMKMDAAEPFNTPVDPVALGIPDYFDIIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDAQENGGNDNTGDEDVKGSKGKSKQKRRRLGNDRHKNDCACAVCQVTRRKKEKDEILAVIENDTAAVNSNISDQQIMEVDSGINNAGSHDTTSSQEQPPQTDMYRRTVVADDSGTLIENHVKFFNNQPLPHDYEDEGSRPYFDEKEEVDYTDLISQEEHTSSQPNDGSEIAQHQHKEPTETSQEIEMEDYPIQKENQSFLQLCARLFPSNQSSVFRGRHSLFRQQQRQVSMKGSPLHAAITAIMKR >ORGLA08G0000600.1 pep chromosome:AGI1.1:8:52637:54317:1 gene:ORGLA08G0000600 transcript:ORGLA08G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1QF05] MKSSSVAPRLKQERQDDCKFQEGDVNSLELRLGISSDNGQISGGGAASPWLGVGVHPWSLAARQGKAALEQAHQRPNECAVQRENRAASSAQLVGWPPVRAFRKNLSTPKPADADDLMNKVKLCSDEGHGSRCAAQERRSSSTMFVKVNLEGYAVGRKIDLKAHRSYDSLSQALQSMFHGFLSDGIATRDNELQQMEEGSKKRYVLVYEDNEGDRMLVGDVPWELFIASVKRLYIAQDPRVHAKLR >ORGLA08G0000500.1 pep chromosome:AGI1.1:8:42668:45482:-1 gene:ORGLA08G0000500 transcript:ORGLA08G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenosine monophosphate kinase [Source:Projected from Arabidopsis thaliana (AT5G47840) TAIR;Acc:AT5G47840] MASSMAATATLSPPVLSAERPTVRGGLFLPPSPATSRSLRLQSARRCGISPATRKPRSLPRAAKVVVAVKADPLKVMIAGAPASGKGTQCELIKSKYGLVHISAGDLLRAEIAAGSENGKRAKEFMEKGQLVPDEIVVNMVKERLLQPDAQEKGWLLDGYPRSYSQAMALETLNIRPDIFILLDVPDELLVERVVGRRLDPVTGKIYHLKYSPPENEEIASRLTQRFDDTEEKVKLRLQTHYQNVESLLSIYEDVIVEVKGDALVDDVFAEIDKQLTSSLDKKTEMVASA >ORGLA08G0000400.1 pep chromosome:AGI1.1:8:39428:41824:1 gene:ORGLA08G0000400 transcript:ORGLA08G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G63620) TAIR;Acc:AT5G63620] MSITSASRRAGAAAFPILRRIGGAFRRPFSSEPDSASSPGYHVAGGPSFMRGAVFWEPGRPLTLEDFRMPRPKAGELLIKTKACGVCHSDLHVLKGELPFSSPCVVGHEITGEVVDHGTHTPAEIINRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGQTRLFLRSNGKPVYMYSMGGLAEYCVVPANALAVLPNSLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLQNARTLGATHTVNAAKEDAVEKIKEITDGRGVDVAVEALGKALTFSQCAKSVRDGGKAVMIGLAATNVMGEVDITRLVRRQVKIIGSYGARARQDLPQIVKLAESGAFNLKNTISRKCKFEEANSAYEDLDRGKIVGRAVVEIMS >ORGLA08G0000300.1 pep chromosome:AGI1.1:8:31923:38477:1 gene:ORGLA08G0000300 transcript:ORGLA08G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT1G22620) TAIR;Acc:AT1G22620] MTAEADDPTAAETLEKFRLYETRARFYLIGTSREKRWFQVLKIDRSERSELNISEDPVWYSQQEVKNLLQRISEGNRSTGGLTFVTKAYGIAGCIKFLESYYLILVTKRRQIGCICGHPIYCIDESQMITIPHSSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSAGMKEMPYENLFVWNTFLTQPIRSRCRNTLWTVALVHGHFKQVKLSIFGRELNVVLISRRSRHFAGTRYLKRGVNDHGKVANDVETEQIVFEEEAGSWKGRMSAVVQMRGSIPLFWSQEAGRLSPKPDIIVQRYDPTYEATKLHFDDVAQRYGHPIIILNLTKTFEKRPREMMLRREYFNAVGYLNQNVPEEKKLRFIHWDFHKFAKSKSANVLGVLGGVASEALDLTGFYYSGKPKVQKKRSTQLSRTTTARDGSIDIRASSGDLSRLSSNADSLGPTASQDIRKDDSKQELLGDGPCYQTGVLRTNCMDCLDRTNVAQYAYGLAALGRQLHAMGATNVSKIHPDSSIASALMDLYQSMGDALAHQYGGSAAHNTVFPERQGKWKATTQSREFLKSIRRYYSNAYTDGEKQDAINLFLGYFQPQDGKPALWELDSDYYLHVTTYGDDLISDSYHMTAPGESGAALSPGATLSPVPACKEDFSRMKLTSFDKLIERTCSSIRNVRLHCDADLKQSGTVGTSGMAPDAVEIQLRTPNWLFGQRKHAETVPTVKVIPVENANEGNGEDTNVSLCGELSWLSSADTCEEDNFRRYLAFTTADVENGWYGGTLIYDQDENSGAYKHYSEFCQGSVMDPLEHDPEKEMHYAEALSVDIDITDDATVEAEMQAALDDYQIIGSDLSIIPSCGSLAEDPSQLTRWIIGDDKLQVAQ >ORGLA08G0000200.1 pep chromosome:AGI1.1:8:26667:30293:1 gene:ORGLA08G0000200 transcript:ORGLA08G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS/GAT family protein [Source:Projected from Arabidopsis thaliana (AT5G63640) TAIR;Acc:AT5G63640] MASEMVKAATSEKLKEMDWAKNIEICELVAQDPGKAKDVIKPIKKYLGSRSKNTQLYAVMLLEMLMNNCGEPIHKQVIDNGLLPILVKIVKKKTELPVREKIFLLLDATQTSLGGVKGKFPQYYGAYYELVSAGVQFSNRPNVVVTQAQAPVPVPEPTIEPNNNSLSRRLDEGQKEVHAQPVSESSIIRKASSVMEVLKDVLDSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRDEVVVSQAIELNEELHKVLVRHDALLSVQPTTTVASTLKEEEEEDAESLYRRYVYSFFISSVVEGGRLLNFPHQHRLRKGKALSEDYTDDSIPSFRSIPEDKMRRPLTIEPSNTDKKLGALNIRSPYPEARPDVLIPPPPAKHAERERFFREKSMDANLLGHLRGLSLHSRDGSSSCSGSTDYGD >ORGLA08G0000100.1 pep chromosome:AGI1.1:8:18068:19799:-1 gene:ORGLA08G0000100 transcript:ORGLA08G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPCPGDDEDEQQQRQKRSSTMDLLCVSKHIIHVLDEIGPTLLVLRQDIQQNVQRLQDVLARDPSKYSSLTAIVTEEVEEGTSKKANSCTRAILWLASAVLRILPIRSINFSKHLLEGLLNTCDQSSLREIVEKAYITTLKPWHGWISSAAYRVAQKLIPEKEIFIALLMGNCQEFEVFAKDVKVLLSIVQPLIEEANAVLVKHNLDKLKST >ORGLA07G0219000.1 pep chromosome:AGI1.1:7:21776396:21777652:-1 gene:ORGLA07G0219000 transcript:ORGLA07G0219000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAPTSIPCELPLALDPSVSARPPDRPVASQSSARPPFPAASAAAAAPAAAPAVRSLVANSSGMDTRFDEDERTTAATRRRQSPSPSFAPSTSTATAVGLTRYGAVKSWGPRRELETGETSRCMEVLLLENPIDATKPSPLHVDEDKQGEVIGGTQQNAPESCVTERARLNLKSRSSAVGQSAGSPLKERCI >ORGLA07G0218900.1 pep chromosome:AGI1.1:7:21765962:21772029:1 gene:ORGLA07G0218900 transcript:ORGLA07G0218900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDTDTGAGLLELIVELGVVGVSLIDHKPRELLYLHLQKLFISYMTGYNSGTTSRFKLIIGHMQLDNQLPLSIMPVALATESMPDSNHPVFKANIAVSNVTSNGIQVYPHVYIRVTDQTWRLNIHEPIIWALVDFYSNLRFVSTNNISTVTEVDPEIRIELVDISEIRLKISLETAPTQRPRGVLGIWSPVLSAVGNALKIQVHLRKVMHRSRYMRKSSIIPAITNRIKRDLIHNPLHLIFSVDFLGVTKSTLSSLSKGFAELSTDGQFLQLRSKQVWSRRITGVGDGLVQGTEAFAQGLAFGVSGVLRKPVESARQYGAIGIAHGLGRAFVGFIVQPLSGAFDFFSLTVDGISASFMRCVDILNNKHAPQRIRDPRAIHRDGIIREYDKVQAAGQMALYLAEASRYFACTDLFREPSKYAWSDYYEDHFIVQNQKVALVTNKRVILLQCVDLDKMDKKPSKILWDVPWEDVLALELAKAGYQRPSHVIIHLKNFRRSENFVRLIKCNVDEEHEPQALLICSSIRKMWRSHQADMKVVPLKVPGGQHDVYFAFDEDMREFHSFARPLLSPRGAASNVEERLINDTVNFQNMWSSEQEIRSRCKLLSKQVADDGRVFSIWRPLCPSGYVSIGDIAHVGIHPPHVAAVYKNVGGNFALPLGYDLVWRNCGEDYRNPVSIWFPRPPEGYVALGCVAVSAFEEPPLDSAFCVSERFAEDAVYEEQIVWASSDAYPWGCYVYQVQSKSLQFMAMRRPKEECELKPKKVSESYAQQALERS >ORGLA07G0218800.1 pep chromosome:AGI1.1:7:21736265:21748072:1 gene:ORGLA07G0218800 transcript:ORGLA07G0218800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAHHNQTAGSALGVGVGDTNDAVPGAGGGGYSDPDGGTTSGVQPPPQVCWERFIQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNSIDLVLTEVVMPGVSGISLLSRIMNHHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESNNNSGSNDDDDDDGVSMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHLKSDICSNRWLPCTSNKNSKKQKETNDDFKGKDLEIGSPRNLNTAYQSSPNERSIKPTDRRNEYPLQNNSKEAAMENLEESSVRAADLIGSMAKNMDAQQAARAANAPNCSSKVPERKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVLRRSYLSAFTRYHTPVASNQGGTGFVGSCSPHDNSSEAMKTDSAYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKNVVTKPSTNKERVMSPSAVKANGHTSAFHPAQHWTSPANTTGKEKTDEVANNAAKRAQPGEVQSNLVQHPRPILHYVHFDVSRENGGSGAPQCGSSNVFDPPVEGHAGNYGVNGSNSGSNNGSNGQNGSTTAVNAERPNMEIANGTINKSGPGGGNGSGSGSGNDMYLKRFTQREHRVAAVTKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQAVQDQQQQGGGREAAADR >ORGLA07G0218700.1 pep chromosome:AGI1.1:7:21709937:21713960:-1 gene:ORGLA07G0218700 transcript:ORGLA07G0218700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF155) [Source:Projected from Arabidopsis thaliana (AT5G13610) TAIR;Acc:AT5G13610] DGAVXDSNLPEEPTEAPPPPPACPLXNPPNPRAVPFALGVPAPPPPHPAPPPPPPLLLRLRLSGSGPRERDRRMPPAPARALAARRARLRRRRLLPRAHSRGHAGGPKSPRSSQGLLPLHQHQPQEPPVPEFLQCHPPTSRATNYVVLRYYDVKGDPEGFKAGIIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDFIILRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEYLRDEYELTQRFGNLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIVQEQM >ORGLA07G0218600.1 pep chromosome:AGI1.1:7:21704263:21706191:-1 gene:ORGLA07G0218600 transcript:ORGLA07G0218600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYPTVSDEYLAAVGKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVSSRTGGPFGTMKNPGEQSHAANAGLDIAVRLLDPIKDQLPILSYADFYQLAGVVAVEVTGGPEVPFHPGRQDKPEPPPEGRLPDATQGSDHLRQVFSLQMGLSDKDIVALSGGHTLGRCHKERSGFEGAWTSNPLIFDNSYFTELVSGEKEGLLQLPSDKALMADPAFRPLVEKYAADEDAFFADYAEAHLKLSELGFAEE >ORGLA07G0218500.1 pep chromosome:AGI1.1:7:21700685:21703006:-1 gene:ORGLA07G0218500 transcript:ORGLA07G0218500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:I1QDJ3] MVSPSLRIPAAARVFDPAINTRCPPPHAVVMRMRSRSRRSIAASASPPGDASIRDPISLPRPLTSADLMEASGDGLKVAYQGCPGAYSEAAAKKAYPSCHTVPCEYFETAFQAVENWVADRAVLPLENSLGGSIHRNYDLLLRHRLHIVGEVRLAVRHCLLANRGVKIQNLRSAMSHPQALAQCEQTLTKLGIEHREAVDDTAGAAKLIAEQKLQDTGAVASSLAAQLYGLDILAENIQDDTDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALRKINLTKMESRPHKKKPLRIADDNCSAPLKHFDYLFYVDLEASMADPNAQNALANLKEFATFLRVLGSYPTDVSEA >ORGLA07G0218400.1 pep chromosome:AGI1.1:7:21696795:21698486:1 gene:ORGLA07G0218400 transcript:ORGLA07G0218400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPFDLNSAADPQTLAPPKRGRGRPRKNPPPPPPPATDPNPHPPSGAGAGAGAGAGACPFAPGDLVWGKKLSHPAWPGEVISAAPTGAQLLVSFFGDKALAWCDAAQLRPYEPYFPVAELYDGEADDFDAALDASLLEFERRVELALTAPGRIARPFLPRDFIALLHDLAAHRMGFSNRVHAAVAKAHLRAFDKFRGLPDPPEYTLHLGLPNVSAAAATPNNCNSYPPSRRRGRKRKEVEEEILDDSDEDWDPRKKGATDSDSEVDFDRKRVSKGGRGSGAPRGRPRGRPRKNNAGRPAHLKDEDEVIQETVEYQYPPATDMFLQLTSVAADPFNFKGYDSVPVILSFFSKYKDSEVPATYDDKELLQTLGGKKGRKNTARSLYPAAKEGDLEVADGHRGRRKSAGSIYSARKAEDSYWCDIIISDFDDGDTSSDYEGRKMKRLSQNRSFNKKMKQEVAPQDEASADSPAVKQADGPAALILHFSNAEAIPSVDDINSIFRMHGPIMEGATEINKKSKIARVVFSKSADAEQAYSSSGKYNAFGPALLRYDLKYLPMAPQVP >ORGLA07G0218300.1 pep chromosome:AGI1.1:7:21684622:21689999:-1 gene:ORGLA07G0218300 transcript:ORGLA07G0218300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:I1QDJ1] MASAGGCKKKTGNSRSRSPRSPVVLRRAMLHSSLCFLVGLLAGLAAPSDWPAAAGAAVFLRTLRASNVIFSRSSNRPQQPQLVVVVTTTEQSDDPERRAAGLTRTAHALRLVSPPLLWLVVEEAPAEKHAAPPTARLLRRTGVVHRHLLMKQGDDDFSMQISMRREQQRNVALRHIEDHRIAGVVLFGGLADIYDLRLLHHLRDIRTFGAWPVATVSAYERKVMVQGPLCINTSSSSVITRGWFDMDMEMAAGGERRAAADRPAPETLMEVGGFAFSSWMLWDPHRWDRFPLSDPDASQESVKFVQRVAVEEYNQSTTRGMPDSDCSQIMLWRIQTTL >ORGLA07G0218200.1 pep chromosome:AGI1.1:7:21679797:21680792:-1 gene:ORGLA07G0218200 transcript:ORGLA07G0218200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37130) TAIR;Acc:AT2G37130] MMRRSLVIVMVACSCATAIARGGGGGGLKLNFYSESERCPRAEEVVREEVRRLYEEHGNTAVSWLRALFHDCMVYSCDASLLLHTTTTTGVSEQSSHRSFGMRNFKYITAIKAAVERECPATVSCADILALAARDGVAMLGGPSVAMRTGRRDSRESYYGVVEQYIPNHNDSVSTVLSRFAAIGVDTEGAVALLGAHSVGRVHCFNLVGRLYPQVDGSMEAAYGEYLRGRCPTAAATEDTREVVYARNDRVTPMLIDNMYYRNLLAGRGLLLVDQQLASDARTAPYVRRMAADNDYFHQRFAAALLTMSENAPLTGAQGEVRKDCRFVNSS >ORGLA07G0218100.1 pep chromosome:AGI1.1:7:21674720:21676553:-1 gene:ORGLA07G0218100 transcript:ORGLA07G0218100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAANLAHTPPPPVPNLARAVGAPPGKRIEVVWERENTLLSFGRATAHAAVAFVEFNVQEYNDLTCRRQQFTGWRQNASDDKGAGNEFNSQGCDDEFVPETQQDVPIKEIGIGTMLHDWLQAISEYEKPSIDMATIEKAWADEKKAIANADYEGNPSKVNTSSEKKACVDEGKSISDAETDDEGVGMPEKKACIAEGKLISDAETDDEGVGVPRNLQMKDLHDIMSPTCLMTTMFISVQITVVFHMVARLVRSILLWKCP >ORGLA07G0218000.1 pep chromosome:AGI1.1:7:21671270:21671530:1 gene:ORGLA07G0218000 transcript:ORGLA07G0218000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEYEIPDLNLDLGVQEVLQDEGDGIPDLNLDPAVQGEYAFQYEDEELPDNQCFGAHEDEHPDPAMQAVELSNGRSAQEICHLNM >ORGLA07G0217900.1 pep chromosome:AGI1.1:7:21657929:21660503:-1 gene:ORGLA07G0217900 transcript:ORGLA07G0217900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:I1QDI7] MGTYKCCLIFKRRFRWNDAPPPDDVRALFANHSAGGGPHMAADGLRAYLQATGQDGDVDMERLVEQIRQLQGRGGRIPRVGRALPLLTVDDFHRFLFSHELNPPIRHGQGQVHHDMAAPLSHYFIYTGHNSYLTGNQLSSDCSDLPIIRALQRGVRVIELDMWPNSSKDDISILHGRTLTTPVSLLKCLLSIKQHAFEASPYPVIITLEDHLTPDLQDKAAKMVLEVFGDILYYPDKDHLKEFPSPQDLKGRVLLSTKPPKEYLQAKDGNAATIKEDAKAAATDDAAWGKEVPDIHSQIHSATKHDQREDDDDTDEDEDDEEEEQKMQQHLAPQYKHLITIKAGKPKGTLLDALQSDPEKVRRLSLSEQQLAKLADHHGTEIVRFTQRNLLRIYPKGTRVTSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMHGFYKANGGCGYVKKPDFLMQTDPEVFDPKKSLSPKKTLKVKVYMGDGWRMDFTQTHFDQYSPPDFYARVGIAGVPADSVMKRTRAIEDNWVPVWEEDFTFKLTVPEIALLRVEVHEYDMSEKDDFGGQTVLPVSELIPGIRAVALHDRKGIKLNNVKLLMRFEFE >ORGLA07G0217800.1 pep chromosome:AGI1.1:7:21643921:21654660:1 gene:ORGLA07G0217800 transcript:ORGLA07G0217800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G01400) TAIR;Acc:AT5G01400] MAVAAARDLPPTFHPNPSPLPSSMEPRLRQLRRVPLLDFVARIADLHADQASPVRKLVAEMIGEVGSKHMAYLPNVMPCLLHLLNDDTPAVARQAIKTGTTLFAKVLRQLVIQGLFSSGGIDDSLKLSWEALLKLKSAVSHMAFQPMSNEGARLLAIKFVEKTVLLYTPDPDTPPDPPNEVTEDMGFNVAWLRGGHPLLNVGDLAMEASQNLGLLLEQLKPPKVKSLSTSMIIVFVTSLSAIAQRRPSFYGRILPVLLSLDPASSIIKVQVPGAFHALKSAFAACLKCTHSSAEPWRARLLEAQNIINQADSIEHSSNRVESLPLETTSTDNSNKRNLIDDIDNVPEDGDRSNKRIRQSHHDQERTENVKNNVELTSADTPSSPSNSASTGNSEAVYQLVSMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSHPEVDQQQSPSAGQPSGAPSSSLLSACFPLLESLLKRINQNDREVDEAPQTIDSAVVPSAAGETAAIPAIPGPTSRNLPMEENSNSSSIPSDMETIEAKEPTADAARLSIEIQESSEASHASTELQGTQEHGGSFISSLPADNSSAGLSLAQSSETRSPSSSMVEASQTQFSYSSTLTSQHVLPKLVVTNIDLSDEAKDLLQKEAFLRILDCDKQDASGGSIARLPLLAHLGVEFPLELDPWELLQKHVLSDYVNNEGHELTLCILNRLYREAEQDHDFLSSRTATSVYESFLLTVAENLRDMFPASDKSLGKLLCEIPYLPEGVLKLLEGLCSPGSNEKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSIHHLDEVRMKAIRLVANKLFPMASISKRIEDFANEKLNSVLEVVPADESAASEMSTPEAPKDGGSENLSSSVADSQTLMSLYFALCTKKHSLLRHVFAIYGSLPQAAKQAVHRQVPILIRTIGSSPSLLGIISDPPADSRDLLMQVLQTLTDGAMPSQDLISSVKNLYSKTKDIEVLFAVLAHLPKDEVLPVFPSIVNLPLDKFQVALSRILQGSPQNGPSLDPSEILIAIHVIDPEKEGIPLKKVIDACAACFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGAFPALVDFVMDIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLVEHANQPNVRSTLPRSTLVVLGLAEDQQQPAPQAQSSQNQAAETSSSAADTTTEVTQESSAVS >ORGLA07G0217700.1 pep chromosome:AGI1.1:7:21640684:21643142:1 gene:ORGLA07G0217700 transcript:ORGLA07G0217700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLSGVAPLPLLPCRRRAIAFALPLGNVRLRLRVAAPTSRVATVEEDDNENNAPPPPCEDFDPGAAPPFGLADIRAAIPKHCWVKDPWRSMGYVVRDVVVVFALAAAAARLHSCLAWPLYWAAQGTMFWALFVLGHDCGHGSFSNNSRLNSVMGHILHSSILVPYHGWRISHRTHHQNHGHVDKDESWHPLPERLYRSLNRATRMLRFSIPFPMLAYPFYLWSRSPGKSGSHFHPSSDLFQPNERNDVLTSTACWVAMAALLAGLTFLTGPLLMLNLYFVPYWIFVMWLDFVTYLHHHGHNNKLPWYRGKEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKGVMGKYYREPDKSGPFPLHLFGALSRSLKRDHYVSDTGDVVYYQTDPAN >ORGLA07G0217600.1 pep chromosome:AGI1.1:7:21631743:21639766:1 gene:ORGLA07G0217600 transcript:ORGLA07G0217600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G05570) TAIR;Acc:AT5G05570] MKRLLHKALHQGEGGTHVDVAQMDAQIALHYGIPYTASLLAFDPVQRLLALATLDGRIKIFGGDNIEGLLISPNSLPYKFLQFIQNQGFLIAISNENEIQVWNLEFRQLFYSSKWNINITAFAVVEGTFLMYLGDENGLLSVLKYDVDDGKLQKMPYNVPIHSLAEAACVSLEDPQSIVGILPQPDTFGTRVLIAYEKGLLVLWDVSEDRAISVRGYGDLHMKNQITGAQRDAGEDEDNNISTEEEREICSLCWASQGGSTVAVGYITGDILLWDMTARSSKQDNRSDEPSNVVKLQLASGSRRLPVIVLHWSSGSADSNKGGHLFVYGGDDMGSEEVLTVLSLESTTGLESTRCMSRMDLRLDGSFADMILISDSGFPYKSRTSAVFILTNPGQLNFYDGGALFSVPKTEEGKAQIEAQKFPVTVPTTDPNITVTNLYSLNGRESQSIPLKKFVVKQNAAPFMQRNMKWPLTGGVPSEMSMNGNYTVERIYIAGYQDSSVRIWDATFPVLTPMFVLDGKVVGVNMDGENSAVSSLAFCSLNMTLAVGTTSGLIRIYKLREHTGGSSFHFVSESKQEVHVVQHGRGFHCHVAFLASNSPVRSLRFTASGEVLAVGYQNGQLASFNANQLSIMFTVDCASGTNSPVVSLSNYNVVTSAAKANEQQKESLQSAKSPANVLLSLTKDGHFTVHDSMNGLTINSCVLDQKQLSAISMYVIDGTSEEEQNQLSEDKFPSQGHIAKEESVLDKKQTHTVDKSQKNTRQPSHSGGSDSFLLVCCEDLVLLFSLPSLIQGSNKPLHRIKLAKHCCWSAVLTNIDGKACGFILVYQTGAIELRSLPELAILAESSLMSLSRWSYKAGMEKSMSSANGQIALVNGSELAIISLIASENAFRLPESMPCLHDKVLAAAAEAAINASMDQKRNQTPAGGILGGIIKGLKGKEENAKQKGSLSAQTMSEQLESIFLKESLVEPSIPDPDDPIEELSIDDIDIDDEIPLAPPPASSTSHVNKKTTAEEERAKLFEGSSNVEKPRMRTHQEILTKYKFGGDAAAAAAHAKDKLMQRQEKLERISQRTAELESGAENFASLAQELAKSMENKKWWKL >ORGLA07G0217500.1 pep chromosome:AGI1.1:7:21628709:21631388:-1 gene:ORGLA07G0217500 transcript:ORGLA07G0217500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHMDWQPVTTLGPNFSPELHSLLLSDHRASLLSLLRRQDDELRTKIKNHLLALGWTIASKPNPPGLAPRLRYVSPAGTKSYYSLRRLIQTIHLHHHPTQSQSQSQSDSCGCGDTPLLLEESDDDQYQEQQEDDAIAGYVAFMEEQNARRDRGQGNDEEQRSMAKELRIKAKDQLRSSGWTFSMKVKYNGREELRYTEPHGRCHISLITACKAYLLHHTPSTTMASCSNNNNKRPAPPAACKTATSSKKNKKKKASLQQARVLRPQPRNEEGNALTPARARTLLSLLIDKKILAPRDQLIYTTKRGLITGDGMVKCMCGGCINNNNKRRVAEYTVAEFAVHGDGDVASSSSRQPWARMFVGDGRSLSQCLVQLMMADDEAGSGRKKKKKKYLPYVWRGARVKRKWEEDDDYVCSVCHDCGELLMCDRCPSIFHHACVGLESTPQGDWFCPACTCAICGSSDLDDPPATTTTQGFSSDRMVISCEQCRREYHVGCMRERDNGLWYPEADGEGPWLCSEACSKIYLRLEELAVVQAPCRSVASGLSLVVLRRGAARDGEEEEHAKLCMALDVLHECFVTLIEPRTQTDLTADIVFNTESELRRLDFRGFYVVGLEKAGELIAVATLRVYGEEVAEVPLVGTRFARRRQGMCRLLMDEIQKLLGEMGVERLVLPAVPEMVATWTGPSFGFREMGQADRQDVAHHAILRFQGTIMCHKQLPPQPQLGHTTTTPAGRIPSPIPT >ORGLA07G0217400.1 pep chromosome:AGI1.1:7:21621986:21625579:-1 gene:ORGLA07G0217400 transcript:ORGLA07G0217400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQQRRKPPPAAAPVAAKQPSPRRTPGPLSFAGALLSLLVVATFLYINDHGNMMPPHASPDPDLRLLQEAAHQKVNSILLSRHAPAPPPRTNTNTSSSDQHLRLINIPMSSDLDLELGGNSTSSSGVEIQFEQQQQQQQEEKNLRGCELYKGRWVYDAAGREAPLYRESECGFLTEQVTCMRNGRRDDSYQRWRWQPEGCDLPSFDARALLERLRNKRMMFVGDSLNRNQWESMVCLVQSAIPYGQKTLTKFVNNGSLNVFRAHEYNATVEFYWAPFLVQSNSDDPQVHSVRDRVIAWRSIAKHAANWKGVHYLVFNTYIWWLNNFQIKVLKSRGAPFAGSGGWSSRYALVDRAIAYREVLKTWAKWVDRRIDPNKTHVFFMAMSPNHFMPEAWGGSAGAVKCAMETQPIVNRTSGGLDIGTDWRLHGVARGVLRSMRRVGVRFVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPRTYADCIHWCLPGLPDTWNHFLYAHIVAHAA >ORGLA07G0217300.1 pep chromosome:AGI1.1:7:21615019:21621180:1 gene:ORGLA07G0217300 transcript:ORGLA07G0217300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTYALHLAVATLLGASFAAASAYYMHRKTLDQLLRFARSLDRDHRRRNRHLLDADDDDDDDPPRDHDRRTTLPIPPGLPPLHTGREGKPIISPASTKRVGPLVRPTTPRSPVPTVSAFETIEDSDDDDENIAPDAKNNAVSLLTNGTIGSDPLPGKASQNGDTKPVPSTNMIRSQSATGSLHGAQHNPVAADILRKEPEHETFSRINITAVETPSPDEIEAYKVLQKCLELREKYMFREEVAPWEKEIITDPSTPKPNPNPFYYEQQTKTEHHFEMVDGVIHVYPNKDSKERIYPVADATTFFTDMHYILRVLAAGDIRTVCYKRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLFEVTVDPASHPQLHVFLQQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDIDHLAAAFLTSHNIAHGVNLKKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHRLKSHWIGRNYYKRGHDGNDIHQTNVPHIRIEFRHTIWKEEMELIHLRNVDIPAEIDR >ORGLA07G0217200.1 pep chromosome:AGI1.1:7:21605625:21613567:1 gene:ORGLA07G0217200 transcript:ORGLA07G0217200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGDVPTMYTVLVNSLSADEAVRRPAETALAQCEARPGFCSCLLEIISARGLACREDVRLLATVYFKNSISRYWRHRRDSYGISNEEKDHLRKNLLVNIREENSQIALQLAVLISKIARLDYPKEWPDLFSLLAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQRNYAEITSHLFEYTWNLWKSDVQTILQNLSMLSQRSDIDSILEQSNDLMLICDRWLLCLKIIRQLIFSGYASDSTTAQEVWQVREVCPTVLTAIQSLLPYYSSYKDKQAKLWDFAKRACTKLMKVLVTLQGRHPYSFVHQAVLPAIVDFCLNMITNPEQGGASFEEFLIQSMVFVKSVLECKEYKPSPTGRVINESSQPLSLEQRKKNFGAVASDMLKIVLPGDRVMLLCNILIRRYFIYTAKDLEEWSENPESFHHEQNLVQWTEKQRPCAEALFIVIFENYREQLAPVVVSILREAMALSPPLETDVTAGMLLKDAAYTAAGHVYYELSNYLSFNEWFHGSLSIEISNGHPNMRIIRRKIALLLGQWISEIKGDTRKLVYHALVGLLQDNDIAVRLAACSSLCYLFQESSFSELDLFECLPTCWTMCFKLTEDVQEFDSKVQVLNFISVLLEHAGDKVIPFASQLSHFFQKIWDESAGESLLQIQLLAALRTFIFSVGYQSPLSYHMLIPILQSGINVDSPDALNLLEDSVLLWETTLLNAPSIVSQLLDLFPYLVGIVTRSFDHLEVTINIIEDYIIFGGSEFLKRHGANLANILDTIVGNVNDKGLLTALPIIDLLIQLFPQEAPPLISSALQKLIFICLNQDDEHNPSRTTVRASAGAILARLLVMNTNFTGQLLSEPALLTSIQQTGISVNNNLLLSLVDMWIDKVDDANVIQQKEYAMALSVILTLHVPQVIDKLDDILSVCTTVIMGGREVKTEDDTSGDITSSSWLGNDNSGYSNTSKELRKRQVKDSDPIKQASLEDMLRDNLKACAALHGDATFNAAIGRIHPAAFAQLQQALNIA >ORGLA07G0217100.1 pep chromosome:AGI1.1:7:21599440:21601203:1 gene:ORGLA07G0217100 transcript:ORGLA07G0217100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LMESNGGGGGSPKEAAVVVPSSGDATLGGHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRVVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIGGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQPLTCYQAVVNNLDDAHDQIDRAISTAIRESKPVYISVSCNLPAVPHPTFSRDPVPYFLSPRLSNQASLHAALDATLAFLDKAVKPVLVAGPKLRVAKAGGAFVDLADASGYAVAAMPSAKGLVPETLPRFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSCLLKKEKAVVVQPDRVTIGNGPAFGCVMMRDFLSELAKRVRKNTTAFDNYKRIFVPEGQLPECEAGEALRVNVLFKHIQRMIGGAEIGAVMAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGALLGYAQAVQKRVVACIGDGSFQVTAQDVSTMLRCGQRSIIFLINNGGYTIEVEIHDGPYNVIKNWDYVGLVNAIHNGEGRCWATRVRCEEELEAAIATATGDKADSLCFIEVVAHKDDTSKELLEWGSRVSAANSRPPNPQ >ORGLA07G0217000.1 pep chromosome:AGI1.1:7:21594076:21596388:1 gene:ORGLA07G0217000 transcript:ORGLA07G0217000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLRLLLLLVLSSVPLSCQLAHSTAADTQNWGQTSVVHLRNAHTRKLLGLLDDISGRTGSLHALLLEESPKQAPPHHHNRHGGHHRAAHTPAPSPAPSPSPFTAPPKSASPAAITIPISPSTPQPKAESNPTVEDAPAQPRHSWRNYGLVTAGSAVFLVMTIASVIYCRAKKVGTVRPWATGLSGQLQRAFVTGVPSLKRSELEAACEDFSNIIGSTSSCMLYKGTLSSGVEIAVLTSSTESGKEWSKECESQYRKKITNLSKVSHKNFMNLLGYCEEENPFTRAMVFEYAPNGTLFEYLHVREAENLDWMARVRISMGIAYCLEHMHQLNPPVVPRNFDSTTIYLTDDFAAKVSDLDFWNDSKGSFNSATSDETVMVEIDSMVHQYGIILLEILTGRVPYSESDGPLEHWASGYFEGKMTLAELIDPSLGSFPEDAARALCDVARWCIEPEPSKRPLMSQVAGRMKEITSLGPEGATPKVSPLWWAELEIMSGQAT >ORGLA07G0216900.1 pep chromosome:AGI1.1:7:21588488:21592861:1 gene:ORGLA07G0216900 transcript:ORGLA07G0216900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRFLRRGLLSMLPTNKRAAGTDDDRPTDPKRPKVAQNGSTNGVVVPEIDEDLHSRQLAVYGRETMRRLFASHVLVSGLNGLGAEIAKNLALAGVKSVTLHDVKNVEMWDLSANFFLSENDIGKNRAAACVSKLQELNNAVLISALTEELTTDHLSKFQAVVFTDIGLDKAYEFDDYCHSHCPPISFIKAEVCGLFGTVFCDFGPEFTVLDVDGEDPHTGIIASISNDNPVLVSCVDDERLEFQDGDFVVFSEVHGMAELNDGKPRKVKNARPFSFCIEEDTTKYDMYIKGGIVTQIKEPKILRFKSLRDAMRDPGDFLLSDFSKFERSPVLHLAFQALDKFKKEYGRYPAPGCERDAQSFLKCAADINEALTDHKLDTIDEKLFRHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTYPLDSEDIKPSNSRYDAQISVFGSKLQKKLEEANTFVVGSGALGCEFLKNLALMGVSCSPKGKLTITDDDVIEKSNLSRQFLFRDWNIRQAKSTVAAAAASAINPNLCIDALQNRACPDTENVFHDTFWEGLDVVINALDNVNARMYMDMRCLYFQKALLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPGEVNSFLSNPAQYAAAMRKAGDAQARELLERVSECLGKERCSLFEDCIRWARLKFEDYFSNRVKQLTFTFPEDAATSTGAPFWSAPKRFPRPLQFSVSDPSHIHFIMSASILRAESFGIAIPDWAKNTSKLADAVSEVAVPQFEPKKGVSIVTDEKATSLSSASVDDASVIDDLLAKLEECAKRLPPGFQMKPIQFEKDDDTNFHMDLISGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGEHPIEDYRNTFANLALPLFSMAEPVPPKVMKHQDMSWTVWDRWSIKGNLTVAELLQWFSDKGLTAYSISCGTSLLYNNMFARHKERLNKKVVDVAREVAKVDVPEYRKHLDLVAACEDDDGNDIDIPLVSVYFR >ORGLA07G0216800.1 pep chromosome:AGI1.1:7:21585671:21587962:-1 gene:ORGLA07G0216800 transcript:ORGLA07G0216800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEAPFPEVETAVMIQVKHLAELGAYVSLLEYNNIEGMILYSELSRRRIRSIPSLIKVGRQEPAVVLRVDHDKGYIDLSKRRVSHHDRRTCEDRYSKSKFVHSIMRHLAETLHLDLEPLYHRIAWPLYRTYGHAFDAFKLIVADPDAAILDSLTYDLTETGPDGQEVTKTLPAVTPEIKDALIKNIRRRMTPQPHKIHADIDMKCFQYDGVLHIQEAMRKAEAAGNKDCPVKIKLVAAPLYVLTTETLDKHQGISVLNNAIKACGETIEKHKGKLVVKEAPRVVSEREDRLFMDDIEKLKIANEEVDGDEDSEEDTGMGDVDLTKTGVGSQ >ORGLA07G0216700.1 pep chromosome:AGI1.1:7:21575239:21584743:1 gene:ORGLA07G0216700 transcript:ORGLA07G0216700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLMDPPARSRRCTLLTRALLLAVAALALRLIYAAFLAGMALYPPLPAAAVLGSKTYLHSAVATPDAWRTRDWRKAVDYHATLLAPHLADGILSPTSRAVCLGAVQEALAMRELGVSTAVAVARKRSPPLAVAGNDRRLPFQDSSVDFVFAARALDSSKRPADLAAESARILKPDGHLVVLTTSAADAFSLRALQALLPSLRLLRSRQIKGPDDSTLRELVFQKIQDSTDDPVNKCTIGDHKLQLLTHAEPLIQEEPRKPWITLKRNIKNIKYLPTLADISFKRNYVYIDVGARSYGSSIGSWFRKHYPKQNHTFQVFAIEADPAFHSEYAAKKAVTLLPYAAWVKNETLNFEINADPGKEDEAKANGRGMGRIRPMAGKKMSGEVRSVPAFDFAEWLKRTVSEQDYVVMKMDVEGTEFDLIPRLFDTGAICLIDELFLECHYNRWQKCCPDRAEAFEMAKGSHASTGVFTFQTFEVFYDGSWHGVNCIRIRNGNLFVKFIYSGSTVEHNVDGDCLRLRSRRATCSDCSNVLKPGVDVCVQSSHTPEASSQGGTNASVLLRHDARLITIKKNHQEDKCLCLFVVILYKNQCPGNAEKVITDRRAEVVTINDIFLLQKLQPEIHEGSMKWSFSKDRLSLNKGRLISARFSSEITHLIVLSILRGMEFNIKLVEGQIVYQIIKGDQAQWNLDSMAIPPGFGNTMEIISFQLRDEALRPTITNIPITHVKKNNITEDMRFTVKSEMDSELDRALDVEILYEHVDLRRSKRLKTQPDRFTSYDTPRFLSGYKKKEASSSPTKHVRGAVHCDSPVDDSKKEVESCCVEIPGNVTQKQTGVHSPMVDEKSNSPEGQHKNTTKRTTCSLVKEKASSQEGQHEKTTKRTTCALPVKEKASSPEGQHKNTMKRTTCSLPVKEEPSSVEIEEKSSKEQSAPEFHIPRTPAQNKEKHNRPPFSCKPKLFTSSGTLGVNSEPAFCQKVGGKRKRHMCEREYKQMIDQCIGNIESEMERDSMFNFDANMMNYVQHSYREEDFTWPPSADNQEVEEDELEELWKEMDYSLTTLALLEQKQVMAQSRINMLVDNFDGLRLDCLTLTDDYRCYYQKKEKFAESGSVNESTDYFGKVGGIPCHHECILDEELGLACRLCNVVCTEAKDIFPEMFNGNDYKDRPGCSNICLDDDILDPSLLANLAPELSELKNSGNVWSAISDLDPKLLPHQRKALDFLWKNLAGSIQVEGMDNSNVSTGGCVIAHTPGSGKTLLLISFLVSYMKAHPRSRPLVLTPKAAIHTWKREFEKWGISLPLHVFHHANRSGKPLGAMDSKLRSLLNNFHRPTWTNMRLMDSLDKLFKWHAHPSVLLMTYSSFLGMTKQDSKVRNRYREFIAEVLMNNPGLLILDEGHNPRSNKSKLRKLLMKVKTEFRILLSGTAFQNNFEEYFNTLCLARPRFIGDIMSELVPERKRETVGRRAKHQEAVARRAFVEKVGQKIESDNKHIRSDGISLLNKLTRGFIDSFEGAKLINLPGIHVYTVFMKPTDIQEEMLAKVTMPKLGSSRFPLEVELLITIGSIHPWLIKTTKAVSTFFSPAEVKKVERYKRDFAAGCKAKFVIDLLHKSSFRGERVLIFCHNVSPITFLVKLIEMVFGWRLGEEVLVLQGDQELPVRSDVMDKFNGDSAGKRKVLIASTTACAEGISLTGASRLVMLDSEWNHSKTRQAIARAFRRGQERTVYVYLLVASGTWEEEKYNSNRRKAWMSKMVFLGRYVDDSSQNRVTDIDDEVLKELADEDHTGTFHMIVKQD >ORGLA07G0216600.1 pep chromosome:AGI1.1:7:21568312:21569016:-1 gene:ORGLA07G0216600 transcript:ORGLA07G0216600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1QDH4] MAMVASPDDIVKSPLPPPPPPPPPPLPPAHKDKAAYNPYSGRPAHGGDDGLDGIVLVLRAAAALLALVAMALVASCRHGDWMEFTRYQEYRYLLGVAVVASLYSALQAARTFRRMRAGTAYAATFLDFAGDQVGSGVLADNGVVGCSSDHHPNEISGGEHLHGRRRSLHQLRLPCLCRSRLLRLDRRLQALLLLLLRLQLL >ORGLA07G0216500.1 pep chromosome:AGI1.1:7:21562951:21563352:-1 gene:ORGLA07G0216500 transcript:ORGLA07G0216500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDKRALLAAENGHGGGGPVAVSFNTNAIVLLALLVCGLGAVALHVVLQCALRVMPPSREERMHEPRSATWPR >ORGLA07G0216400.1 pep chromosome:AGI1.1:7:21548461:21552416:-1 gene:ORGLA07G0216400 transcript:ORGLA07G0216400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGAPGDRKPGGDGDKKDRKFEPPAAPSRVGRKQRKQKGPEAAARLPNVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPTEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >ORGLA07G0216300.1 pep chromosome:AGI1.1:7:21543725:21547308:1 gene:ORGLA07G0216300 transcript:ORGLA07G0216300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGRGNDDDGGVVVVDGFVAILRQLGDLAQLAAEVFQGMHDQVMALSTRRRQLALRLNHLDHAAPPAVAAPQDSSSSFFCHKDYYLFVASNIDRVHWRANLILKQGLVAGGNNSLPTIIFDRIHRCRGPPNLSLLDKYDADGEGACLKRYTNPSFFTSHSACSTKLIHQRIHMAKQPPKLLLETKPTFQCSDSDNSRPQKASQCSDSMPKMDASHGFLSMFRQLKYRQTNGSPMPQMHNFQNETSSSELNISSNCSPESSIKVTQDIGASTTGTDSVSEERNLELERTSSFEAWLSPNAHNIQHDQIAEEMPHYSCNNNNGFVNHVTPNDAIGATNNGSCKDDSNTYKKAVRSKYRGGMEFIASRVSSFPRKLFRKKQDPHPLSVADSFRNMTSKILELKCNNIRDNDSNGMGSINREELLASENGEHPSPDAPFRHVSTESRYMHATRASSEDVPALAEVASDEKSKQEHSDDASEASYDKLLDEELHQSVVRQERNGSPVPQVCSTTRFSQLEREGPGKDMVPPLPPMQWLSSIKVHSGSRVASSPRLKTLRPQSPAVPNHAAGRIYSHPVRKQLETDNFQARGHFGILASHAEIAQTSASDIKSAADISIRNGICRYGFPGKDSEEINHQEKDIIQPSEGEILKTTEEVCEPTVQSDESPPEQHSEIQPQREEIHQTGNGDSDCNNKNNLRATTEEPIYSNGPQIDVHNSLDHPTDRESNTNVHVESVFFSAVEQLTKMNPPPVPRPKYSILQVGVQDRSTVRTAPGLIYPSRRLSGEIRKLPEQINAKSCDLKPALERGSNVTVDHRNTKVATILQRVDHIRQAHAENYDIDSEVSWSDSD >ORGLA07G0216200.1 pep chromosome:AGI1.1:7:21540475:21540906:1 gene:ORGLA07G0216200 transcript:ORGLA07G0216200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAGRDRSGLVPGKRRKTCARSPLPFLARTGSSGTRDSGRRRQAGHGRGGNGAAAWSETGESSERGRGGFYGVVMLVWEERKPTSSGIGARELRGEGTGSRARGQTAWAMARRQALLPVHGAGTEREKQRLAERGTGKTEGE >ORGLA07G0216100.1 pep chromosome:AGI1.1:7:21530071:21531114:-1 gene:ORGLA07G0216100 transcript:ORGLA07G0216100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51680) TAIR;Acc:AT3G51680] MTAVDLMPAADDDNNKQSSTGLLHHHQLPAAADNAILHNTRRLEGKVAIVTGGSRGIGEAIVRAFVHHGALVVVADIDDAGGHALAAALGPHACTYVHCDVAEEADVERAVATTLEQHGRLDVLCNNAGVLGRQTRGAKSIASLDAAEFARVLRVNALGAALGMKHAARAMVPRRSGSIVSVASVAGVLGGLGPHAYTASKHALVGLTKNAACELGEHGIRVNCISPFGVATPMLVNAWRQGQGGDHADEDQAAASEEKEVEKMEEMVRRLATLKGPTLRAGDIAEAAVFLASDESRYVSGHNLVVDGGVTTSRNVIGL >ORGLA07G0216000.1 pep chromosome:AGI1.1:7:21515216:21515515:1 gene:ORGLA07G0216000 transcript:ORGLA07G0216000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLTGCASPSSSSFRFRPLSVHALPASDVVIAEGITGRFHDSYWVHAWTVGPGPNGDRVITHLREYLNTDLTVTRLPPTRCLWQSRRPDLPALLLAL >ORGLA07G0215900.1 pep chromosome:AGI1.1:7:21512630:21512857:1 gene:ORGLA07G0215900 transcript:ORGLA07G0215900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISQASKEPLPSYGQHKDSLEETATCHRCQASPHPISEQFRLHQQKQSKSVSPTIRMKRTQEGEASPNTRYESF >ORGLA07G0215800.1 pep chromosome:AGI1.1:7:21503196:21512150:1 gene:ORGLA07G0215800 transcript:ORGLA07G0215800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-alanine--D-alanine ligase family [Source:Projected from Arabidopsis thaliana (AT3G08840) TAIR;Acc:AT3G08840] MLIPLAHLPRPPPLTASAIFRPRAPRLLASLSLATPPPPLLAASAFASLPLRALLSESQREVEMVGESEGRPLRVGLVCGGPSPERGISLNSARSVLDHIQGEDLLVTCYYIDCGMNAYSISPAQLYSNTPSDFDFKLESLAQGFQSLFDFAQHLAANVDIVFPVIHGKFGEDGGIQELLEKANVPFVGTPSKNCLLAFDKYNASLELNAQGFLTVPNFLVEKDKLAKSKLEEWFQSINLDKENGKVVVKPTSAGSSIGVVVAYGVNDAAEKAEGIISEGIDDKVIIEVFLEGGTEFTAIVVDVGTANNSEPVVLLPTEVELQSSSNIDIQEDTIFNYRRKYLPTRQVAYHTPPRFPSEVIDCIRQGVSILFRCLGLRDFARIDGWFLPTPVTSLPSAENTGKFGNTKYGAVLFTDINLMTGMEQTSFLFQQSSKVGFSHSRILRTVVQHACSRYPSLVPCSNAWTALSRKIQAAKQAEAIQKGTSKKKVFVIFGGDTSERQVSLMSGTNVWLNLQGFDDLDVTPCLLTSGNGYSSFHNQNMNGISRDVWTLPYSLVLRHTIEEVNAACIEAIDPERMELTSRLRDQVMNELGQSLSKYDWFAGFDIADKQPIKYSLQQWINHVKEAQAVVFIAVHGGIGEDGTIQSLLGSAGVPYTGPGQIASRTCMDKVATSLAVSHLASYGVHTIPKDLRATEEILKSSPDDIWNDLKAKLQTETVCVKPARDGCSTGVARLCCPKDLEVYANALERKLQRMPANCLSRAHGVIEMPVSPPESLIFEPFIETDEIIISTKSVDDSTRHLVWKGENKWLEVTVGVVGKRGEMLSLNPSITVKESGDILSLEEKFQGGTGINLTPPPSTIMSEEALQKCKSCIETLANALGLEGFSRIDAFVNVQNGEILLIEVNTVPGMTPSTVLIHQALTEKPPIYPHKFFRTLLDLAFARAN >ORGLA07G0215700.1 pep chromosome:AGI1.1:7:21498699:21500485:1 gene:ORGLA07G0215700 transcript:ORGLA07G0215700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1QDG5] MSKGAIIGASTVLVVAVVAAVCVVSFKNGGGGKEDGELSTSVKSVKAFCQPTDYQQTCEEELGKAAGNGASSPTDLAKAMFAVTSEKISKAISESSTLEELKNDKRTSGALQNCKELLEYAVDDLKTSFEKLGGFEMTNFHKAVDDLRTWLSAALTYQGTCLDGFLNTTTDAADKMKSALNSSQELTEDILAVVDQFSATLGSLNIGRRRLLADDGMPVWMSEGGRRQLLEAAGPEAGPVEFKPDVTVAADGSGDVKTIGEAVAKVPPKNKERYTIYVKAGTYKEYVSVGRPATNVNMIGDGIGKTIITGNKNFKMNLTTKDTATMEAIGNGFFMRGITVENTAGPENHQAVALRAQSDMAVFYQCEFDGYQDTLYPHAQRQFFRDCTVSGTIDFIFGNSQVVLQNCLLQPRKPMDNQVNIITAQGRREKRSAGGTVIHNCTVAPHPDLEKFTDKVKTYLARPWKEYSRTIFVQNEIGAVVDPVGWLEWNGNFALDTLYYAEVDNHGPGADMSKRAKWKGVQSLTYQDVQKEFTVEAFIQGQEFIPKFGVPYIPGLLPQTQQGRMH >ORGLA07G0215600.1 pep chromosome:AGI1.1:7:21496323:21497237:-1 gene:ORGLA07G0215600 transcript:ORGLA07G0215600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAERRGVGGRPRAAEEVAGERAEAEGGASKAAQPPTAASGGLVPEPLSQVHAKRFSPRFLVGLKCRLPPHSGQLASPSGGAPSKAEKPSGGKKKGGWLKNIKSVAISFIQDKDSSGNSKSTPSTTTSSAADATSSSSSSASSSERLKAHQSGKSCKELTGLYMCQEIMAHEGSSWSIKFSTNGRWLASAGKDHVVCIWLVVEASSQACLPNDSNSGPLPLHPPGATPADGTSSSSTPTLSKKSVKAKSGRDTLPEPLLRAVGLPEPRHGGPHMVLSHRRDEREDRDKRGEREDMWGPRGAHHF >ORGLA07G0215500.1 pep chromosome:AGI1.1:7:21493567:21495594:-1 gene:ORGLA07G0215500 transcript:ORGLA07G0215500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFIIANNPLARYAVLFAAAVFLVAAAQAQPTTPPPPPPPEMDCNGILLTYNLDRRDKIRPFVAAPDADAQPYSFRATATVLNSGTRALRSWTLRLTFHHREILVQLDGAVLTSGADLPYNTTADNATSFSGYPQTDLLTPIATAGDLTKIQATLNLVGTLFAGPPPYVPLPSALSLADPSYTCPPAINVSTSTLSTCCVLTPEAAANASAGDLEAGRATSYLPRGAGDLVITYDVLQAHETTYLAQVTLENDALLGRLDGWELSWEWLRGEFISSMRGAYPRQVGATDCVYGAQGAFYKGLDFSKVLNCDRKPAVLDLPPSRRGDEDIGGIDHCCRNGTMLPKSVDAAQSKSAFQMEVYKMPPDLNRTKLYAPANFRVSGASPLNPEYACGQPVRVTPTELPDPSGLASTTLALATWQVVCNMTAAPPSKPPSCCVTFSAFYNESVIPCRTCACGCPASPAAACSTTAPSMLLPPYALLMPFERRGREAVWWAGEKRLGVPRPMPCGDSCGVSINWHVATDYAGGWSARATLFNWEGADVAEWFLAVAMEKQAYDGFEQAFTFNATAMGNGTTMILMKGREGFQYLKRESNMSGVDYPVAGKQQSVLLFTKKRSGGGIDVVGGDGFPTRVLFNGHECAMPQRIPSSAHRRRLVLLWTTLLVFMSSILLVLSLL >ORGLA07G0215400.1 pep chromosome:AGI1.1:7:21487087:21491552:1 gene:ORGLA07G0215400 transcript:ORGLA07G0215400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFDPDSPFPMSGDRYGGGGGGGGGGGGGSGSGHRRDRYAEGGRRGSCSPHPQDRYGSGRRGGGSGRGGLVDGDRSGGGDRYGAGGGGGNGNGKGSRSRSAHPRDRYSGGGHLYGGGGGYTSDRGPPTCSHRYDGQSSKEHGDSFYSGGNSSKFADTPRNNQHQPLTSMRFILAGFGSPLKAECKQLINGMGGQVLTSLEYEIATHIVPMGGREGAAIVWELDGKRVYSKEWLDSLLYVRPKALEASSTGRTRNGSQALYPGERRVRRRINFDSYHDDGASLCSKHHDIFKCPFDKSTQTTLLQFAEKNRKNLIYAGPTPGSFDTHWSIVGSTEIKLKLRRAFRLIQALHKENISLCGKFGAENFFYDDDNNARIGNLMEDNLKYGADLSDKNLDYESFVKMVKKEVFVRTSIPNSLSEWLCLMSSGVKGFEYLLCHHDGLMEPRQSASSFMSLHDIFLEIETSDPAAYGSVLSDLRQYNYWKSKMPNNSFLKSTKEYMDKDGKQIEYKDDVKDLLRFLRNCRRHAAQFKEDEFPSIVDHFYPKLMCDFQKAMFKEVEHNSKEEVSMAYFSRLPAGCPCRGQLLTMDHRLEENASGGEVGPLGCWPGGLCLSRSIGCRGAISHVDQVKRQRHAGCRDLPAELAAKLMVLGIIDSITIFGI >ORGLA07G0215300.1 pep chromosome:AGI1.1:7:21481515:21482666:-1 gene:ORGLA07G0215300 transcript:ORGLA07G0215300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRKRQDRDEYDRCGGVKTAPAPEKRRKHLYLMLDDRDNAYRMHKIDVDALADSEEDDMLLLPEPALLQFGTDRHSGMCFFALGSSIFATRPPHTPALVYDTDTGGLTVGPPLPNKLCGGPNITMAMADNKTMYALYDYDTNYLNPHPMEAMSWEAVPCTERHLPRVKEWTWKSVPSQPPYGRLDEIVSYAVHPDQCTFFVSVKEAFCSRSDGGNKGTFSFDTKHCEWRWHGDWMLPFERQGYYDAELDAWVGLRLTDGRVCACRVASRSSSAPPEWKLLQEKLFCKDPQERQLMALSGIRPSLAYMQGSGRFCLLECVLREGVDWKHAFGDDAHGCLLRLTIFGLKYDHQGELHTSIHRTNASYIVSKHNSSFSPVAFWM >ORGLA07G0215200.1 pep chromosome:AGI1.1:7:21474900:21481030:1 gene:ORGLA07G0215200 transcript:ORGLA07G0215200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAKERRLPPALPLATLIGRELRAGGSERPSLRYGHAGFAKRGEDYFLVKPDCLRVPGDTSTAFSVFAVFDGHNGVSAAVYSKEHLLEHVMSALPPDIGRDDWLQALPRALVAGFVKADIDFQRKGEVSGTTATLVVVDGFTVTVASVGDSRCILDTQGGEVQLLTVDHRLEENAEERERVTASGGEVGRLNLFGGQEVGPLRCWPGGLCLSRSIGDMDVGEFIVPIPHVKQVKLSNIGGRLIIASDGIWDALPSEAAAKACRGLPAELAAKLVVKQALKKSGLKDDTTCVVVDIIPSDYRLTSPQLSPKRNQSKFKSLLFGRRSHSSIGKLGGKSASFGSVEELFEEGSAMLEERLGRNLSLKATSAPLRCAICQVDQEPFESMMTEKGGSYCSSPCAPWGGPYLCLECRKKKDAMEGKRSSHSTACR >ORGLA07G0215100.1 pep chromosome:AGI1.1:7:21464528:21468177:-1 gene:ORGLA07G0215100 transcript:ORGLA07G0215100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGGRVALDGGGRRRRKLDEEDDEEYVADDDEEEDEDEDEEEYQQAAAPSDDGEEGGDDEAEPEDESDADFVGDEEEEEEDLEDEDDLEEVKAPRPKRPPKGKPPPRSRRRRQEDDDDDYEEEEEEDADFDPDVDEDDEEEVDEDEEEFEQDDDDSDDFAPIRARKTSTKNHVAKRKPPPGRKKKKRKPSRVSKAKPKKPTSGRRRRKRWATDDDEEEEDDADFIVEDDQEEEEDDHRPKKKAKAARKTRDVTPEPDVEASAWPAVESDTSEFEFVTSDEEAADKEAPAAEPAKTKGKKGRKRWGSGSESSSDSDYVISEQELKDLEVSMPPDAALQSPATLPRRTFLSRRVGEKGKEPEEAWKQTCGICLSEEQRATIQGVLNCCAHYFCFACIMEWSKVESRCPLCKRRFTTITKSSMADLGLGSRKAVIRVEKRDQVYQPTEEEMRRWLDPYENVVCIECNRGGDDNLMLLCDICDSSAHTYCVGLGRQVPEGNWYCGGCRSGGEGPSAQDTVVHCRESNTNPANSSSGSFGSATPSGVFQRPPPINTQPSLQGFDLNLSPRETPDEDKREESHVSADAVSTPTGRHATLDRRRAFNRRIRILLFRPRVTPNGWQNPIQSDRTIPENEQNPQSTSTPTEVNPSCSRDSSMQNQQSSSSFVQPARGLIERTYGGGSNFQQTEGAKEQLIPIVKRNLKLMCAQSPLGQSDFKNVARRATHTILALSGIAHNEDFVVSTPHPLPSHCNHACDGQEPAFLMRTCCSSCFNSFVGGVVSYIAEMFT >ORGLA07G0215000.1 pep chromosome:AGI1.1:7:21462960:21463226:-1 gene:ORGLA07G0215000 transcript:ORGLA07G0215000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYRPYSGEYQYGNGNAVVPYGGGGERRMKAVCRWVPGAWWLSDPEMKRRRRVAGYKSYAVEGKVKASIRRGLRWIKAKCSHIVRR >ORGLA07G0214900.1 pep chromosome:AGI1.1:7:21454869:21462177:-1 gene:ORGLA07G0214900 transcript:ORGLA07G0214900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHGGQDADNRRLFAGVRFALLGFDPVSESQYRSEMVQRGGVDAGAYGAGCTHLIVCDLLYDNPICVAARKDGTKVVSEQWVDDSLDLGEMADADRVLYRPVRDFSGIPGSQSLRICLTGYQKNWRDDIMKMASLMGAEFSKSFDALKDTHLICYKFEGEKYKVAKRENTAKRANVNLVNHQWLEDCLMAWKILPADDYTKSGWEIEIMAAQAKDSEDDEEEAGRSSSHSKRATRSARTKEIRMATLVDPHSQAPTRDPTISSCSAEITAGGHMSTPERITKAGGSTSRSLNIKSDIRNTPISADSDAYESAHFPLNGKEEAPAAQVHRAEAKDDAKMAVDASPGAHCISNIAGTTVCSDHHVHQSTTAPAMLVDKTETIGGNCLDSSNQNNVNIALWSTPSKETFSEKTLRPSGISGNVGQKDGGSTPDLNTAVDQSNTERELTLCEANLRLTGNAASKKNSQVLSYNRRRCQKSVSPEANLKPTGSPQSFEGVTPRVDFTISPSMKSDHKISDITDAESLRDVEIVKKVNKSSGALAQKRASKLSSISMKPSVSSETGTANSPFSSRESASEAATFSDPSRNSAESVILTAKEKSGPSKSNLLSYRRALKLARPVEGEKLSENSTKSKKSLRENTLALHEVDKGGSSSENGLRSEKGCAANSSADSEVDKRSSSSSLQNRDTEMSDVPQVDKTEVVAPNTDFAIVVSHQNMEVVPKAIQVTATISECETFPQEEPTSKVKNASVKRFGNASNKAATRSVKNKDEIVSFKSDGDKVVSRDNVEVQPEKNHARPNGAECTVFIPEEIPNSKANNAATNNSRDALQMNTALALSKTELAEKNPGSASADEYRKSSCEKVSQTADVEMPDAPIVDSTGAMFSKSGFKEVFPPENAGSCPKRLSTNTNMGGPETCTPRIVPNNRVRKAAAKRKVSAVQQNSFGDVPCKNSSAVVSEFKFVYKRASEGPRNGSKKTMDQNLQSSNEDEARDAGVSFSEDDMRDRSKILQNSQARSSKRQKAADLMDGSTDHDKENLPGNHNISKSKYGNSCTSLNSFIQAAGSGKDRLADRGVVEENDCGMLTVLEPRLFILSGHRLLRKEYKLILRRLKGKVCRDSHQWSFQATHFISPELRRTEKFFAAAAAGRWILKSDYLTACNEAGKFLEEEPFEWHGNGLNNGDTISLDAPRKWRQLRQHTGHGAFYGMQIIIYGECISPSLDTLKRAVRAGDGTILATSPPYTRFLKKPDHVDFAVVSAGTPSADAWVEEFKRQGIPCISADYLVEYICKPGQSLNKHVLFNMHDLADKSHAKLLKGQLDDVLGEATEAMQLNQLVRLVDPTTGKED >ORGLA07G0214800.1 pep chromosome:AGI1.1:7:21450137:21452074:1 gene:ORGLA07G0214800 transcript:ORGLA07G0214800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPDRRRNWLRGHRKQIIGDYLAEARAALAAAAAAPLDGEGGEHSAATAALGLVEAVLEMSPRMEAALELRARSLLALRRYRAVADMLRDYIPSCTKPCSADDTSSSSSSSSSSSSSCSSASRTDLLSPARDRFDAASAASRFLCCFDISDLKHRVLAGFSKNTSADTQWRYLVLGQACFHLGLMEDAAALLQTGRRLASAAFRRESVCWSEDSFSPSNLTANAISAPASRRASKSGAAGSEAESVSQLLAHVKLLLRRRAAAVAALDADLPAEAVRHFSKVLDARRGVLPHPFATACLVGRAEALRSSGRAADAIADCNRALALDPAFIPALRSRADLLESVGALSDCLRDLEHLKLLYDAALRDGKLPGPTWRPQGGVRFSEIAGAHRALTPRIQQLRGRVAGGEACSVDYYALLGVRRGCTRSELERAHLLLTLKLRPDRCASFAERLELVDEHRDLDAVRDQARMSALSLYRMLQKGYSFIMSVVQDEEAAERQRAKDAAAATAAAALAREQEETAAVPEKAKISSVSVPSTNVQVQVTQAAAMPTAAMAAAAAMGSPVFQGVFCRDMAVVGTLLSRGGFDRPIPVKCEAMSC >ORGLA07G0214700.1 pep chromosome:AGI1.1:7:21433911:21434942:1 gene:ORGLA07G0214700 transcript:ORGLA07G0214700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEVEAVTMAKEEQPEEEEVIEKLVEKITGLAAAIGKLPSLSPSPEVNALFTELVMTCIPPSSVDVEQLGAEAQDMRGRLIRLCADAEGHLEAHYSDVLAAHDNPLDHLALFPYFNNYIQLAQLEYALLARHLPAAPPPSRLAFLGSGPLPLSSLVLAARHLPAASFHNYDICADANRRASRLVRADRDLSARMAFHTSDVAHVTTDLAAYDVVFLAALVGMAAEEKARMVEHLGKHMAPGAALVVRSAHGARGFLYPVVDPEEIRRGGFDVLAVHHPEGEVINSVIIARKPPVAAPALEGGDAHAHGHGAVVSRPCQRCEMEARAHQKMEDMSAMEKLPSS >ORGLA07G0214600.1 pep chromosome:AGI1.1:7:21414449:21418186:1 gene:ORGLA07G0214600 transcript:ORGLA07G0214600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITHANSITTEPSPSPRPPLLRLLPQPRRRRHAHAKHIALSTNCKCASAASASVEEDDVAGGLGYRHPPKQIQEILDVPPNPSYLISPRRDRIMFLQRTAMPPLSELTKPEIVLSSIRIDPGSNMRSRMSFYTGIIVHDLMDSGTLGPAKEVHGYADGAKINFVTWSPDGQHMAFTVRYEDKVDNSVNLSLWVANAESGEARPLCISEDIRLNAIFELFVWVNDSTVLVCTIPSSRGCPPKKPLVPFGPRIRSNEHKNVVQMRATKEMLKDLHEEELFDYYATSQLILLSLDGTVKPIAPPAIYTALDPSPDEKYLMLTSVHRPYSSTVSYKRFPKKVELWTVDGTFIREVCDLPLAEDIPITSGSVRKGKRLISWRPDMPSTLYWVEAQDGGDANVEVSPRDIVYMELADPLNGEKPQVLLKLDLRYRRISWCNGSQALVYEHWYRTRRTRTWVISPDCKDVSPRVLFERSSEDAYSNPGSPMMCRTPAGTFVIAKIKTNYEGTYILLKGKGATPKGSIPFLDLLNINTGVKERIWESSKEKYYESVLALMSYNPKCEIQLNHLKLLVSKESRMEPTQYYIKAWPDKTQVQITNYSHPYPQLALLQKEVIRYQRVDGVKLTATLYLPPGYDPSKDEPLPCLIWSYPGEFKSREAAGQVRRSPNKFARIRSNFPLLWLARGFAILADPTIPIIGERDQEANDR >ORGLA07G0214500.1 pep chromosome:AGI1.1:7:21403374:21413397:-1 gene:ORGLA07G0214500 transcript:ORGLA07G0214500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1QDF3] MLRAAAAAAAVFPSRFAAAPAVAAVEEVRSPLLRVLGALRGGRVSTLGRRARSCSNSAGSDSEAAAAEAKAEDAVAAEGEADGKASSAIVPTVLRPEDCLSVIALPLPHRPLFPGFYMPIYVKDQKLLQALVENRKRSIPYAGAFLVKDEEGTDPNIVTSSDSDKSIDDLKGKELLQRLNEVGTLAQITSIQGDQVVLLGHRRLKITEMVQEDPLTVKVDHLKEKPYDKDDDVIKATSFEVISTLREVLKASSLWKDHVQTYTQHMGDFNYPRLADFGAAISGANKFLCQEVLEELDVYKRLKLTLELVKKEMEISKLQQSIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIEAKKEKCPAHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQQILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIIAIAGYITDEKMHIARDYLEKNTREACGIKPEQAEVTDAALLALIESYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPTQEAAIVTASEEPNGGDSANKLKDETMEDPATENAAMTNADTASKEASELDLLKRTVDHDGNKDMEGAKEESADKAVEKVVIDSSNLGDYVGKPVFQAERIYEQTPVGVVMGLAWTAMGGSTLYIETTKVEEGDGKGALVLTGQLGDVMKESAQIAHTVGRAILLDKEPENLFFANSKVHLHVPAGSTPKDGPSAGCTMITSMLSLAMGKPVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSAVKTIVFPAANKRDFDELAPNVKEGLEVHFVDTYNEIFDIAFQSETQTETS >ORGLA07G0214400.1 pep chromosome:AGI1.1:7:21399875:21402262:-1 gene:ORGLA07G0214400 transcript:ORGLA07G0214400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWWPLLVLAAAYALCRILLFLIPPTVPSIDVDASDVHVPIGLKKNTSWYCLVYYGYLTIPPRKGKRAQTDKVQCYEPATTKYLGYFPALTPDEVKEHVAQARKAQKIWAKISSKQRCQFLGILLKYILEHQDLICEISSRDTGKTMVDASLGEIMTTCEKSPGFWMRVKGKTIAARRSAVKTIIFPAANKRDFDELAPNVQ >ORGLA07G0214300.1 pep chromosome:AGI1.1:7:21392839:21393951:-1 gene:ORGLA07G0214300 transcript:ORGLA07G0214300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSKPLLVFKFAEGNDNEPPTLMDPFSQHNSNTNNPSAWRHQMLELKGKQCLACLQGQWLLMLDAASSNHCFLVSPLDDMTIISLPPLDTPLEPLRRCAISSSPLSPDCTIVFSTFMDTYLAYTRPGEDDWWQLDTDDDDDDELLLMGDIVSCQGKMYVPTDMSSIAMLDVSSYPPHIERRGIPEPSCIHSMANAMLVESQGEVFLLRHYGYGARDSELLDIDLHRLVHATDDGGDYVWRKVDTIGDRAIFVADNCVVMSDATKAGIRPDCVYLLHQRCRHGVRLYTIRLDDRTTTFTLLPDLTSNDSIYWLLPAPISSIVDDTTNIIQAPLYIQAAKSIRKMAMVSLSSTSPPNKHHHAGVVFLLTW >ORGLA07G0214200.1 pep chromosome:AGI1.1:7:21383271:21388836:-1 gene:ORGLA07G0214200 transcript:ORGLA07G0214200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWWPLLVLAAAYALCRILLFLIPPTVPSIDVDASDVLEDANQNKEDSYIYIPPRKGKGAQTDKVQCYEPATMKYLGYFPALTPDEVKEHVAQARKAQKIWAKSSFKQRRQFLRILLKYILEHQDLICEISSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKWLKPEYRSCGRSMLHKKAKVEFYPLGVIGAIVSWNYPFHNVFNPMLAAIFSGNAAVIKVSEHASWSGCFYFRIIQAALAAVGAPDNLVHIITGFAETGQALVSSVDKIIFVGSPGVGRMIMNRASDTLIPVTLELGGKDAFIVCEDVDLPSVVQVAVRAALQSSGQNCAGAERFYVHKDIYSTFVSQVVKIIKSISVGPPLSGRYDMGAICMIEHSEKLQNLVNDAVDKGAEIAGRGSFGHLGEDAVDQFFPPTVLVNVNHTMKIMQEEAFGPILPIMKFNSDEEVVKLANDSKYGLGCAVFSGNQKRAIKIASQLHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKAVVEDRWWPYVKTMIPKPIQYPVSENGFEFQELLVETLYGLSVWDRLRSLVNLLKMISEQNNSPANTRKKSR >ORGLA07G0214100.1 pep chromosome:AGI1.1:7:21371922:21372727:-1 gene:ORGLA07G0214100 transcript:ORGLA07G0214100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTSTAPHDRKLDPAASPAARWRTRREQESYSSKLLDALRLVRAASGRPSPASSREVRHAADRALAVAARGRSRWSRAILASRARAXXXXXXXXXXXXXPPAARPAPRSRPPLASKAKVLGRLVPGCRKLAFPALLAEASDYIAALEMQVRAMAALAQALQSVAPAPPPPSSSS >ORGLA07G0214000.1 pep chromosome:AGI1.1:7:21365329:21369613:1 gene:ORGLA07G0214000 transcript:ORGLA07G0214000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:poly(A) polymerase 3 [Source:Projected from Arabidopsis thaliana (AT3G06560) TAIR;Acc:AT3G06560] MAPILLHLHPAFLAQMDSRRTTSLLQDEGGIPSPEADKKREQVIRKLSKIVMDWAKVVAYEQRVPPRRATATVLTYGSYTLGAHGPESDIDALCVGPCIATLQYHFFIVLRQILEDRPEVSELQTVESAKVPLMRFRFSGISVDFTYAQLPAIITYNPHLLQKLDSRSWRSLSGVRVNEQIVQLVPNAQKFQILLRCIKLWAKRRGIHCHLLGFFAGIHLAILAAYVCQRYPYGTINGLFTIFFDIFAHWNWQIPVSLHGQPTNCRRPNGSFMPILLPCTPPEFCTSNMTKGTFKKIREELMRGYALTKEPWRHDFEWVWLFAPFPYATKYEEFLRIALCAPTSEELRDWAGWVKSRFRNLILKLESIGVECDPDSTEEVDHTVFEPSIVCHWGLIYKTSTHIDISSLGEDFMKDVINDVYGKVKGTHSKLTMSIVRSSQLPKSLYSHSHSVYTPYIPQYMLGYQTPTDYSGAAG >ORGLA07G0213900.1 pep chromosome:AGI1.1:7:21359363:21361815:-1 gene:ORGLA07G0213900 transcript:ORGLA07G0213900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1QDE7] MVEKVWSDDTTSQLEATIQFRRLLSDEKNPTVIKIIRADVLPRFSDFLSRHEHPQLQMEAAWVLTNIAASDYTLLVAECGAVPRLVQLLESANANIRHQAIWALGNIAADVPTCREIVLDHGAVTPLLAQFREGMKVPVLRTATWALSNLCFGKLPAEVQVKPILDIISQLIHSVDEKILGDACWALCYICDGVSDGIQHVLDAGACPQLVNLLMHASANILLPVITVLARISSGDDAQVQVLVENDILNYLAPLLARNYPKSIKKQACLIVSNISTGSKDNIQAVIDADVISPLIFLLKTSEKDIKEEAAWAISNAASGGSNDQIQYLVSRGCLEPLCNVLTYQDADLVYACLEGLQNILQAGAVGKQGQGSTVNPYAQFILECGGLDKLEDLQEVDNDAIYKLVMKLLEGYWDEEVSDDDPNLPTSNDSAETVETASEDAAQPTEPSASPNESE >ORGLA07G0213800.1 pep chromosome:AGI1.1:7:21352847:21353826:1 gene:ORGLA07G0213800 transcript:ORGLA07G0213800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAREVSSEEEAGGGDELRRGPWTVEEDLLLVNYIAAHGEGRWNALARCAGLKRTGKSCRLRWLNYLRPDVRRGNMTAEEQLLILELHGRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKHLNCDVNSQQFKDLMRYLWMPRLLERIHRSSQSQSHDADDADLSVSAATSCITSDLVVDAHHPPLAMADSNDSAAMWQQHQAPHMSVAGAPPPTTTMAQHVVLPTAAASCHQMQDQFVCARAAETTTCCWSESESLPGLAGLYYDDAAAALPEFDVETMAMWGPEDDPWYTQMLGL >ORGLA07G0213700.1 pep chromosome:AGI1.1:7:21347098:21349584:-1 gene:ORGLA07G0213700 transcript:ORGLA07G0213700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRVRAKPRSMDRVGDEQCLIVGALAILACGSVFVGSKGFSSVSFKKRRTKKNEKDGCETGLVVKATTKFIFVWNFTIVLSARLVITGNTAKLEGDKRVWPPMMVLKLIGHQQPVACSSSFSSMLLYYLSLDLSGFHAILGHYKRGFSSPLNNEWHNP >ORGLA07G0213600.1 pep chromosome:AGI1.1:7:21333458:21335455:-1 gene:ORGLA07G0213600 transcript:ORGLA07G0213600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13040) TAIR;Acc:AT1G13040] MFLATRPSGSSSLITRLCVLHTVSWIVSSRQVARFITGVDNANPGAHCRLSELFRPVRTETSCVIIGRALECGRWSESVELELEGLHVELDPFVVNKVLRGLSDSGMAVRFYWWAESRPGFYHNNFAIAYIISLLFVDDNFALLSEFLGRVRSQGVAFHRSLYRVLLAGYARAGKFDSVIETFDEMVTSGCREFGVDYNRFIGVMIKNCCFDLVEKYYNMALAKGFCLTPFTYSRWITALCQSNRIELVEELLTDMDKFGCFPDFWACNIYVHYLCGHNRLYDALQMVEKMTMKGTGPDVITYTTVVSCLCDHRRFSEAVGLWEEMVRRGLKPDVVACGALIFGLCKNQKVDEAFELASRMLTLDIQLNVSIYNALISGFWRAGSIEKAYKTVSFMQRNGCEPDVVTYNILLNHYCSIGMTDKAENLIRKMEMSGVNPDRYSYNILLKGLCKAHQLDKAFAFVSDHMEVGGFCDIVSCNILIDAFCRAKKVNSALNLFKEMGYKGIQADAVTYGILINGLFGIGYSNLAEELFDQMLNTKIVPNVNVYNIMLHNLCKVGHFKHAQKIFWQMTQKEVSPDTVTFNTLIYWLGKSSRAVEALDLFKEMRTKGVEPDNLTFRYIISGLLDEGKATLAYEIWEYMMENGIILDRDVSERLISVLKLKNN >ORGLA07G0213500.1 pep chromosome:AGI1.1:7:21328683:21329648:1 gene:ORGLA07G0213500 transcript:ORGLA07G0213500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVTNGVIHASSREPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSISVLQRAVSTWNKEHNIKFSINFGDIIDGYCPKDKSLWAVQKVLDEFEKFDGPTYHMFGNHCLYNLPRGKLVSLLKMPTDSDRAYYDFSPCPEYRFVVLDAYDFSALGWPRDHPVTAEAMKFLEEKNPNSDKNSPDGLVGVDRRFVMFNGGVGKEQLSWLNDVLQDASARRQNVILCSHLPMDPGSASFAALMWNYDEVMAIVRQYKCVKACFAGHDHKGGHSVDSHGVHHRTLEAALECPPGTSAFGHIEVYPDKLLLVGSDKMADTEMCFEP >ORGLA07G0213400.1 pep chromosome:AGI1.1:7:21322918:21324002:-1 gene:ORGLA07G0213400 transcript:ORGLA07G0213400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QDE2] MMGPNVSSEKKALAAAKRRAYVTFLAGDGDYWKGVVGLAKGLRRVRSAYPLVVAVLPDVPGEHRRKLVEQGCVVREIQPVYPPESQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFDNIDHLFDLDKGAFYAVKDCFCEKTWSHTPQYDIGYCQQRADEVAWPERELGPPPPLYFNAGMFVHEPGLGTAKDLLDALVVTPPTPFAEQDFLNMFFREQYKPIPNVYNLVLAMLWRHPENVDLDQVKVVHYCAAGSKPWRFTGKEENMDREDIKMLVKRWWDIYNDESLDYKEEEDNADEASQPMRTALAEAGAVKYFPAPSAA >ORGLA07G0213300.1 pep chromosome:AGI1.1:7:21316771:21318994:1 gene:ORGLA07G0213300 transcript:ORGLA07G0213300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSIVVDNDDKNHQLENGHSGAVMASNSSDRSDRSDKLMDQKTMRRLAQNREAARKSRLRKKAYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGALTFDLEYTRWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEVFKVKGVAAKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQLLGLNNLQESSQQAEDALSQGMEALQQSLADTLAGSLGSSGSSGNVANYMGQMAMAMGKLGTLENFLCQADNLRQQTLHQMQRILTIRQASRALLAIHDYFSRLRALSSLWLARPRE >ORGLA07G0213200.1 pep chromosome:AGI1.1:7:21311757:21314648:1 gene:ORGLA07G0213200 transcript:ORGLA07G0213200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rhodanese-like domain-containing protein / PPIC-type PPIASE domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G19370) TAIR;Acc:AT5G19370] MLGLRGARAAQLPYASAAVAPTPTPSFSGFARRLPLLASAALSPLPPSFSFSSASAVRRDRDPPMRPVSGALSRSRPTTRVFCSAAATAPREGKELLVQHLLVGEQDVRLLVDLEKNIITGGADLSDLAVEYSLCPSKENGGMLGWVRRGQMVPEFEEAAFGAPLNKVVRCKTKFGWHLLQVLAERDQCVVEDIPPEELHAKMQDPNFLEEAQLIDVREPDEVDKASLEGFKVLSLRQFGTWGPVMTDEFDPQKDTYVLCHHGMRSMQVAKWLQSQGFRKVYNVAGGIHAYAVKADSSIPTY >ORGLA07G0213100.1 pep chromosome:AGI1.1:7:21309229:21309642:-1 gene:ORGLA07G0213100 transcript:ORGLA07G0213100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVQQHGRQRHGISKPPPAKKGKAAARKYKPPQCPGAVRVVYIASPMKLTASPEEFRAVVQELTGRHSNIADRHYVDSTIDLPPPPPPPPAYCASYVASATAAAPPVAAVPPPVLTPPLPPQTFQSYDHGGQGHRW >ORGLA07G0213000.1 pep chromosome:AGI1.1:7:21304386:21306678:-1 gene:ORGLA07G0213000 transcript:ORGLA07G0213000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGRLDDIADAEMDDGGGGGNRAGAGDYSSSLRPMDRAGLPPYGGAGGSGGLVRPPSSAAGYSGGGGSSSPPGTPPRPHSPRMFVPQSPVTPLHRAVDGPPPVFNQILTSEQEEDHDGPPDKLIPTLLVWTLGGKNVYIEGSWDNWKSKQLVHKCGKDHCVMLGLASGVYRYRFIVDGERRFQPDRPREADIMGTISNLIDVHDYVPDSVDSVSELMAPPSPDSSYGFLAPDDKEFTKEPPALPPQLHLGVLNSRGGSGGKEGECAMPKHNVLGHVFIGKGTPPMVAALGTTFRFQSKFVTKVLYKAIQREDR >ORGLA07G0212900.1 pep chromosome:AGI1.1:7:21299739:21301918:-1 gene:ORGLA07G0212900 transcript:ORGLA07G0212900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >ORGLA07G0212800.1 pep chromosome:AGI1.1:7:21292909:21295856:1 gene:ORGLA07G0212800 transcript:ORGLA07G0212800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QDD6] MYKAKRTAAQKVRRCLGKYELGRAIGQGTFAKVRFAKNMETGDHVAIKILDKAKVQKHRLVEQIRREICTMKLIQHPNVVHLHEVMGSKTRIFIVLEYVMGGELHDIIATSGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDTAGNIKVSDFGLSAISEQVKADGLLHTTCGTPNYVAPEVIEDKGYDGALADLWSCGVILFVLLAGYLPFEDENIVSLYNKISGAQFTCPSWFSAEAKRLIARILDPNPATRITTSQVLQDQWFKKGYESPVFDDKYYPYFHDVYDAFGDSEEKHVKEAMEEQPTLMNAFELISLNKGLNLDNFFESDKKYKRETRFTSQCPPKEIINRIEEAANLLGFNIQKRNYRMRMENIKEGRKGHLNIATEVFQVAPSLHVVELKKAKGDTLEFQKFYQTLSTQLKDVVWECEDAAEDMS >ORGLA07G0212700.1 pep chromosome:AGI1.1:7:21285377:21289797:1 gene:ORGLA07G0212700 transcript:ORGLA07G0212700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRTDAASWLRELVLVYAMCWTVSLGFVAGQTGQLNVDASPQNARKIPDKMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWLIIGNESSIIVGTDRTSCFEQNPVALRMEVLCDSKGTNNCPSGGVGVYNPGYWGMNIERRRVYKVGLHIRSSDAVSLTVSLTSSDGLQKLASHTITASKKQFAKWTKIEFHLKSSQTNTNSRLQLTTSKSGVIWLDQVSVMPSDTYMGHGFRKDLASMLANLKPQFLKFPGGNYAMGNYLRNAFRWSETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGASQNEEVSTATIASLVKDVVDGIEFARGGPKTTWGSVRAAMGHPQPFNLDYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDINVVSSCDKSTISPSNPADLYDVHVYTSSSDMFSRTSMFDNTTRSGPKAIVSEYAVTGKDAGKGTLVAALAEAAFLVGLERNSDVVEMASCAPLFVNDNDRRWSPDAIVFNSWQNYGCPNYWMLHFFKDSCGATFHPSNIQISSYNQLVASAITWQNSKDKSTYLKIKLVNFGNQAVNLSISVSGLDEGIKSSGSKKTVLTSSGPLDENSFQQPQKVAPVSSPVDNANEQMGVLVDPYSLTSFDLLLQPSKHSTI >ORGLA07G0212600.1 pep chromosome:AGI1.1:7:21281422:21282920:1 gene:ORGLA07G0212600 transcript:ORGLA07G0212600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMGSSKCASASAPLESKVTRSSNSTTTTDNTSKASQRSSSAFSSGQFSRASSDESSAAPSLSSLKSFNMGDLRAATKNFGSNSFLGEGGFGCVYKGWIDELTLAPTKPGVGKMVAIKKLKKESFQGHKEWLAEVTYLGQLHHENLVKLVGYCSDSDSNKLLVYEYMLRGSLENHLFRRGTQPLSWAMRVNIAVDVARGLSFLHGLENPIIFRDLKSSNVLLAGDYRAKLSDFGLARNGPTGDKSHVSTRVVGTRGYAAPEYVATGHLSVKSDVYSFGVVLLELLTGRRALDAARGATAEMLVDWARPHLGDRRKVNRIMDTRLGGQYPKKQAQDMAALALRCLHHDPKLRPAMPDDVLPQLRLLQQNTKPSSSSTSAPAHRSRPFQALAS >ORGLA07G0212500.1 pep chromosome:AGI1.1:7:21275727:21279380:1 gene:ORGLA07G0212500 transcript:ORGLA07G0212500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1 / TIP-1 family [Source:Projected from Arabidopsis thaliana (AT1G08400) TAIR;Acc:AT1G08400] MASPRPPPASLRSFLDAHFASPEDLASAPALAELLRRECVGLDASLRRLEAQLASVSASWLARSAGARASLRRIRSRGGGFGVEEEDGEETLRSAELPALVQEIHRIDAIRLYAEAALQLEAFVGNLEDATFSIVRQASKLNLSSVFRPASNEMQWKQEKLLQAVDAMRDIELELLRISTNRPQWTNLIMAVDSRVDKTLAILRPKALTDYRALLAALGWPPSLSSPDAANNKYSEIPNPLILMNEANKEKYSQSFLALCALQHAQANREARQCQAKGASASMSDSKYFDKTAACFDNGLWAIDELVQPIASRLEYHFAKWSEQPEFIFALVYKIARDFMGGVDDILQPLIDRARLVGLSAKESWVTGMVKMLLGYLERQIFPVLVTSYQATDDKFEVHSSWMHLNDLMITFDKRMQLLADSGIQKIASISEGLTRSLSVFSIYSEHSDWLHMWAGVELNSAQHKLKSEMEDEINWSYSIKELGLQEITSNFLLSTREDYKAPTISEFVVKTASAMIERGHALPNRGLRIQYNRSSSVQFLNDFFLVLRERCEALQLTNTALEDDSLLKASFAINAARYCEYVLREWDDDIVFLEMGAHRKHVDEGQGQSHKHSAQHPCSFFGDEIAFLAKLGTDYLEQIMSSVLLEFEDLSWDYVQNIGLPNEQIHPVDEVLDEENLGVSPGFVASLEVVRDRTTKLMLHLNSKDFLDLWRSIAEGLDYFIYSSIRWGELTFSDQGVVQLRVDTKALLHIFRPFCLRPEAFFPFISDSLRLLAMRKTDARYLLEVLKNAKENDSCLRQQGLQHVNASQAMKILGSKRSDG >ORGLA07G0212400.1 pep chromosome:AGI1.1:7:21272807:21273463:1 gene:ORGLA07G0212400 transcript:ORGLA07G0212400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSCLAPHAALLPCAAFTDADITRALHFSSSMPDTSSSPSSSSSAAFLADFCGGGAGGGFVVSAPPPTMPAITCESVLVADSARPSPAGPARRHQQQQLGLGPAGGRAGKRRSRASKRAPTTYISTDPANFRLMVQHVTGVQADPASLADGAAGILPTTTTTAPFDASSGLHMLDTFAAANPLLQAEQAAALQQQPCFPTLDSSWSAVMYDGSDLL >ORGLA07G0212300.1 pep chromosome:AGI1.1:7:21264864:21267998:1 gene:ORGLA07G0212300 transcript:ORGLA07G0212300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPSKIEDDRALVLCQERKRFVREAIDGRCALAAAHCDYIRSLRDTGFLLRKCFEHEASEESIPNNKSPSSFQASHMKAAMNSIRTYLEKVATPVTVTMVSASSQDPTGTSPLDHFDQIHPGDNQFSPKEKDRSGQCLDMVDDPRPFLEEGIPELEEEVERTPSNEEDGFAESKDDFANEEENFSESNDAFLSPSIETFVPVSNSNDVSDKNSSTDKAPEHHGHGSVASKDIALPNTGCQNDNPQNERRMTDIHTNENYSNSAVSPVNVVPPSGAAFPMVSKEPYPYLSISVKDLYTGMVEIERLFSRACDSGKEVTRVLDEDKLQFRALLPQETARGSAPSSFLSTLFACCREDVPLPETPSQAEVKYLTWHRSVSSQLSPSRNPPGAITVMHTSTLDKLYAWEEKLYDEVKVNSAICRRYDEKCKQLRDQESRGKNQILVDFTRATVKDLHSRILVAIQKIDFISKNIEDIRDKELQPQLDELIRSLTRMWETMLECHHLQHAIMKLVSSKRSVKLSFQSESECQDALLLSAKLIKLCSDFQNWVASHKVYLSSLNLWLHKCMKPLKKRKGSRKQNVVDVSLTECAVAPIFTTCEIWIKLIDDLPTNELVKAIENLVADVGRSFPHQEQVLNGETGGEILRNNAPADVQSSLMAFLEKLEAFSAVSLQKYIDLQKNINEAKDRFSRED >ORGLA07G0212200.1 pep chromosome:AGI1.1:7:21257646:21261957:-1 gene:ORGLA07G0212200 transcript:ORGLA07G0212200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFFFGSSTASTGNGGKTPSDDDSRIKKKALDGGDSNGSSSSASNSPVTKVCRSKSQRRNLNNEEPSNPKQLRRSMSFSSPVGNSFLKDQSFSFSGDVPSSLFNESDAPDHVAKSTRLTNLLNKNEVLDRYIDGEQEATILGERQKQNSPTRSAVSNLGRPPRPQSTVPSLPRLTKEILETYPYEDVKDEHLHQLAQEDTRDTCKITTLCNASRNHAGLLDASERFSHLEDYKSESVTSVEDIYEDLQDFNHPSIDPTSEDVETDDKLLQRAKEVEAKFMVSSEKKYELNMSKNKRLSANDMFQMIQCLTEDRKQLAYELSSQIKARLTERFAAKEQCKNLKKELDIRTRRLEKEKVEVQTTLEKEMDRRSDDWSIRLSRFQCEEERLRDRVRELAEQNVSFQREVTFLEAEKVDASNKVACLEMGNKKLIDELEKVRNNCDNLQNSSVELHDCFTKAVEEKDHLRKFLEDKDGENKALHKVISRLQTICNEQERTISGLRQGYSTELDKKSVECSDKIKKRMQMELIRLTGVEQKLRGEVQSCHLESESLRQENIALLNRIQSTGNGPRLSSIRLDQELLARVDNLQIHGLSLLDKTSQLCIKLLELMKCKRHENEAGNGIAALTVTDYTLEFQSIKGRIQSLKQSLGIISSVLTEKENIKGSSGETVVGSSPSREHTDELPLDIPELKLKEEAILNRVLKEALLSKELDVELLESDLASSLRIQDVMRNEIQRVQDELSCMNHKAKHLELQGLKKDEIISQVQLDFQESAKEISALRGTLKTVTDERDLLWQEAKQLRKTISIMQNETASLKKKIEALEEDILVKEGQISILQDNIKNPQLDFICSPRSVKEFGLE >ORGLA07G0212100.1 pep chromosome:AGI1.1:7:21254504:21255049:-1 gene:ORGLA07G0212100 transcript:ORGLA07G0212100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGSALLLLLVPPLSALLAVAAPSLAWPSGSSSVKKLIAKKVAPEQAARDELLRVARYSSASAAAAASAVQQPGGGESSRPAAAAADDGGRPDQCAVCLSDIEEGDEVRELRCRHLFHRGCLDRWWLSARPPATCPLCRCRLLQSPSAAADDGDGDGEEDSDSDMVMFMAYLRSSSTWL >ORGLA07G0212000.1 pep chromosome:AGI1.1:7:21249080:21250869:1 gene:ORGLA07G0212000 transcript:ORGLA07G0212000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHAMSCHGGGGGGGGGEGALSRQGSVYSLTLNEVESHLGEPLRSMNLDDLLRTVLPAAAAAAETAGRKTVDEVWRDIQGASTGRHHATPMGEMTLEDFLSRAGVAVDGAASAASAHWLRGHYPPPPPTTTTLQYVGGSGAVVDGVYNRVDGHGVAGFLSQVGGAGRKRGGGVDGVVEKTVERRQKRMIKNRESAARSRARKQAYTNELENKISRLEEENQXXXEHKAVADFSTFPSCVDFLKAFLTQKLEPVMQIVPQPEPKQQLRRTTSASF >ORGLA07G0211900.1 pep chromosome:AGI1.1:7:21234099:21236111:1 gene:ORGLA07G0211900 transcript:ORGLA07G0211900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMASLLPILLLTAFLSSKPALCYINPGATPPQKIGTKSSGGRATYIVFVEPPPPLGHGDGEDDHRRWHESFLPLSELAGSDDEPRLVHSYTEAVSGFAARLTGGELDAVSKKPGFVRAIPDRTLQLMTTHTPEFLGLRKDAGLWRDSGYGKGVIVGVLDTGIDSSHPSFDDRGVPPPPARWKGSCRDTAARCNNKLIGVKSFIPGDNDTSDGVGHGTHTASTAAGNFVDGAAVNGLGVGTAAGIAPGAHIAMYRVCTVEGCTESALLGGIDEAIKDGVDVLSISLGSSFAADYDKDPLAIGAFSAVSKGIVVVCAAGNNGPAFATLSNEAPWMVTVAASSVDRRFSAPTRLGDGRVIDGEALDQASNSSGKAYPLSYSKEQAGLCEIADTGDIKGKIVLCKLEGSPPTVVDNIKRGGAAGVVLINTDLLGYTTILRDYGSDVVQVTVADGARMIEYAGSRNPVATITFKNRTVLGVRPAPTLAAFSSRGPSFLNVGILKPDIMAPGLNILAAWPSSVARTDAAAAPPSFNVISGTSMATPHVSGVAALVKSVHPDWSPAAIKSAILTTSDEVDNTGGPILDEQHNKTMLFGPFNTGAGHVNPTRAADPGLVYDIGVAEYAGFLCTLVGEYVLPIIVRNSSLQSCRDLPRVGQSHLNYPSITVELESSR >ORGLA07G0211800.1 pep chromosome:AGI1.1:7:21225673:21228533:1 gene:ORGLA07G0211800 transcript:ORGLA07G0211800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAASYSSTSWRVRPGLTPFRSPLQPHPQGRVQRIAIFATRIRIATPSAAMQSSSTRHRLEAVNHDHETIPERLAVVTGGNRGIGLEVCRQLALQGVTVILTARDEKRGKDAVESLCHESNLSNIIFHQLDILDGNSRASLARYINSRFGKLDILVNNAGVGGVAVDQDGLRALNIDPRVWLSGKAVNLIQSVIVQTYDEAVKCLNTNYYGLKWITEALLPLLKQSPSGARIVNTTSLRSELKQRMPNEKLRDELRNIDIWDEARIEAMLNEFLLDLKNERLEEAGWPTMLPAYSMSKTVVNLYTRILAKRHPEMRINCVHPGFVNTEINWNTGIIPPEEGARGAVKAALLPQDGPTGCYFDQTELGEAW >ORGLA07G0211700.1 pep chromosome:AGI1.1:7:21219983:21221761:-1 gene:ORGLA07G0211700 transcript:ORGLA07G0211700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAAVTMVDRRMAFAAEADVDSKAAFGFFGGECFVGEGDLVNPAPPPQQQQQVHEGGFAAEDESDGDDDDDDDDVDDIEELERRMWRDRVRHKRLKELQQSRAGRESRAGDAGGGGRQQRQSQEQARRKKMSRAQDGILKYMLKMMEVCNAQGFVYGIIPEKGKPVSGASDNLRSWWKEKVRFDRNGPAAIAKYQADNAVPGCDGDAGGAAPAGPHSLHELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPEGSEAWWPEAGVPKELGPPPYKKPHDLKKAWKVAVLTAVIKHMSPDVDKVRRLVRQSKCLQDKMTAKEIVTWLAVLKQEEDLYLKLHPGALPPPLSAASFNASVSGEYDVEGVDGDEAGNNNLQKAQNDATAFMDLTTTMDAALSNNKFLIMPLMKEEAIDVDFIQKRSEPELMLSSDSHARVYTCGNVQCPHSNYALGFLDRNERNAHQYACKHNAAAAAAESKPPPPHIFEPLGSFDFDLPVDGQRCLAGLMTMYDNDVAAATQMHHHHHQQQQANFFIRDDAPFGGDVAATAAAAPEFRFSSNFNVSGGGAVDYGGAMQQPPAKYAGSNWFY >ORGLA07G0211600.1 pep chromosome:AGI1.1:7:21217896:21218231:1 gene:ORGLA07G0211600 transcript:ORGLA07G0211600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYMQGDLSISTVLRAPTNRRHETCNPVEPRDHPRAADQRISLLQRLHLHTAVDRSIADSPSPSMLLSAADRQAAALDPSLRKSSLNFFAGHTWRAAACVVLAHCPLLSSR >ORGLA07G0211500.1 pep chromosome:AGI1.1:7:21215697:21217038:1 gene:ORGLA07G0211500 transcript:ORGLA07G0211500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRALLVALAAVLLVTGDALLAPAGGQEQYTKAPAEAHKGYSIVPGLFSAQECNYFESTQIPSIRKFLHQYDPLGIRFVFLITIHMMAV >ORGLA07G0211400.1 pep chromosome:AGI1.1:7:21213267:21214869:1 gene:ORGLA07G0211400 transcript:ORGLA07G0211400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFAVIVSPSAWPRAVSSAFAAAVFAFLDVVDVLLCFVYGFLDAVFEDSPVSCYCHGSHRAAALDDDDEVSDTLYHRRSALRDALMGLVRGRSGGSPETETERRKGRSPRWSDCGCDSCRAWQRHDDGRLHFVANQPPPPPNDGAVTTTQQSGEEDAIFIHGFTSSSSFWATVFRESSILNNCRMLAVDLLGFGKSPKPANCMYRLKDHVEMIERSLIDPLNLSSFHLVSHSMGCIIALALAAKHPERVRSITLIAPPYFGACEEKASQVALKRLAEKKLWPPLQFGSAVMSWYEHIGRTVCFLVCKNHLLWERLFRLITGKRDVDFLLGDLTKHTHHSAWHTMHNVICGGAMLQDRNLEAVEAAGVPVQVIHGGDDQVVPAECGRHLKAKLPGAELRLMEGCDHKTVVFGRERAFAEELRAFWSASHQNKQLAASASGWAG >ORGLA07G0211300.1 pep chromosome:AGI1.1:7:21200243:21202972:-1 gene:ORGLA07G0211300 transcript:ORGLA07G0211300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSSTLTFKTTVIPKTNTSTLPDLSPLMTGSKFSLSPLTVKTLSGDSGGTSSIITKNKQGGQAMPYLGTTKGLDVSPQNMGGAFRDLNKPSLSPEPPKSALLQGKTVQLGKITETVQSPVKAKPEVAFQPPAFPPTPVAQSSPCSIKPTVPSSATSSSSTMQESAAKTSDVLSPTGPSILPSKESMTKSSSPLPDGTISSSLLSIPMPVKESSTGLNKIVSKPEVVTSEVTGTTVSASTSSSVPITEGKPSSIPATSGSLPSIPVSAPKVVPVSAESVVVTSTGKDVGPSNPSSDEDDMEEEVPSASSDLNLGALGGFGLGSVPSSSPPKSNPFGNSFTTSDNKSSGSSFTLTTSPGQLFRPASLSIPSSQPAQSSQSTSSSTFSSAFSSGLSGFGQSAQIGSAQQSGFGQPSQIGAGQQAGFGQPAQIQSGFGQPAQVGVAQQSGFGQPAQIGTAQQSGFGQPAQFGAQQALGSVLGSFGQSRQLGSFGAGGFGGFASASASGGFSSNSGFAGGATGGGFSAAAAPAGGGFAAAATGGGFAALASKGGGFAAAASSGGGFGGAAQGSGFSSGGFGAFGGNQGSGFSAFAGSGSAGSGGPPANLLTQMRK >ORGLA07G0211200.1 pep chromosome:AGI1.1:7:21195573:21197228:-1 gene:ORGLA07G0211200 transcript:ORGLA07G0211200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTEQMMYHQQQVQSDSQHLSSRPGLPPEKQFLLQGGADSSSGLVLSTDAKPRLKWTSELHERFVEAVNQLGGPDKATPKTIMRLMGIPGLTLYHLKSHLQKYRLSKNLQSQANASRAQGVLGCSTTEIDKPCEGNGSPASHLDLETQTNSSMHINEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQEALGTIAVAETAATANASSSKRLQNEHTQLHHHQQQQQVGDGSVDSCLTACDCEGSHHSRSHGHRGEQDILSIGLPPFEPAAARSGKEHHYLLFPNEPSRRRSCSDERRREMSTLQASELDLSINGRSSSHSHRRENIDLNGAGWS >ORGLA07G0211100.1 pep chromosome:AGI1.1:7:21184689:21188247:-1 gene:ORGLA07G0211100 transcript:ORGLA07G0211100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLAAASPPHPPPPPPESHVPPPPQTPEKDSCEDTGDMRISEEKPCTDQELDADQMNSSSFNSSSECENQTPSNDEMTGSESKSEAAQTEGGGSSEEKVLKKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKSCQRYWTAGGSMRNLPVGAGRRKSKSSTANYRSILITGSNLAAPAGDAPLYQLSIKGDQTATAVKFAPDSPLCNSMASVLKIGEQSKNAKPTSTAQPRNGETQTCPASGTTSGSPRNEPVNGAVSGHQNGIVGHSGVPPMHPIPCFPGPPFVYPWSPAWNGIPAMAPPVCTAPAEPANSSDNGSTASVQWSMPPVMPVPGYFPVIPSSVWPFISPWPNGAWSSPWIQPNCSVSASSPTSTSTCSDNGSPVLGKHSRDSKPQGDDKAEKNLWIPKTLRIDDPDEAAKSSIWTTLGIEPGDRSMFRSFQSKPESREQISGAARVLQANPAALSRSQSFQETT >ORGLA07G0211000.1 pep chromosome:AGI1.1:7:21180282:21182299:1 gene:ORGLA07G0211000 transcript:ORGLA07G0211000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGHSPDRHAAAAAAGEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQLQAQAQAAAAAASSGSPPTASSGGLAPGHAGSPASSLGMFAHGAAGYSSSSSSSWPSSPPSVGMMMGDVDYGGGGDDLFAISRQMGYMDGGGGSSSSAAAGQHQQQQLYYSCQPATMTVFINGVATEVPRGPIDLRSMFGQDVMLVHSTGALLPANEYGILLHSLQMGESYFLVTRSS >ORGLA07G0210900.1 pep chromosome:AGI1.1:7:21144302:21145397:-1 gene:ORGLA07G0210900 transcript:ORGLA07G0210900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEVSVINQLEEEETRLELPPGFRFHPTDEEVVTHYLTRKAQDRSFSCVVIADVNLNNCEPWDLPSKAKMGEKEWFFFCHKDRKYPTGMRTNRATASGYWKATGKDKEIFRGRGLLVGMKKTLVFYMGRAPRGEKTPWVMHEYRLDGKLPPNLPRSAKEEWAVCRVFNKDLAAKIAQMPPPPFPRNDSFDLDLDDFLHLDADLPPLIDDPFASTSTLKTEPPPPANLMHNHYGYFSLPASATNYNHSSGAMADQAIRRFCKAEASMACFSGADADVDPVVDELLSFPDSITDYSYIWKA >ORGLA07G0210800.1 pep chromosome:AGI1.1:7:21124314:21130146:-1 gene:ORGLA07G0210800 transcript:ORGLA07G0210800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASGDGGDGGGGGDGASSAAGGGGRRIPPASSMPWVRNLRRFVGTGAGLGSEALMELETKRILLEIFKERQRKSMEAGAIPSFYKKKPEDGSISNRVQKLAKYRFLKKQSELLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPSAFVQMYCRIAARKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDTNGTLSKQELKEYADGTLTDIFIERVFDEHVRRSKVGGGNSREMDFESFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRIALTDLLSCKQGGTIASMLIDVRGFWAHDNRENLLQEEEEQVEEA >ORGLA07G0210700.1 pep chromosome:AGI1.1:7:21116768:21122609:-1 gene:ORGLA07G0210700 transcript:ORGLA07G0210700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARESMAALVDAGLYDCAQTLGCFLVSSSPASSEAGMSMKVESLVLHGDALHGEKEFRRALSAYKQAMQYSKNIPRQATSNTRSSVTATGRSPSPNSSSLAPLNENEVKFKIALCYSALREHREALQEMEGIPSKARTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYVFEAITALAEMGLSSKEFSLIFSQAPNRGGKAPGDSLDAQRWWNRYVEAQCCIASHDYKGGLDIYLDLMQRFPNNVHILLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLLLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNKDAVLLLERYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDAELL >ORGLA07G0210600.1 pep chromosome:AGI1.1:7:21115784:21116128:1 gene:ORGLA07G0210600 transcript:ORGLA07G0210600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTRLASQKAVVVFSKSSCGMSHAVTRLLRELGVDARVVELDEEPAGADMENALAGMLLAGTAANGGGRGRGVVVPTVFIGGRLVGSTDRVMSLHVAGGLVPLLRDAGALWV >ORGLA07G0210500.1 pep chromosome:AGI1.1:7:21109867:21112713:1 gene:ORGLA07G0210500 transcript:ORGLA07G0210500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAAEGAAAALRSVLSRAQQAAARSGRAPESVRVVAVSKTKPVGVIRGVYDAGHRCFGENYVQELIDKAPQLPEDIEWHFIGNLQSNKARALLAGVPNLDMVESVDDQKIANRLDRVVADLGRKPLKVLVQVNTSGEESKFGVDPSGCVELAKHVKLGCPNLVFSGLMTIGMLDYSSTPENFKALANCRKEVCKELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN >ORGLA07G0210400.1 pep chromosome:AGI1.1:7:21102160:21103120:-1 gene:ORGLA07G0210400 transcript:ORGLA07G0210400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGVEAQHLSAIFHGVNVNGLDIDMVGRSAKAYGAKCSGGVETCLMVDSTVVQMKGKMEGNPSFSDARNRQEIACGGIRMRCLDLTKLEKLSTARREK >ORGLA07G0210300.1 pep chromosome:AGI1.1:7:21093070:21101323:-1 gene:ORGLA07G0210300 transcript:ORGLA07G0210300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGKYGDGDDDDSEEEQLSPSSSAGEEEEEEVEEEEGEEQQEEQGEEEEGFSGDEEEQEVEGEADGEQVEEEEEEESSGGEEEAEAEGEEEEEEVEEEQGAGEEEEEEVDEEEIEAVTTGAGEDDDDEEVGDDGGAEEESQSTEDDEVAAGKDGGGEDGDEVACLQLQLEDATGNAEIGKRERAKLREMQKLKKHKIQEILDAQNKAIDADMNNKGKGRLKYLLQQTEIFAHFAKGNQSTEKKSRGRGRHASKMTEEEEDEEYLKEEEDALDGAGGTRLVSQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIQRFCPVLRAIKFLGNPEERNHIRENLLVPGKFDVCVTSFEMAIKEKTALKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDDWFQISGENDQHEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSEMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYKGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAQLDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKLDFKKLVTDNWIEPTSRRERKRNYSESDYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNTQRLNELYEKEVKYLVTENCFRDS >ORGLA07G0210200.1 pep chromosome:AGI1.1:7:21084881:21085189:-1 gene:ORGLA07G0210200 transcript:ORGLA07G0210200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFYLSNTCLARARLLVYNLTLSQQVKLQILYPFRSAPATNHHPSQSTGAGSPGATRAAVRQSTGSLGAARAAFRQPPPAAFTSLPATTRAATHCLLSPGY >ORGLA07G0210100.1 pep chromosome:AGI1.1:7:21078937:21080167:-1 gene:ORGLA07G0210100 transcript:ORGLA07G0210100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALCSGSVASPCGEVGVAFAAGRVRGAAAAAALAESVPIGGYSSKSTFPSGRVALTERKARPLPRNLEAAHGQMNLTIGKAMRWWEKCLQPNMREIESAQDLADSLLNAGDKLVVVDFFSPGCGGCRALHPKIAQLAEKNPEVLFLQVNYEKHKSMCYSLHVHVLPFFRFYRGAQGRVSSFSCTNATIKKFKDALAKHGPDRCGLGPAKGLEESELMALAANRDLNFTYTPNQDLVPIADALLKEAAAPGGPWLPLPATATQLFIQGSXNSLLSSGR >ORGLA07G0210000.1 pep chromosome:AGI1.1:7:21075756:21076452:1 gene:ORGLA07G0210000 transcript:ORGLA07G0210000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYGRREQYGGYGGYGGGGALATPGYAPAAPYGMSQVNIEGNGCGRTLPPQPTVKVYCRANPNYAMTARNGAVVLAPANPKDEYQHWIKDMRWSTSIKDEEGYPAFALVNKATGQTIKHSLGQSHPVRLVPYNPEVMDESVLWTESRDVGNGFRCIRMVNNIYLNFDAFHGDKYHGGVRDGTDIVLWKWCEGDNQRWKIQPYY >ORGLA07G0209900.1 pep chromosome:AGI1.1:7:21071066:21072579:1 gene:ORGLA07G0209900 transcript:ORGLA07G0209900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGHHHNQAPAAPSDPNQIFKIFCRANENYCLTVRDGAVVLAPVNPKDEHQHWFKDMRFSTKVKDGEGMPAFALVNKATGLAVKHSLGQSHPVKLVPFNPEYEDASVLWTESKDVGKGFRCIRMVNNTRLNLDAFHGDKDHGGVRDGTTVVLWEWCKGDNQSWKILPWGPEAHSSSPGAATACTIGGVPVHTVRVFSAAGEDYCLTVRNGTACLAPKNPRDDYQHWIKDMRHSNKIRDEEGYPAFALVNKVTGEAIKHSTGQGHPVKLVPYNPEYQDESVLWTESKDVGKGFRCIRMVNNIYLNFDAFHGDKDHGGIHDGTEIVLWKWCEGDNQRWKILPW >ORGLA07G0209800.1 pep chromosome:AGI1.1:7:21067480:21067707:1 gene:ORGLA07G0209800 transcript:ORGLA07G0209800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWCEEEARAQHDGAEAILVGRRGRGALAWSTREGKKKRVTATQQPKGWGGRVGGVDVGFVGTWRGEKLEFLYRA >ORGLA07G0209700.1 pep chromosome:AGI1.1:7:21059820:21061512:1 gene:ORGLA07G0209700 transcript:ORGLA07G0209700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHHGHGHGQYQPPATGPQHEPTFKIFCRADEGYCLTVRHDAVVLAPTNPRDDCQHWYKDMRHSTRVKDEEGHPAFALVNRATGLAVKHSLGQSHPVVKLVPYNPEYQDESVLWTESKDVGHGFRCIRMVNNIYLNLDAFHGDKSHGGVHDGTTVVLWEWCKGDNQCWKILPWGPEAYAPPPPPAYGHQAYPPPPPNREPGHGYHPAPAFYPPQPPPSHDEPGYGYRPPPGGPPGAGYGNRLPRALASEPTVRILCRADEAYSLTVRNGAVCLAPTNPRDDFQQHWVKDMRHSTSIKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPEYLDESVLWTESKDVGHGFRCVRMVNNIYLNFDAFHGDKDHGGVHDGTTVVLWEWCKGDNQRWKILPW >ORGLA07G0209600.1 pep chromosome:AGI1.1:7:21053230:21054852:1 gene:ORGLA07G0209600 transcript:ORGLA07G0209600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTMSSAATSLPPGFRFHPTDEELILHYLRSRATAGQCPVPIIADVDIYKFDPWDLPSKAVYGESEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHDSATGESVGVKKALVFYRGRPPKGTKTSWIMHEYRLAADPLAAAANTYKPSSSSRFRNVSMRLDDWVLCRIYKKSGQASPMMPPLAADYDHDEPSGVLDDAYSFYAPPMISTTLIPKLPKIPSISELFDEHALAQIFDAAADPPADHHQHALAVHPSLNQLLGVGDNFLAECYPSTASTATVAGGKRKASPAGDYAGGGHTPAKRLNGSCFDVAPQSVVGGLQATPSSVLAGLNHQMLPPQLF >ORGLA07G0209500.1 pep chromosome:AGI1.1:7:21035941:21039443:1 gene:ORGLA07G0209500 transcript:ORGLA07G0209500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSALPGGGARPDTLADRLHRYRGVLLVILAPLALVSLVLLLMPRSPASSSAAAGRRWGPLDANKYAVIFDAGSSGSRVHVFRFDANLDLLHIGDQIELFVQKKPGLSKYANNPQEAAKSLVSLLEDAKRVVPAELRGQTPVRVGATAGLRALGAEKSEEILQAVRDLLREKSSFKTQPDWVTVLDGPQEGAYEWVTINYLLGKLGKTYADTVGVVDLGGGSVQMAYAIAEKDAVKAPKPSEDEDSYVKKLFLKGTTYYLYVHSYLHYGLLAARAEILKAGNGKGYSYCTLEGHQGQYKYGNGKFEASASPSGASYSKCRDDVVKALKVDQACTHMKCSFGGIWNGGGGAGQKNLFVASFFFDRAAEAGFVNPKAPVAKVKPSDFEKAAKRACKLNLKDAEAAYPGVQKDNIPYICMDLVYQYTLLVDGFGVGSHQEMTLVKKVPYSNAFVEAAWPLGSAIEVAS >ORGLA07G0209400.1 pep chromosome:AGI1.1:7:21031024:21033261:-1 gene:ORGLA07G0209400 transcript:ORGLA07G0209400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPADDYYGDDDDEYDDYNPHPYGGGYDIFATYGSPIPPSPTTCYPVSSAAPTAPPPKPSPTPPPASPPPAPTPPQTRPPSPPPQQQQPRPVSPPPVAEPYYWPKPYDYGDAPREQPAYATPEVFRGWPFFAGARCHSRCGGRDYWRQFMRGLDYLFGHADGYGERRIGVDYHGVPVYANRKGGVEEAVVIQVEPPATGTVEWHHAADDPEYNYNNGNRISWDDNAKDETYAYVQHNYSSYDRSYDQSYSLDAVSDETTWFPNQNYQHVYKEEESQYQEILSSSCAESKISAQPIYCYNQQFSEQPLHVLVEPPETVYSQKLEYYESFSTYNHHNSNDDSDMLGHSYDIQLPDEHVPDEPFEPIKPSWAMHSGYYQSCTDGASAEFENHTLSSSEFGGIASLFATSFYPQQTQIYECHGDENVSLQQNWQCNWNVVLKMISNR >ORGLA07G0209300.1 pep chromosome:AGI1.1:7:21012423:21012560:-1 gene:ORGLA07G0209300 transcript:ORGLA07G0209300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPPAPANGGAWSWRHRGGGDNTGKGEAVVAEAADPEEDFSGR >ORGLA07G0209200.1 pep chromosome:AGI1.1:7:21006854:21009606:-1 gene:ORGLA07G0209200 transcript:ORGLA07G0209200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLHTVMAKARKELGLLPASGPGTPTSVAAAAAAAHSPFMLSRQNSGRCGTAPSPLSVSSPSSWAPPPVFSRNNSISNGAGEEMVGLGDELISPANGGGPPSPFFGGDPLMDELQLQDQLAFLNEGGVPAGHQMPMFDGGECRSPGGGDGGLFSFNLGWANGGPGHRRSASVSELCLGGADGLGWKPCLYYARGYCKNGSACRFVHGGLPDDAAGKMDPSAVEQQCQDFLIRSKSQRLAAAAFPYSPTGSLPGSPSAATKCLSLLLQQQQQQNESQRAAAAAALMLGGDEAHKFMGRPRLERADFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKQHQPGERVDFSSCTTPTGLDARDPFDMHQLGARMLQHSNSANEMLLRRKLEEQQQAAELQQAIELHSRRLMGLQLLDFKSRAAVAPTPIGNPFSASQTAANVTGESPPDSGELGKGSGFLLAHNKAVNGADKEESTGESSSPNTDSDQSVEHNLPDSPFASPTKSAGFARDPFAPTEAEISATASTGCSATYVGINNGASNGGTNHLLPSALDMPSPKPYFFPMSRLASDHGAIGM >ORGLA07G0209100.1 pep chromosome:AGI1.1:7:20996731:20997826:1 gene:ORGLA07G0209100 transcript:ORGLA07G0209100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQMASLIIKANLECEKCCKKIQKVLNKLKDKEKIINIVYENSNNRVIILGHFKPEELAHKLRCKACGVIKDIEFGKLAEAKKEEKKPDQAKKEEKKQPEEKKPEEKKKSEEEKKKGDEKKPEEGKKEEKKEEKPKVKEETKATPAPSSTTVNLQFTNMCGICYPWPCSDPTHWGAGVVHPQWPQCEAPAAALPAFVPGHHHHQLPPWGGVPAPKWPCGGPSYCGGCGTCRGGGWPAAAPMQAMCCPGPSSCRGCKGCRIVQEGKFVYEEYPAASACAVM >ORGLA07G0209000.1 pep chromosome:AGI1.1:7:20994741:20995341:1 gene:ORGLA07G0209000 transcript:ORGLA07G0209000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSSGWIXLSICMPAKTPSRSKPTVLSXLQLTQGVGNNXVSRHALTYGTNHGESPSTARSDWDPSAIVAGNLGRHPQLTIPPAGRPAAIWIDIKYY >ORGLA07G0208900.1 pep chromosome:AGI1.1:7:20986354:20990462:1 gene:ORGLA07G0208900 transcript:ORGLA07G0208900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QD97] MKATLLPPPPPAKRRRGPRVAVLALFLCSLLVPLAFLFDRSQSGYVTTDERRRQEVVLPEFHHVEKADGDGTVNGLNQDAPKKTPKVNSGGLQKHKQTDRHTSRISTKPKVLPSPKVDPSEAVKESTQGTREVSKVRKRLDKGTNTDEVENEKACQLEFGSYCLWSREHKVVMKDSIVKRLKDQLFVARSYYPSIAKLEGQEELTVLMKQNIQDHERVLSVSTVDADLPSFINKKMEQMEQTIARAKSCTVDCRNVDRKLRQILDMTEDEAHFHMKQSAFLYNLGAQTLPKSHHCLSMRLTLEYFTSSSLGSNDSSAHKFSAAHGRHYVILSKNILAASVVINSTVNSSKDPKKIIFHILTDAQNFYAMKYWFDKKSYREAAVHVVNYEDIIKEKLTKFNVRHLYLSEEFRVLVRSTEQPAGKTRMEYLSLFSHSHFFIPEIFKDLNKVVVLDDDVVVQRDLSFLWSLDMGDKVNGAIEFCGLRLGQVRNLLGSTTVDTKSCAWMSGINVINLDKWRKHKVTENYLLLLKKFLTKDETSLRAAAFPLSLLSFQHLIYPLDERLILSGLGYDYAIDEDVARSSAALHYNGNMKPWLELGIPSYRRYWKRFLTRDDKFMDECNIIP >ORGLA07G0208800.1 pep chromosome:AGI1.1:7:20978757:20985475:1 gene:ORGLA07G0208800 transcript:ORGLA07G0208800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALKTYFGFSGFRSYQREIIQKVLEGRDCLVVMATGSGKSICYQIPPLVTKKTAVVVSPLLSLMQDQVMSLKQHGVKSEYLGSTQTDISVSGQAEKGAFDVLYMTPEKAVSLPSRFWSNLQAAGICLLAVDEAHCISEWGHDFRTEYKQLHMLRDLLVGVPFVALTATATQRVRGDIATSLTLRNPHIVVGSFDRPNLFYGVKSCNRSMAFINELVKDVSKNCTVGGSTIIYCTTIRETEQVHEALVAAGIKSSIYHGRMGNKAREESHRSFVRDEVLVMVATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDGLPSVCWLYYQRSDFMKSDFYCAEAKSQTHRKAIMESFMAAQKYCLLATCHRKFLLQYFGEDRTTDCGNCENCTRTKNERDLSKESFLLLSCIKSCGGRWGLNLPIDVLRGSRVKKIVENNFDKLPLHGRGKDYPPNWWKALGGLLLAHDYLKETVHDTFRSISVSPNGYKLLSTADKGDGASLFLQLTAEMIELEGHGGSQCKEEGLNPLGPSESEKISEDELKIYQVLLNVRMQLAQDIGTAPYAICGDQTLRNFAKFRPSTIARLANIDGVNQHFISHYGSIFIQNITQLAKELNLPVDDLSAVESIPAAPKPTQNNLPRSLGGAKFCSWELWQKMKFSFQKVAHYRRAVPIKEKTVISYILDAARDGCEMDWSRFCEEVGLTHEIASQIRLAITKLGSHEKLKPIKDELPENVTYEMIQTFLAIEGLGLSDQVFGTVPTDGIQSKTSEAPKTTSNGSEVGADVCDASPLTKRGQTDGSLICGDEPASKLQKIDGQGVNSTAATGATEDAILELVEGRNGVSLEDVVKHFNGSKRECVVEMLDNLEGNFLVYKKNGCYMIM >ORGLA07G0208700.1 pep chromosome:AGI1.1:7:20970332:20977681:1 gene:ORGLA07G0208700 transcript:ORGLA07G0208700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGGGGGELERRVMAALKASEARGDQPLVWAVEVARVVAGEGAGLPSADLAGILVSNLCFAHNSPSLWKLVGHAVASRLLCPLHVLALLTPRVLPQRRAQPEAYRLYLELLRSNVTSSFLSMEAGPNRDKITKSIDDALQLSKIYGFSGIDNGQVIIFFMMFVITKLIDCTLEDCGFPSGLTEEQGSIYAIEGPQDMDLDVKGVSTEKQNEHRAQLRRKNTVMALDVLIMMVADRKIQSFLRLIFLNMPEKFSVLSQRLSLVEAHKMELETLLTANHKIDDLLMNMRRVSNTAYQPNNKRLLGVLGNMKYGGSMLGQFTGAGRAACWVIFDIYVENAIDGKHLSAISAIEVLKEMTKTLQAINEASWQETFKALWISALRLVQRAREPLEGPIPHLDSRLCMLLALIPLSIGAILKEETDVHGAQGSKSLPKTSGLVSSLQDLIQYSGLLVPPSSVVNAANAAASKAAAFKANYKSGGGNPGMMGQNDSSTKTVGNMLHLIVEACISRNLIDTSSYLWPSYVVSSGHLKDATLPQESPWLNFMQGAPLSGPLIDALIATPASSTTELDRLYHIALNGSEEEKSAAAKILCGASFVCGWNIQEYVVRMVVKLLSPPLPSNSSTQGSMSHYLAQMSTLNALLLGISYGDAIHIISLYGMVPDVAAALMPICEVFGSIPPPSNHKPAIVGEISVYSVFSCAFLCLLRLWKFYKPPQEYCLAGRGGSVRLELTLDYLLLMRNNHIDFANSSASSRNSSNNIGPLNEVPAQPLYIDSFPKLRAWYFQNQACIASTLSGLCNKNPVHQVANKILSMICRKMNKPVVSSGNLSSTSSSSVSGSSVSTPDDYQRPTVPAWEFLEAVPFVLEAVLTACAHGRFSSRDLTTSLRDLVDFLPASIAAIVSYFLAEITRGIWKMVPMNGTEWPSPGASLHSIEAEIKEILASAGIQIPSCYPRGVPPMLPLPMAALVSLTITFKLDKSSEYIHAISGQALENCAGGSSWPSMPIIAALWTQKVRRWHDFIILSCLRSPFGRDKDAVAQLIQSCFSSFLRSSCSGSDFTANRGVGALMGDAITGQGLQLPMAPGFIYLRTCRTFHDTYFVSEVILKQVIEWADKLANGFSSSGPPQLKSGRTPLSSAACMAHQVAMLGGGLLCVAGGPLLVQVLYEETLPTLLLSAREESMKDPGPVSSTLQGYAMANMLFFCGSLLWGAERTSPVMKLSFLSRRPRVVGNHMDFMAGVLDGHILLGCDHGTWKAYVSRFVFLVVKFVPSWLRDIKLDTLKKIASGLRSWNEHDLALALLERGGPQAISTVVDTLL >ORGLA07G0208600.1 pep chromosome:AGI1.1:7:20966510:20967106:1 gene:ORGLA07G0208600 transcript:ORGLA07G0208600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEQQQQQQQQQSVAAVRPSLGKAPSPSFRLRNGSLNAVRLRRVFDLFDRNGDGEITVDELAQALDALGLEADRADLAATVGAHVPDGAAGLRFEDFESLHRALGDALFGSLDVPEDGGGGGGDEEMKEAFKVFDVDGDGFISASELQEVLKKLGMPEARSLANVREMICNVDRDSDGRVDFGEFKCMMQGITVFGA >ORGLA07G0208500.1 pep chromosome:AGI1.1:7:20959500:20964216:1 gene:ORGLA07G0208500 transcript:ORGLA07G0208500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVAAAMAAASLCCGVAAYLYYVLWLAPERLRAHLRRQGIGGPIPSFPYGNLADMRSHAAAAAAGKATGEGRQEGAIVHDYRQAVFPFYENWRKQYGPVFTYSVGNMVFLHVSRPDIVRELSLCVSLDLGKSSYMKATHQPLFGEGILKSNGNAWAHQRKLIAPEFFPDKVKGMVDLMVDSAQVLVSSWEDRIDRSGGNALDLMIDDDIRAYSADVISRTCFGSSYVKGKQIFDMIRELQKTVSTKKQNLLAEMTGLSFLFPTASGRAAWRLNGRVRALILDLVGENGEEDGDNLLSAMLRSARGGGSGGGEVAAAAEDFVVDNCKNIYFAGYESTAVTAAWSLMLLALHPEWQDRVRHEVQAACCGGGRAPDFPALQKMKNLTMVIQETLRLYPAGAVVSRQALRELSLGGVRVPRGVNIYVPVSTLHLDAELWGGAGAAGFDPARFADARPPLHAYLPFGAGARTCLGQTFAMAELKVLLSLVLCRFEVALSPEYVHSPAHKLIVEAEHGVRLVLKKVRSNCNWAGFD >ORGLA07G0208400.1 pep chromosome:AGI1.1:7:20955134:20956832:1 gene:ORGLA07G0208400 transcript:ORGLA07G0208400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVLLLVVAAAVEGGAAWSFWPPAAGDEPYCLSWRVMVEANNAKNWPTVPPPCVGYVWRYMAWGQYARDVAAVADQIAAYAAQLAAGDDGLDAWVFDVDDTCLSNLFYYQAKQFGAYDPVAFKEWASKAICPGVPGIAQLFQTLRGRGFRVFILSGRDQQTLASSTAANLAAAGFAGYDRLIMRSAEYRGMSAVVFKSAMRMQLMEEGYRIRGNVGDQWSDLQGDFVGDRVFKVPNPMYFVP >ORGLA07G0208300.1 pep chromosome:AGI1.1:7:20935743:20938112:-1 gene:ORGLA07G0208300 transcript:ORGLA07G0208300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLSIRNPLSLLLSLQLSLLLFNPLSVADLASEKQALLDFASAVYRGNRLNWSQSTSLCSWHGVKCSGDQSHIFELRVPGAGLIGAIPPNILGKLDSLQVLSLRSNRLAGSLPSDVTTLPSLRSIYLQHNNFSGDLPSFLNPNLSVVDLSYNSFTGEIPISLQNLSQLSVLNLQENSLSGSIPDLKLPSLRLLNLSNNDLKGQIPQSLQTFPNGSFLGNPGLCGPPLAKCLLPDSPTPSPASPSSAPTPMSAHHEKKFGAGFIIAVAVGGFAVLMFVVVVLVVCNSKRKGKKESGVDYKGKGTGVRSEKPKQEFSSGVQIAEKNKLVFLEGCSYTFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKKEFEQQMELIGRLGKHANLVPLRAYYYSKDEKLIVYDYLTNGSFSTKLHGIRGVTEKTPLDWSTRVKIILGTAYGIAHVHAEGGAKLTHGNIKSTNILLDQDYSSYVSDYGLSALMSVPANASRVVVGYRAPETIENRKITQKSDVYSFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDVELIKQQNIEEELVQMLQIAMACTSRSPDRRPSMEDVIRMIEGLRHSASESRASSDEKMKDSNPPSV >ORGLA07G0208200.1 pep chromosome:AGI1.1:7:20931697:20934856:-1 gene:ORGLA07G0208200 transcript:ORGLA07G0208200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHAEKREEVSELTPFDPTKKKKKKKAVIQDPSDEVDKLAEKTENLTVTEPGELNFTGMKKKKKKPVDLDLSINDIGDGEDILDDQVVEEEEGEGIVLGGAPRYPWEGTDRDYNYDELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKVGFVAQVGRRKA >ORGLA07G0208100.1 pep chromosome:AGI1.1:7:20929500:20931303:1 gene:ORGLA07G0208100 transcript:ORGLA07G0208100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPDVISENDEFDFSDVFGTTPVQTPTGISVAGPDSPAPLAESNEGVYNDPIVIIKRSHSLVGPTSLVSQSLRLSNLTLNKTEGSSEPAEEKERNLGQLSDEEFDNATTENEGIGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELARIYTAEIVSAVAHLHDNGIMHRDLKPENILLDADGHAMLTDFGLAKEFNENTRSNSMCGTVEYMAPEIILGRGHDKAADWWSVGILLFEMLTGKPPFVGNRDKVQQKIVKEKLKLPSFLSSEAHSLLKGMAIRCPFQLLHKEGGKRLGSGPGGSDEIKRHKWLKPINWRKLEARQIQPSFRPNVRGLTCIANFDECWTSMPVLDSPVATPVAGGAGHSSFAGFTYVRPAPFLQDGKPPSSSRLKD >ORGLA07G0208000.1 pep chromosome:AGI1.1:7:20920481:20922506:-1 gene:ORGLA07G0208000 transcript:ORGLA07G0208000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDARVVRHVRCPKCFSVLQEPTGAPVYQCGGCGTTLRAKNRTGNSQEVISAPSSLGSGLPPHSKHLGSSDVASTSGSTPEAQISSGQQGADMTSRRETDDLVSARNNAPEPERVVPAEEEEHVQSTSQQAVGNSEDLTRGDAATAADAQCSDRASEGKVQLSERREDSNTELQDVQRSDQTESDAEGKKSSEETSQSPRRDVVELPPSSVQTPDSQPAPAVLKREDDPATSPPHGHARRSPESLAPLQKRILKTVDNLKDDLSELFSKSPELNKPRTPARPPRLPRQEGYAPRDAAMAAAASIQAIRARHAAVHRPGYIARAGKPGQLAAPPPPRGLPSRRYRRCRADHPCCHDARHGPSCHHGCCPPHHGKQACTSCRGQHCCRPRTQESPAPRRPAAAAAKEVVKRRAPPRNHCRPVLKGAPFIICSSCFKLVQVPADFAVSTKTVRKLRCGSCSAVLSYSYRDPDRKKHGDQYSADGSPAAPRGHGRRGDKFAFLDDFGHVDVSYSTEDEQPLHVSRNSSFNTVDEMAAAATQQHGSSLHRLMGYGSARDLLFRQHSPDLYESFSERTTPEAAALYDRKGKGVCVDLDDDGGDDDSDEDCSGALKRSRLRGSGWPLPGILNSKGTTGMGAIRIKS >ORGLA07G0207900.1 pep chromosome:AGI1.1:7:20904399:20915929:-1 gene:ORGLA07G0207900 transcript:ORGLA07G0207900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding;DNA binding;helicases;ATP binding;nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT2G40770) TAIR;Acc:AT2G40770] GRRKSRPARAVGLGPGAAAAAEPDAPSPSGSGGASKSRRAAKGEARRREDVCFEVDDSTWCLSDGDRRDLAELVMRDVRISGEGEGALDVAALHEAEREKRCSLRLRVRDAPEEGFRLGQWPVVPSDCVILEYAIAGMVFSGCFDGPDEGVSGLAHLVRLRFVTLRVHAFAVSRSADSVSVASFRVRLGLMEQAFATCESLLEVTRHPWRKSFMNMMAWVRPEVMTSAAIYGMDGLARPINGGANGDFTPKKDSQFDLAAFYEAVKPSMEAGLLEEELPDLLPHLRTYQLRAANWMVQREKGNTMISSPNQHYVHSAPYCVPIDFMHKKSRMFYNPFNGNVSMHPEPSPPYVSGGILADEMGLGKTVELLACIFAHRRPYSADCSVSSNIKGADQIKRHKRERVECICGAASVTSAYQGIWIQCDICDAWQHASCVGYSPKEEMHVNDDDGDEASNNEKGTLKSKNRRKKKDRYCIAETEEKYICTLCLELIEAAQTNISSNATLIVCPSPILAQWHSEIIRHTRPGSLKVCIYEGAKNTDLTSTQKSDMAEISTADIVLTTYDVLKEDLSHDSDRHDGDRRFLRYQKRYPVTPTVLTRVHWWRLCLDEAQMVESSKTSVTEMAMRLNAQHRWCITGTPIQRRLDDLFGLLRFLKTHPFDTYRWWVDIIRDPYEKGDMVAMNYAHKFFKEIMWRSSKIHVSRELQLPPQEECFSWLIFSSIEEYFYQKQHATCMDHAHEIIRRIRDDANKSEPISDSNVVSNLYLSNDDIAKLLVPLLKLRQACCHPQVGSFGLCSLQRTPLSMGEILQVLIGKAKVEGEEELRKIVVALNGLAGLAVIEQNNQEAISLYKEALALACENFDDFRVDPLLNLHINHNLAELLRASSEYLQECPLKGQTSEVHGARKRKETSPADSGLCGIKRKKICENSRSSLMTDNPETSKEDKNINTQVCGSEEMDVENDSGCHTSSVCLADGCLKNTCNSIREKYLSVFTSKLLIAQKDFSASFTEVSTLSMELQNQNMNWWLYALDCIEQNKDSADELIRKIDISSNKSTTGLGSTGMSSRVQTIAGLKYTIQTGIDSLQSSRQQVMDRLLELDKTMDSPKDEDIECQRYCPNCYDGNGSLCIQCELDDLFQGYEARLFLVKKSNNDSVIASVEEARDLQRRKYELNQFFRNTKTNEGSEPGDDNKNPRSAREGFLVYRHPSRIETSLRVILTHSKTIMGEQSAKIAKKNLLLFEAMRKEFSQARNLSIAQTQLLRAHDEIKMSLSRLQLKEKDDEPSAVNIVTREELIPYNVQFTSEKFLSLSSLARIRGQLRYLKGESLPESGNSVAIATSIPATGQTASDIINEPCPICQEKIFDQKMVFQCGHFVCCKCCLYMTEQAAAHFGRSKKWIMCPTCRQRTDLENVAFVVENQRGNADREIEDLAESAISVQGSYGTKIEAVTRRILRITSTDGAAKILVFSSWNDVLDVLEHSLAANNISYVRMKGGRKSQTALAQFKGLASSISGEKAKKSFSKMQPAQVLLMLIQHGANGLNLLEAQHVILVEPLLNPAAEAQAISRIHRVGQDKSTFIHRFIVKNTIEESIYKLNRGRAVCSTIHRKSKNFKDELVLTLKDIESLFPVATLDQPSEQENKNYGGAGGHMRSLPPSVAAGLAAERRLLEQHDNQH >ORGLA07G0207800.1 pep chromosome:AGI1.1:7:20900462:20902392:-1 gene:ORGLA07G0207800 transcript:ORGLA07G0207800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDGGVGDGGAEPHEVMDDLLEMRVQAAMLHSMLHGTSPSSCAAAASTRQLNQLIDGVMSRLQSSSLSVMSPGGGGGGRRGSGGRKKKGAKAVAGPHRRSSSGRRRSKSPFVRMVTTKELEDGRQWRKYGQKHIQDSPNNPRSYYRCTHRPDQGCMATKQVQTSESNSSEFVISYYGEHTCRDPSTIPFVVEAEAPAADYANLISFGSSGGASTSRVDPLRQSRHRLMAEAVDPTPSCSFANCHSPVLSPECASEAAALSSSLPLSAVVGSAVTTPSTSIVGSAPADYDWPSGLAGGDMAGSFPSSPSSLGFMTGSFGNLPGDDDDMFGFDP >ORGLA07G0207700.1 pep chromosome:AGI1.1:7:20893570:20895304:-1 gene:ORGLA07G0207700 transcript:ORGLA07G0207700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPASSSGLFRFISPRRRPQSTDIAAAATWGVFAGTAAIYLVQPFDWIKKTFFEKPEPEA >ORGLA07G0207600.1 pep chromosome:AGI1.1:7:20881204:20890894:-1 gene:ORGLA07G0207600 transcript:ORGLA07G0207600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMGWAVLLVVVASMAGEAAGRFVVEKNSLRVTSPAGLRGVYECAIGNFGMPQYGGTMHGVVVYPKANKKACRSFDDFDLSFKPKPGGLPVFLLVDRGDCYFTTKGWNAQTAGAAAVLVADDRLEPLITMDSPESSGTDYIEKITVPSALVTKKFGDDLKKALENGDMVNVLLDWRESLPHPDERVEYEFWTNSNDECGAKCDMQMNFVRNFRGTAQVLEKRGYTQFTPHYITWYCPEAFVLSKQCRSQCINHGRYCAPDPEQDFNIGYDGKDVVLQNLIQICLFKVGNETHKPWVWWDYVHDFSIRCPMKEKKYTRECANGVIKSLGLDLERINKCVGDPEADEENPVLKAEQDAQIGQGSRGDVTILPTLVVNNKQYRGKLEKSAVLKAVCSGFEETTEPDVCLSQEIQTNECLESNGGCWQDKTNNFTACKDTFRGRVCECPIARGVKFVGDGYTHCEASGVGRCQINNGGCWKETENGKTVSACSNEESKGCKCPPGFKGDGIKSCEDIDECKDKLFCQCKDCSCENTWGSYECSCGGSNMLYMREHDTCISKVASSSVGWGFLWVIFFGLALAGIGAYAVYKYRLRSYMDSEIRAIMAQYMPLENQETPNQHRPVEHADI >ORGLA07G0207500.1 pep chromosome:AGI1.1:7:20865163:20871143:-1 gene:ORGLA07G0207500 transcript:ORGLA07G0207500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAAAAAALVAAKRCMNAACGAPAPSPAGGEWRKGWPLRSGGFAVLCDKCGLAYEQLVFCDIFHQKESGWRDCSFCGKRLHCGCIASKNSFDLLDSGGVQCVTCIKNSAVQSVPSPVVPKLFSSQNNQRLFGKSDDLLSGRPLETSSLMVDARNDDLTIIAKNNLPFMVKNVEAGQSSNILRQKELENGARQIKWELPTLSIGDMGRIPFLTRSQSALESRRDENKDPTTESTTSESLSEACLNMSLGIASNGNKLEATSTVERPMLSPTTGFPEGRELTTALSPFQHAQRARHFLTRPPRVGEGAVFDPTKDMLPHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGRPLTIQDAKGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIANGSILGDTLFSSTNENLAIVSGYSGFLQSIKGAADLHTSSIYDHHVNSADGDVSWLKTDKFGSRPDEGSLQFLKRGRNIGSKSRRLSMDAEEAWELKLYWDEVQELLRPAPTAKPTVVMIEDYEIEEYDEPPVFAKRSIFTIRSTGEQDQWIQCDDCSKWRRLPLNVIVASKWTCADNTIDSKSCSCSAPEELTPKELHIVLQQYEDMRRRRNSFGFKQNIPEMDAVSLDAFATAAVYGDVGNQGSPSVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPACTCNVCMTVRRRFKTLMMRKKQRQSEREEAEASKKIAWMNRDEPEGSSLSRSPQTVDTTRDGDVTMFDKVDINKGHIDLNFHPTAVRDEERHGGQPRVSMVSLLEVANRPLENYMKQNGLTSLAGEQGSSSTCTGAATVPQPALVEREERTSNNDGGRVATAEQPESMAVDEAGDNQPDKAAGDSAAALA >ORGLA07G0207400.1 pep chromosome:AGI1.1:7:20863152:20863649:1 gene:ORGLA07G0207400 transcript:ORGLA07G0207400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLRMPPVQRLGVSCAGAGRRRRAGPQGASGRDWRRRHAGAGRRQRAGPQGASGGGVEEGVGARGHRVEAAPWRRASSALGLLRGGEDLLRLRRAPCLEPRGKWLGMARCGPLPLPPTPFSYSSGQSMAAGRSSKSAGWSSQGAPVAGRRGPGSSCGVRSVAQ >ORGLA07G0207300.1 pep chromosome:AGI1.1:7:20858305:20862309:-1 gene:ORGLA07G0207300 transcript:ORGLA07G0207300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDAAQARRSALPGAEPQPQPQPPLPAPAHPXLRRRRRRRRAHAAAAAASAAPPARQIXGGLPLPGRPGPRGGADGGGFDEIGSEDDLFSTFMDMEKIAGADRDRAAETSSPPRPTKHRHSASFDGFAFGAGAGGPGPGLGKQQDGAGGVFSEVMEAKKAMSSEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQEVERLKIATGEMAKSNDAYNTGMQQVPYSPSFFQLSDQHAVQHHAGVQQLPHQFQQPHPSVPSHQMLSHPNSLSDMMQQDSLGRLEGLDIGKGPVAVKNEAEVVVKSEGSSISAGGEGH >ORGLA07G0207200.1 pep chromosome:AGI1.1:7:20849052:20854546:-1 gene:ORGLA07G0207200 transcript:ORGLA07G0207200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRGRRDYGGGWWSASGGSGRMTLAAVMATRAPRPAFIRREAIRAAEAAADEVVLRVQPTEEAERTRQGIIGYLKLLFGTALGCEVFAFGSVPLKTYLPDGDIDITILGNTAPDSTFISEVRGILELEEQEDGADVAITGLQFIDAEVKLIKCVIDNIVVDISFNQIGGVTTLCLLELVDHEVGNDHLFKRSIMLIKAWCYHESHILGAHRGLISTYALEVLVLYIFNIFHKSLHSPLEVLYKFLEYFSKFDWDKYCISLNGPVPLSSLPNLTVEPSGIHDELLFGPNGSCDRLIVLKKDSDGSNMNFRPKYLNIIDPIKSSNNLGRSVSKGSFYRIRGAFSFGAQNLSQILMLPTDLIPTEIFGFFVNTLKSHGRGKRSDVGNNGSFEPSLDPESEYALWEDSSDVKESDMSEDENRSPDLQRTSDSCFYNKVSGDSFSSHSPFSQEKGNNMKRHYDCAREEYLPLGRSSMEQHIYANNQSQILTPSTRINTLDVSNSCPAETNRSDLHEEKLPLSHFSPSNLLDLSGDLDLHLECLRKVQYHLESMFDWLIQEASFSGAVNNDSFNIPTQSSFSNTDGRALRPLLVSSAYTQRGNLSRVYCSHSTREISQKSVSRTEVQVNAVCQQNVALPSGTNNRLALPPSPVADSEKSPVSPLHNTVDIVGTHGAGMHTLNNVSLLSGTDVLSNAFAQLSFPAVNSVDYKYCWSYTTTNNRATSSQKTNRGKGGTGTYIPRMNYHTYKERIFYYNGRSQREMLPDRPFKIKTNPIGYIRRRSSPEMGCSSSSNGGITFENTSHTPSKKQDHSSKSTVTAEGSFAQERAPASQEWNICTNMNMVDSQKPGNDEDLVRPNNESRELRTLHPSEVQNREMTASSSSSVELPHCVGNGLQESNSSQPSSPATEASSPIKTTLVEGLEFGSFEPILGTSFLCEKFCEEFPPLPARKWPAVAAVSTPVTVSSSPAETGSKPEGLYQLRDEADFPPLKAGARNGFNHRVGR >ORGLA07G0207100.1 pep chromosome:AGI1.1:7:20842984:20847380:1 gene:ORGLA07G0207100 transcript:ORGLA07G0207100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Melibiase family protein [Source:Projected from Arabidopsis thaliana (AT3G56310) TAIR;Acc:AT3G56310] MEAPRLRLRLPFLLLVALVVSPPAVAAAASRMRIEPLPTAALRRLYDTSNYGKLQLNNGLALTPQMGWNSWNFFACNINETVIRDTADALVSTGLADLGYNYVNIDDCWSNVKRGKKDQLLPDPKTFPSGIKDLADYVHGKGLKLGIYSDAGIFTCQVRPGSLHHEKADAAIFASWGVDYLKYDNCYNLGIKPKDRYPPMRDALNSTGRQIFYSLCEWGQDDPALWAGKVGNSWRTTDDIQDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNGGMTFAEYRAHFSIWALMKAPLLIGCDVRNMTKETMEILSNKEVIQVNQDPLGVQGRRILGQGKNGCQEVWAGPLSGNRLAVVLWNRCEESANIIVKLPSVGLDGSSPYSVRDLWKHETLSENVVGTFGAQVDVHDCKMYIFTPAVTVASS >ORGLA07G0207000.1 pep chromosome:AGI1.1:7:20838091:20839083:1 gene:ORGLA07G0207000 transcript:ORGLA07G0207000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSCKMPLPLFHRNISLLPLAANFSVIKSGVQPRCTHCSSAHPHSPRPHCLTAAAAATMPLPSSWLFHKLRRRRSAARGEPDVVEAASKKQQPPMAAAAAAAPCSPSPNRASYYFASRERCLPPARAATDNHKLRDTRFPRSPQPNDDIVFDVVAVSASPARGRFDGMKAMPELKLRPILTKRATAKNDGDEGDALDSGTSAAASPTTRVRRFVHHAKPSSGRRKGRVAALPADATSRRRRRRRRCRWLYESLVVVKESADPEEDFLESMAEMIAANDVRSPRDLEELLACYLALNAAEHHRAIVGAFRRAWLHAAAATAAPPSPSPIK >ORGLA07G0206900.1 pep chromosome:AGI1.1:7:20827700:20828329:-1 gene:ORGLA07G0206900 transcript:ORGLA07G0206900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSCCLQCNPCGGMLALFKRRPRALLRRAVGKMNSSRRRRRRAAGSFSSVRAVFWPLMSMRSDADRNDVAAADRPPASSSTDDDSGGGGLRAPSPSLDTPASTTAARVLALQAQLGEAAAASTKPSSGGDDGVEEACRSFEKHLMEMLVEERKVRDLMDVEELLCCWEKLRSPVFVQLVGRFYGELCMDLFSGRDTDVSSDSEDLSL >ORGLA07G0206800.1 pep chromosome:AGI1.1:7:20817444:20821069:-1 gene:ORGLA07G0206800 transcript:ORGLA07G0206800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1QD76] MDPEFGRGMAPRKPLLLLQREPWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSESNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKLEYPPEVANRSRIKEWLEKHKTLQTALLIMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSRDQHEYAVIPITCVILVFLFALQHYGTHRVGFLFAPIVLAWLICMSMLGLYNIIHWNPQVYRALNPYYMLKFLRKTKKSGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTTLVYPALILGYMGQAAYLSKHHTLNSTYQIGYYISVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSENIHGQIYIPEINWLLMVLCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWHRSPALALVFFLFFGSIEALYFSASLIKFREGAWLPIMLALILMAVMFIWHHTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPAGHRSYRCIVRYGYRDVHQDVDSFEAELVESLATFIKLDALYHRCSDAGSGSEQLDDGRYERENALTVIGTNPLRRCLSYEASHDGVSSVDAARSPNGIVEVPAAAAAAPVTKKVRFVVEAASPEVEKGVVEELQELCEAREAGTAFILGHSHVQTKPGSSLLKKLAVGVGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL >ORGLA07G0206700.1 pep chromosome:AGI1.1:7:20810663:20814533:-1 gene:ORGLA07G0206700 transcript:ORGLA07G0206700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRRRARLSSSSLDLRVREAKATREPVPFEKEREARGRQSAEAGGPQVPLPSDAGRRTLRSTPRLPLARLRTVASASAFVSSKMASCVGALLASKVKAPRRDWFNLSGGSGYEQVKGQSQLATQEGYRCRAAVGKGPTVGPTNCAISVPFALALINHRTNGSTYSPGESRKHHAFPGTDYSDSDASKVRKAMIAAANRHQNFDSENAVSQQQCADGPRD >ORGLA07G0206600.1 pep chromosome:AGI1.1:7:20802542:20803873:-1 gene:ORGLA07G0206600 transcript:ORGLA07G0206600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QD74] MAEQRGNMLMKKYEMGKLLGQGTFAKVYHARNTETSESVAIKMIDKEKVLKGGLMDQIKREISVMKLVRHPNIVQLYEVMATKTKIYFVLEHVKGGELFNKVQRGRLKEDAARKYFQQLICAVDFCHSRGVYHRDLKPENLLLDENSNLKVSDFGLSALADCKRQDGLLHTTCGTPAYVAPEVINRRGYDGAKADIWSCGVILFVLLAGYLPFHDKNLMDMYKKIGKAEFKCPSWFNTDVRRLLLRILDPNPSTRISMDKIMENPWFRKGLDAKLLRYNLQPKDAIPVDMSTDFDSFNSAPTLEKKPSNLNAFDIISLSTGLDLSGMFEESDKKESKFTSTSTASTIISKIEDIAKGLRLKLTKKDGGLLKMEGSKPGRKGVMGIDAEIFEVTPNFHLVELKKTNGDTLEYRKVLNQEMRPALKDIVWAWQGEQPKQQQQPTC >ORGLA07G0206500.1 pep chromosome:AGI1.1:7:20785198:20786529:1 gene:ORGLA07G0206500 transcript:ORGLA07G0206500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QD73] MPPSTGSVPPAASTPAAGDEAAAAGRVLLGRYELGGLLGRGASAKVYLARDLLTGRDVAIKSFPNPRHGGGLRGGEEDVLLRPAPIEREAAILPRLRHRHVMRLREILATRKKVHFVLDLAAGGELFSLLDASGRMTEDLARHYFRQLISAVRYCHSRGVYHRDIKPENLLLDDAGDLKVADFGLGAVADGALHHTLCGTPAYVAPEILSRKGYNPAKVDIWSCGVVLFVLAAGYLPFNDASLVNMYRKIYAGKFRCPAWFSPELRCLVRRILDPNPATRIDTEEIITHPWFRQDASHFAMAQLMQHGHDEEAKFKTEFKEDDMARDMTAFDILACSPGSDLSGLFGAEPGKERVFVGEPAAAVLSRVEEAGKKEGYMVTREGKKGTGPVYVKGENGGIVAKVCVFKIADAVSVVEVVKGDGADAARFWKARLEPAVKPPAAI >ORGLA07G0206400.1 pep chromosome:AGI1.1:7:20773115:20774900:1 gene:ORGLA07G0206400 transcript:ORGLA07G0206400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEEAPAGSGGGGGGRAEIDTSAPFESVREAVDHFGGGAAAVWSSCLVNRMLTPPKEHDQMNGQTLQLEKELIIKESQTLDVLKELESSKRIISDLKLKVQNDSAITGHPGQTEAPGAGPEERRSSENVETDGELGGLDSQSLQPPSSVLMQLEQAKAYLTRTTADLAEIRASVESLCNEIAKEKILVERSREKVCSNTSLISSLEGELDRTTHKLQTLNDRQRRREDSSHILMEIKKVTSEIEQLKSASNASKSEATMLAAEIEQTKASIATAEVRCLAAKKMEDAARAAEALALAEIKALLSGEASAGDLQGTDGVNLSLEEYFELASKAQECDVSSRKKIEAAMLQVEEANRSKSNSLNKLEEAKLEFEKCKIALQDALKRAHAANRGKLAVEESVRRWLSESGYKRHSFHDSSKLKNAADITDVSKSFLKPTLSIGQILNLKLMGPDGYDKSVWDDTTEASNVSLGQILNRRNAVFCNSDITSQKILSGKRKKFAFTGLSVLLAKQAKRQEQEK >ORGLA07G0206300.1 pep chromosome:AGI1.1:7:20761447:20763198:-1 gene:ORGLA07G0206300 transcript:ORGLA07G0206300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QD71] MAPFLVVFAVMAAAFASASGSGELTPDFYSETCPQALTTIKLVVGAAILKEPRMGASLVRMHFHDCFVNGCDGSVLLDDTDDMIGEKLAKPNNMSLRGFDVIDAIKVAVNTACLGNVVSCADILAVAARDSIVALGGSSYEVLLGRRDATTASIDDANDDIPNPFMDLPDLVDNFESHGLSLQDLVVLSGGHTLGYSRCLFFRSRLYNETDTLDPAYAAALEEQCPIVGDDEALASLDDTPTTVDTDYYQGLTQGRALLHTDQQLYQGGGGGDSDELVKYYGENPDKFWEDFGAAMVKMGNISPLTGDDGEIRENCRVVNQ >ORGLA07G0206200.1 pep chromosome:AGI1.1:7:20759071:20760675:1 gene:ORGLA07G0206200 transcript:ORGLA07G0206200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QD70] MASAICISLLVVVALASAASAQLSATFYDTSCPRAMSIIKSAVTAAVNSEPRMGASLLRLHFHDCFVQGCDASVLLSGNEQDAAPNKDSLRGFGVIDNIKTQIEAVCNQTVSCADILTVAARDSVVALGGPSWSVPLGRRDSTGASAALALSDLPPFTASLQELVDAFAKKGLSVTDMVALSGAHTIGQAQCSTFRGRIYNETNIDSAFATQRQANCPRTSGDMNLAPLDTTTANAFDNAYYTNLLSNKGLLHSDQVLFNNGSTDNTVRNFASNAAAFSSAFATAMVNMGNIAPKTGTNGQIRLSCSKVNS >ORGLA07G0206100.1 pep chromosome:AGI1.1:7:20754767:20756085:1 gene:ORGLA07G0206100 transcript:ORGLA07G0206100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QD69] MASASSLGLLLMLAALVSTATAHLSPTFYDTSCPRAMSIIKSTVTVAVNNEPRMGASLLRLHFHDCFVQGCDASILLAGNERNAAPNFSVRGYDVIDSIKTQIEAVCKQTVSCADILTVAARDSVVALGGPSWSVPLGRRDSTGAATAAQVVSSLAPSTDSLAQLISAYASKGLSATDLVALSGAHTIGMARCRGFRTRLYNETNIDAAFAAALKANCPATPGSGDGNLAPLDTTTPNAFDNAYYRNLLSNKGLLHSDQELFSNGSTDNTVRSFASSAAAFGAAFATAMVKMGNISPLTGTQGQIRLICSAVNS >ORGLA07G0206000.1 pep chromosome:AGI1.1:7:20749030:20750283:1 gene:ORGLA07G0206000 transcript:ORGLA07G0206000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QD68] MASASSVSLMLLVAAAMASAASAQLSATFYDTSCPNALSTIKSAVTAAVNSEPRMGASLVRLHFHDCFVQGCDASVLLSGQEQNAGPNAGSLRGFNVVDNIKTQVEAICSQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTTANESQANTDLPAPSSSLAELIGNFSRKGLDVTDMVALSGAHTIGQAQCQNFRDRLYNETNIDSSFATALKANCPRPTGSGDSNLAPLDTTTPNAFDSAYYTNLLSNKGLLHSDQVLFNGGSTDNTVRNFSSNTAAFNSAFTAAMVKMGNISPLTGTQGQIRLNCSKVN >ORGLA07G0205900.1 pep chromosome:AGI1.1:7:20743850:20745099:1 gene:ORGLA07G0205900 transcript:ORGLA07G0205900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QD67] MASATNSSLSLMLLVAAAMASVASAQLSATFYDTSCPNALSTIKSVITAAVNSEARMGASLLRLHFHDCFVQGCDASVLLSGQEQNAGPNVGSLRGFSVIDNAKARVEAICNQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTTASEALANTDLPAPSSSLAELIGNFSRKGLDATDMVALSGAHTIGQAQCQNFRDRIYNETNIDSAFATQRQANCPRPTGSGDSNLAPLDTTTPNAFDNAYYSNLLSNKGLLHSDQVLFNGGSADNTVRNFASNAAAFSSAFTTAMVKMGNISPLTGTQGQIRLSCSKVNS >ORGLA07G0205800.1 pep chromosome:AGI1.1:7:20739629:20741143:1 gene:ORGLA07G0205800 transcript:ORGLA07G0205800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QD66] MASSLSVAVLLCLAAAAAAQLSPTFYDTSCPRALATIKSAVTAAVNNEARMGASLLRLHFHDCFVQGCDASVLLADTATFTGEQNALPNKNSLRGFNVIDSIKTQLEGICSQTVSCADILAVAARDSVVALGGPSWTVGLGRRDSTTASMDSANNDLPPPFFDLENLIKAFGDKGFSVTDMVALSGAHTIGQAQCTNFRGRIYNETNIDAGYAASLRANCPPTAGTGDSNLAALDTTTPYSFDNAYYSNLLSNKGLLHSDQVLFNGNSTDNTVRNFASNRAAFSSAFSSAMVKMANLGPLTGSQGQIRLSCSKVN >ORGLA07G0205700.1 pep chromosome:AGI1.1:7:20735939:20737270:1 gene:ORGLA07G0205700 transcript:ORGLA07G0205700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QD65] MASCLSVLLLLCLALAGSISGQQLSATFYSRSCPRALAIIRAGVRAAVAQEPRMGASLLRLHFHDCFVQGCDASVLLNDTANFTGEQGANPNVGSIRGFNVVDNIKAQVEAACKQTVSCADILAVAARDSVVALGGPSWRVLLGRRDSTTASLALANSDLPPPSFDVANLTAAFAAKGLSQADMVALSGAHTIGQAQCQNFRDRLYNETNIDAAFAAALKASCPRPTGSGDGNLAPLDTTTPTAFDNAYYTNLLSNKGLLHSDQVLFNGGAVDGQVRSYASGPSRFRRDFAAAMVKMGNIAPLTGTQGQIRLVCSKVN >ORGLA07G0205600.1 pep chromosome:AGI1.1:7:20725897:20727260:1 gene:ORGLA07G0205600 transcript:ORGLA07G0205600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRERKKAAALHEKLQILRSITHSHALSNTSIITDASEYIKELKQKVVRLNKEIACAEAAALRQNSIPTVTVETLGHGFLINVFSDKSCPGLLVSILEAFDELGLNVLEATASCDDTFRLEAVGGENQVDEHVIKQTVLQAISNSNCSESSGDQEG >ORGLA07G0205500.1 pep chromosome:AGI1.1:7:20667495:20667716:1 gene:ORGLA07G0205500 transcript:ORGLA07G0205500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLGEDSIWHTMELLCAYGMRGRIWKESKYGTIGYVKFVSCTRGFPKVFRTPSMSLVRGFRLPTSGINRGGA >ORGLA07G0205400.1 pep chromosome:AGI1.1:7:20654874:20657054:-1 gene:ORGLA07G0205400 transcript:ORGLA07G0205400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSLLYTLDIMFTVIVIGIVVIMGAFVPRWCRDYILVFNVLAALSALGLIKAKMVYGAQIFLAAILHLVEIVTILYTFRKELVLLRSNKASLRELVPRRSDEASLQGEARLQEVVLQISDEASLQDEASLQDVLLKVIERARPWAPFFFAGLVSTAYGMVIVFFAGGRPASAFFLGDFGVCFILVGLIVIIARSQHERTQDDKGLWADLSDTRNTLLSSSGITISACYNLITYRGMLWPPASFVCNKAIPNTCKVFLWLAFGGRLNTNDNRVTKMWDSNPHCGVCPAIETSCHIILRCKLADGIWRKLNLLNQARQSANLLQFVEQVMTSSPPHSQPGWPVCFAACTHGLWKARNLRTFQEKLLTEATLLY >ORGLA07G0205300.1 pep chromosome:AGI1.1:7:20646136:20646885:1 gene:ORGLA07G0205300 transcript:ORGLA07G0205300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARAVRLIASRPPSTNLRSLVVVPGRRRLLSTSTEAGGAGDPSVHSGDPPSDDYPDRPPKFSGAEEATGGGDPSTAAATPSESTKERVPPFAMSGKLGSQELADPAGGSSFTQKRRRSSSSRPADSREEATPGGEEAAGRKVREEDREYYRTHKPSPLAELELADTRKPITRATDGGGAADRLSDVPGKVVEDTADDSLARAEAMFREAASRGNPEWPHSRALAEMLARRRGEGDAAGSRSSAPWGS >ORGLA07G0205200.1 pep chromosome:AGI1.1:7:20639283:20642869:-1 gene:ORGLA07G0205200 transcript:ORGLA07G0205200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1QD60] MPPPRTKHRPLLLATTFLSLLLLLLLLSLLSTAHKAFAVADDDGHRARVLLAADGGERNADAEHAAAVDRHCAGTLHRDVCVSTLSTIPNLARKPLRDVISEVVGRAASAVRASSSNCTSYLQRPRQLRTRDRLALSDCLELFGHTLDLLGTAAAELSAGNSTAEESAAGVQTVLSAAMTNQYTCLDGFAGPSASEDGRVRPFIQGRIYHVAHLVSNSLAMVRRLPTQRRRGAEEEPLEGYGRVRRGFPSWVSASDRRRLQQQVAADVVVAKDGSGKFTTVSEAVAAAPNNSETRYVIYIKAGGYFENVEVGSEKTNIMFVGDGTWKTVIKASRNVVDNSTTFRSATLAVVGTGFLARDITVENAAGPSKHQAVALRVNADLSAFYRCSFAGYQDTLYAHSLRQFYRDCDIYGTVDFIFGDAAVVLQNCNLYARRPDPNQKNVFTAQGREDPNQNTGIAIQGCKVAAAADLVPVQANFSSYLGRPWKTYSRTVFLQSKIDSLIHPRGWLEWNGSFALDTLYYAEYMNRGDGADTSARVSWPGYHVLTNATDAANFTVLNFVQGDLWLNSSSFPYILGLS >ORGLA07G0205100.1 pep chromosome:AGI1.1:7:20632689:20638786:1 gene:ORGLA07G0205100 transcript:ORGLA07G0205100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-CoA dehydrogenase-related [Source:Projected from Arabidopsis thaliana (AT3G06810) TAIR;Acc:AT3G06810] MLTSGLLRPVDDAHAIDEAALLRYAAEHVAGFPSPARGLALTQFGHGQSNPTYCIEASAPGGVTARYVLRKKPPGAILQSAHAVEREFQVLKALGTYTDVPVPKVFCLCTDASVIGTPFYIMEHLEGLIYPDNKLTGVTPTKRKTIYLAAAETLAAIHKVDVTAIGLQKYGRRDNYCKRQVERWGRQYLSSTGEGKPARYQKMLDLAHWLKEHIPKEDSSAGFGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSSLPYIIDATTSTGYSYGGFEYTGIPDGIPPLEEYLAAYCSISARPWPAASWKFYVAFSLFRGASIYAGVYHRWTMGNASGGERARFSGKIANAMVDRAWDIINRENVLREQPARGMHVSNGPSQEFQRKHEGSISTKDQGKFVPSEKVMQLRNKLMKFMEDYIYPMESEFYKRAHSTSRWTIHPEEEKLKALAKREGLWNLFIPLDSAARARELLFEDMSHGSPGSSEELLLGAGLTNLEYGYLCEIMGRSIWAPQIFNCGPPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPQVASSDATNIECSISRQGDFYVINGTKWWTSGAMDPRCQILVLMGKTDFSAPKHKQQSMILVDVKTPGVQIRRPLLVFGFDDAPHGHAEITFENVRVPATNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTTFGKKIAQHGSFLADLAKCRVELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGGAGLSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARM >ORGLA07G0205000.1 pep chromosome:AGI1.1:7:20624472:20625216:-1 gene:ORGLA07G0205000 transcript:ORGLA07G0205000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPQREAHRAATGSKRALCASDFWPSASQEAADFDPLTAPCTFTPDQAAEEPTKKRERKTLYRGIRRRPWGKWAAEIRDPAKGARVWLGTFATAEAAARAYDRAARRIRGAKAKVNFPNEDPPLDDPAADGHSHGGAAIPCREFMDYDAVMAGFFHQPYVVADGVPAVPAEEAPTVAYVHHHLPPQPQQDAGLELWSFDNIHTAVPM >ORGLA07G0204900.1 pep chromosome:AGI1.1:7:20621171:20623409:1 gene:ORGLA07G0204900 transcript:ORGLA07G0204900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIDLVAGGRNKKTKRTAPRSEDVYLKLIVKLYRFLVRRTKSHFNAVILKRLFMSKTNRPPLSMRRLVRFMEGKVPDRHAISGDQIAVIVGTVTDDKRIYEVPAMKVAALRFTETARARIINAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSNTKPYVRSKGRKFEKARGRRNSKGFKV >ORGLA07G0204800.1 pep chromosome:AGI1.1:7:20619379:20620094:1 gene:ORGLA07G0204800 transcript:ORGLA07G0204800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMKSQLVLATLFLAGLVARGAEASIAGVVYCSLQCLTLPNLLPKATVRLQINSYEIPTAGNQGFIRRNSKGQFVVLLNVTSSEMMGSLMSGSGRVAVIAPPPAARGGASLPAAAAAGGTTLVAPVVPHGARILGATAADNTLRQILDQLQSSSDLLPGLAQGLDYLLNNATMEVLRELADDIVPTGVSYGGDTVDAYVAFDVGPFS >ORGLA07G0204700.1 pep chromosome:AGI1.1:7:20617862:20618729:1 gene:ORGLA07G0204700 transcript:ORGLA07G0204700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVLLVVIGVAVVSVVASAAPPAQPPRIQADVVVMGYVPCNNGTSMKSGSAPGFPNAVVQLQCAGDAVAAVAAGSATTDGKGWFRMAMNTTAALSSVASGCSLVVTTPLATCDAALPATGTLQSGLRLLVSMVFFPRGFSYVV >ORGLA07G0204600.1 pep chromosome:AGI1.1:7:20615534:20616047:1 gene:ORGLA07G0204600 transcript:ORGLA07G0204600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVVVLLVVAAACVLGAADAKLGRLVVTGVVPCNTGSLIDIATSPAFPNADVELRCAGKLVAGATTNSNGSFAMEADLTSGLAMLIGGCKLVVDTPLIKCDANLPAAGSLVSYLQGPLTRLLGGIFRLFPAGFSFHAH >ORGLA07G0204500.1 pep chromosome:AGI1.1:7:20597876:20600089:-1 gene:ORGLA07G0204500 transcript:ORGLA07G0204500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18020) TAIR;Acc:AT3G18020] MAAAAAQGERPDLGSLVDELCATGRAAEAHHRVSLLFLSSSSAAVPSRHLDGRAADGLLRRLLRARKPLLTLRLLQAAPPAALVPSLPNYNRLLALLCGSSAPLLLVLLAHRLLLRMRAAPDAATYAALLDGYARIPDPRAVRKLLDEMPRRGVAPSSLARTYLVKALLRSRDVDGAMALVDNHLWSSMERSNGEDQELKNAAFANLVQCLCIEGFFHIVFRIAEEMPQQRCGVADVFAYSQMIDSLCRSGQHHGASRIVYIMGKRGMYPSTVSYNCIVHALCTSQKPGGRLRAYQLVMEGVRFRYHPREVTYKVLVDELCRENELAKAKDVMELMLKVTPDDDTRTRIYNMFLAALRVVDNPSEQLDVLVSMLQEGCKPDVITMNTVIHGFCKVGRAQEARRIVDDMLNGKFCAPDVVTFTTLISGYLGVGEFAEALHVLSTLMPKHQCLPNVVTYNCVLKGLLSLGLLDRAMEALEEMKSSNVIPDSVTHTVMIKGFCDAGELEKAKSFWDNVIWPSGMHDDYVYSAIFRGLCKLGKLEQACDFLYELVDSGVAPGVLCYNILVDAACKQGLKKLVYQLVKEMRRNGISPDAVTWRIIDRLHLYSDEKQDGELQMSATDGDPSSADDTVDTLISNRDEKHTPSLPSKTSKFGTVVRDDSSKCEEEEVDYSPCASEDPPNIIEPAKEEACPMNNSGAGTTMGNRGMTREEGLMKPDKQPLKREPLSRVARKVFGLL >ORGLA07G0204400.1 pep chromosome:AGI1.1:7:20595645:20596795:1 gene:ORGLA07G0204400 transcript:ORGLA07G0204400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAVAAKGGAGGKKKGSVSFVIDCSKPVEDKIMEIASLEKFLQERIKVAGGKAGNLGDSVTVTRDKTKVTVTSDGAFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRNVYELRYFNIAENEGEEED >ORGLA07G0204300.1 pep chromosome:AGI1.1:7:20589753:20592567:1 gene:ORGLA07G0204300 transcript:ORGLA07G0204300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSELIYRRGHDAQPAAGDVDGCGVMAAAGYSSSAKPPHKPPLGPLRYLLAEQRLVFALVGMAIASLVFLLAAPSSGNGGRHEVMDGGAARLAAAGLAVRQYSGVAAAAAGARVPLGLKKKGLRVVVTGGAGFVGSHLVDRLLARGDSVMVVDNLFTGRKENVLHHAGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDISRAKELLGWEPKIPLHKGLPLMVQDFRDRIFGDHKPHSVAGDN >ORGLA07G0204200.1 pep chromosome:AGI1.1:7:20583768:20584286:1 gene:ORGLA07G0204200 transcript:ORGLA07G0204200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMGETSVLLPTPGAQFLVNGIIYSSLVDLMALIPGFMDLEYATRKKEMANKFRVMLLIKCLNWMDCNMLLDNLHLTEAAFRNRSMIRKCTGEGKIMSKKPTQAQKTEV >ORGLA07G0204100.1 pep chromosome:AGI1.1:7:20580263:20580812:1 gene:ORGLA07G0204100 transcript:ORGLA07G0204100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSTMQSMREWVVDHKLRAVGTLWLTGVASSIAYNWSRPGMKTSVKIIHARLHAQALTLAALAGSALVEYYDHRSGSGSRVHQYAKQFITPESNPQKE >ORGLA07G0204000.1 pep chromosome:AGI1.1:7:20575966:20576409:-1 gene:ORGLA07G0204000 transcript:ORGLA07G0204000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGAEDYYGPYYHGGGRHGGGGGPPHALLLMAVVLALAVAGPRVLGEGAGEAITAALTDMLSPVGLLLLPVSLVFVIRILSDDRSAAVLANVLAFGAGAPDAVHRVGGSPVGVVVVLFLVLMMVYYRPSWLLRGGAGAGGEGDGE >ORGLA07G0203900.1 pep chromosome:AGI1.1:7:20572359:20573498:1 gene:ORGLA07G0203900 transcript:ORGLA07G0203900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAPTSSPEPPEFIRGSLIPALPDDLAIHCVALLPRAAHPSLALVSRAFHTLLCRDPGPLLAARRRLRLSDPHVILSLRPPASASPLFFLLLPHPGWPPLPLPSPPVPVSSSSSVAVDGNRVFLFGGSVSGVPSPSVQILDPRTRSWSVGPRLSSPREFAAAVAHSGVLFVAGGCVPSSPFWAESLNLSSPDAKWSPVPSPPHFREKWMHGSASLAGKVLAVADRGGLAYDPAAPPTEAWAPVSPILDMGWKGRAAVVGGILYSYDYLGQVKGYDPDTDCWSKVEGLEQELPKFLCGATLANVGELLYLVWEGKWKGKGKAKGKGEARSMVVIDWAAIAVTKAEEGRLTGKVLSRDTIVFKDMPKGSAITHCIALEL >ORGLA07G0203800.1 pep chromosome:AGI1.1:7:20570695:20571048:-1 gene:ORGLA07G0203800 transcript:ORGLA07G0203800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASLSAVAARPLSVAGLKKPLCASFQPVPRARPAAAARMAVRASASMKERATAGLTAAAMAAAMVLPDVAEAAQGSLTPSLKNFLLSIVSGGVVLVAIVGAVVAVSNFDPVKRT >ORGLA07G0203700.1 pep chromosome:AGI1.1:7:20566015:20569593:-1 gene:ORGLA07G0203700 transcript:ORGLA07G0203700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVEFEDPRDAEDAIRGRDGYNFDGNRLRVELAHGGRGNSSSFNNSGGGGRRGGVSRHTEYRVLVTGLPSSASWQDLKDHMRNAGDVCYSEVYREGGGTIGIVDYTNYDDMKYAIRKLDDSEFKNAFSKAYIRVKEYDGKRSRSYSRSRSRSRGRSYSRSRSPSKSPKGKSSRRSASRSRSRSASSRSRSESKGRSPSRSPARSESPNTSPANGDAASPKKRSPSRSPPQETXPKQEPITFPISXCQVRM >ORGLA07G0203600.1 pep chromosome:AGI1.1:7:20564607:20565307:1 gene:ORGLA07G0203600 transcript:ORGLA07G0203600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGEQGKTVVVVGVDDSEHSNYALEWTMQHLASGMAGGGGGAELVIVHAKPSPSSVVGFGAGPGSGEVVRYVEADLRKTAEDVVEKARRLCIANAMHALIEVIEGEPRYVLCNAVEKHSAGLLVVGSHGYGAIKRAFLGSVSDYCAHHAHCSVMIVKQPKAKRSRAETA >ORGLA07G0203500.1 pep chromosome:AGI1.1:7:20553790:20556845:-1 gene:ORGLA07G0203500 transcript:ORGLA07G0203500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX (SYG1/Pho81/XPR1) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G02860) TAIR;Acc:AT1G02860] MKFAKKYEKYMKGMDEELPGVGLKRLKKLLKKCRSDLQSHENDGSSAGRCPGHCSVCDGSFFPSLLNEMSAVVGCFNEKAKKLLELHLASGFKKYTMWFTSKGHKSHGALIQQGKDLVTYAIINAVAMRKILKKYDKIHYSKQGQEFKAQAQSLHIEILQSPWLCELMAFYMNLRRSKKNNGAMELFGDCSLVFDDDKPTISCNLFDSMRVDISLTCSICLDTVFDPVALSCGHIYCYLCSCSAASVTIVDGLKSAERKSKCPLCRQAGVFPNAVHLDELNMLLSYSCPEYWEKRIQMERVERVRLAKEHWESQCRAFLGM >ORGLA07G0203400.1 pep chromosome:AGI1.1:7:20549723:20551932:-1 gene:ORGLA07G0203400 transcript:ORGLA07G0203400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8e family protein [Source:Projected from Arabidopsis thaliana (AT5G06360) TAIR;Acc:AT5G06360] MPQGDHIELHQKRHGRRLDYEERKRKRAAREVHKRSRDARQLLGAKGKRFAKKRYAEKAQMKKTLKMHDESTSRQKVEDVQEGALPPYLLDRDQTQRAKVLSNTIKQKRMEKAGKWEVPLPKVRPVAEEEMFKVLRTGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGQMYSTLGVLTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >ORGLA07G0203300.1 pep chromosome:AGI1.1:7:20546844:20548787:1 gene:ORGLA07G0203300 transcript:ORGLA07G0203300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXESARLRACLRFLSPVLPLPAAAAISEGRAARKVLVERGGADEADGMVMWPPAPVMELARLAVDSGGDPGAIHRALDPTMLPVPDVQRAKRNKCQLTRTPYGRRFANKDINSYLAFLFELIAARGPSVGLNVSLSRYDFFHGHLFLASGTGRLGILFHAKEYPAFDKELFPYSLGFCQAGSNVVYDDSMNLRNILWLAPLPSNETKAWLSPGVLVVLDAHPDGIIYQDMIRNYVRDVRTIYEDDFGEVAVDVNYLNVANSAPANRVFIC >ORGLA07G0203200.1 pep chromosome:AGI1.1:7:20541587:20543011:-1 gene:ORGLA07G0203200 transcript:ORGLA07G0203200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QD40] MAQAERERLRVLMFPWLAHGHINPYLELATRLTTTSSSQIDVVVHLVSTPVNLAAVAHRRTDRISLVELHLPELPGLPPALHTTKHLPPRLMPALKRACDLAAPAFGALLDELSPDVVLYDFIQPWAPLEAAARGVPAVHFSTCSAAATAFFLHFLDGGGGGGGRGAFPFEAISLGGAEEDARYTMLTCRDDGTALLPEGERLPLSFARSSEFVAVKTCVEIESKYMDYLSKLVGKEIIPCGPLLVDSGDVSAGSEADGVMRWLDGQEPGSVVLVSFGSEYFMTEKQLAEMARGLELSGAAFVWVVRFPQQSPDGDEDDHGAAAARAMPPGFAPARGLVVEGWAPQRRVLSHRSCGAFLTHCGWSSVMESMSAGVPMVALPLHIDQPVGANLAAELGVAARVRQERFGEFEAEEVARAVRAVMRGGEALRRRATELREVVARRDAECDEQIGALLHRMARLCGKGTGRAAQLGH >ORGLA07G0203100.1 pep chromosome:AGI1.1:7:20537660:20539006:-1 gene:ORGLA07G0203100 transcript:ORGLA07G0203100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYSSFPPPPPSPPPPSTTSEQRKVFTLWMKSLVLNGRGCTVYDSTGGIVYRVDNYGSSCSDNVYLMDLRGKIVLNILKKKLAFGKWEGYKWSSGERQRDAAAGAGAGGAWFTVTRPCSSILFQRRRRPSSTCEFRSGGGGGDDGGRAMRYKMDDCSGGGGGGGGKQQAWCRIVDGATGAVVAEVKGKTTAGGVALGDDVLTLTVEPNVDHALIMGMVLVYGLMNHTM >ORGLA07G0203000.1 pep chromosome:AGI1.1:7:20526365:20536487:1 gene:ORGLA07G0203000 transcript:ORGLA07G0203000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSAAAKRRASSEEAASPATDGAAAGAGAGAGAAGSSTPRRRSGKRAKAEATVGTPAAKAGGADATAAAAIDVIDSSVENLHGVARPTGAVPASSTVSNSGVKKKRTKYINVPSAEELSLWKARQAVANGRAEAWGRLISQSSESPSVPIYTTHFTVGHGGNYDLRLTESFPGSLICKLKHVKRGAALEIYVSKAVHVNGKVLDKTAKVTLVGGDEVIFSSLGRHAYIFQQLPEERSSTSTFSATCAFQQGQYPVTKGTLDVSSKGAKLSVMPFNFGNGRPPLVPHDTEIVSSLCKTMEEQSQLASEENLQVAQHQLLKEDLKKVVVNASDISDSFDSFPYYLSENTKNALLSSAYVNLCCKESIKWTKHISSLCQRVLLSGPAGSEIYQESLVKALTKHFGAKLLIIDPSLLASGQSSKSKESESYKKGDRVRYIGSVQSTGIILEGQRAPDYGSQGEVRLPFEENESSKVGVRFDIKIPGGIDLGGNCEVDRGFFCPVDSLCLDGPGWEDRAKHPFDVIYEFASEESQHGPLILFLKDVEKMCGNSYSYHGLKNKIESFPAGVFIVGSQIHTDSRKDKSNSGSPFLSKFPYSQAILDLTFQDSFGRVNDKNKEALKIAKHLTKLFPNKVTIQTPQDELELSQWKQLLDRDVEILKAKANTSKIQSFLTRNGLECADIETSACVKDRILTNECVDKVVGYALSHQFKHSTIPTRENDGLLALSGESLKHGVELLDSMQSDPKKKSTKKSLKDVTTENEFEKRLLGDVIPPDEIGVTFEDIGALENVKETLKELVMLPLQRPELFSKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRKKILSVILAKEDLADDVDLEALANLTDGYSGSDMKNLCVTAAHCPIREILEREKKERASAEAENKPLPPPRSSSDVRSLRMNDFKHAHEQVCASITSDSRNMTELIQWNDLYGEGGSRKKTSLSYFM >ORGLA07G0202900.1 pep chromosome:AGI1.1:7:20520311:20521283:1 gene:ORGLA07G0202900 transcript:ORGLA07G0202900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXGRRRXSAAADTHTTTRCSAATARCTWCRTSAATCSSPRTTCLSSSRSSSPSRPAKKPKEMISSPLTDYLKKGDIEYEVNKMVLRFDDKITYLYELIPPLYVGQLPIRQLQACKVQRFLDVVIELLG >ORGLA07G0202800.1 pep chromosome:AGI1.1:7:20519926:20520168:1 gene:ORGLA07G0202800 transcript:ORGLA07G0202800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSGRARNRRRRAAGGGDSCGRRDRSRGWRRAGAEAAAGSGRGAEADGGLSRLRRSFIPRAEQGGAAVVCPAASAASR >ORGLA07G0202700.1 pep chromosome:AGI1.1:7:20512752:20514724:1 gene:ORGLA07G0202700 transcript:ORGLA07G0202700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubber elongation factor protein (REF) [Source:Projected from Arabidopsis thaliana (AT3G05500) TAIR;Acc:AT3G05500] MAEPNPQVEREVEVEEVRRPKLRYLEFVQVAAAQATICLAGLYALAKDHAGPLRPGVDAVESAVKGVVGPVYGRFHGVPLDVLAFVDRKVDDTVQELDRHLPPTLKAASAKACAVARGVPDVARELTAEVQQSGVTGAARVAYAKVEPVAKGVYGRIQPAAKDLYVRYEPAAEHLAVSTWRSLNNLPLFPQVAQIAVPTAAYWAEKYNKVIAAAADKGYTGAQYLPAIPTERIAKVFGESSPEAQPSKSESAKTQ >ORGLA07G0202600.1 pep chromosome:AGI1.1:7:20504585:20511335:1 gene:ORGLA07G0202600 transcript:ORGLA07G0202600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLFPNVSFSHVSAPAAAGASTEVTGGATAVFGRDASTGAPRLSLVWSGETQAKHTLEIDLSDAQIFKLGPTEWLCVSGESEAKDGVEEKSYSRAIKVVLRTEAESKAFYLAFQQWKHRVISGKAGEPLENGLIIGSKSKFDTKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLISGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPGGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLVSPPTFHTLDFTTMKEEELYEIDIPLNFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCILSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSAKMWGEGAEQGGILQTSTAKLELKEPYYRLSQPQPYVMQQDQQQQQLPSLQPQGSEQQMQDGLSPSITIEPDQDSAAFTR >ORGLA07G0202500.1 pep chromosome:AGI1.1:7:20494216:20498758:-1 gene:ORGLA07G0202500 transcript:ORGLA07G0202500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHNLDYKGSGALEELEMLTVNAKEAQELILTKILERNQATEYLSKFMNGSTNISAFKRHVPVVTYDKVHPYILRIATGEESSILCGEYILELLRSSGTSRGEPRLMPSILKDLDRRTYLYSLIMPIMNKYISGLGEGKAMYLLFVKAETLTDSGIPVRSVLTSYYKSPHFLHRKHDLYNNYTSPDEVILCPDSQQSMYCQLLCGLVERQHVLRIGAVFASAFLRSISFLEQHWRDLVNDIRIGQLNSSITSPACRLAMLNFLALPNPELADQVEAICSCGSWKGILGRLWPNVKYIEAVLTGTMAQYIPMLEFYGGGAIPFVCTMYASSESYFGVNLSPLCSPADVSYTILPNMAYFEFIPLEDGLRLTDHEEVIENDKLVSLVDVKVGCYYELVVTTFSGLYRYRVGDVLQVTGFYNRAPQFKFICRRNVILSIDSDKTNEEDLHNSVTTAKKILENQNYLLLEYTSYTDISTVPGHYVLFWEIKSTHDERPAPLDAQLLESCCAAVEESLDYVYRRCRAHDRSIGPLEIRLVEAGAFDALMDLLVSHGSSINQYKTPRCIESSLALKLLNSKVIACFFSPQDPECGM >ORGLA07G0202400.1 pep chromosome:AGI1.1:7:20484787:20486002:1 gene:ORGLA07G0202400 transcript:ORGLA07G0202400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAEPLQYTTTVLRVSIHCEGCKKKVKKVLQNIEGVYKVTIDAAQHKVTVTSSVGADVLVRRLHKSGKHATVWPSPPVAAAAKQKPDEVAAAPPPAAAPAPAGERGKGAEKKAKEAAKEAGTESSEKKPEKEKKAEAKKPAKDDAAKKEGEVPEKEKGSPEPNKESAAAAADEEEGGEESGGKKGKKKKNKQKDGGGEADAAAPEKPAAKAPPAAPAPSMPAPAPTLSSPERPHGGFPYYSPQPVMSYNVAHPSASVSSYYAPTPVMSMQPTPMPPPPQMSYGYSPYPPMMMPPPPPPEYLYGPPGMRSSPPQESYSNMFNEENPSSCSVM >ORGLA07G0202300.1 pep chromosome:AGI1.1:7:20475526:20477181:-1 gene:ORGLA07G0202300 transcript:ORGLA07G0202300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSESPAAAAAPAKLPATPLAGFVSLLTARRFAAAKSLLASLVTPRLLAVPFADLAAASLPRAAPRHAVTAFYDMLFRAYADSGAAARAAEAFELTVSRLGGLDPRSLTSSLLSLRRAGYLDTAADLLKQAATSCPDSVTPLSASVVVDGYCKSGRVAHARQLLDEMPRHGVKVNALCYNSLLDAYTREKDDDRVAEMLKVMENEGIEPTVGTYTILVDGLSAARDITKVEAVFEEMKSKNLSGDVYFYSSVINAYCRAGNVRRASEVFDECVGNGIEPNERTYGALINGFCKIGQMEAAEMLVTDMQVRGVGINQIVFNTMIDGYCRKNMVDKALEIKMIMEKMGIELDVYTYNTLACGLRRANRMDEAKNLLRIMIEKGVRPNHVSYTTLISIHCNEGDMVEARRLFREMAGNGAEPSLVTYNVMMDGYIKKGSIREAERFKKEMEKRGLVPDIYSYAALVHGHCVNGKVDVALRLFEEMKQRGSKPNLVAYTALISGLAKEGRSEEAFQLYDNMLGDGLTPDDALYSALVGSLHTDKKQNVKPRTN >ORGLA07G0202200.1 pep chromosome:AGI1.1:7:20473992:20475002:1 gene:ORGLA07G0202200 transcript:ORGLA07G0202200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPLTAPAPAPETPPRLAGFEQLDARIKELTSSQGELLDRIQKLKLEVQNWRSNLETQVKTSQNELLELKKGLNSEVELLKSVSLFLFHVLALFVLILRSYRSWFWHMILGNEGNQVCNSGGKGHFTDSVCRYREEQRRHKPSPAASRTSTGV >ORGLA07G0202100.1 pep chromosome:AGI1.1:7:20469793:20470110:1 gene:ORGLA07G0202100 transcript:ORGLA07G0202100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVWVFRNNGVMELEEQATSRKALVHVATSEVIRSTEALERRLGALGWERYYEDRATLQLHRRDGSADLISIPRDFSRFRSTHMYDVVVKNRDHFKVVDLHT >ORGLA07G0202000.1 pep chromosome:AGI1.1:7:20462467:20463157:1 gene:ORGLA07G0202000 transcript:ORGLA07G0202000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIHKCKLRKLGRELFPTSLKPSLPRAWQAKKGRFPGGRRGWLRKDPISGCLSSIMLRSAAIIWTGNLHQLLQLQHMYLETAFFSFTAISSLFAYYLPVSIVLGYQKKWRSQELLRSFSLLVSWYH >ORGLA07G0201900.1 pep chromosome:AGI1.1:7:20455277:20455843:1 gene:ORGLA07G0201900 transcript:ORGLA07G0201900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosome subunit biogenesis protein NIP7 homolog [Source:UniProtKB/TrEMBL;Acc:I1QD27] MRALDEKETKMVFEKLFKFTGPNLKHLLERPAVEGPDPQAGRYCLRLHKNRVYYASEALVRRATAVARPRLAGVGTPIGKFTHGGAFHLTVHALDLLAAHARRRVWLKPDTERSFLFGNSVPKSSLARITENTKANDGVVVMSMADVPLGFGIAARSAQDCRKADTNAVVVLHQSDAGEYLRREEELM >ORGLA07G0201800.1 pep chromosome:AGI1.1:7:20442027:20442959:1 gene:ORGLA07G0201800 transcript:ORGLA07G0201800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVLEVTLHSARDLKNVNFISRMEVYAVATISGDPLTRQCTPPDPYGGRHPAWNATLRFTVPPTAASAAGCLHVLLRAERSLGDRDIGEVIIPLADVLSGPYDLGARPPQFASYQVRKLHRSETRGVLHLSYRLGPVVAPQSVFAYPAPPPPPPQLFETAPPSPPYVPPPPDAYLRKPSPPSPPPAKPSPPPPPQTQTQPLAKPPAPATPSRAGGHVAALVPPAVAKADRHVSTPSPAKADWQMVGTPTATKGASKHGSLEFERGLNAGLVGGAIGGMLVGTEMVSDAAFYHAGYRAGLADRDGWAVY >ORGLA07G0201700.1 pep chromosome:AGI1.1:7:20437527:20438225:1 gene:ORGLA07G0201700 transcript:ORGLA07G0201700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRALEVTLISARNLKKVNLITPMEVYAVVSVSGNPLARQCTLPDRHGGRNPTWNATLHLAVPAAAPGAFLHVLLRTERALGDRDVGEVFVPVADLQLAAAAHYQYLVHKVQSTTEHCGVLSLSYRLGPVVVPAPPLAADTGAVPAYLVVPCYANAPPYVYLSPANPARGEAASASASPPPRRKRSGDFGQWLGGAVRGMLSGEAMSPDTAAYDAGYKTLTGMADGRRVKF >ORGLA07G0201600.1 pep chromosome:AGI1.1:7:20435591:20436970:1 gene:ORGLA07G0201600 transcript:ORGLA07G0201600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVLQPAAAAAAWPRFLPSPRRSAAQTYQVISMHKFEWKRPFSGSHRSGILLVCRSSGSSVKAATSSRPEAVSSSHNDQSSKHLFGSVSDAYTIISGYWIGPDMDDGCGNVVAILQRIV >ORGLA07G0201500.1 pep chromosome:AGI1.1:7:20433210:20435390:-1 gene:ORGLA07G0201500 transcript:ORGLA07G0201500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32430) TAIR;Acc:AT4G32430] MPLRRLRIRTLSTAAAHHPFDGIAHPSLARHRRVNPIAFSAAVRASDPASLPALHAVAVTTGLHGFAAVTNSLAARYAKTGSFPSAVGVFAAARARDVSSYNTILSAFPDPAEALDFASWMLRSGAVRPDAVTCTVALSLAAGRGEGFLVRQLHALAWRSGLAADVFVGNALVTAYSRGGSLGEARRVFDDMPARDLVSWNALICGLAQDGDCPAEVIGVFLRMLRDGDVQPDRISVCSAIPACGGEGKLELGRQVHGFAVKLGVEGYVSIGNVLVAMYYKCGAPGSARRLFDAMSERDVVSWTTAISMDGEDALTLFNGMRRDGVPPNEVTFVALMSALPADCPARGAQMVHAACLKAAVSGEAAASNSLITMYAKARRMDDARMVFDLMPRWEIIAWNAVISGYAQNGRCNDALELFSSMARCLTPNETTFASVLSAVTAVETVSMAYGQMYHSRALRMGFGDSEYVAGALIDMYAKRGSLEESRKAFDETEQRSLIAWTAIISANARHGSYGAVMSLFGDMARSGVAPDGVVLLAVLTACRYGGMVDAGRDIFDSMAADRGVELWPEHYSCVVDMLGRAGRLAEAEELMMRMPAGPSVSALQSLLGACRIHGDAEIGERIARILTEKEPTESGAYVLLSNIYADVGDWDGVAKVRRKMRDRGVKKEIGFSWVDAGAGEALHLHKFSSDDTTHPRTEEIYAVADVLGWEMKFLKNCSQMEMILI >ORGLA07G0201400.1 pep chromosome:AGI1.1:7:20422954:20431395:1 gene:ORGLA07G0201400 transcript:ORGLA07G0201400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDAELEEGEACGDETAFVDPDVALSYIDEKIQDVLGHFQKDFEGAVSAENLGSKFGGYGSFLPTYQRSPLPQTRSPPKAANVSSRSPYHQPTESMSQNTLAVAAPSVSKHNGSMVPLSDDSSKKEVHQSTKVERASSTQDSLNGPSKSSDHNRFKVRIKVGSDNGLARNNAAIYSGLGLDISSPSSVEDSPDGCGSLSPEFNNVPIESPRTILQIMTCFSVPGGFLLSPLRDDLVQLTQKVVPTSKKWETNANTENVQERYEGYAAKRVKSDAKKKKAVDTKRSKSRNDVSAVMKNEIDIETPAGQKIVLEALNIPLLSNPRTMEAKDGSQFEEDPMRDTLVENKDARLKERTINSDLMAIKYENVKAEAAECLENSGPGSSGMDFSAVKGEVKFKAEKAEIHVEDRNTTSEKDFQSDRKQERKIKTESKCNATGVNFEGNKVMNERTPVVGRSIGKVSSKETLLNDINEENVSKSESRRSQKEQNMNASSSSDFLEDDRGVLSSVAVKERKNDSQSKSSHPGRKPKAKSHRDVREHLPEGSYGGKDDTLENGSGLGELRPKKIWKNDSERDSDMPGTSKREISSSLKNDRHTPAEEQRMHVPPSVSAPTANAAPMLPAPVVIEEHWVCCDICQKWRLLPYEMNPSLLPKKWKCSMLQWLPGMNRCEVSEDETTNALNALYVTPAPGNGVASVGHSHVASSGLTTSNTLNVNGHVEQSRKRKNTLSDGNVSFDVSQQMQGSVYPLSNQHAPIRSKSAADSIQFPVERDSKSVDHFVEKKRSKSKNHGSSSDGGHLVERSKKHSKVKSKREMDHDEYRTSKKIKKEERRQRQSGIDSNPGYDLASGDVPDEAKALPSKSMALQGSSERSDVPPSKYKSVSKYNSSEKSKRSKDGDVFLPEDKNKEQSYPSDAQKPDLSSKKRIVKEWEESQHNSTPPVSKMSIVNQSSSSKETCKDQNLKETKSKLTKSEEPFAMTDSKSIKVAHSNQTSRNLNNELFEDSTPFAVKSGMSEPPENRSSEQALDLAEPASSDLAYFQTTAVTSSSSKASGSQRRKQNFHIAKTSPIESVSSSPPRISNNDKVSHDKILGKDGSTCANTNNMQSLVKNTEVIVDNVRQARKSHESMLASEPVMNGFSQGNSDKDNELPQLTQGHASNGIISGRSLDDDLQHASGRKDSSLKSSNAARSHNHLHSANKNNLLTDGSSIQHRMAVLDTKGDSMVHENKRSVTSLQDRNGSTHYPPDGNPQSEVSFGKEKSHPKSNKHDMQNSKAQMLPSPLKESKVESHSAPLRSNASKLTAQLKRGNVENGGQHGITKQAISNPADTSSPVRKDNNSTGYALKEARDLKHKANRLKEEGKEQESTRLYFESALKYLHVASTLEPPPSIDGFKQCDAAQNLYSDTAKLCNFVGHAYEKSKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQMLQAIVQNPPGESPSSSASDIDNLNNNGLSKGPSSKDANSPQVTGNNLLLAARNQPHLTRLLAYTNDVNCAFDATRKSQMAIASAASNQENGIDGLSSVKTVLDFNFQSVNDLLRLVRLSMESISC >ORGLA07G0201300.1 pep chromosome:AGI1.1:7:20410247:20414542:-1 gene:ORGLA07G0201300 transcript:ORGLA07G0201300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1QD21] LSIAYLLSLLFYILDCTDRFSVIVTIHNHRVGVLMIVLLQDQWKSYCRTISLLAFQSFGVVYGDLSTSPLYVYKSAFSGRLNNYRDETTIFGLFSLIFWTLTLLPLLKYVIIVLNADDNGEGGTFALYSLLCRHAKFSLLPNQQSADEELSTYYQPGVGGIISSPLKRFLEKHRKLRTCLLLFVLFGACMVIGDGVFTPAISVLSAISGLKDPGPGGIPDGWVVFIACVVLVGLFALQHRGTHRVAFMFAPIVVVWLLSIGVIGLYNIIHWNHRIFLALSPHYVIKFFKMTGKDGWLSLGGVLLAITGTEAMFADLGHFTAASIRLAFVGAIYPCLVLQYMGQAAFLSRNMSAVEDSFYQSVPRSLFWPVFVIATLAAVVGSQSIISATFSIVKQCLSLGCFPRVKVVHTSRWIHGQIYIPEINWILMVLCLAVTLGFRDTTVIGNAYGLACIVVMFVTTWLMALVIIFVWQKNILLALLFVVAFGSIEAVYLSAAVTKVPQGGWAPIVFAFVFMLVMYVWHYGSRRKYLFDLQNKVSMKWILTLGPSLGIVRVPGIGLIYTELVTGVPSIFSHFVTNLPAFHQVLVFVCVKSVPVPFVPEDERYLIGRIGPREYRMYRCIVRYGYKDVQKDDENFENHLVMSIAKFIQMEAEEAASSGSYESSEGRMAVIHTEDTTGTGLVMRDSNNEASGTSLTRSSRSETLRSLQSIYEQESGSLSRRRRVRFEIAEEERIDPQVRDELADLLDAKEAGVTYIIGHSYVKARKNSNFLKTFAIDYAYSFLRKNCRGPAVALHIPHISLVEVGMIYYV >ORGLA07G0201200.1 pep chromosome:AGI1.1:7:20406558:20409230:-1 gene:ORGLA07G0201200 transcript:ORGLA07G0201200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDLTDEERRALRGSKFAPLPAPTAPSRPQPRMAHPGGPLTTNKAAALAKFLERKLQQPDGLESLNPDLVKLAVRNAKETIKASKGEPSTSGRIVRHVSSFGDSSEDSDDSKDGEEAKGVKRKRKNKKDKSFQNEGEQSKKKKKNKKKNLKAAKDSKSQKASKKKKLKSL >ORGLA07G0201100.1 pep chromosome:AGI1.1:7:20397814:20398776:-1 gene:ORGLA07G0201100 transcript:ORGLA07G0201100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRGSGSSSSSSSSQASLMAFSEPPKPASQPSPPSSPMSERPPSGRSRRRAQEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGAQARTNFVYTHAAYNYPPFLAPFHAPPYAAAAAPSSVQYGGGVGAAPHIGSYGHHHHHHHHHHHHGHGAASGASSVGECSTMPVMVPVDPHRSSMSSSLLDMDRNGHDFLFSGAEDNSGYLSSVVPESCLRPRGGGAAADHQDMRRYSDADAYGMMGLREDVDDLAQMVAGFWGGGDAADQLGACGFPASGGAADMVASSQGSDSYSPFSFLSH >ORGLA07G0201000.1 pep chromosome:AGI1.1:7:20387210:20395157:1 gene:ORGLA07G0201000 transcript:ORGLA07G0201000.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVARMDRPRHVVIVRCEKKKKGAADEPAGEPGLEEGGDPHQSSSYQQYRKFQDVIDQLQQCASELRARRSLKKDNMDDTPFNYEINKIRGYWTEIHSAKISYLLLLRAKDAIELASRIMTEAHLRAQTPSEISLHTVDQTVRTYVSIFVKTAEDTYHRKVDRATILSFLCALQGLAADSHILFDDALAFVRSSQPDYSPKHDVEVINRYYQQEIKRLINNFREASTTEALEILHSTVNDLTQKVASYVTKMTTLRKSTLAHVPGRTIASCDAAPPDDRQNE >ORGLA07G0200900.1 pep chromosome:AGI1.1:7:20382478:20385451:1 gene:ORGLA07G0200900 transcript:ORGLA07G0200900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLQSCLFSCSPATALSIRRSPLTSPAISPAASQRNCCLPRLKTTTQSCRITTAARPPLTAVQCQKGDGGGAPPAPPRRPSDYLQEEKEKVLKHYREIISIDDGCLYAEATEKSAGVCLAAKDALVMASHVMRTAELNLAAPNETSTETVHRTVRMYVNIVMAAADDLYDRKVSKKTVESYLRALRGLAAVTHILLDDALEAVSHRAPMDSLAEYAFSSDVKPLYDDFQAEMNTLVHKIDKALDPHICRIAVWVMARATQITGTIIGLMVSRRKRALENARSKMVADSATI >ORGLA07G0200800.1 pep chromosome:AGI1.1:7:20377121:20380282:1 gene:ORGLA07G0200800 transcript:ORGLA07G0200800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP1/OBG family protein [Source:Projected from Arabidopsis thaliana (AT5G18570) TAIR;Acc:AT5G18570] MAPAVAVVAAAAAFPFRLFSAEARRNTKGSRSKRGSARPLKPSPPPRPSASSSAAGGGGATTFTRLPLRNAPASVEVTLDRFPTANPEPRASTFTRRNGERLGDDQEDEEEEDEVELGLRGATTFARLPLRDSPDGGDLTIGQFDAGVATQEGLRSRAISRQLVEHLDDVEEEEEEEQVVSRLDIFEGAKGREARAFLPDEDDDVVVFDPDYDGYSDDEEFVATAVEQSPRGDAIAVAELEKLKYDNDDDDDDDDEVVVFHPDDDDDDEVVVFHPDDDEEVDVFEDYDDDEEEETKEKGVPAVMRCFDTAKIYAKAGDGGNGVVAFRREKYVPLGGPSGGDGGRGGNVFVEVDGDMNSLLPFRKSVHFRAGRGAHGQGRQQAGAKGDDVVVKVPPGTVVRSAAGDVELLELMRPGQRALLLPGGRGGRGNAAFKSGTNKAPRIAEKGEKGPEMWIDLELKLVADVGIVGAPNAGKSTLLTAISAAKPTIANYPFTTLLPNLGVVSLDFDATMVVADLPGLLEGAHRGYGLGHEFLRHSERCSVLVHVVDGSGEQPEYEFEAVRLELELFSPSLVDKPYIVVYNKMDLPEASERWNKFQEKLQAEGIEPYCISAMNRQGTEDVVLAAYKVLQKDRQRMKDDEEWNGPENLNHVADAIKRERRAPMNEFEIFHDKGTNTWNVVGAGIERFVQMTNWQYSESLKRFQHALEACGVNKTLIKRGVKEGDTVVVGEMEMVWTDEPSKTRSSKTMNSKDDSVRWPEFG >ORGLA07G0200700.1 pep chromosome:AGI1.1:7:20372678:20375872:-1 gene:ORGLA07G0200700 transcript:ORGLA07G0200700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xylose isomerase family protein [Source:Projected from Arabidopsis thaliana (AT5G57655) TAIR;Acc:AT5G57655] MMGAKLLLLLVASSLCLSAAIAAQQTCPADLDSKCGDAASGDWEGEFFPGIPKIKYEGPSSKNPLAYKWYNAEEVILGKKMKDWMRFSVAFWHTFRGTGGDPFGAPTKSWPWEDGTNSLDMAKRRMRAHFEFMEKLGVDRWCFHDRDIAPDGKTLTETNKNLDEIVELAKKLQEETNIKPLWGTAQLFMHPRYMHGAATSPEVKVYAYGAAQVKKALEVTHYLGGENYVFWGGREGYQTLLNTDMKRELDHLASFLQAAVDYKKKIGFNGTLLIEPKPQEPTKHQYDWDVATTFSFLQKYGLTGEFKINVECNHATLSGHSCHHELETARINGLLGNIDANTGDPQIGWDTDQFLTDISEATLVMSSVVKNGGLAPGGFNFDAKLLVPADIVFFFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA07G0200600.1 pep chromosome:AGI1.1:7:20358221:20370896:1 gene:ORGLA07G0200600 transcript:ORGLA07G0200600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:I1QD14] MAAAASSSSPPGTASPVLSVRIVSLDYYMAPPLPGFDFSYSHFHGGEVEEVPVIRIYGSTPAGQKTCLHIHRVLPFLYVPCKEDLLHNVEKGNSFISGLLSDLEKALQIRSSSKKKHVHGCTLVRAKKLYYPHEVSRAAAHLLDGAVLNRVFQPYESHIPYLLHFLIDYNLYGMGYVHVTDFKFRPPLPDDFHPKSSLHSKVHPDNVAIRKPTIWISSTVSHSLILASSATSHCMEGTNWNVTNRHSSLMLEADSRIEGILNEKYKMYTSLSQATADSKIVRSLLSIWEELEHLRLLEEAKPVDMGRPLRDSVLRSFLHGIKYETALSMLCPKEEVSYHRVPTMEESEKLEECLKSLNDIIGTITFSQNDYCSIIDSDISAGTQQENQITNVLCLEPSEEGNTQCPVSSSAAQRTSSQLFEEHEKLVDAEALGLLSWLASSQAAEEPTTDDELVNEAILSPLFSKKSIAVALESAQLDFDGASQQECQDILDSIGPVIGEEQPNDQMSYRSSVRLGESSSLSNSIPQIDGSSDENKEVPQEDGKYKINRKRAGLPSYSSPQSSSKASKRGGNELLWGSLPLSIKKRSDLNADGHSGGAMPTEKVLSASFMSGTGKNSHANPDNTERGSSSLTGEHDPLCDSVRDLMRRRRRSFRSEQSEVGNSGDAAYIVRKENEIVNSERLELHDISSDLSNSEMYYSGSEYLQMTFARKPPMKNEVLCLEGSSAGSELPQSSKLGFADIPLFFNQTAEENKQNESFQRMGSSWDTLGVPTHFQNDGSALYLLTHAFSPPSTVAVGQWLTQQSCSISVSAIGHSNYGEKVSVDQEGANNSTLSPYMGGPALMDDSPASKMALEHSITTFPDDTVMIEPDLSNQEIKNLADWHDFSQISGGDEKDKLTPLSQIGFCDPASIGGGQQLTIISIEVITESRGELRPDPRFDAINAVSLAVEDDADNTIEVHVLIRGNNDSSHRRRNLDGVSGCDVNVFPGERELLNHLINAICSIDPDIIVGWEIQLGSLGFLAERAAHLGIGLLKRISRTPPHQMKHPPMNPVDESSQELPGASSADDVIDDASENNWSHAHASGIHVDGRIILNLWRLMRAEVKLNNYSLEAVANEVLRRKVPLVPTKILNRWFSTGSGRGRYRCIEYVNKRSSLNLEILNQLDLVNRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYSDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSSVLGVSSYSADPQKIADLKNQLLLTPNGVLYVQPEVRKGVLPRLLEEILSTRIMVKKAMKKLSASQKVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAEIADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDSMFVLLKGRSREEAFRIGKEIASSITAMNPDPVTLKFEKVYHPCFLLTKKRYVGYSYESPEQKEPIFDAKGIETVRRDTCPAVAKILEQSIRIMFEEQDLAKVRSYLERQWTRILSGKISIQDFVFAKEVRLGTYSARASSLPPAAIVATKAILSDPRAEPRYGERVPYVVIHGEPGARLVDMVIDPYGLLEVGSPYRLNALYYITKQIIPALQRVFGLVGADLNKWFNEMPRPIRETLAKRQSASGHGSFSRLGLNKNGVGKGSRIDTYYMSSHCIICGEIIQGSDTFCNNCLRNEAVVGTVVAGRTSKLEREIQHLAAICGHCGGADWIVESGIKCISLACPVFFERRKIRRELRGVSESAIEAGYYPFCCPELF >ORGLA07G0200500.1 pep chromosome:AGI1.1:7:20349696:20352552:-1 gene:ORGLA07G0200500 transcript:ORGLA07G0200500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCSGSSGKGGVDAKSVAALSPGPRPAASAAPDRSNSSRGSGIKKDDSVRRGGSSANDGPAKIFTFRELAVATKNFRKDCLLGEGGFGRVYKGQMENGQVIAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVRLIGYCADGDQRLLVYEYMLLGSLENHLHDRPPGKKPLDWNARMKIAVGAAKGLEYLHDKANPPVIYRDFKSSNILLGEDYYPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDHTQSAGEQNLVAWARPLFRDRRKFCQMADPSLQGCYPKRGLYQALAVASMCLQENATSRPLIADIVTALSYLASNHYDPNAPSAKSSRTCPSTPKAKAHRRTTSVPDAQHAADSLNWNFPDLGRKETTRGEFEQDHSEGYGSGSSSGRNDGLDVPELLALHNGQNNSEADIYHKSSVKLDAHEKQRSGSGKGSRQF >ORGLA07G0200400.1 pep chromosome:AGI1.1:7:20346578:20347897:1 gene:ORGLA07G0200400 transcript:ORGLA07G0200400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G05675) TAIR;Acc:AT3G05675] MSGVRRAAGRPKIGDLATSDVVVRLRTPEGRDEWLYCHSGVLAAGSRYFADRLSDDWPTCQILGSRYCVEVHCQELDLSPHVTALRLLYAAEPCSRFGVRGALGVLQAAAHLACPRVAAACVDYLESAPWDEADEEEILRTIPCLGPQYECVLARLRPIDPAPVAGILLSAFRHATSTRSPPQELKSAAQEQLEYMLTEDDDAPLLAFDDDIVRSQVKDCVAALLSRFSGFTSSILMEQGEAPLGHGDAEVQQELHSLVSDISWVCQILSKLEMMKCVVVYWIGVSSDVVEAVNKACGGIGCLKTRLKVIEVSAKVLEAIAFGNIVLPTEKRCDAVNVWIGFARRTKPLVGHPEHDDDDGDAEAPKINLDSEVWQSLESAIVSIVLTLPSNSQADILSDWLQSKHAKYPDLTEAFEVWCYRSKAAKRRLSFLSHANRVS >ORGLA07G0200300.1 pep chromosome:AGI1.1:7:20340515:20344174:1 gene:ORGLA07G0200300 transcript:ORGLA07G0200300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWRCVSVLALVLLLSNASHGRDISVQHSQQTLNYSHTLAMTLVEYASAVYMTDLTALYTWTCSRCNDLTQGFEMKSLIVDVENCLQAFVGVDYNLNSIIVAIRGTQENSMQNWIKDLIWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKARQSYGDINVIVTGHSMGGAMASFCALDLAINLGSNSVQLMTFGQPRVGNAAFASYFAKYVPNTIRVTHGHDIVPHLPPYFSFLPHLTYHHFPREVWVNDSEGDITEQICDDSGEDPNCCRCISTWSLSVQDHFTYLGVDMEADDWSTCRIITAENVRQLQKDLASNIIVSKHSVDVTIVEPSSQTY >ORGLA07G0200200.1 pep chromosome:AGI1.1:7:20332830:20333672:-1 gene:ORGLA07G0200200 transcript:ORGLA07G0200200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHHMHDVFAQTTSIIGHEEEEVTIDPTKWGAWAHRGHRLWASMSEDFWIHVYKVQRCPRSSSHDWTSCPYAHKGERARRRDTRRFAYAAVSCPDYRPREAAPGAVPSCAHGLRCRYAHGVFELWLHPSRFRTRMCSAGTRCPRRICFFAHSAAELRDDPNSIASAILTPMPMPMPMPIPMRTPDADHHPRVSAMRDQLDLIEEAMRNRLRLYSNANANANVGSSSAAAVATTTIPVSTLANGEGSTGKRCGCHRCVEEEDALLNGYPHYDLIMDLVDE >ORGLA07G0200100.1 pep chromosome:AGI1.1:7:20327786:20331059:1 gene:ORGLA07G0200100 transcript:ORGLA07G0200100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHLLLLLVVIIICYAPTSSTAAGGEVPFYEDCPSTADGTYAPNSTYQSNLAALAAELIENSTEYGSAAGSFGAAPDAVYGVALCRGDSKGPLCAGYLRDAFDAAMNRTTSSRPLCELRRNVTLFYDRFQLRFADADFVSGYGNEPEWPLNNTNVVDAPVAGRFREHVAALLNATARDAAAQPDRYGTGDSWFQEGGSMVYALVQCTRDMDPGRCGACLQRIISEMPRMLDASQIGGRVLGVRCLLRYEMASNSFFHIDNRTLHLQKQPTQQSGSSSGAKTWPIIVAVAGVAVCISCFFLFRELKRRRRRARVRSELRRLSIAVQNVITLWRLEEGNSGFKLYDFSDIKDATNNFSSESLLGKGGFGSVYKGQMPSGPEVAAKRLAACSGQGLLEFKNEIQLVARLQHRNLVRLLGCCIEGDQEKILVYEYMPNKSLDVFIFDNVKRELLDWPKRLHIIHGISQGLLYLHEHSTVCVVHRDLKASNVLLDAEMNAKISDFGIARIFGSNAAQSSTTRIVGTIGYIAPEYALDGVCSSKADVFSFGVLILEIISGKRTGGSYRYNDGKLYCLIAYAWLLWKDGRWHELIDECLGDRYHASIRTCMQVALLCVQEDAEDRKAMDEVVKMLGNEQAASQLPEPKQSAYFNVRPSGGGGGGGGGGDAPPSACNISISMITPR >ORGLA07G0200000.1 pep chromosome:AGI1.1:7:20324996:20325406:1 gene:ORGLA07G0200000 transcript:ORGLA07G0200000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDVGDEDEQGGGGGERREVDRTTTGSAISVPEINQRNFAGGSAMKEDDDEDIGGLEDGVSDEDGRHCAQSLTSISPPQSNRVIAGQPLVGSLPVTIVVVLPRTNITTMVESSSFAPPFISHILWLISAEKDGSL >ORGLA07G0199900.1 pep chromosome:AGI1.1:7:20321759:20323740:-1 gene:ORGLA07G0199900 transcript:ORGLA07G0199900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAFLAVVCAAAMAAVSGAEEVYEFGSGASFIFGDSLVDAGNNNYIPSLSKANMTPNGIDFAASGGMPTGRFTNGRTIADIIGEMLGQTDYSPPFLAPNTTGGALLNGVNYASGGAGILNGTGRIFVNRIGMDLQVDYFNITRKQLDDLLGKAKAKEFLKKKAIFSITVGSNDFLNNYLMPVLSAGTRVAESPDGFINDLIIHLREQLTRLYTLDARKFVVANVGPLGCIPYQKTINRVGENECVKLPNQLASQYNGRLRELLIQLNGDLAGAKFCLANVYDLVMDVITNYDSYGFETASMACCGNGGTYDGMVPCGPASSMCGDRKSHVFWDPYHPSEAANLVMAKYIVDGDSKYISPMNLRKLFSL >ORGLA07G0199800.1 pep chromosome:AGI1.1:7:20314420:20320887:-1 gene:ORGLA07G0199800 transcript:ORGLA07G0199800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAGRARRLLTSPAASGILSAPRPGCAALAGSGALLPRLDGVPSSPSPSPAPPLLARSFSATSSSRLPRNLLSPSISSQWRNEKSVCYHMAATHYSTEASDIDQPTEAVVELYQKMLKSVEAETMPPNAWLWSMISSCSNKEDIKLLIQILQKLRVFRLSNLRIDANFNDHLCMKVAEACARVGALDYGLKVLWKHNVYGITPTIGSAHYLLKHAKEKNDTKLMGSIMQVLQRNSMPLQPGTADIVFSICYNADRWDLLSKYARRFVKSGVKLHGASFDIWMDFAAKVGDSQSIWNINSLRGKSVKRYNLATGFACVKGFLLERKPESAAAMIKLLHKHSPDEKKQLVTDELQKLVAEWPAEVIKRQKKDDRKALEEALITDIPQMISSMSKLRLDISVNLEKLTSQPETA >ORGLA07G0199700.1 pep chromosome:AGI1.1:7:20310539:20312936:-1 gene:ORGLA07G0199700 transcript:ORGLA07G0199700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSSKKPSMAAPPPPPLDGGVPVADFSIDGGGDARGDKLMENARRRIAAGNGRPAGTTCWSEAYRSLFASCGDIMADKELQARLAWRLSGCFQEDSGRPPLPPCDAAAAHGHGHADMVHCRERLSDSESKVFLAFFLETNTLCHQLQAEAFKRSTERLVNDLTRSARSAREKLEAIEERSEKIMRESDHLRRSLSSIMSQTEHLATASEDVRARIGDVLDRSAAIFERSKEIAAAQAELRGGQAAMREELAAGMAQVEASYRSIGEEMGRLRHKAMGIEREVRAVGDAMAARMVDLQSAADDIGAAAGRSLENQMLLLDGQAKAMEGLNHLYSFQAQALQESRETIQKLAQFGQQQQEELLSRQEQIRHAHDDLMKNSESILEAQEEFRAKQASIFAALDKLYVLHNAVLVESRFIKAFFFYCCITFLVYLLTSAKQTFTIRGHLYFGQILQTSTQTLPTFRKAETFLNFFFLDSASRSCWRPSSSSSEPTTSVSSS >ORGLA07G0199600.1 pep chromosome:AGI1.1:7:20305420:20309331:1 gene:ORGLA07G0199600 transcript:ORGLA07G0199600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPSTSSPAAVNASRRRDHPPPPAEAQKQGVDASAEAEAAAGEKGEQPAVAVAAAGPPVKRERRSRSSRSAHAHAHAEVRIGGSFANKARGEQVAAGWPAWLSAVAGDAIDGWTPRRADSFEKIDKIGQGTYSNVYKARDSVSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPEIKFTLPQVECYVHQLLSGLEHCHDRGVLHRDIKGSNLLLDNNGVLKIGDFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQSALRLIETLLAIDPADRLTATSALESEFFKTEPHACDPSSLPQYPPSKEMDAKRRDEEARRLRAAGGRANGEGARKTRTRERPRAVPAPEANAELQANIDKRRLITHANAKSKSEKFPPPHQDGALGYPLGCSNHMEPAFEPPDPSSFSTVFPYEKGSVPTWSGPLADPSSGNQKRKHKSGRSSKQPATARAR >ORGLA07G0199500.1 pep chromosome:AGI1.1:7:20295650:20296716:-1 gene:ORGLA07G0199500 transcript:ORGLA07G0199500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVEMVKQRKTVGNLAIDGDLTYEILLRLPAKTVLRCGAMSKAWRRITTNPTFLSDHAHHRPLDALLYNSFGKAAGKIDMELDTLSVAVHHHAAVPERKGIGHHVICNPTTRQWAELPRLSGGRNLIECHREFRFYFYLQYDEYHLLCHCTMNLAGRLTNHMVAFDMVAETFKQMMPPLVTTKFFANLLAMDKFLMASKFTDLGMDLWVLEGYGVMDERWEFWHHVVLPWQLSATLKHPLLIEGGDSGDVIMGTTYDLGCTM >ORGLA07G0199400.1 pep chromosome:AGI1.1:7:20281810:20283018:-1 gene:ORGLA07G0199400 transcript:ORGLA07G0199400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQRQTVGNLDIDGDLTYEILLRLPAKTVLCCGAVCKAWCRITTNPTFLSDHARHRPPKALLYNSFGKATSKINMELDAVSVAAHHHAAMVLSVGADEPRRLDVEATDIELFGTTQYTRSMMTPAALHGHLHWLQHPEGRLTNHMAVFNMVDETFKQMTSPPVTSKFFADLLAIDKFLMASEFTNLGVDLWVMEGYGAMDERWELRHRVVLPWMRGVTLERPLLIEGGNDGDVIMGTAYGLGVYNVKSKTFRTIITVKPPDALLLSWNMLRESLVPHTFFDNQQQHPTCLPLFHFLS >ORGLA07G0199300.1 pep chromosome:AGI1.1:7:20279539:20280772:-1 gene:ORGLA07G0199300 transcript:ORGLA07G0199300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05100) TAIR;Acc:AT3G05100] MALPSGASATARMLTVPALLLLLSSAALLVFLILPSLSPSPAAHLCACSPPTTTHTTTTVTTTTTTASPAPVTTSPADVAWLKSQLASNSLPLLASHDAWHRLRKGINPRTREQQLFDINRHHGISHYPDEEATNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLANASALTPRDQVLEIGCGTLRVGLHFIRFLEAAKFHCLERDELSLMAALRYELPAQGLLYKRPMIVRGEDMDFSRFGDTVMYDLIYASAVFLHIPDKLVWTGLERLAGKLRPQRGRIFVSHNIKFCSRLGGDECTRRLTELGLEYVGKHTHDSLLFNHYEIWFEFRRPKV >ORGLA07G0199200.1 pep chromosome:AGI1.1:7:20272734:20275288:-1 gene:ORGLA07G0199200 transcript:ORGLA07G0199200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDDPAKKLAVDGGVAAAARCCDFCGGLPAVVYCRADSARLCLPCDRHVHAANTVSTRHARAPLCSACRSAPATAFRRGDGFLCSSCDFDERLRRGSIGSGGDELPLDDRAAVEGYTGCPSIGELAAILGVVSGDSDKPADDGWWSASWEEEASQVLSLDDIIVPTTSCHGLRPLLTPPSPENQSSPDNGELDGEVLRQLGELARSEAAAQATFVAGDQLASWASPEFTSGHGDFGIEAASTTVPSCENETWIMSTDCTDPTNASKTDIAREEAPASSSAEPCLSSLVEISEICPSMSYSGSGIDNGGHDPSTLAIMPTQALPKKGVYDIAYPDRGTVISRYKEKRKNRRFDKQIRYESRKARADGRLRIKGRFAKSN >ORGLA07G0199100.1 pep chromosome:AGI1.1:7:20267372:20269102:-1 gene:ORGLA07G0199100 transcript:ORGLA07G0199100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:I1QCZ9] MCAMSSVLATHHHAARCGAVRRENAWIAPARVGFSQARRGGGRDELSAAGLGRFLGYATADHKNKNREVDDLEPARLFVGLPIDTVTDGATVNSARGVTSGMRAVKLLGADGVELPVFWSVAQPESPDRFSWAGYRAVADMARDEGLSLRVTLHFHGSPGGAVPLLPVWVSTAAADDPDILFTDRSGGRHDDCLSFAVDELPVIHGRSPLDCYDAFFRSFADAFQDLFDSTITDVTVGLGPNGELRYPSYPPGSDGRGFTGVGEFQCYDRYMLEQLRRHAAEAGEPLWGLSGPHDAPRYGDSPDACGFFNDHGGSWQSAYGDFFLSWYAGQLVGHGDRVLAVANGALGDTPVEASAKVPFMHWWHGARSRPAEAVAGFYKSGGKNGYSPVAKMFARRGCTVIVPGMDVCMNKQHRITGSSPDQLLVQIKNACRRHGARIAGENASLVVTHTSSFSRIRSNVLTAERMRPGHFTYQRMGEAFFSPEHWPAFVEFVRGVVCGEWPDEDEDRDVADNPNAMEAQPV >ORGLA07G0199000.1 pep chromosome:AGI1.1:7:20261963:20264836:1 gene:ORGLA07G0199000 transcript:ORGLA07G0199000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:I1QCZ8] MSFRSVIQEVKGEIGAISRRGFRSRPGRVRRVAAAAEEPPDESSAAGLVMRESCWTQLPPELLREVLARVEESEGWWPRRRDVVACAGVCRSWRGIIREIVRTPEASGNLTFPISLKQPGPRDAPMKCFIVRNRTTQTYYLYIGLTDALTDDGKFLLAARKCRRTTCTEYLISLDMNDISKRTDSYVGKLRSNFLGTKFTVYDAHPPYAGDVISKGQSARVIGSNHLSPRIPAGNYPVSHISYELNVLGSRGPRRMHCAMDSIPVSAIEQGGTAPTQTEFPLSYHESFTSIPFFKSKSVRANNSTASLLTQNGSKLVLTNKSPRWPEHLQCWCLNFHGRVTVASVKNFQLVASDESNPTNQEHDDVILQFGKVGKDMFTMDYRYPISAFQAFAICLSSFDTKIACE >ORGLA07G0198900.1 pep chromosome:AGI1.1:7:20253527:20257665:1 gene:ORGLA07G0198900 transcript:ORGLA07G0198900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:I1QCZ7] MGMEVAAARLGALYTTSDYASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLMTKGKSSHLFVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAVGTMISFFTISIAAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSIVLFNALQNFDLVHIDAAVVLKFLGNFFYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDRPGKSIGISSILLGLVLIGRAAFVFPLSFLSNLTKKAPNEKITWRQQVVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRLLLPASGHPVTSEPSSPKSLHSPLLTSMQGSDLESTTNIVRPSSLRMLLTKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSHGGR >ORGLA07G0198800.1 pep chromosome:AGI1.1:7:20233235:20234522:-1 gene:ORGLA07G0198800 transcript:ORGLA07G0198800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKELSQAWWFDSHNLARTSPWLTNTLSELDDKTKQMLKMIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQLDLLKNGNGTRHTVFGPSSCTQSWSHQAAAAAMGGKGTTGSRSSISLCSDTYDSESEVDDPEQEDMEEELSESEDMMKHSSQSQADQAAQLELMHAEIEMLKEKNAELQKASAENEMLKQKNEELQRVSEENMALKAELAAKDEEKREVIRQLASSFDIVKKENFTLREFIIKNSKNSSSSSSRGFDFKKLTKDLFSAKLFTAHCKATTGPMVAL >ORGLA07G0198700.1 pep chromosome:AGI1.1:7:20222765:20223937:-1 gene:ORGLA07G0198700 transcript:ORGLA07G0198700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:I1QCZ5] MVKAVAVLASSEGVKGTIFFSQEGDGPTSVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPTGKEHGAPQDENRHAGDLGNITAGADGVANVNVSDSQIPLTGAHSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >ORGLA07G0198600.1 pep chromosome:AGI1.1:7:20214282:20217994:1 gene:ORGLA07G0198600 transcript:ORGLA07G0198600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINAAGQLLLRGKSIAARQLFSSGLADRFFSSSASSSRKLDGKVAVITGAASGIGEATAKEFVRNGAKVIIADIQDDLGRAVAGELGADAASYTHCDVTVEKDVAAAVDLAVARHGRLDVVYSNAAIAGGAPPATLAALDLDEYDRVMAVNARSMLACVKHAARVMAPRRAGCILCTASTAAVLGVAAPAYSMSKAAVVGMVRTVARQLARDGVRVNAISPHAVPTPMAIGLFSETFPAATAEEVRRMVTRDMHELEGASLEVDDIARAAVFLASDEAKFITGHNLVVDGGFTVGKVLVRDPPGSA >ORGLA07G0198500.1 pep chromosome:AGI1.1:7:20211620:20212654:1 gene:ORGLA07G0198500 transcript:ORGLA07G0198500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVAANKILRGRSRGVRPMFSSGLADRLFSSSASSSKRLEGKVAVITGAVGGIGEATAKEFVRNGAKVILADIQDDLGRAMAAELGADAASYTHCDVTVEADVAAAVDLAVARHGRLDVVYSNAGIAGAAAPPTLSALDLDDYDRVMAVNARSMVACLKHAARVMSPRRAGCILCTASSTALIGDLAAPAYCISKAAVVGMVRTVARQLARDGVRVNAISPHAVPTALVTRVISETFPAATAEEVRRMVTRDMQELEGASLEVEDVARAAVFLASDEAKFVTGHNLVVDGGFTVGKDLLRNPPSFA >ORGLA07G0198400.1 pep chromosome:AGI1.1:7:20207646:20210154:1 gene:ORGLA07G0198400 transcript:ORGLA07G0198400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAIHLVQRGKNRAGLTMLTGFVNSFSSVSRPERLAGKVAVITGGASGIGEATAKEFIRNGAKVIIADVQDDLGHTVAAELGPGSAYTRCDVTDEAQIAATVDLAVARHGHLDILYNNAGITSSSVGHLASLDLADFDRVMAVNARAVLAGIKHAARVMAPRRTGSILCTASVAGMMGGEMPHAYNVSKAAVIGVVRSAAGELARHGVRLNAISPLGIATPLAMRGFGDMLAWADAERVRRLIEEDMNELEGATLEAEDIARAAVYLASDEAKYVTGHNLVVDGGFTVGKRLNVAHA >ORGLA07G0198300.1 pep chromosome:AGI1.1:7:20204892:20206917:1 gene:ORGLA07G0198300 transcript:ORGLA07G0198300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSALHLVQRGKSRAGLTMLTGFVNRFSSVSRPERLAGKVAVITGGASGIGEATAKEFIRNGAKVIIADVQDDLGNAVAAELGPDAAYTRCDVTDEAQIAAAVDLAVACHGRLDVLHNNAGVTCSYVGPLASLDLADFDRVMAVNARAVLAGIKHAARVMAPRRAGSILCTASVAGVIGSEVPHAYSVSKAAAIGVVRSAAGELARHGVRLNAISPHGIATPLAMRGFGDVLAWADAERLKRVIEEDMNELEGAKLEAEDIARAAVYLASDEAKYITGHNLVVDGGFTVGKRLNVAHA >ORGLA07G0198200.1 pep chromosome:AGI1.1:7:20199585:20200632:1 gene:ORGLA07G0198200 transcript:ORGLA07G0198200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRTMQLVLRVKRSSGLLHQFSTAANSQRLAGKVAVITGAASGIGKASAKEFIGNGAKVILADVQDDLGRAVAAELGPGATYTRCDVTDEAQVAAAVDLAVARHGALDVFYSNAGVLGSIAPAPLASLDLGEFDRVMAVNARAAVAAAKHAARAMVPRRSGCVLFTGSVSGVVGGTGPTSYGVSKAAVLGVVRAVAGELARHGVRANAVSPCGVATPLSMVQVLEAYPGMSFEELKNAMAASMEQMEAGPLIDPEDVARAAVFLASDEAKYINGHNLVVDGGFTVGKLLKIPKE >ORGLA07G0198100.1 pep chromosome:AGI1.1:7:20198012:20198404:1 gene:ORGLA07G0198100 transcript:ORGLA07G0198100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVIGQKSTSPASLVVSGFFSAASDSQRLPGKVAIITGGASGIGKATATEFIKNGAKVIIADIQDDLSHSVAAELGPDAAYTRCDVADEAQVAAAVGLAVKRNGHLDVFHNNAGIAGRLPGRRSPPPSS >ORGLA07G0198000.1 pep chromosome:AGI1.1:7:20194028:20195077:1 gene:ORGLA07G0198000 transcript:ORGLA07G0198000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSAALLRETKRVFGTTSTWTAGLVVNGFSTASSSHQRLAGKVAVITGGASGIGKATATEFIKNGAKVIIADIQDDLGHSVAAELGPDAAYTRCDVADEAQVAAAVGLAVARHGRLDVFHNNAGIAGALPQDDMAAVDLGDFDRVMAVNARSTLAAVKHAARAMAPRCSGCVLCTSSGAGVIPVPAVPVYSVSKATVIAIVRAAAEPMARHGLRVNAISPGATRTPLLLRQIPLLSEMSPSLSDGLKTTVEKEVGEGGAVVLLAPEDIARAAVYLASDEARYVNGHNLVVDAGYTVHKGA >ORGLA07G0197900.1 pep chromosome:AGI1.1:7:20187151:20188014:1 gene:ORGLA07G0197900 transcript:ORGLA07G0197900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSPESLIAGGFSTAASSHQRLAGKVAVITGAASGIGKATAAEFIRNGAKVIITDVNDDLGHAAAAELGPDATYARCDVADEAQVAAAVDLAVARHGRLDVMHNNAAIPGRFPQDDMASVDLADFDAMMAVNARASLAGIKHAARVMAPRRAGVILCTASAVGVLPLPAVATHSITKATIIAIVRAAAEPLARHGLRVNAISPGAVRTPVLQGKVSVMSASSPTMSDELKQMIDVDANDMMMGPEEVAMAAVYLASDEARYVTGHNLVVDAGYTVHKGADTPAAR >ORGLA07G0197800.1 pep chromosome:AGI1.1:7:20177647:20178642:1 gene:ORGLA07G0197800 transcript:ORGLA07G0197800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSIQRLAGKVAVITGGASGIGKVTAKEFIKNGAKVIIADVQDELGHSAAAELGPDASYTHCDVTDEAQVAAAVDLAVRLHGHLDILYNNAGIIGAMPQDDMASVDLANFDRMMAINARAALVGIKHAARVMAPRRSGVILCTASDAGVMPIPNIAMYSVSKATTIAIVRAAAEPLSRHGLRVNAISPTGTRTPMMMHIISQMTPGVGEDDLERMADAAISAGVAIEPEYVARAAVYLASDEAKYVNGHNLVVDGGFTTHKGDDNRMN >ORGLA07G0197700.1 pep chromosome:AGI1.1:7:20175288:20176424:1 gene:ORGLA07G0197700 transcript:ORGLA07G0197700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAAQLLLRETNRALGPATSPAGFVSGFSTASNSAQRLAGKVAVITGGASGIGKATAKEFIENGAKVIMADVQDDLGHSAAAELGPDASYTRCDVSDETQVAAAIDLAVKRHGHLDILYNNAGVMGAMPQDDMASVDLANFDRMMAINARAALVGIKHAARVMSPRRSGVILCTASDTGVMPIPNIALYAVSKATTIAIVRAAAEPLSRHGLRVNAISPHGTRTAMMMHVVSQMYPGVSKDDLEKMADAAMDAGAVMEPEYVARAALYLASDEAKYVNGHNLVVDGGFTSHKGSDTRLN >ORGLA07G0197600.1 pep chromosome:AGI1.1:7:20168830:20169977:1 gene:ORGLA07G0197600 transcript:ORGLA07G0197600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAMHRILSRGRRTPAASSSSVTAFATASDSQRLAGKVAVITGGASGIGRATAEEFVRNGAKVILADVQDDLGHAVAAELGADAASYARCDVTDEAQVAAAVDLAVAQHGRLDVVFNNAGIPGDLMPSPVSALDLADFDRVMAVNTRAVVAGVKHAARVMVPRRRGSIICTASTAGVIGGVAVPHYSVSKAAVLGLVRAVAGEMARSGVRVNAISPNYIWTPMAAVAFARWYPSRSADDHRRIVENDINEMDGVTLEAEDVARAAVFLASDEAKYVNGHNLVVDGGYTVGKVPYMPVPDGH >ORGLA07G0197500.1 pep chromosome:AGI1.1:7:20134686:20135853:1 gene:ORGLA07G0197500 transcript:ORGLA07G0197500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRALQIVLSGKSRGFVSHFSTASNSERLAGKVAVITGAASGIGKATAAEFVRNGAKVILADVQDDVGRAVASELGPDAASYTRCDVTDEAQVAAAVDLAVARHGQLDVMVNNAGIVGSLARPPLGALDLADFDAVMAVNARGVLAGVKHAARVMAPRRRGSIICVASVAGVLGSVTPHPYSVSKAAVLGAVRAAAGEMARSGVRVNAISPNYIPTPLVMRIMAEWYPGASADEHRRVVEREINEMEGATLEPEDIARAAVYLASDEARYVNGHNLVVDGGYTVGKAPNLPAPPQ >ORGLA07G0197400.1 pep chromosome:AGI1.1:7:20125145:20129359:-1 gene:ORGLA07G0197400 transcript:ORGLA07G0197400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEDGGERVRLFVGQVPRSMAEEDILAVVRAAARADDATVIRDRATGASRGCCFVVCSSREEADKAIAAYHNKCTLPGASRAMQVKYADGELERLAAEQKLFIGMLPRDVKENEVSALFSQYGNIRQLKILRSPQKTRKAAACAILEFGSKEHARAAIEAFNGTRVVFNGSSATLVVKLADTEKEKQARKAQKAQAQPYKPLRFDLFPQLLSIFGAPQMSYLPPYNVFDYKVPGDYGHTKNPLASYNTMYPHVNQGNLLQGLNTNIFPGTDPKISNLIQSAGYIQPHFPDLSGLHYPVSYAGALVGDTPQYFSDGKVNIPNIHSNHASSAANTKIRSKIEGPPRANLFVYNIPQEYGDEDLANLFQEFGRILSTKVFIDRATGVSKCFGFVSYDTPASAQAAIRRMNGSQIGGKMLKVQLKRET >ORGLA07G0197300.1 pep chromosome:AGI1.1:7:20119385:20124190:1 gene:ORGLA07G0197300 transcript:ORGLA07G0197300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVRSRRSVASSTRRQQDAELAAAEERRRATAQTAAATARAARLAAAELAAARAEAEAEAAEDAARAAEVEVETLRSSINGSIAGDITADRELEELARGRARERAERWAAAHPHGGGGGPRDRAPADGNLDGRWRAGGSPEPTRGPRRQRGSPSPDRRHGHHGVLTVKMVQYGDDTYDEERAVEKLFRCVPEKYRQIARSIESLLDLSMMSIEEALGRLKVVDGDEPQPLSGPITIGGKLHLTREQWEASQSDGRKGESSPPTGGRKPRKGRGGVERSPVEFATPLSHDGERIDAYHDGEQLRYRTMEDLLGDQPVPGQVPRDLEAQLHLACDDVITGTKDAEVAAFKEEMKATFQMSDLGPLSFYLGIEVHQDDSGITLRQTAYAKRVVELAGLTDCNPALTPMEERLKLSRDSTTEEVDATQYRRLVGSLRYLTHTRPDLAFSVGYVVALSSCEAEYMAASAASTQALWLARLLGDLLGRDIGAVELRVDSKSALALAKNPVFHERSKHIRVRYHFIRSYLEEGSIKASYINTKDQLADLLTKPLGRIKFLELCSRIGMAQLPHKTTHKT >ORGLA07G0197200.1 pep chromosome:AGI1.1:7:20111256:20111708:-1 gene:ORGLA07G0197200 transcript:ORGLA07G0197200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHPPPPPPQFALPPPPRAGAVVVLGDDYSDSESVAESCPYTCRPAPTAGGDVEMDDDEDDDGCSSCVEGDGCYDGDEVGGGGGEEAIVAEGGVWWAQLAAAAAAARGGERELRRRREEEEEEDPKRAAARQEEDRKFWEDCLASGYP >ORGLA07G0197100.1 pep chromosome:AGI1.1:7:20102668:20109173:1 gene:ORGLA07G0197100 transcript:ORGLA07G0197100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:disproportionating enzyme 2 [Source:Projected from Arabidopsis thaliana (AT2G40840) TAIR;Acc:AT2G40840] MANLSGKKSLNTVTLVFKLPYYTQWGQSLLIAGSEPALGSWNVKQGLSLSPVHQGNELIWSGRVSVATGFTCQYNYYVVDDNKYVLRSESGEKRKLVLPEGVQDGDVVEIRDWWQDASEALFLRSAFKNVIFNGSENAKRELKTTPLNKSLEPEDIVVQFIVSCPRLGAGSTVVVTGSNPQLGRWQTQDGLKLNYVGDSIWKANCLLRKSEFPIKYKYCKISEAGVSSLEFGPNREADVDLSSPKPSRYVLLSDGALRESPWRGAGVAVPIFSIRSNEDLGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPGDIKDEISQAKKQLDKKDVDYEASLASKLSIARKIFKLEKDKVLNSSLFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLDKLVSEGTLHHDVICFHYYIQYHLYMQLSEAAAYARKKKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPDHAATGLVGKFRPSIALSQEELLSEGLWDFDRMSRPYILQETLEEKFGSFWTVIAANFLNEYKKQHYEFKEDCNTEKKIIAKLKNSSEKSLWLEKEDSIRRGLFDLLQNIVLIRDPEDSTKFYPRFNQEDTSSFNDLDEHSKNILRRLYYDYYFARQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPNLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDGGRRSRFYQTVIGSDDEPPSRCTPEVANFIVKQHFDAPSMWAIFPLQDLLALKDKYTTRPAKEETINDPTNPKHYWRFRLHVTLDSLLDDKDIQATIKELVTSSGRSFPGKVDGAEESGEKLAKVQLNGKP >ORGLA07G0197000.1 pep chromosome:AGI1.1:7:20091828:20098065:-1 gene:ORGLA07G0197000 transcript:ORGLA07G0197000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease [Source:Projected from Arabidopsis thaliana (AT5G19500) TAIR;Acc:AT5G19500] MALTASLRCYPLLGSAAAAASSSVSLPASTRAPVLHRTTGGPRRRLQRCLCSQQYAEKQSSGSQQQQLERLFSNLNQATMKHEPGSVTSSIFLVAGTTVGAGILAIPAVTQEAGFLASAVTCIFCWIYMVVTGLLVAEVNVNTMCELGSGGVSLVSMAMRTLGTFGVRTACISYLFIHYALLVAYVARSSEIITNSVGIPLWESAALFSLAFGGLCYFGSQRVIGAVNGFLVFSILASFTTLVVVASGNLQWSSLLEANFAAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRKAIVVGTAIPLALFLIWDAVILGTLPGLAGDGTIIDPLEQLRSSNGTVGPIVEAFSFLAIGTSYIGFVLGLSDFIADLLKLPSGQNKPLPYLVTLLPPLVLSLLDPEIFFKALDFAGTYGVLVLFGVFPAAMSWSERYSDDLEAPVPPIVPGGKFTLSFVMGVPCS >ORGLA07G0196900.1 pep chromosome:AGI1.1:7:20089642:20091276:1 gene:ORGLA07G0196900 transcript:ORGLA07G0196900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRLNQHSFLFLLREASASAAARRRLPPLHALLVKLGLQPYARVHNALIQAYAASGLVDDARRVFDGMSHRDTVSFNSMIHAHAMSGDVVSARRLFERVPSPTPVTWTSMVAGLCRAGDVAAARRLFEEMPVRDLVSWNAMMSGLAGNRRPVEALCLFRRMMAEGFAPNRGTVLSALAACTGAGALETGKWIHAFVERKRLFRWWDEFLGTALLDMYAKCGAVELALDVFTKLRSRNTCTWNAMINGLAMNGYSAKALDMFRKMELDRTVVPDEVTFVGVLLACSHGGFVDVGREHFHMIEKKYGIRLILEHYACMVDLLARSGHLQEAHKIIAGMPMKPDAVVWRALLGGCRLHKDVKMAETAISEMEATCSGDHVLLSNLYAAVGRWSGVEDVRRTMRSKGIEKIPGCSSIEINDSIHEFVSGDKSHPSYNDIHAKLAEISARMQQQGYVTETAEVFYDVEEEEKEQALGHHSEKLAIAFGLIGGPPNVAIRIVKNLRFCADCHKFAKLVSQIYHWEIVVRDRARFHHFTEGACSCNDFW >ORGLA07G0196800.1 pep chromosome:AGI1.1:7:20085988:20088421:1 gene:ORGLA07G0196800 transcript:ORGLA07G0196800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G56510) TAIR;Acc:AT3G56510] MAGSSESDYYSEEEEELGWEEDGLGSEGEEEDAEAARERALKRLDGLGKRGVCYLSRVPPNMNPSHVRQMLSKYGEVQRIYLVPEGQGHRKHTNVRAKAYTEGWIEFSKKSVAKRVANLLNGEQIGGKKRSPFYYDIWNIKYLKKFKWDDLVGEIAEKTHIREQKLNLEIAAAKKQRDHYLSNVEKSRTLKHIQERRKKKQKTEGAEFNEVREEKIARPIPQKKPVEETDAKTKPKLPKDILAGVFGGP >ORGLA07G0196700.1 pep chromosome:AGI1.1:7:20082516:20084570:1 gene:ORGLA07G0196700 transcript:ORGLA07G0196700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFTDLHTADGLKALEQHLSGKTYVSGNAISKDDIKVFAAVPSKPGAEFPNAARWYDTVAAALASRFPGKAVGVNLPGGGAASSAAAAAPAAKDADEDDDDLDLFGDETEEDKKAADERAASKASSKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVQMEGLTWGASKLVPVGYGIKKLQIMLTIVDDLVSVDSLIEEHLTEEPINEFVQSCDIVAFNKI >ORGLA07G0196600.1 pep chromosome:AGI1.1:7:20077374:20077670:1 gene:ORGLA07G0196600 transcript:ORGLA07G0196600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTFPELSVTASDCIEMMWIQSMLYFAFYGMGKPLEMLLDRGTSKPDKYLKAKPDSVRPRTCPAKSGRPPGAGSSRTVPGCSSSTSTAARWSASRQR >ORGLA07G0196500.1 pep chromosome:AGI1.1:7:20074056:20075425:1 gene:ORGLA07G0196500 transcript:ORGLA07G0196500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVEARRGHRAPPLLAGWGSAQAAGEETKFEAEAEAGWDGLGCSPGPREPHKPTNNLLPPHSPLLPSQPKSPGHSLMGGEKGVGRWGKARRRRPPADVAPNDRERSRHGLAHMRGVARVPTTTAMSSSPARVRAGASTSSRWPSSYPGLPPRHDGQAPIPQAHSRPHRAAQKPMCSGVPELRLSSAEANAQLRRIVFKVNSIYSTLRSHRPRCMRSFPQRLPPPSLLFAASLLPTHRQVPSSGFYLPPPPNNHHPSPCWSLATTHLSRDSASGVLFACMHGHSCSQWCSRVCSSVATYSISQLLEILSPIPTVSLTCDHDADVAQMRGELTCNDVMV >ORGLA07G0196400.1 pep chromosome:AGI1.1:7:20070475:20072285:-1 gene:ORGLA07G0196400 transcript:ORGLA07G0196400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01970) TAIR;Acc:AT1G01970] MISLAVPAIYSLTSQFPSATTHARAPSRCACACVPGRQVAEQPLASMEAEQAAAAGETPRFRWDTFGSAPSEPQWEAIRGLSPKLPNRCRALMARLVCLPPPDQDGDEDEETLGALLVFWVKAMKPKRTDWLLVMKELTAMESPLLAEVLEHALLEDSFEANVRDYTKLIHIYGKQKLLQKAEDAFHAMKSRGLPCDQVMLTALMDMYSKAGDLTRAKEIFNEIGLLGLPMDKRVYGSMIMAYIRADMLDKAEDMISEMGDQQIVAGKEVYKALLRAYSYKGDSEGAQRVFDAIQFAGIVPDTKLCALLVNAYCLADRINEAMIVTRNMRSARITPCNKCIALILGAYEKVNRLEGALAFLTELEENGVIIGQEPSQLLAGWLRRLGVVQEVEQVLKDLAVDRKNTLEVDRKKNLASDRKIKRRRSKSKRSFASSLQHK >ORGLA07G0196300.1 pep chromosome:AGI1.1:7:20066352:20069587:1 gene:ORGLA07G0196300 transcript:ORGLA07G0196300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYALFHAHGVDEIGQGVDAARASVLELQRFSKAVKLAGFSPFSSAVDALNQCNAISEGIMTDELRSFLELNLPKVKEGKKAKYSVGVMEPKVGSHISEATGIPCQCNEFVQELLRGVRLHFDHFIDQLKPTDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVNDNYVYSKIAKFVVNKSDLAEKDIPALADIIGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEIVGARLISHAGSLSNLAKCAASTLQIPWRXKGTFQSSENSWXHTQVWPHIPLIFYWPCVNXEQGQNSSIPGKXMFHCLTHRLLFRVEYLSFRSEAARTSXGETRLLXQGYCTAXEPXCDESCYXXYDKCRLRGWWXKREERHIC >ORGLA07G0196200.1 pep chromosome:AGI1.1:7:20063343:20064700:-1 gene:ORGLA07G0196200 transcript:ORGLA07G0196200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASAAGLEEALRPFHDRASDAETRLAKLEALLLNKDGLTSGSETNSSAVKDLQSKLDAVNTECLAEKEKNKKLIIENEKLQYRITHLIRALKEADSR >ORGLA07G0196100.1 pep chromosome:AGI1.1:7:20056405:20061206:-1 gene:ORGLA07G0196100 transcript:ORGLA07G0196100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRREYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSAHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICIERYRRRDEDQKMVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWRSRSQSCPFCRDSLKRVNSADLWIYTDNRDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHKLWSPWCSCCPACKSCKQLSSPRRLAIQSLIAPVRCCCSL >ORGLA07G0196000.1 pep chromosome:AGI1.1:7:20042722:20052329:-1 gene:ORGLA07G0196000 transcript:ORGLA07G0196000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVGRAVRKEFPGFGVFDGVVESYDAEAGYFRVMYEDGDSEEVELGEVVGLLVGGSPVGVEMAHPLPLTPGRRPKKRRRGDEEGGVGVGAVVAMEVDSVVLAVPAGGAEPASPVVVVEGSSRDEVDGDVVSEMAEKRRRVVSPGPESSGKPLRRSARQAKAAARVAEMEAAAAVAAAAEAEAAAAAMAEAEAEAAVTPPQSGSKRKRASGVGRYRSVAKDLEKAAVERLPPKPELPPSSQSLDLEGLPALDVFQVYSCLRSFSRQLFLSPFLLETFVAALRCIYVNPLIDWVHFSLLRAMKSHLEDLANEGDPPAMHCIRNLNWELLDLATWPIYLAEYLLTRGSELRYGMKLTDLKLLNTEYYTQPAMVKLELLRALCDDVLEIEAIRSEVVSRMSELDGNDELCKSTRTRRKRRASAVKNLLNSSRAPEDSSDTEDGNSDECYLCGMDGNLLCCDGCPAAFHSKCVGVVEDLLPEGNWFCPECLIQKNDGFKNMVKPGRGAEVLGMDPHDRLYFGTCGYILVVESTAEDSLDSTCHYYGIFDHHSLFNVLRTCHPSYSSITNMISLFWGTAIDSFDSNGRCENNKEFSIFDAKIDCSHLLPSKQHTEHEQLKSDKNGSCEQLACGKAHASDPDRLDHDTSHHKFSLRSAVISENGNATSAKTQQDVCSYANGLPAENKIDQSPHKKISDCYIHSNPAMYVNYYSFGQIAASAAEELKDKLLENKEGKKVGQDAASFQLKTICKKYANIFALTDQKLSVELRKEKCGWCNSCQISGGVDCIFRVTDGKCMEGLQSEKNMNSHIILAIHIILSIEERLNGLLIGPWKNPQFSSYWRKAVLKASDVSSLKQPLLMLESSVRRVAFSVEWQKPADSVEVVGSAAHVLVRTSNKSSRHASTRKPGRKPFIVELKVDSRDVGVYWRRGGRLSRQVFHWKRLPKSLTYKAVRQAGRIKIPTILYSDGSQFARRSKYIAWQAAVEMAENVAQFILQIKELEFNIRWTEILSTLPASLATKETQKIARLFKKVIVRRKRVDGTNVEYLLDFGKRENIPPVIAKHGKKLDEPSNERNRYWLSEGHLPLSLLKAYEAKALTRLLKKKDIDHLPKKMIDLKPPKPKKSGFDDLLEKAKKQVLGLCGHCDKEVKISDAVNCQYCEALFHKKHFKVPRGATDAYYVCNKCLSEKVLNVKSPQKKVVSKKNSLKKKTKKQSLKIVTRSKQIVAKSKKKMGKNKGKRGRPRKYPLIESKNKLPELRVKEPANVPKNEPAKRISKRLYSKYMKGNSNISERSAKRRRTASHYSYWLDGLRWTQNPNDDRAISFRTERVVFPCEDADLSEVFPVCRLCQKCYSGESIYIACEDCGDWFHGDIYSITLENVNNLIGFKCHRCRLKDVPVCPYVQTDNILMAQSDKDDVTSRSIEDKEDRSPTDLVAHDSLEGSHGHIIEKEVNDHSFEKEVGDHICLQAQEDHNEKELDSHSTEKELGDHNKTEEFDGNMKVLFNLNSTKELDSTSTEKELGDHNKIEEFDVNMEMFFNLNNTKELDSTGESICAGGEAHCLHELNNHEILKDCHSLDNNLGELDNQDCQKECHNQNSPKELENHRSSQELDSHKSPEELDNIISPKELDCTENNEHSAAVTQSDGFLDDPFNIRISDKEGLIIASENGKIKESIPLQTKNKPEENPVPADHDIDLQCTNISKVNSGSQGYINDQVLVVGSALVCDVLCRVAYL >ORGLA07G0195900.1 pep chromosome:AGI1.1:7:20040477:20041389:-1 gene:ORGLA07G0195900 transcript:ORGLA07G0195900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHISDIKLIRTDTTLDLSQKAEKETATCNFEPERQLYDSIQMEWKWIADVANLRALLNRRNEKQRN >ORGLA07G0195800.1 pep chromosome:AGI1.1:7:20031468:20031932:-1 gene:ORGLA07G0195800 transcript:ORGLA07G0195800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMAMSSLNPNAAPFIPAAFRRVEDFSPEWWELVKTTAWFRDHWFRQHQMYEDAAAEEDHHRQVFDDDLAALLPDDSLDLLDMVDTDDLFYTPDPPVHHKPTTTPTLDADVLRALSLNSPRLGGAGMVRHAENPVQFVAAKGGAARHVIHQPR >ORGLA07G0195700.1 pep chromosome:AGI1.1:7:20024803:20028796:1 gene:ORGLA07G0195700 transcript:ORGLA07G0195700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGSSSSDDQFSHHIVAPVVQILLFGSFTEAETKLWQPNANKTRELSEIQFGSLNFSALNLSKESNSITQGAVYPAKLSDGQNKIIITKDIACSNKKETVAPTLPNGKPVLFNGSPTANANVSPNNGVIENNQKAVAAVLTSVPAKNVSSPAPLSVPEVDHDGIERNQNSSLVPEITENGGPAIDTPTTAAPVDELVTSLNKKDFRNKPLLPHGLKNTGNICFLNSSLQALLSCWPFVQLLQNLRNQNIPKAGYPTLNAFIEFISQFDVLDDSNVKKDEKFATIASKSVIPSMFDTVLRNFTPDVPAGTASRPRQEDAQEFLSFAMDRMHDELVKLNGNGSNSKEGMIVSSNDDDAWETVGKKNKSAIMRSQSFVPSELTAIFGGQLQSCVKATGWIILLSAGNKASVTVQPFRLIHLDIFPDAVQKLDDALRLFAAPESLEGYRTAAGKAGLVTARKSVKIHSLSKIMILHLKRFSYGSHGCTKLFKPIHFPLELVLSRDLLSSPSSEHMQSRRYELVATITHLGANPSRGHYTADAKSASGQWLRFDDDNVTPVSLNKVLHDQAYVLFYKQL >ORGLA07G0195600.1 pep chromosome:AGI1.1:7:20010244:20017406:-1 gene:ORGLA07G0195600 transcript:ORGLA07G0195600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRRPAATAAAAAAAPADAQPRARPAAAVAAAEAADAELVLRAPNLRVVAAAMVAFLAPFSYLAFVHYPLDAALRRSILICGAMSLGGFVVVLRLVPVAARYLLRRGMWGKDINKRGLPMGEIRVPEALGIVVGIVYLVIAILFQHFNFTADSMWLVEYNAALASVCFMVLLGFIDDVLDVPWRVKLLLPTIAALPLLMAYAGGTSISIPKPLTSYVGVAVLELGSLYKLFMLLLAVFCTNSINIHAGLNGLEVGQTVIISAAVLIHNVMRIGSSTDIEAQQAHAFSIYLVLPFLTTSLALLAFNWYPSSVFVGDTYTYFAGMALAVVGILGHFSETLLLFFLPEVLNFLCSVPQLFKFVPCPRHRLPRFDPHTGLLTGTKDGNLVNIFLRIFGRCTERTLCIRLLIFQALSCLFCFWLRYMITGWYK >ORGLA07G0195500.1 pep chromosome:AGI1.1:7:20005988:20009078:1 gene:ORGLA07G0195500 transcript:ORGLA07G0195500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenosine/AMP deaminase family protein [Source:Projected from Arabidopsis thaliana (AT4G04880) TAIR;Acc:AT4G04880] MEAEKEKEKEMREWCVALPKVELHAHLNGSVRDSTLLELAKQLGDKGDIVFEDVKDVIMKNGRSLPECFRLFELYHILTTDHDTVTRITKEVVEDFAMENVVYLEIRTTPKNNEAKGMTKRSYMNAVIKGLKTVEAVEVVLFDSNSRADKTPMSELGGDTRKKKIYVRLLLSIDRRETTLAALDTVNLAMEMKDQGVIGIDLSGNPVVGEWETYLPALEHAKELGIPITIHCGEVANRNEIRAVLDFCPQRLGHVCCLNDEEWKKLKSLMIPVEICLTSNVMTGGAPSLELHHFADLYNAKHPLSLCTDDSGLFSTSLSNEYYLVASTFGLSKAELFQLAQDAVEFVFAGDELKRSLRELFERVGKELTT >ORGLA07G0195400.1 pep chromosome:AGI1.1:7:19996860:20000749:1 gene:ORGLA07G0195400 transcript:ORGLA07G0195400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G34260) TAIR;Acc:AT2G34260] MEALHEEMPFDLAFHPSSPLVATSLITGELYLFRYAAESQPERLFAAKSHKESCRAVRFVESGNVILTGSADCSILASDVETGKPIARLEDAHENGINRLVCLTETTVASGDDEGCIKVWDTRERSCCNTFHCHEDYISDMTYVSDSNQILATSGDGTLSVSNLRRNKVKSQSEFSEDELLSVVVMKNGKKVVCGTPSGALLLYSWGFFKDCSDRFLGHAQSVDTMLKLDEETLISGASDGVIRLVGILPNRIIQPLAEHSEYPIEALAFSNDRNYLGSISHDKMLKLWDLQDLLNRQQLVQDDKLGEQDSDDSDDDGMDVDMDPNSSKGSRSTKTSKGQSSDRPTSDFFADL >ORGLA07G0195300.1 pep chromosome:AGI1.1:7:19987877:19991309:-1 gene:ORGLA07G0195300 transcript:ORGLA07G0195300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G21770) TAIR;Acc:AT4G21770] MPKAAASLASLLPQLWHRPVQPPPFLHRALSSSSPLLRRHRAALHSPAAPLSAAAVSTSAATVEAPATAAYPVYGRLLPCPLQDDPPRIEHLVAREDEVAVDFISRSLTLPPLCVADLIKFGAVYYALVAPQPPPHAAPEHVRIFREVTEPSVLCRRKSIKGKTVREAQKTFRVTDPNQRLEAGTYLRVHVHPKRFPRCYEIDWKSRVIAVTDNYVVLDKPAATSVGGATDNIEESCVVFTSRALGLETPLMTTHQIDNCSEGCVVLSKTKEFCSVFHGMIREKQVNKRYLALTTAPVSTGIITHYMRPINRAPRLVSEDHIKGWHVCQMEILDCKKVPWPSSLIRKVHKVDNCGWPQQEAAYECKINLLTGKTHQIRAQLAAIGTPIVGDSAYMTAAMAAIVNPSINPFGRWGQNYDSEDEKAAAVEAWISCHGKEPKSVIGLQASEISWDYEGEHHSYKAGVPWWRQDAVESDLI >ORGLA07G0195200.1 pep chromosome:AGI1.1:7:19972062:19985081:-1 gene:ORGLA07G0195200 transcript:ORGLA07G0195200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 5 [Source:Projected from Arabidopsis thaliana (AT2G13370) TAIR;Acc:AT2G13370] MAFFSNSGSRADSGGYNLNEKAEDEAAYESVGDRDVDLNSRQWNLNEKAEDAYHSDEEQYDGGRSGPNSSENKSVQNARKINGPSGPWGTNFLKDCGPTQTAKEEQLTSDRGMEYGSAASSHDDMDASGEDDELNRGHGEVPAEEMLSDDYYEQDGEEQSDSLLRGGMRRPSCSTSGAAAESVSSRQKKKPTKYNAYEDEDDDKYNDENDDEDDDDADEDDPDDVDFEPESDTEKAADKDKFVDSENSDEEEDDELELSDDDEDDFVENKRQCKRLKVGGTKTSKGRKLPVQVQRKRGVSFTDEDSSGKDSDAPSDTDISHPAKKPDKLHQKTVGRKDVFSNVDSHEVRTSGRRRTARNISYAESEESDDSEEKLAKQQKVLKEDPEEEEGETIERILWHQPKGVAEEALRNGQSTQPTVISFTSDVDQSWDDVEFYIKWKGQSFLHCQWKTLSELQNVSGFKKVLNYMKRVTDELRYKRSLSREEVEVHDVGKEMELDLIKQYSQVERIFADRVSKVDGDDLVPEYLVKWQGLPYAESTWEKDTDIEFAQDAIDEYKAREAATSILGKTVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPDMNVVVYVGNRASREICQQHEFFTNKKGGRHVKFHTLITTYEVILKDKAALSKIKWNYLMVDEAHRLKNCEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPSKFNSKDIFVERYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRVDMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSIGDRNKVERIVMSSGKLVLLDKLLVRLRETNHRVLIFSQMVRMLDILAEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGGSMFDKNELSAILRFGAEELFKEDKTDEETKKKLESMDIDEILERAEKVETKGGEGEEGNELLSAFKVANFSSGEDDATFWSRLIQPDASDMVEETLAPRAARNKKSYVEDHQLDKNSNRKRRGIDAQEKPRRRSSRTMDTAVSLPLIDGSAHQVREWSFGNLSKKDATRFVRAVKKFGNPSQIGLIVDDVGGAIAKSSVDQQLELFTLLIEGCQDAVKNNMDAKGTVLDFFGVAVKAHELIARVEELQFLARRIARYKDPVRQYRIQAPYKKPQWSASCGWTETDDARLMVGIHWYGYGNWEKIRLDPKLSLTAKIAPATLGERETFLPRAPNLDNRASALLQKEFANLRGKSSKAKGGPRQAIDNESNGGARSLRGRQKDTKIKEDNNSIKDDFKKRKVVEPEAREEGEISESEAETKYRQDKEEKWLEWCSEVLDDEQEILKRLDRLQNTSVNLPKEKVLSRIRKYLQIIGNKIGEIVDQHSESYKQSRMAMRLWNYVANFSSMSGEQLHDLYLKLSQDQMEAGVGPSHGGNFASVPPNRGPKSNQLHPSRNQRSTRSVQYVSESFNNGENTGNSEAWKRRRRSEPDNQFDNQPLYQAPPIMTNGNRLQESSSSAGILGWAPVEMRRYGNERPKRGVHPSRFPPGHGPLL >ORGLA07G0195100.1 pep chromosome:AGI1.1:7:19965546:19969421:1 gene:ORGLA07G0195100 transcript:ORGLA07G0195100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAPTRIQAEAIPFALQGRDVIGVGQTGSGKTAAFALPIIQALLEHEHRPRFFACVLALTRELAIQIAKQFEALGSAISLQCSVVWWRSSRACLPEHLRKGFDSWQLWKEWNSRVGTPGRLLDHLTNTKGFSFNKLKYLVLDEADDLLKVDFQKAVDDILNVIPKERRTFLFSATMTEKVKKLRRACLKNPVKVEVASKYSLVDTLRQDLYVVPAKYKDCYLIHVLNKMPGSMIMVFVRTCESTRLLALMLRNLRFKAISISGQMSQDKRLGALNRFRTRDCNILVCTDVASRGLDIQGVDVVINYDFPLNSKDYIHRVGRTARAGQSGYAVSLVNQFEGEFFKLTEQFLGGEEIPARKVDADEIMILHERKYDSKRITLKTMNESGYHKRMHRRMEDDEEEVEEAPVSSRSRSLKKSRRR >ORGLA07G0195000.1 pep chromosome:AGI1.1:7:19960076:19960711:-1 gene:ORGLA07G0195000 transcript:ORGLA07G0195000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGCSKVLSGGGSGSCGGGAKKPFHFARSLTYHHHQGHRVLPPAAKWRRHQLADEPRARPKDVVLYTTSLRGVRRTFADCSSVRAILRGFRVAVDERDVSMDAAFRRELRSLLDARGRAFSLPQLLVGGRLVGGADEVKQLHESGQLRRLLDGAAGQDPAYVCDGCGGVRFVPCTACGGGRKVFVEEEDRVQRCGDCNENGLVRCPNCCS >ORGLA07G0194900.1 pep chromosome:AGI1.1:7:19955008:19956597:1 gene:ORGLA07G0194900 transcript:ORGLA07G0194900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:I1QCV7] MASVTYLDDAHSEVIDPPKSEEMLDVTELVDDHTQHSPKPNAMVSGNVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIQYLVSHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDKKELKLRVTGRIWKEQ >ORGLA07G0194800.1 pep chromosome:AGI1.1:7:19949311:19950732:1 gene:ORGLA07G0194800 transcript:ORGLA07G0194800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRQRSAAGPSGEAPVNSLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPRLWLRVERPIRNTIEPGVYPNLESAVSAARPGDTILIAAGGTHVARNIQIKKPLCIVSFSIHVCYISRVKV >ORGLA07G0194700.1 pep chromosome:AGI1.1:7:19946281:19948090:-1 gene:ORGLA07G0194700 transcript:ORGLA07G0194700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:I1QCV5] MSIIAVPSPVPSASDDAESLRKALQVRHGRMVTTRVASAGWRADKGALTRILCRRTAAQRAAIRRAYAFLYREPLLNCFRYKLSRHCLLSLDFWKAMILWTMDPAERDANLVHEALKKKQRDETYYMSVLIEMLVRLVSSYRYEGDECVVDMDVVRMEASQLAEAIKKKKQPRGEDEVVRIVTTRSKSQLRATFQRYREDHGSDIAEDIDSHCIGQFGRMLKTAVWCLTSPEKHFAEVIRHSILGLGTYEDMLTRVIVSRAEIDMRHIREEYKVRYKTTVTRDVVGDTSFGYKGFLLALVGRED >ORGLA07G0194600.1 pep chromosome:AGI1.1:7:19936997:19945130:-1 gene:ORGLA07G0194600 transcript:ORGLA07G0194600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT3G57060) TAIR;Acc:AT3G57060] MAPPFVFPSTLRDLERDGDGGGDDEPALRPQNPVAVGALRAADLEEFVKGTSFDLSDKELFCIEEQDVFDRVYSLVRDFTCLPPALKFNLVETLRSNLSVLLPNIDSLSRASMSSPSDGIPVTHRIASHRNALKIYSFFLLSIVVAEESGADSCNGPKVAVHGRKKNAVYAWSWEAQRGRIMNLIANSLEVDLSLLFGPGGADEQYLSFVSKCTFVLCENQNVLKDEEIRNGLCRIIGAIATKHQRVSQTSASVLHLIHKFDFTVVLLAESVVAAEKRFGDGSLAISLIREIGRTDPKDYVRDSAGADNAGRFLVELADRLPKLMSTNIGVLIPHFGGESYKIRNSLVGVLGKLAAKAFKDVEGDSDAHSLRLRSKHAMLEILLERCRDVSAYTRSRVLQVWAELCEENAISIGLWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRTATFEATLERYKEKLQGMEPPSPEKDELLNDFSLGEVIAGQDESVSDSCLPSSQDPKDQDPTIVDITNLEQIRALVASLEAGLRFSACITSLMPTLVQLLASSSATDVENTILLLMRCRQFQIEGSEEALRKMLPLVFSQDKSIYEAVESAFITIYTKKSPTETAKSLLNLTIECSIGDLAALESLVSSLVSKGEISSNTITALWDYFCFHINGVKPVQSRGALSILCMAAKSSPSILGTHLQDIIDIGFGRWAKEEPLLARTACIALQRLSNEDKVKLISTGSRVFAALQGLITSLSLPEKIWYGAADKAISAIYALHPAPEIFAAQIVKKSLNSVFGVLGTDCMSNENETQNGSMLSSTPATNLGRFLFIISHIALNHLVYIETSVKKIQKQKRKNDKSEPTAEDLQADASKNSEAQGINAELGIGASVDIAIESLAEKAEREIVSNSEKNLIGYCAPFLAKLCRNLALLQKFPGLQASAMLALCKLMIIDAEFCEANLQILFTAAESAPSEVVRSNCTIALGDLVVRFPNLLEPWTEHIYARLRDLSASVRKNAVLVISHLILNDMMKVKGFINEMAVRIEDEDERISSLAKLFFHELSKKGSNPIYNLLPDILGRLCNQQLKDETFCSIMQFLISSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGLKKLVDNFKIFEHALSEDSVMNHFRTVIAKCKKFAKPDLKVCIEEFDEKLSKVHQEKKEQEATTRNAEAHKQRIGSLDKLMVTKRTGKDKGKSAEVAEETSEVVDPSTESNSEDKENMPECSDNICSEKSHTSSTFTESDGDSTEVQSARTCKGVSRSRINKMREPEDSEDSAPIRRVSRRRPVR >ORGLA07G0194500.1 pep chromosome:AGI1.1:7:19936043:19936357:1 gene:ORGLA07G0194500 transcript:ORGLA07G0194500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEEDRGWWNPSTSRLNFVIKFFEEKWMTCGCEQPRFEADSEKKNWIAQVAQHAFALSLDNANELLPVALLSEAMDDLAWAFQGPSSPISICNSYYSIWLKSI >ORGLA07G0194400.1 pep chromosome:AGI1.1:7:19929233:19932053:-1 gene:ORGLA07G0194400 transcript:ORGLA07G0194400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41250) TAIR;Acc:AT2G41250] MAVAARLRSAGARLVVGPAAMAVGRGGGGEGRWMGTATAEAAAGIARWEPMGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGEKYGVNYSEDEILMRYRRAYAQPWGRSRLRYVDDGRPFWQHIVSSSTGCSDLQYFEELYQYYTTAKAWQLCDPDAKYVFEALRKAGVKTAVVSNFDTRLRPLLQALNCDHWFDAVAVSAEVAAEKPNPTIFLKACEFLGVKPEEAVHIGDDRRNDLWGARDAGCDAWLWGSDVYSFKEVAERIGVKV >ORGLA07G0194300.1 pep chromosome:AGI1.1:7:19926416:19927126:1 gene:ORGLA07G0194300 transcript:ORGLA07G0194300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKNGLLGWLCVVVPGRRRRRRGARLVLWGGERRAAAAGRMAGEVMMEHAGRLVVCRADGFRLGRAAPVLAIEDRLDAGATYLVLPVDRLPQGRDVVTAASLAALTYDRAAGAAGAPLLAGGAKSPFEYVKDDGGRTVIKVTEEFIVKAVTGRRPPAGGGGEGEDAHGAAALCSTPELRKHYEQLVGAARGRPWSPRLETIKERNGRRRVVDVVVSPTGRLSPVRLLGLDKGIK >ORGLA07G0194200.1 pep chromosome:AGI1.1:7:19921763:19923273:-1 gene:ORGLA07G0194200 transcript:ORGLA07G0194200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G12320) TAIR;Acc:AT5G12320] MGAESEQPTAAAATAEQAQDLIDAARYDDLEDVVALFTAGVSLDSADSQGRTALHMASANGHLAVVQYLIQNGANVNATNSEKNTPLHWACLNGHIEVIKALICAGASVSALNSHEKTPMDEAVTKGKMEVIDAIGAAVAQAELDGVTVS >ORGLA07G0194100.1 pep chromosome:AGI1.1:7:19898868:19902671:-1 gene:ORGLA07G0194100 transcript:ORGLA07G0194100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHIRARAWEATVRKVVQQQPQPQPQPGGSRRRVSPMLAADDPETASSSSASTAGGDGEHRHDGYVERGLPNGDFYTGQWRGGAPHGAGKYLWTDGCMYEGEWRHGKATGRGRFSWPSGATYEGEFRDGFMDGAGTYTGAAGDTYRGSWSMNLKHGHGKKSYANGDHYDGEWRSGLQDGAGRYIWRNGTEYTGQWRAGLIHGRGALVWSNGNRYDGGWEDGCPRGQGTFRWADGSVYVGYWTRDAATGIVQQKGVYYPSPAASSPTARDPRDVFARDLPGFMGGAAEPVASLPHRSLNHSGNRGGAAAAAAAGNARSSSASGLSNSSGGDRKYDKICIWESDGDITCDIVDGAALADEAVGPRRSIRAAGGGDELVLAPPSPAPRIAKWVPPREAKRQGETIAKGHKNYELMLNLQLGIRHAVGKQGPVTLDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGSEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHSIHRRFDLKGSSLGRTTDKPQTEIDQYTTLKDLDLNFIFRLKKQWFHEFQSRQVDRDCDFLEQEKIMDYSLLVGVHFRGNREKLLSEGSTDYDINKISTPRLSRGNVDQCLTDPNRWPKIKLGANMPARAELTARKNDLEPQLIGEPTGEYYDVVLYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPRQYSRRFKDFIYKAFQEDRLDS >ORGLA07G0194000.1 pep chromosome:AGI1.1:7:19890251:19891594:1 gene:ORGLA07G0194000 transcript:ORGLA07G0194000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRMSIAAMSVLAVAAVLVVAGTAAAAASCPATPPDAGATLQVSHAFGPCSPLGAESAAPSWAGFLAEQAARDASRLLYLDSLAVKGRAYAPIASGRQLLQTPTYVVRARLGTPAQQLLLAVDTSNDAAWIPCSGCAGCPTSSPFNPAASASYRPVPCGSPQCVLAPNPSCSPNAKSCGFSLSYADSSLQAALSQDTLAVAGDVVKAYTFGCLQRATGTAAPPQGLLGLGRGPLSFLSQTKDMYGATFSYCLPSFKSLNFSGTLRLGRNGQPRRIKTTPLLANPHRSSLYYVNMTGIRVGKKVVSVPASALAFDPATGAGTVLDSGTMFTRLVAPVYLALRDEVRRRVGAAAAVSSLGGFDTCYNTTVAWPPVTLLFDGMQVTLPEENVVIHTTYGTTSCLAMAAAPDGVNTVLNVIASMQQQNHRVLFDVPNGRVGFARESCTAA >ORGLA07G0193900.1 pep chromosome:AGI1.1:7:19867500:19882426:-1 gene:ORGLA07G0193900 transcript:ORGLA07G0193900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRAAAAAAPSPAAALLPLPRAAPLXXXRAAARSAARRLRARGTRAPPLAAARRGWGGVSPRAVLDLPRRREAAEKPAQKAADLNEILSERGACGVGFVANLKNEPSFNIVRDALVALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFNDWANKQGLAPLDRTNTGVGMVFLPQDESSMEEAKAVVAKVFTDEGLEVLGWRTVPFNVSVVGRYAKETMPNIQQIFVKVAKEDNADDIERELYICRKLIERATKSASWADELYFCSLSSRTIVYKGMLRSEILGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSREATLQSPVWRGREHEIRPFGDPKASDSANLDSTAELLLRSGRSPAEAMMILVPEAYKNHPTLSIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKSVASANPYGSWLQQSTRSIKPVNFQSSVAMDNETVLRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVLSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENADQVTLSSPVLNEGELESLLNDSKLKPKVLSTYFDIRKGLDGSLDKAIKVLCDEADAAVRNGSQLLVLSDRSEALEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAVCPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAVREKSDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLADVEDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQINPKAKVSVKLVAEAGIGTVASGVSKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLSETHQTLIQNGLRERVVLRVDGGFRSGLDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRATLAQLGFEKLDDIIGRTDLLKAKHVSLAKTQHIDLKYLLSSAGLPKWSSSQIRSQDVHSNGPVLDETILADPDISDAIENEKEVSKTFQIYNVDRAVCGRVAGVIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNIRLIGEANDYVGKGMAGGELVVVPVEKTGFVPEDAAIVGNTCLYGATGGQVFVRGKTGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQATTVQSAK >ORGLA07G0193800.1 pep chromosome:AGI1.1:7:19857526:19866339:1 gene:ORGLA07G0193800 transcript:ORGLA07G0193800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPVAGAAERQQQQQQRGGAASASGNAVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPNTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTTDPYEGAVPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLERHGIKVEGILRQAADVEEVDKRMQEYEQGRTEFAQDEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAFRLESKESRINSMRAAISETFPEPNRRLLQRILRMMHTVASHTAENRMTASAVAACMAPLLLRPLLAGECEMEDDLDMNGDSSAQLIAAANAANSAQGIVTTLLEEYEGIFYDEHLRCSLSPDSQIEDSGSEESTDDETVDIKDSGFHDAENDADQELDDSERILSGKLSETSACTAGDLYDYKVADDDDSDGEPSTEDKALETKVDRSPNEKDPPNMVSSQDSPLSMGDILSSLDAGISLPGPGPEYSVDRQSIKSNGTQMHVKRSNFWGRNNGRKSQQSELADSSGEEELAIQRLEITKNDLQIRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSAQISSSRSMDSKTKAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSLADANDRYQHLPNHLPQNFVQSGFDMNLAFCNQEKKQRNEGPAGASHWRSIKQHVLPHGSSRPFSRKHSMDASSSDSREASTSMPADGNSMSVNIPKLSQGVEYGRQAPVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGNGSANHGFPYKSSSPWNSPR >ORGLA07G0193700.1 pep chromosome:AGI1.1:7:19851017:19853744:-1 gene:ORGLA07G0193700 transcript:ORGLA07G0193700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49080) TAIR;Acc:AT3G49080] MLLRRLLHSSRRLGHRLQTLTPASTAAASSSPVSATLPFRRPLPASRLLWPRLLSTSGRDDDPNKPWAFTPEYGDPDPFAAGEGVEAPAGEDPLGSSAAGEDPWAKDFRAEDSEKGDVFEEIFKEEAATAAVASGEKAPGAGADELWTLSGEDEKDPFAEAVLGGGLEGIGGEGAAIDEADAGVDEEEEERKRQERRAREQELMETLKGPNRAFGDLIEASGITEDMIASLILLKDVRGVPGLPPLREIEDETIEKMNATSSRADVERQKQEEIAKARVRQVDEKGRAYGTGKRKCSIARVWIQPGDGKFIVNDKQFDSYFPILDHRADLLRPFTVTKTLGRWDVTCTVKGGGVSGQVGAIRLGISRALQNWEPGLRPNLKAAGYLTRDSRVVERKKPGKAKARKSFQWVKR >ORGLA07G0193600.1 pep chromosome:AGI1.1:7:19845591:19848798:-1 gene:ORGLA07G0193600 transcript:ORGLA07G0193600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEDGAAAYWLRWQVLVCGALIVLPTAAAAALLPRLRRAAAPLRGTDLWVPCWARLHPGWLLGYRAFALAAAVALLVRLLLAHGVDVFFFYTQWTFLLVTIYFAFATAISAHGCWVYSNKNLKKADESHEFLSDDVENREFSTSSGEMKRDEEKITNYHEQIANEKRAGLWGRCMQIIYQTSAGATMLTDITFWGLLVPFFYRDKFGLSLVTDGMHSINAVLLLIDTFLNNMPFPWYRLAFFVFWSCSYVTFQWVLHACGAISWWPYPFLDLSSSGAPLWYLAMAIVHIPCFFLYWSIVKAKQTYFPRLFPHAYVRN >ORGLA07G0193500.1 pep chromosome:AGI1.1:7:19843874:19844788:1 gene:ORGLA07G0193500 transcript:ORGLA07G0193500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A2 family protein [Source:Projected from Arabidopsis thaliana (AT4G29070) TAIR;Acc:AT4G29070] MEAAASPSPPPSRASPLRLNPAAFLLRTTTTTTTVQPTTSADSPPPPPPTRPTPGVDRLISFLSSLIPRRGQRAKQPSSPPPTAAAAAMRRAAEREAEAERQLVGCAVPLFRPYVAQLPWHGGARAWLSRMFPRYGHYCGPNWSSGKEAGSVLWDRRPADHLDFCCYCHDMAYDTHDQAQLLRADLAFLRCLQSSRQTPARDGIAAAAIYRSMCIFGLKTILIPYRTNLVRLQTGPNYADAFADFVKRVASSSGRPTGSDKQRM >ORGLA07G0193400.1 pep chromosome:AGI1.1:7:19838126:19842438:1 gene:ORGLA07G0193400 transcript:ORGLA07G0193400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:UniProtKB/TrEMBL;Acc:I1QCU2] MAVTRLAVAAALSAAPPSSRRRRAFFHHSCRPLPSSVAAAKALRASAAPAVDEEAPASPPPSDLGKGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGVTGPDLMDKMRKQAERWGAELHQEDVEFVNVKSRPFVIRSSDREVKCHSVIIATGAAAKRLRLPHEDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRKDQLRASKAMQDRVLSNPNITVHFNTEAVDVVSNPKGQMSGIQLKRTDTGEESVLEVKGLFYGIGHTPNSQLLQGQIDLDDAGYILVEEGTAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCVAALSVERYLVANDLLVEFHQPVREEKEKEITDRDVEMGFDISHTKHRGQYALRKVYHESPRLVCVLYTSPTCGPCRTLKPILSKVIDEYNEHVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRTVSGVKMKKEYREFIESNK >ORGLA07G0193300.1 pep chromosome:AGI1.1:7:19834205:19836456:-1 gene:ORGLA07G0193300 transcript:ORGLA07G0193300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPERGDPAAARRVAVELELELPLGGAPPYPGAAPFDLEAAVCSHGLFMMAPNRWDPASRALVRPLRLASDRAASVAVRVSRHPARPSDALLVSVLGAPGDALSPPDQTSILEQVRRMLRLDEEDGRAAAEFQAMHAVAREAGFGRIFRSPTLFEDMVKCILLCNCQWTRTLSMSTALCELQLELRSSSSTENFQSRTPPIRECKRKRSNKQNVRVKLETKFNEDKLVYLEDPNLATDTANLQTYENSFNLPSAASGTGNTSEVSLDHSELKLRNEPCLEDCGGDFPTPEELANLDEDFLAKRCNLGYRARRIVMLARSIVEGKICLQKLEEIRKMSVPTVEGLSTTPSTYDRLNEELSTISGFGPFTRANVLMCMGFFHMIPADTETIRHLKQFHKRASTISSVQKELDNIYGKYAPFQFLAYWCELWGFYNKQFGKISDMEPINYRLFTASKLKKATVN >ORGLA07G0193200.1 pep chromosome:AGI1.1:7:19823688:19825364:1 gene:ORGLA07G0193200 transcript:ORGLA07G0193200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQQQQQQHRIYILQFRKGEQDQEVACKVSTPPKAGAGRRVMYYYHDYGGGGAGGAGKNGKAAQPRAFSMRRFFGLLLLSFVSVGTLFVAPVSFFSFVHSDEGSGGAAAAARRAVEVAAAPCSGMGNDSLCCDRTSTRADICFARGDVRMHSASASFQLVSSSSGNATAAAVEEERIRPYTRKWEANVMATIDEVRLRRVPAGGAARCDVVHDVPAVVFSTGGYTGNVYHEFNDGILPLFVTSNHLRRRVVFVILEYHDWWMTKYGDVVSRLSAFPPIDFTADRRVHCFPEVIAGLRIHGELTVDPEKTPEGKSIRHFRTLLDDAYRGRIQYLERLERRAARSRKRRAAAAKPTTTSIALPIMAPPAKQASPSPPDRPRLVIVSRTGSRVIENEADVAALAADVGFDVRVVRPERTTELCKIYRELNASDAMVGVHGAAMTHFLFMRPGKVFVQVVPLGTDWAAGAYYGEPAARLGLRYVGYKILPEESSLSREYPTGDPVLTDPAGVGKRGWDVTKKVYLDRQNVRLDLPRFREVLVGAHRHLVAGKRRRRQRESQ >ORGLA07G0193100.1 pep chromosome:AGI1.1:7:19801773:19811278:1 gene:ORGLA07G0193100 transcript:ORGLA07G0193100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAQRAALTALAPEAPYLAAGTMSGAVDMLFSASANIEIFRLDFQSDSPDLPLLASAPSPDRFNRLSWSRPGAADGDSFSLGLLAGGLSDGSVAVWNPLSMINSEGKAEDALVARLEKHTGPVCGLEFSELTPNRLASGAEQGELCIWDLKNPSEPVVFPPLKSVGSSAQAEISYLTWNPKFQHILATASSNGMTVVWDLRNQKPLTSFSDSNRTKCSVLQWNPDMSTQLIVASDDDNSPSLRVWDVRKTISPVREFVGHSKGVIAMSWCPYDSSYLLTCSKDNRTICWDTVSGEIMSELPASSNGNFDIHWYRKIPGVVAASSFDVKIGIYNLEFSGLYAAGDSAIGAPARPRAPAPKWLKCPTGASFGFGGKLVSFHQAAPTQGAQVTTSEVHVHNLVIEQSLVSRSTEFEAAIQNGDKSSLRALCDKKAQESLSDEERETWGFLRVMFEDGDAARTKLLAHLGFNPPQEQTSSSTDELNRTLADTLNLDHGAPTDTSDSQFMVDNGEDFFNNPQPSETSLAEESISTNGQQIEQEMPVNVEPSDPSVDKSIQHALVVGDYKGAVNLCLAANRMADALVIAHAGGSALWESTRNQYLKNTVSPYLKVVSAMVGNDLMSFVSTWPLNAWKETLALLCTFARKEEWNVLCDTLASRLLGVGDTLAATLCYICAGNIDKAVEIWSRDLKSEDGGKSYVDLLQDLMEKTITLALATGHKSFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSDEHSHELAILRDRIAFSTEENHAASTSVHAGSINSSAYPTIQPSYSTPDPSQNLYQGTSQYAVPSNQYQDYQQQSNTAYGTYNSTYSQQQTQMFVPSNTTPVISQPNATPAPVPQQPVKAFTPTNLPGLKNPEQYQQPTLGSQLYAGANPPYTSGQSTPYQGVPPTTYHQPRPPTQFQTVPTAPPAVSSATVPGTTPSQMFPGPVANNPTSRFMPSNNPGFVQRPGLSPVQPSSPTQAQGQPQPVVAPPAPPATVQTADTSKVSAELKPVIATLTRLFDETSKAMGGSQVKKREIEDNSRKIGTLFAKLNSGDISPNVSSKLIQLCSALDSGDFATAMHLQVLLTTSDWDECNFWLAALKRMIKTRQNFRM >ORGLA07G0193000.1 pep chromosome:AGI1.1:7:19796654:19797524:-1 gene:ORGLA07G0193000 transcript:ORGLA07G0193000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein [Source:Projected from Arabidopsis thaliana (AT2G28420) TAIR;Acc:AT2G28420] MGEVCKRVAPSVREEEEEEENGDGGVDPAAESSSAKLYEDVPEMPLMALNHISRLCKSVDASVRFYVKALGFVLIHRPPALDFNGAWLFNYGVGIHLVQRDDARRAPDVNPGDLDPMDNHISFQQCEDMEMMEKRLNEMGIEYMKRTINEEEGSPIDQLFFKDPDGFMIEICNCENLELVPAGALGRLRLPRDRHNPPLRMAAAGNDEA >ORGLA07G0192900.1 pep chromosome:AGI1.1:7:19782551:19787137:-1 gene:ORGLA07G0192900 transcript:ORGLA07G0192900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 40 [Source:Projected from Arabidopsis thaliana (AT3G63470) TAIR;Acc:AT3G63470] MRTSTSNAALLLLVAAAQCLALLSVAAAAKPRTRQGDYLDRLRGSPSSWASLAEDRGAPAPSPSPSGGRHAAPAAAAVGSKEADRVAGLPGQPAGVGFAQYAGYVTVDAAAGRALFYYLAEADGGAAASSKAPLLLWLNGGPGCSSLGYGAMEELGPFRVKSDGVSLYRNPYSWNNVANVMFLESPIGVGFSYSNTTADYSRMGDNSTAEDAYKFLVNWMERFPEYKGRDFYLAGESYAGHYVPQLAHAILRHSSAAGGGKPSSSPINLKGIMIGNAVINDWTDTKGMYDFFWTHALISDEANDGITKHCNFTDGADANSLCDDATSLADDCLQDIDIYNIYAPNCQSPGLVVSPPVTPSIESFDPCTDYYVEAYLNNPDVQKALHANITRLDHPWSACSGVLRRWVDSTSTVLPIIRELLKNNIRVWVYSGDTDGRVPVTSSRYSVNQLNLPVAAKWRPWFSNTQGAGDVGGYIVQYKGNLSLVTVRGAGHEVPSYQPQRALVLVQYFLEGKTLPDCEKCGEA >ORGLA07G0192800.1 pep chromosome:AGI1.1:7:19778842:19781695:1 gene:ORGLA07G0192800 transcript:ORGLA07G0192800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSSSSLLSAIRRLLTSLARSPPPAAAAAMPPSSPEPEEEGMGRSDAGVGGGEGGGGGGGRALSYGEAEYWDARYVEEGGAPYDWYQRYAALRPFVRCFAPPESRVLMIGCGSALMSEDMVDDGYTEIMNIDISSVVIEIMRKKHFNIPQLQYMQMDARDMSIFSDESFDCAIDKGTLDSLMCGVGAPLSAAQMVLEVERLLKPGGIFMLITYGDPSVRVPHLNQSGCNWKIVLYILPRPGFKGKTKRSVLDPVPMTQSGVLPDGFVPEDPDSHYIYVCKKLQGSTGTSSPTIHHVDTQDTAE >ORGLA07G0192700.1 pep chromosome:AGI1.1:7:19774027:19776259:1 gene:ORGLA07G0192700 transcript:ORGLA07G0192700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALFLRCGCLAAAPALRRGGAALRPRRTPSRLVAVGATSSSGDGVTTAADAVLRGGGGADGSPAGKPRGYRLVGGANGAMAPPPPPTTKSTAVETTVERVIFDFRFLALLAVAGSLAGSLLCFLNGCVYIKEAYSVYWSGCLKGVHTGQMVLKVVEAIDVYLAGTVMLIFGMGLYGLFISNTSTDVPSESDRALQGSSLFGMFALKERPKWMKITSLDELKTKVGHVIVMILLVKMFERSKMVKITTGLDLLSYSVCIFLSSASLYILHNLHRPEQDDSVMPNL >ORGLA07G0192600.1 pep chromosome:AGI1.1:7:19771756:19772932:1 gene:ORGLA07G0192600 transcript:ORGLA07G0192600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLERAFAGGDGGGGGGIGPAVESTVEKLIFDFRFLALLAVAGSLAGSLLCFLSGCVYIKEAYHVYWTSCVRGVHTGQMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNDSPDVPASDRALKGSSLFGMFALKERPRWMRISSLDELKTKLGHIIVMILLVKMFERSKMVHITTGMDLLSYAVCIFLSSASLYILHNLHRPEHE >ORGLA07G0192500.1 pep chromosome:AGI1.1:7:19767284:19770343:-1 gene:ORGLA07G0192500 transcript:ORGLA07G0192500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TXSSIRNVCKAHRNSYLLSFSAEEKKLAITVFSFPPDKGNVGTAAYLNVFNSIYSVLQDLKKDGYNVEGLPDTADSXGPSSRRLFMIRRPNSTVPTSMLLIAXMCGSTSHSPSYASLLEENWGKPPGNLNSDGEKPTCLWETVWQCIHWSSAHFWLXRRSDAAFVLKICXPSPWLCSIPHLCXEDLPGXCCHFGTHGSLEFMPGKQVGMSDACYPDSLIGNIPNIYCYAANNPSEATVGKRRSYANTISYLTPPAENVGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQLILTRMFPLPEERMELPPNERDLIVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIASLDRPEDEIYSLPNILAQTVGRNIEDVYRGSDKGILADVELLRQITEASRGAITAFVERTTNSKGQVVDVTNKLSTMLGFGLSEPWVQHLSKTKFIRADREKLRTLFTFLGECLKLIVADNELGSLKLALEGSYVEPGPGGDPIHNLKVLPTGKSIHALDPQTMPTIAAMKSAKIVVDRLLERQKVDNGGKYPETIALVLRGTDNIKTNGESLAQVLWMIGVRSVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQTNLLDRAVKMVAKLDEPEEMNYVRKHAQEQAQELGVSLREATTRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDSDAPGAGMREQRKTFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDERAPSSYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTKTVVWSAKSGQVVNWVYEEANATFIEDEAMRKRLMDTNPNSFRKLVQTCLEASGRGXWETSEENLEKLRELYSEVEDKIEGINW >ORGLA07G0192400.1 pep chromosome:AGI1.1:7:19764156:19766428:1 gene:ORGLA07G0192400 transcript:ORGLA07G0192400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRCRVAYVVLAALAAAPFLLLLLYGGGSPSALCLAAARSGGASRRLQYPSVAWSRVPPLPVLPSSPLPSLRASRWIIFAAAAHHPRHRPLPAVPGWQLLAVADETTPPDWSHPGAALLTLTDQARLGFRSVAFLPARGHARKAAAYLFAVQRGARVIYDADARNAVLGSNLTKHFDVDLDHRQGGGVLLQYSHADPNRTVVNPYVHFGQPSVWPRGLPLHKAGEVGVEEFYTQVFGGGQFIQQGLCNGLPDVDAVFYFTRKSSEMEAFDLRFDADAPKVALPQGMMAPINSVNTLFHSPAFWGLALPVSVSPMAADVIRGYWSQRILWEIGGYLVVYPPTVHRMDNVHAHPFDDEKDIHVSVGRLIDFLMEWRSHKQTLFERILDLSYAMTEEGFWGEKDLQFMSAWLQDLVSVGYRQPRLMSLEIDRPRATIGHGDKQVFVPKKLPAVHLGVEEIGEVSTEIGNLIKWRKHFGDVVLIVHCTVPVDRVALEWRLLYGRIFRAVVILSEKSNSDLAVEVSNLAQAYKFLPKVFDQFAGAGGFMFLQDHMILNYWNLYDFDKAKLWITNKVKESWSDVPLHGNKIEWFINQGDMVKKAIASFPFQYQANYKRSVGEDKIIHCNSEIFYIPRSHIGDFSYLVQAIGSLDIHHSIAIPMCALQWICQAILNQKL >ORGLA07G0192300.1 pep chromosome:AGI1.1:7:19762722:19763759:-1 gene:ORGLA07G0192300 transcript:ORGLA07G0192300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALAATAAVAAFPNFADVAGAVALLVLADSPPAPSPPPPPPTVSDELSCYSGSSASYSGTSARSCVSDSAQRGRPVDPLRVLAVVASLRRIDPKVLAKATNTLFQGESSKKRKGVWIHIDDDEDESERNSAVASEGSTVTGTASAGSTATSGRSHRPPPSGGGDQLPRRADKIMKWLSRPGAVPATETTIRAAVGDNAGTSKALRLLLKRPGCLRRSGSGGRNDPYVYMVC >ORGLA07G0192200.1 pep chromosome:AGI1.1:7:19758891:19761532:1 gene:ORGLA07G0192200 transcript:ORGLA07G0192200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFIAALQLALAAAVAHLLLTLPPAQCYWLNPEIYDAGGLSRRAFPEGFVFGTAASAYQVEGMAKQGGRGPSIWDAFIEKPGTIPNNATADVTVDEYHRYKEDVNIMKNMGFDAYRFSISWSRIFPNGTGMVNQEGVDYYNRLIDYMVKKGITPYANLYHYDLPLALHEQYLGWLSPKIVEAFADYADFCFQTFGDRVKDWFTFNEPRCVAALGYDNGFHAPGRCSGCDAGGNSTTEPYLAAHHLILSHAAAVKRYREKYQLYQKGRIGILLDFVWYEPFSDSNADRAAAQRARDFHLGWFLDPIIHGRYPYSMLEIVKDRMPTFSDEESRMVKDSIDYVGINHYTSFYMKDPGPWNLTPTSYQDDWHVGFAYERNGVPIGAQANSYWLYIVPWGIKKAVTYVKETYGNPTMILSENGMDQPGNVSITQGVHDTVRIRYYRNYITELKKAIDDGAKVIGYFAWSLLDNFEWRLGYTSRFGIIYVDYKTLKRYPKDSAFWFKNMLSSKKRN >ORGLA07G0192100.1 pep chromosome:AGI1.1:7:19750920:19753932:-1 gene:ORGLA07G0192100 transcript:ORGLA07G0192100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma-membrane choline transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G13760) TAIR;Acc:AT5G13760] MGAADNAAAPPRGDVPERGRGGGEPEAKEREVKVVVVDEPPGAPVARLQAQRPLAPLQVTTQAPPPPMSVASGGVEPPPQVATFQPVMQTPPQVAFASLNSRVYTNGITLCVFLVHLAAATFAVGFFVFRAVKDIVQHPRSRNAQRERSLLREWLPPVEGAVALSIVLAFTWQKAVRAWPRAMVGVILWSSFGITLAVGAMLMCFSMPATVGLGVAMVMFSIGTGLYACWVTCRVGFTARVFERAVQPVDKFRGLNGPAYLMVAAGFVWISVWCVAVIGAANYQFPGLTILGLVLSLMWTAEVMRNVANLTASRVIALYYLRGMQSSVQFSFQRALSYNLGSACLGSLFVPTIEALRIVARGLNLLEGEDEFMFSCAHCCLHVMNAIFEFGNSWAFVHIAAYGRGFVQASRSTWEQFERLQGMPALVDSDITSSVCFLTGVTSGALCVALAGSWTFATHKHYTATVSLLAFFVGYLMTRIGMALPQACVGCYYVCFAENPTSRLFDPTIPERLHKMQEGADPLVPTPRFPQQHPGAA >ORGLA07G0192000.1 pep chromosome:AGI1.1:7:19745256:19747928:1 gene:ORGLA07G0192000 transcript:ORGLA07G0192000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASARSRPAARARQASDQIVAWAACVLVSALSLLLLVAVSSGSGAARLTGEISVLVRTTTTATTTRSSDAVDDVTAVAAAEHCDVVDGEWVRDDDDDERRPLYEPRRCPFVDEGFRCRENGRPDDAFAMWRWQPRHCTLPRFDAKNLLETLRNRRLVFVGDSIGRNQWESMLCMLATGVAGAGDGDGGGDKAAPIYEVNGSPITKHEGALSFRFRDYNCTVEHYRSPYLVRRGRRPPRRAVSSTLQLDAMDARAHRWKDADVVVFNTGHWWSRERLQQLRCNFQVGKKLNLNMSIEAAYQRAMNTLTSWVHREVNPHKSLVIFRTYSPAHTRASSNGGCAKETTPELNSSRISLHRWPGMVNPAFEPSKSGTAAAKLHLLNITLMAAQRRDGHPSVYNVAAAAGQRADCSHWCLPGVPDAWNELLYAMIVKRFS >ORGLA07G0191900.1 pep chromosome:AGI1.1:7:19739997:19744096:1 gene:ORGLA07G0191900 transcript:ORGLA07G0191900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family [Source:Projected from Arabidopsis thaliana (AT1G03260) TAIR;Acc:AT1G03260] MALSWPSAIRLAVAAVLLAAVGVALFTLPVDKILKDFLVWIKDNLGPWGPLVLALAYVPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATIGATAAFLLGRTIGRPYVLSKCKDYPKFQAVAIAIERSGFKIVLLLRLVPLLPFNMLNYLLSVTPVGIGEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEISTTRWILIISGFILSVVLIVCVTRIAKSSLEKALAENGDAGIPQLPVVASPSDLQQPLVIRIDTSNEDHEK >ORGLA07G0191800.1 pep chromosome:AGI1.1:7:19733213:19735030:1 gene:ORGLA07G0191800 transcript:ORGLA07G0191800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1QCS6] QCERFFSSRFVSKMQPSRHDHLLLLPLLLLLLLGVFIATSSAAAPVSRTITVDHQGGGDFTLVQSAVNSVPDGNRDWIKIHVNAGSYKEKVTIPSQKQFIVLEGDGSWNTEITFAGHAHASIDELLNHGYSDVGGSATFHSSTFIVLADNFVARSISFRNTYNKYDKSKPVQAVAALIGGDRSAFYDCAFYGFQDTLCDLKGRHYFHHCYVRGGVDFIFGYGQSIYDNCTLESNMPPPPSPQQPGWVTAHARVTDADPGGLVFKGGSLLGSGQLYLGRAWNQFATVVFYQVSMTNIVVPQGWQPWNSPNV >ORGLA07G0191700.1 pep chromosome:AGI1.1:7:19720335:19730290:1 gene:ORGLA07G0191700 transcript:ORGLA07G0191700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARKKRGAAAAAAAAAAAAQWKVGDLVLAKMKGFPAWPAMISEPEKWGLSSAKKKLLVYFYGTKQIAFCNYTDLEAFTEEKRKSLLAKRHGKGADFVRAVKEIVEIYDSLKNENNNKSDTSGTDVKPDVEDAAHNSNSDRGGRGEGSDLVNDNKQDSRPTSSMDHNVTNSPGSNIPAVESGRCVVNSAPDEPSSSFSKKSQNDVQQNISCALGNLTSPRKLRSSLGADLRPTEDSCGPMNSINQPCVNVISDNKQVHSFQHTCIGDNKSNSDSLPAREDSSQGTCSKPEDSTVVVDDESLNSIDDVQDIHHIEASKTEVKQNGTIDSMSTTVTFKRKRKPHTNHISNPIISVAPKTDEELQPKSSGNLADSPNSGNEVNKSDGDEHLPLVKRARVRMGRALLEDTIVDECVISDNKTELATHENRCDKHDLYAGLGKDHSADMPPSMDPSSKIDLIMPSGDAQTACKNKEYHPKVLSLDGEAALPPSKRLHRALEAMSANATETICSSLEVQKSESILKVKGCAASGVISPSNNSLDAIAKVSRSVMTKSPTISSSGHSLDTPDGEKHILLKDIPSTIPLDLKNACSQNSLKEKVVEELHMDDKNITLTVCSRADNNVCGKAPTYSMESKASGNESMEPNGDPAHDFVKNVNGSAEPVSQANVVPSSNGNYNSVPHDDIRLAKPTVIVSDRTSASSLVTKISCIQSDASSQTFEPHGSSAIALKEHNHRMYPKGKSLSPDMMPMKELIAAAHTRRFSHSSSFIDSFLCSNGVPEPSVNVPSLKEGSSGQCSPSNHTIRFAADRIHTQQNSGAIPFDNMQQKGLNKLSGHDEASSARRAFEAFLGSLTRTKESIGRATRLALECDKQGIAGEVMDIIIEHLEKESNLYKRVDLFFLVDSIIQCCRNQKGGVGNAFPSLIQAVLPRILYASAPPGNSAWENRRQCLKVLKLWLDRKTLSEYIIRHHIKELEALNEASFGTSRRPSGTERALNDPLRDNEGMLVDEYGSNTGFHLPNLIGTKLLEDEEGSSSEERSFEAVTPEHEATGANEQEASQMHVAKHRLVLEEVDGDHEMEDLAPSSEAEGEAISSCQPDLTVARCATTKQNVDSVPPLPDDKPPSPPPLPSSPPPLPRPPCPVFQDSQVQGALAADRVRPDPPRTTYNIQEQHHHSVANNRSNMDPCVVSSHPPAPYNCGYAGHANQMPLPPPPPPPLPPPPPVAPFHPPGPHFSGPSVPPHHGNNYHQPPSVPPPNNAYHLQPPPHPPFPNQYPYPPEPQQNTQPWNCNPSYPERHQYGEHDRGPHAYDSRHHFHHRGHHFDDGGHYFDDGAHHFDDRGHPFDDRGNYFDDRGHHFDERAIRGQMHHEVDRGRFPPHFPPGPPFPDHFDGPSTPLHCRQPSNPPPGPGPGWSMPPRRFKYPPGPRHSMDHPVPHEGGWRRNGRHNHDKHPR >ORGLA07G0191600.1 pep chromosome:AGI1.1:7:19715394:19719081:1 gene:ORGLA07G0191600 transcript:ORGLA07G0191600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSSPPPPSIPTGGGGFFRGYTKLCKGLAVILLLVHLLIQLFPSAVTYLALVPGRTIPFAWNLITGGYVELTIPGVIISIVGLLLFGKLLEPLWGSKELSKFIFVVNFSTSVCVFITAIALYYTTQEESYLYAPLSGFYGVLTGLLVGIKQLMSEQELNLFVLKIKAKWIPSLAALISVIVSFFIKELVSYLPVILFGIYMSWIYLRYFQRRLETGLKGDPSEEFSFSSFFPEFLRPVLDPIASIFHRLLCGRSERADARGQTLDTTPLPGSDSIEANRRRERGQRALEQRLAEKLAAVKSSEGTSQDASDKV >ORGLA07G0191500.1 pep chromosome:AGI1.1:7:19709792:19714253:1 gene:ORGLA07G0191500 transcript:ORGLA07G0191500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRACRGGPSSSSPAAAAAAAAVGRPFPPIAASCPFSSSSAAAAAPAAPSETASTSVTKTVNGSHHFKIAGYPLAKGIGVGKYIASECFTVGGYDWAIYFYPDGKSPEDGAAYVSLFIALASEGTDVRALFELTLVDQSGKGQDKVHTHFGRSLEGGPYTLKYRGSMWGYKRFFKRSALETSDYLKDDCLLVNCTVGVVQSHTEGPKIYTIPVPPSNMSQHIGQLLTDGKRTDITFEVDGEVFPAHKVVLAARSPVFRAQLFGPMKDKNMKRITIEDMEASVFKALLHFMYWDELPDIEELTGLNTTWVSTLMAQHLLAAADRYALERLKLLCELKLCEDVAINTVANTLALAEQHHCYQLKTVCLRFVALPENLKAVMQTDGFDYLQQSCPSLLTELLEYVAKVGEHSVSPCLYSNEVLDGGDANGRRVKPRI >ORGLA07G0191400.1 pep chromosome:AGI1.1:7:19698889:19703463:1 gene:ORGLA07G0191400 transcript:ORGLA07G0191400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSPVEASGGPVMGDITGGGGELRVQIAANSGGQGGKVVGAAAPEKCLNRFVRVVALMERTGNALGTLAFNWATIILLGGYPTVLDSKNDYWFTTVIIFIEAARMFSSDKSDYQLFFRARGAFRFLGSSGLIAIVFFWDADAMLHMVASNLPAWAQTLLSILLILVPLAIGQLLRAARRPTSFRLIMIVIDICAVIILSFGNLHIPAALVRVNLAGKRLAEQDYFGIGDNKANLGESLTIFYSMVLGQGMLYIVAGMLEFFSFIPRRTLVRRGRFTGQWGVESVNLYYTYAFEKNMEGGVFAAKRISLSNFAMDSINSDLSKKQLYGIRMIHIFLQRDPTRAQLLEKLTTSTHTMARLISMLGWTSRNDHTTIRLYAAKVTAELAKSLRVVAVPGTMYLVSTLLDTDRKPKRRHPLLDKDDDRDPLFVDTVESQEKSQDAAGDQGQKQGSAGDTDIMLKTPTRSTHMNKCWEGISECYSIHKEQPLTDDDLLPALGMSIVYSLAGCDQNNSVEIDRVTDLIPKIIGFTSFTSATVNSEAQQKVLLKSSLKVLQRLTSIKGEIGITLRHKISKHPFLLRNLAEILGDNSITPELRKLVAEILRNLAIDRDARQEIGQMQVLITRLMKAFLNCNGPSSTNADCLLPKVAGQALAMLASENVDNCLVMSREPEFINKLRNMILIHDDNKYIYVAASLLRNLCMHAQPELMESDLKGLSHILPAVLERIMDAEGPELEILIGLSSQICKVLPEEFSQELEHRQIKRRFIERLVDLLNANMKPSAHCPGIRRVILEQSIYMMECNSHYANCFNEYQMMDALSIVELTSSRAENYMVFLGDAGFMECRKPLLALVDRTKELMGRQWLQGINSAY >ORGLA07G0191300.1 pep chromosome:AGI1.1:7:19679227:19679612:-1 gene:ORGLA07G0191300 transcript:ORGLA07G0191300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSRLDAGRRVRARYHPWQEPESERGCNADIYNDASPSLAVKLNAWAIQLPKHGRGRCVFWGLQEGAF >ORGLA07G0191200.1 pep chromosome:AGI1.1:7:19670404:19676157:1 gene:ORGLA07G0191200 transcript:ORGLA07G0191200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWMDEWGQRLSARHRSGDGEESTEEARWMPGVAEGAAERGIEPSRARDRGGCEERGEVDDSGGGEGMHVSAPTARILKRWISGSNGMFSRNNRLDYQLFFRTRGAFNPSAGWNELIVVACVSNALLCTALWGNIMASDDPFWYVMVILLLAILQFLRSAASKLLTCNPMRRAISLLSPMVAILLLGPFVLGLYIDSKSFIPKKTMAKWMIAYVVLLVLMLLLTISRLQFPSIIKLLNGTLGSKQEFWCQFTLKLCIIASIIMPVLIVPDTSDRYVIIILEALALVLVLFGNLQIPTATVRVALALLRLLPHNYYSDDEPIDEKNLGDKTNLVASLNIFYGMVLGQGILYITACIFEVFSFIPRRSLIRHGGFEGQWGVASINLYYAHAFEKYMEGGVLAPKKISLVTFAMDSLNSDSPKMQLYSVQMLHIFLQREPIRERVIAKLTTSTKNMARLINMLGWTSPSHKVVRLYAAKATVELAKSLRVVTVPGTMQLVSSLLDIDGKQKRGNPLLDVDGDHEGKLDPTYSTSESQEERHDAIRDTAAEECQDCHKKQWGTTSGPSKVITEGAAKADEHWRGNRRSIAVQDIETSLLVKKSRSDLRRQQQ >ORGLA07G0191100.1 pep chromosome:AGI1.1:7:19662182:19663465:1 gene:ORGLA07G0191100 transcript:ORGLA07G0191100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFWWWGTRVSVQFCSVRDCGQERLSKVDEGKADSEGGNHQSTGFLGPRTGNAIGTLAFTWATVVLLGGYPSVLRPDEDFLYATTIIFVEAARNSLDINFLAQIYHRYR >ORGLA07G0191000.1 pep chromosome:AGI1.1:7:19655821:19656648:-1 gene:ORGLA07G0191000 transcript:ORGLA07G0191000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVKSAYHVLEDQRERSAKKQVGDSSSGAVHQRNFEWDKIWSLQCIPKVKLFIWRLAHNSLPLKINIKRRVPDAETLCPVCKRFDENGGHCFLKCRPMKLCWRILCLEDIRLSLIQLVSARDVVQTILKLEDDRRMEVFFLLWVWWYARNKVKSGEEVIRVEEVVHKVKLLVYDYASMRKDKRPHVNVQRNKWVPPVDGRLKLNFDGAFRAANKSGCYGFLVRDHLGCAVLAGAGCLEHVHDAVAAEAEACLAGLQAAFSHGINSVQVETDSSIL >ORGLA07G0190900.1 pep chromosome:AGI1.1:7:19646939:19648887:-1 gene:ORGLA07G0190900 transcript:ORGLA07G0190900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLPRSTNARRPATCQAARRDGAGWATISGGQPKQPTRRPARRDGTRRGRQARIFGGDEQPTYVARASRRIPSRPFLKQVPIRSPFCLISSSVPPLLLAREFLGWIETAGCHLSAGEAQDAEAMPPSPRFSFGQIWRGGRRVVAWRGPRPALRDGGSMKSADGGASVRCGGSLVVGSTGS >ORGLA07G0190800.1 pep chromosome:AGI1.1:7:19643060:19646200:1 gene:ORGLA07G0190800 transcript:ORGLA07G0190800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIAGEEHRVQIAARASSDRQDKVAAPEKWVNCFVRVVTLMERTSNALGTLAFTWATVVLLGGYPVVLDSHGDFWFATAIVFLEAARMFSRNNRFDYQLFFRTRGAFSFRSLGGNGLIAIVYFSAAKVAIVVREVQDGAFILIIIMIPAAIVREELARMRLTRHDYIGVGEKTNLGQSLTILYSMVLGQGILYIVAGTLEVFSFIPLRSLVRRAGFIGQWGVESVNLYYEYAFDKYMEGGVFAPKRINLRNFAIDSINSDLSKNQLYGIQMMHTFLQRDPTRAKLLEKLTPSMQTKARLINMLDWTDGNQHTTIRLYAAKVMAEFAKSLRVVTVPGAMQLVSTLLDTEGRPKRGHPLLNADDDQDPFVDTTEERLDAVDKVTDLILKIIGFTSFRSAMVNSVAQQKVLVKSSLRVLQRLTSIEGEIGIALRYKISKHPFLLGNLAEILGDNTSMQELRKLVAGILRNLAIDRDTRQEIGQMQVLITRLMKASLNSDGPSSTDGDCLLPKVVGQALAMLASENVHNCLVMLKEPEFINKLKNMILIHDGKCIYVAASLLRNLYLHAQAQPDLTXSNQNDLSDAFQKVLETITDVEGPELEILIGLSSQICKVKPEEFVQELEHGQIKRRFVKKLVDALNANMKPSVDYPGIRRMILEQTIYMMESSSCYANCFNEFQMMNALLMVEETPSRVENYMIFLGDTGFMECNTPLSALVDRAKQLIGH >ORGLA07G0190700.1 pep chromosome:AGI1.1:7:19568713:19595876:1 gene:ORGLA07G0190700 transcript:ORGLA07G0190700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTTAEATRRWCKAAAAAASAAPEKHLNRFVRFIAFIERVGNGLGTLVFTWATVVILGGFSMMVTTREFRSATFLAFLEATRMFSQNSRLEYQFFFRTRGAFRRPRWNRVVLILCMAEIMVYVVEKFQWSPASYSHQHIGPIPAAVARVVIAMVQPTTLICVDNVQTFAKAVVKILFYTQAGEYEPLDIPTSCSWAGERNYSDGTTEDTKKNVMFSLNIFYVIVLIQGALYIVACVLEIFSFILRIILVHQSRFRRPWGMKCINQYYSYIFEQCISGGVLSKMNMELTSFAMDLTDSDSPSNQLDGVRMLHSFLKRKNTKALLLFRLSTSTKTLERSISMLGWTAPEDAEIRLLAVKVVVELARSLQVIAIPGSMQNISSLLDTDNQLRQRSPLLYTYDSQEERQGTIADTGNGQEHLDQDHLLHNNQENSWILGCXELISKCWSIPKEETFIEQDRLPLLGMSILARLANCDPNNCVEIDRARDLIPKIIGYTDGTQPKILKGSSLKLLGRLSNTGGEIGIILRQKMSGHPFLLRNLEEVLDDGRRHXRLARTXEAGSRDPQKPCHXRKHKTGDRFNPSDHXQFDPSISCPTSTIKHIFXSISKNNSWSSISHAGDGKCQXLLNNVKRSRECIHXRAHCHDPRXQIQICVCKSSSKSMLACSIQVQQLRLDRTIWFLTTGFAWNNXHNSCNKTRSPYWPKFTNMPCHSXRLCHRTRAXSDXGNICEETGXGTEFKYKTYCPVPXDQEGDSXASYIYDGEQFXLCNLFRXMPDDASTLNGXSNTFEGXKLQALHGEXRTNGIQHTTLXSCGKSKRRNNASCEMIGXEGSSVVTNSRLAVYVDGTILYCYPCRRCAKLRVGIXIKRGEMVLALYTRRPRHMCNYEILPCSENNCRHCNEFIYFGTINIXYCNVQRINGSGYFRRLLFYLSRASQIRSCSMNWLKVGVRNQTRLHALEVWNKRRKSQKKRELMAGGEHRLQITAKSDGQGKAAAAAPEKWLNRFVRSVALIERVGNALGTLAFTWATVVLLGGYLTALWSQHNFWYATAIFFLEAARMFSGSNNRHDYQLFFRTRGAFRPLGWNGLMAIVCILDVWVLLALQKKIVMAAVVVYAMIILLALGQNISPEFQPLCNPFRRAISLWSPLAAILLLTPTVQHHSRELVWNTTGSRSIPKITAEINFIPSRFTVAKWTAFFILLIVVLMVTISRLRFPIVIKLVDSALCRKLLVWGRTIQNMCMLAALVMLVLTSDGSFRFVTILSLVSITLMVSSGNFQILAAAMRAEIASFALHRLIMPHNGYREHGEDPDIKTNLVPSLIIFYGMVMAQGILYIVACFLDIFSFIPRRFLIRRAGLRSQLGVEYVNLYYAYAFEKCMGGAVFVPKKISLSNFAINSLNSDSPKNHFYGIQLMHSLLENEMTRVRILDKLITSTKTIDRIISMLGWTSPNNTTVRLYAAKVTAELAKDLQVITVPTALQLVSALLDTNAKLKKGNPLLQVDDEQEERQDPILNTANSQEERPDAIRNPDDDPKQRQEPVEGTDNLPETQTCSAHIHEHNCILRRRWQQISEYWKVPKEHSLTYYDHLPALGMLIIDKLASCGQNNCVEIDRVADLIPKIIGFTSFRSDMMNSEAQQMVLVKSSLKVLQRLTSIGGEIGITLRQKIGHMQVLITRLTKAFLNLDRTSSTNVDCLLTKVAGQALAMLAIDNVHNCLVMLKEPEFINKLKHMILIHDEKYLYVAATLLCRMCQHALAKLTESDLKELSETLHEVLERIMNAEGAELEILIGLSSQICKVIPEEFSQELDDEQIKQRFIKRLVDVLNANMNPGAHCPGIRRVILEQSIYMMEGNSHYTSCFNEFRMIEALWMVEEMPSGVENYRIFLGDAGFMEYSTPLFAFVDRAKELMGRQYLQGDSRVN >ORGLA07G0190600.1 pep chromosome:AGI1.1:7:19562450:19564409:1 gene:ORGLA07G0190600 transcript:ORGLA07G0190600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAGAAATGRRCKAPPEPPEKHLNRFVRIIAFIERAGNGLGTLVFTWATVVILGGFSTMLTTREFNCATLLALLEATRMFSQNSRLEYQFFFRTRGAFRRPRLIRLILIVCMAEAMVCVMAKFRFANFRSANFRWSPAPVYHLGPVKVTPFIILGMIMSLFVVAKLVCPVILKLFGDPQLRAVSLWSPLAAILLLVPCLFLETSIPHPDRLPGLRKYFALLLTTVIIVTITKLQFRCITSIVNCPCCRKMSFLRPVILFLCMCAVIAILGYLYSDSAYIVAPMVFFLIFALVLESFGNLQIPAAVARVVIAMIQPTTVLCVQSILTAVTQRTSGIYIGIPKNCTREHTYPDGTLGDTKKNVKFSLDVFYVIVLTQGALYIVACVLEIFSFIPKIHLVRQSRFRRKWGRKCVDMYYSYIFEQCVSGGALAPRIMELTSFAMDFTNSNSPSNQLYGIQMLHSFLKRKRTKALLLLRLTTSTETLNTLISMLGWTSPEDAQVRLFATKVIVDLSRSLQIIGIPGSMQNISSLLDTENQLRRRNPLLYTYYSQEGKQGTIVDTGDGQEHIDQDHPHNNRLNSWMLGCWQLISKRKKNFKGGDIHRA >ORGLA07G0190500.1 pep chromosome:AGI1.1:7:19557786:19558516:1 gene:ORGLA07G0190500 transcript:ORGLA07G0190500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQHAQAKLTESDLKELCHTLREVLERIMNVEGAELEILIGLCAQICKVIPEEFVQELEGGQIKKRFMKRLVDALNANMNPGGHCSGIRRVIIELSIYMMECNSHYANCFNELWMMEALSMVEEMPSRAENYRILLGDVGFMEYSIPLIALVDRAKELMGQQCLQGVNSAN >ORGLA07G0190400.1 pep chromosome:AGI1.1:7:19498569:19499102:-1 gene:ORGLA07G0190400 transcript:ORGLA07G0190400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPKRGIDMMDYALIEYDMRIKIGEQEKDDVQLIDGASLIGPAGLWNEPYTLRIPGDYGAVDITLSRLRWAAEATVEVVISEVQSSFDLLLGCLTSGLDKEIQLFDGTITEPRGLKRSVVAVRMRSLIELNFKVGALSSYLDHCCSFKPKHHGHDAQEIKTAFALISVKVTWLTLF >ORGLA07G0190300.1 pep chromosome:AGI1.1:7:19468754:19473238:1 gene:ORGLA07G0190300 transcript:ORGLA07G0190300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVADITSGEHRVQMPVQGGGGGGRRQKQLLAAPEKQLNCFVHILAVIERMGNALGTLAFTWATVVLLGGYPTVLRPEDDFWFATTMVFLEAARMFSRDNRLDYQLFFSTRGAFRLLGWSGLLTVAVYFSVVLVILSRSLASIGGNVLVALLVDMAMLLALGQLLSPAALKLLCDPLRHAISLWSPLLAILLIGPCITVPKSYYYFQTRGFISTNSKTQWIMYLVLSLIVLLATISRLRLPCIRKLVDSFLNTKQLAWRQIILNLCMLAAIVMLVFIFSELAPYAMIVYQLCAVVVVSFGNFQIPAAVVRVVLALLRLVPQNYFADDSDGKKDSEKNLKPSLNIFYGMVLGQGILYIVACLLEVFSFIPRRYLIRRGGFGGQIGVEYVNLYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRKKLYGVQMVHSFLKKEQLRTKTITKLTNATKTVASLFDMLGWTSDGDEEIRFFAAKVTAELAGSLRVVQIPGATQLVASLLDTDQQQRSRDHFLFIDSQVGREDSPIQQVGVAEQDSPVLKYLKQMAIYCLIPVDEPSNMHQRNSCMLRWWKRITKRWSVPEEEPSTDQDFLPIQGLLILERLANFDPGNCMEISRTTGLISKMIDFISYRNHMTSTTEAHQIMRASLSLKVLRRLASTEGKLGVTLRQQILEHTFVLSNLAEIMDDNGSSHELKQLAAEILKNLAMDRNTSEDIGHIRVIINSLMREFLSQDPSSSRNSNHLLRKNAGQALAMLAMESTDNCLIMLMEPGYVFIRELTTMIHDDRYKCIAASLLWNMCEHAQPELSNSDLKELSYILREGEPTCSFHIVVQRLTSIHICALNIDSIVLEGTMDAEGAELEVFIGLSSQICQVIPEDFAQEVEHGQIKEKFVKRLVDVLNAHMRPSAHYPGIRRVIVQHAIYLMEFNSRYANDFHKCCMVEALSMVERTPSRAEYYRLFSGDTGLMEHNTPLTALVARAKELMGREWVRGISSVT >ORGLA07G0190200.1 pep chromosome:AGI1.1:7:19450093:19465240:1 gene:ORGLA07G0190200 transcript:ORGLA07G0190200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTINGEHIVQIRGVQAEGKMAAPEKRLNRFVHLVAMTERLGNALGAMAFTWATIVLLGGYPDNLDSTYFWLATAIVFLEAIRMFTNDNRLDYQLFFGTRGDFSLLGWNGLLIVMVYLSAVPVLLSKKLLPWRQVVLNMCMLAAIVMLVFIFSAKLTYQLMIIVYEVSALLFLSSGNFQIPAAVVRVVLALISLSDGVEGVEGEKGSKHNLEPSLNIFYGMVLGQGILYIVACLVEVFSFIPRRYLIRSGGFGGQMGVEYVNSYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRKKLYGVQMLHKFLKKEQLRTKTIKKLTKDTNTVASLFDMLGWTSEGDEEIRLFAAKVTAELAGRLRVVQIPGATQLVASLLDTDHQQTTRDHFLFIDTQMGREDSPIQQVGMTEQDSLVLKYLKQMAIYCLIPVDEPSNMHQRNSHMLRWWKRITKRWSVPEEEPSTDQDFLPIQGLLIIERLANFDPGNCMEISRTTGLISKMIDFISYRNHMTSTNEAHQIMLPSLSLRVLRRLASTEGKLGVTLRQQILEHPFVLRNLEKILDDDSGSSHELKQLAAEILKNLAMDRNTSADIGHILVIISSLMRAFLSQDPSSSTNSNHLLWKNAGQALAMLAMESRDNCLVMLMEQGYVFIRQLTTMMQDDRFKCIAASLLWNMCEHAQSELSNSDLKELSYILREVLEGIMDAEGAELEVLIGLSSQICQVIPEDFAREVEHGQIKEKFIKRLVEVLNAHMKPSAHCPRIRRVIVQHAIYLMEFNSRYANDFHKCWMVEALSMVERTPSRAENYRLFSGDTGLMEHNTPLTALVARAKELMGREWVRGISSVT >ORGLA07G0190100.1 pep chromosome:AGI1.1:7:19422960:19435970:1 gene:ORGLA07G0190100 transcript:ORGLA07G0190100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AYGGRKSGSNGYAIWASINGTCAIPGVSLSSRHPATTAPIRSTALIRIEIEAEGEAVKHAADLLGEMQRYAGAGNNSGFSGGGGAGGGGRDSSRLDGSPYSANNYPLSSRRQQQLAPYKLKCDKEPLNNKLGPPDYYPQTPNCPEETLTKEYVQSGYKETVEGIEEAREIVLSQIPYFCKTDIVVKCKEALKKRLRAINDSRAQKRKAGQVYGVPLFGSLLIKPGVYPEQRPCNEDTRRKWAEALSQPNKRLRSLSEHVPHGYRRKSLLDVLTRYNVPLLRATWFVKVTYLNQPQVRSTSVSTSAGGSDNHRSNQWTNDVVEYLQQLLDEFCLKEVHPSFREQSSPGLIAGVTQVKMKSEAPPAVGDIDEPLVHFKWWYMVRLIQWHLAEELLVPSVLIEWLFNQFQERVAVEALELLMPVALGMIDIITLSQTYVRMFVEILVRRLSDGPVVDNPKRAHISSVIAEVLRYMVLAVPDTFVSLDCFPLPSFVVPDVYSRGALLKITAGGGIAGSKRQDGYRYLSCGYAVCSIQKRSYDLATVANPNFQARGAAKVVQALDKALVTGNLTMAYLSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVESSLICSVFFLCEWATCDYRDCRTSLLRNVKFTGRRDLSQVHLAVSILKNKMDEINNLSRSKSSSCIAVNNTAKGSSLNNTSLAATVSDSSGLRNNAKSVDEKDKKDIFESPGPLHDIIVCWLDQHEVNSAVGFKRVDVLIIELIRSGIFYPQAYVRQLIISGITDKNDTLLDVERKRRHRRILKQLPGSSLFEILEEDRITEEQHLYEMMSTYSSERRLVLSELSTGQAFDANSRGEYASSSCVPKASDLFLASGGDKHGRVPEQVEDVKALVSSMLRFINPHSVEEEHCQIKTNPQGSSASTVTQVDTVDVKHDCEDCARTKRQKLDERASPLQGFPLIQSDEEDIWWVRKGTKLHESLKAEPAQKPIKQNSRGRAKVVRKTQSLAQLASSRIEGSQGASTSHLCESKVGCSHHKPSIDVDNVKDVDHMKIVDLSEVGKSLKRLRLLERRSVSVWLLKSSDDKTLLKWRLGDEELLSILYILDICCDLVSGARFLVWLLTKVRGGMATSGQTGRSATHIKNRENQVCQIGEALVFSSLLRYENILLSTDLLPEVLSASMSRNFVLATARQPASGAFAYTRYFLKKYRDVPSVARWEKSFRTTCDQRLLAELDNGRSVDGDLVSSSGVSAGEEIDEQIRQKMNVRNSRIVPNMKDIIQRQTEEKKGITAPKSPSVDREDSYQIAHDIVLGLLECIRQNGGASLDGDPSIVASAVSAIVLNAGHTIAKHLDLSADALGDRFSRVFEIALAVEASSAITATFAPPKMQRNQFQPSPETHDVYGNHTNDLSNTGKGFVGRTTKVAAAISALVVGSVIHGVVNIERMVAVLKIKDGLDILHLLRGLRSSTNGVSRSTGTFKMENSVEVLVHWFRILLGNCRTVYDGLIADILGESYVLALSRLQRMLPLSMVLPPAYSIFAMVLWKRYTFSREDVQLYQSLSNAINDITMHQPFRDICFRNTHQLYDLLAADVGDSEFAAMLEMHNPDKGSKAMAFIPLRARLFLNSLVDCKTAGAILGDGSCASESGEAKESELKLSDRLIQLLDTLQPAKFHWQWVELRFLLDEQALLEKVAAGNTSVAEAIQSLSPNAESFALSDSEKGFTEIILSRLLARPDAAPLYSELVHLLGKLQESLVVDVKWLLQGQDALLGRKSTRQQLVHIAQRKGLSTKTQVWKPWGWASLLSDVIASKSTKRKLEVTSIEEGEVVDDSADAKRPSKANPHNVDRSFEGIRSINKYLTEKALSELMLPCIDRSSAEFRSIFAGDLVKQMGTISEHIKAIARNGTKQSGLVPSGTEAASNKSSSRKGIRGGSPNIGRRAPVGNDPTPPSASALRATVWLRLQFIIRLLPLIMADRSMRHTLASAILGLLSARIIYEDADLPLPPTNTTVLRRDVDSLLEPPLDVLLDRPGESLFERLICVFHALLGNGKPSWLKSKSVSKSTTRTPRDFPAFDSEAAEGLQSALDHLEMPGIIRRRIQAAMPVLPPSRHPCISCQPPQLSLAALSPLQSGTSTSGPQQKGNSTSWVPTNVSIRNKAAFATQDPEMEVDPWTLLEDGTSCPSMSSGSNSSSGMAGDHGNLKACSWLKGAVRVRRTELTYIGSLDDDS >ORGLA07G0190000.1 pep chromosome:AGI1.1:7:19412224:19415449:-1 gene:ORGLA07G0190000 transcript:ORGLA07G0190000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDTTADHGGGAGAGGVDIPMQILVQPPPLPPPTARHRRTHTGDSTASSYEPQRRPVEHQVSSMHAATASDDGGGVDDVVPERKVTAFALHVAVLEKAASHVGAVCFVWATVVILGGFAADLDARDFWLVTAILLVEGTRVFSRSNELDLQEQPMHLPDAAAAAAGDDDDDPPPPHKAAAASPIHLLPLGGWLVEARNVSYVLYWLQLLSASACVALSLLRLATLRFAGDNGGGGNKNAYYALMLFYVLALSEAVIFLVERAYWEWVLSYRRLVEAVSGECDLGDAGVVPIKRFFYRAFSRSVEGGILDATRMDLVSFAVELLSSDSGDEQLIGAHILRGSIANRDSARRAVRKIGTSAATVERLVEMVSWKSPSKRRVRSLAAEVVLRLAGKRRNLIRVATIPGAIESISTLLETPTTDAAAGDLAMNEMGLHIMKKLAREHGNAAKISSTRGVLSRIIHFTRTSRAALQIGAGGEGSLPAKTVLRSLQVVKNLSSTPGHTGEAIRREISDNVFVLGNIRKVLQHGGERHGKMQLTAIGVLADLAIDGDAKEKIGCTGDMIAHLLDMFAGSPESAPAVAYAAQGAAHIRLQAGEVVALLALESAANCDRILREAAVVERLVMTLHHPGLQITSSRILLNLCRYSRSDHFLQLSSLTAAVPIVFKAIMVEKSSLLEVSIGLAIQITRLATPEFHKEIFGKAGVPDTDIARRLVEILKEHRTPRVKVPRMRRFVIELAIAMMRGDAELVPFFRSMELEKELRSVVRSTSELESFNMFSGSIGLSRHSSTLASLVDDAMEIMQALQDS >ORGLA07G0189900.1 pep chromosome:AGI1.1:7:19408525:19411944:1 gene:ORGLA07G0189900 transcript:ORGLA07G0189900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09720) TAIR;Acc:AT3G09720] MEKAKLSSALFAGTHFDRKRFAGDFARFRQGPPAPDVASAAAPLPEKKRKRQSKAKAKKSKKRRAEGADSASDAVEGFNVFKGLAAKKDEDDSEKKVETGKSEDSEVVRRRKEVEREIERAAILRKKFDIHISGQNVPAPLENFEGLVSRYGCDSYLVGNLSKLGFQEPTPIQRQAIPILLSGRECFACAPTGSGKTLAFLFPILMKIKPGSKEGVKAVILCPTRELAAQTTRECKKLAKGRKFYIKLMTKDLSKSGNFKDMHCDILVSTPLRLDHAVQKRDLDLSRVEYLVLDESDKLFELGFVEVIDSVVKACSNPSIIRSLFSATLPDSIETLARTIMHDAVRVIVGRKNSASSLIKQKLIFAGTEKGKLLALRQSFAESLNPPVLIFVQSKERAKELYKELAFDDVRADVIHADLDEEQRQDAVDNLRAGKTWVLIATEVIARGMDFKGVNCVINYDFPESASAYIHRIGRSGRAGRSGEAITFFTEEDKPFLRNIANVLISSGCEVPSWIKALPKLKRKKHRVNRDPISTLPDED >ORGLA07G0189800.1 pep chromosome:AGI1.1:7:19404397:19407702:-1 gene:ORGLA07G0189800 transcript:ORGLA07G0189800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARAGSTKDLAAILDTASKVLPLVVAVSGRVGSDTPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVFVVGARGAAGLVLTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLIMNLGKWAKLYQLASMGFAAFGVFLLAKRALQHFLERKRRHELQKRVHAAAAQRQAREAEGGNGTSDVDSNNKKDQLVLDICVICLEQEYNAVFVPCGHMCCCMNCSSHLTNCPLCRRRIDQAVRTFRH >ORGLA07G0189700.1 pep chromosome:AGI1.1:7:19401895:19403202:1 gene:ORGLA07G0189700 transcript:ORGLA07G0189700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRFLNLIVDNRFPGAVSLLSIDLRRHKLFNTTTPADLPLINRSVSESERPPCVANANNHQKKRKKKTVKMRMLHLPAPTIDLEASSRGYSWNIKCSPLTDRKLLCTDNYGRHVLFDSEARQVEDLPFLNEPKNSTFSIFIPGATDTNGCDDNGGGGSIYIMEHSPNHEQEQRLLSGQFETWIRVGDWTLPFTGKVEYVPELKLWFGICTSDWKLGAIDLSTILSTATMEEDSQPQIIGTWKELEAPQHWNEMRCPQLANLGSGRFCIARFFHTWTTPMEPNSFGYDSIKVHSFSDFDSDSDSIEFEEHSFTVLTGTDVVPCVHDGNGTGNGNYANGSNGKVELRMIKHNSKSHISDGIDGTIRLVF >ORGLA07G0189600.1 pep chromosome:AGI1.1:7:19394790:19396051:-1 gene:ORGLA07G0189600 transcript:ORGLA07G0189600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTQCSKVVRGRGRNKRKWTADEDEELVKALCEVSLDPRFKVEGGGFKNCYSQGIENILAQRLPGRGIRSSPHVDSRLKVLKRKYYAIKDMLALPRFSWDGMRKMIQCEKELYDAHCKDHPRAKSLYGIPFPYFDTFDVIYGKDRTGREEEELSDEVVADTENENTDEVGDEDGDKDRESAGPSGRSLDVASSCKRQKKHSNGTKRSRTESNFPSPRMLKDVHSHFQNSIQHVSTMATAMELFKDVHNHFQNVVQHANAMATAMEMFRDAHDHFQGAVQSVSSAASAIERFKDAHDRFQNITHHGSMVAAVMECGTDHTQEKKMCEEPQQKAKVTAIAEIQKLGLTGSEVVFAASIFAKEPNQMEMFLALPEIYKRDYIVQMLNGMLRDPQIPH >ORGLA07G0189500.1 pep chromosome:AGI1.1:7:19390659:19390956:1 gene:ORGLA07G0189500 transcript:ORGLA07G0189500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAPFVPREKLFKQQQYFQNLTKHTYLKGRYDVITSVAIPLALAGTSLFMIGRGVYNMSHGIGKKE >ORGLA07G0189400.1 pep chromosome:AGI1.1:7:19386237:19387613:1 gene:ORGLA07G0189400 transcript:ORGLA07G0189400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSILAEPEPGPSSPPPPPETEQEHAGSTSTPPTDDDVGGGGGGGGGGGWGFGGLLKTLTSQSETVLEAYRRDLAEFGTGLRRETEALRDAAARAARDLPAHAHALDGLADIVAQGKDAIAQVAAAAAAAPATGHSDGGGGESEPSSASGQVRYSRFEAQLRALQSDPATFTSDPEDSDDFAAWRVGFSVDERSGEIEALCYESDALEGMVEKLVPGTVESEVFWARYFYRVHRLKQQEDARAKLVKRVFAAEEDEEDLSWEVDDEDEEEQQRAEAKEEGSKQEPIEEDVERVAGDKESERIVEEKEVEAVEESHCLEKEQQNADAPQPEVFGSSMVVVDKREKEEASVSNIEESSDKKAVTEEPRSSTGDDAVKDGAKHETSDSSKDSDYSMVSRQRTTTEEEDLEWDEIEDLGEHEEKRGSNNDSSSALKEELRKRLSVAEDDEDLSWDIEDD >ORGLA07G0189300.1 pep chromosome:AGI1.1:7:19379432:19384327:-1 gene:ORGLA07G0189300 transcript:ORGLA07G0189300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase complex, subunit Tim44-related protein [Source:Projected from Arabidopsis thaliana (AT5G27395) TAIR;Acc:AT5G27395] MALARLGHAVTGRLRRPLHLLHPPPPPLTDHHASVAHSFALIHASTRARGFASSPYNAGGVIGYRGRSPVYTVKVLELLFQINHTRSMSTAAQAEPPSLSKAPTPSQTSSKVPLGARKVGMKVVMMSPGFVYEPYSIREPIPFWKSSLPFPNTDPSASFQDRIVRVSSFKLNTKRWFTPSGWRRTKEDVILEMKNAYAVSRLRKKTGYTKKEFYDQAFKIYKEVNTLMAHGDTSSLRKILTERMHSTIKNELKKRQSMWSSVHWELVVPAVCIRTLRARMIGLDKNDLDKAFIQLTLEFVTKQKFEAYNTKGEVVSGDKSKELIIVWTLVIEHQGFLLFCKFHKRAQGDNIEF >ORGLA07G0189200.1 pep chromosome:AGI1.1:7:19368191:19372452:-1 gene:ORGLA07G0189200 transcript:ORGLA07G0189200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSWAWTWSWSGAAAVAVAAAAAWVAVYAAAARAAEALWWRPRRVERHFAAQGVRGPGYRFFVGSSIELVRLMVDAASRPMEPPTSHDILPRVLPFYHHWRKLYGPMHLIWFGRTPRLVVSEPELIREVLLTRADHFDRYEAHPMICQFEGYGLSNLHGERWARRRRVLTPAFHTENLRMIAPFVAGTVTRMLDELAERARAGAGEAEVDVAEWFQRVPQEAITFAAFGRRNYDDGAAVFRLQDELAGYATEAHSKVYIPGYRFLPTRKNRRVWQLDREIRSHLAKFVTGLQSCRSSHGDDADDGGGMREFMSFMAPAMTAGEIIEESKNFFFAGKETLSNLLTWTTVALAMHPEWQERARREVVAVCGRDDLPTKDHLPKLKTLGMILNETLRLYPPAVAMIRTAKEDVELGGCVVPAGTEVMIPIMAVHHDAAAWGDDAAEFNPARFAADDDGGRRRHPMAFMPFGGGARVCIGQNMALMEAKVALAVVLRRFEFRLSPAYVHAPRVLMILSPQFGAPVIFRPLTSAAA >ORGLA07G0189100.1 pep chromosome:AGI1.1:7:19362937:19367485:1 gene:ORGLA07G0189100 transcript:ORGLA07G0189100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRAASRPARPSPQELVRSIKESLLALDTRTGAKALEDVEKNVSTLRQTLSGDGEVEPNQEQVLQIALEICKEDVLSLFVQNMPSLGWEGRKDLAHCWSILLRQKVDEAYCCVQYIENHFDLLDFLVVCYKNLEVALNCGNMLRECIKYPTLAKYILESSSFELFFQYVELSNFDIASDALNTFKDLLTKHEAAVSEFLCSHYEQFFELYTRLLTSTNYVTRRQSVKFLSEFLLEAPNAQIMKRYIVEVSYLNIMIGLLKDTSKNIRICAFHIFKVFVANPNKPRDIIQVLVDNHRELLKLLGNLPTSKGEDEQLEEERDLIIKEIEKLVHLSV >ORGLA07G0189000.1 pep chromosome:AGI1.1:7:19354187:19355317:1 gene:ORGLA07G0189000 transcript:ORGLA07G0189000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase-like 4 [Source:Projected from Arabidopsis thaliana (AT3G06260) TAIR;Acc:AT3G06260] MAPPTTVSASTASLIWSLSPSLSLVVATSVALLLMLGGVSGIRVDVIRLPSASPFPAFREAPAFRNGDGCPPARGSAAERGRVDVAMTLDANYLRGTMAAVFSILQHTACPESVAFHFLAARSDPDAGDLAAAIRATFPYLGAAVSVYRFDPSRVRGRISRSVRRALDQPLNYARVYLADTLPAGVRRVLYLDSDVVVVDDVRKLWSVDLAGHVVAAPEYCHANFTKYFTDAFWSDGELSGGAFRGRRRRPPCYFNTGVMVMDVGRWRDGGYTRRVEEWMAVQKRRRIYHLGSLPPFLLVLAGDIKAVDHRWNQHGLGGDNAEGKCRSLHPGPVSLLHWSGKGKPWLRLDSRKPCAVDYLWAPYDLYKAAVPALEE >ORGLA07G0188900.1 pep chromosome:AGI1.1:7:19339257:19347538:1 gene:ORGLA07G0188900 transcript:ORGLA07G0188900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKAPSGPSSSPSPAAAGADASPPVDPVSYSLSSQGGSAGGRKRKGATAAAKRKPRKPQVHEKNYAHVYYGCRNVLYDGNDFYYGHRYTEEFRKVEAHDLYGCPHLIAELPPQPRLMSLLDLQLWIIKLFRLHPETQDLSIKGFFEEEDSWQYLGSAGWKTYDFLSDKSWQSFVKKVKGRKGMEFFKLYVDSSEIKHYDSLLKATNDNYCQSATVLLPEQDDLTWYFPWDHISQRLTEDLAMTTTQIVAHLAHNYDRHLSYAGAWRAKQKALEMRFGTFYASHNYVPRLLEERFYINNPFSFVDIKDTEVAGCKDFRVVHRIFWAFAQCTQAFVHCRPVICVKGMPLCGKYEGVLLTALAFDANDWPIPVAFAVIEGESKESWLWFLRNVKHAVVKERSHICIIHDCKRALFNAIEDLRNNPQEAHPWKDVQSRWCIQHLAENLFAHFADKKLMMLFKKLCQQNRQSKFVKIWKELDELTLKFTVDKEGGAGREMMQELVEPYENNRLPEEDEEESNRPSGNKSQVAMFSDWISQKPMEKWSLLHDTNGARYGIMETDINKSYHVLKLKGIECLPLTGLVEVAFERVTEYFNNRSAAANKATGNPSMGFPECVQDEMNAKVQKAQTYHVTRMNTADTNVFSNEAHQRFKVQSRQKGVIVHLISKRIHKNHKRNIACQSEIRRIARCSCNKARLLHKPCSHVIAVCCQVGVSIASYMSPFYSLSYLVKTWSGKSDASNLVDRNFARNVLNYKFLCPGETQTWIPDKRLERGLPAFLTSDRIHDEESMDEGDQNCTTQVITTENSQGTNKFSGETSDA >ORGLA07G0188800.1 pep chromosome:AGI1.1:7:19332016:19334653:1 gene:ORGLA07G0188800 transcript:ORGLA07G0188800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCQLVLRHKIEKLEPSCANATVQYANIAKPSWISWYAFSAPDEAIQALISEAKSYYMRLPPIQHLQLQRDIKLVLLLRQLAMDNNADVSTPTLLSISALVGDVTNLSAEESRRRQQREINNSLKVEEWNEYTFLGCANTAGSGSVTRQPVITPRRLTPLI >ORGLA07G0188700.1 pep chromosome:AGI1.1:7:19319666:19323959:1 gene:ORGLA07G0188700 transcript:ORGLA07G0188700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSESVPLPDSRGARRHTTPTTRTVRELSSRPAPPPPPIMSEREGPPSSGPAAAVAPPPLAAEPVRSISSPRYLSLSACFLYGGGGGRKRKGAAAGAGAAAARKPRKDYRTNQAYVFYGHSRDFQYVGVEDLPKCAHVIAELPPQSQSMSLVDVQIWIIKLFRLHPETQDLSIKGFYSDYCPSVLIPEWYFGYWITYDCLRDESWASFAKKVRGRRNGMEMFVLYVDSSEIKHSSSLIKAIPDDYSQLETAVLPDRKSLSSFFPLNCTSKRLTEDLTMTTTEIAAYLAQYYGDQYSRAGAWRAKMKALELRYGTFYDSHNYAPRLLKDIKHKHPYSFVDIKDTEVAGCKDFRVLHRIFWAFDQCRRSFMHCRPVICIKGTPLCGKYQGVLMTALAFDANDYCIPVAFAVVEGESKESWLWFLRNVNHSVVNGRSNVCLIHDYKRELLDAVEDLQDSPEEAYPWRGIQSRWCVEHLAESFFAHFGDRKLVMLFKKLCQQSRPCKFGKIWKELDELTLKYTQEKESGASGEIQQESVEHGETEFVAQSPHIHLDSVGEEVERNCAGGTESKITRFSEWIGPKPMEKWSLLYDKNDARYGIMGTSIADLYKDNHVMKGIRCLPLSGLLDLTYRRMAECYDTRSAAAKKSTGNPLINFPECIQDEMKAKLQKAETHHVTCINSGNKNAFFEEGHGIFKVQSKNKYVIVELTQRETQTHKHPKVRTVISGNIIHNTLVREPVIRKAHCSCNKLKLLRKPCSHVIAVCCQVGVSPDTYMSPYYTLAYLADTWRGKFDASEALGEHYTLGDFCRDPCYSTLMLGNPPTWIPDKRMECGLPAFLTSDGTQNGVDEEEQQCNIESESSAADNQGTENHSSRETDQQNAPNTDNADISCYLDARLFIGSDEGVKARYWINPDKKVLEILHAEFRSKQEMALLLCQNLITI >ORGLA07G0188600.1 pep chromosome:AGI1.1:7:19310959:19314411:-1 gene:ORGLA07G0188600 transcript:ORGLA07G0188600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT3G06270) TAIR;Acc:AT3G06270] MGCAQGKCCVPRRQRGRGGGGGGAVGGRGGATLGRVAVPAAGLVLEYATLAVAGLYPDSPGRESQDAHLVATRFAGHPDLHLFAVFDGHGACGAACAGFARDALPRLLAGVGMGEGEEGGGRMVVVEDPAAAFREALPAANAEMHAADEVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWREGRVAAEELSWDQTPFRADERARVKACGARVMSVEQVEGVRDPEAEGWVADEGDPPRVWARDGLYPGTAFTRSLGDQAAEAVGVIAEPEVKSVEITPAHLFFVVASDGVFEFLSSQDVVDMCVNLSAFGLYEASDVPRTGVSAKLMKCLNGGCIXRSPGSLLSNCCRVVQTMAGAXKQDGXHYDNHCAHPGFRKSRSCREPENERQQPGSANSSAHGATRATCICTVRGQPSEQRCCY >ORGLA07G0188500.1 pep chromosome:AGI1.1:7:19299482:19309769:1 gene:ORGLA07G0188500 transcript:ORGLA07G0188500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family [Source:Projected from Arabidopsis thaliana (AT3G06290) TAIR;Acc:AT3G06290] ERIRLRPRRRPPQPGPGHRPFAFGAGAGAASTPPAPAPFPSARPVAPIGPPAAAARFPSPRPQLAATPRPPATSPVPVPSSSAPRGPAFAHGAANPVRFPSSRPAIDPGVPAATARHVGRHLQPQPRPAASSIRSPVRPVISSRSRSTSPLSNQRIDSPADYDNGMGKRRVVNYADPLFENGSAPIEEMRTQHSEFGKTARSPTSNITSKFRPPSGFQNYHPVQAADPLEYKPNVTPAMFGNQNLHDVRAAPSPALNNNRLVPGSGRLRPALGGGASPTVFGDASQYDNSTQSVMARQEMSEHMRPVSQRFPASFQSRSLDHNISKRSRSPTLSQQDADGAEAHQDAGVNARRLIDYTDSLFDDGMAETSKRMKSPSLEFTSMVKSPSSDIRGDTRPSSAGLRSNSAAQNLRSSVDIQKASSSVPKVGNQVQFRIGDVRSPPYQIDPYSNEQNTAAVSPPKPSILGASKRIGTPLLDFTDDDNMIPSTESEREKQAKAKRLTRFSVELSRPVDNINDFAKAQKGSADKQKQASSMGKVPTGSKDDIDERSMADADSPGLAAIIGLCPDMCPEPERAERERKGDLDKYERLDGDRNQTTELLAYNRTAERDADLIRPLPVLQKTMDYLLSLLDHTYDDNFLGLYNFLWDRMRAIRMDLRMQHFFNQDAISMLEQMIRLHIIAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVLFPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREIRGSPDILFAREVARACRMGNFIAFFRLARKATYLQACLMHAHFAKLRRQALASLHSGLQNTQGIPISQAVEWLAMEDEDIESLLEYHGFGLRQYEELYLVKEGPFLNSETDFPSGSSQLVHLKKSQRIINDVSSGPVCAPTSQKEAVASNSGGFALTRGHVHPQPSLLVKREFGLSFPGPVSPTSGRQTTSLYSGSFSPKAGNKQFSLPSSSPMSPTSGKKESVPVLFSTPPHTSKQAILPRTGWIDEQKVASLKAESNTKAADDFIPEDQDGGLVGFPRGQPDVPWTQANIQQDSALEETKFSPPLADGVSLDYSNMHGEENEFRPDGSSIDADMDEESPSHREVNFIQPESFVGSHLSDSDHEEYDDPNIGDRAADNMLPVVVSPKKIISNERLMIILRKWRHRAMDKRFIREQKNALAIAALSSLSLGPPVHQTAVVPVHAVHDLDISQAFKERHSRQQLSLSPLNVSELVGPILTERNPDARCICWKLLVLVPPGTMEFKTSNYASKWLLKKLMGSGNEDAGLLFSSADLSIWTKWNSSPDACCLSIARAIDQQVIGNDIANGTNCIIFLVSETIPWEMQKAWFSSLLASIPAKSNLPLLILSGDTYNEEYDYASQSVIDRLGLGCLSEEKIASCLVIFLVADDMEGYANGFFDDEKLRGGLKWLTKNLPPQPDVTLVKTRELLLNYLNPSLELLNSRAAPEVCPEHCISVFNKSVNQLAEEISAAAYTASNQWPAPEINLLERSSSERIFAEMFLPSIGWSLPSRIQPLVAAIKSCKLPEFSYDMSWLNKGSYMGSQIQDQKLYLEECLTKYVTKSAHLLNEAQAATEVKVMVQKGVSLELRGSHYHLVPRWVTIFRRIFNWRLAKLSTGEFSEAYVLSQHLYQTPGADSLPNGGTQHDLSASSNTTDEAAPTLEDRGMAPSVSSRLSLDEIIEISCDLDAVSAPPPAKPLPPQPPTQVHYEPQPPADTTGGVNGVLGAGDGVRMPRRPELRELVSIERDDKLARLLEQCGKLQDRIDGTLSIYF >ORGLA07G0188400.1 pep chromosome:AGI1.1:7:19290809:19296353:-1 gene:ORGLA07G0188400 transcript:ORGLA07G0188400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRQFLNLLVDNGIPRAKSLHCVKLASNKLFNTTKPTLLPLIGDGNELKNNNQSMEKIVLPRPSFNLQSPGQEEDRRSEMQEQRGGIDVNDDDKDVTDDEDYSLDIVLPKSRHSDGSIYRGIMDTWWKKELRIADRNEKLYGYIAVRDDLDPLLNYIINFSRDDPIIVEQGATLIWTLGLWYLPYSIEIPGDYGAVDITVAHLNNAVEATVEVVISEVQSGFNLLPGCLTSDLNKEMRLFDGAIVESRFLKRSVVAVNWKSSIDLKFKVGASPSSFYQHCVSFKAKIHGHDTQEIKTDFALISVKVTWSTLLPTGLD >ORGLA07G0188300.1 pep chromosome:AGI1.1:7:19286788:19289249:1 gene:ORGLA07G0188300 transcript:ORGLA07G0188300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWEHACDVDREPKVFGERPNPAWKAPDVTSFRNSLLKELQIVAFRPLKQQLEFIRVVMQQAPNLGTIILKYDDPCEYCEALVLVSLSIKSSSYGHNEADDDDMLSMLNDDISLSILERVDIKTAVRTIVLSTRWKRLPWMLPELSIDVKDFFLYHSRTVLKISN >ORGLA07G0188200.1 pep chromosome:AGI1.1:7:19267242:19270365:1 gene:ORGLA07G0188200 transcript:ORGLA07G0188200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:51 kDa subunit of complex I [Source:Projected from Arabidopsis thaliana (AT5G08530) TAIR;Acc:AT5G08530] MALRRALLRSAEISPDRKAALEYLHSLSRAQPTRSLTGAGLYSAGKSFSTQAATTSSTPQPPPPPPPPEKTHFGDLKDEDRIFTNLYGQHDPFLKGAMKRGDWHRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLLKAREEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIEKHCGGVRGGWDNLLAIIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLAASA >ORGLA07G0188100.1 pep chromosome:AGI1.1:7:19265124:19265798:1 gene:ORGLA07G0188100 transcript:ORGLA07G0188100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIKEETKAAAAASSGQTTAAAATSKSNSTTVGDMADTTFKSIGDVLKLLPTATVIVYEVLTPIVTNTGDCHVANKVVTPVILVLCAFFCAFSQFTDSYVGADGKVRYGLVTARGLLPFSGGGGADGGDAAGRDFSKYRLRFGDFVHAFFSVAVFAAVALLADANTVSCFYPSLKDQQKKVVMALPVVVGALASVVFVVFPSTRHGIGYPPAKPAAASLASL >ORGLA07G0188000.1 pep chromosome:AGI1.1:7:19240109:19261834:-1 gene:ORGLA07G0188000 transcript:ORGLA07G0188000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSSNMEQHSQKLLEPDLPVQVRLQLAMEVRDSLEMTHTPDYLNFLRCYFRAFSAILSNFTAPQGTENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVTIYRNFPNTVTHFFDNPNVSANIAAAVPNQHLDPTADAPGTVAVPGGGQLNPSARSFKIVTESPLVVMFLFQLYAKLVQTNIPYLLPLMVSAISIKGPDKVPPHLKTPFVELKGAQVKELLVGLKQVLNTEYRRGLFPLIDTLLDERVLIGTGRVCIETLRPLAYTLLAELVHYVRGDLSLPQLSRIIYLFSRNMHDSSLTLVIHTTSARLMLNLVEPIYEKGIDQPSMDEARILLGRILDAFVGKFRTLKRTIPQLLEEGEEGKEHQNLRMKLEVPLQTVLNLQPPLEYTKEINDYKSLIRTLVLGMKTIIWSITHAHWPRPQVRKTSGVLKSGVHCLALFKEKDEERDILQCFSQMLAIMEARDIMDMFSFCMPDLFDCMITNNQLLHIFSSLLQAPKVLRPFTDVLINFLVSSKLDALKQPDSPAAKLVLQLFRFLFVAAAKAPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMHLLRSMFRALNIAKFDSLMRDLIPSLQPCLNMLLSMLDGPTSEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALRTLEFWIDSLNPDFLEPSMANLMSDVILALWSHLRPPPYTWGTKSLELLGKLGGRNRRFLKEPLTLECKENPEHGLRLVLTFEPATPFLVPLDRCIHFAVSAVMQGNSMEAFYRKQALQFIRVCLDSLLNLRENVPGEGVSPGVLGTLLISSLDPSRRRNDASDMKGDLGVKTKTQLLAEKSVFKVLLVAIIAANSDTSLTDENDDFVVDLCRHFAMLFHIDSSSSSQSGYVQPVGSSLSSSMGSRSRNNTSSNLRELDPLIFMDSLVEVLSSENRQHAKAALSALNTFAETLLFLARMKHTGMLRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYGSTWQAQMGGVMGLGALVGKVSVDTLCIFQVRVVRGLIHVLKRLPMHANKEQEETNHVLTQVLRVVNNADEANSEHRRQSFQGVVEFLAVELFNPNTSIVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRSLRSKNIEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETVWVTKMMNAKIIMTWNKLRTACIELLCTAMAWGDLKAPNHSDLRAKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLAHTRSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQSQKSWKAGDEPKIAAAMIELFHLLPPAASKFLDDLVTLVIDLERALPEDQFYSEINSPYRAPLAKFLNRYAVEAVDYFLARLSHPKYFRRFMYIICSDTGELRDQLAKSPQKILASAFSQFYSQTEAAGNQSSSVKDEGLTGAITEGFTGQSSSNMATGSDSYFNGLELISTLVKLMPEWLCNNRVVFDTLLLAWKSPSRIDRLQNEQELSLPQVMESKRLIKCFLNYLRHDRTEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYAPNLKKIILNHFLNIFQSKHYGQDHLVVAMQILILPMLAHSFQNGQSWEVVDPSIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDSRMPIWIRYTKKILVEEGHSIPNMIHIFQLIVRHADLFYSCRAHFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQSEMKVVQESENPSQIGDMLSPVIGGDPKRSSDVPTFGDDLSKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVSLVIEPKDKESSSMYKQALDLLTQALEVWPNANVKFNYLEKLLGNLTPSQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPQDIKLLYQRVQDLIQKHLAAVTTPQISLEPSNANSIISFALFVLNALAEVQKNFIDPFIGLLLRVLQRLARDMGSSAGNHVRQGQRPEQDSSVNSRPTVDPTVISNMKTVLKLISERVMASSEFRRSMGQILQALLSEKGTDPSVLLCILDMIKAWIEDDYRLASSTGSVSSLNPKEIIAYLQKLSVVDRKSFPPSVQEEWDAKYLQLLYSLCGDTAKYQMALRQEYFHKVERQYMLGLRAKDPEMRKRFFKLYHDYVGKTLFARLQFIIQTQDWEAVSDVFWLKQGLDLILAILVENEPITLAANSARVPALMTSGPVSDRMIMPQQAPDAQESLDGTSLSFDSLTTRHAQFLNEASKLVVADVMAPLRELAFADPNVAYHLWVLVFPIVWVTLHKEEQVALAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWHTSIALLESHMMHMNEAKCSESLAELYRLLNEDDMRYGLWKRRSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCATQLGQWEVLADFGKGVENYEILLDCLWKAPDWTYMKENVIPKAQVEETPKLRLIQSFFTLHDKGTNGVGEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASGNSGANSNHHNSFADLKDILETWRLRTPNEWDNMTVWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHIARKQGLPDVCVTILDKMYGHATMEVQEAFVKICEQAKAYLEMKGELVSGLNLINNTNLEFFPVKNKAEIFRLRGDFLLKMNDCENANVAYSNAITLFKHLPKAWISWGNYCDMVFKETKDEIWLEYAVSCFFQGIKYGVSNSRSHLARILYLLSFDTQNEPAGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRIAQQRMQQAMLANNAANNLSEGNARTSNIGGGNMTSDNQVHQATQSGGAAVSHDGGNLQGQESDRSNVEGGTSAGHDQGQPSSTGADGSQMPARRNNGLGWVTSAASAFDAAKDIMEALRSKHTNLANELEVLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPESATTFPATLAELTERLKHWKNVLQSNVEDRFPAILKLEEESKILRDFHVVDIELPGQYFTDQEVAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRQRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQVSPEAVVELRLQAYNEITKNIVNDNIFSQYMHKILPTGNHLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQTDFHPAYDPNGMIEFNELVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQSVVSPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAAGMINPLDFQQKVINNVEHVITRIKLISPHYLADEEENSSEPPQSVQRGVTDLVEAALSSRNLCMMDPTWHPWF >ORGLA07G0187900.1 pep chromosome:AGI1.1:7:19236529:19237059:-1 gene:ORGLA07G0187900 transcript:ORGLA07G0187900.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCFPFPGSDSQLLKGINSYRASLKVPALSENKNAACLAEQLAQQFKDQPCTNTTGANTVPGTEQQFPDYPKYLDHCHLNASVTGDGQVMPACVPGLVPDVVLTNYTKSQYNQYLNDTKFSGVGIANEGDWVVVVLSTSSGSGDYSPAPPGSNWAPSVHPFNQLILLLVGIVCLLMI >ORGLA07G0187800.1 pep chromosome:AGI1.1:7:19234550:19236015:1 gene:ORGLA07G0187800 transcript:ORGLA07G0187800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIDGGIVKDLDPAILNEKEPEDCDDKDMFCQISKEKKLWVQPEQKQFCPAFNNLKTLSILYVYVEFDLLWALNLLEAAPSVELLFIDTWEHVCLVDQMDEEGRKDIYGERTHPSWEISEFTGTRKWRLKKLQFAGFRPLKQQLVFLKDIMDLRDNISSPSQIIFL >ORGLA07G0187700.1 pep chromosome:AGI1.1:7:19209015:19213931:1 gene:ORGLA07G0187700 transcript:ORGLA07G0187700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCEHHVPYTLLGALLSGGGPHAAACGGAAFLRDYAERGTNALLWAALLAVTWLLVLRLAALLRLWALGARLPGPPAFPADPGLAAGDITGYLSKLHGSYGPVVRLWLGPSQLLVSVKDSRVIKELLTKAEDKLPLTGKTYNLACGKLGLFISSFEKVKSRRESLKSFLDEKLSVGASGSSFKIIQIVLDRIDSIMARDFLDCRYFSQHMAFNIVGSALFGDAFFDWSDASAYEELMMTVAKDACFWASYAVPPFWKPDYRRYRTLCAQLKILTQGIVAKSRNQNGVLSLIDLSSSQRSERMIKDPCRGVSLLDGVISSRCLNEAAEGPLSSEEICGNIMGLMLHGISTCANLIGNILTRLALYPNLQCQLHSEIVSGHSESSELKIDDVLRMKFLLATVCESARLLPAGPLLQRCSLQQDVNLNSSITIPAGAILVIPLHLVQMEASTWGNDACQFNPNRFLKKDINFEEILAAAHKGSNGINLFTDECDKTESFLPFGSGSRACVGQKFAVLGIAMLIASLLRSYEVQPHPALSKEMESLVDSNSLHHIPNPKIILKKRSI >ORGLA07G0187600.1 pep chromosome:AGI1.1:7:19197268:19201793:-1 gene:ORGLA07G0187600 transcript:ORGLA07G0187600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAGGPVAVAAASAAAAPALGISPDMYPTEDDLAYEEEILREPFKLKGWWRYLVARAAAPFAKRAVIYERALKALPGSYKLWHAYLRERLDHARPHPISHHAYASLNNTFERALATMHKMPRVWVLYLTSLLDQRLLTRARRAFDRALRALPVTQHDRIWPLYLRLASLPACPVETSLRVFRRYLQYDPSHAEDFIDFLISANRWQEAADRLASVLNDDGFRSVKGKTRHQLWLELCEILTKHADEVAGLKVDAILRGGIRKFTDEVGKLWTSLADYYVRRTLYEKARDVFEEGVASVMTVQEFSVVFEAYTQFEQSMLAAKLEAAEEEGAGSEGEEEAGRKNGMDKLSKKFLADCWLNDEDDTDLRLARFERLLDRRPELLSSVLLRQNPHNVEEWHRRVKLFEKDPTRQVATYVEAVKTVDPMKAAGKPHTLWVAFAKMYEKHNRLDSAEEIFKKATQVNYKAVDHLASIWCEWAEMELRHNNFDKAIELMRQATAEPSVEVKRRAAAEGDEPVQLKVHKSLKLWSFYVDLEESLGTLESTRAVYERILDLRIATPQIVLNYAYLLEENKYFEDAFKVYERGVKIFKYPHVKDIWVTYLTKFVRRYQRSKLERARELFDEAVKQAPPQEKKVLYLQYAKLEEDYGLAKRAMNVYDEAVRAVPNSEKMSMYEIYIARAAELFGVPRTRQIYEQAIESGLPDRDVMTMCMKFAELERNLGEIDRARAIYVHASNYADPNSHPEFWNKWNEFEIQHGNEDTFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLNLDEAVDTLKRAGVPEDEMANLERQLAPGPSTAPPAPSTATAPVNRMMNFVSAGVQAQAESSSRQQQAAAANNEDIELPDESDEEEDGVQIAEKSVPAAVFGELGKRAAENREEESSGAQENEQLGALERIKRRRQ >ORGLA07G0187500.1 pep chromosome:AGI1.1:7:19194472:19196547:1 gene:ORGLA07G0187500 transcript:ORGLA07G0187500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1QCN3] MHPYSLKSSKGAPFPPRLILVFLIAIFGFYVCYISFNQITLEYRSEENSGEVQAEIHCRKPRLPHEELRYVHFPKPESYSRGECSCNPVRFFVLVSMQRSGSGWFETLLNSHPNISSNGEIFNRVDRRENISSILQTLDKLYNLDWFTSAAKNECTAAFGLKWMLNQGFMDHHDDIASYFNKKGVSVIFLFRRNTLRRLISVLANDYDRDAKQLNGTHKSHVHSKEEAEILAKFKPVLDVSNLIPNIRNAEKYIRDCLDHFNTTRHMILYYEDIVRNRNALFQVQEFLGVPVRKLVSRQVKIHTSPLPGLVSNWDDVSNKLNGTQYAHFLDGADYVR >ORGLA07G0187400.1 pep chromosome:AGI1.1:7:19187502:19190691:1 gene:ORGLA07G0187400 transcript:ORGLA07G0187400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDLLAPFADLPFPPGDDFPDFPALGDDAFALEDFDLEDLDFDFDVDLFPPDAPPPVTTSSSSAAGSPEAGTSSTGDGGSKNEESADSSSPSRSGSDGGGGGSGKDGKDDEAKRRARLVRNRESAHQSRQRKKQYVEELEGKVKVMQATIADLTARISCVTAENAALKQQLSGTAGAGAAALPPPMPMYPAVYPLPMPWIHPAYAMRGSQVPLVPIPRLKTQLPASTPEPPAKKARKTKKVAGVSLLGLLFLMMVCGCLVPAVNRMYGAAYTGEGAAIVPSHHGRILAVEGPQNSVSNGVDPKVPQNGSETLPALLYLPRNGKHVKINGNLVIKSIVASEKASSRLSNYGGKGSGNQEKEETSLAIPGYVAPLEAGEVMDSAFNELMALAPGDGSIYREDDGMLPQWFSEAMSGPMLNSGMCTEVFQFDLSPTTADANGIVPVYSGSVTNTSQNYTENLPSGPVQKVKNRRISYSEAIPLRGLISNDTDHFKAPPKNHSQSHAGRKPVSSVVVSVLADPREASDRDGEGRISSNSVSRIFVVVLIDSVKYVTYSCVLPFKSHSPHL >ORGLA07G0187300.1 pep chromosome:AGI1.1:7:19184061:19186473:-1 gene:ORGLA07G0187300 transcript:ORGLA07G0187300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP12 protein-related [Source:Projected from Arabidopsis thaliana (AT5G40660) TAIR;Acc:AT5G40660] MAATLAGRRLILQRCAAAAAAGGRWRLLGTTAEASSSPGGREEAGGGGGDEIYLKKPAAAAAVTTRDETSVAMPMSFMTGSVVGKRFYREATVRRADDGNGWSVMLDYRTLKSPAKRPLKLQSRTLAMAIAAEWEYQEADGIRPFTMPLMKLACTALERVPLTRKKIIDNLMKKFHQDLVFCRSPDDNELTVGVYQRQKEKIDPILDWVNTEFGFKPVVYTSFFGGKQDEGLANAVETVLKNTTDFELASIDAMAAAAHSLVIPLAIFRGKLGIEQAIELIRLEEDHQVDRWGLVEGGHDVDIADLKVQMSSAVVFLLLSWQL >ORGLA07G0187200.1 pep chromosome:AGI1.1:7:19182679:19183299:1 gene:ORGLA07G0187200 transcript:ORGLA07G0187200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QCN0] MATWYSKASLIAAAIFLVISLLSSATFANGGRSGRRLVRSYDEPCKKMTLYFHDILYDYSNSTTNSTSAAAAKPTALATAVSPNGTFFGEVVVFDDPMTEETRALPPPSLRETAAARAQGVYLYDSKEVYDAWFAFSVVFNSTGRRGTLNLMGADLMSEKTRDISVVGGTGDFFMSRGVATLRTDAVEGLVYFRLQMDIKLYECYI >ORGLA07G0187100.1 pep chromosome:AGI1.1:7:19179362:19179982:1 gene:ORGLA07G0187100 transcript:ORGLA07G0187100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QCM9] VQGRTATRSMASLTIVFAAIFLLSLTSASVVHGRSSRRRFVRSYDEPCMEMRLYLHDILYDYSNSTSNSTSAAATKPTALATAVPSTGGTFFGQVVVFNDPMTEGRALPPSLEETAVRAQGVYLYNSKEAFNAWFAFSIVFNSTGRRGTLNLMGADIIAEKTRDISVVGGTGDFFMSRGVATLRTDAFEGFTYFRLQMDIKLYECYV >ORGLA07G0187000.1 pep chromosome:AGI1.1:7:19170157:19171245:-1 gene:ORGLA07G0187000 transcript:ORGLA07G0187000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNMGKDVAAGGESNRRPPPPPPMPLGLRLQLIGLSAAIDAVERRDGTVNRALYSVLVEHLMSVRADPSPDAATGAVRSFDFTIDAARGLWARVFAPAAAAPAATPMPVMVYYHGGGFALFSPAVAPFDGVCRRLCGDVGVVVVSVNYRLAPEHRYPAAYDDGVDALRFLDGNGIPGLDGDDVPVDLASCFLAGESAGGNIVHHVANRWAATWQPTAKNLRLAGIIPVQPYFGGEERTPSELALDGVAPVVNLRRSDFSWKAFLPVGADRDHPAAHVTDENAELAEAFPPAMVVIGGFDPLKDWQWRYVDVLRRKGKAVEVAEFPDAFHGFYGFPELADAGKVLQDMKVFVQSNRAARATA >ORGLA07G0186900.1 pep chromosome:AGI1.1:7:19167206:19168258:-1 gene:ORGLA07G0186900 transcript:ORGLA07G0186900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHGRRRRVALPWPMRLRLCVFEAAIDATQRRDGSVNRFLFSLFDRRAPADPRPDAAGVSSTDITVDASRGLWARVFYSPSPSPRPVVVYFHGGGFTLFSAASRAYDALCRTLCVAAAGAVVVSVDYRLAPEHRAPAAYDDGEAVLRYLGATGLPDHVGPVDVSTCFVVGDSAGGNIAHHVAQRWTATATTTTTTIDNPVVHLAGVILIQPCFSGEERTESERALDGVAPVLNARRSDLSWKAFLPVGADRNHPAAHVVTGDDDDAELNEAFPPAMVVVGGLDPLQDWDRRYAAMLRRKGKAARVVEFPEAIHAFYFFPEFLADDHRKLVGEIRAFVEECIASKQPTA >ORGLA07G0186800.1 pep chromosome:AGI1.1:7:19162061:19163149:-1 gene:ORGLA07G0186800 transcript:ORGLA07G0186800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LARTETREMGHEKEQETAGDELRRPEPPALPWTVRLQLFALVTAVDLVQRGDGTVNRFLFSLADRQSAAAARPDAHGVRSGDVTVDAARGLWARVFSPASSGAVESPPLPVVVYFHGGGFALLTAASSQYDALCRRLCRELRAVVVSVNYRLAPEHRYPAAYDDGMDVLRHLGTVGLPADVAAAVPVDLTRCFLVGDSAGGNIAHHVAHRWAAATTSSSRRVRLAGVVLLQPFFGGEERTEAELRLDGVGPVVSMARADWCWRAFLPEGADRDHPAAHVTGESAELAEAFPPAMVVVGGYDTLQDWQRRYAGMLRRKGKAVQVVEYPAAIHSFYVFPELADSGELIKEMKAFMERNAPPKYC >ORGLA07G0186700.1 pep chromosome:AGI1.1:7:19157286:19160036:-1 gene:ORGLA07G0186700 transcript:ORGLA07G0186700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEVSNAGEEKKKEEKKLRKWRMPQEQIDLILSWSPEPVRPPRYDVDIGGLQISDALKEKLRRVDAEDAVAKREMDRYHKEQQE >ORGLA07G0186600.1 pep chromosome:AGI1.1:7:19153610:19154647:-1 gene:ORGLA07G0186600 transcript:ORGLA07G0186600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDRSRRSSSSPPPLPWTVRVQLAALSAAHRSDGSARRLLFYLGDLHAAASPRPDAAGVRSVDVTIDASRGLWARVFCPPTNTAAAKLPVVVYFHGGGFVLFSAASRPYDALCRRISRGDGAVVVSVNYRLAPEHRFPAAYDDGLAALRYLDANGLAEAAAELGAAVDLSRCFLAGDSAGGNIAHHVAQRWASSPSSPPASLRLAGAVLISPFFGGEERTEEEVGLDKASLSLSLARTDYFWREFLPEGATRDHAAARVCGGERVELAEAFPPAMVVIGGFDLLKGWQARYVAALREKGKAVRVVEYPDAIHGFHAFPELADSGKLVEEMKLFVQEHSSNRMA >ORGLA07G0186500.1 pep chromosome:AGI1.1:7:19150230:19151267:1 gene:ORGLA07G0186500 transcript:ORGLA07G0186500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGNEIAGVDMTRRRRSPSLPCAVRVQAAGFALGHRRDGSVRRLFFSLLDIHVRAKRRRPDAAGVRSVDVTIDASRGLWARVFSPSPTKGEALPVVVFFHGGGFVLFSAASFYYDRLCRRICRELRAVVVSVNYRLAPAHRFPAAYDDGLAALRYLDANGLPEAAAVDLSSCFLAGDSAGGNMVHHVAQRWAASASPSSTLRLAGAVLIQPFFGGEERTEEELELDKAALTLSLARTDYYWREFLPEGATRDHPAAHVCGGAGGEHDVEVAEAFPAAMVAIGGFDLLKGWQARYVEALRGKGKAVRVVEYPGAIHGFCLFPELADSGELVEEMKLFVQEHRTKRVQ >ORGLA07G0186400.1 pep chromosome:AGI1.1:7:19111836:19114718:-1 gene:ORGLA07G0186400 transcript:ORGLA07G0186400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYTVGKWIRGTGQAMDRLGSTIQGGLRIEEQLSRHRTIMNIFEKEPRIHKDVFVAPSAAVIGDVEIEHGSSIWYGSILRGDVNSIHIGAGTNIQDNSLVHVSKANISGKVLPTIIGNSVTIGHSAVLHACIVEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEMTFIAQSATNYINLAQVHAAENAKTFDEIELEKMLRKKFAHKDEEYDSMLGVIREIPPELILPDNILPNKAQKAVAH >ORGLA07G0186300.1 pep chromosome:AGI1.1:7:19106171:19110199:1 gene:ORGLA07G0186300 transcript:ORGLA07G0186300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASTIDFGRKTHNDVLWSGPLRPANFIRNKFPTYKKSLNGIVIKLTDDQEMPSLKEAVAKETADLLDRSQRLSVRELAMKFEKGLNTATLLSNEVKWRQAALLERDILLKNLKNVLESLRSRVAGKHKDEIEESLSMVDILTVQLSKREDELLQQKAEVAKIATSLKLASEDARRIVEEERSNARIEIDNARAAVQKVEQLVKEQEIDPQINGKQDEDELKEKAQEARRVKMLHCPSKAMDIENEIEVLREQLAEKSSNCVHLLKELHLHQSYEKNDVSSYELEGLESLGSMLRIVSQSDGFVDLSRSTIQWFRVQPEGNKKEIISGAIKQAYAPEPHDVGRYLQAEINHCGEISVVKTAGPVDPAAGLVDYVETLLRNPETEYNVVVLQVNGIKQPTDSIHVLSVGKLRMRLAKGKTVIAKEFYSSTMQLCGMRGGGDAAPQSMYWQPRRDLSLVLGFETARERNSAIMLARRFAIDCNIILAGPGDKTHW >ORGLA07G0186200.1 pep chromosome:AGI1.1:7:19087602:19090121:-1 gene:ORGLA07G0186200 transcript:ORGLA07G0186200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSMDYWLGFFRGAGDSIFDAIDAAIAVAASDHPAALRSRRDAIAERLYTAHLVPGAPPAVPPAGGGGAAADAPPAQLLLHPEGAASVPSLCSSDRAEVITDDDGAVPRRDDDPVAAETERIKAILLNDQEKSEATLLELLRRLQQLELTVDTLTVTEIGKAVSSYRKHNSKQIRHLVRLLIEGWKRIVDEWMSSRDAIVDHTPQSMHPSGLEQDERGLSSPSMDEGALFATPSTSIRLSEENQGSKFFDGMDDDGNTRSNGGRDNGRLYTRNQEPARRPLPPVAQQYDPDQSWKQEQSAMRQSRPQELSNGQTREQFIAAMLARPSNPESGPGRPQPRTKQHQDASPAQGRSQPMPSDKPASHHDENSVRAKLELAKNAKLELTNSAKLEVTKRKLQEGYQEFDNAKKQRTIQMVDPQNLPKQANRNWQPNGRPRNNSNFNNNRNWSR >ORGLA07G0186100.1 pep chromosome:AGI1.1:7:19071285:19074880:1 gene:ORGLA07G0186100 transcript:ORGLA07G0186100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase protein [Source:Projected from Arabidopsis thaliana (AT5G05130) TAIR;Acc:AT5G05130] MASTSRRGGGEDDDDEPYLLGFIVANAVGLQYYQGGRAITRRESVGLVREPHNPHDANAIRVDNARGEKIGHIGRRAAAALAPLLDAGHVAAAHGIVPKPASKRLYSLPCQVHLFARPPHAALVAAALAASGIDLIHVDHPEFALSESAIVQEQQTKRSRGDVDRLFSHVGKGGRARIAPMEAPRDVVVSELFEHQKAALGWLVHREESCDLPPFWEEDNDGGFKNVLTNQKTNERPPPLKGGIFADDMGLGKTLTLLSLIGRSKARNVGGKKARGAKRRKVEEAVEEESRTTLVVCPPSVFSSWVTQLEEHTKTGSLKVYLYHGERTKEKKELLKYDIVITTYSTLGQELEQEGSPVKEIEWFRVILDEAHVIKNSAARQTKAVIALNAERRWVVTGTPIQNSSFDLYPLMAFLRFQPFSIKSYWQSLIQLPLERKNNRTGLARLQSLLGAISLRRTKETESGSKSLVSIPPKTVLACYIELSAEEREYYDQMELEGKNKLREFGDRDSILRNYSTVLYFILRLRQLCNDIALCPLDLKSWLPGSGSSLEDVSKNPELLKKLASLVDDGDDFECPICLAPPAKTVITSCTHIYCQTCIMKILKSSSSRCPICRRLLCKEDLFIAPEIKHPDEDSSVNLDRPLSSKVQALLKLLRRSQSEDPLSKSVIFSQFRKMLILLEGPLKAAGFNILRLDGSMTAKKRSEVIRQFGCVGPDSPTVLLASLKAAGAGVNLTAASTVYLFDPWWNPGVEEQAMDRVHRIGQTKEVKVVRLIVKDSIEERMLELQERKKKLISGAFGRKKGGKEHKEIRVEELQMMMGMK >ORGLA07G0186000.1 pep chromosome:AGI1.1:7:19067378:19069287:1 gene:ORGLA07G0186000 transcript:ORGLA07G0186000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein particle (TRAPP) component [Source:Projected from Arabidopsis thaliana (AT5G54750) TAIR;Acc:AT5G54750] MPPLTGPKSGDALFGSVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFVLEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLTKQVPEEYPYKDDD >ORGLA07G0185900.1 pep chromosome:AGI1.1:7:19063941:19066166:-1 gene:ORGLA07G0185900 transcript:ORGLA07G0185900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPARLSAPAVAAILVAALALCAATASAANVTTTTATAPSGGCYSHLFTFGNSLIDTGNFIHYSTSPGPVARSPYGETFFRRPTGRWSDGRLIVDFIVERLGFPYWTPYLAGKSREDFRYGANFAVASGTALNQLLFKKKHLSVAGITPYSLAVQVGWFKKVLAMLASTEQERKEAMARSVFMVGEFGGNDYLHPLFQNKTLEWVRPLVPRVVRYIAGAVEELVGLGATTVYVPGLFPLGCVPRLLFLFRDGGAGDRDPTTGCLRGLNDGLAALHNALLRRRLAELRAAHPGVTIAYADYYGEVMELVSNPAASGFDDALTACCAGGGPYNGNFTVHCSDPGATQCADPSRRISWDGLHMTEAVYRIMARGVLDGPFADPPIMSRCHGY >ORGLA07G0185800.1 pep chromosome:AGI1.1:7:19056862:19057614:-1 gene:ORGLA07G0185800 transcript:ORGLA07G0185800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMDSPSTPTRYTISSASDQTPTSKANAPAPSKKSYVLSVSPKPAQDKQISFSHDPKATTETKIKGEPPQIASSVELSSATQDKAKALAIAAEDTPSASPSPPTKNIPPKRPAASASASSAKKLFKDDSQQKHKKSG >ORGLA07G0185700.1 pep chromosome:AGI1.1:7:19053162:19054664:-1 gene:ORGLA07G0185700 transcript:ORGLA07G0185700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFPYRWSRTEHLTLRPPCHGGDPLDAHQPLPWILLDVRAYIADRRNSTTAAADLGNGHRIQITIFTAPPPLVSYICAWSIXRTSSCLSWRVDRPWQSCRXLILRSAXQMLMLPTSWARLTPLMRRRWCWRLTWPTKGFKRCLCMTPRGSSTTLITPTRSLPSPNISLQLQV >ORGLA07G0185600.1 pep chromosome:AGI1.1:7:19050326:19050992:-1 gene:ORGLA07G0185600 transcript:ORGLA07G0185600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTPLSMADRRPAYNNTTKARLQKRCPHGGHDIKDAAIAHLRKMWFPPKENSPRKGERYPRQRPQEGYDTRRCSRCRLGDHRNPAASSLHPTAPPLRAGPGHLRPTTRRPPHQVRPKENPTGQCHFSRLSFPCXPVAASTQPKLPTKKGRSSRRERVLTGNKEDDAPPPAPMST >ORGLA07G0185500.1 pep chromosome:AGI1.1:7:19045913:19048976:-1 gene:ORGLA07G0185500 transcript:ORGLA07G0185500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREFRRMLELFPVVRSRDYCAESGASSKGSTQQSRAQEATRGNKNESSDQFMKKLKMVAEKKVGATKAELFCKTFEEAHKKLVYKELNLDAAQRFLNAYEKRS >ORGLA07G0185400.1 pep chromosome:AGI1.1:7:19043216:19044889:1 gene:ORGLA07G0185400 transcript:ORGLA07G0185400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLIPSLNRVLVEKLVQPKKSAGGILLPETSKQLNSGKVVAVGPGERDKDGKLIPVALKEGDTVLLPEYGGLEVKLAAEKEYLLFREHDILGTLVD >ORGLA07G0185300.1 pep chromosome:AGI1.1:7:19038781:19042420:-1 gene:ORGLA07G0185300 transcript:ORGLA07G0185300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G26810) TAIR;Acc:AT2G26810] MADSGSASERREEGKKGREEEEEDDVVCLDPSFFVDRSYETTTFTFGSQVLHLLCLRAASTDYDLTGQLVWPGAVLMNTYLSEHPETVKDHSIIELGSGVGITGILCSRFCKEVVLTDHNDEVLEIIKKNIEMQSCSGNANAVLTAEKLEWGNYVHISNIIEKHPSGFDLILGADIYILLSYFALAESFQQSSISCLFDTVERLLRIQASKCRFILAYVSRAKVMDVLVLKEAEKHGMHVKEVDGTRTTISNLEGVIYDITLK >ORGLA07G0185200.1 pep chromosome:AGI1.1:7:19024793:19029533:-1 gene:ORGLA07G0185200 transcript:ORGLA07G0185200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPSQIPEPEAEEAAAAAGVAVAGAASPGPAAAAAAAAAKPGTPKQPKFPFYLPSPLPASSYKSSPANSSVASTPARGGLKRPFPPPSPAKHIRALLARRHGSVKPNEAPIPEGGEAEVGLDKGFGFSKHFFAKYELGDEVGRGHFGYTCSAKAKKGDHKGHDVAVKVIPKAKMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKVVMVQILSVVSFCHLQGVVHRDLKPENFLFTSKDENSALKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLTAEAKDFVKRLLNKDYRKRMTAAQALSHPWIRNSQQVKIPLDMIIYKLMRAYISSSSLRKSALRALAKTLTANQLFYLREQFELLGPNKNGYISLQNLKTALVKNSTDAMKDSRVIDFVNTVCTLQYRKLDFEEFAASAVSVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHADGKLSFLGFIKLLHGVSSRSIPKA >ORGLA07G0185100.1 pep chromosome:AGI1.1:7:19018802:19021749:-1 gene:ORGLA07G0185100 transcript:ORGLA07G0185100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPYRITAAGGGGRQRMALPLPPKGALLAAVTTASVLSILCVLSFTDSLSYLGFQVRGVDKRESSRSYLYWGAAVDCPGKHCASCAGLGHQESSLRCALEEALFLDRIFVMPSRLCLNSVHNTRGIINQSDATSNKRWETSSCAMESLYDIDLISRTVPVVLDNPQSWYAIVSRSTKLGEEGDVAHAQGVSRQELKENTLYSKAFLINRTASPLAWFMECKDRTKRSSVMLPYNFLPSMPARKLRDAANKIKVILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTHPENIKRRIAKWIPRGRTLFIASNERTPGFFSPLSGRYKLAYSSNFSSILEPVIDNNYQLFMVERLIMQGARKFVKTMKEFDNDLSLCDDPKKNTKVWEEAVYTDG >ORGLA07G0185000.1 pep chromosome:AGI1.1:7:19015051:19017668:1 gene:ORGLA07G0185000 transcript:ORGLA07G0185000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVERCGEMVVSMEMGPHGGVGGGGGAAAGKPVPAPFLTKTYQLVDDPCTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLAEIHRRKSSQPPPPPMPHQPYHHHHHHHHLNPFSLPPPPPAYHHHHLIQEEPSTTAHCTVAGDGGEGGDFLAALSEDNRQLRRRNSLLLSELAHMKKLYNDIIYFLQNHVAPVTTTTTTPSSTAMAAAQHHLPAAASCRLMELDSPEHSPPPPPPKTPATDGGDTVKLFGVSLHGRKKRAHRDDDDGVHDQGSEV >ORGLA07G0184900.1 pep chromosome:AGI1.1:7:18982476:18986572:1 gene:ORGLA07G0184900 transcript:ORGLA07G0184900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xylulose kinase-2 [Source:Projected from Arabidopsis thaliana (AT5G49650) TAIR;Acc:AT5G49650] MVGRSSLPEGSLFLGFDSSTQSLKATMLNNELIIVASDIVNFDSDLPHYKTEGGVYRDPADDGHIFSPTIMWVEAFELLLEKLKPKINFSKVVAISGSGQQHGSVYWKKGSHAVLSSLDPTKSLLSQLKDAFSTMDSPIWMDSSTTKQCREIESAVGGALELSKLTGSRAYERFTGPQIRKIYQTVPQVYDDTERISLVSSFMASILVGNYASIDETDGAGMNLMDINQRTWSKTVLEATAPGLEEKLGKLAPAYAVAGRIAPYFVERLQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNSCAEKSWDVFNSYLEKTPPLNGGKLGFYYKDHEILPPLPVGFHRYIVENLNDVTSNNLVEREVEEFDPPSEVRAIIEGQLLSMRGHAERFGMPNPPKRIIATGGASSNERILHSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNEEGSFVPISCMYQGNLEKTSLGAKLAVATGKGVEDKELLEKYTVLMRKRMEIERRLVEKIGRA >ORGLA07G0184800.1 pep chromosome:AGI1.1:7:18979159:18981025:1 gene:ORGLA07G0184800 transcript:ORGLA07G0184800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein [Source:Projected from Arabidopsis thaliana (AT3G12260) TAIR;Acc:AT3G12260] MAFTMRAVKVPPNSASLEEARHRVFDFFRQACRAIPSIMEIYNLDDVVTPSQLRSTIAKEIRKNQGVTNPKVIDMLLFKGMEELGNITEHAKQRHHVIGQYVVGQKGLVQDMEKDQGSSDFLKKFYTSNYS >ORGLA07G0184700.1 pep chromosome:AGI1.1:7:18975336:18978271:1 gene:ORGLA07G0184700 transcript:ORGLA07G0184700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein arginine methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G12270) TAIR;Acc:AT3G12270] ESTSSVPSKSGSERIGRSTRMGRRRRREGEEGWDDWESDGDDAGGGGGGGGLLCLFCSARFDSESSLFSHCASEHRFDFYRVVKETGMDFYGCIKLINFVRSKVAENKCWSCGQVFSSNSELCGHLHALEIPQLEGKVPWGDDVYLKPFLEDDSLLHSLSVFDDDDEDDCGMPMEKGGCSAGNGSLAETCESNLKSIINDGSDVIDRFERTCTIESTDGECSGSLAQEPSDKQLKIARASAAARGIKSVDESYFGSYSSFGIHREMLGDKVRTEAYRDALLGNPSLMNGATVLDVGCGTGILSLFAAKAGASRVIAVDGSAKMVSVATEVAKSNGFLYDENMEMQQKRDTQVITVVHTKAEELNHKIQVPSNKFDVLVSEWMGYCLLYESMLSSVLYARDHFLKPGGAILPDTATIFGAGFGKGGTSLPFWENVYGFDMSCIGKEVTGNSARFPVVDILASEDIVTETAVLNSFDLATMKENEMDFTSSFELRLSESGVSQSGVTWCYGIILWFDTGFTNRFCKEKPVNLSTSPFSTPTHWSQTIFTFEEPIAMAKEESAVVSSASVGTDECPAVMIRSRISIVRASEHRSIDISIETAGISSDGRKRSWPVQIFNL >ORGLA07G0184600.1 pep chromosome:AGI1.1:7:18973046:18974899:-1 gene:ORGLA07G0184600 transcript:ORGLA07G0184600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59440) TAIR;Acc:AT5G59440] MTALACLAGKAISWSSGVKAAAGCGLGLLPQWRGVFRSVRMESGSSQGGRGALIVLEGLDRSGKSSQCARLLSFLQGKGCQAEGWRFPDRGTSVGQMISAYLANESELDDRTIHLLFSANRWEKRALMERKLLGGTTLIVDRYSYSGVAFSAAKGLDIEWCKAPENGLIAPDLVVYLDVQPEKAAERGGYGGERYEKIEFQKKVGEHYHSLRDSTWKVVDGSLPMEVVEEQLKELAMSCILECQSKQLASLAW >ORGLA07G0184500.1 pep chromosome:AGI1.1:7:18970211:18972511:1 gene:ORGLA07G0184500 transcript:ORGLA07G0184500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 6 [Source:UniProtKB/TrEMBL;Acc:I1QCK3] MATRIQFENNCEVGVFSKLTNAYCLVAIGGSENFYSAFESELADVIPVVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNCLPDQVVVQRIDERLSALGNCVACNDHVALTHPDLDKATEELIADVLGVEVFRQTIAGNILVGSYCAITNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPAAIVDDMRKSLIDSYV >ORGLA07G0184400.1 pep chromosome:AGI1.1:7:18960498:18962044:-1 gene:ORGLA07G0184400 transcript:ORGLA07G0184400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVGNLKSFVEKKGLPKNLVLGSCTVLETAGQGALGTLYKVLESAIAERENGSSAQGQVIWIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRVPIVLSDGAISRTRETTLPVNELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLEALAVLN >ORGLA07G0184300.1 pep chromosome:AGI1.1:7:18958816:18959612:-1 gene:ORGLA07G0184300 transcript:ORGLA07G0184300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPPSHRGGVPWPRGRRHYRRSCPKAEALVRAEVKKAVVKNAGAGAGLIRMLFHDCFVEGCDASVLLDPTPANPRPEKLGPPPRASPPPTWSCSPARTLHRPLPLLLLRAGPPCLGLAAVRHGRGAGEPPAEANVLARRVLFASDAALLSSPATARMVRANARLPASWEKKFARAMVRMASIELKAAHRGEIRKNCRVVN >ORGLA07G0184200.1 pep chromosome:AGI1.1:7:18957147:18958181:1 gene:ORGLA07G0184200 transcript:ORGLA07G0184200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QCK0] MATKLLAAVITVLALLGHVMGQGGYGPSPSPSPSPSASGGGLAVGYYDSVCPNAEEIVRGVVRNAVAQDAGVGAGLIRLLFHDCFVQGCDGSVLLDATAANTQPEKLAPPNLSLRGFEVIDEAKAALEAACPGDVSCADVVAFAARDATVLLSGSGVDFAMPAGRLDGRVSLASEALGFLPPPTSNLSALTASFAAKGLGVGDLVVLSGAHSVGRSHCSSFSDRLNSSSSSGSDINPALAASLTQQCSANASSGGGGDPTVLQDAVTPDVLDRQYYTNVLNGSALFTSDAALLTSLETKVAVLANAIIPGLWEGKFRAAMVRMAAVEVKSGAGGEIRKNCRVVN >ORGLA07G0184100.1 pep chromosome:AGI1.1:7:18954215:18954973:1 gene:ORGLA07G0184100 transcript:ORGLA07G0184100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARADVRTEARESCLVSYAKGTITGLSRSMRRRLASAPASSEVEQRDLLEAVVTSVPTDKCSGRVVTAKFLFAQLWTAHILLALDAALGRKAATQLEHATLEDVLIPSYSGGMKTLYDVDCVERVVRHVLAEEEHGEAGESTSAAAITEEKRGEGASDGGEPAGAVECRRGGGGGAGGSPVIRLGGLRRARSHGGARRPPPPDAGVLMVVGQRSRRLPHLLPHRRRRIAGRPSELLAPTTSSQPPAACRPA >ORGLA07G0184000.1 pep chromosome:AGI1.1:7:18953322:18953874:1 gene:ORGLA07G0184000 transcript:ORGLA07G0184000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLSPSSAFLLHSLSLTPLGAGRPAAQPPGGRATDSSLEWEPVKDDALVYVRVELTAWNVEPLRCAAEYLEMTEEHAEDNLTARVEAYLEQAVLRHPCEATKALKSREELLPHAEELGIVSRCVEAISIFTSG >ORGLA07G0183900.1 pep chromosome:AGI1.1:7:18947364:18952935:-1 gene:ORGLA07G0183900 transcript:ORGLA07G0183900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELHLAAGYCAATGVYRSGHPPQFAAAAALSFPEYILPRMLLPGRRARPAFVDASTGAALSFAGLRALSLRVARALAAAGLRRGRVALLLSPNSLHFPALSLAVLSLGAVLSAANPLLTPDELARQADDAKPFLALVTGELAPKLRSIAPDVKLVLVEQLLADVAAEVDDDETLDLPAANIGRDDAALLFYSSGTTGRSKGVVSTHGNAIAMAASLERAWGGGGGEKPQQYDDHDEAYGCVLPMFHMFGFSSFVMGTAALGATAVVVPGRFSVEKTMAAVEEYGVTRLLVVPPMVVKMVAAAAGDGEPSRRRLRLRQVVSSGAPLQREHMARFRSCFPAVNLGQCYGLTETTGIVTMCDLQHNDNGIDKVEMPPSSTDMTFVAVAATTTEVKERSTAGGGGGGVSIGRLMPDVEAKIVDPDSGELLPPRRTGELWVRGPSTMRGYLNNEEATALALVAAAGSVSVSGGGERWLRTGDLCYVDSRGLVYVVDRVKELIKCNAYQVAPAELEDVLATHPDIHDAAVAPYPDKEAGEIPMAYVVKKQGSGHLQEDEVVSFVQNKVAPYKKIRKVVFVDSIPRSPSGKILRRQLKNLLQGSILHRSRM >ORGLA07G0183800.1 pep chromosome:AGI1.1:7:18945308:18946374:1 gene:ORGLA07G0183800 transcript:ORGLA07G0183800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QCJ6] MAAARVAMWVACVLAMAAACQGRLRVGYYKRKCAPAEYVVRAVVGNAVRQNPGVGAGIVRMFFHDCFVQGCDASVLLDPTAANPQPEKLGPPNFPSLRGFEVIDAAKAAVEKACPGVVSCADIIAFAARDASFFLSGGGISYRIPAGRLDGRVSLANETLAFLPPPVFNLTQLVASFQAKGLDADDMVTLSGAHTIGRSHCSSFADRLSPPSDMDPGLAAALRSKCPASPNFTDDATVAQDAVTPDRMDRQYYRNVLDRKVLFDSDAALLASRQTAAMVARNAAARGRWERRFARAMVKMGGIEVKTAANGEIRRMCRVVNE >ORGLA07G0183700.1 pep chromosome:AGI1.1:7:18929908:18930930:-1 gene:ORGLA07G0183700 transcript:ORGLA07G0183700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QCJ5] MASMAANKLVVFVLLALLGSSNGDGYTSPGSIAGGLTVGHYKGTCDRAEEIVRDAVKNAIRGGGGDRGVGAGLIRLFFHDCFVQGCDASVLLDPTPASAAAPEKAGIPNLSLRGFEVIDAAKAALEGECPGVVSCADVVAFAGRDAAYLLSGNKVYFDMPAGRYDGRVSLASETLPNLPPPFAGVDRLKQMFAAKGLDTDDMVTLSGAHSIGVAHCSSFSDRLPPNASDMDPELAASLQQQCSSSSSNGGASGDNTVAQDVETPDKLDNKYYRNVVSHRVLFKSDAALLASPETRSLVSSYAESQRQWEEKFAAAMVKMGGVGVKTAADGEIRRQCRFVN >ORGLA07G0183600.1 pep chromosome:AGI1.1:7:18927209:18927826:1 gene:ORGLA07G0183600 transcript:ORGLA07G0183600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QCJ4] MATWSNASSLIVAAVILLGLSSASVAHGRRGRRSFVSSYDEPCMEMRLYLHDIIYDYSNSTSNSTSAAATKPTPLSTAVSNPGYFFGEMVVFNDPMTEGRALPPSPAMEEETAVRAQGVYLYDKKEAPNAWFAFSVVFNSTGRRGTLNLMGADLMSEKTRDLSVVGGTGDFFMSRGVATLSTDEASADLSYFRVKVDIKLYECYV >ORGLA07G0183500.1 pep chromosome:AGI1.1:7:18924337:18925216:1 gene:ORGLA07G0183500 transcript:ORGLA07G0183500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTLGDVVPDLELDTTHGKIRLHDFVGDAYAIIFSHPADFTPVCTTELSEMAGYAGEFDKRGVKLLGFSCDDVESHKDWIKDIEAYKPGRRVGFPIVADPDREAIRQLNMIDADEKDTAGGELPNRALHIVGPDKKVKLSFLFPACTGRNMAEVLRATDALLTAARHRVATPVNWKLGERVVIPPGVSDEEAKARFPAGFETAQLPSNKCYLRFTQVD >ORGLA07G0183400.1 pep chromosome:AGI1.1:7:18921691:18922564:1 gene:ORGLA07G0183400 transcript:ORGLA07G0183400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTIGDTVPNLELDSTHGKIRIHDFVGDTYVILFSHPGDFTPVCTTELAAMAGYAKEFDKRGVKLLGISCDDVQSHKDWIKDIEAYKPGNRVTYPIMADPSREAIKQLNMVDPDEKDSNGGHLPSRALHIVGPDKKVKLSFLYPSCVGRNMDEVVRAVDALQTAAKHAVATPVNWKPGERVVIPPGVSDDEAKEKFPQGFDTADLPSGKGYLRFTKVG >ORGLA07G0183300.1 pep chromosome:AGI1.1:7:18915801:18917780:1 gene:ORGLA07G0183300 transcript:ORGLA07G0183300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tolB protein-related [Source:Projected from Arabidopsis thaliana (AT4G01870) TAIR;Acc:AT4G01870] MEPTGRIVFATVGVTNFGFDVFSAAVPLPPMEEDAERRHTDGVSVNFNAQFVDDGGEEVAFVSERGGAAGLFRCRPGPEQRAEPLPTVEGSLFHDRPTVRGGRLYFVSAHEQPPAPFRSWAAVYATEIGSKETVRVSPPGVVDMSPAVSDSGELVAVASYGDRPWAFDFRVLETEVAVFRAADPARRVVVVGRGGWPTWHGEGTVFFHRVADDGWWSVFRVDVSPETLEPTGGERRVTPPGLHCFTPAAVGRGGGGRWIAVATRRKGRAQRHVELFDLETESFSPLTERLNPELHHYNPFFSPSGDRVGYHRFRGAGARGDSVVPYLQPVRSPVSSLRMLRVYGTFPSFSPDAAHLAMNGDFFKTPGVTILRSDGAKRWVLTREPNLFYTSWSPAESGVIFTSMGPIFETTKATVRIARLEFDAGELTTGRDEVAATLKVLTRPEAGNDAFPAVSPCGKWVVFRSGRSGHKNLYIVDAAHGEDVGAGEGTIRRLTDGEWIDTMPSWSPDGSLIAFSSNRHDPTNAAVFSIYLVRPDGSGLRRVHVAGPAGSAAADRERINHVCFSPDSRWLLFTANFGGVMAEPISAPNQFQPYGDLYVCRLDGSGLVRLTCNAYENGTPAWGPASSPAAGLESLSLGPGAGDESLGEFDEPLWLTCDV >ORGLA07G0183200.1 pep chromosome:AGI1.1:7:18901248:18903393:-1 gene:ORGLA07G0183200 transcript:ORGLA07G0183200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVDSTSKCDATEQLCPGRCQLEKRLKAEIIAHQDARRKIECLTMEASCKDEEVRQYKEHIAELVLHSEAQSLLFQEKYQEMEHMISKQKFGLHESNPDTGHTKFEKPSGRTRGSGSPFRCISSIVQQMNSEKDQEISVARQRIEELEGLVCNKQKEICMLTSRLAAVDSMTHDIIRELLGVKLDMTNYANMLDQEELQKLLMASQQQIEQSKAKDVELDMLKEQFDHLIQERDSLFDDMDQRKADLLESQLLIEQLEQREQMLEAQNGILQMEKDNLQQRIMEMDEEIQLLVGSNQAIAETTFQMGSNHRSANSEFSRRLAQSDMLLSHARHEHSRLQAAKSSRTRRGSHQ >ORGLA07G0183100.1 pep chromosome:AGI1.1:7:18899595:18900203:1 gene:ORGLA07G0183100 transcript:ORGLA07G0183100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QCI9] TWSKASLIVAAIFLVAGLLLSSATVVHGGGGGRRFVRSYDEPCKEMRLYFHDILYDFSNSTANSTSAVVASPAALLRAAKNTTIFGTTVVFNDPMTEGTSALPPSLEETAVRAQGLYLYDGKAASTLNAWLAFSVVFNSTARRGTLSLMGADPSTATRDISVI >ORGLA07G0183000.1 pep chromosome:AGI1.1:7:18890733:18891681:1 gene:ORGLA07G0183000 transcript:ORGLA07G0183000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGSCSGHEEEDVLLDGHAYIGNKPNHTTAVDFTRNSERLVASFWRAPPPLPSTLYVYSPDISDPAAFSEAPRIIRMVRGFILFRVVIRSRHRFCIPMEDFDYFVYNVHGRALYRITNPAPLSFHDDVVGLLPRPFRTSARCYVAALVPTPNPSVFALHVFHSDIGRWASTQVVLKDPQQDFPIKIPRNARRLLSHYPSTEITIGGEGGTMGWVDLWRGIPLCDILSPDPVLRGVPLPLPRVLFKPDGRTAAPASGLSIWMSVAHGFLMKMKVAGPPSGLIAGVSSHGATSR >ORGLA07G0182900.1 pep chromosome:AGI1.1:7:18885612:18887693:1 gene:ORGLA07G0182900 transcript:ORGLA07G0182900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGYSYSPSPPPRSYRRRASSPIPRDRYGGRGRDLPTSLLVRNLRRDCRPEDLRRPFGQFGRLKDIYIPRDYYSGEPRGFGFVQYYDPDDAADAKYYMDGQVILGREVAVVFAEENRKKPSEMRSRDRISGSRGRSYDQRYSRSPRYSPPPRGRSPYRSPSYSRSPSPRYARXRMRERSYSPVESRSRSRSPVEEGYGGGSTRRERSLSVSE >ORGLA07G0182800.1 pep chromosome:AGI1.1:7:18875835:18879149:1 gene:ORGLA07G0182800 transcript:ORGLA07G0182800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAASTARGGHRPWTAASRGVSARRCSVAPAQVRARGPTEWWPAAARRRRAPRRHAVAAKAGAADARPSSSSDAVSYSSSISTDMPLYEPTGVSFDEYLLDRARVFRAMFPDESRSQRLSDEEWRVQMLPLQFLLLTVHPVVVMQLRHRDGVLDLRIVPVNRVRAMCLFVCLFGPEGAAQTEWELRGLERDYAPASFDLGVRGSLYADRSRGRRACRLRGHLEISIGCILPPPMRLVPDAVMRGVAESVLQRLAEKMKQDVDVGIVADFQRFRREKAAAAAAATGKRTRERKIGDASSSAQSARRAPDMTLSAASKGVSAPPGLPVPGNVGDDPLPNPGVNGPTADGAFAAGVVPPAMELPMCYYVSETEQMVDRFLQTFRECCPRERGKMLTFLRRRIALNLKPRKN >ORGLA07G0182700.1 pep chromosome:AGI1.1:7:18873247:18873686:-1 gene:ORGLA07G0182700 transcript:ORGLA07G0182700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHWDFGSERKKEIERRLAPRSVSTTRSGVHEHVEDAAAIVHGGGLGDSNEASTPPNTASRFAHSLVSDEKTSKVMPIPAAASAAVAPLRPSPRRKEEREESGKTKGEDNIWGPHRIAT >ORGLA07G0182600.1 pep chromosome:AGI1.1:7:18865054:18869721:-1 gene:ORGLA07G0182600 transcript:ORGLA07G0182600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VH1-interacting kinase [Source:Projected from Arabidopsis thaliana (AT1G14000) TAIR;Acc:AT1G14000] MSGAEEEAAAARGGGSGSESGGSGGGGRGGGGGGAGSSGSSGARRRFDDKGLVARTSLILWHTHQNDAGAVRKLLEEDAALVNARDYDSRTPLHVAALHGWHDVAECLIANGADVNAQDRWQNTPLADAEGAKRHAMIELLKEHGGLTYGKTGSHFEPKTIPPPLTNKADWEINPLELDFSKAVIIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLVTEFLRGGDLHQYLKEKGALAPATAVNFALDIARGMAYLHNEPNVVIHRDLKPRNILLVNSAANHLKVGDFGLSKIIKAQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGDPPFSNYEPYEAAKYVGEGHRPPFRSKGFTNELKELIELCWSGDIHLRPSFLEILKRLEKIKEYLAAHDHHWHLFSQ >ORGLA07G0182500.1 pep chromosome:AGI1.1:7:18861829:18864138:1 gene:ORGLA07G0182500 transcript:ORGLA07G0182500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRPGSPAPRWAPWPLLAAAAVLLLLSAAPRTARALRFDLESGHTKCISDEIKVNSMVVGKYHVVGPDPNFPDNPLPDSHRISLRVTSPYGNSVHYAENVPSGNFAFTATEAGDYLACFWAPDHKPLVSIGFEFDWRSGVAAKDWSNVAKKGQVDVMEMELKKLEETIKSIHEEMFYLREREEEMQNLNKQTNSRMAWLGFLSLGICLSVAGLQLWHLKTFFERKKLL >ORGLA07G0182400.1 pep chromosome:AGI1.1:7:18851342:18859780:1 gene:ORGLA07G0182400 transcript:ORGLA07G0182400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G18700) TAIR;Acc:AT1G18700] MAIPAEVRRYWLPILLAAAGFLFQLLVLPISFPPTHYYALGIERFAPVERVVEAYEQLSKEWLAETNQQTTVDIIKIRYAYELLTNPILKRDYDLFGLDHHKDVLEKVKEQYQKEHFLKIDLPLLKDSSVQSTDYAFNVLGYESFMHTIADEHPLLIMVYSKGSPRCAQFIEKWKQIGSRLDGVANTAMVELGDVQLTGHFAEQRFSKQPFFRNGLPTLVAYPADCRSPSCYMRYPGELFVDSVVDWVATSVVGLPRILYYSKETLGPEFIGKSGHHKVKVIFFSSTGERAAPFLRQAAQEYSTYASFAFVLWKEDESQIWWNSLGVESAPSLVFLKGPGAKPIVYHGTFTKSEFTKIMEEHKHQELPQLRSDTSLELGCDARGYSRAGNDIAIWYCVIVAGRPGVELSKRRQILRKAQDQLFSDVDASTSENVDSSVVVSSAAAALKDDRLTFVWLDGEVQKKLCAFYLATDYSGACGPGGFGDDNDKSELFIVRFQRNATFEALKAEKTNNLMEALQRQHTADASQLVARYKGPDEIEEINKWISQIIKDGDTREIPYFTSKVPDLVPEETSKEWIKSTKSIRSAGNSVKQRIQSSGFHFGDYLTDPRIGPALLMSACISMGIIWYKSNQRTQTTEDGAPPKDKTKKRRPRPKLSTSLFGQPEYPADADPEPKDARQWEMTDSDSD >ORGLA07G0182300.1 pep chromosome:AGI1.1:7:18849827:18850183:1 gene:ORGLA07G0182300 transcript:ORGLA07G0182300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQPHGPVHMTHRQATPRARPPPPQSVPSPRPPPSTPVSSPAAGADLHPASRGGAGVLLLLPPHSLTGEHPNPSHPASASASNFVSSPAACADPHPASRGGARRPPSSFHRIVARR >ORGLA07G0182200.1 pep chromosome:AGI1.1:7:18845148:18845782:1 gene:ORGLA07G0182200 transcript:ORGLA07G0182200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVDGECPARGGTAAASARAAPPSSSRRRRRYCNGVYLGFLVFIVLFGFIMGALVAESMGNRRGKVVVMVLCPLTFVFFGACMFHMEWTTAVINHELEMMV >ORGLA07G0182100.1 pep chromosome:AGI1.1:7:18843379:18844663:-1 gene:ORGLA07G0182100 transcript:ORGLA07G0182100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFDANDDDDDHVHVENAAAAAAACSSSPARPTTAQPHLTNDDDHHSHVIDIDAAAAAAGGRRSCAVCMETLEWAAIGPCGHGEVCAGCPLHIRVFQNNRLCCICRSPCRVVVVTNPDAIAAAAAGGGGGWPAVSSRLPRSRTGGGYSQVEGRVGEYWYHAGMEAFFDDERQYEAAKAAAQLGPPPPPPPPQPSGDANENPPPPPPPLRTGVGNSDGRRARRDPPDQQCDGTAIGLFGLFIIGVFFVAGMSFLS >ORGLA07G0182000.1 pep chromosome:AGI1.1:7:18839524:18840395:-1 gene:ORGLA07G0182000 transcript:ORGLA07G0182000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLDGNGGAGAAGDHSHDVVIDVGGATTSSCVVCMEPLEWAAIGPCGHGDVCAGCALHIRVFQNNRRRCICRAPCRVVVVTSHGAIVAAAAAGGGWPAVSSRLPMSRTRRGGYSRREGRVGEYWYHTGMGAFFDDERQYAAAKAAARLGPPPCGDANENPPPPPPPPPTTTTTRTRTGVSNSDGQGSLLTQREKMEALVFILVVVVILVVMAFV >ORGLA07G0181900.1 pep chromosome:AGI1.1:7:18834541:18835491:1 gene:ORGLA07G0181900 transcript:ORGLA07G0181900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTFTRHSLVVIVGALLLQLFFLAGLAPAAAAAGPTGQVTVFWGRNKDEGSLREACDTGLYTMVVMSFLNVYGARGGEYRLDLAGHPVGGIGGDVKHCQRKGVLVSLAIGGGAYSLPTNQSALDLFEHLWNTYLGGGGGKGAVEAARPFGDAVLDGVDFFLDRATPAERYDVLATELAKRGKPPRRALHLTATTRCAFPDRGAARALATGAFERVHVRFYGGGGGGDDNCTVYWEDAWDRWTAAYPRSRIYFGLPAAPAVAEEEQDGRSGYVYPKTLYYRYVPELQKAANYGGFMIWDRYSDKQSGYSGYVKNWA >ORGLA07G0181800.1 pep chromosome:AGI1.1:7:18831351:18833046:1 gene:ORGLA07G0181800 transcript:ORGLA07G0181800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTSLTPTFLPAVPCPKPAPPASACFPCALPPRAALAALVAPLRRRLSPVAVAVSSEVEEEEGGAESEGEFAEDLKVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVVYDRQTGRSRGFGFVTMSTAEEAGAAVEQFNGYTFQGRPLRVNSGPPPPRDDFAPRSPRGGGSNFDSSNKLYVGNLAWGVDNSTLENLFSEQGTVLDAKVIYDRESGRSRGFGFVTYGSAEEVNNAISNLDGVDLDGRQIRVTVAESKPRRQF >ORGLA07G0181700.1 pep chromosome:AGI1.1:7:18828067:18828708:1 gene:ORGLA07G0181700 transcript:ORGLA07G0181700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSPSRPLLRRVLSFREPLLLIPYIVGFLAAASSGFFYSYSSFLHSFARSLVPAAAAGAVVKCAYLSAAADSDEPCSSCGGEDEDVVAAVEEEEAHLSKVEVEEIMERIGLGVGGHGEGLKARMGRDEVSRLFDADEPSFAEVRRAFAVFDGNADGFIDADDLRAALARLGFREDAAACRAMIAASCGSVDARMNLFQFVKFLETGLC >ORGLA07G0181600.1 pep chromosome:AGI1.1:7:18815277:18817101:-1 gene:ORGLA07G0181600 transcript:ORGLA07G0181600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSASGRSLLVILLAVSLLLVASSDAARFTRSNRMAMMVVEAPARPGVGVSGSAEDDVSTSDAIVEEMFGRMALQTTDYPPSGPNDRHTPKAPGT >ORGLA07G0181500.1 pep chromosome:AGI1.1:7:18813306:18813863:1 gene:ORGLA07G0181500 transcript:ORGLA07G0181500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANGVDLATAGLLRLLAMGMGPSVQIPGEGGVPVMMVQHIIIGDDGGDLFSGGLGGGVPPASKAAIASLKEVKAGEDGEGGDSLGDCAICLDAFAAGKEMPCGHRFHSECLERWLGVHGSCPVCRRELPAAEQQPPEEQQSGGADAGERRRPRAVVVSYVVLGDGGRGGERGEPWNIRIEDVD >ORGLA07G0181400.1 pep chromosome:AGI1.1:7:18810780:18811793:1 gene:ORGLA07G0181400 transcript:ORGLA07G0181400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 homolog [Source:UniProtKB/TrEMBL;Acc:I1QCH2] MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCRICQENFSTTVNALTEPIDIYSEWIDECERVNNVEDDDGA >ORGLA07G0181300.1 pep chromosome:AGI1.1:7:18803608:18806816:-1 gene:ORGLA07G0181300 transcript:ORGLA07G0181300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF298) [Source:Projected from Arabidopsis thaliana (AT3G28970) TAIR;Acc:AT3G28970] MLSKSISLIFFFWLDIVSAFSNVNTREGLAELSQVIDGMEGLRDAIFSDIPKLMSALDLDDAHRFSIFYDFVFFISRENGQKNISVQRAVGAWRMVLNGRFRLLDRWCNFVEKYQRYNITEDVWQQLLAFSRCVNEDLEGYDPKGAWPVLVDDFVEHMHRIYNSCDCSSAMESQLNISNIFGGINPLPGSKRKCPTRLKPNEDVDLSDNFTRSVHLAPLKRLKESPVITKYGVWEYNAGTPLVHSPSDYCEDANLHNPRGCLQNSPSIVEDCLSKGFEGCISMKCSF >ORGLA07G0181200.1 pep chromosome:AGI1.1:7:18798080:18802718:1 gene:ORGLA07G0181200 transcript:ORGLA07G0181200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGEIGGAAVFALAAAAALSAASSLGAVDFRRPLAAVGGGGAFEWDGVVPWLIGVLGGGDEAAAGGVSVGVAAWYEVWVRVRGGVIAPTLQVAVWVCMVMSVMLVVEATFNSAVSLGVKAIGWRPEWRFKWEPLAGADEEKGRGEYPMVMVQIPMYNELEVYKLSIGAACELKWPKDKLIVQVLDDSTDPFIKNLVELECESWASKGVNIKYVTRSSRKGFKAGALKKGMECDYTKQCEYIAIFDADFQPEPDFLLRTVPFLMHNPNVALVQARWAFVNDTTSLLTRVQKMFFDYHFKVEQEAGSATFAFFSFNGTAGVWRTTAINEAGGWKDRTTVEDMDLAVRASLNGWKFIYVGDIRVKSELPSTYGAYCRQQFRWACGGANLFRKIAMDVFVAKDISLLKKFYMLYSFFLVRRVVAPMVACVLYNIIVPLSVMIPELFIPIWGVAYIPMALLIITTIRNPRNLHIMPFWILFESVMTVLRMRAALTGLMELSGFNKWTVTKKIGSSVEDTQVPLLPKTRKRLRDRINLPEIGFSVFLIFCASYNLIFHGKTSYYFNLYLQGLAFLLLGFNFTGNFACCQ >ORGLA07G0181100.1 pep chromosome:AGI1.1:7:18793461:18794675:-1 gene:ORGLA07G0181100 transcript:ORGLA07G0181100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAMSTLNLSSSPLTKQQLRFGASTLSAEHVSGFSSARPAPSLCCSSSHWRAVKLRPRRRPWRRAGNRVVAQASGYKVAILGAAGGIGQPLSLLVKMSPLVSALHLYDIANVDGVTADLGHCNTPAKVAGFTGKEELAGCLAGVDVVVIPAGVPRKPGMTRDDLFGINAGIVRELVEAVADNAPAALVHVISNPVNSTVPIAAEVLKRKGVYDPRKLFGVTTLDVVRANTFVAEMKGLPLADVDVPVVGGHAAATILPLLSKARPKTAFTDEEVEALTRRIQNAGTEVVEAKAKAGGGSATLSMAYAAARFLEASLRGLDGDADVYECSYVQCQAVPELPFFACRVKLGRDGVEEVAAAGAELRGLTEFEARALEALKPQLKKSIDKGVAYAQQQPATVAMG >ORGLA07G0181000.1 pep chromosome:AGI1.1:7:18788378:18791432:1 gene:ORGLA07G0181000 transcript:ORGLA07G0181000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKKLTSQHDTPFNYEINKIKGYWTEIRSAKICYLYGQGRMLALTLLKRAKDAIGLASTIMTGAQLRAQTPSEISLNTIDQTFRMYVSTFVKTAEDTYHRKVDKATVLSFLCALQGLAAVSRILFEDALASVRSIQPDYSPKRDVEAINRNYQQEIQCLINKFGEASTTEALEILHCTVNDLTQKVSSYVTIMTTLRTSTLAHVPGRTIASCDAAPPDDRQN >ORGLA07G0180900.1 pep chromosome:AGI1.1:7:18780346:18780477:1 gene:ORGLA07G0180900 transcript:ORGLA07G0180900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRTMKVVFSLALLLLPLASVAEEFDFMYLAQQVIGDQHVV >ORGLA07G0180800.1 pep chromosome:AGI1.1:7:18768122:18769242:1 gene:ORGLA07G0180800 transcript:ORGLA07G0180800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVVAVAALLLAAGVASADEFDFFYLVQQWPGSFCDTQAGCCFPDTGKPAAEFGIHGLWPNYAKCRPAAAVAGDDDDVVVEMVVDGGAAVERHHQRRRQKCWPEYCNDGNKLRPWEIKDLVAELDANWPTLSCKSGKSFEFWSYEWKKHGTCSGMGQHGYFAAALELKKRHDLAAVLAGAGIVPSDDESYSLGSIRDAIAAATGAVPNLECNRDAAGETQLFQVYQCVDRSGKKLVDCQLPMQGKCRDKVKLPTF >ORGLA07G0180700.1 pep chromosome:AGI1.1:7:18763568:18764856:1 gene:ORGLA07G0180700 transcript:ORGLA07G0180700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKTMKVVFSLVLLLLPLASTSAVEVKFDFMYFVQQWAPSYCSTAPHECEYEPRPPPNNFTIRGLWPSYEEWRPEYCNISDRLDPGQIEDLVNPLNQSWPSLLRNETNLELWSHEWEKHGTCSNLSQHGYFAAALALDTLTNLTGILADGGVVPSDEKTYTLGEISDALAKGTGFSTYLRCSQNELKYGETLLYEVLQCVDRSGEKLVNCTTPYWVTRCLDPDKIKIPAWFYGQ >ORGLA07G0180600.1 pep chromosome:AGI1.1:7:18741805:18742914:-1 gene:ORGLA07G0180600 transcript:ORGLA07G0180600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCDKDGVKKGPWTPEEDLVLVSYVQEHGPGNWRAVPTRTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKRKLQGGGAGGDGDGGAAGDAVSPKPAAQRPASSSKGQWERRLQTDIDMARRALREALTSLDDVKPPHQPDAANAAAGGGGATTGAAASAGADSPAASSTSGASQCSPSSAGYVLTTENISRMLDGWARKKGGGGGRRAAGSGPATPGATESASGSSEASEVSYGGTALSAAAAPASAFEYETKPTVTAADAGDETQLSAIESWLFADADGIESGSLLDAAMDYTF >ORGLA07G0180500.1 pep chromosome:AGI1.1:7:18725024:18727134:-1 gene:ORGLA07G0180500 transcript:ORGLA07G0180500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYMMDLSGKKKSTPVLAIVLPIVFAGLLTIIIVSFYIWRKKRLPTKTPLIENTEDLEDFESIFIDLSTLQSATSNFDESNRLGEGGFGVVFKGVFPDGQEVAVKRLSNCSNQGLGQLKNELSLVAKLQHKNLVRLIGVCLEEGEKVLVYEYMPNKSLDTVLFDPEKSKQLDWGKRYNILYGIARGLQYLHEHSQLKIIHRDLKASNILLDSDMKPKIADFGMAKIFGDDQTRNATSRVVGTLGYMSPEYAMRGQYSTKLDVFSFGVLVLEIVTGRRNSYAVVSEHCEDLFSLVWRHWNEGTVTEIVDPSLGNHYPRGDILKCINIGLLCVQQNPVDRPPMSAIILMLSSGTVTLQAPYRPAYIFGRNRSYTETMDVPLPSGPHSSITELEPR >ORGLA07G0180400.1 pep chromosome:AGI1.1:7:18717759:18721044:-1 gene:ORGLA07G0180400 transcript:ORGLA07G0180400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCSGNMLRAVHVLAIAAAVLTLAPLAASYPWQACNGSSNYTANSAFQRNLGVLAAALPSNASTSPDLLANATVGGAPDTVYALAFCPPIDNQNASGCRACVASAFADARSLCPNNRGAHIIYDGCVLTFSGRDFLGAATNPADMERKLRNTENVTVSDVGEFNGAIYEVLNATADYTAAAARRFGTGEISFDPTYPVIYSMAWCTPDMAPGRCRACLADTIAQMHAYFNPNAQGARLVGVRCAARYEIYPFYTGRGMVQVPVSRPPAVPGTPGKKSKTGKILAIVLPIVAALLASAMICFCCWRRRTKATKLSLSYSSRSEDIQNIESLIMDLPTLRIATDNFAENNKLGEGGFGAVYRGSFPGGQTIAVKRLSQSSGQGIGELKNELVLIAKLQHKNLVRLVGVCLEQEEKLLVYEYMPNKSLDTFLFDPEKRKQIDWAKRFMIIKGITGGLQYLHEDSQLKIIHRDLKASNVLLDANMNPKISDFGLARLFGDDQSQETTNRVVGTYGYMAPEYALRGQYSIKSDVYSFGVLLLEIITGRKNSDSYNSEQAVDLLSLVWEHWAMKTITEMVDPYLRSDSSSLDEILRCIHVGLVCVQEDPMDRPTLSMINIMLDGNTVSAKAPSRPAFFTEMLGNINIGSSMYSQPYPVTDSTAKHSTAMSLNDVTVTEPEPR >ORGLA07G0180300.1 pep chromosome:AGI1.1:7:18710084:18712829:-1 gene:ORGLA07G0180300 transcript:ORGLA07G0180300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) TAIR;Acc:AT1G26840] MDMSSIASRLGLSGSRPVVRKAAELRRLCDVTFDSSVLGIGEVCKAIICLEIAASKFQVIFDRAEAVRMSGMSEKAYIRSFNALQNGLGVKTTLDVRELGIQFGCVRLIPFVQKGLSLYKERFLVALPPSRRASTDFGRPVFTAAAFYLCAKRHKLKVDKLKLIDLCGTSSSEFTTVSTSMADLCFDVFGIAKEKKDAKSIKGSRELLDVLPSKRKHDDDSDSSGESSGDDQDELDLPTYKRHKKMEKEAYNDWKSSVLSSNKQTKPDPAKPRKQAQLNFKKKPSDMALEVSSAAN >ORGLA07G0180200.1 pep chromosome:AGI1.1:7:18705270:18707235:-1 gene:ORGLA07G0180200 transcript:ORGLA07G0180200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRDRVNAAAAGGGGEGRLVQSGIVNKKCDKKAPKRIHKSEREKLKRDKQNDLFNELGNLLEPDRQNNGKACVLGETTRLLKDLLSQVESLRKENSSLKNESHYVALERNELHDDNSMLRTEILELQNELRTRMEGNPVWSHVNTRPALRVPYPTTGVFPVQHLPHLPVTTTAAFPQQQPVIIEQHYAAMPRELQLFPESATSEDSEPSQEHGISDHVTRPQARYPTPTATLPVNLFPVFPGRQDQQCSSGTSGTNEEDRIGRS >ORGLA07G0180100.1 pep chromosome:AGI1.1:7:18702353:18704517:-1 gene:ORGLA07G0180100 transcript:ORGLA07G0180100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSFYRNYGKTFKEPRRPYEKERLDAELKLVGQYGTAHPGXEEPRRIFEGEALLRRMNHYGLLGEGLNKLDYVLALTVENFLXRHLQTIVFKNGMAKSVHHARVLIRQRHIRMGRQLVNIPSFMVRLESEKHIDFSLTSPLGGGPAGRVKRKNQKKASGGGGGGDGEDEEE >ORGLA07G0180000.1 pep chromosome:AGI1.1:7:18700712:18701175:-1 gene:ORGLA07G0180000 transcript:ORGLA07G0180000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEARLCTRYGAAAAKATLARNILAPVAGRLSGHPSELHRRCILLRRLSSSRNTGTTADLCERFSAARRGGRRLRRPPHGRRRLRDRRRVAGGGGGDAERELRHRPSPLLRPSYRPRRRPRHQGASSD >ORGLA07G0179900.1 pep chromosome:AGI1.1:7:18690877:18691656:-1 gene:ORGLA07G0179900 transcript:ORGLA07G0179900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNILAPVAGTLRGHPSELHRRCILVRCLLSCTVPGELCDMFDMFASIEAIAVSGGLGMAVVVFGVAVADCMSAAVLRTGAGFFEPVPPLHLGPPLVLAAAMDIKLALHPRRAPPHESGDGEEAMRVSWFSMLEGDRRGRPVKATTAFVASLASRREGPTPGGADGGEPRYYGTSYTYWRTDDTEFFCMEDASRRTDDLRDPHKIPHKIWPYQYWFD >ORGLA07G0179800.1 pep chromosome:AGI1.1:7:18686962:18689155:-1 gene:ORGLA07G0179800 transcript:ORGLA07G0179800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMARVRDLIEALVESQPILAEDSTFLRRALVVGGVNSLISPVHLESFFTRYGAVMATVLLRDAARGERIGMLVFFAESDCLEAAESEAARPGAYRTISRVDDEILHNSVELVKDAADQQSRRSSTAEAFRRMVPWRYLEADAQEDINLRCLLLRMGARSTATPGYLYGVARSELAASGRACAVVAYYSSRMAMVVFDESRDIERCGSRSAELVAFHLGLYDSGLFPLVADGAGGHGVVTRDLLPLFCLSPDFLGRVVLLRGPGIAELDAGEACRRVEELHPVEALLVHRGDRLAVVVVRSRGDARALMAESGEFWRRACGPHPITAQLIDDPSPAFMPRPLLYPEVVNLAVARAHRRMDRCTLDDLHGLGRGLVELESLRPSSSVRQGNLARRGFILLGLHQDMTEDYLMQYFGDVESCVVYMAKRAALVIFSTPEAAARALRTPMADGRSRDTPRDDQVVDYLLLLQYTMLRVDDPLAHEDAQPLIPANYVLPP >ORGLA07G0179700.1 pep chromosome:AGI1.1:7:18680668:18685396:-1 gene:ORGLA07G0179700 transcript:ORGLA07G0179700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein-related [Source:Projected from Arabidopsis thaliana (AT4G39520) TAIR;Acc:AT4G39520] MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPTSKGGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVAAYEFTTLTCIPGVIMYKGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNVILIVLDAIKPITHKRLIEKELEGFGIRLNKAPPNLTFRRKDKGGINFTSTVTNTNLDLETVKAICSEYRIHNADVSLRYDATADDLIDVIEGSRIYMPCIYVVNKIDQITLEELEILDKLPHYCPISAHLEWNLDGLLEMIWEYLDLVRIYTKPKGLNPDYEDPVIVSSKKKTVEDFCNRIHKDMVKQFKYALVWGSSVKHKPQRVGKEHELEDEDVVQIIKKV >ORGLA07G0179600.1 pep chromosome:AGI1.1:7:18676110:18678176:-1 gene:ORGLA07G0179600 transcript:ORGLA07G0179600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWEGYVSDETMGTFAPIALYWVYAGGYQLALHRRPLERYRLHTRAEEEEKNLVALPAVVRGVLLQQLVQAIVAMILFVVTSDSSAIVVQPPIIIQAFQFLVAMLVMDSWQYFVHRYMHQNKFLYRHIHSQHHRLIVPYAIGALYNHPLEGLLLDTVGGAISFLVSGMTPRTSVFFFCFAVLKTVDDHCGLWLPYNIFQSLFQNNTAYHDVHHQLQGSKYNYSQPFFSIWDRILGTHMPYNLVRRKEGGFEARPLRD >ORGLA07G0179500.1 pep chromosome:AGI1.1:7:18673727:18674221:1 gene:ORGLA07G0179500 transcript:ORGLA07G0179500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHHRRRHGHAAAAEEAEEEAPSPRPSSAGCYTFLRSASRRGGGGYRRLDSSASASASAAEDVIRVEVGTTKGERSVFHVDPAVLEAGPVRRLLAAAGRRTRGGAVAVAVDAMLFEHLLWLQAAGSKGMLGYDDDESAAADLSEIVEFYSQDDDVDDSGHRGF >ORGLA07G0179400.1 pep chromosome:AGI1.1:7:18665789:18671190:-1 gene:ORGLA07G0179400 transcript:ORGLA07G0179400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSRNNNGGRTAEAAPAPASGTANTVVALVLLLVAASAVVFLLSPPTPAATRIGRHGDGGPRREPVELAIGLAGHESWLDAVRAWAKLACLKLRPPEPREKVAWCCSRNGAAVEVCFLTAARVCQGTICAARRR >ORGLA07G0179300.1 pep chromosome:AGI1.1:7:18662125:18664349:1 gene:ORGLA07G0179300 transcript:ORGLA07G0179300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFVAASPAGSRWMAESAARRRQQRRFSSPSLRAYLTPAFDAVAAGADGVSPYSASASSSGGMDLGLDDSLLRYRRACFSSAATTDRDHRLLVYSPQSAPVYPAPPAGDVVVAGGSRYDSKRQAGGQTGAPGYQDFNDISSLFSPWQPSADHPTATARGIPNKPAADIRSREDTVIQAARAELSTPKPEATTPSAQPASAQAEPIEEDEELIIQTLYGQSNGRRLPIFRSICPE >ORGLA07G0179200.1 pep chromosome:AGI1.1:7:18655601:18659686:1 gene:ORGLA07G0179200 transcript:ORGLA07G0179200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPDLTPPAAAAAASAEAPSASAAKKDRHIVSWSAEEDDVLRTQIALHGTDNWTIIAAQFKDKTARQCRRRWYNYLNSECKKGGWSREEDLLLCEAQKVLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDELFKENGSLCSSASAKRALAQTECLTSGASGSAPPIKQMRPCNSDFKENMTPNMRLVGQDKSTQDSRQPLAIVYQNNQDNMNTMDTQNLVAKTAAKQLFAGEHDCVKHEGNFLNKDDPKIVTLLQRADLLCSLATKINTENTSQSMDEAWQQLQHHLDKKDDNDMSESSMSGMASLLEDLDDLIVDPYENEEEEDQNLREQNEQIDMENKQNSSQTSMEVTSQMVPDNKMEDCPNDKSTEDNNMEPCPGEDIPTSENLTEAAIEDSLLQCVEYSSPVHTVIQAKTDAEIAASENLSEVLEHNRLQCIKLASPAQTTTPVEANAETPASEKLSEVVKCKNPPRMGFTSPAHTVPTFLPYADDMPTPKFTASERNFLLSVLELTSPGSRPDTSQQPSCKRALLNSL >ORGLA07G0179100.1 pep chromosome:AGI1.1:7:18645898:18646182:1 gene:ORGLA07G0179100 transcript:ORGLA07G0179100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WDYVYYGEVVVFDTTFRTNRCGAAFVPFLGMSRHRRPALFGCGVVADGSVDSCIWLLRAFKESIQGDVPKSVITDGGDAVIAAVKAVFPESNHRV >ORGLA07G0179000.1 pep chromosome:AGI1.1:7:18641028:18645164:1 gene:ORGLA07G0179000 transcript:ORGLA07G0179000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:disproportionating enzyme [Source:Projected from Arabidopsis thaliana (AT5G64860) TAIR;Acc:AT5G64860] MATLSFPLPHLTQAIPARARARPRPRPRPLRGIPARLLSCRAAMAVAPDKEEAAAAVALDKAVKVAVKVAAPDRAAVAAVGVGEELPEGYDQMMPAVEEARRRRAGVLLHPTSLRGPHGIGDLGDEAVAFLAWLRDAGCTLWQVLPLVPPGRKSGEDGSPYSGQDANCGNTLLISLEELVKDGLLMENELPDPLDMEYVEFDTVANLKEPLIAKAAERLLQSHGELRTQYDCFKKNPNISGWLEDAALFAAIDRSIDALSWYEWPEPLKNRHLGALEDIYQKQKDFIEIFMAQQFLFQRQWQRIRKHAKKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKAMEAGGFEWWIKRINRALDLYDEFRIDHFRGLAGFWAVPSESKVALVGSWRAGPRNAFFDALFKAVGRINIIAEDLGVITEDVVDLRKSIEAPGMAVLQFAFGGGSDNPHLPHNHEFDQVVYTGTHDNDTVIGWWQTLPEEEKQTVFKYLPEANRTEISWALITAALSSVARTSMVTMQDILGLDSSARMNTPATQKGNWRWMMPSSVSFDSLSPEAAKLKELLGLYNRL >ORGLA07G0178900.1 pep chromosome:AGI1.1:7:18638162:18640057:1 gene:ORGLA07G0178900 transcript:ORGLA07G0178900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFENNREAINRMPRSLLSAFDNRSWIPVTNILFQLCKGFGFASSKNVEPSSSAIFQVLLRETCIHEEELFLSFLNRLFNTLSWTMTESSMSIREMQEKRQVADLQQRKCSVIFDISCSLARILEFFTREIPHAFLMGPDMNLRRLAELVVFILNHIILAADAEFFDMTLRRPGQHQEKTNCTMILAPLVGIILNLMESSSTSGHRELNDVITVFTSMDCPATIHFGLQYLLSYNWSNVLRGDASLAKLAQLEEFSHYFMHITMSADGNEEQGFSTASNEEEDNCCICYNSDSDTTFEPCHHRSCYGCITRHLLNSQRCFFCNAVVTSVTRIADSRIESRSPLAP >ORGLA07G0178800.1 pep chromosome:AGI1.1:7:18626586:18628007:-1 gene:ORGLA07G0178800 transcript:ORGLA07G0178800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G09580) TAIR;Acc:AT3G09580] MHVHAARPVLPATPPKLRRHHAAALRLTVTRAAAPGSDATGGGGGGNKAVIVGGGLAGLAAANHLASMSVPFTLLEASDRLGGRVATDEVDGYRLDRGFQIFLTAYPECRRLLDFPALRLRPFYPGALVFLGAGEPFYLLSDPFRLPLRSLSAVFSPVGTLADKLLVGLARLRAASTPDDVILSSPETTTAAHLEKLGFSPSIVERFLRPFLAGIFFDPALDTSSRLFELVFKRLALGDNALPEAGIGAIASQLADRLPAGSVRLNSRAAAIGQSSVTLDTGETVSGELGVIVAVEQPEAEKLLPQLPTIPAKPKKNSERSTVCLYFAADKAAVQDPILLLNGSGKGIVNNMLFATNVAPSYAPPGRSLVSVSLVGSFAGRSDADLAGEVVTELAGWFGAGEVASWTHLRTYRIGFAQPDQTPPTSPAGRDPRVGDGLYMCGDHWCYATFDGALVSGRRAAEALAKDRGLSLA >ORGLA07G0178700.1 pep chromosome:AGI1.1:7:18625805:18626185:1 gene:ORGLA07G0178700 transcript:ORGLA07G0178700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQGRLGQAHSANFAAKGFTPEELVILSGAYSIGKAHCFSFNDRLTEPNSEINADYHDNVLNKTCYAAPNETTLANNIRDIDVATLGPRRQRSTRRSTRGLPPHSSVAAPLRRPPLLAILRGDRERG >ORGLA07G0178600.1 pep chromosome:AGI1.1:7:18625229:18625543:-1 gene:ORGLA07G0178600 transcript:ORGLA07G0178600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEHAWPALLGRPPRADPHRSADSPAAAATGVGVGGGLGDGGLHARVHHRGFSRGHGDLRRAAPASTPDPVADGAAAVEAAASSARHQATLLPSFPSLKTWGSDR >ORGLA07G0178500.1 pep chromosome:AGI1.1:7:18619823:18624413:-1 gene:ORGLA07G0178500 transcript:ORGLA07G0178500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QCE3] XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGGAAASNGGGVERRLRSSAASASWASHLPLEVGTRVMCRWRDQKLHPVKVIERRKSSTSSSPADYEYYVHYTEFNRRLDEWVKLEQLDLETVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDSPKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKSNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQG >ORGLA07G0178400.1 pep chromosome:AGI1.1:7:18606851:18611048:-1 gene:ORGLA07G0178400 transcript:ORGLA07G0178400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G10020) TAIR;Acc:AT5G10020] MAALLVLVVLVVLGGGGAAGDDVAALLEFKKGISDRGRDPVLGSWSPPATPDAGGGGGGCPSGWRGVVCDGGAVVGVALDGLGLAGELKLVTLSGMRALQNLSLAGNAFSGRLPPGIGYLSSLRHLDLSGNRFYGPIPGRLADLSGLVHLNLSHNNFSSGFPTDGIRQLQNLRRIDLRSNSFWGNAGDLLAELRNAEYIDLSDNLFTGAVDLELESLSSIGNTVKYLNLSHNKLQGGFFRNETVGAFKNLEVLDLSNSGIAGMVPQIDAWFSLAVFRVAGNALSGVMPEALLQNSMRLVEVDLSRNGFSGSVPVVNSTTLKLLNLSSNTFSGSLPSTVGKCSSVDLSGNQLSGELAILRAWDGTVETIDLSSNKLEGSYPNDASQFQNLVSLKLRNNLLSGSIPSVLGTYQKLQFLDLSLNALGGPVLPFFFLSPTLTVLNLSGNNFTGTIPFQSTHSTESIALIQPVLRIVDLSSNSLSGPLPPDISNLQRVEFLTLAMNELSGEIPSEISKLQGLEYLDLSHNHFTGRIPDMPQASLKIFNVSYNDLQGTVPKSVEKFPITCFRPGNDLLVFPDGLPAGNYDDTGVAQSQSSHGHKAGVRVALIVGCIGAALLVIFIALAIYVVRSQELCGRNGFRGQITIRDLKLGRLSRPNLFKPPKDNVIPTKTSFSNDHLLTAAARSMSAQKELLAEAAVEYGYADPKEVTEPSSSGMAETSAAVPAMEPSPRAVLPTSPHFIDSRFHEEPVAFEVYSPDRLVGELIFLDSTLVFTAEDLSRAPAEVLGRSSHGTTYKAALQSGHVLTVKWLRVGLVKHKKDFTKEIKRIGTIRHPNIISWRAFYWGPKEQERLIISDYVNGDSLALYLYESTPRRYSRLSVSQRLKIAIDLARCLQFLHHEKGLPHGNLKPTNIFLTGPDLSPKLVDYGLHRFMTPSGTAEQILNLGALGYRAPELANTAKPMPSFKADVYAFGVIVMEMLTRKSAGDIISGQSGAVDLTDWVQMCNREGRGTDCFDRDITGLEESPRVMDELLAISLRCILPVNERPNMKTVCDDLCSITV >ORGLA07G0178300.1 pep chromosome:AGI1.1:7:18605010:18605396:1 gene:ORGLA07G0178300 transcript:ORGLA07G0178300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWGSFHQGNDAIGLTPGELNRFNSSFLSSDTISISQSYAIRLAIYLQRIQQRGRMNAEEKSSFRTCSWMRRMASITDADDDGCLAIHFVSLRRTRDTFRTACLAIKS >ORGLA07G0178200.1 pep chromosome:AGI1.1:7:18601257:18603242:1 gene:ORGLA07G0178200 transcript:ORGLA07G0178200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77930) TAIR;Acc:AT1G77930] MSGLRAISTLLHTCAAAAAGGSSRRVSLAPPLGRSFRVPWRAPSAFVFDEVARAAGGERRRVSTRAASWDSEKSPYETLELDRDADEETIKTAYRRLAKFYHPDVYDGKGTLEEGETAEARFIKIQAAYELLIDDQRRKSYDREHHVNPMKASQAWMEWVMKKRKAFDQRGDMAVAAWAEQQHREMTLRARRLSRSKIDPEEERKLFAKEKKASMEFYSTTLKRHTLVLRKRDIMRKKAEEDKKKEISRLLALEGLELDTDEDDNINFLK >ORGLA07G0178100.1 pep chromosome:AGI1.1:7:18597620:18600667:1 gene:ORGLA07G0178100 transcript:ORGLA07G0178100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRRGSGGNTSSCCALCEGSNLPSCCSACVNSKLAEYHAKLRMMKNLRDSLNARIATRLDAKRKGDEQRNWRVSKAHDIKELRDHLAELKRRNAIEKKKAEQASRDLRERTALLNLAFVTLKKKRNDSSVMHTNAMKAAQMGLMATTSEHLKMQSKAMKQLCRLFPLRRVIKDGEQNDGYNGPYDVICNARLPRGLDPHSVPSEELSASLGYMLQLLSIAVPILAAPGLHISGFGASCSRVWQRSSYWSTRQSQSKVYPLFIPRKNNCSVGEDNSWTESGSGNFGVQSVDSDNKSVLDSKRSNSFNFSIASSHSMERHQDLQRGISLLKTSVTAITTYYYNSLGMDVPSNLSTFEAFAKLLHMLSSLKVLRTTLQSNVASRSEKQGQQLNRSIWKVSSAISSNSSLMDSVNTAIMPSSLDNLLLNSNTSFLYSGKPTKHGGVPDNILEGWDMVERDVLPPPPSRVEDVAQWERAHTFNRTGSKKK >ORGLA07G0178000.1 pep chromosome:AGI1.1:7:18594208:18596701:1 gene:ORGLA07G0178000 transcript:ORGLA07G0178000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDDISSDDTSTNTKLEESSAKGEPCVSIGCEILEQETKSSHLDVILSHADAVASAEEMIAESLILKKDSNENDLMYEKDQKDSDHISTDCKPVQSGPKDQVSEVNQDLESQIMCVSISPDDILVNKNDNVEGNMNANNFDLGLESKQDIVKPSSSNPSSIGDDLQTPDDDKEIPLIDMSLQDTDMSLEKKEGSPDSIYPEKLNLDRSSGDESMEEDAMETKHMDSKTIPDYLEGKSEVTLEHVSSGDESMEEDVMETKHVDSNTKVEHVSSGDESMEEDVMETKHVDSNTKPDYLEGKNDVTLEHVVKEVILLDTVTEGSSVDQKEAISQEKPVMPTEKRKAEDQEVVANNEPIKRQRLWNVDDVKPEQATSKLSGSDASKVVHPPARRRSFGRSDSTARGNSPKERMVPPPQKPATTSLRIDRFVRPFTLKAVQELLGKTGSVCSFWMDPIKTHCYVTFSSVEEALATRNAVYNLQWPPNNGSSLVAEFVDPHEVKAKLEPPPPPPAPINPTAATAPSHQSKANQIMPPHAADTSRGLLPTPPALARLPTFNNAPAREMLPPAPKNPEPPVVVTLDDLFRKTEASPRIYYMPVSEEVVSAKLAARGKGKKAA >ORGLA07G0177900.1 pep chromosome:AGI1.1:7:18591277:18591780:-1 gene:ORGLA07G0177900 transcript:ORGLA07G0177900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12/ ATP-dependent Clp protease adaptor protein ClpS family protein [Source:Projected from Arabidopsis thaliana (AT4G37660) TAIR;Acc:AT4G37660] MPLFASKFAPLIPRLRRLSTAAAAATATAAGEDPKLSRIADQLLELSPAELDDYSALLRLKLRLSLTSSAASGAAATGAAGDAASGSAGAEEAAAVKTAFDVKIEKYEAAAKIKIIKEVRAVTDLGLKEAKELVEKAPVVVRSGLPKEEAEALAAKLKAAGAAVALE >ORGLA07G0177800.1 pep chromosome:AGI1.1:7:18587597:18589239:1 gene:ORGLA07G0177800 transcript:ORGLA07G0177800.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRGGAEARSGRRRLDLDWSLEIDERKGKRYYSVRYGVQSIEWVIFTVMTTVVLIGTLVIILLPVTQKGMMEEVPLPPSMKRSEDMIRESLHLLFPFVQMKRSEDDTRTPASSI >ORGLA07G0177700.1 pep chromosome:AGI1.1:7:18582022:18583774:-1 gene:ORGLA07G0177700 transcript:ORGLA07G0177700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVVVVVVVAVLALACGAASQSPAPAAAAGPASDCGSSITALAGCLTYITPGSPEARPAKDCCAGVKSALGSPAAVACLCGALGQDFGIKINYTRAAALPAACGGDSSALSKCNKKFPGASPTGAPAPSSSGSGSGSTPATGTPSSPKSAAAQSPVSAMLIVATVAAPLLSYYYL >ORGLA07G0177600.1 pep chromosome:AGI1.1:7:18579506:18580459:1 gene:ORGLA07G0177600 transcript:ORGLA07G0177600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGEEEEEKESGSSRMITLKSNDEKLVEVTEASARQSRAITNLIDDGCADVIPLPNVDSKTLAKVIPYCDEHGRANSGTDEERAALGRFDADFVGELDKDKASLIDVIMAANYLNIQGLLDITCQRVADTIGSATAEEIREAFDIEDDLTEAEKKEIREENAWAFDGIPCLLVGIWFDGGIPIQEIRLQICNISQWSVLDRSGNAFPAREFALGASLVLLAWIVVRMN >ORGLA07G0177500.1 pep chromosome:AGI1.1:7:18575827:18576363:1 gene:ORGLA07G0177500 transcript:ORGLA07G0177500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDAVVPEAVAADAEKAEEKESGSRMITLKSNEGKAFVVTEASARQSTTIGHMIDDDCTREAVPLPNVDSKTLEKVIEYFDEHANNKADTDDEKAALDKFDKDFIGELDGDKTFLFHVTMAANYLHAQGLLDLTTQCIADTIKGKTPEEIRTAFNIAYDLTEEDQEEIKEEDAWAF >ORGLA07G0177400.1 pep chromosome:AGI1.1:7:18568735:18569259:1 gene:ORGLA07G0177400 transcript:ORGLA07G0177400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGAAAAAAADAEEKESGSRMITLTSNEGKAFVVTEASARQSATIRSMVDDGGCVDKGFPLPNVDSKTLARVIQYCDEHGNKEPHTVDERAALAKFDRDFIAELDADKAFLYDVTMAANYLHIQGLLALTTQCVADTIKGKTPEEIRTAFGIEYDLTAQDEKEIKEEDTHA >ORGLA07G0177300.1 pep chromosome:AGI1.1:7:18565365:18565616:1 gene:ORGLA07G0177300 transcript:ORGLA07G0177300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFVSEMHVLVCSNCKILPQIVCCLCSVFMVLDYSVYLLACGSIRLWDSNPRVWMQISKHFSVLKLTGDVFLFMNLCGLRFA >ORGLA07G0177200.1 pep chromosome:AGI1.1:7:18564103:18564888:1 gene:ORGLA07G0177200 transcript:ORGLA07G0177200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAAGILVVPGAAGVGNALLEAFAKVAVEKKGEVATVSELVRKLVSEGVAEEVAVTEALEHPVFDSPEKVVPEVAEEEESGGRMITLKSLDGKTVKVKEASARLSETIGNLIDDGRRRGDETIPRLFVSYKALMKVIEYCDEHANNKADTDERKEELKNWDKAFIDKLDEDNILFVEVLAASNYLKITGLSKLTDQRFVDPFNTSNKTPDAEETRVNLIPANTSATASTSRPSTSTSSPSTSTSASHSATRRGRGRRRH >ORGLA07G0177100.1 pep chromosome:AGI1.1:7:18560162:18560827:1 gene:ORGLA07G0177100 transcript:ORGLA07G0177100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEVEEVEVELEVDQVEDEREAETVVEAVQKAVSDALEKVEMMEEEGEAAVAEAADKLLEEAVEKAVTEALEEAGWDAAKKALSDDLEKVSLEAESARMITLESSDGEAVKVKEASARLSKTIGNIIDDGRGDEAIPLPDVSYKTLKKVVEYCDKHADEKSDTDEQKEELKNWDKAFIDELAEDDDSLVKVIMASNYLKIDGLHNLASQCKTTREQIGKA >ORGLA07G0177000.1 pep chromosome:AGI1.1:7:18557346:18557831:1 gene:ORGLA07G0177000 transcript:ORGLA07G0177000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGREAAAAEELAGGGGGGAVGAARRRGPRAVSHLVVLLHHQRRPRRLLPWPDGDLDEHDDGDGVAVTAVLEHLGGLAQRVADADGLPWPWAAAQTTRRSTIWRAERWPWRVIRRSGRRRRKRRRWRGGRIASC >ORGLA07G0176900.1 pep chromosome:AGI1.1:7:18551213:18552345:1 gene:ORGLA07G0176900 transcript:ORGLA07G0176900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVITLVPPTWTTSPILSTSSHTWQRSLCLPASRSFTPRQEILASPPTSTGDQLLRLALVTDKAMAAPPPTAMEDLAIRSSTGIEAXFSDTAWKPMPVARVFVRIREALPATPVVETPTTCQQIEEALMRLELAAAAARTPGDDTLLPQPMSPAPLAASPPRRLEDLASDAAADKILPAPLPGTLLPQEMTPMPATPPPSALEPGSLPERASSPCAIAGLFTSPPPAIIASPLRSTLPCLRPVVLTRKVKLQPRQHSQATRRSERLAKQPARPTMERCQRVLFRRLGILHDEEDASVERVLSQYMAMFDGPLPPHAIAALTVIFGLDDDDECAMDAALLPLVGEGITDVADEVEETLA >ORGLA07G0176800.1 pep chromosome:AGI1.1:7:18548341:18548748:1 gene:ORGLA07G0176800 transcript:ORGLA07G0176800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNGGEAAVVGEGEGATASEVVEKMVLQAVVEKALWEAFEKVVSKAVEKKSEGVMASEVVETMVSQAVVEKALWEAFEKVVSEAVEKKGGGAVVSEGQWRRWSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA07G0176700.1 pep chromosome:AGI1.1:7:18545916:18546503:1 gene:ORGLA07G0176700 transcript:ORGLA07G0176700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKGKEGECDMAAAAAEAEKKGEGSTVSRGAAGERVVEDSGGGRRTIHLKSKDGKQHDVTEASARLSKTIAGMILAGGGADQCIPTPDIDHETLRVVMQYCDKHAADDADEEDLKEWDEDFVDELDQDALFDVIAAANYLDIDGLLDLTCKRVADTIKGKTPEEIRKEFNIVNDLSKEEEEEIRRENPWAFEQ >ORGLA07G0176600.1 pep chromosome:AGI1.1:7:18541027:18542887:-1 gene:ORGLA07G0176600 transcript:ORGLA07G0176600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:I1QCC4] MGSVVDAPVVVEGVAENMLGDKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQDAMIKNENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNQGRVDDNIETIRKRFKVFVESSLPVIEHYNAKDKVKKIDAAKPISEVFEDVKAIFAPYAKVE >ORGLA07G0176500.1 pep chromosome:AGI1.1:7:18529061:18532652:1 gene:ORGLA07G0176500 transcript:ORGLA07G0176500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:I1QCC3] MTNHAGFAADDAVTAAVPVQAAQGGRHFPPFLAPSSRLTDCKKAAAHVDLAGAGGVATVPGSWPRHAKPVSGAELDDWMEKHPSALAWFESVAAAAKGKEIVVFLDYDGTLSPIVADPDRAFMSDEMREAVRGVAKHFPTAIVSGRCIDKVFDFVKLEELYYAGSHGMDIRGPTAAASEYNHNMKAKQGDAVTFQPAADFLPVIEEVYQVLKERMASIRGSLVENNKFCLSVHYRCVDEAEWGVLDGKVRAVIEGYPDLRLSKGRKVMXXXXXXXXXXXXXXXXXXXXXXYEGRNDVFPIYIGDDRTDEDAFKVLRNMGQGIGILVTKVPKETAASYTLREPSEVKEFLRKLVKIKINGDKGLIGK >ORGLA07G0176400.1 pep chromosome:AGI1.1:7:18509251:18510009:-1 gene:ORGLA07G0176400 transcript:ORGLA07G0176400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATATTTAGDSHSLPSSPATSPTAFLDDHPSFLRSPSSSPTFLLDLPGVVPAAAAGAQEQYHLAPAVALHQAADQRKPTRKRPRAASRRPPTTVLTTDASNFRAMVQEFTGFPATPPFPCGGAMPPSSHLLSGEGVLFPSGSGSGSAAAPAFQAMMRASSSPATNTTTSLVLDALAMLAKSRAIATAAAAAAAAPPSSGSDLYGGYGNMLAGAVPFDDGFDAADGESAGAAAGHGLFSSASQFAGESRY >ORGLA07G0176300.1 pep chromosome:AGI1.1:7:18506509:18507204:-1 gene:ORGLA07G0176300 transcript:ORGLA07G0176300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGSYCADATAATVCHLHCEISSVEVTGLSCPGGGALIFLRCHVPAGGGRTIQIDSRGADVDGGGGARSIDASWRDVASLRCDGSPACVRELVDRRSVVFEVRRRRRRRRIRDMVLGPAMASELVGRAEVAWRDVVAAAAADDDDAAVVVERRVELAAGASAPAVMTMSVRMSVRVSETTAVPAAGLSVRPASSAAARRESINAGCEWSAGGEDDVFATAAACVAGDAFE >ORGLA07G0176200.1 pep chromosome:AGI1.1:7:18502780:18505332:-1 gene:ORGLA07G0176200 transcript:ORGLA07G0176200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAGGGYLLGGQPARCSGGHSIGSNGEGFGGHPATSPPSSSWVSLPREHSEEMRTPNAFFGLGTNSGEDIEMGDGDSDSEQVGPVDEHVNPVIQALTRKFRSETWKEFVPILIDNEVGAGKCKHYDTEIRAKRGAGTSSLRKHLTRCKKRISALKIVGNLDSTLMSPNSVRLKNWSFDPEVSRKELMRMIVLHELPFQFVEYDGFRSFAASLNPYFKIISRTTIRNDCIAAFKEQKLAMKDMFKGANCRFSLTADMWTSNQTMGYMCVTCHFIDTDWRVQKRIIKFFGVKTPHTGVRMFNAMLSCIQDWNIADKIFSVTLDNASANDSMAKLLKCNLKAKKTIPAGGKLLHNRCVAHVINLIAKDGLKVIDSIVCNIRESVKYMDNSPSRKEKFEEIIAQEGITCELHPTVDVCTRWNSTYLMLNAAFPFMRAYASLVVQEKNYKYAPSPDQWERATIVSGILKVLYDATMVVSGSLYPTSNLYFHEMWKIKLVLDKERSNNDTEVASMVKKMKDKFDKYWLKSYKYLCIPVIFDPRFKFKFVEFRLGQAFGENAKERIDKVKKRMNMLFKEYSDKLKDINANPLCQAEHVMAISENDPMADWVQHISEQLSEQVDTELDIYLKENPIQEFGNKFDILNWWKTNRSKYPTLACIAQDVLAWPASTVASESAFSTRSRVISDFRCSLTMDSVEALICL >ORGLA07G0176100.1 pep chromosome:AGI1.1:7:18476897:18479764:-1 gene:ORGLA07G0176100 transcript:ORGLA07G0176100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPVRKSHTSTADLLTWPEGAAQELAAGATPPPNRRPHQPSEAISKVVFGGQVTEEEFESLNKRNPLDLRKPCSAPKWKEMTGSGIFAAEGEVEEDESANASATPVRTVSKNYQAISTISHISFAEEESVSPKKPTSIAEVAKQRELSGTLQSEDESKMKRQISNAKSKELSGHDIFAPPEDPRPRNSVNGSTSQTPGKNAQVSTITFGEADTDSVVKTAKKIPEKKLTDLTGNDIFKGDAAPGTAEKHLSTAKLKEMTGSDIFADGKAPSRDYLGGIRKPPGGESSIALV >ORGLA07G0176000.1 pep chromosome:AGI1.1:7:18470854:18473414:-1 gene:ORGLA07G0176000 transcript:ORGLA07G0176000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGGGGDGMMDDGPKYAQIPTSFGHELRACLRCRLVKTYDQFMEQGCENCPFLDMERDHDNVVNCTTPNFTGIISVMDPGRSWAARWLRIGEEVHPWVLYAGCRGGASGGVPKRLPRQQCAILPSEACLNKPYIVPSREQRA >ORGLA07G0175900.1 pep chromosome:AGI1.1:7:18466311:18468952:1 gene:ORGLA07G0175900 transcript:ORGLA07G0175900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ortholog of human splicing factor SC35 [Source:Projected from Arabidopsis thaliana (AT5G64200) TAIR;Acc:AT5G64200] MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLSPLFEKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERIHKGKIMETVPRSRGRSRSRSPRRGYRDDYRERDYRKQSRSRDRYGRDRYRERDYRRRSRSRSYTPDDYRRRGRDSVSPARRSLSRSRSRSYSPDDYRKRGKHSQSPVCKSPSAKRSPSMSPARRSPSASPARRSPSASPVRRSPSRSPRRTPSSQEGSPVKRYDEPRRSRSPST >ORGLA07G0175800.1 pep chromosome:AGI1.1:7:18463266:18464526:1 gene:ORGLA07G0175800 transcript:ORGLA07G0175800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLITITRSPILPCPFSRRPASPARCFAGARRSRRAAASASFFSPAGGRGGGDAGAGSSLSSAAAAAALGEAAAAGGGGSDSEAILLSVQGMMCDGCAASVKRILESQPEVTSATVDFKEAKAVVLTTAEVKAAEDWQKQCGEKLANHLGTCGFESRLQG >ORGLA07G0175700.1 pep chromosome:AGI1.1:7:18448951:18456114:-1 gene:ORGLA07G0175700 transcript:ORGLA07G0175700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRKYGLPNQPPDISQILLEAQNRWLRPTEICHILSNYKKFSIAPEPPNRPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEVKGKNNFSRVASKVSAVLKKLKRVQDYLMLIVLHAQTLSLVRASVSKEKFGATDNCRASSRYHPFVEMQQPVDGVMMNNMLGVSAPSAGYHGEMQTTTANSDNHFATHYDIAGVFNEAGAGLRGVSKTLHDSVRFAEPYPECSAEFMEPALYSSNATMESNNLDDNSQLETFMSEALYTNNLTQKEADALSAAGIMSSQAENNSYTDGIRYPLLKQSSLDLFKIEPDGLKKFDSFSRWMSSELPEVADLDIKSSSDAFWSSTETVNVADGTSIPINEQLDAFAVSPSLSQDQLFSIIDVSPSYACTGSRNKVLITGTFLANKEHVENCKWSCMFGDVEVPAEVLAHGSLRCYTPVHLSGRVPFYVTCSNRVACSEVREFEFRDSDARQMDTSDPQTTGINEMHLHIRLEKLLSLGPDDYEKYVMSDGKEKSEIINTISSLMLDDKCLNQAVPLDEKEVSTARDQNIEKLVKEKLYCWLVHKVHDEDKGPNVLGKEGQGVIHLVAALGYDWAVRPIITAGVKVNFRDARGWTALHWAASCGRERTVGALIANGAESGLLTDPTPQFPAGRTAADLASENGHKGIAGFLAESALTSHLSALTLKESKDGNVKEICGLGGAEDFAESSSAQLAYRDSQAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSIKNAKPGQNDGSHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSYRRIVWSVGIVEKIILRWRRKRRGLRGFQPVKQLEGPSPIQQLEGPSQIQPAKEEEEDEYDYLKDGRKQAEGRLQRALARVKSMTQYPEAREQYSRIANRVTELQEPQAMMIQDDMQSDGAIADGGDFMAELEELCGDGDAPMPTIL >ORGLA07G0175600.1 pep chromosome:AGI1.1:7:18447420:18447821:-1 gene:ORGLA07G0175600 transcript:ORGLA07G0175600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLASNARGAVTGYPLKLQVVKWSTKEAEPNPEFLRGMLPKIDWPALVAATQALGLPELLPEAPPTDAELSAEGAAADEGSALRRLHRALLEIHIEEGALVCPDTDRCFPISRGVPNMLLHEDEVRN >ORGLA07G0175500.1 pep chromosome:AGI1.1:7:18434650:18437862:-1 gene:ORGLA07G0175500 transcript:ORGLA07G0175500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptidases [Source:Projected from Arabidopsis thaliana (AT1G34640) TAIR;Acc:AT1G34640] MGRDEMLRRSLVALAAAVVVTGVVTASVRKAAATYGFGILAIAGVLLPDWEFFDRDYSQWLTPMPASRRTAAEAAADREHDVWKFKPYPLRMAMLTTIYGFGLYKWWMYVSS >ORGLA07G0175400.1 pep chromosome:AGI1.1:7:18426183:18432964:-1 gene:ORGLA07G0175400 transcript:ORGLA07G0175400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPAAAIGRRSSILSFAAARDRCFSRRFLRAGLRPLAIPLPTGVDDDAGTTVHVWVPANPPRNPLLLLHGFGASATWQWAPYLRPLIAAGYDPIVPDLLFFGASYTRLADRSEAFQARSIKAAMDAIGVARFGLVGVSYGGFVGYRMAAMYPDAVERVVLVCAGVCLEEKDLAGGLFPVAGVGEAADLLVPRRPEEVRRLVRLTFVRPPCIMPSCFLWDYIKVMGSDYIQEKTELLYALISERQLSDLPIISQPALIVWGERDKVFPMELAHRLKRHLGESSRLVVIRNAGHAVNLEKPKDVCRNIIEFFQEGVTEPLNDEKV >ORGLA07G0175300.1 pep chromosome:AGI1.1:7:18419520:18423345:1 gene:ORGLA07G0175300 transcript:ORGLA07G0175300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QCB1] MASPAASKPHVVLIPYPAQGHVTFVHTEFNRARLLRSRGAAAVAGADGLPPPGQPAELDATQDIWAICEATRRTGPGHVRALVERLGREAAAGGVPPVSFVVADGAMGFAVHVTKEMGIPTYLFFTHSACGLLAYLNFDQLVKRGYVPLKDESCLTNGYLDTRLDWVAGMIAGVRLRDLPTFIRTTDPDDVMLNITMKQCELDAPAADGILLNTFDGLERAALDAIRARLPNTIAREDGRCAAWLDAHADAAVVYANFGSITVMGRAQVGEFARGLAAAGAPFLWVIRPDMVRDAGDGDGEPLLPEGFEEEVVASGSGRGLMVGWCDQEAVLGHRATGAFLSHCGWNSTVESLAAGVPMLCWPFFSEQVTNCRYACEEWGVGVEMARDAGRREVEAAVREVMGGGEKAAAMRRKAAAAVAPGGSSRRNLESLFAEIAGGVQPIGLCQFIRGNCDIVGVKNGNERTNLSWRLTKSLQSPRCRRVRCLPPKAQSRXILENFIQALRCRWTTNPFAIKSLT >ORGLA07G0175200.1 pep chromosome:AGI1.1:7:18401403:18404641:-1 gene:ORGLA07G0175200 transcript:ORGLA07G0175200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:I1QCB0] MALATNSAAVSGGAAAAASSAPQPRLAATFLPMRRRTVSAVHAADPAKSNGPVQAAAKASSPSTVAAPEKKPVGLGKWTVDSWKAKKALQLPEYPSQEELDSVLKTIETFPPVVFAGEARHLEERLADAAMGRAFVLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVVKVVGRMAGQFAKPRSDSFEERDGVKLPSYRGDNINGDTFDEKSRVPDPQRMIRAYAQSVATLNLLRAFATGGYAAMQRVTQWNLDFMDHSEQGDSRYRELAHRVDEALGFMTAAGLTVDHPIMTTTDFWTSHECLLLPYEQSLTREDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPSDLVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNSGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELAFIIAERLRRRRMRSGVNSNLPLPPLAF >ORGLA07G0175100.1 pep chromosome:AGI1.1:7:18397653:18399847:1 gene:ORGLA07G0175100 transcript:ORGLA07G0175100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S6 [Source:UniProtKB/TrEMBL;Acc:I1QCA9] MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTAGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSSAAKAAATTA >ORGLA07G0175000.1 pep chromosome:AGI1.1:7:18392739:18396518:1 gene:ORGLA07G0175000 transcript:ORGLA07G0175000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVIKDIGSGNFGVAKLVRDVRTKELFAVKFIERGQKIDENVQREIMNHRSLRHPNIVRFKEVVLTPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLARKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPRNFRKTITRILSVQYMVPDYVRVSMECRHLLSRIFVANPEQRITIPEIKNHPWFLKNLPIEMTDEYQMSVQMNDINTPSQGLEEIMAIIQEARKPGDGSKFSGQIPGLGSMELDDIDTDDIDVEDSGDFVCAL >ORGLA07G0174900.1 pep chromosome:AGI1.1:7:18363222:18379799:-1 gene:ORGLA07G0174900 transcript:ORGLA07G0174900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMNTAAAKSIRCRAAVSRVAGQPLEMEEVEVAPPRAHEVRIKILCTSICHTDITFWRMEGNHPSIFGHEAVGVVESVGEHVQEVAVGDMVVPVFAAQCSECPDCLSDRSNLCSKLSNVAGLMPRDRTTRFSSVSTGEPIRHFLSVSSFAEYTVVDITHIVKLDVEFPPAMACLLSCGISTGVGAAWKVAAVEPGSSVAVFGLGAVGLAVAQGARMRGAKRIIGVDLNPDKFAVGKRLGMTDFINPNDTGGKTVSEVIKEMTGGGGADYCFECIGSTSVMAEAFQSTRNGWGKTILLGVSGNKAPIGIPSHEILRGRSVIGSLFGGIKPKNDIPMLAQKYLDKELELEEFITHEMGFEEINRAFELLTQGKSIRCIIWMNGAKVIDNGE >ORGLA07G0174800.1 pep chromosome:AGI1.1:7:18358353:18359339:-1 gene:ORGLA07G0174800 transcript:ORGLA07G0174800.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHLSPTIFCVLLFLLNTHSHPTRSLWKIHPPKNLLITVWLKLFLRKMLKHQQLRTPLLLLRTKVKLPRXQHPLRSQSNAATEESNEAEEETIDEKPEIKIETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGEGAPECEKFAKYYRSLCPSEWVFLWRMNKASLFSETLL >ORGLA07G0174700.1 pep chromosome:AGI1.1:7:18349646:18354045:-1 gene:ORGLA07G0174700 transcript:ORGLA07G0174700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIIHKNEEEGAILAFLTSQLEVEWACENFSDADAVVLPMHGKLSHVEQSLVFKSYPGKRKIIFCTNIAETSLTIKEVKYVVDSGLAKESRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGAGRCYRLYSESDFSMMEVHQEPEIRKVHLGTAVLRILALGIRDAQNFEFVDAPNPEAINMAVKNLEQLGAVKYKCDGFELTDTGRYLVKLGIEPRLGKIMLDCFGFGLRKEGVVLAAVMANSSSIFCRVGTDEEKYKADRLKVPFCHQDGDLFTLLAVYKEWEDEHENRNTWCWQNSINAKTMRRCQETISDLENCLRHELNIIVPSYWCWNPEESTVHDKLLKRIILTSLSGNIAMFSGHERFGYQVISTDQAVKLHPSCSLLIYDSKPEWVVFTEILSVPNQYLVCVTAIDPDALCSIHPMPLIQQLEKLKLQVKVISGLGYNLLRKFCGKSGQNQQKIISLLQEEFRDDRVTVEVDFRNKEVVLFAKEQDIEKVFGIVNDALECEARMLRNECLEKSLFSGKPGDCSLALFGSGAEIKHLELEKRYLTVEVLHQNAHELNDKELICLVDTLISGVANFYKLYGNLQVASDETKWGKFTFLNPEYAEDAVSKLNGMEFHGSPLKVVPVCSSSNRGLPFPAVRAKVSWPLKQSRGLALVTCASGEAEFVVKDCFALGVGGRYINCEVSTRHENCIFVRGIPMHVTEPELYDAFRSMTTRKIVDVHLLRGTPIAAPSASLCAEALNREISSFMPKKNFPAQNFRVEVLTPEENDSVMRATITFDGSLHREAARALEHLQGSVLPCCLPWQTIQCQHVFHSTVSCQVRVYNVISQAVASLLESFRSQKGVSYNLEKNEYGIFRVKLTANATKTIADLRRPLEILMKGKTINHPDLTLSTVQLLMSRDGVADLKSVEQETGTYILYDRQSLNIKVFGLQDQVAAAEEKLIHALLQLRDKKPLDIRLRGRNLPPNLMKEMLKKFGADLEGLKREVPAVELRLNLRQHTLYVRGSKEDKQRVEEMISELVNSTKYNGLLQLPLENACPICLCEVEDPFKLESCGHVFCLTCLVDQCESAMKSHDGFPLCCLKNGCKKQLLVVDLRSLLSSEKLEELFRASLRAFVASNAGKYRFCPTPDCPSIYQVAAADAESKPFVCGACFVEICNKCHLEYHPFISCEAYKEYKEDPDATLLEWRKGKENVKVCPSCHFTIEKADGCNHVECKCGSHICWACLENFRSSDDCYSHLRSVHLSY >ORGLA07G0174600.1 pep chromosome:AGI1.1:7:18347317:18348229:-1 gene:ORGLA07G0174600 transcript:ORGLA07G0174600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRSSDRRGVPEDTPPAATMRAPEDTQPHRHLRPAGFLSPVSTEPGPRGFNGLWKLMDVQMKDLVIDDSMNLDESMKRMDL >ORGLA07G0174500.1 pep chromosome:AGI1.1:7:18344377:18346053:1 gene:ORGLA07G0174500 transcript:ORGLA07G0174500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WIPRRTRSQPRPGPPRRRRRRSTRRTRGSSPEDVAPPPPPVVSPPVSANPYVVSAPSAQPPAKSQYHPLLLLSAAAAAPILETIHAAALTVSGCVCLLGSIAAGCVCLLGSIAAGARENLREKLDVVGRRFGDAARKTEGIVGDIWQHLKTGPSIADTAMGRIAQISKVIAEGGYDKVFHQTFECLPDEKLKKAYACYLSTSHGPIMGVLYISTAKIAFCSDSPVAYVTEDNKNQSSIYKVVVPVAQLRSVTPTASQQNPAERYIQVVSVDNHDFWFMGFVNYDGAVKSLQEAVHGGXASLHHHMYFL >ORGLA07G0174400.1 pep chromosome:AGI1.1:7:18335418:18337292:1 gene:ORGLA07G0174400 transcript:ORGLA07G0174400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G28010) TAIR;Acc:AT4G28010] MARRARLRLRLVRALATASASGSPAAPRPARQAAPYLAVLHRRGRAEAAACLNRHLRLLPLGEATSLLDALPSVRDAVSYNTVLTALCRRGHHDRAGALLRAMSLEPHPACRPNAVSYTVLMRALCADRRADQAVGLLRSMRSAGVRADVVTYGTLIRGLCDAAEVDKAVELMGEMCESGIEPNVVVYSSLLQGYCKSGRWEDVGKVFVEMSEKGIEPDVVMYTGLIDSLCKVGKAKKAHGVMDMMVRRGLEPNVVTYNVLINCMCKEGSVKEAIGVLKKMSEKGVAPDVVTYNTLIKGLSDVLEMDEAMWLLEEMVRGENIVKPNVVTFNSVIQGLCDIGRMRQAFQVRAMMEETGCIVNLVTYNLLIGGLLRVHKVRKAMELMDEMTSLGLEPDSFTYSILIKGFCKMWQVDRAEDLLSTMRDRGIEPELFHYIPFLVAMCEQGMMERARNLFNEMDNNFPLDVVAYSTMIHGACKAGDLKTAKELLKSMVDEGLTPDAVTYSIVINMFAKSGDMEAANGVLKQMTASGFLPDVTVFDSLIQGYSTKGEINKVLELIREMITKNIALDSKIISTLSTSLVASNEGKALLQSLPDFSAEISKGNINSPQELMKVLHNVCPQTN >ORGLA07G0174300.1 pep chromosome:AGI1.1:7:18327182:18328945:-1 gene:ORGLA07G0174300 transcript:ORGLA07G0174300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLCFGASNILLCAEDSSSVLGLGGFGGGGGEVAAELGCGGGGGFDFFGFGGGAVFPIDSDEFVALLVEKEMDHQPQRGYLEKLELGGLECSWRKDAIDWICKVHSYYNFGPLSLYLAVNYLDRFLSSFNLPHDESWMQQLLSVSCLSLATKMEETVVPLPMDLQVFDAEYVFEARHIKRMELIVMKTLKWRLQAVTPFSFIGYFLDKFNEGKPPSYTLASWCSDLTVGTLKDSRFLSFRPSEIAAAVVLAVLAENQFLVFNSALGESEIPVNKEMVMRCYELMVEKALVKKIRNSNASSSVPHSPITVLDAACFSFRSDDTTLGSSQSNSNNKDYNSQDSAPASKRRRLNTTPI >ORGLA07G0174200.1 pep chromosome:AGI1.1:7:18318203:18318485:-1 gene:ORGLA07G0174200 transcript:ORGLA07G0174200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYNQISLKTPGTPELLSLLITLRSERMGIIVHGTGKAYIYCSMNILKTIQIAVLAVIGHWDSLWHLG >ORGLA07G0174100.1 pep chromosome:AGI1.1:7:18315681:18316934:1 gene:ORGLA07G0174100 transcript:ORGLA07G0174100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: methyltransferases (TAIR:AT5G01710.1); Has 93 Blast hits to 93 proteins in 15 species: Archae - 0; Bacteria - 0; Metazoa - 1; Fungi - 0; Plants - 92; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G58120) TAIR;Acc:AT1G58120] MATMKKLAARDGRAASNGRLLRLVARAALLAVVVLSLVSLRLALSPATAVGDDGELYLPVLLAEVSDRGYLRHGSRSVFVGDAGSWAPFLERHHVAVFRAGKLRELADESVDAVLFDGDAVQLGAVNRVLKLGGVAAGFATSGSSTLQLPDNYRAVFAHRSEAAIAFAVEKTTPPTTSAAVTASPVGPHRKLLALPGSKKDDALAGLEAVLLEPPQRQHRRIIRRLRPRYLPELTGDSLEGYRRRTFIDVAPSRGGGGGGGAASWFKKHYPRGKRVFDMVRLDAADATEPAASSSAAGIAEWLEGNVREEDYVVVKAGVEAVEEILRRRAAVRRVDELFLDCDAGAGADAARRPYWECLALYGRLRDHGVAVHQWWELMNARPRRERKKRERERERERVEMMELMRGKRSLWVQNKL >ORGLA07G0174000.1 pep chromosome:AGI1.1:7:18314463:18314576:1 gene:ORGLA07G0174000 transcript:ORGLA07G0174000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDQDLGFFANFLGIFIFVLVIAYHFVMADPKYEGN >ORGLA07G0173900.1 pep chromosome:AGI1.1:7:18309286:18311297:-1 gene:ORGLA07G0173900 transcript:ORGLA07G0173900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWQHVFSKAEFHVLILGVHKAGKTTLLEKVKSIYLKEEGLPHDRIVPTVGLNIGRIEDANVKLVFWDLGGQPGLRTIWEKYYEEAHAVIYVIDSAAASSFEDAKSALEKVLHHEDLQGAPLLIFANKQELPAAVTEEELARHLHLKELDERPYMFQAGSAHDGTGIKAGIDWLVEEMERSKRTEVLMARTETAEKI >ORGLA07G0173800.1 pep chromosome:AGI1.1:7:18303483:18308465:1 gene:ORGLA07G0173800 transcript:ORGLA07G0173800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT4G24550) TAIR;Acc:AT4G24550] MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVATEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRTGSSSYDYRSSSGGGAVILDDCNFHESVHLDSFDIDRTLHLIPPDGEFAVMNYRITQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANVTANTIVVQMPVPSYTMRASFELEAGAVGQTTDFKEGSRRIEWNLKKIVGGSEHTLRAKLTFSQESHGNLTKEAGPVNMNFTIPMYNTSKLQVRYLQIAKKSKTYNPYRWVRYVTQANSYVARL >ORGLA07G0173700.1 pep chromosome:AGI1.1:7:18299232:18300422:1 gene:ORGLA07G0173700 transcript:ORGLA07G0173700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGGGRGDPARWLEIAGKLLAARDLVGCKRLAERAVDAEPLLPGADELLAVADVLLASQRLLPSGRPDPIAVLHLQPNPDPAEVKRSYRRLSNLLSSNPRPGADAALRCVQEAFAHLSDSSANPAPAPAPPPAPASGGDASAAAADAFWTACPYCCHVYQYQRALMGRALRCPGAGCRRAFVATEIPAAPPIVPGTDMYYCAWGFYPMGFPKAADLSTNWKPFCPMYPWNSSSPQQAPADAGNVSKQNVESNGGNVNINVNTPPSNAQPANKSGASSGVGVGPSRGRIKKTTARKKVGGGFKKNASGGVESGIEPSLLGPDSWNGVAESGSMVGARGININEVAKGTDGSSMMHFGGDEEIGFDLDVDATDAILGNLQHLPFLRDDDNARRLF >ORGLA07G0173600.1 pep chromosome:AGI1.1:7:18289644:18290533:1 gene:ORGLA07G0173600 transcript:ORGLA07G0173600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQEKKRRPKNCRSMGVWLCLPAMEAGQQNCQRKCSPSLAPNIDRTGPRMTMLSLKPYKEICTMPIHRGNGWKEATFVQISSSYRD >ORGLA07G0173500.1 pep chromosome:AGI1.1:7:18279069:18283216:-1 gene:ORGLA07G0173500 transcript:ORGLA07G0173500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVGRGHFGYTCAAKIKKGARKGDAVAVKVIPKAKMTTSIAIEDVRREVKILKALAGHKNLVQFYDAYEDNDNVYIVMELCEGGELLDRILSRGGKYSEDDAKAVLVQILNVVSFCHIQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNEAPWPSLTPEAMDFVKRLLCKDPRRRMTAAQALSHPWIRNYNDIKLPLDILIFRLIKAYIRSSSLRKAALRALSKTLTVDELFYLKGQFSLLEPDRNGCITLDNIRMALTREATDAMKESRVQEILVSLSALQYRRMDFQEFCAAAVSVHQLEALDRWEQHARSAYDFFEKDGNRAIVIDELASELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRSLSKMR >ORGLA07G0173400.1 pep chromosome:AGI1.1:7:18273663:18277699:-1 gene:ORGLA07G0173400 transcript:ORGLA07G0173400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTRRRAAAAVTAVLVEEERAAAIDISSDSDAGSESGSEEDDEESTSDEDYYIDISDSDGEEGGGAGSEEESESESEAEREREREPEQSGVDRGEASCRKIADLLRAGRNLDGIKLVDCKAYLKKNGLSQTGDLATCIERIVLHWRFKDRDPEKIYPRSSFCINCKGDVCRGDTVLFKQKVYEKSGKRHSKCIGKRIVAGSVIKESYGKEKQQHTFTIQVFWSKGVGKLPPLYLLLVKGRNLYRMMTFRQPWLNEADRLKALDEKHSRGDAARRVRALSRPDAAGNSKKTTQKGKHQSQAGRPDSGSSIKKGKKRVMQSSNPDLPTKRSRNEESQASSAKQFAGGQNTKTSRARLDRSDRSTNRARMRERKADSQQNLAGGSHAQFGERNAGSGYDMQASHGYLVGVQQSPFEIVRPQRPPPFREVGNASQPHADGRSTACPHPRMGFQHPNAALAGSHPPAYYLGNTPNQFPSFASLNVRQTVHHHPLDQLGASFAPFNVPQTVYRPRPEGGYVMPQLRYSGGSNGFPR >ORGLA07G0173300.1 pep chromosome:AGI1.1:7:18268863:18271896:1 gene:ORGLA07G0173300 transcript:ORGLA07G0173300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYGLRPRTLKGELFALLSKKGSGGLKVSELAKSPEIVDLSISSTEIEQLIYSTLSSDITLFEKIAPSAYRLRVDPRIKGKEDSGSDTEDSGSVDDHSDASSGADESDGSHEMSFSEHEHRILRRKRKNGHENVNRCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALMDVVSGADSAPRLEEPSRVVPSIPRAQPHVSGGKIKKSTRNICQSSDECFNASGSMYGLDSSMHEQSRSLRSRDYVAYSGRNDTSTGVAHQPQVVLLGSDRRYNNYWLFLGPCSADDPGHRRVYFESSEDGHWEVIDSPQELLSLLASLDSRGTREAYLLASMKKRETCLFEAMKKHYENRDAVQPAMPSDTSHSETSSGDGASPKLSSGDGASPTSDIDNASVPTNPAENMINASSAIAIEVGRRGDEKILKWERSQTFDKWIWTSFYSCLTAVKCGKKSFKESLVRCESCHDLYWRDEKHCRICHSTFEVSFDLEERYAIHVATCRDPEDAYDVPNHKVLPSQLQALKAAIHAIEAHMPEAAFAGLWMKSSHKLWVKRLRRTSSLAELLQVLVDFVGAMDEDWLYKSSSSVSFCSYLDDIVIYFQTMPQTTSAVALWVVKLDALITPYLERADSDRALGEESVQTNF >ORGLA07G0173200.1 pep chromosome:AGI1.1:7:18264507:18267789:1 gene:ORGLA07G0173200 transcript:ORGLA07G0173200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAAAAGEKHTLRSQVLFPKDYILRKVFRKDGPPLGSEFDPLPHSAPGHLRDTIDDHFYQNQRVIKKRKIVEPTTQRSSLPCGDNGPVRKHGAGKGLMTVWHAMYSHSSKIQDGSNFIDETGCLRSLRPLDDCGRIEDCDDGKLIQKKVLARKKVVKRTRPPSNKRKVPSSRVTDPKKHPPMECHLSVDESQSPVLQANQVTLVDDEELELRELQAGPNPLRCSAHLSSSGRHGCPLCKDLLSRFPPSSVKMKQPFSTRPWGSSPEMVKKLFQVVRFIYNRFGYMDVHPFTLDELAQAFHDKDSMLLGEVHVNLLKLLLLNTERGSNDVFVPRSSKDCRFLSFVNFVSLDSTTLCFS >ORGLA07G0173100.1 pep chromosome:AGI1.1:7:18253129:18254115:1 gene:ORGLA07G0173100 transcript:ORGLA07G0173100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVPVMAPSAPCSPRTAAEHHHLPSYCYFFSSAPTSPTRASYSGEAAAAVGVGEGDGAFDFAFGFSGQLRESTPILAAADELFEGGRIRPLNTPHPSILQLVDDSAYASPRSPGRRRRIAAAEAAEVSSSSSSQRGRSGRAAPASSSSSASSRSRRATRSLSPFRGGGGGGADDEYPSSPPSPRTSMMRGCGSGSRKWRLKDLFLFRSASEGRATGAGSKDPLLKYTMLSSSASSAAAALHHNPQKLRGGGDGSASMRKGRGSTASASDMPYTVGRAAAEDMRRRTTTPLPFHRNSLFGYLRSNPAIHSISRKLSGSGSNRGKPAA >ORGLA07G0173000.1 pep chromosome:AGI1.1:7:18246437:18246901:1 gene:ORGLA07G0173000 transcript:ORGLA07G0173000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTEHKKKQQQHGGDEAAAAAKERLDRFKAWLMHFDGDGDGHISRRELRDAIRSGGARFATVRAWVNLYLADKNRNGVIDDGEIKHLMDLTEKDLDLSKLQPTPAAARPTATGAPPAMVVVSACKFQTTPLVSRTIDLRKLTAKPVLSSTANN >ORGLA07G0172900.1 pep chromosome:AGI1.1:7:18242679:18242879:1 gene:ORGLA07G0172900 transcript:ORGLA07G0172900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDADADGRISRRELRDAMRRRGDRFKLGVVQPPPSRQERRRLASSTTTRSSTSWPRPVRSTRTS >ORGLA07G0172800.1 pep chromosome:AGI1.1:7:18239866:18240141:1 gene:ORGLA07G0172800 transcript:ORGLA07G0172800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGIPSSREMTVDDFKNWLKQFDTDNDGRISRGELREAIRRRGGWFSGLKAGRAVRHADRDNSGFVDESEIENLVAFAQKTLGMKVTAW >ORGLA07G0172700.1 pep chromosome:AGI1.1:7:18232724:18235301:1 gene:ORGLA07G0172700 transcript:ORGLA07G0172700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTCSSEESNSKENSVNSSSSPIESSGPFVYYPTPPATKDDAGNSSNHEKPNAHSEKSSQPVILKYSNGLTDHVGCMDSPSSIVERSLLDSTKPHMESNSATDAIPEILSNSGLSEAFNHLVKDEADGSSEDALEVNHLSVNVSAGAETMLTDEMNSKEDRIDQKNVAVKPKMVEEQGAAPESPYKGLIDTAAPFESVREAVTKFGGIVDWKAHKAQMMERRKFIQLELEKVQKEIPLYKEELEAAEMVKSQVVNELEDTRRIIEELKHNLEKAQVEEVQAKQDSELALLRAQEIEQGVADEASVIAKTQIEVAKERHEKAIAELNSVKEELKTVHEQYVTLINERDTAIKRSEEVISVGKDIEKRVEELTLELIASKGSLELAHAAHHEAEERRIGAALEKEEDCVAWDRELQQAQEELQQLNNKLLSKSDVKQNLDTNLRRLRSLKSELATYVQNVISEEAEGLAKEHGPDDAQQISGPVKEALASAQKELEEVRANIEKAKNEAKLFKLAATTLRSEMDNEKSSLVELQQREGMASIAICALEAELNRTKQEIEYVKSKEEDAQERMVELPRILQEAAQEAEDAKMVAFSVQEQVRKAREETEKTKTAAATVNTRLSAVLKEIDASKASKKLALAAVQALQESEEAGDDENSPRGVTLPLSEYYALSKKVHEAEQLAHESVTEALAQVESAKASESNSLERLCEASKRMNEKKEALERALERAERANQGKLTAEQELRKWRADHEQRRKAQEAAKRAVNPLSSSPKRIVEQKDSFYKEFSGNSYEDLVPNRKLRRKKSFFPLMGSLLSRKTRA >ORGLA07G0172600.1 pep chromosome:AGI1.1:7:18213259:18215160:1 gene:ORGLA07G0172600 transcript:ORGLA07G0172600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVKGQTRRERPRGARPHGLTKQKRQEIKEAFDLFDTDNSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGSIDYEEFEHMMTAKIGERDSKEELTKAFSIIDQDKNGKISDVDIQRIAKELGENFTYQEIQEMVQEADRNGDGEIDFDEFIRMMRRTGYGY >ORGLA07G0172500.1 pep chromosome:AGI1.1:7:18208873:18211497:1 gene:ORGLA07G0172500 transcript:ORGLA07G0172500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEGLAVADLRELVHLPDVLVVCTSVGWTNEKHMLYLSLLEESFVSQLHDSKYSFKELFNHSPGACIHELSSKGHVKNVEAEQEHMDVDEVDRAESWIKIEHVRSPSENQDDVKVCFSDDNASSTRLIQECYARATSSGQSSTCHLGKNRHSPSRSAEGSDQNFIDEETKGSGEPNGRCSKKRLKSTANTMDDQVVPFVKAELQEAREKDADIHDNSMEADAKGHEPQG >ORGLA07G0172400.1 pep chromosome:AGI1.1:7:18203785:18206514:1 gene:ORGLA07G0172400 transcript:ORGLA07G0172400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETPPPFQESAHCDVCRCTFTTFRRRHHCRNCGRTLCHEHSSYHMALPQYGIYTDVRVCYDCFNKSSSRGGVGNAGSPGSVSSAADSFSGLNLGEDDASSPMKNSAFHSAPAVIECKCGMPLCICEAPKPEPVPVKQSISTTSSSAQSNPRPKKSSTNQQSAESSVKKASATSSSNSSSFLNLGLMSNDTNDKGPSEYDVTGEGLREAIKSGDIKAVKKLLSQGVDSNYCDKQGFTLLHLAALFNQTVIALILMDNGANIQSKNGQGETPLDCAPAMLQYKMRQRMEELAASQRP >ORGLA07G0172300.1 pep chromosome:AGI1.1:7:18197664:18199349:1 gene:ORGLA07G0172300 transcript:ORGLA07G0172300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKETFRKMYSVAKNYCGGGLAASDALSAVSALGRSLKPSRKASRHVVPCRARSFTQCSLGGGSADQEIVIALGSNVGDRISTFDRALRMMKNSGVNITRHACLYETSPAYVTDQPRFLNSAVRGTTKLEPHELLKKLKEIEKDIGRTDGIRYGPRPIDLDILLYGKSHISTENLTVPHERIHERPFVLAPLVDLLGSSTDDNVEKSWHSLAKCTGGFFESWDKLGGESIIGTEGIKRVLPVGNRLLDWSERTLVMGVLNLTPDSFSDGGKFQEVEAAIAQTKLLISEGADIIDIGAQSTRPLARRLSADEELERLVPVLDAITGIPEMEGKLLSVDTFYAEVAAEAVKRGVHIVNDVSGGQIDPRILEVVAELGVPYVTMHMRGDPSTMQSEQNLLYGDVCKEVASELYKRVRQAELSGIPLWRIVLDPGIGFSKNSKHNLEVIMGLESIRTEIGKMSLGASHVPILLGPSRKRFLGEICNRVNPTERDAATMVVATAGILNGANIVRVHNVKYGVDTAKVSDALSKGRR >ORGLA07G0172200.1 pep chromosome:AGI1.1:7:18197221:18197394:1 gene:ORGLA07G0172200 transcript:ORGLA07G0172200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFAFADYQILFPVHSASAPREFFRWPPPPPAPIPIHPASARASRGRKSRSFRCFRRIL >ORGLA07G0172100.1 pep chromosome:AGI1.1:7:18189752:18195638:1 gene:ORGLA07G0172100 transcript:ORGLA07G0172100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRMRGGGGAAMAVATGAVMLFLAATLSGVSANTDSDDVNALNVLYTSMNSPSQLTNWVSQNGDPCGQSWLGITCSGSRVTAIKLSGMGINGTLGYNMNLLTSLVELDTSKNNLGGSDIPYNLPPNLERLNLAENNFTGSIPYSISQMIALRILNLGHNHLATTNDMFNQLTNLTTLDLSYNTLSGNIPQSFNSLTNLRKLNLQNNGFNGTIDVLADLPLTDLNVANNQFTGWIPDKLKKIKNLQTNGNSFGSGPSPPPPPYQSPPYKSPPYKSPQSRQPAPPTTTVNNNPSDDGRKHSKLSGGAIAGIVVCLVVVGAIVAFFVIKKKYWSLPRGGDPEQKEPLSPIVSGFKDSLKQMKSIKIISTIGKEELQKTVSMNLKPPTRIDLHKSIDENDVTSKSFTRKISLSSIRTPAYTVADLQVATGSFCADNLIGEGLFGRVYKAKFNDHKVLAVKKINFSAFPGHPSDLFIELVANISRLNHPSLSELVGYCSEHGQCLLAYEFYRNGSLKDLLHLVDDQSQPLSWNSRVKIALGSARALEYLHETCSPSVIHKNFKSSNIFLDNELNPHLSDSGFADLIPNRESQVSDEDSGYRAPEVTMSGQYSVKSDVYSFGVVMLELLTGRKPFDRSRPRSEQSLVGWATPQLHDIDALDQMVDPALQGLYPSKSLSRFADAIALCVQSEPEFRPPMSEVVQLLVRLVQRANMTRMCGADGHSWRRDGESRDQEPW >ORGLA07G0172000.1 pep chromosome:AGI1.1:7:18183608:18185595:1 gene:ORGLA07G0172000 transcript:ORGLA07G0172000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLSPVSTVPFHGLARYVFAAKGVGLYKDQIIRVHRIRLLSLSFLVHVVTRHQGPQQKQSARIVIFTQRRLCTATTTSMARSAADSNHPIKEHALADENGS >ORGLA07G0171900.1 pep chromosome:AGI1.1:7:18176841:18177647:-1 gene:ORGLA07G0171900 transcript:ORGLA07G0171900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTATTVAAATTMMAAVAAVAPAVDAARVRGGLRRLLGCGGASPPPPPHQHEHADRDIEMGLAAGGETSSPAKSPHPSS >ORGLA07G0171800.1 pep chromosome:AGI1.1:7:18165539:18169764:-1 gene:ORGLA07G0171800 transcript:ORGLA07G0171800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVADRARRAVTSSAASMRAAATRSAAPSAGAAPSAPSPPAAAAAALAMASAMVRAMSTAAAGAAPVSLDTINPKVLKCEYAVRGEIVTHAQNLQQELQKNPDSLPFDEILYCNIGNPQSLGQQPVTFFREVLSLCDHPALLDKSETHALYSSDAIERSWQILDKIPGRATGAYSHSQGIKGLRDEIAAGIAARDGFHASGDNIFLTDGASPAVHMMMQLLIRSENDGILCPIPQYPLYSASIALHGGSLVPYFLDEETGWGLEVDELKKQLEEAQSKGITVRALVVINPGNPTGQVLAEENQKKIVEFCKNEGLVLLADEVYQENIYVEDKKFHSFKKIARSMGYTDDDLPLVSFQSVSKGYYGECGKRGGYMEVTGFSADVREQIYKVASVNLCSNVSGQILASLIMNPPKAGDESYESFMVEKDGILSSLARRAKALEEAFNSLEGITCNKAEGAMYLFPRIYLPQKAIGAAQAAGTAPDAYYARRLLEATGIVVVPGSGFGQVPGTWHFRCTILPQEDKIPAIISKFKEFHEKFMDEFRD >ORGLA07G0171700.1 pep chromosome:AGI1.1:7:18160853:18162064:1 gene:ORGLA07G0171700 transcript:ORGLA07G0171700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVARCGGAKKRKGEGLGEMHDDVLELVLARLPPASYFRLRGVCRRWSDAASSPTFLAACGRVPARDPWFLMLSEGEGQERRLPAVAFDAGEGEWARCGGAPGHVMPVVAASGGRVLYRAPDTGELTVANPLTGASRVLPAPPPGAALHAVAMYGSSPYRVVLITGDLPDLSMTVFDSSKNAWDDAVALSRKPDASSPERDAEGGGGGGGDDETVYFLSKSGDVMATNMQRSASRQYSSAVTCGDGGEAVAYFLSNSGAVVACDLSRRAFAELPRILPVYFEYSIDVVACGGRAYVVVLSELLGTASLRLWEFAGGAWRQVAAMPPAMSHAFHGKKADVNCVGHGDRVMVCVSSGEANGCFMCDVPTNRWEELPPCAGAGGEPMDFVAAFSFEPRMEVTV >ORGLA07G0171600.1 pep chromosome:AGI1.1:7:18154884:18155451:1 gene:ORGLA07G0171600 transcript:ORGLA07G0171600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRVRWRWTTPEAGATAEDPETGGDNPEGGSSADAPDEGSAAEEARRGRGTAAWIADMAKNILFLVLRLNTILTHCERPKETIARKGTTDNRWMLGNADGRNVISGA >ORGLA07G0171500.1 pep chromosome:AGI1.1:7:18142941:18143510:1 gene:ORGLA07G0171500 transcript:ORGLA07G0171500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QC73] MASSSTSSPLPALLLLVLLAASSAAGEDVAAAGGRDKLTRIRVYMHERFAGANATALAVVPSPLGANEAFGRVAVLDDELRDGPDRASSALIGRFQGVVAGTSLPGTAPPASFQSAISLVFTAGEHAGSTLSMVGPVLGFAGAIERPLVGGTGAFRMARGYCVMTAAAAASTAVSVVFETDLFVLLHKP >ORGLA07G0171400.1 pep chromosome:AGI1.1:7:18130414:18130908:1 gene:ORGLA07G0171400 transcript:ORGLA07G0171400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1QC72] MSPMPSLLLVFLVASSAAGVAAGGGGGELKHIHLYMHETFSGPNATEGGVVASPFNTTFGQVAVFDNELRAGEDRDSSSARGGARRGTXRRPPSRSPPASSTGARCRWRAPSSASPARRSAASWAAPASXGWREGTTSSSSSARPRRRRPSPRSTSSSSCTAPPT >ORGLA07G0171300.1 pep chromosome:AGI1.1:7:18124366:18125711:-1 gene:ORGLA07G0171300 transcript:ORGLA07G0171300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGSILGDLHLPVRRTVNAGDLWGDAGKGRDGGDGLKKRKGSSWDFDVDCDDDDDDFEADFEEFEDDYGDDDDVGFGHDDQESDMNGLKLAGFSTTELGLGGSRKRKTRYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFGTAEEAAMAYDVEARRIRGKKAKVNFPDAAAAAPKRPRRSSAKHSPQQQKARSSSSSPASLNASDAVSKSNNNRVSSAGSSTDATAAAIAIDDGVKLELLSETDPSPPMAAAAAAWLDAFELNDLDGSRCKDNAFDHQIHKVEAAVADEFAFYDDPSYMQLGYQLDQGNSYENIDALFGGEAVNIGGLWSFDDMPMEFRAY >ORGLA07G0171200.1 pep chromosome:AGI1.1:7:18119788:18122594:1 gene:ORGLA07G0171200 transcript:ORGLA07G0171200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALNEVTSYSSLSKIDSNNQGGHLSSLVPANIYPAQDYLYEPSLEPDFPSEYDSREDPFVPTRASSNINLKTVLTGLAAIVSGTNKNQDNTLQQQSFSTDVSFLGFDKDGDVNVHPSVCVPSAPPLLETNALQYSAYREVLQADPPEWLPDSSTSVCLQCSLPFTALTRGRHHCRFCGGIFCKDCSKGRCLMPMKFRQRDPQRVCDACYDRLDPLQGILINYNSNAMQPAKHDVMDWTSTRSWLNLPVGLSMEYEIYKATNTLNRYCQVARLNPEKSIPSSILKGAKGLAVITVAKAGAVLTYKVGTGLVVARRSDGSWSAPSAIASVGLGWGVQFGGELTDFIIVLHDRKAVKAFSSRMHLSLGAGLSAAAGPIGRAFEADVRASEKGSGLCYTYSCSKGAFVGVSLEGNVVTTRSETNLRFYGDAYLTTTDILFGKVEKPRAAQPLYSALDDLFSKMVY >ORGLA07G0171100.1 pep chromosome:AGI1.1:7:18113847:18118152:1 gene:ORGLA07G0171100 transcript:ORGLA07G0171100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:I1QC69] MGETTGERALTRLHSMRERIGDSLSAHTNELVAVFSRLVNQGKGMLQPHQIIAEYNTAIPEGEREKLKDSALEDVLRGAQEAIVIPPWIALAIRPRPGVWEYLRINVSQLGVEELSVPEYLQFKEQLVDGSTQNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLDALQGALRKAEKHLAGITADTPYSEFHHRFQELGLEKGWGDCAQRVRETIHLLLDLLEAPEPSALEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDAHGTTCGQRLEKVLGTEHTHILRVPFRTENGTVRKWISRFEVWPYLETYTDDVAHEISGELQATPDLIIGNYSDGNLVACLLAHKLGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTADLIAMNHADFIITSTFQEIAGNKETVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPFTESQKRLTSLHSEIEELLFSDVENTEHKFVLKDKKKPIIFSMARLDHVKNLTGLVELYGRNPRLQELVNLVVVCGDHGKESKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDMRGAFVQPALYEAFGLTVIEAMTCGLPTFATAYGGPAEIIMHGVSGYHIDPYQNDKASALLVEFFEKCQEDPNHWIKISQGGLQRIEEKYTWKLYSERLMTLSGVYGFWKYVTNLDRRETRRYLEMLYALKYRKMATTVPLAIEGEASTK >ORGLA07G0171000.1 pep chromosome:AGI1.1:7:18106491:18108598:1 gene:ORGLA07G0171000 transcript:ORGLA07G0171000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAVGPKICHVIDIQLQGDAAAAAATSSNCVVCSTEPSPEWVAIGSPATAAGGGDLLTPDALAELAAAAAAAPEADDDWADCRSRVVLYHVARARTFFAGVLRRRPAAAADRRRSAGEVLAELTAAAATTSDGRVGRFWYHACTGTFFALKKHYKAMRVECNQEGPCYPIWISWITFFFLMMLFGALIASAGADQTSNKRWIVKRLLHSRTDEVIL >ORGLA07G0170900.1 pep chromosome:AGI1.1:7:18102114:18103967:-1 gene:ORGLA07G0170900 transcript:ORGLA07G0170900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein SA [Source:UniProtKB/TrEMBL;Acc:I1QC67] MAAEGGAAARALSQREQDIQMMLAADVHLGTKNCDFQMERYVYKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEEAALVAPDYGAVAEYAAPAADTWGGEWGTDAAAQPAAVPAQAGADWTAAPAPAAGGWDTAAAPAPGWEQGSAPVPAAAPTPNWGE >ORGLA07G0170800.1 pep chromosome:AGI1.1:7:18098019:18101189:1 gene:ORGLA07G0170800 transcript:ORGLA07G0170800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNLPVNVREYQELAKKALPKMAYDYINGGAEDEHTLRENIAAYTRIILRPRVLVDVSKIDMSTTLLGYTMRSPIIVAPTGGHKLAHPEGEKATARAAASCNAIMVLSFSSSCKIEDVASSCNAIRFYQLYVYKNRNVSATLVRRAESCGFKALLLTVDTPMLGRREADIRNKMVFPRSGNLEGLMTIDDHDTTNGSQLERFARATLDPSLSWKDIEWLKSITSMPIFLKGIVTAEDARRAVEAGVAGVIVSNHGARQLDYAPATIAALEEVVRAVAGAVPVLVDGGIRRGTDVFKALALGARAVMVGRPVFFGLAARGEAGARHVIEMLNGELEVAMALCGCRSVGEITRSHVMTEGDRIRSLL >ORGLA07G0170700.1 pep chromosome:AGI1.1:7:18093470:18093932:1 gene:ORGLA07G0170700 transcript:ORGLA07G0170700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNLPLNIYEHHEIAKKALPKVIYDYINSGAEDEYTLRTCSINPTKKGIEGDWRRIPSFMGMKRTRPEGEYCIIWKNKFMTSRSCGCQQGRHVNNLTGIQHAFTHNCRSNVEPQISTFRMS >ORGLA07G0170600.1 pep chromosome:AGI1.1:7:18084387:18087137:-1 gene:ORGLA07G0170600 transcript:ORGLA07G0170600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAPPLSTWLVAARSSTQAECGGADEHHHHHHQQQHQCCVGGGSSTVMFGPRRRRLLGGGARRRGAARSGMAMAVALQPERRTVEKKPDVKQRRVVITGMGVVTPLGHDPDVFYNNLLDGVSGISEIERFDCSTFPTRIAGEIKSFSTDGWVAPKLARRMDKFMLYLITAGKKALEHGGLTEELRNELDKTRCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPLIPIGLGGFVACRALSQRNSDPTKASRPWDVDRDGFVMGEGAGVLVLEELEHAKQRGAKYMLNF >ORGLA07G0170500.1 pep chromosome:AGI1.1:7:18073478:18080578:1 gene:ORGLA07G0170500 transcript:ORGLA07G0170500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAPLDFALFQLSPRRSRCELVVSGNGRTERIASGSVKPFVAHLRAAEEQAAAQPPPPAIRLQLDRRAAWFSKGTLERFVRFVSTPEVLEMANTFDAEMSQLEGARKIYAQGVAGGADGAESAAAADITKKELLRAIDVRLSALKQDLVTACARASSAGFNPDSVSELVLFADHFGANRLSEACNKFMSLCQRRPDICPHYSVSSTSSQWKSFDDGNVRGSSSSDMSLDETQADQGASSNKSIIGGSVSHIYRSNSQNSVDVPPEPSAVQHPKPTIQQSVEKQEKETDALPAPAPAPAGGGSRRLSVQDRINMFESKQKEQTSSSGNSAACTSKVVPTKGEHRRVPSGASMDKLVRRWSNVSDMSIDLSNNDSSSLNEKRENGTPVGTPTPANLEVNSKERADGDANGLKHAVTSCQKDTSDALPLDSTAADAFSSSTLNTTSPSPLSAIASSSPQKQTAPRVEDDMVITSSIESESSFRKEVGASQGKGDVRMSGQAVSSVSTRARVKTSPRPTLPENNVTLSSPPLSQEHVQMTDEETIPIVHEVAVKKEQIVQKDNRGSRLRSKEIHAEADVVGRKDRPSRTTGKIYDTRTRATSNPRANFRGSSVRDEAASTEAEVHDVNLQRKSLARKVEDSGRKVAAGSEILPQSDCSIHQGTNLSRQSSSAEQELSLHGGKVKLISDGNAVPLEQTKRPTKGSQDRHDELQKKANELEKLFAAQKLTSSRRGKSTDVQVENTPRVNEVKPPPVLPERIYTKQIVKESITNEFDANELLKMVDTEGYNNNVPQSIISLEESRGKFYDQYMQKRDAKLKEDWKLQGEQKEATIKAMRDSLERSNAEMRAKFSRSSSVPDSTYISRCAHKFPPSQSVIKDKDQGIDSFLVEEEMNSDYLSGDGSSRSADSRKHFSNKVACNQKKSIAPVHRHSSRTVSSGYANCRNLPDNPLAQSVPNFADLRKENTKPSAGLSRAAPRTQPKSFIRSKSIIEESKNISKDQSRKSQSMRKNLSPGELRDATSMNDVIYNWAPSKISNDQVEGVFAYSTHTAGSTKSFLRKGNEAHPAVGIAGFAPPMFANTYQNGDDDDFLDQEEDSPDETKDEEYESIEENLRESDFPADSDSENPGISHEFGNSDDPGSENGDVSFPSDPPTLGGSKFNAFAGNMHDTPGEVPASWSTRPHLFAYANDNSDGDAFADSPNGSPSPWNSHTLDQITDADVSRMRKKWGSAQMPFVGPNASQQPRKDVTKGFKKLLKFGRKTRGADGLNDWVSASTASECDDDMEDGRDLAMGSSDDFRKSRMGYPSAYDGFVDNDVFAEQDQSLRSSIPNPPANFRLREDQLTGSSLKAPRSFFSLSTFRSKGGDARLR >ORGLA07G0170400.1 pep chromosome:AGI1.1:7:18063773:18066463:1 gene:ORGLA07G0170400 transcript:ORGLA07G0170400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMLVAPDGGGGGEMPPPYGGAAAAAPPPMEQELELHRDNADDGLDGHVRCLRCGISGNATPHMRRGPDGPRTLCNACGIAYRKGKMRRMIEAEPPIDEAALAKLVPEVGMEFESEDKAYEFYNKYAGHVGFSVRKSTSHKSSGNITKVRTFVCSREGYNRDKKSLEAKKPRLDTRIGCPARLIIKVTPESKYRVTDFKADHNHQLAPPSTMHMLRSQRILTELQSGEAELSDDSVMTPTTKATGDLVVRQIGFLRSISLLPADYKNYLRSKRMKAMQLGDGGAILKYLQTMQMENPAFFYTMQIDEDDKLTNFFWADPKSREDFNYFGDVLCLDTTYKINGYGRPLSLFLGVNHHKQTIIFGAAMLYDESFESYRWLFESFKIAMHGKQPAVALVDQSIPLASAMAAAWPNTTQRTCAWHVYQNSLKHLNHVFQGSKTFAKDFSRCVFGYEEEEEFLFAWRSMLEKYDLRHNEWLSKLFDERERWALAYERHIFCADIISALQAESFSSVLKKFLGPQLDLLSFFKHYERAVDEHRYAELQADFQASQSYPRIPPAKMLKQAAHTYTPVVFEIFRKEFELFMDSVLFSCGEAGTTSEYKVAPSEKPKEHFVRFDSSDCSCICTCRKFEFMGIPCCHMLKVLDYRNIKELPQRYLLKRWRRTAKSANEENQGYVANGNGSSLNSIVPPANHHGLQGFSAMIQDTPVSNMHENSFRRSS >ORGLA07G0170300.1 pep chromosome:AGI1.1:7:18060958:18063304:-1 gene:ORGLA07G0170300 transcript:ORGLA07G0170300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:I1QC61] MAMSALKFCGECSNMLYPREDKETHTLLYACNSCEHQEPATDTCVYKRVLRKPAGEPKDILKDAATDPTLPRTRSIKCYNCGHPEAAFFQAPTKGEKGLTLYFICCNPSCGHRWRD >ORGLA07G0170200.1 pep chromosome:AGI1.1:7:18054726:18055479:-1 gene:ORGLA07G0170200 transcript:ORGLA07G0170200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVAKPPAAAPASRRRCFVFVERAAAAAAVGVNAAIAAVDDHRPVPAAAAAAMDDVVGRVARPARPSARAIMEGTHKQISSGGASGGYCTVPWCSICTGNNPFAIAEFLLCCNLCGVPLAGRPSFIYIGEKAFCKEECRSRYVVEEALREAREEKRRAAAAAAASPEKKKEAAAARKGGEECREGSIFFICADDL >ORGLA07G0170100.1 pep chromosome:AGI1.1:7:18048936:18053467:1 gene:ORGLA07G0170100 transcript:ORGLA07G0170100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQQQQQKQQAAALMPPSMYAMPPPPPLHHHHHPLLAAAPPQQQIEPILTGNLPPGFDTSTCRSVYVGNVHVQVTEALLREVFQSTGLVEGCKLIRKEKSSYGFVDYYDRRSAALAILTLNGKQIFGQLIRVNWAYASGQREDTTDHFNIFVGDLSPEVTDSALFAFFSGYSSCSDARVMWDQKTGRSRGYGFVSFRNQQDAQSAINDLNAQWLGSRQIRCNWATKGASNGEQQTSDSKNVADLTNNLTEDGKEKANEDAPENNPQYRTVYVGNLAHEVTQDVLHRLFHALGAGAIEEVRIQLGKGFGFVRYSNHAEAALAIQMGNGRILGGKPIKCSWGNKPTPPGTTSAPLPPPAAPSVTAADLLEYQRSLALSKMVSSQALMQAQAQQHLKQAMGMGAGVSQAMYDASFPNVGPSQQQLMYY >ORGLA07G0170000.1 pep chromosome:AGI1.1:7:18038813:18040408:1 gene:ORGLA07G0170000 transcript:ORGLA07G0170000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARPVGVGGERATSFAMACSLLSRYVRQNGAAAAELGLGIRGEGEAPRAAPATMSLLPGEAERKKETMELFPQSAGFGQQDAIAADSAADAREQEPEKRQLTIFYGGKVLVFNDFPADKAKGLMQLASKGSPVAPQNAAAPAPAAVTDNTKAPMAVPAPVSSLPTAQADAQKPARANASDMPIARKASLHRFLEKRKDRLNAKTPYQASPSDATPVKKEPESQPWLGLGPNAVVKPIERGQ >ORGLA07G0169900.1 pep chromosome:AGI1.1:7:18024301:18029301:1 gene:ORGLA07G0169900 transcript:ORGLA07G0169900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAVPGFLRRALITRNHPQASRFTSLACCLNNLDHQEPTQSTISGDYRRQCLLPLITLAVRTSNWDAARKISFRECERLYGLSQSIGLFALLIQSFLPRRVIEVRCLIQSIVDYCGNAGPELFELALMLVNNLGGSITLLQVYAALIRVFIELSMFEDALVTYIEAKKIGVELQLCNFLLKSLVKRNQFMYARSLFDDMKSTGPSPNVYSYSVLMSMYTHGDKPCLEEAFDLLCEMKIRGVKPTAATYGTYLYGLCRAKQIESAWDFLQVLRQRGYPCNSYCFNAVIHGFCNDNQVHKAMEVFDEMKKGAVVPDVHSYSILVDALCKQGALSLGSNLLDEMERNRVSPTLVIYSSLLHGLCKAGKVEEALELFERLKYQGFKHDQITYSIVLHGCCRHMDIEVAYGLWIDMVNHNFVPDVYNYTSLIYAFCRHRYLKEALGLFELMLDNKINPNIITCTILVDGFMKEGLISEAFLFLDEVRQFDIVPNLYTYKVIINGLFKGNESDDLWGFFGDMIKRGYIPDVVLYSIIIDGFVKALDLQEAFRLYHKMLDEGTMPNIFTYTSLINGLCHDDRLPEMTPLLKNMILGGLTPDRIMYTSLIACYCKRSNMKKAMEIFREMKNGGISPDTFVYTCLIGGYTKVRAMDFAELLMEEMETKGLTPTVVTYTDLIIGYLKTGDEKSAYRTYHNMIQRVSCVYGCCWVTKVGGSTSKFLWMPKDVKSQNMWNGGAFWLLQESRLQGSDPIVIRLDASTVNAVLADLFSSVDTLTKSPPLTHEEEDDLVLSLHDIAILILVQCSFIGDDFLINTIGPAGKEKVLGNLYDGTPVMISTSLLLFFYLIIQLRWLMKRGGGAPASLPRLSSTGILDLST >ORGLA07G0169800.1 pep chromosome:AGI1.1:7:18012469:18015116:1 gene:ORGLA07G0169800 transcript:ORGLA07G0169800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMPSIRRLLLAGAAVAVVVVSCAVVCAEASVHEYAGERFAGVGNGFVLHGGSEGVYASATAESFVRFEKVAFRRTPEAASVAEEDGNRTVTVAAVIFEAGDRDAVGAVSDVVGGERALCCTPGMARRGGCTEGAVVYRAPVSSNATGRWPKVLAASFLPGSLVAAFPDETVAVARTGMYSLHFVHCDASLAAGQVVAAEGKTIWKNSRGYLPGRMAPLKPFYGAMSLAFAALAALWFARYARFWREVSPLQNFATAAIALGMVEVTTWYLDLAEFDASGVRPAGTTFWAATSGAVRAAACRVLALLVAMGYGVTRPALGCGNARVAALGAAFLAAAEVLDVGDNVGIVSDHSPARRLFFILPVAALNTVFIYWIFTSLSRTISKLKARRMTAKLEMYRKFANSLTIAVALSLGWITFEVHFKMTDEHNERWRVAWVIPAVWELISFFLLCTICILWAPSQNSMRFAYSREECEDDTEHDDEDDDVEDTRPLIRAGPLSYVDNWACYVTQDAKIILRTDSGVYAKAGEEYKRV >ORGLA07G0169700.1 pep chromosome:AGI1.1:7:18006390:18007725:-1 gene:ORGLA07G0169700 transcript:ORGLA07G0169700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFTNVSSEAGLKKLDEYLLTRSYISGYQASNDDLAVYSAFSTAPSSSYTNVARWFTHIDALLRLSGVTADGQGVKVESTAVPSASTPDVADAKAPAADDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMTKLEEAVRNVKMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDSLIEDYFYTEPANEYIQSCDIVAFNKICKLFHKYQSPSFYLLALFLLLY >ORGLA07G0169600.1 pep chromosome:AGI1.1:7:18001346:18003101:1 gene:ORGLA07G0169600 transcript:ORGLA07G0169600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIINWMQNRLSTAKQDKRRTEAAAVASSARRRGGGGGESCRQEEARDEIKIAGDHLLSIGTLGNESPPRPPPAAAATAAEEVADFTIEEVKKLQEALNKLLRRAKSTKSGSRRGSTAAEHDADERSSSSSSSGGQLLLPLDRFLNCPSSLEVDRRVAAADGEFSPDTQIILSKARDLLVNTNGGGAIKQKSFRFLLKKMFVCRGGFSPSPAPPPTLKDPVESRIEKLFRTMLHKRMNARPSNAAASSSRKYYLEDKPREKMQREHLHDDEDDDENAEDIFKWDKTDSDFIVLEM >ORGLA07G0169500.1 pep chromosome:AGI1.1:7:17990495:17997351:1 gene:ORGLA07G0169500 transcript:ORGLA07G0169500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFARAVEDGLKLSKRLVLPGGLPPPRPLAGMDRGVGGGGGGDASVAALLLPSAPMAYAVVTDPGAVDTPDVPSYQPYVYGRLDPPALIPLQMKEVDLSVDCALGEAAVTVRARWWLHCITRSRDCDVRIVVPMGGQGSILGAEVTVGRRSYNTQVIEVEDHTTENAAKADSGGLLKPQLFYLTISQIEGGADISATIRWSQKLHYDNGRFSVDIPFRFPYYVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKEKGRQGEKLSFQHEATVENWSSKDFNFSYSVYSGDLSGGVLVQPSTLRDYDDRDRFCIFLLPGGGNRKVFRKAVVFVIDTSGSMQGHPLENVKNAMSTALSELTEGDYFNIITFNDELHSFSSCLEKVNEKSIASALDWINLNFVAGGGTDIMHPLNEAMASLSSAHDVLPQIFLMTDGSVDDEHNICQTVKTELISRGSKSPRISTFGLGLYCNHYFLRMLASIGRGHYDAAFETGSIESQVLRWFRKASSTIVANISIDATAHLDEFEIDSEYIPDISAKSPLCISGKYQGKFPDMVTAKGYLADMREISIELKVQHIKDIPLDKVLAAQQIGLLTAKAWLSSDKQLERKVVKLSIQNSIPSEYTSMVLLQTLEKVDAAQKVKQKLKGHKGPDEPRRIPLQCLKLGFGDRAATRENLVTGFGDVKPLETFEILNKAAGCCSRLADCLCCMCCIKACNKMNDQCAIVMTQVCAAFACLGCYECCAELCSGSDS >ORGLA07G0169400.1 pep chromosome:AGI1.1:7:17982110:17985747:-1 gene:ORGLA07G0169400 transcript:ORGLA07G0169400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:I1QC52] MPEAEAAAVVIGGGSRAAGPADYERFITLFSPEGRLCQLDYAFNAVKLAGITSVGVRGDDSVYVLTHRKEDKLHDPTTITNLFAITDRIGLLATGMPGDGRAIAQEARNAAAEFRFKWGYKMSPCMLAQWIADRAQIRTQHAQIRPYGVVSMIFGIDEEKGTPELFTCDPAGQFFAHKAASAGPKEKEVMNFLEERMKSKPSLSSGTTKGLAKSALKHVLEGDFYAREFELGFIKKGDPTVTLHPVKFKRTRR >ORGLA07G0169300.1 pep chromosome:AGI1.1:7:17975874:17981888:1 gene:ORGLA07G0169300 transcript:ORGLA07G0169300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLGLFAAVAVSLAVHVALHCPIQPLAPPPARPPAAARFPPNNLLQNLEKLGEGMLSAPEDVYVDDAGGEVFTATRDGWVRRMQANGSWERWGLVGGTGLLGVAPSADGAMLVCDADKGLLKVDENGRVTLLASTVEGSTISRFADAAIEASDGTVYFSDASTRFSFDNWFLDFFEYRFTGRLLKYDPRTGEASVVLDGLGFANGVALPPDEAFVVVCETMFRCLRVWLKGEKAGEAEIFVDNLPGNPDNIRLGSDGHFWIALLQVRSPWLDLISRWSLTRRVIASFPALVERTKATLKGAVVAQVSLNGEIVRVLGDYEGKVINWVTSVTEFNGDLFLGSLATNFIGKLSLAKVTREQEDAVPS >ORGLA07G0169200.1 pep chromosome:AGI1.1:7:17961315:17961806:-1 gene:ORGLA07G0169200 transcript:ORGLA07G0169200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVGEETEEVGVEVACECCGLTEECTAPYIAGVRARYEGRWICGLCGDAVGEELGRASPPISPAEALDRHAFVCGAGRRSTAPPSPAESADDLISALRHLLRRRLGSPPLPPPRKVRSTPSSPRRDVPTSATAIVSVDTGGGGGAGGALARTESCFAALVE >ORGLA07G0169100.1 pep chromosome:AGI1.1:7:17950503:17955004:1 gene:ORGLA07G0169100 transcript:ORGLA07G0169100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSDCKVVAAAARKKEKEAAAWPWSLWGFLLTGCLGGSGGGGKKKSGGKKVRPRGGGGGLRRLSFTDLTGAADQDLSVSLVGSNLHVFTVAELRDATRGFVSGNFLGEGGFGPVYKGLVGDGVKPGLRPQAIAVKLWDPEGAQGHKEWLAEVIFLGQLRHPNLVKLVGYCCEDENRLLVYEYMEHGSLENHLFKQIPAVLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILTGRRAVDKTRPNREQSLVEYARPCLRDPLRLIRIMDPALEGRYSPAAAREAAAVAYRCLSGSPKNRPDMSAVVDALEPLLVATDDVPLGPVVLFVAPDQEADAAAAADDDEDDKARRRQRRTRKDEQHRRRSRLRTSPKGSPRKPAVAAACRNEEFWVWHVPADHKA >ORGLA07G0169000.1 pep chromosome:AGI1.1:7:17935192:17942283:1 gene:ORGLA07G0169000 transcript:ORGLA07G0169000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72560) TAIR;Acc:AT1G72560] MDDLEQAILLASDSPAAAAASPAVRAEALAYCARARDETPPSSLLHLCLYGLASSPHAHVHFWCLQTIHDALLLRRRLALPDDLALLRSSLLSLAVSSNAASPPFLRNKLAQLLALLVRFEYPHVYPSYFLDLIPPSPPLPGPTDMFARVLVSLDDDLLSQDYPRNAEEASDAGRVKDAMRAQCVPQIARHWHEAAVSLRAADPAVAAVVLDAARRCISWIDVSLVANDVFVPLLFDIALSPGSVAPLAAASVGCLSAVAAKRMDARAKVALLRSLMSAQKGFGSPDSGLKMAHLVTAYAVEALECYRKLGSSDADGAAALEMLEEVLPAVFAAAESGDDDEVDSGSVLEFLSGYVSTMKAPTEKQLGHLGQILEVVRMQMSYDPVYRGHLDVLDKIGKEEEDLMAEQRKDLIALFRSICRVAPGATQLFIRGLLVTALSSAEVSVEDVEVALTLFYRLGEIVGEEEIRTGAGLIRELVPMLLSARFSCHTHRLVALVYLDTISRYIKFMQENDQYVPHLLTVFLDERGIHHQNAHVSCHAGYLLMRAIRLLKAKLVPYLDTILQSLQDALVQFTATDWANKDIKFSSSEDGSQIFEAVGLLIGIEEVSPDKQVQCLTALLNPLCQQIESLVMDAKAQGLEESSPRAIGLQQIIVALTMISKGFNERLVMGSRPTLGVMFKKTLDVVLQVLISFPNVKPLRSKIISFLHRMVEILGISMLPCIPIALRQLLVDNEAKDMSEFLYLINQIICKFKSSANALLEDVFPAIASHLSVILSHDAFSNGFASNTEEMRELQELEKRFYAFLLHIATHDLSTVLLTPSCRHYLENIMQLLLITSCSHKEISHRKTCVQTFVNLIKDWCSSSEIEDKLPGFRVFMIEKFATGCCLQSVLDKSFNFRDGISIALFGEIMMAQKVMYERFGENFVVNFVTKLREAHCPPDLAEQYYQKLQGNDIKAFKSFYESLVMKIRQQQNGSLVFR >ORGLA07G0168900.1 pep chromosome:AGI1.1:7:17932223:17932663:-1 gene:ORGLA07G0168900 transcript:ORGLA07G0168900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFYSPAVNVERLWSMVPAEQAAEAAGAGKAPLLDVTQFGYFKVLGKGLLPEKPIVVKAKLISKVAEKKIKAAGGAVVLTA >ORGLA07G0168800.1 pep chromosome:AGI1.1:7:17921242:17924648:-1 gene:ORGLA07G0168800 transcript:ORGLA07G0168800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATAISCAVVKCGGGGGPRQPRVSAPPRQQGHAAAAXXXXXXXXXRRGSPPSSPLSFARPAAERVAARRARAAAVAMEVVEDATPPAAGAVLLAGAQSRHAIFRDELVRRAFYAAEAAHRGQMRASGDPYLQHCVETAALLAELGAGPAVVAAGLLHDTVDDAGLGYGSLSVQFGAGVADLVKGVSNLSHLSKLARRNDTASRVDEADKLRTVFLAMEDARAVLIKLADRLHNMRTLDSLPKVKQQCFAKETLEIFAPLANQLGILNWKEQLENLCFKYLYPELYEELSSNLLEFYNQDMIAAAIRRLEQALQVRGLCYHTISGRNKSMYSIYSKMARKKLDMDEIYDIHGVRVILDNKADCFTTLEIVHHLWPRIPGKFKDYINSPKPNGYQSLHTVVLSEETLPLEIQIRTRDMHLQAEFGIAAHWRYKEAVRNCCSSVPEMVEWVRWVVTWQCETLHIDHPSSLTHGAPPRAICSCSSQSDDCPFSYSKRCDHSGPILVILLENEKMSVQEFPQNSTVLDLLKRTSSYDMQLRLRLNCHVVHNLNQELKMGDVVELIPSAQCNPGAGGYAREFHQMYDHRLTVSQS >ORGLA07G0168700.1 pep chromosome:AGI1.1:7:17914643:17918188:-1 gene:ORGLA07G0168700 transcript:ORGLA07G0168700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGADQAPPPPPPPPVAAASAAADEPRDLRVVREILHSLGLREGDYEEAAVHKLLLFAHRYAGDVLGEAKAYAGHAGRESLQADDVRLAIQARGMSSAAPPSREEMLDIAHKCNEIPIPKPCVPSGSISLPHYEDMLLNKKHIFVPRVEPTPHQIEETEDDYNDDGSNANVASPDSNYDQDLFGSISLPHYQDMLLNQNHLSVHQVEPAHDQLEKIKDDGSNDNADSSHSNYVQDSSGSVSLQHHQDMSLNQNHLFVHQVELTLDQIEEIKDDGSNDNVDSPNFNCVQDPSRSVSFPHYQVMPLNQNHLSFHQVEPMLDQVEEIKDDGSNDNVAPPDSNCIQDPHYQDMLLNQDHLSVRGVEPTLDQVEEIEDDCSNDNVASPDSNYDKEKNDSNKQKPSKKVSQLNTLVAASKDKVDCSTELS >ORGLA07G0168600.1 pep chromosome:AGI1.1:7:17909774:17911713:-1 gene:ORGLA07G0168600 transcript:ORGLA07G0168600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGIAPLLDAYFRRRFAAAGLVQASVPLDGGATTVQCWRFPPGASEELPVLVLLHGFGPPATWQWRRQVGPLSRRFRLVVPDLLFFGGSGTAAADARSEAHQAEAVAKLVAAVVGAAAARVSVAGTSYGGFVAYHVARLLGPAAVARVVIASSDLLKADADDRALLRRGGAERVEDVMLPRTPERMRRLLGLAYHRPRRFSFTPAFVLRDLAQYLYTDKIEEKKELIKGITLGDKEKFQLTPLPQEVLVLWGEHDQIFPIEKAFEVARQLGANARLEIIKNTGHMPQEEDPKRFNEALLNFLLPAPNSSL >ORGLA07G0168500.1 pep chromosome:AGI1.1:7:17906466:17907145:1 gene:ORGLA07G0168500 transcript:ORGLA07G0168500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHVPPGPCSPPHPEVLRTQRAGAAIGGGGDGGDXSSTTLSRFPRSQIKIFFTRAQRLTLPSISAQRDLGVGRGAVKGVLALTSSLAGLLLGFLYFKQDRDDSAAGEETRKEEEEVTVNWRDVIEPSVMARFTRKDGTFAYLDYIDYLNSQMNHGGKPLYDKKCSDKEEAVVDDAAEEDNVVDEVAMKAKFEDWM >ORGLA07G0168400.1 pep chromosome:AGI1.1:7:17899859:17901385:1 gene:ORGLA07G0168400 transcript:ORGLA07G0168400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRALASLLARRLSARGAQAPRSAAAAGTRPAKTRALRTLRDLGVGHGAVKGALALTSSLAGLLLGFLYFKQDRDDSAWEYTRKEEETVKWRDVIEPSVRAKFTRKDGTFAYPEYYGYLHVQMNGGKPNYDQKCSDKEEAIVDDDAADTDEDDNHAVDEPAMKAKFEDWMKEHGRIYITKKEKAHRYENFKKAMKGINELNIKRGMRSPLAAPTELADXTDEEVERLGITMADDSDWDEYLDHIHTAIIRYMATIKRIRSFVLEASNYILIYVFP >ORGLA07G0168300.1 pep chromosome:AGI1.1:7:17896470:17898718:1 gene:ORGLA07G0168300 transcript:ORGLA07G0168300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGRGRGRGRRGGGYGFDHPAKHTPHEDFPDITLPEMTCARATMEEKALIQSTLKFEDFWKTSCYHLEEDVPKKKNDDKEIERYSDRKRKTHSKREALASYLILTPANFPVELVQGSKRGQPSSKKLRWDRSSDDQAFEVFEKLEEKHKDGDKKTEKDGDDEDEHEEEEVEEEENSDDDYNQNIEFDDDDDDWNQEEEAHEDYYD >ORGLA07G0168200.1 pep chromosome:AGI1.1:7:17846237:17848075:1 gene:ORGLA07G0168200 transcript:ORGLA07G0168200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPELSILNTTSIEPRNGGGLVLKDSFVSMIPQAPATENKEAASGNQLTESRTHFAHPQHPLLKTQYGGGERQPSSRHVCRICGTRVVAGAGYRCDHCDFDIHEACADFFPEKMITPPPNFFGHPWSHNLALRQVTAADGSWPCTLCRGPFQHGHLAYRCGARRCGFAAHPLCTMLPGEIRSPLHRKHALTHTELIPSRLTSGPCKPAEMARVCSVCRRDCSTVRTRHYRCAS >ORGLA07G0168100.1 pep chromosome:AGI1.1:7:17842203:17842706:1 gene:ORGLA07G0168100 transcript:ORGLA07G0168100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVTCKLHPGHSLTRHHYGGEEGHACVCALCERIIAGAGYRCGECGGFDAHEACLSLPMRVAFVGHPAHELTLSLLTASRWCDACRVASHAGCCVYRCVACDFDVHARCTSLLDGKQQHGRKRGVARRVGMAALRMGLFGLRVADAVTGGFGSPVIEVIETALNL >ORGLA07G0168000.1 pep chromosome:AGI1.1:7:17836865:17840519:1 gene:ORGLA07G0168000 transcript:ORGLA07G0168000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22990) TAIR;Acc:AT3G22990] MSHVRSAPAGKSGGGGGSTPAKRGRPFGSTTGSGAAAAAAAAAIGDAAAPAALVGPSLQVLTALSDQNNKRIVLALQSGLKSEILWALNALTVLSFKEKDDLRRDTTPLAKVPGLLDALLQVIDDWRDIAMPKDHTKPPRVRTLGVNTTLSGFGHENVEKVYSDTIIPSDDQTKTADSTVTKKRSAGFLFDEEGLFNVDDEGRTEKQQCAVAASNIIRNFTFMPENETVMVQHRHCLETVFQCLEDQNTEDDELITNMLETLVNLAPVLDLRIFSSSKPSFIKITEKRAVQAIMGMLASSIRVWHCAAAELIGRLIINPDNEPFLLPAIPQIYKRLVDLLSVPAVDAQAASISALYNVAEVNMDFRLKLASERWAVDRLLKVVKTPHPVPEVCRKASMIVESLVSEPQNRMHLLVHENTFAEILTSEGKYSDTFARILYELTARPSNKVTSGQAIWGNIN >ORGLA07G0167900.1 pep chromosome:AGI1.1:7:17820218:17821654:-1 gene:ORGLA07G0167900 transcript:ORGLA07G0167900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIGRTTTLLIEGRRVVGAAAIEHRRCQSVRRRIDGDANRSLEKQLPNKGTPAPLHAGALAAAAAAALAAACATDAGALASHPGTLLGAGAIEALGVEAHSASVAPVEPAATDLAAAGAGAALPPQRRRRGRGRRHHGELVLRVQRAPHLRREHGAERVHVEAARHAAVDEAPQGARRGAQVADAVVVGDAAEGEAVPRVRGEGGDRRLEVIGAGLVDVEVAGLAPEPDEAAEAGGAEVADMAGAAAVLAPHQDVLRVGAVSHKGGLKMCKYRCCKTWLACALAGYGYKCQTIEARNGIIWVWLLRNSNNCILGNQIIPSLYGEPFILNRIPS >ORGLA07G0167800.1 pep chromosome:AGI1.1:7:17817877:17818683:1 gene:ORGLA07G0167800 transcript:ORGLA07G0167800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLRAAFPLTSSFSSSPLRRLALKPSSSRAAVLRVAAMSSAIAAPVEHIVLIKVRPEAAASGAAAAMVSSLQALSSVVPGLSYIHVGPVLRLRSPAAEALGPTHVLHSRYATKPDLAAYAAHPAHVAAVQGHVLPNALDTTAVDWVNAALVPSPVNPGSAVRLSLAKLKEGVEAHQLAEKLAAATAAAGEAKGAKVSFGENFSPARAKGYQFGMVAVFDSVEGLDAVDGDGKVEAAKAIVRPLLDDVLELEFVVGPAAAEAPAPANL >ORGLA07G0167700.1 pep chromosome:AGI1.1:7:17815363:17816792:1 gene:ORGLA07G0167700 transcript:ORGLA07G0167700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSEMAFGLYYHLPKRAAGIRYVFIGKPMIQRPRYQILGIFLLIQLCILGAERLRRSNLSTISSSINQISSGSYLSSREVALSFSEFMKPVLVLCQCFLFTCYMGIKCSNITYVNGKQVEVSHRIFGHMHGVLHIYKKINYTEYM >ORGLA07G0167600.1 pep chromosome:AGI1.1:7:17813651:17814454:1 gene:ORGLA07G0167600 transcript:ORGLA07G0167600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLRAAFPLTSSFSSSPLRRLALKPSSSRAAAAPAAMSSAIAAPVEHIVLIKVRPEAAASGAAAAMVSSLQALSSVVPGLSYIHVGPVLRLRSPAAEALGPTHVLHSRYATKPDLAVYAAHPAHVAAVQGHVLPNALDTTAVDWVNAALAPSPVTPGSAVRLTLAKVKEGVEVPQLVEKVAAATAAAGEAKGARVSFGENFSPARAKGYQFGMVAVFDSVEELDAVEGDGKVQEAKAAVRPLLDEVLVLDFVVGPAAVEAPAPANL >ORGLA07G0167500.1 pep chromosome:AGI1.1:7:17807692:17811743:1 gene:ORGLA07G0167500 transcript:ORGLA07G0167500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGDAGTSSRGGGSGGAPARPRRFPEAAQPEIMRAAEKDDGYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRIVARGIALDDSQLDDHSESDSSSIGTAAQPSPIRNSPSRSLSFSHLSRLRGRVHTLWEWVLRKWPSMLPFAQDFIQLTIRTNLMFFYFEGLYYHLPKRAAGIRYVFIGKPLNQRPRYQILGIFLLIQLCILGAERLRRSNLSTIASSINQISSGGYPSSRGRGVPVLNEDGNIISDIRHGKTADLATSSEASSGKSKCTLCLSTRQNPTATTCGHVFCWSCIMEWCNEKPECPLCRTPITHSSLICIYHSDF >ORGLA07G0167400.1 pep chromosome:AGI1.1:7:17805244:17807336:-1 gene:ORGLA07G0167400 transcript:ORGLA07G0167400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNEKNDIGMVVIRGNSVVMIEALEPVPKPQ >ORGLA07G0167300.1 pep chromosome:AGI1.1:7:17803786:17804211:1 gene:ORGLA07G0167300 transcript:ORGLA07G0167300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLIYKIPLDLPEDTGSENHHRQMRKSHCDALSSMTSELEPRCAPPPQGKRDLRAKIDCNKERRNLVHHLVHLARRYPAYHKPPPIPHCCRHCLTLLIRVEHASRMWVGGEDDQSANVHGPLDLTEVLTERSDMAIISE >ORGLA07G0167200.1 pep chromosome:AGI1.1:7:17801280:17803128:-1 gene:ORGLA07G0167200 transcript:ORGLA07G0167200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTQISKKRKFVADGVFFAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRLCRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGYPVNLYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHAPKDEDEFSKPLTAEIPVAA >ORGLA07G0167100.1 pep chromosome:AGI1.1:7:17794356:17798229:1 gene:ORGLA07G0167100 transcript:ORGLA07G0167100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQYNPRTVEEVFRDFKGRRAGLVRALTADVEDFFRQCDPEKENLCLYGFPNEHWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSMVAVHSDAWLLSVAFYFGARFGFDKNDRKRLFGMINDLPTIFEVVSGKSKAKPLSANNHSNSKSKSSNKTKSSEPRAKQPKPQPQPPVKNEGREEEGGPDDEEGGGGGGGGGREEEHGETLCGACGESYGADEFWICCDICEKWFHGKCVKITPAKAEHIKQYKCPSCSGGNGGGGGGGSGNGKRARPS >ORGLA07G0167000.1 pep chromosome:AGI1.1:7:17787843:17792171:1 gene:ORGLA07G0167000 transcript:ORGLA07G0167000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20520) TAIR;Acc:AT5G20520] MVGWLKALCYGAGGMAVVGLAALVALQERLVYVPVLPGIARAYPITPDRLRLIYEDVWLRAADGVRLHSWFIRHSPTCRGPTILFFQENAGNIAHRLDFVRLMMQRLQCNVFMLSYRGYGESDGYPSQKGIINDAQAALDHLVQRKDIDTSRIVVFGRSLGGAVGAVLAKNNPGKVSALILENTFTSILDMAGIMLPFLRWFIGGSSSKGPKLLNCVVRSPWSTLDIIAEVKQPIIFLSGLQDELVPPSHMRLLYEKAFEHNKNCRFVDFPNGMHMDTWNSGGDRYWRTIQLFLDQYAPEVQSCNTSCKSEIANDGSIYSEVLYCVYCIRLFICRQIFFLIN >ORGLA07G0166900.1 pep chromosome:AGI1.1:7:17777514:17780195:-1 gene:ORGLA07G0166900 transcript:ORGLA07G0166900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLRQMEDHRAHTTPNYDFFSGDYQMKQLGHKMYDQDSPSSDSGQSHQEESAMNDSSPNERHTSTQSDNDDGHQMPDQDKTKSVSSLGNPGALPPKLDYSQSFACIPYTADAYYGGVLTGYSSHAIVHPQQNGTANSRVPLPVEPAAEEPIFVNAKQYHAILRRRQIRAKLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLEEQKQQQEEEAASGGASSGNRTCLQNGTGSAPSASSPSEIASVSTSREFLGNHEQSHFPSAGFLPTMSFRAQNGGDGKLVANAIHQRVSMMR >ORGLA07G0166800.1 pep chromosome:AGI1.1:7:17774486:17776554:1 gene:ORGLA07G0166800 transcript:ORGLA07G0166800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLLHAPAAAAAVVPSPLSLRSPPKTPFLPTSPIRVPTPRRRPAAFSSAAAAVVPIAASLLEGPVLVWAGRLCLYYALLHIGLAGSPRNPFLAHEIGDDGAGDSDLGFSKWADKLRGGAPGENEAQDKRKLVSKWKPTTKGTLKRTYRVRSVEEGRRILKEIALVLSEDDHFVDASSHKGCQIRRESAHGESVCCYNVRALFDELPTPHLVLEITAFPAGPLTDNDYRKAERLEMVLRMSASI >ORGLA07G0166700.1 pep chromosome:AGI1.1:7:17771667:17773259:1 gene:ORGLA07G0166700 transcript:ORGLA07G0166700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATTVSEKKDAADAKKEATAEAKPAAKPAAKPKKPPVKPLPEMMQEEIIPPLKAALEAEDDVSQVELSFEDNRLEGSFIKDEVPYYFWAFFPNGDLTGPKGFALSSYGTEVSTIEPFLIDEKRANAKYVVFWVYKRLAGQGILPVWKEEEGEGEGEGESSA >ORGLA07G0166600.1 pep chromosome:AGI1.1:7:17765570:17768611:1 gene:ORGLA07G0166600 transcript:ORGLA07G0166600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G50690) TAIR;Acc:AT3G50690] MDEAWERAVEAALQAAGEGSSSPARSLTLDGAVKCLHGRLPAAEILERYQSLEHLSIAGVGVASLAGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLASLRDLDLSNNRIQDVGDLSPLANLRLVSLDLYECPVTRVKDYRSKVFGMIRTLKYLDKMDADENERPESDDDDDDGDGDGDGEEEDDDDDDEDEDPGSGEVANGGVSHPRGGVASHPVEVNGVIDVDEDESDADEVVPNGGAEHHHANGFRVAAVGDEDEYVEEEDDDDEEDYEEEDDLGEEIDEDGDDEDAVVEVHDVPSSSDEEEDGIEEEDEEEDEDEEEVEDDGEEAEPESSGRVALAVGDVGEEIDGHEHGEGEDEDENGEIGEEDEERLEDDRVYEEGNDDDEEDVDDEDEDTEYLVQPIAQPQAMAVGNDFDAAEADDADEDRDEVDDDDDGGTDLPSSSQGAKRKRDDDPSGSGDDDEDDDGVEDLRPFKHH >ORGLA07G0166500.1 pep chromosome:AGI1.1:7:17753170:17754352:1 gene:ORGLA07G0166500 transcript:ORGLA07G0166500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:I1QC23] MANACSFFLIRASKSENFMSFPVCRPDTACWSLPFKMLGSHISYSPSVNPKTENPDELIATGVLASLQNFIRKCIVAVLSYGPMPKHIAFIMDGNHRYAKFRSIQEGSGHRMGFSALIASLLYCYEMGMKYITVYAFSIDNFKRDPTEVKSLMELMEEKINELLENRNVINKVNCKINFWGKLDMLSEPVRVAAEKLMASTAENKGLVFSVCMPYNSTSEIVNAVNKVCAERRDILQREDVDSVANNGVHSDISVADLDHHMYSAGCPDPDIVIRTSGETRLSNFLLWQTMFSHLQNPDPLWPEFSFKHLVWAILQYQRVHPYIEQSRNLAKKQL >ORGLA07G0166400.1 pep chromosome:AGI1.1:7:17749193:17750364:-1 gene:ORGLA07G0166400 transcript:ORGLA07G0166400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPADHHHHHHSSTPPPATKISIPISAAAGGAEAALLGKGRYKAWALAAIALLALWSMFAASVTIRWSSGDLAAAFGDLPDPLIDDLDPLEMEDREKLVRRMWDVYTRTGVDRVRLPRFWQEAFEAAYEELAGDDTQASETAVSEIARMSVHRPELEQSSNKY >ORGLA07G0166300.1 pep chromosome:AGI1.1:7:17743502:17746229:-1 gene:ORGLA07G0166300 transcript:ORGLA07G0166300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1QC21] MAATGVLVVVVALVFAVTVAGGGGAGVGGDGGFITWEDLSMPAGAARSSTWDDTAGGGGGKRSGGGGGGEQRTTIVVSPDGTGHSRTVQGAVDMVPAGNTRRVKIVVRPGVYREKVTVPITKPFVSLIGMGTGHTVITWHSRASDVGASGHQVGTFYSASVAVEADYFCASHITFENSAAAAAPGAVGQQAVALRLSGDKTVLYKCRILGTQDTLFDNIGRHYLYNCDIQGSIDFIFGNARSLYQGCTLHAVATSYGAIAASQRSSPSEESGFSFVGCRLTGSGMLYLGRAWGKYSRVVYSYCDLSGIIVPQGWSDWGDQSRTKTVLFGEYNCKGPGASTKQRVPWSRTLTYDEARPFIGRSFINGEQWLRL >ORGLA07G0166200.1 pep chromosome:AGI1.1:7:17739039:17742433:1 gene:ORGLA07G0166200 transcript:ORGLA07G0166200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:agenet domain-containing protein / bromo-adjacent homology (BAH) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G55600) TAIR;Acc:AT5G55600] MAKEEDGGGGPEFVRWREDFVSQERGSRVVHYYLEDAAGASHLAVVGTERSLRHMLYVVSEDFREAQGADGGGPGVFARKWRSRREVVDWLASFLPAKSLASKLSKFGSHMGNDIGLDGYSEPDSFMGHNLGKACSLDIMWSGSSWTCGKQLYHYKAFCRNGTTISTHSFVLVMSEEESRYLAYLEDMYEDKKGQKKVKVRWFHQNQEFACAIPPPPPHPCEVFITPYSQVISVECVDDIATVLTPEHYEKCVNTLPNSSMVGIRFCFRQYSKNKFKRFDLRSLRGYFSQAVVLSLKLPPEQEKDDGSDIIKTFEQWTPGKTKFPKQFERLYSKCLGTKICRGPQEDSIASYQKPSSKQSPRKHLSVKFIGPQNQRMPTYNVGDRIEVLSQDSGIVGCWFRCTVLKSCTNHNKLKVQYDDLQNADDSGRLEEWVPVSTLARPDKLGLRCPERLRVRPRPQQNSLADGTNLLPGAAVDVWQFSGWWEGVLVSADNISADSLQIYFPGENFFSVCQLKNLRISKDWVKSHWVDIEMKPDVLSKIPSVGVQTRQPDNLTSVERPDSRNSAMSDQELAAVQTNSSEDKQTGADQPAEVSLTDMASAFAEDQKQTVLGKRPRDDDAEQHCNGEVGIDVGKL >ORGLA07G0166100.1 pep chromosome:AGI1.1:7:17736133:17736723:-1 gene:ORGLA07G0166100 transcript:ORGLA07G0166100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHLSLLHLPRLSPVLHHHPRHLRLHGRTTVFQARLPSPQRTNQHRLLAVTTAPPEPEKTEEQQLLLPLQEPAEGEVSGGGGADRTCGLPTWALIGAIAAAVALSSAAAAGPAAALGPEGPLVEEFWDNMRRYALYVVTVSTGFAYTLAQPIVELLKNPVTALLIVAVLAGGGFLVSQVLNAMVGNSDFIYTYDQ >ORGLA07G0166000.1 pep chromosome:AGI1.1:7:17733619:17735813:-1 gene:ORGLA07G0166000 transcript:ORGLA07G0166000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPTWSPPHLAVTAAPTSAPAFLPLISQRRRLPSPAPARRIQLRSHCTKSPTESEPEHEDDGADDEDAAARSEHPAIIFQERLDKFRDDYRAALGLNADEKEMVSTVCRKARLALDLASEVMDVAAFGLGTTEISQRTADQMVRTYTTIFCEVANELYHNRVTMETILSFLDALGGLGAITHILVQDTVDKLHNGLLKKKITHDLDALSHKFDKEMNILKDNFKRETRIDGYXXXXXXXXXXXI >ORGLA07G0165900.1 pep chromosome:AGI1.1:7:17717634:17717855:-1 gene:ORGLA07G0165900 transcript:ORGLA07G0165900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMVDGDSIWHTMELLCAYGMRSRIWKESKFGTFGYVKFLSCTRGFSKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA07G0165800.1 pep chromosome:AGI1.1:7:17711170:17713426:-1 gene:ORGLA07G0165800 transcript:ORGLA07G0165800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKISTVVLNVDLECDRCYKKIRRVLCRIQDKANIKTISYDEKNNAVMVSGPFDADKVCKKLCCKAGRIIKDMQVKGKENKGGKDAAGDKAKPAEKDGGGGKAEKKDAAGGDKAEKKDGGGKPEKEAAKADKAAAAAAKPEKVAKEAKPEKKVKFDVDDAPPAAAATAKPGKVQPFPAGMTQADLAPLLEKLKIAKQQQQQQQQAGPEPPRGEPIAPPMMMPAAQGVAVPSIWPAPAGSLSCYSYNPAAYDQSSYYGGGGYGYGGGAFQAPAGYYGVAPPPAAAAPYDNQGWYYGHRQPYYGNQQQCYEDPNAGGCSVM >ORGLA07G0165700.1 pep chromosome:AGI1.1:7:17707910:17708920:1 gene:ORGLA07G0165700 transcript:ORGLA07G0165700.1 gene_biotype:protein_coding transcript_biotype:protein_coding KARDMAAAASDKPPLPWRALLLVGAVSVLHSASLRRDGTVNRFLLSLFDRVVPPNPAPDAAGVSSSDHAVSDDLRVRMFVPAAVARDGGGDLPVVVYFHGGGFVFHSVASAQFDALCRRFASAIPAVVASVDFRLAPEHGFPAPYDDGEAALRWVLAGAGGALPSPPATVFVAGDSAGGNVAHHVVARTPGSVSGLIALQPFFAGETPTASEQRLRDAPFGSPERISWLWRAFLPPGATRDHDAANVPAALRRDAERRRAFPPTMVCVGGWDAHQDRQRDYADALRTAGGAEEVVVAEFPDAIHAFYIFDDLADSKRLLTEVAAFVNRRRAAAAAS >ORGLA07G0165600.1 pep chromosome:AGI1.1:7:17697189:17697866:-1 gene:ORGLA07G0165600 transcript:ORGLA07G0165600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESDNESGGPSNAGEYASAREQDRFLPIANVSRIMKRALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYIDPLKLYLHKFRELEGEKAIGAAGSGGGGAASSGGSGSGSGSHHHQDASRNNGGYGMYGGGGGGMIMMMGQPMYGSPPASSAGYAQPPPPHHHHHQMVMGGKGAYGHGGGGGGGPSPSSGYGRQDRL >ORGLA07G0165500.1 pep chromosome:AGI1.1:7:17683335:17690974:1 gene:ORGLA07G0165500 transcript:ORGLA07G0165500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERELHVRALDGRSTVVALAAAASVRDLKAALRSSFPPAQVSPSFHLFLKGTKLRVEAEIGTLAVGEGEFIVLVPFARKSQQCSPVAMAEQGQITESPRSSQVSTGANSARRGITDNLSSIPSSPHAETASRYFSSGANSAWQEIMDDLSSISSSPQDDSASKNFCAQSTIPCSGNSVEDVSTDQRLPTGNSNKRRKPCKENGNAFNEMPTSGVNSAAGQPSIDKKSSIARSAATSCHGTCHLGPAEMVDHLKQGLGKEGQIVHIEEIPCRAASFAELPNHLSEATREALKSIGVSRLYSHQALAQDQLRSLLEMKNALHTDIDVNIYDGDTPREDRTWIRDNARLSFHFCNTILRYIVIDEAHSYKGAFGCHTALILRRLKRICSNIYGSHPTFIFCTATSANPREHVMELAKLDNVELIENDGSPCGFKYFLLWNPPLHMTKEGSSKDSLLTRRSSPIVEVSYLLSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDTICVYRAGYIAENFIGALELLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCQVDSHNQKLLEQHLACAAYEHPLCVQYDADYFSSSLNSVMMALKDKGCLINNPSGPFSSSMWSYIGPEKRPSQAVSIRAIEHDKYRVIDKLNNRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGGEFAHLPPSTCKTNGVKTTAQANDCKVTTKWFGFYRIWKSNNKISDSIELNLPPYSFNSQAVWVRIPHSVKTNVEERKLQFRGGSHAASHALLNIVPLHMTCNASDLGTECANPHETRGIPDRILLYDKHPGGIGIALQIKSLFGELLLAALELVSECNCTSSAGCPNCIQTLTCGEYNEVLDKEAAILILKGVIEYERSYFENEDGSDQGVR >ORGLA07G0165400.1 pep chromosome:AGI1.1:7:17679729:17680103:-1 gene:ORGLA07G0165400 transcript:ORGLA07G0165400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGASSAAGAPPAAPSTSLSTAGCKHPLRCLNAPRAGGCGRPFAAPSTALRRPLLPPPRAPRAGGCGRPFNARHVYLLYYALPLCIGQPGEMSKRAMSDENGELHIAHLQISPQKAGQITVEN >ORGLA07G0165300.1 pep chromosome:AGI1.1:7:17663494:17668220:-1 gene:ORGLA07G0165300 transcript:ORGLA07G0165300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARVLGGGGGGGGLGDEAPELERQMGCMAGIFQIFDRRQRLLTARRRRPPPKMLPPGPGHTLPRSSSNVAAQSSSTSKIVLEKTFSKSMTENSSLSIESSRASCSSSSCSSFSSLDGNKSIQQELPYINEQLFVQRPLKSSPSLKDPVMDTRSGQSNIGFRDIVKDSINRDTGGLTVKTSVKDARRNGQYKDSPRPLLLSKSMDGTYVIGIDRSTKVPANAVESSRRFPEQSRFSCDDRRLLRPVEAQENKKPSTRLKELPRLSLDSRKETLSSSSRQKTFSYRRTDDSLMDALRPQDSPGHRRASSVIAKLMGLEEAPNATGVLTVDSYEPERSPRPAEDTQKEHPVPSPRRFCQDPRESLPKDESPAMKTKPSPRILTESAPWRQQEKIATSSKASQCRDAEVRPRTASLYAYIERRGGGLEFLECNKDFRALRILEALHAKDAKRQNDGNGALTVAAQQAGDALNTSSRHFQPPIVVMKPARSTEKQAGVSLASVDPLAGFRNLRKLQARDVPCIGEHETSTNEKVHSRISRAQSKSDEPASRASSPRPTGSSSPRTVQRKAESERRSRPPVSPKSPSKKSSEAASPGGRTRTKPSQGKNHRDNEVSKSPRSRIGMVKEVDISIMDFQKPLASTPSHKGTPSVLASDQKINSLENAPSPVSVLDTSYYHTRLSYSFKDGETHSSEECWNPNSLPDTPQSKTSSEVSQIKPENFEALIQKLEQLQSMNDEVANKKDHQYIYEILLASGLLHKELSFVAMPGQAWPSSCLINPELFLILEQTKPDFASADQTVTKSSKANTEKLHRRIVFDLVNEITAQKMNIHCSASQSAKSLQLRKYNGWRLFKDLCTEVDRLQSESSAIKCSEEDGDERMLLVEDPLNGIEDWSFDSESPSTVLEIERLIYKDLIDEVIWDAATGKMQGGQWNLKRQLSFSSTS >ORGLA07G0165200.1 pep chromosome:AGI1.1:7:17659717:17661390:1 gene:ORGLA07G0165200 transcript:ORGLA07G0165200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQNPIQKRRLETIEADDDSDEGLGSPVAAGAEDGNETKSEKRKKEKKRKKAKALEALEEKENKEREEMKLLESSLFGSLYAPPQFGTEVEAVDPDKGVPSFFMDRSGGDGEDDLPVYDEGLSSEDEGDDMVGRERKPAWVDEEEVTEVDISKVARLRKLRKEGDERVISGKEYEARLRGQHAKLNRFAGWADLDRKAPLPGSSHNESDEEGRVDDILRNNDELVVKDNAKLLPDMLGFSRLVDANAQEPSSGPINSVQFHRNGQLMLVAGLDKHLRFFQIDGKRNPKIQSIFIEDCPVHKAAFLPDGSEVILSGRRKFFYSFDLVNAAVSKIGPLTGREEKSLEHFEISPDSKTIAFIGNEGYILLISSKTKQLIGTLKMNGNVRSLAFADGGNQLLSSGGDGHVYHWDLRTRKCIHKGTDEGSLAGISLCTSPDSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTEIGEMKFNHDAQVLAISSRKERNGMRLVHVPSLTVFQNWPGPRFSLHYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYQNA >ORGLA07G0165100.1 pep chromosome:AGI1.1:7:17654279:17657910:-1 gene:ORGLA07G0165100 transcript:ORGLA07G0165100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVGESVAPLSPQTIGNAFVQQYYNVLHSSPGQVCKFYHDSSTLGRPDSNGTMTSVTTLTAINDEFLSTDFSSCLIKLENVDAQLSLNGGVLILVTGSIGHNGTMRHRFSQSFFLAPQESGGYFVLNDMLRYDSLQETLLTETNDSPQERLLTEINDSLPNHVDDNTHSVTFTSEPETSGNVNETADLELPSAENVNDNVENLLANDSSPEENVLVEACTEVVSSCAENIPAAAAAPAPRASTQKDVTKQSYASVVKVTKEGTPTPPVAKPKPKPKPKPTAKVTDNVEKAVSSPVKPTNAADTTSPNDKNVLVEQGYSVYVKHLPYECTVKDVEEKFRKFGAIRPGGIQVRHRQPDGFCFGFVEFESRQSMLAAIEASPISIGSKASIVEEKRTTTRVVNGVTHIENNGNARGGQFQQDNRGGGYRGDNFRGREAGFVNNGNYRDGDNMRNGFRNQNEYSGRGRGPQGNGYHQNGNGYHQNGDGYHQNGNRYNQNGNRYHQNGDEYYQNGNGNGHRQNGSGYYHQNGNGYRQDRIFHNGNGNGRPARFNGPRQTPVQA >ORGLA07G0165000.1 pep chromosome:AGI1.1:7:17640291:17641269:1 gene:ORGLA07G0165000 transcript:ORGLA07G0165000.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAAVQKDVCTGITGGPAAGTNTTVHDGLTSSSPCFSTGYNNQAWGVDSHPLGGFLNLLKKNTPSGAQVVINGSSSQPINVGDDTNVAIAEDLFASILLNAF >ORGLA07G0164900.1 pep chromosome:AGI1.1:7:17632071:17634053:-1 gene:ORGLA07G0164900 transcript:ORGLA07G0164900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRDFLGGFGGEVGGAAVAGEKGGGESDEIELSLGLSLGGCFGADLAREFKKPRLVRSSSIASICSLPGGGGGGAGGDDVATAAPAPAPLMRTSSLPTETEEERWRRREMQSLKRLEAKRKRLERRNSMNSGRSAGGGGRDDGQDAMYPTGFQLRRSVVSQGSTSSCMPEQGVGVSAEAMDTSSSDNASCQNKPLPPTASSGGGGGGRPPANGSMKEQPPLRTLRSLTMRTTSTGDLRKSMMEDMPMVSSRVDGPNGRKIDGFLYKYRKGEEVRIVCVCHGNFLTPAEFVKHAGGGDVTNPLRHIVVNPSPSVFL >ORGLA07G0164800.1 pep chromosome:AGI1.1:7:17626110:17627484:-1 gene:ORGLA07G0164800 transcript:ORGLA07G0164800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAMMVLVWCAASGGGVAAARPLVGGGGAVGTPKAPLQTSRPFNIAHRGSNGELPEETAAAYMRAIDEGADFIEADVTATKDGHLVCFHDTTLDATTDVADHPEFASRRRTLEVQWTNVTGFFITDFTLAELKTLRSKQRYAFRDRSYNGGESSRIITFDEFIDIAAGAASRVVGIYPEIKNPVFVNRQVRWRDGKKFEDKFVAALKRRGYGGRYRSPAWAARPVFIQSFAPTSLVYAAGLTDSPMVLLVDDTTVRTEDTSQSYDEVTSDEHLDYMREYVVGVGPWKDTVVPPTTDNKLAAPTDLVARAHARGLQVHPYTYRNENQFLHFNFRQDPYAEYDYWINDVGVDGLFTDFPASLRRYQEWTTAGRKG >ORGLA07G0164700.1 pep chromosome:AGI1.1:7:17619105:17622359:1 gene:ORGLA07G0164700 transcript:ORGLA07G0164700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CDGGPRPPPPPLLPMATLTTQTLLTLFLLLLLAAAAAAADAGGGGEREALLRFKAGVASDPGGLLRGWTTAASPDHCAWPGVSCGGNGEVVALNVSSSPGRRLAGALSPAVAALRGLRVLALPSHALSGQLPAAIWSLRRLLVLDLSGNRLQGEIPPALACAGLQTLDLSYNQLNGSVPASLGALPGLRRLSLASNRLGGAIPDELGGAGCRSLQYLDLSGNLLVGGIPRSLGNCSKLEALLLSSNLLDDVIPPEIGRLRNLRALDVSRNSLSGSVPAELGGCVELSVLVLSNPYTPIGGSNSSDYGDVDDFNYFQGGIPDAVVALPKLRVLWAPRATLEGELPRNWSACQSLEMINLGENLFSGGIPNGLVECSHLKFLNLSSNKLTGAIDPSLTVPCMDVFDVSGNRFSGAMPVFEQKGCSSSQLPFDDLVSEYSSFFSYQALAGFRSSSFVLGTDLTSYHSFAQNNFTGPVKSLPLAADKLGMQGSYAFLADGNNIAGQLQPDLFSKCNSSRGFIVDVSNNLITGGIPVEIGSLCSSLVVLGVAGNQLSGLIPTSIGQLNYLISLDLSRNHLGGEIPTSVKNLPNLERLSLGHNFLNGTIPTEINQLHSLKVLDLSSNLLTGEIPGALADLRNLTALLLDNNKLTGKIPSAFAKSMSLTMFNLSFNNLSGPVPANSNTVRCDSVIGNPLLQSCHMYTLAVPSAAQQGRGLNSNDYNDTSSADSQNQGGSNSFNAIEIASITSATAIVSVLLALIVLFIYTRKCAPRMSGHSSRRREVITFQDIGVPITYETVVRATGSFNASNCIGSGGFGATYKAEISPGVLVAIKRLSVGRFQGVQQFHAEIKTLGRLRHPNLVTLVGYHLGESEMFLIYNYLPGGNLERFIQERSKRPVDWKMLHKIALDIAKALAYLHDTCVPRILHRDVKPSNILLDTEYNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLMELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRAREFFIDGLWDVGPHDDLVETLHLAVMCTVDSLSVRPTMKQVVQRLKQLQPPIREHR >ORGLA07G0164600.1 pep chromosome:AGI1.1:7:17609688:17613005:-1 gene:ORGLA07G0164600 transcript:ORGLA07G0164600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGRVVNALRRTSVSSNPSLLQAVRCMSSKLFVGGLSYATDDTTLKDVFSHYGDVLEARIIIDRDTGKSKGYGFITYTSSEEAAAAVTAMDGKDLQGRIVRVSTANDRAGGIRGGGGFGAGGYGSGGGYSSGGGYGTGEYGRGGGYAGNGGYGGRASEYGGYGAGGYSSSGGYNATSVPHGNAGGYGSSGVSHGNAGDYRSSDVYNTTNTYGSGNFSHSGQSGGSFGGNNGGISGGEHVTVANYHAHHEYQEQERIYPENGPPLDCEAELPPLVLLFAAPAPGAPPPAPAAGVPSPPAAPARGADMAMARTSSRAGSTPRKPDHPPTRCRSQAKVSLRILTGTGLPFASPLFGAYKSNSRPFMSI >ORGLA07G0164500.1 pep chromosome:AGI1.1:7:17594643:17600464:1 gene:ORGLA07G0164500 transcript:ORGLA07G0164500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:I1QC03] MAAATTAAPAAAAARVGLLYDERMCAHATPDGEEHPENPERLRAIWRKLSADGVASRCMIMKAKEAEDKYIASVHSQNHIKLMRSISSKEYDSRRNKIARKLNSIYFNKGSSESAFLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAEHNEAMGFCLFNNVAIAADYLLNERTDLGIKKILIVDWDVHHGNGTQKMFYSDPRVLFFSVHRFDYGSFYPAEGDASYCFIGEGDGKGYNINVPWEHGKCGDADYIAAWDHVLLPVAEAFNPDIVLVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFAQGRIVMALEGGYNLRSIANSVSACAKVLLGDKFRFDTPDMQPFESSWRVIQAVRDELKTFWPVLSNRLPENISLRSRPSQIELYSSGSDSEVEDLPDAIASVNIIQITDGIISESFSKLNLDEDKIATKTTSSNVMVEGPTDSVEPQNDGSAAVSTEGISSLSSTWRSDLSKVYVWYASFGSNMWTPRFLCYIQGGKAEGMNIPCFGSHDTSPPRGSMWKTVPHRLFFGRSSTPCWGTGGVAFLNPEINHTENSYVCMYKITLEQFNDVLFQENRLVKENGESGKTESPDSPLIGLSEIEFVSRNKGVHLAPIKDSWYSNVLYLGEEDNLPILTMTCPSSDVERCRSGELPLCPPSKTYSATLIRGLMEGKHLDADAAASYINTAATRGL >ORGLA07G0164400.1 pep chromosome:AGI1.1:7:17581035:17581262:-1 gene:ORGLA07G0164400 transcript:ORGLA07G0164400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKLQCSVHAVRLRRCFTVKLEFVLSTCSVFCKRGDNNPVGGGSEAVPRRWKNWHRNILSRTSLLISCYSLLGC >ORGLA07G0164300.1 pep chromosome:AGI1.1:7:17569459:17571571:-1 gene:ORGLA07G0164300 transcript:ORGLA07G0164300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPVCVTGGSGYIATCLIKKLLQRGCGVHATLRNLGDEKKTALLRRMPGAAERLVLFEADMYDAATFEPAIAGCEFVFLLATPLIHDPLSTKYKNTTEAAVDAMHIILQQCERSKTMRRVIHTASVTAASPLREDGEGYKDFINESCWTPLDLSNRYSNVMMDAYVSSKTLTEKLLLRYNESESRAFEVVTLTCALIGGDTDTTQLYHSLSIPLIVSPLTGQELYHGGLKSLQALLGSVPLAHIDDICEAHIFCMEQQPSIAGRFLCAVGYPNMQDYVDRFAVKYPEIAIKLKG >ORGLA07G0164200.1 pep chromosome:AGI1.1:7:17562617:17563934:-1 gene:ORGLA07G0164200 transcript:ORGLA07G0164200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSSRVCVTGASGYIATCLIKKLLQRGCVVHATLRNLGDEKKTAPLKELPGAVERLVLFEADMYDADTFEPAIAGCEFVFLLATPLHHDPRSTKYKNTTEAAVDAMRIILQQCERSKTVRRVIHTASVTATSPLREDGGEGYKDFINESCWTPLDHSHSYNNTMVDVLSPFHNVSHSNISHIHVNVNESIHITSI >ORGLA07G0164100.1 pep chromosome:AGI1.1:7:17557319:17558548:1 gene:ORGLA07G0164100 transcript:ORGLA07G0164100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMSMSWWAAGAIGAARKRHDGGGGEQQPPFRSVALVVGSTGIVGTSLVDILPLPDTPGGPWKVYALSRRPPPPWSPPPPAAVTHLCVDLADAAAVAEALAPLTDITHVFYVALAAPHLAEARSREANAGMLRNVLAAVVPTCPALAHVALQTGSKHYIGPPESVGKLAVETPFSEDMPRHDYRNFYYDQEDVLFDAVVSSSSSRRAGAAAVTWSVHRPSLIFGFSPRSAMNAVCSLCVYAAICRKERRKLRWPGSLGAWEGFSNASDADLVAEQQIWAAIAGAAAKNEAFNCSNGNIYKWKQLWPVLAGKFGVEWAGYEGEERRVGLTAAMAGKEAVWAEIVAEEKLVATELGEVANWWFVDALFMDKWEFIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA07G0164000.1 pep chromosome:AGI1.1:7:17553040:17553612:-1 gene:ORGLA07G0164000 transcript:ORGLA07G0164000.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLPFTVVRLYAPDSAALAALGGTGIRVVGRAPNYDLPALAHGGTAAAAAWIQAYPTVLFRFVVVGNEVAGADTQLLVPAMENVHAALAAASLGHIKVTTSISEATIGIHIPPSASEVVHERYVIPFLERTHAPLLANLYPYFIIYNNSGGMDISFALFTASERAAAGGGGVRARGGRRSGGARGAGDVDT >ORGLA07G0163900.1 pep chromosome:AGI1.1:7:17551739:17552020:-1 gene:ORGLA07G0163900 transcript:ORGLA07G0163900.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHHQPPPQQQHHHHHHHRVAGGGARVTLVSPPPAPQSQYASMQPSSASSSSSGGNACSFGAAAMYSPSPSTQQAPQADARGRGVHLRGDGGGGS >ORGLA07G0163800.1 pep chromosome:AGI1.1:7:17540070:17545058:-1 gene:ORGLA07G0163800 transcript:ORGLA07G0163800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVCVTGAAGYIATWLVKKLLGRGCVVHATLRDLGDEKKTALLRRMLGAAERLVLFEADMYDAATFEPAIAGCEFVFLLATPLQHDPTSTKYKNNTEAAVDAMRVILQQCERSKTVRRVIHTASVTAASPLREDHSGGYKDFINESCWSPLNLTYDFTNAHLNGYVSSKSLSEKELLSYNSSSSPSPAFEVVTLACALVGGDTLQPCLWSSIPVIVSPLTGDELYHNALKFMQALLGSVPLAHIDDVCDAHVFCMDQPSIAGRFLCAAGYPNMKDYIDRFAAKYPEIEIKLK >ORGLA07G0163700.1 pep chromosome:AGI1.1:7:17537257:17539107:-1 gene:ORGLA07G0163700 transcript:ORGLA07G0163700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVCITGASGYIAAYLVKKLLERGCVVHGTLRNLGDEKKTAPLRELPGAAERLVLFEADMYDADTFEPAIAGCEFVFLVATPLHHDPTSTKYKNTAEATTDAMRIILNQCERSRTVRRVIHTGSVTAASPLREDGSGGGYKDFINESCWSPPNLTCDFTNDYLDGYVSSKTLSEKELLSYNGSSPSPAFEVVTLACAVVGGDTLQPCPWSSIPVILAPLTGDEPYHNSLKFLQALLGSVPLVHIEDACDAHVFCMDQPSIAGRFLCAAGYPNMKDCVDHFAAKFPDIEIKLKEVIGEGVRVQADTNKLVDLGFKYRYGVEETLDSSVECAKRLGEL >ORGLA07G0163600.1 pep chromosome:AGI1.1:7:17534815:17535786:-1 gene:ORGLA07G0163600 transcript:ORGLA07G0163600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSSRHADPLEEVEMNSASETEDDDDCRYVIQSRRDNDNDDEEGMRPATACKRRRRLDDILALLPSPPTLSTSSGSEGTISDRDHGGDVIRAGDDADPVAARQEASFPCHLCHKEFGSRKAVHGHMRVHHAENEKEPLPPPPPPAAMPVLPQARLPAPGASRSGGPYKCKYEGCNMEYKTHQGLGGHVAGHINRDKMATASGSGGAGKPEGKHPCNVCGKEYPTGVALGGHKRKHYRKDLDLTLSLAPPGELAAPPTPAPAPAAIAAVEAEAEVAEDGDGGEPVPTTLSTGARRNVVVRIWGVDVEKPVDDVEEQDGGSN >ORGLA07G0163500.1 pep chromosome:AGI1.1:7:17507224:17510028:1 gene:ORGLA07G0163500 transcript:ORGLA07G0163500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMVVVALVLLMSSSLVASDWCVCRSDQPQAALQKTIDYACGAGADCNSIHEQGQCFNPNTVVAHCSWAANSYFQRNRAMGATCDFTGTATLTTSDPSVSGCSFPASASAAGTSTTPTMGGTTGTMTPGTFTPGTGMGTTTGTGMGTGTTTGTGLGGLGPTGTSSMDTAAAGLHLRAGLATFCAVLLLLVVIA >ORGLA07G0163400.1 pep chromosome:AGI1.1:7:17497126:17500886:-1 gene:ORGLA07G0163400 transcript:ORGLA07G0163400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15550) TAIR;Acc:AT5G15550] MDSGGASDPSRQVRVRFVTKLPAPLRAPPTSIAVPADLTRMGLSEIVNSLLLAASPDHQAQPFDFLVDGELVRLPLQEFLLAKGISVERVLELEYVKAVAPRKQEDPCPHDDWVSAVDGSNPSFVLTGCYDGLARIWRDASECTHILEGHSDGITSARFINKGETEDRLHVVTASKDRSLRLFKFDTSVSIPKQIGAYKILRGHTSSVQSVAVDPSTNIICSGSWDNSIKLWSVEGSEEDGDTVSVKKRRTNSVSSGPEESQFEGSATSTFLGHTQCVSAVTWPERQTIYSASWDHSVRQWDVQTGKETWNMVSGKALNCLDCGGESSSLIAAGGSDPVLRVWDPRKPGTLAPIFQFSSHKSWISACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVETHKDKVLCADWWKGDSVISGGADSKLCIASGIEIV >ORGLA07G0163300.1 pep chromosome:AGI1.1:7:17493937:17495187:1 gene:ORGLA07G0163300 transcript:ORGLA07G0163300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF794) [Source:Projected from Arabidopsis thaliana (AT5G61930) TAIR;Acc:AT5G61930] MPPSGLFPRRALAEGAGALGRSLPLVGGGSWTRLVHGGGGGSEAEGEEFPYADVPRPGRKWERKPYVTPMKVLIRRAKEERRARRENPCRVLERPPENGLLVPGLVGVAHQVHGAWESLLRGLTRLVEGGAAGAVKRCRFCPEVHVGVAGHDIRTCEGPGSAARNALHVWRPGTARDVVGFPYCYHLFDRVGKPRVSHKEKYDVPRLPAILELCIQAGVDVERYPAKRRTRPVYSIEGRIVDFEPDDDEDDSAGDTGPSPPSLAADEAGEEMTMSELGARTLQSWLDMRAGAARLMGKYGVVTCGYCPEVQVGPRGHKVRMCKASKHQQRDGQHAWQEATVDDLVRPNYVWHVPATGHGGDGGAPSLANELKRYYGKAPAVVELCVRAGAPVPAQYRSMMRLDVVPPARDEHDLVA >ORGLA07G0163200.1 pep chromosome:AGI1.1:7:17488895:17489236:-1 gene:ORGLA07G0163200 transcript:ORGLA07G0163200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIAISLLLAALLACAAASGAAARRLEEEETSSTTAPAEEEDQEEPNHPAAVFPELPVPEHELPPLPKVVGLPPLFPEAHLPPFPEVDLPPKPEFPGVPEFHFPAEQEAKP >ORGLA07G0163100.1 pep chromosome:AGI1.1:7:17485793:17486020:-1 gene:ORGLA07G0163100 transcript:ORGLA07G0163100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAMAARKIAMSTSSLLLLLLAAALLLTGDAARILQEAAWPPYDYPKPDDQPPPLLPTPDAVPNPNQPAPLQPTPGV >ORGLA07G0163000.1 pep chromosome:AGI1.1:7:17472572:17472982:-1 gene:ORGLA07G0163000 transcript:ORGLA07G0163000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNFMSSSLLFLMALLLSWSSISSAARYLEEEAAPKEEYPELPKPELPHGAAVPEFPKVPELPHPEVPELPKVPELPHPKVPELPKVPELPKPELPEHPAVPELPKPELPSLPKVELPPLPKPEFHFPEPEAKP >ORGLA07G0162900.1 pep chromosome:AGI1.1:7:17470021:17470413:-1 gene:ORGLA07G0162900 transcript:ORGLA07G0162900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHTKPSILLLAAALLLLSCSSIGGAARYLEEAAPAAAEEEHPAHPAVPELPKPELPELPKVPELPHPVVPELPKPELPKIPEVPHLAVPELPKPELPEIPKAELPPLPKFELPPKPEFHFPEPEAKP >ORGLA07G0162800.1 pep chromosome:AGI1.1:7:17466742:17467350:-1 gene:ORGLA07G0162800 transcript:ORGLA07G0162800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPHPAVPEELPKPELPPHPAVPELPKHEEPPHPVVPELPKHEEPPHPIVPELPKHEEPPHPVVPELPKHEEPPHPVVPELPKHEEPPHPVVPELPKPELPPHPVVPELPKHEEPHPAVPELPKPEVPEHELPPKPESHYPEVPTAKP >ORGLA07G0162700.1 pep chromosome:AGI1.1:7:17456538:17456999:-1 gene:ORGLA07G0162700 transcript:ORGLA07G0162700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSAVLLMAALLLSCSAARHLEEKAPHYPAVPEMPKPELPELPKPELPPPLPELPHPVVPELPPHPAVPEVPKLPELPPLPKPELPPHPVVPEMLPHPVVPELPHYPAVPGFPKHGLPQKPELPPLPTAELPPEAEVHDPEPEAKQP >ORGLA07G0162600.1 pep chromosome:AGI1.1:7:17450110:17451627:-1 gene:ORGLA07G0162600 transcript:ORGLA07G0162600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26500) TAIR;Acc:AT1G26500] LPPPPPTLPPPPPPPLHRRGSCRRSRPAPAPGRPTDPSLLLRLCTVLYQHQHAPEDALRRRLSALPLPSAAPDDLRELFLQASARFPLSWRPVRRLLDHLTAAHGFAHSPATAARFLDVLAKSRNVDLLHSTLLSFPPALRSPAALRAAIRGLVPAREVGKVSSLLALFTDADRPRTLTFITDVVCSVCKLPDVAEKVIKQAEHRYGVSRTGRCCELLVVGYCRAGMLSDACRVWNGMERRGLDPGAAAYEEIVVTLFKNNRVADAMKVFDGMRRRGVSDGCRGGCYRAVVSWLCKEGRMWGAYMVFAEMFKRGVEVDGEVMGDLVYGLLVRRRVREGYRVFHGVKEKDIALYHGLMKGLIRIKRAREATEVFREMVATGCEPNMHTYIMLLQGHLGKRGRKGRDPLVNFESIFVGGLVKAGRTLEATKFVERTMWGGVDVPRFDYNRFLYYFSNEEGVSMFEEVGRRLKDVGHVDLGDIFLTYGERMATRDRRRRAMNGLLTEV >ORGLA07G0162500.1 pep chromosome:AGI1.1:7:17445318:17449114:-1 gene:ORGLA07G0162500 transcript:ORGLA07G0162500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAVVSAAEGAIHTLLGKLGTIVLQEAQLLGGIRGELQHLKDELESMTAFLQDLSGRDECGKQVKIWKKHVREIAYDIEDCIDEFKHQLGDSSSAGGSGPVVFFRKATHILQTTRVRHRIAKQIQELKRRTMNISARNSRYSAKHLISGTAGNSMAAYDSQANLLNVDTRITALFPERRQLVGIEPRQGNLVHWLLEAHVQQLRVVSIFGFGGLGKTTLAMTTYQSLSGRNGPFQCQAFVTVSQSFDVKVLMRDILLQITQPVNQPSSPSTGAGKGPMEGLLKGMETWNVVQLASILRQQLDNKRYLIVLDDIWSMNAWEGIRFSLPDSNNGSRIVVTTRIRAVAHTCCFHEYDRAYEIKPLTDCESRDLFFKRIFGSSICPEHLEDISAKILGKCGGTPLSIVSIAGLLASKPVHSKDLWEKIYSSLGSEIETNPSLDRLKKILELSYNDLPYHLKTCFLYLSIYPEDHNIRRKTILRRWIAERFVTGKRGLSVFEVAESYFDEFINRSIIQPVTTSFTGKVKTFRVHDVMLEIIVSKSIEDNFITLVGEQNTLFPQEKIRRLTVHSRGVKYIATREILCHVRSLSIFAGGETLQFGWMKLMRILDLEGYEFLRNRDLKDLCRLFQLEYLNLRRTHITELPTQIGNLKKLDTLDIRDTAIKHLPPGITNLPHLANLLGGRRSYNHTGRCPISEFWGLHIPNELRKMDSLTTLAQVEITTSTSHYISELSKLSRLRKLGVLMFVDDDSTWASLISALEKLSGSLRSLLLWRPDGAMNFNIVNSLSSPPIFMKSMNLRGQLTQLPCWFPLLSNITELTLRATELSAEEDLKVLGSLPSLLYLRLHHNAYIGTEFSASAGEFPSLRLLVIHLDMSEDWEARFEEGALPKLARLELSLFEEASIQEITGIEFLPSLKEVSIRACHSNIVNVEEIATSLRADAEKNINKPIVTFEEKQWVPMRSRTDPPLDHMGNLLSSSFDED >ORGLA07G0162400.1 pep chromosome:AGI1.1:7:17440882:17444424:1 gene:ORGLA07G0162400 transcript:ORGLA07G0162400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26460) TAIR;Acc:AT1G26460] MAAAAATSRLLRRHPPPLPLLLRSSISSSRPLLQQPELSPAAAADAAPATDAAPLPPKPSTGSPFYGENWRNPAAAANPSSSSLLPAVVGGGAHARAAAYSASPGAAELKETFAEWMAEQRWEEMKQLFEFWVRSLDPASGKPNRPDVDLFNHYLRAQLMSGALPIEMLDLAEQMREFEITPNTASHNLILKSMVQAQEADGAEKLIERMLQTGTQPDDESYNLVVNLLIKLNRVDSTLKYLDLMLKSGYTISSSVFVEYVRACVRSGRLDTLASVIEKCKATDQNKVLCPPWSWCVEIAEAAFEANNSKLGLFALEYLARWIARSERVIPPLHLSVDEGLVLSALSAAGRTCSTDLLNAAWSILRKSLHQKRAPTPEAYLAKIYAHSSIGHLQRAFGTLREFENTYGNFEDIDSELFSPFTSLRPLVVACCKDGYTTLDSVYVQLENLSSADTPYKSVAALNCVILGCANIWDLERAYETFEAIKEKFGLTPDIHSYNALLHAFGKRKKTEEACNVFQHLVSLGVKPNATTYGLLVDTHLVNRDAKAALAVIAEMVDTGFTPSKETLKKVRRRCSRESDFDSDEKVQSLAKQFNYRMGGENRREMLFNIEYSAEFASTPSPS >ORGLA07G0162300.1 pep chromosome:AGI1.1:7:17436052:17439875:1 gene:ORGLA07G0162300 transcript:ORGLA07G0162300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalamin biosynthesis CobW-like protein [Source:Projected from Arabidopsis thaliana (AT1G26520) TAIR;Acc:AT1G26520] MEDDDECPPLAVELSPEKPYSPPPLGPSAASPVGVTVITGYLGAGKSTLVNYILSAQHGKRIAVILNEFGEEIGVERAMINEGQGGALVEEWVELANGCVCCTVKHSLVQALEQLVQRKERMDHILLETTGLADPAPLVSILWLDDQLESSIKLDSIITVIDAKNFRLQIDEHKKSSSFPEAFHQIAFADVVILNKIDLVEGSLEDLERQIHEVNALVTVVQSVRCQVDLNKIFDQQAYGAKNSSQLQELLEYSKSVPPNLRHDNSISTLCICEQDPISLSKVESWLEDLLWERKSDMDIYRCKGILHVHNSDQVHTLQAVREVYEVLPAREWSKTESRTNKIVVIGRNLDINILQDSFSRCKH >ORGLA07G0162200.1 pep chromosome:AGI1.1:7:17427947:17429212:1 gene:ORGLA07G0162200 transcript:ORGLA07G0162200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGVELSGVMHRCRVCGKGFSCGRSLGGHMRSHISFGEAAAELGANGGVVGYGLRENPKKTRRLSEFDGDGDGEEVEVEEGGDGGELRACRECGKLFSSWRSLFGHMRRHASGGGGRNHDDDDDDDVDVEDEFGGGEEEEIVAPAPAAVTVIAAPPRRRRRSMRVAAPAPAPPRPVLLGGFEKEQEDVALGLLMLSRDTGVWRSPVKAETFEKPEQKKKKATAKQPPPLPLPRNGYGYGYNSDEDSALLQYGGDVAKSRKRRASYHSPNSISSKKKQQPRAAAPAKRTRYECPGCGKVFASYQALGGHRASHKRINTSCSAPKVSPATAAAAAPAPEPSSETYASLSTLSPSASPGSAAAGIGDRKANNKSSAEEEKFGGACSSDELYAELELEQRSPAAAAGFLDLNFPPASSEVGVN >ORGLA07G0162100.1 pep chromosome:AGI1.1:7:17419526:17422311:-1 gene:ORGLA07G0162100 transcript:ORGLA07G0162100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLVNMFLCLISQDNVILIEFPEFSVSRAYPLLSLQMSSSSNGNGHYPVNGAKVLQKRENNQEKMLLDKNAAFRACQKDRHYIEKLETELRNCYQEIDYLQDQLNIRNVEANIMGEHIHSLELKLTELEKFPERVRVIDDELMRSDSQCWLLMEEVRCQEEKLKKAALQIEKLENVNLDSQCEIESLKLDLTTLEQRLFDTDSFGQHVSADKAIADNKLREYELQLQEAHKTIDHLLLENKELKRLFPGGVPTALTSDEQVDKTIEKIDGQYYERGGAILENMAKRSEESELLIEQLKEELREQKLKAKEDAEDLTQEMAELRYQITGMLEEEYKRRSCIEQAAIQQIQELEAQVSKEQRKLSGALRKLQESHELAQKQSMEIKKLKDSLGRFNSALNHGTVCRSCSCGFCAMLLELSNCSIEGPVDVRSSNEKPQNQALLEWRPDEDADGEAG >ORGLA07G0162000.1 pep chromosome:AGI1.1:7:17412135:17413107:1 gene:ORGLA07G0162000 transcript:ORGLA07G0162000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPATMKVLSLVLGLILVMLAAVLTDASIELLVRFSRAVGATSYGEAMGDAFDPLKYTPAVSVALAIVFVVINVGIATIKLMRGQIPMPKLFPDVHDWSSTWRLHTAAPVLLKDHSQIRPIVRASLLLCLVVYTTTSFFDFLLFGEATLDDMLVFPIVFHALRFNMDGLLFPSARPLSCEAATTGGSARSRRRSSRSSSSPPTSTPTSGTRSSSLGVAAWATTSTTEAAATAASRP >ORGLA07G0161900.1 pep chromosome:AGI1.1:7:17409552:17411694:-1 gene:ORGLA07G0161900 transcript:ORGLA07G0161900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSFLAAGRRLIRLGRGRLLPAGHARSHGSTPALIRAAAAASSPASSRGHSGGRKPARPPSLQSTLWPLGHPGTLLVPEIERWAAKPGNRLRHVELERIVKELRKRRRHRQALEVSEWMNAKGHVKFLPKDHAVHLDLIGEIHGSSAAETYFNNLPDKDKTEKPYGALLNCYTRELLVEKSLAHFQKMKELGFVFSTLPYNNIMGLYTNLGQHEKVPSVIAEMKSNGIVPDNFSYRICINSYGTRADFFGMENTLEEMECEPKIVVDWNTYAVVASNYIKGNIREKAFSALKKAEAKINIKDSDSYNHLISLYGHLGDKSEVNRLWALQMSNCNRHINKDYTTMLAVLVKLNEIEEAEVLLKEWESSGNAFDFQVPNVLLTGYRQKDLLDKAEALLDDFLKKGKMPPSTSWAIVAAGYAEKGDAAKAYELTKNALCVYAPNTGWIPRPGMIEMILKYLGDEGDVEEVEIFVDLLKVAVPLNSDMTDALSRARMREEKKVKDAV >ORGLA07G0161800.1 pep chromosome:AGI1.1:7:17406919:17408785:-1 gene:ORGLA07G0161800 transcript:ORGLA07G0161800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLPLLAAALLVLFSGLHPAASTAAAGGEAATVVVKAAAAVSRTDDNFVCATLDWWPRDKCNYGMCPWYNASIINLDLNNTILNNAVKAFNSLRIRLGGSLQDQVTYKVGSNYGDCRSFQRDDGGLFGFTDGCLEMNRWDELNVFFKRTNTTVTFGLNALKGRRKAAGGKDTLYSGDWDARNALDLMRYTAGKGYRVESWELGNELSGSGVAARVAAAQYGRDVAVLRKAVERVYGGGGEVPKVLAPGGFYDGAWFSEMLRVSGRGAVDGVTHHIYNLGSGKDRDLARKMQDPGYLDQVEKTFRDMAATVRGSGPWSSPWVGESGGAYNSGGKGVSDRYVNGFWYLDQLGMSAAHGTRVYCRQALVGGNYCLLNTTTFVPNPDYYGALLWHRLMGPVVLKAATTAGGGGSPYLRSYAHCSREKPGVTVLLINLSNATAFDVSVAGGALGAAPCGGRREEYHLSPRGGDIQSQVVLLNGEALALGPGGEIPELRPAVVGDGCAAPLRVAARGIAFVRFTEFKAPACAA >ORGLA07G0161700.1 pep chromosome:AGI1.1:7:17392773:17405650:1 gene:ORGLA07G0161700 transcript:ORGLA07G0161700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / HNH endonuclease domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G07810) TAIR;Acc:AT5G07810] MGITEEQRRRIEANRLAALERRKRFAEAAAADASVGWRLAKCPRFAPPPPQPTLPPPPPRTLPPPPPPPPPQPPVGFKVVLEVCGPEDFSVAVGPAEGFAYPGEAECLRAVQDCISSAAPFSTTQSQSGHIFSVFKLMDYEPVLKCLKKLPGVAVKDIPYKTRNVIKNLPKFFAESCASDKEVDGLLMKLPQHLRDALLPFQLEGVKFGLRRHGRCLIADEMGLGKTLQAIAIACCYKDEGPVLIVCPAVLRYTWAEELERWDPSFLPKDIHLVFGHQDSLERLGACPKAVVISYQMLSRLRKSMMNRRWALMIIDESHNIRCTKKKHEKNETQAVLELAPNVSRIVLLSGTPSLSRPFDIYHQINMLWPHLLGSNKFDFANKYCLLHTVQGCNGRTYQDFSKGARLTELNVLLSQTLMIRRLKEHLLNELPPKRRQIIRLKLNASDIKTAISCIKGVNTSDEIPTIASPDNSNDSEEVNMEEADGCKKSPRNLTTQEIGIAKIPGFSEWFLNHFIMKEPADNGSLDSQSNCQKTIIFAHHLKVLDGVQVFVTEQRIKYVRIDGSTSPRERKDAVDSFRLNPEVMVAIIGITAGGVGLDFSSAQNVVFVELPKSASELLQAEDRAHRRGQTNAVNIYIFCARNTLDESHWLHLNQSLFRVSSLMNGKKDAIREIEVDQVYHLEESSKSEGKSQLGFLPLENHNADCGHGPGENVESDDMSIENFPGLNDMNFESDFFSIRTIPLHFEDDSLHTVMQNCPSPTAHEEIAFDSSSISQASVICPSMSSAKSVKARRRLSEIFQTLGLPATTDCNIEEKFLRFEVSQHTGRIHLYICVPGHDSRPRPLFENFLPEEVESPLCSDNAMKTSNRLLKRDPAFCNTFKAFVKEWLALRPIKQKRLLGKPLQLPLSLELCYLKDTNNHSTKGLLKGGSKRRVTPWCDISNPLPENAEWRKVVLHNGTSREREYTQGWTVHDEPLCKLCQGPCNGRLAKSPEYFEDLFCGLPCFEVFRSRTSGRFARQALFEIEHGICSKCKLDCHELVKNIRPLCKKKREEYIRNVAPNIASRLKLLDKLVHEPNEGNAWHADHIVPVYKGGGECTLENLRTLCVACHSEVTCSQQKERKELTKKAKELLKNTVNNQQKDEASEAAEEDDDKSLLVVVPGSAYS >ORGLA07G0161600.1 pep chromosome:AGI1.1:7:17385894:17386640:1 gene:ORGLA07G0161600 transcript:ORGLA07G0161600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQHRCMHSASCVCIKGHLSEDALFLVFRHMNWNPRLIAVLSCVCKWFDEVAKQVLWKEFCHARAPKMMLDLHSGGSHIVDGNWKALGKLLIYCNGCTKGGLFNNIHVPGHFVFRTRFSRTAGKSFLPQQCRNDVLYVSDPCEHLDQGDEGDLGFFRGIFKSFATSKVKKMLIEKRARFHPSESCPYCKAKLWSMLEANMLPGSSSARLGAYEDSVEYFVCLNGHVIGIGTLLPLSDSEEVPEE >ORGLA07G0161500.1 pep chromosome:AGI1.1:7:17378354:17381433:1 gene:ORGLA07G0161500 transcript:ORGLA07G0161500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase (SpoU) family protein [Source:Projected from Arabidopsis thaliana (AT5G15390) TAIR;Acc:AT5G15390] MASRTLSVSSLASAFASIPRPRPPPPPPSRIRASPLSTAAAAASDGDAADGVDTVEQLLVTKPPASSSSSSAGRGRIDRLMKLQRRADGDFPPGGAGRRWFPYLDAFRAAGGVELTSREVLEVLEPHIMEARRERIRRAVENRSYSVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCDNNKRYRDNRHVSMGAEKWLDIELWSSTAECFDALKKRGYRIATTYLGNDSVCVYDMDWSQPTAIVVGNELMGISDDALKLSDLHCSVPMKGMVDSFNVSVAAGILMHHAVCDRISRIGHHGDLLPEESRILLAEFYLRHRESTAGIVHEYAKRKAGNFMAKL >ORGLA07G0161400.1 pep chromosome:AGI1.1:7:17373425:17375434:1 gene:ORGLA07G0161400 transcript:ORGLA07G0161400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCRVCVTGASGYIATCLVKKLLERGCIVHGTLRNLGDEKKAAPLRELPGAAERLVLFEADMYDADTFEPAIAGCEFVFLLATPFQHEPSSKYKNTAEAAVDAMRIILKQCERSKTVKRVIHTASVTAASPLREDGGEGYKDFINESCWTPLGQSHPYSSDMSAINQVYASSKTLSEKALLRYNESESRAFEVVTLACALVGGDADTTRLYHLLSVPAIVAPLIGQESYHGGLKYLQALLGSVPLAHIDDVCDAHVFCMEQPSIAGRFLCAAGYPNMKDFVDHFSAKYPEITIKLREVVGEGVRVGADTNKLTDLGFRYKYGVKETLEGSVECAKRMGLL >ORGLA07G0161300.1 pep chromosome:AGI1.1:7:17353996:17354367:1 gene:ORGLA07G0161300 transcript:ORGLA07G0161300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPTWPHMSSSLLLYPLSPLSSAPTLPIHALLNTVAADADPPRVVLIFGGGPIRVGAVPSLRCIITISAGINHIDLRECACPGVQVVNAGGVYSTAVGPVXRARRGVRADGGDTAHLDRGHA >ORGLA07G0161200.1 pep chromosome:AGI1.1:7:17340835:17344194:-1 gene:ORGLA07G0161200 transcript:ORGLA07G0161200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLGFLCLLLFAGQVAVVASDDDREVLVELKRFLQANNRFNRGEYDRWPESDASPCRWAGVTCDGRGRVTALDLSGSAISGAAFGNFSRLTALTWLDLSDNGIGGELPAGDLAQCRGLVHLNLSHNLIAGGLDVSGLTKLRTLDVSGNRFVGGAAASFVPAACGDLAVLNVSGNGFTGDITGLFDGCPKLEYIDLSTNNFTGELWPGIARFTQFNVAENNLTGGVPAATFPGGCKLRSLDLSANHFAGEFPDSIASCSNLTYLSLWGNGFAGKIPAGIGELAGLETLILGKNRFDRRIPPELTNCTSLQFLDMSTNAFGGDMQGILGEFVTLKYLVLHHNNYTGGIVSSGVLRLPLLARLDLSFNQFSGELPLEVADMKSLKYLMLPANSFSGGIPPEYGRLAELQALDLSYNGLTGRIPASIGNLTSLLWLMLAGNQLSGEIPPEIGNCSSLLWLNLADNRLTGRIPPEMAEIGRNPAPTFEKNRKDVSVLAGSGECQAMRRWIPATYPPFNFVYTVMTRENCRSIWDRLLKGYGIIPICTNSSSPVRSNTISGYVQLSGNKLSGEIPSQIGAMRNLSLLHLDNNQLTGRLPPAISHLPLVVLNVSNNSISGGIPPEIGHILCLEILDLAYNNFSGELPASLGNLTGLNKFNVSYNPLLSGDVPTTGQLGTFDELSFLGDPLITLQDRGPRRQRAPQAAIRGRGMSPRTIALWFVFSLIIAFIAGTVVFIMANLRARFPVDQDPDPESLSCENPKCGGGGGGGGKCGAFHMSATSSPPSGCSSSCVTGCSSSSEGVKVFRLDKTAFTYRDIVAATSGFSDDRVVGRGGYGVVYRGVLPDGRDVAVKKLARLRDCGGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSSGAVLWDMLMLGMRCTADSPQERPDMPDVLAALLDIAGSGCGGGSSSRGGE >ORGLA07G0161100.1 pep chromosome:AGI1.1:7:17333340:17338329:1 gene:ORGLA07G0161100 transcript:ORGLA07G0161100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Saccharopine dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G39410) TAIR;Acc:AT5G39410] MATPPPPPAPTPEVFDVVIFGASGFTGRYVIREALKFLPPSSSPLXXXXXXXXXXXXXXXXXXWAAGPGGAAPDLPILVADASDPASLAALAARARVVLSCAGPFRLHGRQVAAACAAAGADCLDISGEPEFMERVEAEFHEAAAKNGSLIISACGFDSIPAELGFLFHSRQWAPPSVPVTVQAYLSLESDKRIVGNFGTYESGVLGVANAGELQALRRSRPRRPRPNIPGPPPPKGSLVEHDKALGLWTIKLPSADTVVVKRTLSTVTEHPEGLPGVEESADFAEHRKSFWSSVKPAHFGVKLTSKSLLGIAQFIFTGLCIGLLGGFSFGRSLLLKFPSFFSAGWFRKSGPTEEQVSSASFKMWFVGRGYSDAARASDRGSKPDKEIITRVSGPEIGYITTPIVLVQCALVLLSQRGNLPKGGVYTPGAVFGPTDIQQRLQENGLLFDLVSTRTL >ORGLA07G0161000.1 pep chromosome:AGI1.1:7:17325804:17328335:-1 gene:ORGLA07G0161000 transcript:ORGLA07G0161000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A [Source:UniProtKB/TrEMBL;Acc:I1QBW8] MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTESGNTKDDLRLPTDDSLLGQIKTGFGEGKDLVVTVMSAMGEEQICALKDIGPK >ORGLA07G0160900.1 pep chromosome:AGI1.1:7:17320016:17323102:-1 gene:ORGLA07G0160900 transcript:ORGLA07G0160900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRVAGGGGGSLWGPPQPPPSTGGGIPQLPAAAAAPVEGLLDAPFSSSSGGGGGGWPPPPPPLSGTAVLIGYPQGNFETFPQQDLVPLTAQEVHSKCITFGRAENLSFIPLATSALVSQHTGSSSVNVTPLQEILTSPSQISNVNTESIGVLQGLPASSIVLDRPTDDGYNWRKYGQKAVKGGEYPKSYYKCTHLNCLVRKNVEHSADGRIVQIIYRGQHTHERPSKRRFKDCGGISDDLDDFSGTTGTSVRSQPDYDDYCRKPIIPSGTMVAPLVKKIEDGDDQLSGSSDNQDEHDDEVRTADGASGDASANEGNVPAPGQKIIVSTTSEIDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTYLGCDVKKQVERSVEEPNAVITTYEGKHIHDVPAARNKSHVVANASLLQNTKSNTYCTEQSYTTITC >ORGLA07G0160800.1 pep chromosome:AGI1.1:7:17316042:17316686:1 gene:ORGLA07G0160800 transcript:ORGLA07G0160800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGREEGIWGGGREGMGGPXQLSAKGGRRKRDSIQRKRERRKAPRKKKWVVAGATSASAGDGGGGQRPDSPTPSESPRRPPKADWCRYGATRTPAIRRPRTGARIAAPAALHGRASRLGAMTPPPHKPPDAAAVASSHDSSALCCPKTPPPAHRPDPATAVPHLPPPGRDPSPSPLPTAGENSTSPTPEREHHRGESLADAFLARRPALPAAR >ORGLA07G0160700.1 pep chromosome:AGI1.1:7:17301343:17306428:-1 gene:ORGLA07G0160700 transcript:ORGLA07G0160700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKNAVSVTPAADSSGALRERSLPRAPEAAATVVSVTASSLRCSSAAAAGRRSEKKRDEAAVAAAGEEPSEKAVIAAATASRSFRLRSLRRSLEGEQVAAGWPPWLSAVAGEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARELDTGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLSSSPDIKFSEAQVKCYMNQLLSGLEHCHSRRIVHRDIKGANLLVNNEGVLKIADFGLANYFDPNKNHPLTSRVVTLWYRPPELLLGSTHYDAAVDLWSAGCVFAEMFRGKPILQGRTEVEQLHKIFKLCGSPADEYWKKSKLPHATIFKPHCPYQSTLQDVFKEMPANALRLLETLLSVEPYKRGTASAALTSEFFKTKPYACDPSSLPKYAPNKEMDAKLREDSHRRKASRGHGPEASRKSRLSRAARETTTVNKQTDGKEESKTKANGTKDNSILDRTKVNGDARLFSDIQPVSVAQVKERSRHVKNDSREEIPFSGPLIVSSSSGFAWAKKPPEDRSFARSRTRSSSRGQFTAELDQDNKMPAKENQNLGLKEQPNRDMHIARANSKVREPHDAAKRAVLKKWSQLGRPDSFDSYDTYHSQNFSNAMYLGDTLSSKNSIKGDHDQGERVEYSGPLLSQSHKVDELLEKHERHIRQVVRKSWFSRGKNNTSEEKTGHFCVGEAASRYIAVKGICQFLVSSVNRKLAFGGNIVDKIKTQLKTLYDSHIIVKSKLCLAASTR >ORGLA07G0160600.1 pep chromosome:AGI1.1:7:17283023:17292726:-1 gene:ORGLA07G0160600 transcript:ORGLA07G0160600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:I1QBW4] MALFRKFFLKKTPDRLLEISERVYVFDCCFSTDSMGEDEYRDYLSGIVAQLQDYFPDASFMVSNFWSGDKRSRISDILSEYDMTVMDYPQQYEGCPLLQLEMIHHFLKSCENWLSVEGQHNMLLMHCERGGWPVLAFMLAGLLLYRKTYTGEQKTLEMVYKQARRDFIQQFFPLNPQSSHMRYLHYITRQGSGPEKPPISRPLILDSIVLHVVPRFDAEGGCRPYLRVHGQDSSPSNKSAKVLYEMPKTKKHLQRYGQAEVPVKVGAFCRVQGDVVLECIHIGDNLDHEEIMFRVMFNTAFIQSNILGLNRDDIDVSWNSNNQFPRDFRAEVVFSDPGSFKPAAATVEEVDDDGDETDVASVDTGEEFYEAEEDWHDARRDPETQSTDGRTSIGDAELDGGVSREDSGSLEKHRADEDVKIVISQNLGCMSDRPVSAPAEILGNPGGLQQACENQEMPKLSNRSDQDDNAVQDIQVVAASVDSEGHKFGSICQKEDMKGVIAQTLVTAIDPSCSDEVQCQPDESAKILKYPNLDYTGFSSPRTLSSVDEDTRLGTIPNVALQNADVKIITESTVIVDNELVIYEEKTIVDNGNLTQEVKNVVNEESTTPKLDRSVIESVDSQDNKNHKMEVAKAADTTDSKMEQAKLKSGLEDAISLKKTTVQGSIVVLPATEIATKIKTKREESGAQAVPKIQAAPPPPPPPPPPYASSSSLSMHMGSATKQQPPPPPPPPPLPPPPPPPASSAPGPPPPPPPPGARPGPPPPPPPPGARPGPPPPPSPPGGRPSAPPPPPPGGRASAPPPPPPPSTRLGAPPPPPPPGAGGRAPPPPPAPGGRLGGPPPPPPPGGRAPPPPRGPGAPPPPGGNPSSLIGRGRGVVRASGSGFGAAAARKSTLKPLHWIKVTRALQGSLWEELQRNDDSQSVSEFDLSELESLFPAAVPKPNDSSKSDSRRKSLGSKPEKVHLIELRRANNTEIMLTKVKMPLPDLVSAALALDQSTLDVDQVENLIKFCPTKEEMELLKNYTGDKENLGKCEQFFLELMKVPRMESKLRVFSFKIQFGSQVADLRKSLNTIDSSCDEIRSSLKLKEIMKKILLLGNTLNQGTARGAAVGFRLDSLLKLTDTRATNNKMTLMHYLCKVLAAKSSQLLDFYMDLVSLEATSKIQLKMLAEEMQAVSKGLEKVQIEYNASESDGPVSEIFREKLKEFTDNAGADVQSLSSLFSEVGKKADALIKYFGEDPVRCPFEQVISTLLTFVTMFRKAHEENRKQAELDKKRAEKEAEAEKSKAQLASKNDSKPSNPSRQVKQTPDTKTRAASRRGKDVG >ORGLA07G0160500.1 pep chromosome:AGI1.1:7:17278193:17278393:1 gene:ORGLA07G0160500 transcript:ORGLA07G0160500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVGRACWRWEVVRMSSSPWDHADECLHLHFILPRVLTWRRCRSRSPRLDAAAAVFLFRSWKR >ORGLA07G0160400.1 pep chromosome:AGI1.1:7:17268349:17272781:-1 gene:ORGLA07G0160400 transcript:ORGLA07G0160400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT1G69420) TAIR;Acc:AT1G69420] MRRHGWQLPYHPLQVVAVSVFLALAFAFYVFFAPFVGKKVFQDVAVGLYTPLVSFVFFMYIWCAATDPADPGVLKSKKYLRLYGSGKHKHPKEFRHGISDSGLQVEGTGEKQEHEFAAASEKSTTRYKDNNPSCCSSTSSVFLIIFYPLYLVFSCCQPREWSEQQASEEGMFFCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYMRFFILMTSSLFLLILQSAVGVLVLVFCFVERKEFSIQIVSKLGSSFSVVPYVIVVASCTILAIVALLPIAQLLFFHILLIKKGISTYDYIIAIREQEQEEVGGQQSPQMSRVSSYTGLSSTSSFGGRRRGSWCTPPRLFLEDQFDVIPSEAGSSHNSTSKRKEDEVRRKKGSGGIKISPWALARLNAEEVSRVAAEARKKSKVLLPIRKDEYALGHETDSSYGGTSSSRIDLGPDNKRRTSRRARPHNDFSLKPVAKISADALDSHGSELVPETLSSLAPLQLEARSAFHPSRAASSANGGGSSPDSSLDSPDLHLYRVSAVSSSAAEDLQLTTLTAPGSTPQQGIELSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIILSSDQSVASSGILVPKNRLS >ORGLA07G0160300.1 pep chromosome:AGI1.1:7:17265189:17266187:1 gene:ORGLA07G0160300 transcript:ORGLA07G0160300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGGAVVRLPPMNALEILRETVRVLRADPHAFTSVLFFLLCPASGCLLLSAAALEGAAVLPLARRLLAAAAASGLPLTHFVRQLAHHLAATLVASVVSFPATFTLLLAARAAAAYAVAAVYAGKPLLAGAELSLLARRAWPRLAATYALACAAVIACLSSFLALLVTACSTLKFMLYPPDIVVCAGLLTVLAFSVAYAHTIIVCNLGGVIAVLEDIAGVSALRRSVQLMRGQTHVGLLIFLGSTIGLAFVEGLFEHRVKTLSYGDGSSRLWEGPLLVLMYSFVMLIDSMMSVVFYFTCRSSSMEILDDEGGKIEELEMMVGSNSVIR >ORGLA07G0160200.1 pep chromosome:AGI1.1:7:17259651:17262389:1 gene:ORGLA07G0160200 transcript:ORGLA07G0160200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin chaperone subunit family protein [Source:Projected from Arabidopsis thaliana (AT1G26660) TAIR;Acc:AT1G26660] MAMAAAEARVRQEKVKKFEDFVDRRLKPDLVNTIAQRDKVFQQQKTFLDLKRNIENLEKNGVTSMRSMVNLGSEVYAQAEVPDTRHIFVDIGLGFHVEFTWQEALQFISVRESRLARQIDEYTHLIASIKAQIKLVCEGIRELLELPAE >ORGLA07G0160100.1 pep chromosome:AGI1.1:7:17253859:17258050:-1 gene:ORGLA07G0160100 transcript:ORGLA07G0160100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERPALPPPQSPPFSAPASPYSALHPLLLPSPNPHLLLKPKTLTLSLSSSSLGSMPSSSPPGPAVPDAWELVTPTATVVAVGAAAVDDGGLDDCDVFPPRLHEGLGVEGEAEEAAAKEGEEDDGEEDDDDDEFGDGEWLWGWGRCRAAARRAWAAGVGAVREGLMVPGTCGCPAVRPAVWSAAGAAVVVGALLYARRRDRRERDLLVLLSQEKDKRIAQLLHQIALMSDMRSRGEAIKIIRTP >ORGLA07G0160000.1 pep chromosome:AGI1.1:7:17231247:17236641:1 gene:ORGLA07G0160000 transcript:ORGLA07G0160000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPSAAAAEGSQHASAAEEGSAAAAAPAAPAAKAAEALLPSLSIWPPSQRTRDAVVRRLVQTLAAPSILSQRYGAVPEAEAERAAAAVEAEAYAAVTESSSAAAAPASVEDGIEVLQAYSKEVSRRLLELAKSRAAPSPAAAAPAEGAASESEAAAAAAPAPVEDCYVVLNGIPVISAMFFHKCELTIKQDNCIFALAIPLTALIHFITFVLTEIGLGLSGFGVLFSFLGIIMLFDKGFLAIGNILFVSGVSLTIGPKSTVQFFTKPKNHKGSIAFGIGFFLVLIGWPFFGMLAEAYGFVKLFRGFWPTAAVYLQKSPTFGWIFHHPLVTSLITRFRGRRVPV >ORGLA07G0159900.1 pep chromosome:AGI1.1:7:17227478:17229260:-1 gene:ORGLA07G0159900 transcript:ORGLA07G0159900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMASQRLLLLLAVLLLTLLAATAAVVGGHGGDDVAGAEEAKPPDDDGAAAKLDVRARRWPRFPATDGQLVMGESRRKEEYICFPEHLCTCYSFFYDIVGRGEQLCCKHQLAARLAEAISKHQDIEVTDEELAHMLAKL >ORGLA07G0159800.1 pep chromosome:AGI1.1:7:17221625:17222191:1 gene:ORGLA07G0159800 transcript:ORGLA07G0159800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPEVVQHKAANRAAEDDHTTSIPWLKLGVVDALTAEAGKLPESNPKPAVAAPHRTFSCNYCMRKFFSSQALGGHQNAHKRERCAARKSLGFQQQHLMVGLSPTAPSSFLHHMRVNPHATILKVNRGDSSADGVVVAKFHGGQMSSSWVPFAVEHGRGSVWPGSFKASSQEQKKRTEEDLDLSLRL >ORGLA07G0159700.1 pep chromosome:AGI1.1:7:17207302:17209213:-1 gene:ORGLA07G0159700 transcript:ORGLA07G0159700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMTDVSTTGTALRTPAAGAVKEGDVEKLRFIDEMTTNVDAVQERVLGEILGRNAGTEYLTKCGLDGATDRAAFRAKVPVVSYDDLQPYIQRIANGDRSPILSTHPVSEFLTSSGTSAGERKLMPTIMDELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETKTPGGLTARPVLTSYYKSDHFKNRPYDPYHNYTSPTAAILCADAFQSMYAQMVCGLCQRNDVLRLGAVFASGLLRAIRFLQLNWEQLADDIESGELTPRVTDPSVREAVAAILLPDPELAKLIRAECSKGDWAGIITRVWPNTKYLDVIVTGAMAQYIPTLEFYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFEFLPVDETGAASGDATQLVDLARVEVGREYELVITTYAGLNRYRVGDVLRVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQRAVERASALLRPHGASVVEYTSQACTKRIPGHYVIYWELLTKGAGATVVDADTLGRCCLEMEEALNTVYRQSRVADGSIGPLEIRVVRPGTFEELMDYAISRGASINQYKVPRCVTFPPIVELLDSRVVSSHFSPALPHWTPARRSE >ORGLA07G0159600.1 pep chromosome:AGI1.1:7:17203335:17203964:-1 gene:ORGLA07G0159600 transcript:ORGLA07G0159600.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKTGGQRCGDGVRQPPRGRGQLRCHRRSREEGRRRPLAASEEELSDGGVASTTQQGGGADGEKPAGGGGGVSDMAGRITSEAGATGSLPLLSPLPRQPALELPIHGLGAAAADVELISVGRHSSTMPCCRRAGAELPLVFSPLKLSALPPPPAFLGATRRRPTAARVASPSLPFLPAHKNERKKREKKEV >ORGLA07G0159500.1 pep chromosome:AGI1.1:7:17196121:17198562:-1 gene:ORGLA07G0159500 transcript:ORGLA07G0159500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:I1QBV3] MATEDTSHISGSSESTIPLLEQLAEVFGKLKSHTETSLQLQNGINWEDIKAHFLNLEKSYKSKCDELAEKQKALEEKKAESCRLIAEKEANVSAKERAFLNQFQELRDTAVSALSEVRQKYKVELAGILDANGSKDKKVRTSTNDMNALCASEENTTASGLGEPSEASPVDVKPRPVLKQLCEQMDTAGLLKFLSENWKKLSSLRDELSAALRCATDPARFVLGSLEGFFPSDHTSSPGNKQIILQGQRRSCIILMEAITPALATKEPGDNHPWSSEIRELAKAIAEEWKSKLAEVDLDASDGYSLEAQAFLQLLTTFNVDSVLDEDELCKLVVAVSRRKQTAELCRSLCLNERIPDIIKELVNRHRQIDAVQFIHAFGLSESFPPAPLLKTYVEELKDSLGNNGDGNAASLKDDPKTRELLALRAVIKCIEEYKLQKDYPLGPLQKRVAELKSKGEKRPLEAGRHNAKKPRTFGNSAARRPPNPVGSAGRRPSGPAGTWQRPPPPMPSYPDRYGHADRYHYTAPSATYDPPAYASYSEPYSASKPYQYTPGSVAPASYNSNQFKVAYGGPGAPPTAGGYGSYNDAAGQSTSSSYSGYSGYHPSLPRL >ORGLA07G0159400.1 pep chromosome:AGI1.1:7:17188000:17195186:-1 gene:ORGLA07G0159400 transcript:ORGLA07G0159400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSRRLGSLVPFAAAVVLLLLIASAAAAAAGSPDRAGPARPPLVLPLTLAYPNATRLPASSARRGLGDGHNPNARMRLHDDLLTNGYYTTRLYIGTPSQEFALIVDSGSTVTYVPCATCEQCGNHQDPRFQPDLSSTYSPVKCNVDCTCDNERSQCTYERQYAEMSSSSGVLGEDIMSFGKESELKPQRAVFGCENTETGDLFSQHADGIMGLGRGQLSIMDQLVEKGVISDSFSLCYGGMDVGGGTMVLGGMPAPPDMVFSHSNPVRSPYYNIELKEIHVAGKALRLDPKIFNSKHGTVLDSGTTYAYLPEQAFVAFKDAVTNKVNSLKKIRGPDPNYKDICFAGAGRNVSQLSEVFPDVDMVFGNGQKLSLSPENYLFRHSKVEGAYCLGVFQNGKDPTTLLGGIVVRNTLVTYDRHNEKIGFWKTNCSELWERLHISEVPSSAPSDSEGDMAPAPAPSGLPEFDVGRITVDMSINVTYPNLKPHLHELAELIAKELDIDSRQVRVMNVTSQGNSTLIRWGIFPAGPSNSMTNTTAMGIIYRLTQHHVQLPENLGSYQLLEWNVQPLSKRSWFRDHVVSILLGILLVVLLTLSALLVLIVWRKKFRGQAAYRPVDSAVPEQELQPL >ORGLA07G0159300.1 pep chromosome:AGI1.1:7:17186351:17187468:1 gene:ORGLA07G0159300 transcript:ORGLA07G0159300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRATQLVVRREKSGAMGALCGLGSHFSTASSCQRLPGKVAVITGAASGIGKATAAEFIRNGAKVILADIQDDLGRAVAAELGPDAAYTRCDVTDEAQIAAAVDLAVARHGRLDILYSNAGISGSSAPAPLASLDLADFDRVMAANARSAVAAVKHAARVMVPRRGGCVLCTGSTTGMLGGLAALPYSLSKAAVVGVVRLAAAELARSGVRVNAISPHAIATPLLVRSLARMNPGVSDEQLKEMVERGMSELHAAVLELEDVARAAVYLASDEAKFVTGQNHVIDGGFTVGKPMDMRVPR >ORGLA07G0159200.1 pep chromosome:AGI1.1:7:17184265:17184674:1 gene:ORGLA07G0159200 transcript:ORGLA07G0159200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPLRATTALLATLLVAASFQDLTVAADGGGGVVPVPDSVCDAKCQKRCSLKLAGRCMGLCKMCCHDCGGCVPSGPYASKDECPCYRDMVSPKSRRPKCP >ORGLA07G0159100.1 pep chromosome:AGI1.1:7:17173652:17174348:1 gene:ORGLA07G0159100 transcript:ORGLA07G0159100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSIMVSGDEHKAKFLSYMITVFMVAMAVFFSSRMAGWAVCPGAGGITGGCVSGGEDVAKTCLAFALLTVAAQAHLASVLLMDEKKKRNPPAASWVAWLLAVSTCASVSGMFLAYISYGGFVAATSLEWPVAGVASAVNLAVAACTVLRYVRVNGDMDG >ORGLA07G0159000.1 pep chromosome:AGI1.1:7:17171602:17172162:1 gene:ORGLA07G0159000 transcript:ORGLA07G0159000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVVVYVATSAGSLFCRLMSSIASPEAAAARRRSGGLNDAVTCAVFAVLTAASQAFIACFVEEAVGPAPPATTTREERCAAWAVGVLTGVVTSLCISSYFFTYIAAGGVAPTSLQWTIAAVFSVANFVFVTPTIMRFIGRFNSARDD >ORGLA07G0158900.1 pep chromosome:AGI1.1:7:17169303:17169937:1 gene:ORGLA07G0158900 transcript:ORGLA07G0158900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGINEGRLLAFLIVLYASTIAASIGCRILGARLAAWRSDGLGATCMSFAALTLAMQAMLACVLEEKPAAAAVAVARRPASGGRLPWLVAAVSWMCVTNYFVAYIALGGNVAPTSLEWTAAGVASAANLAIATRTVRRHLGVSNPAKNES >ORGLA07G0158800.1 pep chromosome:AGI1.1:7:17166089:17166721:-1 gene:ORGLA07G0158800 transcript:ORGLA07G0158800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAPPSAPAAAAAPARGGRKRKRHLVTTSPAAQVGGWASLPTDLTRLVAGRVLAGDVVDYIAFRAVCSGWRACAPSPRDPTLRKHLLRPRAWVALCDGDAARPDDACEITFFHTRTARSLRVRLPELRCHRIVGFTDGLIILLHKRTTAVRVLNPFTGVAVDLPPLAPVFHQVVKNRNSLLYMLHQRHVSDDPHCRHRLVPYTAGVLGC >ORGLA07G0158700.1 pep chromosome:AGI1.1:7:17155890:17157630:-1 gene:ORGLA07G0158700 transcript:ORGLA07G0158700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKQGFFAALKEEVVRGLSPARSRGKSPARSASPGRMLIPRRRKEKQQQPPPEKLLQQYFAEPLISRSGSLRPGGEALAPLIEGPDPERLAAGGDFAGGEESARREGFGRWVRGQLARTPSVASSAAAAASPGGSGDSFRRSDLRLLLGVMGAPLAPIPSKLADPLPLLSIKGTPIESSSAQYILQQYMAASGGYRLLQSVRNAYAMGKVRMVASEFETATRVVKNRGPSGRGAASVEQGGFVLWQMAPDMWYVELAVGGSKVHAGSNGRLVWRHTPWLGAHAAKGPVRPLRRVLQGLDPLTTAGLFAEARCVGEKKVNGEDCFILKLSADPQTLKQRSEGPAEIIRHVLFGYFSQRTGLIVHIEDSHLTRIQPHSGGDAVYWETTISSALEDYRPVEGIMIAHAGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSVDCFIPPADIRSGPVGESCELPPPAAAPHGERARHPARVAAVDRAPPHHNAGGANVGRRGDKIMWRVEV >ORGLA07G0158600.1 pep chromosome:AGI1.1:7:17138630:17140984:1 gene:ORGLA07G0158600 transcript:ORGLA07G0158600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02860) TAIR;Acc:AT5G02860] MADTLAFPLPLRAPAPPPSSPISQASAHSSPPPRIFSLLSSSHPAPSSSTSRKPRLGRPGGGGQQPWHLPPLLSLPARRALLALLDDPGRATSPRDLLSGLPAPELAAVVGALGSRGQPGAALAALHAARELHGEGVLHHPRVLATAIRVMARAGRLAEASALLDAAPGPDAGAYTALVSAFSRAGRFRDAVAVFRRMVDSGVQPAIVTYNVVLHVYSKMAVPWKEVVELVASMKEHGVAPDRYTYNTLISCCRRRALYKEAAQVFDEMKASGFEPDKVTFNSLLDVYGKARRHDEAIEVIQEMERVGCPPSVVTYNSLISSYVKDGLLEQAVALKQEMEVKGIKPDVVTYTTLISGLDRAGKIDAAIVEYDEMVRNGCKPNLCTYNALIKMHGVRGKFPEMMAVFDELRSAGFVPDIVTWNTLLAVFGQNGLDSEVSGVFKEMKKAGYIPERDTYVSLISSYSRCGLFDLAMQIYKRMMEAGIYPDVSTYNAVLSALARGGRWEQAEKLFAEMEERDCKPDEYSYSSLLHAYANAKRLDKMKALSDDIYSERIEPHNWLVKTLILVNSKVNNLAEAEKAFLELRQKRCSLDINVLNAMVSIYGKNRMVRKVEKILSLMKESAINLSAATYNSLMHMYSRLGDCEKCENILTEIKSSGVRPDRYSYNTVIYAYGRKGQMKEASRLFSEMKCSGLKPDVVTYNIFVKSYVSNSMFEEAIELVRYMVTQGCKPNERTYNSIVEGYCRNGKLTDAKIFVSNLPQLHPGYSKQEQQNLFEVLAKYTQR >ORGLA07G0158500.1 pep chromosome:AGI1.1:7:17137514:17138148:-1 gene:ORGLA07G0158500 transcript:ORGLA07G0158500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWERLKERELGCSGRRADGSGGIDGLGGTDLKLELTCAEIPKEGLGNVPLNLDLACAKLPESEA >ORGLA07G0158400.1 pep chromosome:AGI1.1:7:17134555:17135061:-1 gene:ORGLA07G0158400 transcript:ORGLA07G0158400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVVMVMVVVTATAMAMAVQGSRHSDFFVEGEVYCDTCRAGFVTNVTTAIQGARVRLECRHYMSASGSVERSAEGTTDATGHYRMELVEVDNRGAELVCAVALLSSPVPECHEMEVGRDRAPVTLVQDVGLATMVRRANPLGFLQTMLARYANTDTRYVDTKMXHL >ORGLA07G0158300.1 pep chromosome:AGI1.1:7:17127913:17128333:-1 gene:ORGLA07G0158300 transcript:ORGLA07G0158300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYILACETVNVSTAFPLLGCRIHVVLPRDEATFRFVNEANKTKSGSSLCHKIFRQVVYGVAAVRSQVPPR >ORGLA07G0158200.1 pep chromosome:AGI1.1:7:17121923:17122522:1 gene:ORGLA07G0158200 transcript:ORGLA07G0158200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGGAADGGDLISLCLMALAAAARGESTALALALAPPPPELHFRCSLCGKAFASYQALGGHKASHRKPSAAAAAPPAHRDVVVAAAPASSGGVAADAAAASEADGRRRRHVCSLCRRGFATGQALGGHKRFHYLHGPSVSATVSSAETAASVGAAFDLNVAPIKEIAGEQRRCGEEADDDDEAESPSPAKKPRRRPG >ORGLA07G0158100.1 pep chromosome:AGI1.1:7:17119828:17121238:1 gene:ORGLA07G0158100 transcript:ORGLA07G0158100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDEPTTKAAGGEPKPSPATKAAGEQEEDDEEESSGGGGTEPENRVFLWTNFELVKEHAAVFVASGDSGPSFFRRKDTGFRVFRETVAHPSSVEKAPVSGYSLFRIKFQASYLGSLFASSAIVRCFDLTRAISSCHLRRL >ORGLA07G0158000.1 pep chromosome:AGI1.1:7:17101678:17102559:-1 gene:ORGLA07G0158000 transcript:ORGLA07G0158000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEKHQAMAGAADAAGGGGSVAKDTGDDFEFCVLSSGGLVSAGAGAAAADMCVADEVFSQGKLLPLRLSSAAAGDAAGLGVLPRSESVASTVGFGSRSDSRSASSSGSSSGCVSRSESSKSASSDHSAAHPPPQQQQQPRRSLSSSLFYAHPSPSPQLRTRPPRRSTGSAPPPPPATAWGIFRLGVVGAPDVYPPRSTDSKNAAAAAKVGSSRSARFEPASTAADKKHPVVGLFGDSFGCKCSPDVVEPVTLPAAAKRAKAKNKNKAGDKKAQSVRRSRILDWLEELTITKK >ORGLA07G0157900.1 pep chromosome:AGI1.1:7:17092142:17096201:1 gene:ORGLA07G0157900 transcript:ORGLA07G0157900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66240) TAIR;Acc:AT5G66240] MVSMEVTDEMFKCMEVGLAFRDYNGRISSMDFHSKATNYLVTACDDESIRLYDIQNAVCLKTINSKKYGVELVCFTENPTYVLHSSKNGWDDSLRLLSLVNNCFLRYFKGHLDRVVSISLCSENGNILSGSLDRTVLLWDSRVEKAQGLLRVQGRPAVSYDDQGLVFAIAYGGYIRMFDARNFEKQGPFDIFSVGNDDSEANVIKFSSDGRRLLLTTKAGRVHVLDSFHGNNIATYNVKPVVSNSTLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDSEPPLIRWAPGSLMFLTASSELSCWVPDLSKLGSFTVSK >ORGLA07G0157800.1 pep chromosome:AGI1.1:7:17086020:17087441:1 gene:ORGLA07G0157800 transcript:ORGLA07G0157800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFTHTAPPPPPLHPNGHGLGLGLYLDVGATRGGGGARPWSSSSSTTTLGGSGYFPSSAAASKISLGNLNSTGCMEQLLVHCANAIEANDATLTQQILWVLNNIAPADGDSNQRLTAAFLCALVSRASRTGACKAVTAAVADAVESAALHVHRFTAIELASFIDLTPWHRFGYTAANAAIVEAVEGFPVVHIVDLSTTHCMQIPTLIDMLAGRAEGPPILRLTVADVAPSAPPPALDMPYEELGAKLVNFARSRNMSMDFRVVPTSPADALTSLVDQLRVQQLVSDGGEALVVNCHMLLHTVPDETAGSVSLTTAQPPVSLRTMLLKSLRALDPTLVVVVDEDADFTAGDVVGRLRAAFNFLWIPYDAVDTFLPKGSEQRRWYEAEVGWKVENVLAQEGVERVERQEDRARWGQRMRAAGFRAAAFGEEAAGEVKAMLNDHAAGWGMKREDDDLVLTWKGHNVVFASAWAPS >ORGLA07G0157700.1 pep chromosome:AGI1.1:7:17067433:17068203:1 gene:ORGLA07G0157700 transcript:ORGLA07G0157700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEGCVLRPCLQWIDGAEAQGHATVFVAKFFGRAGLMSFLTAVPEPQRAAVFQSLLYEAAGRTINPVGGAVGLLSGGSWHLCQAAVDTVLRGGGIQPLPDQVDAAAAGGRDVFASTARRAVGGCSTFSTAKRSTTTTTTKNPGTPHDAAAAAPQPEPSCDLGLWLSPGSPPAPGDRRSGGRRADTPSMNSEGSVTTCGVVGGGEREPELLNLFV >ORGLA07G0157600.1 pep chromosome:AGI1.1:7:17062458:17063550:1 gene:ORGLA07G0157600 transcript:ORGLA07G0157600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:I1QBT4] MKFFAAPAGRVSLGAVVLVAASRCPLFSFFALLVLLLFQPHHQLAASHVAVNQQVSLVPDAAVAKAAGVGNGAVVDVGDEEEEGSGSRWMLIVMTTTRSGGRERRRRNAALAHVEKHYFPGVVHFADAVGVYDAHFFNKICQTDWPRPRTASPPPTPCSPADALLPSLAATSPVEEGLDGDITAGEEVDAGLPAPLACLPHPSMAVHLRACGFCNGGREQWQRRVASRQPPSSCRPSPATDALVLSLLSPPKAATHRRPPQLPTQTGEE >ORGLA07G0157500.1 pep chromosome:AGI1.1:7:17056187:17060315:1 gene:ORGLA07G0157500 transcript:ORGLA07G0157500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRASAAWVASRSSHVKVDMLEVEKVVDKIQGNVPKVEWDFEGIHYFDDGPLTVQYLFVLDALNFCFWPDKDLSYDHLASGLKLALEKDKTALDADRLQSYTGPQLRQLLNWPRPLPIEEERVRLLHEVGMELERSFGGEAANLVKSAGNSAATLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFHDIKSITIFADYIVPAVLRELGILKYGSNLSCSIDSSSEIVPGSEEEVEIRACSVYAVEKMRELINKKFGKQLLSIDIDLWLWSCGVQNMALSHHRTLSIYY >ORGLA07G0157400.1 pep chromosome:AGI1.1:7:17051515:17052195:1 gene:ORGLA07G0157400 transcript:ORGLA07G0157400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNEKPLVPPLSPTPVDFRAHQVFPSKHHDFDTSKSRNISGSVAIGSDSEEEYLATSLLMLAHGIRDETKDIRGMGDVKGVGVDTLELVKPSQRAYECSVCGKVYWCYQALGGHMTCHRNLFAQVVAGDELSSDGTMVVKGHKCSICRLEFPSGQALGGHMRVHYVGGVEAGSVKEKNVVKTKVTGALKLVLKDFDLNVPVVATMVGDEAESSHSEAKARMMTLP >ORGLA07G0157300.1 pep chromosome:AGI1.1:7:17040756:17044019:1 gene:ORGLA07G0157300 transcript:ORGLA07G0157300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGKPPVPPPSTPPMDSWACGGRRSKRRGGGGGSSGSSGSSGGGESEEEYLAACLLMLARGVRDEAEVVGVAAAAKPSQHGYGCSVCGKVYGSYQALGGHKTSHRKPPSPAAEPAAGEEPSSGGMITGEAKVHRCSICLRTFPSGQALGGHKRLHYEGGAVGDAVKEKNSLKTKAAAAAAVATAVLKDFDLNLPAAATTPGDEAESSPPEAKRALHFXLGDGDGWHDKHAVFRWLASSSGGNNIMGPHDSPARCVEYSYSPTCRYGTFATGGCDSFMAFGTALTKVPQPSNTHVTSLLVFQQGWPSASLSHPGARSISLTFLLRYXSYETHWVSTRYTIPNRYGHGYEFLPANPIGYQSGGQKRVRHISLTQSLSVMLTKWK >ORGLA07G0157200.1 pep chromosome:AGI1.1:7:17029453:17033674:1 gene:ORGLA07G0157200 transcript:ORGLA07G0157200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPTGDPPVRVAVASGGGGGGMGLGKELREPPSDGVSSLRFSKHSDRLLVSSWDKTVRLYDAEANVPRGAFMHAASVLGCCFHDDSSGFSASADNTVRRLAFSSGGNYFLGRHDAAVSCVEYSYSTGQVITGSWDKTIMCWDPRGVNGTSHHTLVGTHNQPERVYSLSVSGYNLVVATAGRHVNVYDLRSMSRPEQQRESPLRYQTRCVQCYPNGTGFALGSVEGRVAMEFYDQSESAPYKKYSFKCHRVPEDGETKVYPVNAISFHPVHGTFATGGCDRFVNLWDGANRRKLFQFPRYPSSIAALSFSRDGRLLAVASSYTYEEGDIPHPPDAIFIRDVNEVQVKPRPKITFTEPPSVASPSV >ORGLA07G0157100.1 pep chromosome:AGI1.1:7:17017425:17022700:-1 gene:ORGLA07G0157100 transcript:ORGLA07G0157100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMSRSWQELGVVDTIYEDDHEEEEEEEEEEEEEEEEECFDSPTMSSSPAATSRSCSPPPAAEEFAMPAALRNAVREWSRANGPCKPDVIIRVQEHCFAMDYNGIHQLNFSALRDPAKGLQVVRSLAIKFDAVLQDPITSQSSYLKRRLSECSDVAVDLPAGLTVDAFVDAVASCYGAEAALSPGSLAAAWAAADWLELRGEDGLARRAEDYFFQEVATDHGRAAAVLRACTAFLGGEAAGAGAALLVRCLETLASSGGADGRWLDDVAALPVEEFEVAVEAMRARFAHDHDLMYTIVDHYLQNHRGKLTEEEKSRVCYNVNCTKLSHHLFMHLVQNPRLPLRFVVQAMLVEQLHSHHSMLLSGGHHHHAGAAGAPSSAAPPASLLKSSLSGAFGACGGFAATAAAAGDAASSMTLGDILQRDAVLRQSAHIRASMQATTQRIDSLERELAGLRTRLRRSEQAAAAAATASAAIDRASAKSASFRIPRSRLWDGEDLAAPAAAVITKDTTNTRGLKSRLVLGFKNLFGRRPVTAAAAAPPTSGERAAARVSVNDKAMSTDAPELDADADADEVTRPHRRNLSIV >ORGLA07G0157000.1 pep chromosome:AGI1.1:7:17011316:17014405:-1 gene:ORGLA07G0157000 transcript:ORGLA07G0157000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QBS8] XXXXXXXPLMRGGAGGGAGQPLSRGSRIAAAVAVGVALGCVCAFLYPDGLLSRSSDAALHWPRQADSVACETSEGVTSLKSHVVLLERKNAEFRKQINELTMKLQLAGQGKDEVLYKAGPFGTVKAVRKNPTVIPDESINPRLAKILQQVAIKKELIVALANSNVREMLEMWFTNIKRVGISNYLIVALDDSTETFCKSKGVPFYRRDPDEGVDNIGKVGGNHAVSGLKFRILREFLQLGYSVLLSDIDIIFFQNPFDHLYRDADVESMSDGHDNRTAYGFNDVFDEPLMGWARYAHTMRIWVYNSGFFFIRPTIPSIELLDRVAGRLSREPKSWDQAVFNEELFFPSHPGYEGLHISKRTMDIYQFMNSKVLFKTVRKDANLRKLKPVIVHLNYHPDKSERMKAVIEFYVNGKQNALEHFPDGSE >ORGLA07G0156900.1 pep chromosome:AGI1.1:7:17007611:17009942:1 gene:ORGLA07G0156900 transcript:ORGLA07G0156900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLGVREAGRMAVLSRRWRRLPGLLPRLVIDAREFEPAALRAGGHARTKRAMERVAGAVESLLPGDRAIERLRLDAYLLRDESYTVRRVVERLNDAVDSGKVAAGGLELVFRATGGGAAPDQDQPSKRQARRLARLLAAAASPSLLPSVAELSLVNLRFTSPALASLLGRCTGLEELGMYQSDAGFGAVLDVGHARLRRLAVHAVDEAMYKKLRVSSAPRLERVVVANWFCRYAPVSFGHVPCLRELHLKNKAVYYQEPVRLSKMLASIPHLESLTLGFSSWRIWIEPEAPKQLEPMFSKLKSLVLTGIFRGCDLSWTLFFLQAAPFLEEFILEVDKPLDAKAPSDIYGEMPKTDDVTWQVPEFQHHHLKHLEFSGFNEEEMHWRIVELVKERAVNLQSIALDDGCQACDVVANEYVLSGGIKNKKPVLLSVSQITGSPPILTLV >ORGLA07G0156800.1 pep chromosome:AGI1.1:7:16991647:16993455:1 gene:ORGLA07G0156800 transcript:ORGLA07G0156800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLQAASEQQQQQQQSASYNSRSTTSSGSRSSSHQTNASYSYYHHSSNSGGGGGGGGGYYYGGQQPPPSQYYYLEPYQEECGNAPHHQLYMDEDFSSSSSSRHFHHGAPVQQQQPPASSTPTGTAPTPPLSTSSTAAGAGHGLFEAADLSFPPDLNLDFSSPASSSGGGTASSGAVGGGGGGRWASQLLLECARSVAARDSQRVQQLMWMLNELASPYGDVEQKMASYFLQGLFARLTASGPRTLRTLAAASDRNTSFDSTRRTALRFQELSPWSSFGHVAANGAILESFLEVAAAASSETQRFHILDLSNTFCTQWPTLLEALATRSADETPHLSITTVVSAAPSAPTAAVQRVMREIGQRMEKFARLMGVPFRFRAVHHSGDLAELDLDALDLREGGATTALAVNCVNSLRGVVPGRARRRDAFAASLRRLDPRVVTVVEEEADLVASDPDASSATEEGGDTEAAFLKVFGEGLRFFSAYMDSLEESFPKTSNERLALERGAGRAIVDLVSCPASESMERRETAASWARRMRSAGFSPVAFSEDVADDVRSLLRRYREGWSMREAGTDDSAAGAGVFLAWKEQPLVWASAWRP >ORGLA07G0156700.1 pep chromosome:AGI1.1:7:16973447:16974226:-1 gene:ORGLA07G0156700 transcript:ORGLA07G0156700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAQVNVSVWRDDDYRRMVMACLIEAVYLLELERQERRDAAAVAQQWWKPFRYRLAHELVDERDGSVFGAIFERDHQPAAAVDGEAPRAVIAFRGTLLRGPTIRRDVEDELRLLARNSLRGSARLAGALQALRATIERFGSENVCLCGHSLGAGFARQVARMLASSSSSSSPPSPRHHHHAAAAAAASLEFHLFNAPYLSLPMGVRSVVKTADCLLKAVRTGVAAVGRWHGKALKNVAYANCILGYTRLESSRRL >ORGLA07G0156600.1 pep chromosome:AGI1.1:7:16962622:16965210:-1 gene:ORGLA07G0156600 transcript:ORGLA07G0156600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASAAVPARLKREDYPRTKHDSLFSPWKVLVGPSDWEDHAAGKEGIQRYRVLNLPENFPGLYELGVARASDEGIRAARRWNGSGGGGVVVVYLGQADSVRARLQQYGRTGSHLDAGNPPPSAGEAETNTRATGNGLFREVFVRGYSLVFRCALMGNKQEAEKTEARLLRVFDYAWNKLQNGGLRREEILIKLEQGAVNNRSSLLSRVRHFKQEVFREKAGIKISRNGSVDVSSGIMKNMFPRIRTFVGFRPQLVNSGDNVDKEIGIRWKNTSEGNSYGKQARRSSEGYKVKRVNVIKRRTMPEQDSNDVCGVMLEDGSSCLDHPVQGRKRCELHKGRRLGRITVNPKGSSCSYSCQVEIPVVESISPLTENESESDQAQQTSELLSKFLPATVKKSSRPWYSFEAKEIKTGEAPIEDGKQETSEVIDICEAKKSDNSACTNKVISGSKKCQLHNGCKAKEFVSSRVIDLLQNEEKVKSMTVDKLSGEEISHGKYQSQENQPSGRMWFELIKLQNPTSTLSSKGQGRQKRVTGNVAAICEALTDNRCRETIPMAGRERCDAHEGIKVTDASSVPFSGSSGWPSICGARASDGSPCKNQPIAGRKRCAMHKGQRACRTPSID >ORGLA07G0156500.1 pep chromosome:AGI1.1:7:16961020:16961601:-1 gene:ORGLA07G0156500 transcript:ORGLA07G0156500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPPTPTPPPHLPLAASTAVSFTPRAAAQRGRGRRSKPKPKPVAFPPPPLRRLVSSSLRRLLPRSRPLTVLFFGGGGGGGGWFGMGGRGRRRRATPAEELAALALSLALGDKLAVLADYWNASGLGEALGVWAVVWRRGGGRRRRGGGLRRLAALLLGIAFCALVCHLRGAALVDGLARTAGGRKLARIFLH >ORGLA07G0156400.1 pep chromosome:AGI1.1:7:16953358:16959559:1 gene:ORGLA07G0156400 transcript:ORGLA07G0156400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO-activating enzyme 2 [Source:Projected from Arabidopsis thaliana (AT2G21470) TAIR;Acc:AT2G21470] MASSPASAASEEEAVKLFGVQAAKVLMVGAGGIGCELLKTLALSGFRDIHIIDLDTIEVSNLNRQFLFRQSHVGQSKAHVARDAVLKFRPNINITSYHANVKDAQFNVEFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPVPKSYPVCTITSTPSKFVHCIVWAKELLFAKMFGDKNQDNDLNVRSNESGTSKSDVFERNADEDLDQYARRIYDHVFGYNIEVALENEETWKNRRRPNPIYIRDTLPEEAIRQNGSSRDINNEQEEPSAMVSLGLRNPQEIWSLADNSRVFLEALKLFFEKREKEIGNLVFDKDDQLAVEFVTSAANIRASSFGIPLHSLFEAKGVAGNIVHAVATTNAIIAGLIVIEAIKVLHGDYKKYRMTYCLEHPSRKMLLMPIEPFEPNKSCYVCSETPLLLEVNTKTTKLREVIEKIIKSKLGMNLPLVMIGSTLVFEDGEGLEEDEAANYALNLEKVLAELPAPVVNDTKLTVEDFQQELSCSINIKHRDEFDEEKEPDGMVLSGWSAPVEKQVTSNGGNQSVASSSGADYADGIVEDISTKPGMKRKLDEVLELKENCDASSSAQVVEDDDDDDLVMLDENPKLAKKKRLQ >ORGLA07G0156300.1 pep chromosome:AGI1.1:7:16946645:16946953:1 gene:ORGLA07G0156300 transcript:ORGLA07G0156300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYGFRSFIQLCDVPIESRKVLELHVFGKLRRESKVPGLWELVGVDEAMAIAMEADNDWGGCLKKHLMIMTARVRMHLMVMTA >ORGLA07G0156200.1 pep chromosome:AGI1.1:7:16944617:16945057:-1 gene:ORGLA07G0156200 transcript:ORGLA07G0156200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGRCRTRCWGGAARSSWWSGPDALPSLATWPPRSITSTPSSSRINSDADEDGKPESGAIAEGCDVDRECDDDASIIGESMITTMVNGEGNPKSPEDDDGFTSASPAEAASASGFDRISVESGMRPPPEPSATARLPLRLPPTARRY >ORGLA07G0156100.1 pep chromosome:AGI1.1:7:16940359:16943515:-1 gene:ORGLA07G0156100 transcript:ORGLA07G0156100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPYAAAVVVATVAVLVLVSQVSVAAGADCRFPAVFNFGDSNSDTGGLSATFGAAPPPNGRTFFGMPVGRYCDGRLVIDFIAESLGLPYLSAYLNSIGSNFTQGANFATAGSSIRRQNTSLFLSGFSPISLDVQSWEFEQFINRSQFVYNNKGGIYRELLPKAEYFSQALYTFDIGQNDITTGFFINMTSEQVIAYIPDLMERLTNIIQNVYGLGGRYFWIHNTGPIGCLPYAMVHRPDLAVVKDGSGCSVAYNEVAQLFNQRLKETVGRLRKTHADAAFTYVDVYSAKYKLISDAKKLGMDDPMLTCCGYGGGRYNFDDRVGCGGKVKVNGTWVVAGKSCDDPLKRVSWDGVHFTEAANKFVFDQIAGGKLSDPPVPLRQACQISRGQ >ORGLA07G0156000.1 pep chromosome:AGI1.1:7:16934119:16936102:-1 gene:ORGLA07G0156000 transcript:ORGLA07G0156000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRRRRCTAAPPTTAKLVLLLVVLLLQLSEGASSDGGSDAPCDFPAIFNFGDSNSDTGGLSALIAVVPPPFGRTYFGMPAGRFSDGRLTIDFMAQSLGIRYLSAYLDSVGSNFSQGANFATAAASIRPANGSIFVSGISPISLDVQTSQFEQFINRSQFVYSNIGGIYREILPKAEYFSRALYTFDIGQNDLTMGYFDNMSTEQVEAYVPDLMERFSAAIQKVYSLGGRYFWVHNTAPLGCLTYAVVLLPKLAAPRDDAGCSVAYNAASRFYNARLRETVDRLRAALPDAALTYVDVYSAKYRLISQAKQLGFGDPLLVCCGYGGGEYNFDRDIRCGGKVEVNGTSVLAGKSCEDPSRSVSWDGVHFTEAANRFVFEQIVGGKLSDPPVPLRQACRRGGGGR >ORGLA07G0155900.1 pep chromosome:AGI1.1:7:16926376:16929587:-1 gene:ORGLA07G0155900 transcript:ORGLA07G0155900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAATLRPTEPLPLPSGLSLAPRLKLLLTFFRADLSVRPVDEWQLKTALLAFLRDPPLSLPVLPDSDLSVRTLPDLHKRRRDEPVASGVLHVRDLSFLRPRRRNGDNEEEEEAEEMTREQEEEKYFQWRSSLVEKLAGIELNLEGVKFRMSVEIPPSDDFRAMKKSWENFYASELLSSRNPVRKIAKRPDTILVRGVPSRWFAETRISSKASTLVTHTIFSALGKIRNLNISSDDEWGAKQDGTNKEIISGLNCKVWVQFENYDDFNGAMQALCGRSLEKEGSRLKVDYEVTWDREGFFRNAQYEPVRSNLEERNSSVHGRKKHYTSRIESDHRKRFRD >ORGLA07G0155800.1 pep chromosome:AGI1.1:7:16924593:16925471:1 gene:ORGLA07G0155800 transcript:ORGLA07G0155800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARDVVLRHGQFQGRRRQGGGAGGEEEEAKRRWWWWEEGEPVRVEGLDKFSTVLSELESRREKILRQVGGGGGGGGAPGEGGGGGGGEHVLVRFVQSEGKGWVPIVVKLPPEEEEQQQRKGGKNKRKQQAAATSATSSQSSTPPTSEPASPREDVIKPARPAAAAAAAAPGSAKRKAGVRWSWSDVRPRHYMPFVAVLLLASLVVFGKVFAICCTSVWWYLVPILTASSNGAGGAGGAHGVRRSKAAVKVLGKKASDKKMAVTPLLGPSHGKRGSSGVHELISPRSHPHGKKG >ORGLA07G0155700.1 pep chromosome:AGI1.1:7:16906725:16910941:-1 gene:ORGLA07G0155700 transcript:ORGLA07G0155700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase-related [Source:Projected from Arabidopsis thaliana (AT5G67590) TAIR;Acc:AT5G67590] MAAPLRRSLPSLGRALLSPSPAPARMLSAAASDALVEIKPGEIGMVSGIPEEHLRRKVVIYSPARTASQQGSGKVGRWKINFVSTQKWENPLMGWTSTGDPYANVGEAGLTFDSADSAKAFAEKHGWDYVVRKRHTPLLKAKSYAENFKWRGPPKAEQA >ORGLA07G0155600.1 pep chromosome:AGI1.1:7:16905004:16906038:1 gene:ORGLA07G0155600 transcript:ORGLA07G0155600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQPVDMAVKANEIMARFRPIAPKPVLPAAVAGVTGGGDGAAAVAATNRVLCQLQSRPCRARKRGRPSVVPPVSPPAGAKRKRAPAYPVPVAPLRCAAVATATRARVSVVVVPAPGSAGGVSALAPVSPSAGDSTRLSPTVVEVEDEDEDEERGVVLVERDLLRKLLEPKVISPRAVRPVGSTIHVESVHIDVGRTAAAAAAAAPKTAEEVEAELESDSLPAVVSDSSNRVRLVNDAYKRMVGQPECPWLDAVATAASRRISGEVALVVSEPAAAAAALPETCKGFSCSAKIAWERDGKWSSVHAPCDVTRLQCESRDYVFAWRFRAAGDECNTHRRAAGDA >ORGLA07G0155500.1 pep chromosome:AGI1.1:7:16893853:16899802:-1 gene:ORGLA07G0155500 transcript:ORGLA07G0155500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSVASARAAAEGAATVAARGGAEPARALRLPPANGARRGVRCCCGAANPGGGSGGGGGAVAREEFVGFFREAWPYIRGHRGSTFVVVISSEVVSGPHFDGILQDISLLHGLGIQFVLVPGTHVQIDKLLSERGRMAKYVGQYRVTDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVIGRWHGLVDNVASGNFLGAKRRGVVSGIDYGFTGEVKKIDVSRIKERLDSDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRVIPFMSLEEADMLIRKRAKQSDIAANYVKVVDEEGISHLHEDVKPFLNGSGPLGAYAASFHNGLGFNNGNGILSGEQGFAIGGEERLSRSNAYLSELAAAAFVCHGGVQRVHIIDGTVDGSLLLELFTRDGTGTMIARDVYEGTRIAREEDLLGIRKILRPLEESGVLVKRTDKELLEALESFYVVERDGSIIGCAALFPFPEDKSGEVAAIAVSEECRGRGQGDKLLDYIEKAAMSLGLEKLFLLTTRTADWFVRRGFLECSIESIPEQRRKRINLSRGSKYYIKQLQPKHASGTPNNITAR >ORGLA07G0155400.1 pep chromosome:AGI1.1:7:16890720:16892056:1 gene:ORGLA07G0155400 transcript:ORGLA07G0155400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGVPPQQGYPGKDGYPPPGYPPAGYPPAQGYPPAGYPPQQGYPPPYAQPPPQQQQHSSGPSFMEGCLAALCCCCLLDACF >ORGLA07G0155300.1 pep chromosome:AGI1.1:7:16887061:16887324:1 gene:ORGLA07G0155300 transcript:ORGLA07G0155300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGGGARHIGHGAPIVPYMSVSGTSAAPEEEKDDPCSAGGRRGSLLTRQKEMRYDVRRIEPTESLKDTAARGAKPRVSSSRNAGAGPS >ORGLA07G0155200.1 pep chromosome:AGI1.1:7:16881214:16881420:1 gene:ORGLA07G0155200 transcript:ORGLA07G0155200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAAHVLYFKQFRLRRRWDVVVERDRKRRDVAAGQLYVGVVGELGGEVGGGADADTAHRLGEGPREHE >ORGLA07G0155100.1 pep chromosome:AGI1.1:7:16879585:16880367:-1 gene:ORGLA07G0155100 transcript:ORGLA07G0155100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHTWVISLLLTSAVAGASRQPPATASQGPTWTGLAGEQEMEGAATASSAAPVASLFPGLPPLPPLPALPALPPLPPLPALPPLPPLPPLPPLPSPGTTTTRPRPPSPPPTECLTSLVELLPCVGYLTNDATAPPGACCDGFRSLVGSALICLCHGINGDMSRMISRPIDPVRMVLLPAMCSTMLPPQSLFICYTETVPPLVP >ORGLA07G0155000.1 pep chromosome:AGI1.1:7:16868793:16874900:1 gene:ORGLA07G0155000 transcript:ORGLA07G0155000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPADVVRQTRELLIFLDLHSGSRGGDAKREEKMAELSKNIRELKSILYGNGESEPVTEACVQLTQEFFRENTLRLLIICLPKLNLETRKDATQVVANLQRQQVSSKIVASEYLEANKDLLDTLISGYENMDIALHYGSMLRECIRHQSIARYVLESDHMKKFFDYIQLPNFDIASDASATFKELLTRHKATVAEFLSKNYDWFFSEFNTRLLSSTNYITKRQAIKLLGDMLLDRSNSAVMMRYVSSKDNLMILMNLLRDSSKNIQIEAFHVFKLFAANKNKPTEVVNILVTNRSKLLRFFAGFKIDKEDEQFEADKEQVIKEISAL >ORGLA07G0154900.1 pep chromosome:AGI1.1:7:16861578:16862924:1 gene:ORGLA07G0154900 transcript:ORGLA07G0154900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQEKAVSAPAANGSGGAGGGELIGYVDVHVQSARDIQNICIYHKQDVYARLSLPGEGAPAASTQVINGGGRNPVFDQSLRLGVRAGDVDGALRCEVWMLSRVKNYLQDQLLGFALVPLPDVVAAEGGTLAREFPLSTNDIFHSHAGFLQLELSYIGVVPEVVPISPTPKPALADAEEHGAGGAGGAANGKEYEKIEFPDLNLVEENQIMLSEYIGLPCSAVEPQSSESLLTSEEDGDGATAETHVAGVRVVQSFSTDNSTADSAGTFRSDTPVSSVSTTESPAAAAVPATPQSNSSGNAVSSAEQKEKAASDAADAEVESSRTVQSSASPAANSPGAMSESAVDKPPVIRVNLEQEVKVDQKEIMDMYMKSMQQFTESLAKMKLPLDLDNGSDKSAAVSGAGAAASDADSSGADSGAAAAAAAKKPMAGGPEKSPKVFYGSRAFF >ORGLA07G0154800.1 pep chromosome:AGI1.1:7:16835682:16838339:1 gene:ORGLA07G0154800 transcript:ORGLA07G0154800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16490) TAIR;Acc:AT4G16490] MVSLAGSQIPSPGQSPCAAARSQRRGAGYSMRTIRSALLQPDSCPGSPHVAAAYDAAGADSDMENLTDSVIDFHLSELAATAGPAHPAAVAKSSSANAAATEMLELSRDFSDYSSFNSDISGELERLAAAAAAVVTPRSDAPQVGAVDLNELESMDLSVEAAPLERVEPFVLACVRALGPDAAPDARRTAAARIRLLAKHRSDIRELIGVSGAIPALVPLLRSTDPVAQESAVTALLNLSLEERNRSAITAAGAIKPLVYALRTGTASAKQNAACALLSLSGIEENRATIGACGAIPPLVALLSAGSTRGKKDALTTLYRLCSARRNKERAVSAGAVVPLIHLVGERGSGTSEKAMVVLASLAGIVEGRDAVVEAGGIPALVETIEDGPAREREFAVVALLQLCSECPRNRALLVREGAIPPLVALSQSGSARAKHKAETLLGYLREQRQGGGGCRVEPVAASSLAR >ORGLA07G0154700.1 pep chromosome:AGI1.1:7:16831042:16831566:-1 gene:ORGLA07G0154700 transcript:ORGLA07G0154700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTISLTPPVIPLPSLSSSLSPLSLFFAGSAGTDGLVGSGAAAGDLGEAAPSSPPSLSGDGLHAAPGPVASNDNDDMVGSCNCLWLKRHPLVCGSIIVINPVTGESLHIPSPPLATSRSRHAGTISFGYHPTTGKYKIVHFPSNGGLVDEVTLGGTASSSSPSRHGGGNGDGVV >ORGLA07G0154600.1 pep chromosome:AGI1.1:7:16821419:16824793:1 gene:ORGLA07G0154600 transcript:ORGLA07G0154600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSGKLFVGGISWETDEDRLREYFGRFGEVTEAVIMRDRNTGRARGFGFVVFTDAGVAERVTMDKHMIDGRMVEAKKAVPRDDQSITSKNNGSSIGSPGPGRTRKIFVGGLASNVTEVEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPAARSPAGGQNYAMSRVHSFLNGFNQGYNPNPIGGYGMRVDGRYGLLTGARNGFSSFGPGYGMGMNSESGMNANFGANSSFVNNSNGRQIGSFYNGSSNRLGSPIGYVGLNDDSGSLLSSMSRNVWGNGNLNYPNNPTNMSSFAPSGTGGQTGITSDGINWGGPTPGHGMGNISSLGLANLGRGAGDSFGLPSGSYGRSNATGTIGEPFSAPPNAYEVNNADTYGSSSIYGDSTWRFTSSEIDMPPFGNDLGNVDPDIKSNIPASYMGNYTVNNNQTSRGITS >ORGLA07G0154500.1 pep chromosome:AGI1.1:7:16811146:16811540:1 gene:ORGLA07G0154500 transcript:ORGLA07G0154500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILEDEPAAGIXWQIGSPRKASAATWXREHLVRRGSVETGDADDGAAATWRREHLVRRGGGEQAMQRTVRRLIRSGAVMAEVRERCSGGGRRCADXGGWRRDRSGPFGFXADDL >ORGLA07G0154400.1 pep chromosome:AGI1.1:7:16801047:16803494:1 gene:ORGLA07G0154400 transcript:ORGLA07G0154400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT5G48130) TAIR;Acc:AT5G48130] MEPEMEVEVEVEMSPAAAKAAVFSPYSSPSTALLLQRRVVSWAKETGSPATVSVHVGDRSFNLHKDPLVSRCGYLRQAILRCGDGDGEVVELPASFPGGSEAFEVIGLYCYGDAVALDPFNVAAVRCAAEFLDVSGLGARCDLYINQVVLQSWDDALIVLQRCQPLLPVAEELLVVSRCIESLAFMACMEILDPDGDEQRRERDQPGLLAAAAARGIAGRRWDAELVKELAARDLWIKDLVALPFEFFRRIVLALRRQGMKEKYVSPVVLFYANKWVLSKKTHKFMASTDTGDGETDANRRATAILQGVIDLLPLESSAATGGAIPVSFYFALLARSITLELRDESQTRLRELVASNLQFARVDDLPLPEPEQDAGGQSIAGSPEVRAMESIVASHVSMQRRGAEAVAELWDRYIAQIVGDPKLRPDRLAELIGVVPAGDRKSHDHLYEAIDTYIVEHPGLSGDEKASLCGHLECRKLSHEACIQAVQNDRMPLRLIVQALFMQQLHTHRAFTECSDSFRCMHSGELLVPVSGGAAAATAYTPSPGCTTAVPTSQPLSTSSPYTDTAHATRDGRKLVRARAGDDDDDAASGYETASFRIQALEQEILSLKQTLQRHNTVKKSSSRKEASFRMDTAATPAAAAAVRRRAPVSSSSCIGSMRWGSQRRCASRILRIFARLAVFGRGSRSSSSSSTSRGKQSMCRASAEQLSSVACRTKHAARD >ORGLA07G0154300.1 pep chromosome:AGI1.1:7:16793184:16794800:1 gene:ORGLA07G0154300 transcript:ORGLA07G0154300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G55560) TAIR;Acc:AT5G55560] MPPNPTPPRRATTTTTTTRATSGVRRGEEEQGGMAVSASAGEEEEAFEEVDPTGRFGRYADVLGLGSVKKVYRGFDQEEGIEVAWNRVRLRALADRDPAMVERLHAEVRLLRSLHHEHIIGFHKVWLDRDAGVLNFITEVCTSGSLREYRDRHRHVSVKALKKWARQILLGLDHLHTHDPCIIHRDLNCSNVFINGNTGQVKIGDLGLAAIVDKTHVAHTILGTPEFMAPELYTETYTESVDIYSYGMCVLEMVTREMPYAECDSVVQIYHSVTRGVPPAALKRIRDPELRAFIERCIGQPRNRPSAAELLRDPFFAGIDDDDSTGTLG >ORGLA07G0154200.1 pep chromosome:AGI1.1:7:16787050:16789874:1 gene:ORGLA07G0154200 transcript:ORGLA07G0154200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:I1QBQ0] MGLLFVESLPGPKVFKCKFCGVDSASPDAIVSKEFRGRHGRAYLFDSVVNVSLGPREDRLLMTGLHTVNDIYCSCCQRLLGWRYEKAYSEDQKYKEGKYILEKHMMLKEG >ORGLA07G0154100.1 pep chromosome:AGI1.1:7:16780501:16782802:-1 gene:ORGLA07G0154100 transcript:ORGLA07G0154100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRLRRFXQAAATFEAAPEKLEAVAAASPIVAFPSTALPPPHTHPAAVCCCGSDQRRRRLSPFPALRAHVVFLSQTLFLCVFFSRMPDWCSGSIFKHRWGGVRFKRTMLQLEPDQKQFIIDNGFESFLSLSNFKVHNRLAEWIMQKMNPEICEFRFCGKVIVFDKLLVQKITGLNDGDLPVKLSGANSEVVKEIRTLYHPYFVSNRLGTGMCEKLLLSLHDEEKFLRTLILYLLAIILCPATGNYVNLDYVHSLVDVQMWSQYDWCTHVASCLMQEIRKYHGFSTEQRDSIFQIGECLPLLVIAYMDHLQMPTTGLHLRIIDYSTPRFCHVTDEDFEYVAVVDRCRMNLGYVTYGSRPFRPQNEIPYLAQVHVVAGGSEAENARVARAEDVPIGAGQDGVGIGAGVVVDRKHEAWEICLTPVQVQSSPSNV >ORGLA07G0154000.1 pep chromosome:AGI1.1:7:16777409:16778202:1 gene:ORGLA07G0154000 transcript:ORGLA07G0154000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLIHQVERDFPPSSSSANGGTTPIHNAMNLIDVQAPSSSGGFLDVLLSESTGHETDLVVDVESVDNTDVAAVTVEIDEQLDEDASENDEEEKDVVYSPPIVPYIGMEFDTVEEARNVYNAYAYKLGFGTRIASSRNSQSSSGGKSIEEM >ORGLA07G0153900.1 pep chromosome:AGI1.1:7:16744638:16750642:-1 gene:ORGLA07G0153900 transcript:ORGLA07G0153900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGGGGGAGGCSNGGGGAAANGGGGGGRGSKGATTRRAKVSPMDRYWVPTDEKEMAAAVADGGEDGRRPLLFRTFTASGILLQPYRLLTLVRLVAIVLFFIWRIRHPYADGMFFWWISVIGDFWFGVSWLLNQVAKLKPIKRVPDLALLQQQFDLPDGNSNLPGLDVFINTVDPINEPMIYTMNAILSILAADYPVDKHACYLSDDGGSIIHYDGLLETAKFAKLWVPFCRKHSIEPRAPESYFAVKSRPYAGSAPEDFLSDHRYMSREYDEFKVRLDALFTIIPKRSDAYNQTHAEEGVKATWMADGTEWPGTWIDPSENHKKGHHAGIVQVMLNHPSNQPQLGLPASTDSPVDFSNVDVRLPMLVYIAREKRPGYDHQKKAGAMNVQLRVSALLTNAPFIINFDGDHYVNNSKAFRAGICFMLDRREGDNTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRVALYGVDPPRWRPDDCNIVDSSKKFGNLDSFISSIPIAANQERSIISPPALEESILQELSDAMACAYEDGTDWGKDVGWVYNIATEDVVTGFRLHRTGWRSMYCRMEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSHNCPLLAGRRLNFMQRIAYVNMTGYPVTSVFLLFYLLFPVIWIFRGIFYIQKPFPTYVLYLVIVIFMSEMIGMVEIKWAGLTLLDWIRNEQFYIIGATAVYPLAVLHIVLKCFGLKGVSFKLTAKQVASSTSEKFAELYDVQWAPLLFPTIVVIAVNICAIGAAIGKALFGGWSLMQMGDASLGLVFNVWILLLIYPFALGIMGRWSKRPYILFILIVISFVIIALADIAIQAMRSGSVQLHFRRSGGANFPTSWGF >ORGLA07G0153800.1 pep chromosome:AGI1.1:7:16732853:16733071:-1 gene:ORGLA07G0153800 transcript:ORGLA07G0153800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGCARRDDLAGWLAARAERTLAVDGVHEDELVVLGPQRLATLDSGQMHARRTSTADGMCEEELADGDQIHA >ORGLA07G0153700.1 pep chromosome:AGI1.1:7:16710858:16711211:-1 gene:ORGLA07G0153700 transcript:ORGLA07G0153700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSSLLVLAVLVVAAAACSTTAAARSVPAEEKKTAAPAAAADVKQPETFHEGTVLIPGLGRFELGSTYVPDITGVDHSVPAAEHGQFLPGADDTWVPNPGFEVPNPFQPGSSSP >ORGLA07G0153600.1 pep chromosome:AGI1.1:7:16708425:16709429:-1 gene:ORGLA07G0153600 transcript:ORGLA07G0153600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G11090) TAIR;Acc:AT1G11090] MPDGERHEEAPDVNFWGEQPATEAEYYAAHGADGESSYFTPPGGRRLFTRAWRPRGDGAPRALVFMVHGYGNDISWTFQSTAVFLARSGFACFAADLPGHGRSHGLRAFVPDLDSAIADLLAFFRSVRRREEHAGLPCFLFGESMGGAICLLIHLRTPPEEWAGAVLVAPMCKISDRIRPPWPLPQILTFVARFAPTLAIVPTADLIEKSVKVPAKRLIAARNPMRYSGRPRLGTVVELLRATDELGARLGEVTVPFLVVHGSADEVTDPDISRALYDAAASKDKTIKIYDGMMHSMLFGEPDENIERVRADILAWLNERCTPREEGSFLTIQD >ORGLA07G0153500.1 pep chromosome:AGI1.1:7:16704154:16708187:1 gene:ORGLA07G0153500 transcript:ORGLA07G0153500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G15520) TAIR;Acc:AT3G15520] MASRAAAAMVLAGALPVSPGRPLVAAGARCCDGGIRGRVSCSSHRRSDHPSCAAEVSTRWLLVSPHPNGFQSCSVRFSLIVWLSGLVQEGGVVELLKGAVAALAVIAQISVSLPADAILYSPDTNVPRTGELALRRAIPANPNMKTIQESLEDISYLLRIPQRKPYGSMEGDVKKAMKIAMDNKDAILASIPVELKEKGSKLYTSLLEEKGGLQTLLKYIKENDPDRLSVALASSLDTVAELELLQAPGLSFLLPQQYLEYPRLAGRGVVEFSVEKGDGSTFFPTAGGEPKSVATIQVVIDGYSAPLTAGNFAKLVLDGAYDGIKLKCASQAIIADNENGKKGYTVPLEVMPAGQFEPLYRTPLSIQDGELPVLPMSVYGAVAMAHSVDSDEYSSPSQFFFYLYDKRNSGLGGISFDEGQFSVFGYTTDGREVLSQIKTGDIIRSAKLVQGRERLVLPPEAPAES >ORGLA07G0153400.1 pep chromosome:AGI1.1:7:16696578:16700900:-1 gene:ORGLA07G0153400 transcript:ORGLA07G0153400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGPGSGPGAVVVGSAAALAAQEEMRWRQLDSGVSAVSFGFVATAILVSMFLAMAILEHFLRSPAHRGHAGMGPPPPHPPPPGGILSRLRLLLHRRGAGEAAFPGGSDLEAARKLDGGASPEIPVYAKGVSVLMPGHDVPTFIAHPAPAPCPPERVQWPSHQPTPFAGSSSNPS >ORGLA07G0153300.1 pep chromosome:AGI1.1:7:16687014:16691728:-1 gene:ORGLA07G0153300 transcript:ORGLA07G0153300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVKSKSLPMIASAAVFLLLLSAALPLSQSYTYEQDVFAINGLYTALGSPSVPGWITNGGDPCNEGWQGVECVVSNITSITLNAANLGGQLGNTLGNFTSLITLDLSNNNIGGTIPDNLPITLQRFFLSGNQLSGSIPSTLSTLTLLTGLSLNNNHLSGEIPDAFSTLTGLANLDFSSNNLTGPLPPSMGNLTALTSLHIQNNQIIGLLNVLQDLPLQDLNIENNLFSGPVPVKLENIPNFKKDGNPFNTSIAPSALPPAAPTPLPSVSPPAGHVPTKEPSNSSIAPAGNAPSRKNNVSAMKFVGYILVGVVSAVVLVLMVMFCLSKYKERKSRDDVYTKNQLGRSPQKLGEPKIKEVSDIKEPPVKLKNNAGKASNVISHTREEQKLNVSTAAASDAVYDSREERKPGSSMSAAPRVVTMEQKEHVIDMEKKDNFVDEQLHPPQSAVLRTEKVTVHPSVRTRKGRVPSAGKLDLTTTVKSFSIASLQQYTNSFNEENLIRDSRFGKVYLAELPDGELLEVLKIDAANSRIPADAFLELVVNISELTHPNILGLVGYCAEFDQKLLVYEHCSKMTLHDELHYVDDSNKGLSWNARLQVAVGAAKALQYLHDGCQPPIVHQNFEPSIVLLNSTLVVHISECGLAALSSRSVSQLSGRMRTLFHYEAPEVHESGLLSDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWATSQLYDIDAISKMVDPSIRGQCSEKALSRFVDIISSCIQHEPEFRPSMSEVVQDLTRMVSDATKASM >ORGLA07G0153200.1 pep chromosome:AGI1.1:7:16681582:16685257:-1 gene:ORGLA07G0153200 transcript:ORGLA07G0153200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WWEAEAEAEEEEEEEGGVKKEEGEEEARGRGGGGGVVGGWGTWEELVLGSAVIRHGGVAWGAVAAEVRSRSPCAFSPEECEAKFSEIQARYSACDAWFEELRKRRVAELRNDLKKSENFIGSLQSMIKSLSNSKHDDGNSECHTSHTESCSNNENTADNNSSSKALSKDRSSAASFTEEASNSQKSEKVQHCDTDSIQVNNTSAETLVKPLVEKKVCAEDGLLWGSRKKRAVRDRRTFLMADNSCRAGENTSTSHIQTDGSSEGYAKKGLKTPNLESGVSVVEKAKPNLAEILKTISTQSDCYMLQRRLDVQRKRARYKKMIRRHIDFRILHSKIKSGTTSSTKELLRDILLFVNNVLAFYPKATLEHMAAIELRNIAFRTVQESASMPSKSCGVTGAATAPLVKKNTRPVQPGSHGPHDAKRSKVSSKGTGSTVKQGEAKGSRGGSSVTANVKTIQRNPPAKKRGVGRPPKNGQKRAAAQQDIPNKGRKRTRR >ORGLA07G0153100.1 pep chromosome:AGI1.1:7:16674803:16679506:-1 gene:ORGLA07G0153100 transcript:ORGLA07G0153100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLHLLILCLIILFLVYNMASYQHKQTKGLLQLEAKSRPFDTITVSDKVSSKVSKRSANRVGFLPHGIVEPYSDMELKPLWLTRSAQSKLSDALRILAPQDSSQKDRCLLAIPAGIDQKRSVDAIMKKFLPENFTVMLFHYDGNVDGWNDLPWSKSVIHIAASNQTKWWFAKRFLHPSVVSMYEYIFLWDEDLEVDNFHPRRYLNIVKSEGLEISQPGLDPKLSEIHHRITVRKKGWSFHRKVNRGNKDCAKEGPPCSGWVEGMAPVFSRSAWRCAWHLIQNDLIHGWGIDYKFGYCAQGDRTKNIGVVDSEYIVHRGVQTLGGPSVKRSHGKNNDPLHQKTAEAQQQMRVKAGLDMRTKVRRYSRSELRDFQKRWERATREDRAWVDPFARPRRKRKRTDRQ >ORGLA07G0153000.1 pep chromosome:AGI1.1:7:16661002:16671459:-1 gene:ORGLA07G0153000 transcript:ORGLA07G0153000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPM interactor 7 [Source:Projected from Arabidopsis thaliana (AT3G43300) TAIR;Acc:AT3G43300] MAGAAGGFVTRAFEAMLKECTANRGKFAALQQSIQSYLDTIKGAAAAGQEEGGDAAAAPITQVLASAGRVLEGTQAELVLQPLRLAFETKHVKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILNMVCGCVDNTSSDSTVLQVLKVLLNAVASNRFREIAVVFYKNPVSVPPASSAMKEEPSSSTEESENGEVSTGNQADEKITLGDALSLNRATEASPASVEELQSLAGGADIKGLEAVLDKAVELEDGKKVSGGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSDSFTKNFHFIDSVKAYLSYAILRAAVSSSAVVFQYACGIFAVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSPLSQRASVLRMLEKVCKDSQMLADMFVNYDCDLEGPNLFERMVSALSRIAQGSQNADTNTAASSQTVSVKGSSLQCLVSILKSLVDWEQARRDSSKQGSVAEACENDSSARSITSDEIKSQEDGRNQFEIAKAHKSTMEAAISEFNRKPARGIEYLLLNKLIENNATSVAHFLKSNSSLDKAMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNTASDAEECAPKELLEEIYDSIVQEEIKMKDDFPDSAKSNKPRRETEERGVVNILNLALPRLKSASDTKAESEKIIKQTQALFKNQGQKRGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVLCMEGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLADTDMDALQDTWNAVLECVSRLEYITSNPSIAATVMQGSNQISRESVVQSLKELSGKPAEQVFVNSVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLSQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNKFTFQNDILKPFVILMRNSHSEKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDENEHIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGCIPGGAVKPVDDVPEAHFDVTEHYWFPMLAGLSDLTLDPRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLGLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDSDWETLLKSIRDASYTTQPLELLNSVGFQKPNNQQSLSREAETNGLGSSYHDSREGGASISRHIDEQDGHQETNAQTSLDNSEGLPSPSGRAQPAVSPRGQTFGQRIMGNMMDNLLVRSLTSKSKGRTDDIVPPSPVKAPDADGADKTDDEENPMMETVRSKCITQLLLLGAIDSIQKRYWSRLKTTQQIAIMDILLSLLEFASSYNSTSNLRTRMHHIPPERPPLNLLRQELAGTAIYLEILQKSTVEHDGNDPSEDTNGHVIESDEHEKLKSLAEGKLVSFCGQILKDASDLQPSTGEAASADIHRVLDLRAPVIVKVLNGMCIMDAQIFKKHIREFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >ORGLA07G0152900.1 pep chromosome:AGI1.1:7:16652365:16656269:-1 gene:ORGLA07G0152900 transcript:ORGLA07G0152900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:I1QBN7] MAGRYDSNPFEEDDVNPFSEQARGKAGGQPSYGGGAFYMPNPRNVPSVSSNSRLSPLPPEPAAFGATVDIPLDSSKDLKNREKELQAREAELNKREKELKRREEAAARAGIVIEEKNWPPFLPLIHHDITNEIPSHLQRMQYVAFASFLGLACCLFWNVIAVTSAWVKGEGVKIWLLAIIYFISGVPGAYVLWYRPLYNAMRTDSALKFGLFFLVYLFHILFCVFSAVAPPVVFEGKSLAGILPAIDLISKNALVGIFYFVGFGLFCVESLLSIWVIQQVYMYFRGSGKAAEMKRDATRGAMRAAF >ORGLA07G0152800.1 pep chromosome:AGI1.1:7:16648042:16649926:1 gene:ORGLA07G0152800 transcript:ORGLA07G0152800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSRIARGSQLTQPLSRILAEGNAAATPAAYALRNAAALGQRASSASASSSFHSLALAGLADKYAAGAAGRLQPSRGISTTSPALRPAAEAAARVVECSDAADEAAAAAVPDLGPTRPGEKPRVVVLGTGWAACRFLKDVDTRAYDVVCISPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQSALATRPGSYFFLASCTGIDTGRHEVHCTAADGDGLPANPYNFKVSYDKLVIASGSEPLTFGIKGVAENAIFLREVSHAQEIRRKLLTNLMLSENPGLSEEEKKCLLHCVVVGGGPTGVEFSGELSDFITRDVRERYAHVKDYVKVTLIEANEILSSFDVGLRQYATDHLSKYGVNLVRGVVKEVKPREIELSDGSRVPYGVLVWSTGVGPSEFVRSLPLPKSPGGRIGVDEWLRVPSVEDVFALGDCAGFLEGTGRAVLPALAQVAEREGRYLARVMSRIAAQDGGRAGRAVGSAELGEPFVYKHIGSMASVGRYKALVDLRENKDARGVSMAGFVSWLMWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNTRIG >ORGLA07G0152700.1 pep chromosome:AGI1.1:7:16635234:16635464:-1 gene:ORGLA07G0152700 transcript:ORGLA07G0152700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFCFLVDQRRKVRSSKPAAGICSRCGGCASVADMETATRFCYLLTVHRATWRAIICTFCGAMLKSYRHYRLYTS >ORGLA07G0152600.1 pep chromosome:AGI1.1:7:16631328:16632773:1 gene:ORGLA07G0152600 transcript:ORGLA07G0152600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QBN4] MAGPTIVLLPAWGSGHFMSALEAGKRLLVASGGAVSLTVLVMQAPTEIEASVVEAHVRREAASGLDVTFRRLPAVEHPTGCEATEEFMSRYVERHAHHVKAAIAGLASPVAAVVVDLFFTPLLDAAHELSIPAYVYFASTAAFLALMLRLPELRDDLTVGFDGMEGTVDVPGLPPVPPSYMPVCLVSKTVKNYDWFEYHGRRFTEAKGIIVNSSVELEGAVLAAIADGRRPAPAIHAIGPVIWFDATPPPEQPHECVRWLDAQPAASVVFLCFGSIGFLDAAQVRELAAGLECSGHRFLWVLRGAPAGGVRYPTDADPGELLPEGFLEATAGRGMVWSRWAPQKDILGHAAVGGFVTHCGWNSVLESLWFGVPMATWPLYGEQHLNAFEAVASMGVAVELRRTTAKDGDAARSLVEAAEVERAVRRLMAPQGGGSSEAREKAAEVSAACRKAVEEGGSSHAALQRLVREIVRVVAGHPMPE >ORGLA07G0152500.1 pep chromosome:AGI1.1:7:16625770:16629191:1 gene:ORGLA07G0152500 transcript:ORGLA07G0152500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQATHRRAGDRGGGGDGGSSSASIAKHLANQSQVLKWLQDFSDKVEERAKGAAAEVNGLLDEAGALELDMKTAMISFNNLTRQRFIEHKISGEDSMHLKTTESMHGSLQSQVPAQDYERDILPRYKEALHIGLSSCKDHFRSKGRSTTSVFRAMSAYGPLPHIIGSEEYIHDNSCGLADDMQPLSDDFSWLREFQSESSDSRTADISESQIFGAQRGYEKGETDSVVSAAREFKAMLEAALVNPYKFYDDATITAQDASVEKKISTSEDQVMLTGTSEAPSGRSAQEDNSEQTGLFASLQSADANPHDIYSALVREGLFDTGDEILSMDRGESADTPISGLASGLANLGTADPAERGYSINETTNEEGYLIEEDDPSPSNKDEQDDQTDAHGVSSPEPEDGVSRPS >ORGLA07G0152400.1 pep chromosome:AGI1.1:7:16616764:16616985:1 gene:ORGLA07G0152400 transcript:ORGLA07G0152400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMWSQIWKESKFGTIGYVMFLSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA07G0152300.1 pep chromosome:AGI1.1:7:16607201:16612236:-1 gene:ORGLA07G0152300 transcript:ORGLA07G0152300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 5 [Source:Projected from Arabidopsis thaliana (AT5G54310) TAIR;Acc:AT5G54310] MNEKASVSKELNAKHKKILEGLLRLPQNRECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKSNSYWEAELPPNYDRVGIENFIRAKYEDKRWIPRNGSSRPSSGARDEKSSESQTSVNRGGHNQRSSFEQHRTSPAAVSKIAPVVSRTPTQAPHQPKAQPSVPKVSPPQPEKSPPNATPPKVEKPSVAPPPKVDYATDLFNMLSMDGTTEKEAESSSNDDSAWEGFQSAEPVPSSDKKDSAKPVESKPQSTSGIEDLFKDSPAVTVSSAPAAPQVNVKNDIMSLFEKSSMVSPYAVQQQQLAFMTPQQLALLSQQQALLMAALKAGNAPQMIPGNASLLNGNGSNPANGGLPSQSWTNLAYQNPGLAPVAAQNGATKVANNNQEFSFGNFNFSTPGAYNTSSSVPANGAASAAANKSTSPTSSSLPSQSGKEYDFSSLTQGLFSKR >ORGLA07G0152200.1 pep chromosome:AGI1.1:7:16600524:16605716:1 gene:ORGLA07G0152200 transcript:ORGLA07G0152200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IKI3 family protein [Source:Projected from Arabidopsis thaliana (AT5G13680) TAIR;Acc:AT5G13680] MKNLKVVTRIAQKLQLQLDGETVVVSAVDAERRRAFFVSSENFLYSVDLPAPTQQSLQWSESTLDSDAEEVVLEPGDYIVAMDYLMEKESLLLGSSTGCLLLYNVDEKTTEVVGRLEGGVKTIASSPDGALISVTTGFGQLLIMTNDWEVLFETSLDPHCDLTGDINSPNGHIQSSISWRGDGKFFATLGGLEGSSQKLTIWERESGNIHSSSDTKAFIGASLDWMPSGAKVATAHDRKTEGKCPLIVFYEKNGLERSHFSIDEPAEAVIQALKWNCNSELLAALVSCGQYDVIKVWSCSNNHWYLKQELRYTKKEGVRFYWDQTKPMHLICWTLGGQVITHRFAWTTAVSETSIALVIDGSHVLVTPLSLGLMPPPMSLFHLTFPCAVNEVSFLSDNSKNHIAAYLSNGSLCVSVLPVADTWEEFEGSGISVDPCFSESTLNNYMHLTWIDTCTLIGICCRADAFSSTPMRSSEASSLLEKNDSPYFINEIELVCSEDSLLGSACSSGWQAKISKKMPLEAAVIGISQNPAKEGSAFIQLSGGRVVEYCSKVNLFRMSAPVQVSETGSDHTFPTSCPWMTAVQCHENGMVRTLLFGLDDSSKLHVGKRLLSSNCSSFTFYSSAYGAKEQVVTHLLVTTKQDLLFIVDISEILLKNGEVTTDSHIRSHPRGKQSKEHITVWEKGAKLIGVLHGDEAAVIMRTTRGNLECIYPRKLVLVSIVQALVQGRFRDAFDMVRRHRIEFNMVVDYCGWKSFMKSAADFVKQVNNLSHITEFVCSIKNENVSSKLYETYISFPDHCATSVADTVNSHGLLSDNKVTSVLMAIRKALEVQVEESSSRELCILTTLARSEPPLLEEALNRIKVIRELELLGVDDARRKLYPSAEESLKHLLWLTEPEAVFNAALGLYDLNLSAIVALNSQKDPKEFLPFLKSLECLPPAIMKYTVDLRLGRYESALKNIVSAGDEYHEDCMKLLNANPQLFPLGLQLFTDPDKRHQILEAWGDQLSEEKCFADAAITYQCCSSYQKSLKAYRDCGDWRGVFTVAGLLKFKKEEILQLAHDLCDEFQALGKPGDAAKIALEYCSDVDRGVGYFITAREWEEALRVAYMHSRQDLVDTVRDAALECSALLISEYQEGLLKVGKYLARYVAVRQRRLSLAAKLQSEDRFMDVEDDSISEVSTSFSEMSAYTTRSTKESSASVISSSASKSRGARRQKKGGKIRAGSPREEMALVEHLKGMSLTSGALTELKSLLVVLIQLGREETAHQVQLAGDNFEVSQRAAVKLAEDTVSNDKIDENAHTLENYVKMLRAHQSADSETTCWRIKALSPPWTGVYSNSHEHAEC >ORGLA07G0152100.1 pep chromosome:AGI1.1:7:16595353:16598265:1 gene:ORGLA07G0152100 transcript:ORGLA07G0152100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSGGIAKEKDPENAPELVALPLVRTLRPVHAVIDPAADPRSAQLSWPGHVVLLPPYATWPHHVPTPPPAVNPDVAAADVSPDVGCCDEKMLPKVDMLFDGEKEAYDFYNAYAEMVGFFVRRSTLWTTSKNIITRRTFVCSREGFREKKRGTKEAKCPRPETRIGCPASMTIRLNTNGKYRLTEFVPNHNHQLATASTMHMLKAKKIRLKARAARENLVDDTVRTPEFGSEDEAYEFYSMYAGKIGFNVRRASMTMNAENVITRRMFVCSKEGFREKKRGAKRVKKPRPETRTGCPACMVIRLTSNGKYHVTEFVTFHNHQLGATVPSDLVATSQSTETGQDDGLDLVDGSADANIHRQNLIIGNIMATSLEGRSNKRFKCTKVPHYGDVGATLEYLQKMQHDNPSFFFAVKSDDDGNLTNFLWSDSKSIMDFVHFGDVVCLDSTYALQGYGRPLALFTGVNHHKQTVIFAAALLYDESVEAFRWLFDTFKMAMNGTQPKTLLTDRSDAISEGVAASWPATAHRYCVWQIYQNALQQLSQAFHGSKTLDYCFQKCLFDCEDEPEFLTAWREMLEKYDLEDNQWLADLFSLKEKWALPYGREAFCADMKSVQQKESLGTELKKHLSLEFDLLSFFKQFERVLCDRRSTELQADVDASQSTKKPPPMRVLRQASNIYTPAAFKMFEREFELYMDCMLYNCGEMGTISEYRVVIEDNPKDHFVKFDSLNSMVNCSCKGFEFVGIPCRHMLKVLDTRNIKDLPPQYFLKRWRKDAKSGSPNCSYSFPLDGDPQLVQTKRYNLLCRMFSIAAARAATSIETFAYMENQSSIFMDQVEQALQTRPPDIAAMIGAHCDQTQNPIDNIVAGGLHSHTNFINGPADGSLTFPFTLGAGVLDYR >ORGLA07G0152000.1 pep chromosome:AGI1.1:7:16585626:16586787:-1 gene:ORGLA07G0152000 transcript:ORGLA07G0152000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASFLERLNWRSDPFAGWFPPWCDRPLCDCGDGCILKSSLMIQTRGRRFFQCANFDQTYRPMCNFIEWVDMENPQNDGTRAYPRSETRSDYLRRKDEHERRIAAEALEWQVNPLGLPTWRERPECRCGDRCQVIRFGNPRMCGFTRWIDNVTPSYHGQKITESERQVEYQRLKDHENAMHSDRPRRGR >ORGLA07G0151900.1 pep chromosome:AGI1.1:7:16570921:16572010:-1 gene:ORGLA07G0151900 transcript:ORGLA07G0151900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF761) [Source:Projected from Arabidopsis thaliana (AT5G54300) TAIR;Acc:AT5G54300] MGYARTVKAATAAAAAVLVAFGVRMAAPAAAGFVADELPRAQAAAATWLTPPYLYLVINAIILSIAASSRFQPNRPQAASADASLVRPAPVPVPVPVVAVPAPAVTMPMEVPVVPVPQAMAPEPIPVEVTVPEVVKTAPEAEEAEENFTISRSAWTPRRRSTAEAEAEHEALPPFADLTNSREKPLVSTRFGRKPVKASPEGSSRALGVSRPRKEQTLESTWKAITEGRAPPLARHLKKSDTWETRPGRRQSGSGGGEDAPPPATAMRKAETFNEAAGGGGGKKVRREPSLGQDELNRRVEAFINKFNMEMRLQRQESLKHYNEMISRGSVY >ORGLA07G0151800.1 pep chromosome:AGI1.1:7:16561920:16569291:1 gene:ORGLA07G0151800 transcript:ORGLA07G0151800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAQPPPTRPPVAAPPPSLAAAAPISVQPPPLQPKPPPHPQQPPQAVVSVGVGPPPPTPQHQQQQQQQQGPPGHAPPQQRPRICFNAHCKDPKSDGPRRRGWRLRNGDFAELCDRCYHSFEHGGFCETFHLEVAGWRNCESCGKRLHCGCIVSVHAFVHLDAGGVECVMCARKSHAAMAPSQIWSSSMHMAQNVADRKDNFVKSWRPPAGQFSSQWRQNNMWSMSTMQSDLQQRLAFEFDRPSGSEKLLPGRTFIHAHEKKFDDMHDRSTTPAGMNQIMRERYANGHTQHTTLDPTYAYTLYHREGTNPNLHDHSHHAGENDHLTARKGVTSDPCSSVSTTFKLDSHHPSILKDDPSAVPAGLSPNFSSANGPKDHIRIGPTQQQQQMASSSLQKQFYSHSVIDNDFQAQLRNGRPRMDAKARSQLLPRYWPRITDQELQHLSGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPAISQAEGLPLKVQDATGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPEGKLVMGFRKATNLSAEQDQPTKPANGVPPPPEANNKVVVPDSSPNAAVPRPIKVNTESKSSSPVEQATACKIDKGALPQKEGPGTSSSSPLPVKRKATSVGPKIKRFRMDSEESMELKITWEEAQELLRPPPKAPSIVVVDGHEFEEYEEPPILGRRTYFVTDQSGENHQWAQCEDCSKWRKLPVDALLPSKWTCSDNKWDSERSSCDSAQEINMEELGEMIPIKPGAAKKTKGKVDTDNIDVSDGLDTLANLAILGEGESLPSQPTTRHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMMRREKRQQSEKDSGVPRKREPGQSSEPVPQSGSGAHPTSTSSPPQRADTNGEGPEDMSIDNKRTSSPVKNQIDLNSQPEREDEQSPKSDATRLLRDNPT >ORGLA07G0151700.1 pep chromosome:AGI1.1:7:16542673:16547375:1 gene:ORGLA07G0151700 transcript:ORGLA07G0151700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEAAAAWGDAMRDRLASLGRPKRLFIIVNPYGGKRGGRKIFQTEVLPLIEAAGILYTMQETKHRLHAQEIAHSLDLRKYDGIVCVSGDGVLVEVVNGLLQREDWNTAIKIPLGIIPAGHKRPLDVTSVVQGKTRFFSVLMLTWGLVADIDIESEKYRWMGSARLDFYSLLRVVSLRRYNGRVLFVPAPGYEGLGDLVEQISSCKSNGASTGVQEDRSNDFNDETCAYAGPSIDEADHEWRSLDGPFVSVWVSGVPFASENVMTAPEAKFGDGYLDVAIIKDCPRSALAGLMFQMKDGSYVKSPYVEYFKVKALRIEPGMRVGSTTKGGIIDSDGEVIARGDGSHTGDEIEHLMAYGPPIQLTVDQGLATIFSPR >ORGLA07G0151600.1 pep chromosome:AGI1.1:7:16535700:16538017:1 gene:ORGLA07G0151600 transcript:ORGLA07G0151600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKSKKCGGGGVAAMDTSEGAPAASTAVGAPEPMDTSEGKQTSSVSVALTSINKKTEDIPVLKRLDPKQTAQFFVSRKIKKGVQIKRSQNVRKMKAVARAISKNEKAEEKVLKAKSKKSRVQSAKSLYD >ORGLA07G0151500.1 pep chromosome:AGI1.1:7:16517553:16526191:-1 gene:ORGLA07G0151500 transcript:ORGLA07G0151500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAAVMAPAPAAAKSSLEVLLETIKKRDEQPKDAPPALPARPTCRGRLPSARRPSLPSGVKLENGAAKGAVADTVMAGVKLENGAAKGAVADMVMADKKPGVEMKICVQEAKEENVVKTRIFGAKRKFSNGEVLEESPYADNIHKERKEMMVSKELPSVSPRTKTNGKPVFTDTMDYVLQKKLRVWCSSPDATWKLGQIQSVSGDDVEILMVNGEVLTLSPDRLLPANPDILDGVDNLIHLSYLNEPSVLYDLQSRYSRDLIYTKAGPVLVAVNPLKEVALYGKDFISQYRKKLNDDPHVYAIADLAFNEMRRDGVNQSIIISGESGAGKTETAKIAMQYLATLGDARGMESEVLQTNAILXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSDLISPLKVLMSCILSMQSRVVRRATGERSFHIFYQLCSGANPLLKKKLFLKEADYYNYLKQSACLRIDGVDDAKRFSMLVDALDIIQISKEDQMKLFSMLAAVLWLGNISFTVIDNENHVDIVSNEGLATAAKLLGCSAPQLMNALTTRKIQAGKDNIIQKLTLTQAIDARDALAKSIYAHLFDWVVEQINHSLGTGREHTWRSISILDIYGFESFIKNGFEQFCINYANERLQQHFNRHLFKLEQEEYLEDGIDWANVEFVDNADCLTLFEKKPLGLLSLLDEESTFPKATDFSFANKLKQHLRGNSAFRGEQEGAFKICHYAGEVTYDTTGFLEKNRDPLNSELIQLLSSCKSELPKYFASVMVADSQNKSTLSWHSAVDSQKQSVVTKFKAQLFKLMQQLENTTPHFIRCIQPNSKQRPMLFEHDLVSHQLKCCGVLEVVRISRAGYPTRMTHQQFAERYGCLLLRSIASQDPLSISVAVLQQFNIPPEMYQVGYTKLFLRTGQVAALENAKNRMLHGALRIQKNFRGLCTRQEYQGLKKGAMTLQSFIRGEKARVHFDHLVKRWKASVLIQKYARRRIAATMFIDQLKYVVLLQSVMRGCLARKKYKCLKEEKDSKASHRKVIHVRNNVSQARMYHQETNGDYPRQPVITELQGRVSKAEAALRDKEEENEMLKQQLDQYEKKWSEYEAKMKSMEEAWKKQLSSLQLSLVAAKKSLTAEDVASRAARTDAAPMHAHYDSEDTSTGTHTPEGTEFKYQNHNSEARVAAPNSDRRINAVNHLAKEFEDRRQVFEDDAGFLVAVKSGQVGSNMNPDDELRKLKDRFATWKKDYKSRLKETKVNLQKVGEEKSRKRWWGKKSSK >ORGLA07G0151400.1 pep chromosome:AGI1.1:7:16515778:16516733:1 gene:ORGLA07G0151400 transcript:ORGLA07G0151400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:light-harvesting chlorophyll B-binding protein 3 [Source:Projected from Arabidopsis thaliana (AT5G54270) TAIR;Acc:AT5G54270] MASTIMAPTSRVLAAKTPFLGHPRPSNAPLRDIAAAAATGRITMSKELWYGPDRVKYLGPFSAQTPSYLRGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLEKWVRVDFKEPVWFKAGAQIFSDGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGYRINGLPGVGDGNDLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLADPVANNAWVYATKFTPGS >ORGLA07G0151300.1 pep chromosome:AGI1.1:7:16514408:16514734:1 gene:ORGLA07G0151300 transcript:ORGLA07G0151300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKLLIGCFVPRGGETEAQMMMRELDEELNELFRDVLPPPRSPWKRAKEAIRRRRNGAKVAPATADAAVIVLGPAVTRMLVDQGATHLLDELELLNQAIREHYASS >ORGLA07G0151200.1 pep chromosome:AGI1.1:7:16505141:16506745:1 gene:ORGLA07G0151200 transcript:ORGLA07G0151200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAATTPLLTSHEAKPAKALSIDDAIETYIGATGARQLLTAMLLAFAWAFEAQQVFMPVFTDAEPTWHCTGVAAGDPGSFCSLAAASASASACALPPGTWEWDRPAETSVVSEWALKCGGGGPALVSLPASSFFAGNLAGGFLLTTLADTLLGRRKMLVLSLVTMSVAGVLTVFSPNVWVYAALRFVCGFCRSTAGTSAMVLSTELVGKWWRNTVSVAAFVFFSVGFMSLPALAYTLREASWRNMYVWTSLPSLCYAVLLYFLVQESPRWLLVRGRKQEAIAALRQIASLNGGEGITTSSFTKLETCAGEVGDGVAGGEGMFATLRSICERRWALRRLAAITTATFGVGVVYYGMPLSVGSLSSDLYLSVAYNAAAELPSSVLSWLLMGRFNRRSSLVALTAASGLCSLACVVIPDPEAGAGGSRLRLAAELASFFASCAAYDVLLMYSIELFPTSVRNSAVGLVRQAGVLGGVVAPMLVALGRERSYWSFGVFGLTVGCLGLFVTCLPETKGRRLSDTMEDEEEAAAVLSGG >ORGLA07G0151100.1 pep chromosome:AGI1.1:7:16495031:16496665:-1 gene:ORGLA07G0151100 transcript:ORGLA07G0151100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAATTPLLTSHEAKPAKALSIDDAIETYIGATGARQLLTAMLLAFAWAFEAQQVFMPVFTDAEPTWHCTGVAAAAGGDPGSSCSPAAASPCALPPGTWEWDRPTETSVVSEWALKCGGGGPALVSLPASSFFAGNLAGGFLLTTLADTHLGRRKMLVLSLATMSVAGVLTAFSPNVWVYAALRFVSGFGRSMVGTSAMVLSTELVGKWWRNTVSVAGFVLFSVGFMSLPALAYTLREASWRTMYVWTSLPSLCYAVLLYFLVQESPRWLLVRGRKQEAIEALRQIASLNGGEGVTTSSFSMLDACAVEVGDGVAGGDGMFASLRLIWERRWAFQRLAAMMTASFGVGVVYYGLPLSVGSLSSDLYLSVAYNAAAELPSSVLSWLLMGRFNRRSSVVALTTASGLCSLACVVIPDEEAGTGGLRLAAELASFFASCAAYDVMLMYSIELFPTSVRNSAVGLVRKAAVLGGVVAPMLVALGRERSYWSFGVFGLAVGCLGLFVTWLPETKRRRLSDTMEEEEEAAAAILSCAGASDMNNNGELV >ORGLA07G0151000.1 pep chromosome:AGI1.1:7:16480965:16481672:-1 gene:ORGLA07G0151000 transcript:ORGLA07G0151000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTADRLQLRVYAIKLRVAAASPPRAAVPLPVVHTSTGCCNAERRPPHQGYIIGGLLAAASTWSCSCVVLSDRSFAAFVVFLTVRASTTSSSVLVIVSRSGSSSSTSSIAAAFPSCHCRRSRLVVQLPLHSYRRRCPGHWSRYIAFYFVQHDLSPASPYLPRLHFALLRQPRATPAILPLRRSRAATVLEAFSASLLRHWHMIHGGPLSRPRGIGNTGARVRPELSRGLANPV >ORGLA07G0150900.1 pep chromosome:AGI1.1:7:16477275:16478873:1 gene:ORGLA07G0150900 transcript:ORGLA07G0150900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEATTTPLLASYKAKPAKAPSIDDAIETYIGATGAGQLFKAILLAFAWAFDAQQVFISVFTDAEPRWHCTAGADPSCSPGAASPCALPPGAWAWDRPAETSVVSEWALKCAGPALVSLPASSFFAGCLAGGFLLTTLADSLLGRRKMLLVSLASMSVAGVLTAFSPNVWAYAALRFVCGFGRSMVGTCALVLSTELVGKRWRDTVSVAGFVCFTVGFLSLPALAYTFREASWRSMYLWTSLPSLGYAILLYFLVQESPRWLLVRGRKHDAIETVRQIAALNGGGGITCSFSMLHACATEREDDAAGGAGGGGGGVFATLRSMWERRWALRRLVAIMTASFGVGMVYYGMPLNVGNLGSNLYLSVTYNALAEFPSSVLSWLLMGRINRRSSVVALTAAAGVCSLACVAIPEGTGGRMAAEVLSFFATCTAFNIILMYSIELFPTSVRNSAVGMVRQALVLGGVAAPMLVALGRERSFWSFGVFGLAVGCLGLFAVCLPETRGRSMSDTMEEEEHKEAAAAIGDMDSNADLV >ORGLA07G0150800.1 pep chromosome:AGI1.1:7:16469337:16470413:-1 gene:ORGLA07G0150800 transcript:ORGLA07G0150800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSLGTXDLILKRTHYLLDFFWGSFDRLVASLRISLGKLELGIRLCGVWTGCSEDNIREMAKAVENQRWTTDIGGRQGNRATKMEKDDGETLEERASYLHLLGLRRHGQYGISVVRATVDRERTVVPSARLWRSRKGGGGSGMASLVNGSACGGEKKIKECVGVNE >ORGLA07G0150700.1 pep chromosome:AGI1.1:7:16466571:16467368:1 gene:ORGLA07G0150700 transcript:ORGLA07G0150700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVAAAASSQQPAAAPPLAGRVAIVTGASRGIGRAIAAHLSALGASVVVGYASSAAEADALAAGLPRAVAVRADVADEAGVRSLFDAAESAFGAGAPHIVVANAAVLDDKYPTLVDTSTADFDRTFAVNTRGAFLCLREAAHRLPRGGGGRIVAITSSVVGSHPTGYSAYTASKAAVEAMMRTMAKELKGTRITANCVAPGATATDMFFAGKSEERVDEIKATNPMGRLGEAGDIAPVVGFLCTDAAEWVNGQVIRVNGGYV >ORGLA07G0150600.1 pep chromosome:AGI1.1:7:16465065:16465943:1 gene:ORGLA07G0150600 transcript:ORGLA07G0150600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRASASTTPPPQIRRSLSVIGEVVSRCRHHHHQTPPPPPPPLSPAADAVVSASGGAGAGHADDRRPANRERRPVGGGGGGGGCGGGSPLAVGMVVVGVGIGVPRARSSRRDPLLLVGVVVPLFPTGSRRGAVADVLLLLRCRIDGASMARPALKLALPAMPPKRLTSASATALVDGVVGVVADRPSAKAVKVALHVLYRLCPWSQNHVKAVDAGGVSALVRLLLDEGCSGDWRACELAVMATTTSVATRRGAWCWWHTRRGSRRWRAWRRGCPPRAPRAPCARYMPWRGTR >ORGLA07G0150500.1 pep chromosome:AGI1.1:7:16461822:16462564:-1 gene:ORGLA07G0150500 transcript:ORGLA07G0150500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGQAKTKSSLSTSLSFSCSSTRILGRKRVAVSPAPPPSGGPHSPVRTLRKQRSTRLHMDDAVSLLESLPQDVLIKVLCKVNHSDLRALLLVSKQVSEATVVAREQHFAFATPSSKAALRGGEEEEEEAPGAPKLQRRVARSSPVWGKNLASISVNLFEAFESEVVEM >ORGLA07G0150400.1 pep chromosome:AGI1.1:7:16454049:16455365:-1 gene:ORGLA07G0150400 transcript:ORGLA07G0150400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQTSNSTMFFMIVLLILGSTVLGKEPPNPCLAPVPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASPPPSSLPPSVNPPKPKPLPKCPLLLTNLGGCISLGIGNSLMKYPCCSQLYNLQYDTAAACLCDAMKIDLRVNVDVDINVMIDKILKLCSKAGDSTVVCLR >ORGLA07G0150300.1 pep chromosome:AGI1.1:7:16445006:16445383:-1 gene:ORGLA07G0150300 transcript:ORGLA07G0150300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGGKVFFKCEENEQDVPNSCKFFKWIESYKKMVEGMSLHAVDEASNDVALEHMVAAPVEMKLRSVDDGKMDKLINWIQVLVMINIGLLVLCFIGVFVMILK >ORGLA07G0150200.1 pep chromosome:AGI1.1:7:16438367:16439588:-1 gene:ORGLA07G0150200 transcript:ORGLA07G0150200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSSTSSSPPPPPPQELAGAGGEQRRPQQQQHHHPLLAAAAAASLLAFLYLPRPLLPLLLSPASLSSLLVLLSLLRLGSPPPPPAEATPPPPPSPRERAVPEAADTPPPPPPPTAPTQIYGLILQLFGVLMMLRRSHLEKKSPRNYS >ORGLA07G0150100.1 pep chromosome:AGI1.1:7:16422792:16424641:-1 gene:ORGLA07G0150100 transcript:ORGLA07G0150100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAATAAAAERDEQGSVTAALDAITGLVSASLAASLFPYKWQLIRDRLNRLHAGLADIATGGGEGGEGHGALAGVLGAVVETAREASELVPRSQGRHYGGGKLRLRSDLDVVAGTLDALVARVDEVYASGALTRARALVVSRPCAGASRDDVRFYVRDLFARLRVGGAEMRGEAAAALAEVLHDDEKCVRVVVSDVADGVGVLVWLLECPDACVQEEVLEAVSVIAGFEAYRGDLVVGGVIAPVIRVLDSAGGRPSAKERAARLLCKLTENSDNAWAVAAHGGVTALLNVCADYTASGGELVCAACRVLRSLAGVDEIRKYMVAEAGAAPVLVSLCRGAADEAAQIQAMELLAAIASGDSSVREAVLQEGAVESLVSVLDPASPRSSKAREVALRAIDALCLSSPPSTSRLVAAGFLDRVLVFLRSGDATLQHCALKAAHRLCHASEDTKKAMGDAGFMPELVSILHAAKSLEARDMAAEALCAMVSVHRNRKRFVQEDRNVAQVLQLLGPDDEKLSPAKRFLLSTLMHLSDSTSGRRKIMSSEHLRNLERLAETNVTDAKKIVKKLGGSKLRNIFHGIWSLQKA >ORGLA07G0150000.1 pep chromosome:AGI1.1:7:16419591:16419890:-1 gene:ORGLA07G0150000 transcript:ORGLA07G0150000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVGGGKVKKAAGGRKAGGPRKKAVTRSVKAGLQLPVSRIGRYLKKSRPVDLLSAAPSPSAVPTLFHTASMMPPTLFRTASVTPLLPLPHRPSSPHQL >ORGLA07G0149900.1 pep chromosome:AGI1.1:7:16406105:16406641:-1 gene:ORGLA07G0149900 transcript:ORGLA07G0149900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPPPHQPRCRGCSRFAVPLARRPLSKAITGIDDGVSVRRSSGGSARKRRNGVGIHAAGIDDGDVGRGTLLLCLRAEGSGQPARLATIDNRVLQKAAHVTPTPPFPTSSASTARIAERSRERGEREREIHGFHRRSQGPPARHPPQAAPRRRTVRRHREARHHSHRLREVRPAPA >ORGLA07G0149800.1 pep chromosome:AGI1.1:7:16397396:16399688:-1 gene:ORGLA07G0149800 transcript:ORGLA07G0149800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVVNNGGGKDYPGKLTMFVLFACIVAATGGLIFGYDIGISGGVTSMNPFLIKFFPSVYRKEQAAEKNQSNQYCKFDSPLLTMFTSSLYLAALVASFFASTVTRVAGRKWSMFGGGVTFLVGAALNGAAKNVLMLILGRVLLGVGVGFANQSVPLYLSEMAPARLRGMLNIGFQLMITIGILCANLINYGTAKIKGGWGWRVSLALAAVPAAIIAVGALFLPDTPNSLIDRGHTDAAKRMLRRVRGTDDIEEEYNDLVAASEESKLVAHPWRNILQRRYRPQLTMAIAIPLFQQLTGINVIMFYAPVLFKTLGFADDASLMSAVITGLVNVFATFVSIVTVDRLGRRKLFLQGGTQMLACQIVVGSLIGAKFGFSGVADIPKAYAAFVVLFICAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSINVSVNMLFTFIIAQAFLPMLCRFKFILFFFFGAWVVIMTLFVAFFLPETKNVPIEEMVLVWKSHWYWGRFIRDEDVHVGADVEMPAAGNRNGKVDPAKLAN >ORGLA07G0149700.1 pep chromosome:AGI1.1:7:16390331:16391763:-1 gene:ORGLA07G0149700 transcript:ORGLA07G0149700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLSCFGRGSATASAPDQPEEAAAAAAPGPVLLELFCSQGCGASPEADAVAARMVHEDQQRAAAGEGGGGERGVVVLGFHVDYWDYRGWKDPFASSAWTVRQKAYVEALRLDTLFTPQAVVQGRAHCVGTEQDALAQAVRDAPRYPAPAMKVTFQRPNPSTLQASFTGTLRSRMEGGGSVLVALYESGLVTDCGRGENKGKSLLNDHVVRRLEKVAAVRDGASAKKSVSVSVQFPLWDGFRASRCGLVLFVQNAALQVLGVQHFDLPDNV >ORGLA07G0149600.1 pep chromosome:AGI1.1:7:16389169:16389642:1 gene:ORGLA07G0149600 transcript:ORGLA07G0149600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRIAGCPSDNESCLYTAVAAALDRLSRVQEHVTIGSFPSDTAASFGVGECDTIGGCLLPPLAYNDTGWECAVGLVTSRCCDVMPPLHATRQRTLAIDDVTQVAAVASLASSIATTRLLGEGSERACTARRRGVALATSDVKERRREGRIRVGADT >ORGLA07G0149500.1 pep chromosome:AGI1.1:7:16383678:16384992:1 gene:ORGLA07G0149500 transcript:ORGLA07G0149500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVLVNKAYMAVTLGAAIELKEQVAKPCSSAAKRGASVLAVRPSSSAATAAAAAAEESLRMVMNMHAKPWVNGSYRNNSPLAKNDVANSGPPKKKEESYRYLSGWYKLVFRWTREGDQDRKAFTRTYVYTIEYLLIHFRCLGQKRKGVAKWRINALL >ORGLA07G0149400.1 pep chromosome:AGI1.1:7:16377395:16381557:1 gene:ORGLA07G0149400 transcript:ORGLA07G0149400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGEDRYAAAGGGGDSGGGKLWNLCRMPFWQPGGAPATASAPPPPSSSSSSAGIHHHSAGRYGHEGGGGGAVAGDGAPAGSISSVAKSLLPARRRLRLDPPNKLYFPYQPGQQVRSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGETIIATVFKFVEHPENNENVLQKCKVKFKILSLKVKGPMDYAPEMFDEQRDQAVVEKILRVVFLNVENPGPQLEKLNNQLAEAEAALEARKKPPEENGPKIVGEGLVIDEWKERRERYLAQQQVEVVDSV >ORGLA07G0149300.1 pep chromosome:AGI1.1:7:16374768:16376286:-1 gene:ORGLA07G0149300 transcript:ORGLA07G0149300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDKEHAQEAWVFRVDIGITPIPEHLGLALQRARQTIGHFMGPCNHEITAIITILLQPAGDICTEVKNTVNGGGSQTEASALLLTSLVSGIGGFPTPAYVRGRRPSNGNEMVVGHGGRWRWGAKGKRGPFVKIHGHYQ >ORGLA07G0149200.1 pep chromosome:AGI1.1:7:16366612:16370789:-1 gene:ORGLA07G0149200 transcript:ORGLA07G0149200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II reaction center PSB29 protein [Source:Projected from Arabidopsis thaliana (AT2G20890) TAIR;Acc:AT2G20890] MAAISSLPFAALRRAADCRPSTAAAAAGAGAGAVVLSVRPRRGSRSVVRCVATAGDVPPTVAETKMNFLKSYKRPIPSIYSTVLQELLVQQHLMRYKTTYQYDAVFALGFVTVYDQLMEGYPSNEDRDAIFKAYITALNEDPEQYRADAQKMEEWARSQNGNSLVEFSSKDGEIEAILKDISERAQGKGSFSYSRFFAVGLFRLLELANATEPTILDKLCAALNINKRSVDRDLDVYRNILSKLVQAKELLKEYVEREKKKREERSETPKSNEAVTKFDGSLNSMRH >ORGLA07G0149100.1 pep chromosome:AGI1.1:7:16363883:16365132:-1 gene:ORGLA07G0149100 transcript:ORGLA07G0149100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:I1QBJ9] MASSVAAAASTFLGTRLADPAPQSGRIVARFGFGGGKKAAAKKAARPSAPTTDRPLWFPGAVAPDYLDGSLVGDYGFDPFGLGKPAEYLQFELDSLDQNLAKNNAGEIIGTRFETGEVKSTPFQPYTEVFGLQRFRECELIHGRWAMLATLGALSVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSISTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGSYFDPLGLASDPEKKERLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFSSSS >ORGLA07G0149000.1 pep chromosome:AGI1.1:7:16356471:16360384:-1 gene:ORGLA07G0149000 transcript:ORGLA07G0149000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHLSLPPHRLLLVAGGRRRRRRLLLLPPSPRRVCVRAAASVELEVAAAAGEYGLPFPSERAAHHRELAAAAAAVERACRLCVDVVKRSLLSGEKKIFEKNDQTLVTVADFGVQALISLELQQSFPSIPLVAEEDSASLRSSNADNSSNVLVESISSAVADNVSNTNSLLTHDDVLRAIDKGGKDSASFDSNPATYWVLDPIDGTQGFSKVDDTLYVVGLALVVNGKVVAGVMGSPNWASDTIANRKDDSIASRYDRGILMIAHEGCGAWTKRLYDEFGQFTTSKDTWNRCFVDSCSVVHKARYCLSDNQTWNMIPLSVVFNSTTDESKPRDENELLTSYVFSGSLCKYLTVAYGRASVFVLKARTKSLKSWDHTVGVICVQEAGGQVTDWRGEPLDLEADLTGRRDIYPHGGILITNGVLHNKLTELIKANY >ORGLA07G0148900.1 pep chromosome:AGI1.1:7:16351463:16355478:-1 gene:ORGLA07G0148900 transcript:ORGLA07G0148900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHLSPPPHRLLLAGGGRRRLLLPAARRRSLVRVRAAASAAAAAAEAYGVPFPPERAAHHRELAAAAAAVERACRLCVDMKRTLLSGDKKILEKNDQTPVTVADFGVQALISLELQRLFPSIPLVAEEDSASLRSSNTDDNSSNVLVESISSAVAEKVSNADSLLTHDDVLRAIDRGGKNAVSFDSNPASYWVLDPIDGTKGFLGGDDALYVVGLALVVNEKVVAGVMGCPNWSNATIASRKEDSAAAQPDRGILMIAHVGCGTWSRHLSVDIGQFTTAQSTWNRCLVDSCSVVNMARFCIPDSQTWNMIPLSVLFNSTMDESNPRDENEILLLSVYCGSLCKYLTVASGRASVFVLRARTKNLKSWDHAVGVICVQEAGGQISDWSGKPLDLAADLTGRRDIYPSGGVLVTNGALHGKLVEMISANHK >ORGLA07G0148800.1 pep chromosome:AGI1.1:7:16340723:16342071:-1 gene:ORGLA07G0148800 transcript:ORGLA07G0148800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 74 [Source:Projected from Arabidopsis thaliana (AT4G05100) TAIR;Acc:AT4G05100] MGRAPCCEKSGLKKGPWTPEEDEKLVAYIKEHGQGNWRTLPKNAGLSRCGKSCRLRWTNYLRPDIKRGRFSFEEEEAIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDLLDLTSLLKPAAAAAYYPTQADLDTLRALEPLAGYPDLLRLASAILPAATRTGAAAAAAAEQAQLLLPWLLQAQMAQQQQQVTPPPPPPPQAAATEQFLQATSTACHQMPGLVHASPTQQLAQQPQDHMAAATCHRRGAVQHPSYDNQLDYVPALMQMASDASNLQQWSSTVSSSNNHNVNSGVSTPSSSPAAAGQINSSSTTTTTTYGLNASGDVDDAGLLINMHLSELLDVSDYM >ORGLA07G0148700.1 pep chromosome:AGI1.1:7:16327762:16331206:-1 gene:ORGLA07G0148700 transcript:ORGLA07G0148700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFTFVSRLLAVGTNRRAAYFSQPSHRSGYYTAARDNGLSAKRKIPTVFSRMFSHYKVIVRKNKAGDHKCRNRISRGFRTFSGTVANSSATQHAQLAWKRLSHTYMYSGPRFPLISRAACALSFSFTRFHVVPGVMALAFGKFALSRPVLADSPHLSTMEGIVTNARDTRQFLSSIVWSIWEGVTLLIRAVHLAILFFPATALSPFADTFSVGFRRRWLRLVRRTLEIAGPAFIKWGQWAATRPDLFPSDLCVELAKLHNAAPAHGFAYSKATIEKAFGRKLSEIFETFEEEPVASGSIAQVHRATLRDQHTEKHVAVKVRHPGVGESIKKDFLLINLLAKASNVVPGLSWLRLDESVRQFAVFMMSQVDLSREAAHLSRFIYNFRRWRHVSFPKPLYPLVHPSVLVETFENGESVSRFMDEIEGNARMKRDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNERKPKRKLFFRPKPHIVFLDVGMTAELTRDDRDNLQQFFKAVATRDGRTAANCTLQLSKQQSCPNPVAFIEELDKTFSFWGTPEGDIFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPGFDIMHTLKTLLLDKDIKQPVDFFS >ORGLA07G0148600.1 pep chromosome:AGI1.1:7:16315059:16317229:-1 gene:ORGLA07G0148600 transcript:ORGLA07G0148600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHVAAALLLALLLAPAAATDVPSYPLSQAQSPANTSSGGSTSPPCHLDLSAELFGGVAAACGAGGGPGSLDRGRCCPVLAAWLFAAHARTALSVPAPAPALAGEGLDGGEDEPMVPYDNQRCVDALGSALERRGVALPRPNATCDTVLCFCGIRLHQIGSLRCPAAFAVGGGGAAAAKNATPTAAVKELEKSCRNASYAGCSRCVQSLQKLKGNVSREVAGGDRARRMLGRDCQLMGLTWLLAKNKTVYIPTVSAVLRAMLYSARPTESAAAGGAPPRCSPDQENMPLAVDSLQFERASATSSSAAATVLRGGGAGVVVSLLLGCLVVFDVFL >ORGLA07G0148500.1 pep chromosome:AGI1.1:7:16306625:16311249:1 gene:ORGLA07G0148500 transcript:ORGLA07G0148500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRYKGRGRGRGRGRGRGKGRGRAADATPVEEVDVEGAKSEEEEEEEAAAGSGGDTEAEDAKEEGASESEGEAMDVEAKESADEKEEEEAEAEADGDEGEDKSDEMDAEAGAAEEEAKEEEELKDAEVEGEGAGSDSERGEAEEADGENEEEAAATDGEKEEEAAETDGEEEAEEEAAETAGENEDEGAESDPEGEASDAEEDASEESPPSPPTRGRRRKRAATSDPPPEDDEEEGTPAQPRRRKRAATSDPPPEDDEEEGTPAPPRRRRRRKAGDRGDSPPPLPDHLRCRRSDGKKWRCQNRALPTVSFCEYHYSRANKGKKPPADGEVLAVALQRQKKNKRKGRRNVNASPASPPAATSDVTRDLPNGLMRISPGSSEPAASLPSPVTTKVGVDIPVPTQRCYRSKNAEPMPVGPVKVVPRAMGMSKAGQKTCHRCGMKKAARIVQCKNCDNRYFCNSCINKWYSGLSKKDIKMRCPVCRGSCGCKQCTLGQTKGAISKESSGDQDKLISIKICNHQLYKLLPVELNQEQLDELEIEAKIQETKISDVRVQVADEQSGSLDCNNCKLSVHRFLRSCPRCPFKLCLSCCQKIRDGNISAATPEDKFNQRLLQQESAHEDGSISCPSIELGGCGDSLLNLVYVPPSDQSEEVSSGDELDGPGNHSGVKDAVAESNGGPDRLSVGQQETVSS >ORGLA07G0148400.1 pep chromosome:AGI1.1:7:16302922:16305001:-1 gene:ORGLA07G0148400 transcript:ORGLA07G0148400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDQSELITGCDEDDHGLAKTKGKNWGIFICWLLGNGCLFGFNGMVTIEDYYVYLFPNYHPTRMITLVYQPFVLTTTALFAYHEAKINTRMRNLAGYMLFFLSSFGVIVLDVASSGRGGIAPFVGLCLIAAAFGVADGHVQGGMTGDLSLMCPEFIQSFFAGIAASGAITSALRFLTKAIFENSRDGLRKGAMMFSSIACFFELLCVILYAFVFPKLPIVKFYRTKAASEGSLTVTADLAAGGIKSQPENPLDEEDQAFAERLSNRQLLNQNMDYALDVFMIYVLTLSIFPGFLAEDTGTHSLGSWYALVLIATFNVSDLIGRYMPLIEQIKLTSRKWLLIAVVARFLFVPAFYFTVKYCDEGWVIMLTSFLGLSNGHLTVCVITEAPRGYKGPEQNALGNMLVFFLLAGIFCGVVLDWMWLIGKGW >ORGLA07G0148300.1 pep chromosome:AGI1.1:7:16295958:16297969:-1 gene:ORGLA07G0148300 transcript:ORGLA07G0148300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKGEQRVATTQGKCWGIFICWLLGNGCLFGFNSMLTIEDYYTSLFPNYHPTRVVTLTYQPFVLGTTAIFTYHEAKVNTRLRNLAGYTLFFLSSFAAIVLDVATSGRGGIAPFVGVCIIAAAFGVADGHVQGGMTGDLSLMCPEFIQSFAGLAASGMITSALRLITKAAFENSRDGLRKGAMLFSSISCFFELLCVLLYAFIFPKLPIVKFYRSKAASEGSLTVAADLAAGGIQNRANSLSEEDPSCVERLSTKQLLLQNTDYALDLFLIYLLTLSIFPGFLAENTGSHSLGSWYALVLIASYNVWDLIGRYIPLIEQVKLRSRKVILIAVVSRFLLIPAFYYTAKYSDQGWMIMLTSFLGLSNGYLTVCILTEAPKGYKGPEQNALGNLLVLSLLGGIFCGAILDWLWLIGKGW >ORGLA07G0148200.1 pep chromosome:AGI1.1:7:16290351:16292763:-1 gene:ORGLA07G0148200 transcript:ORGLA07G0148200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEVAGAGAPQAQGKFLGILVCWVLGNGSLFAWNSMLTIEDYYSILFPTYHPTRVLTIAYQPFAFGITCILTYHEAKLNTRKRNLIGFALFLISSFALIMLDVGTKGRGGLGPFIGVCIISALFGTADASVQGGLVGDLSFMCPEFIQSFLAGLAASGVLTSALRLITKAAFENSQNGLRNGAILFFSITCFFELVCLLLYAYVFPKLPIVKYYRSKAAAEGSKTVASDLAAAGINNEQSIQAEEDPKKCDRLSTKELLIQNIDYAFDIFLIYVLTLSIFPGFLSEDTGAHSLGTWYALTLIAMYNVWDLIGRYLPLIKCIKLTSRKGLTGAILSRFLFIPAFYFTAKYGDQGYMIFLTSFLGLTNGFLTVCVLTEAPKGYKGPEQNALGNVLVVCLLGGIFSGVVLDWLWLIGKGW >ORGLA07G0148100.1 pep chromosome:AGI1.1:7:16278912:16280520:-1 gene:ORGLA07G0148100 transcript:ORGLA07G0148100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSRFAVVARNFLAVGTKHDGRQLVFKEVHHEECAKQINGKYHTNFTSRQVYHKLKAQWKAKDKRAKFINVPIRWYDEMEFIFQDKHATGEFNVLQTPYDHPMTEDDDFIGDKNGSPGDVDPSSNYDSDCLPDQENNTGSSSSSRRAKGRKSDKGKRVRTDDNMVYEIIGATDNMSETMCFTHMTHPNESLFKIIDEMTEYPVMVRLELQTYLATHENIAAMLKGRPLDSIKEYMAQWIIQNYPAAM >ORGLA07G0148000.1 pep chromosome:AGI1.1:7:16265048:16266845:-1 gene:ORGLA07G0148000 transcript:ORGLA07G0148000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEALPGDVLEEVLRRLPPRSLAACRCVCRALRALVDGRRVLRADLLPLKLAGIFIGVIWVPQFFARPVLPPALDLTRLPETMLDCRNGLLLAHNVVVNPATGRWARLPRSPPPPPGCSCNDVFDYLVFDPTVSAHYEVYKIPSPFGDGMSDWPPSPFIIDVFSSKTLQWEKRSYVREGEAAGTVANLLARGFNCHQRSALWRGALYVPCETDFVTRYYYPTIYPNYIXYIILDILIGWXILGKSKDGVCAFAHDDYQLCVWFLNESCGQMNWELKHQTHLWSLLAQLKSREHLAQCKSCKQTNGHWKYYDGNLFEEQILQTDLQVDTYPENDYQAEEDEFGRHFDTDSNYYDAEEYGWASDEDGECHSECNNKYGEDELYKVDKLYNMQCQELFYFFGFHPYRDVVFLHVSSSRAVAYHLNSSKVRDLGVGWEPHISAGFSKRHHRYGIGDPSISVGSTTGTFEAHARKNRADTYFKLLSVAPNHLTSKNKLNHPPHLTTTNP >ORGLA07G0147900.1 pep chromosome:AGI1.1:7:16238713:16239418:-1 gene:ORGLA07G0147900 transcript:ORGLA07G0147900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLLAAVLLGVLAVAFPGAAAAVGTQPGAMEKATREPAPHVSLSCSPTAAAACLTPTITRELQLQVVLQQTPPSASGSSQAAACSLLHERRRPPGSRLHSSAVRTVSPGIALPLSPEVLIK >ORGLA07G0147800.1 pep chromosome:AGI1.1:7:16233201:16233935:-1 gene:ORGLA07G0147800 transcript:ORGLA07G0147800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCQLLNTVIFTIQCRGVAPALTPARGYASRPAAARRFLGEEVCDAPQLAAEVVGACMENVPDRPCCRAIAAVVDFGCFCPVAESSVIFSNGTTPPVILTLYVECRGTKNRNIYSLWTDIKYLSVASLPPPPSPPPSPTPPPPPTTPPPSRSDTR >ORGLA07G0147700.1 pep chromosome:AGI1.1:7:16225845:16226972:-1 gene:ORGLA07G0147700 transcript:ORGLA07G0147700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASSTPRAGGGGSGGSQHTPPLPPAPHGNGHGGGGGGGGGAHVRLMCSFGGRILPRPGDHQLRYVGGETRIVSVPRAATFDALVAALAKVAPALFPAGGPSPALRYQLPQDDLDALISITSDDDVDNLIEEIDRFQGLAAASIKPPRLRLFLFASSPADHASAAAFGSVLSGAGGDSSSDQWFVDALNAPAPAPIERGRSEASSIISEVPDYLFGLDTTSDEPSPGPGGARPKVDTEMAHVEDDAPVLSRGTPPAPYVTESAPWPAPPPPYMTQQVYYVPVRPVHYLDPSSQGGYMPGPVYHIVGGGGSEARGDLYSTGGSVSAAAGVGGVYGVPHPMQPFPPMMYGHAPPPGAVVYSSEGKPPMEGGAHAS >ORGLA07G0147600.1 pep chromosome:AGI1.1:7:16222511:16224581:1 gene:ORGLA07G0147600 transcript:ORGLA07G0147600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6-f complex iron-sulfur subunit [Source:UniProtKB/TrEMBL;Acc:I1QBI4] MASTALSTASNPTQLCRSRASLGKPVKGLGFGRERVPRTATTITCQAASSIPADRVPDMGKRQLMNLLLLGAISLPTVGMLVPYGAFFIPAGSGNAGGGQVAKDKLGNDVLAEEWLKTHGPNDRTLTQGLKGDPTYLVVEADKTLATYGINAVCTHLGCVVPWNAAENKFICPCHGSQYNNQGRVVRGPAPLSLALVHADVDDGKVLFVPWVETDFRTGDNPWWA >ORGLA07G0147500.1 pep chromosome:AGI1.1:7:16210890:16212829:-1 gene:ORGLA07G0147500 transcript:ORGLA07G0147500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMATGAKEVVVVEAYEYEFDLENPFTSPADEPIASLLDAEGHHSPSVSAAASAARREAAGFISKVRYDGELDVHPRVAYLALNYVDRYLSKRQLACERNPWAPRLLAISCLTLAAKMQRAAAISAADIQRGEEFMFDEAKIQRMEQMVLNALEWRTRSVTPLAFLGFFLSACFPQPRHPALLDAIKARAVDLLLRVQPEVKMAEFSPSVAAAAALLAAAGEVAGAHLLGFEAGVAACPFVNSEKLRECGEVMAAACGVGPSWAAAATSDETPVTVLGHHRSASSESERTTTVGSAANSADAKRRCMGPPRQWG >ORGLA07G0147400.1 pep chromosome:AGI1.1:7:16173433:16180704:1 gene:ORGLA07G0147400 transcript:ORGLA07G0147400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 4G [Source:Projected from Arabidopsis thaliana (AT3G60240) TAIR;Acc:AT3G60240] MSQRGDRGEGHARRPGRSSSFGGGHRGGGGVGGAGKGGGGSSGQPPLATNRSFRKSGNGHGGHQRVVSQPDTHGFQPAPAPTALQTPPLRPPAPQNVPAHVPVPAPRPQHHDPSGARAPTLPPSSENTANAPPLKGIPHAAPRAPSRISSTSTSQGAPKGEAYNLQFGSFPMNGGTGGSTMQFPARTSSAPPNLDEQKRMQALPEGHKVVPSGLVPQAPKHQQQQQPLQQQKQQPQSQPPLQQTRKDVFSSNHSSKPINPHIPSQVKSSVHVSPSVPNVAPPRPPVQQIPGMPMSMPFHHQAPLQFGGHNPQIPPQGVVPNSLQMSMGLHGANAPQVAQQMYIPTIQHHHQLQPPTMMHQAAGIPYGPAAHQLTPQMSGMMNVGVAPQFTPQQPNKYVTGPTRKTTTVKITHPDTHEELKLDKRMDSSGQRGLPSVQQQSQPVSTYGSPMGFYQQNSYNQSTMFYPTTSGVGQVPTVSQGPRFVSTQTVSYISPSMNTGPGSNKDNLAGSTTSGHSQVTGKPHPAGLHMEKSGVQTVTISAPPGKSDVNKLKPAEDAVSHRQKDNEAVSGVRKSGENESKASPITEKHPTPVSQPLQALAANPETTAAASFVVNSVPGDDGKSKESIQRTGSFKDSNKNATKDTRNLSQEPQSASSAEDLKVHTSVKDVCCGVSLMESKGVNKESEQTNAASAAPTEMLKAADASSMDRSSARLTSESTENVQEVGKSDVAIGDSEKSGITNKVSPDLTKDDISSGSTGNESHEVCTQDLAEQLPVGASNPDNLDTATSVTDQGQLLKEPSSSVSDENVIMDRLHQSAEKMSDLVDDTVASVASSETLPESIIQNANAKGNTSGNQETGSATSSNILNVLPVPHSVASEDPMKPESMLKDQSSTAPAASARPVSREKPSVEITRTKFTAGKKKKRKEMLSKADAAGSSDLYNAYKGPEEKVDFIGASESLDSSSIADHELPDESSEKEVNMGEDEGKKKVELDDWEDAAEMSTPKLERSDSSNQTTEANERKRYSRDFLLTLAQSCTNLPVGFQMIEYASVLFPNLAGKSYVVDHPSPGRGADRPASRGDRRGAVIEDDRWGKSGHLFGSGRDMSMDNGPPTMNHRGAPGVMRNPRGGLINAGPIAPQMSRSGSDADRWQQKGIFPSPVTPMQVMHKAEKKYVVGKVSDEEEAKQRQLKAILNKLTPQNFEKLFEKVKEVNIDNVATLTGVISQIFDKALMEPTFCEMYANFCFHLAGALPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEIKQTKEEREEKRIRARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGNYQNPDEENIEALCKLMSTIGEMIDHAKAKEHMDAYFDIMLKLSTSQQLSSRVRFMLRDSIDLRKNKWQQRRKVEGPKKIDEVHRDAAQERHAQSSRLARGSVVGSGPRRGAAPMDYGPRGSAAALASPSSQQVGHRGMPSHSRGFGTQDIRFEERSPLDHRTTVLPPRKDEAITLGPQGGLARGMSIRGQPLISNAELSSADSRRMVSGPNGYNSASTAREEPGSRIPDRSGRIAPNTQFAGPSNRPASQEGRSGNKSYSEDDLREKSISAIREYYSAKDEKEVALCIEELNAPSFYPSVVSLWVNDSFERKDMERELLTKLFVSLCNSRNNLLSKSHLTAGLATVLGSLEDALSDAPRAAEYLGRLLARFVVESILSLQEVGTLIEKGGEEPGELVHHGIGADVLGAVLESIKVEKGDSFLNEAKASSNLKLEDFRPQHLKRSKLDAFMKA >ORGLA07G0147300.1 pep chromosome:AGI1.1:7:16170307:16170849:1 gene:ORGLA07G0147300 transcript:ORGLA07G0147300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSCFDCVDGCFFWTVPWPNKILVLDALKMEFSIINYAHRVEDGLRACVAVDREGTPGMLTVGEYLGNREFRFSRIAKQSDRESPNERLSENIIQLPRDCNKYFTLGAAEGFIFLRGIPEEEKVEDSSSEDLYMDPEEIEYYSLNVKTAEFEMVCAMDMDKCYFYVCPYFRFSPPSAKPCV >ORGLA07G0147200.1 pep chromosome:AGI1.1:7:16162011:16162463:1 gene:ORGLA07G0147200 transcript:ORGLA07G0147200.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSVINYAHRVEDGFWACIAVDREGAPGMLTVGEYLGNGEFRFSRIAKQSDRESPNERLSENTIQLPSYYNKYFTLGAAEGFIFLRGIPEDEKVEDSSSEDLYMEPEEIEYYSLNVKTAEFEMVCAMDLDKEYFSVCPYFRFSPPSAKPCV >ORGLA07G0147100.1 pep chromosome:AGI1.1:7:16154188:16155393:1 gene:ORGLA07G0147100 transcript:ORGLA07G0147100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAMASPSPSPSSAGHQRRSLPPSPAASSVGSSPTFPPRKRRRWGRPPPFLGLACYDGFYPATEPHPSAERAIALARKADFEYGFVPWVPEEEAWGWFPLDARDGRVLIQSKYFPDDPDGGDFPRPRFMNYAVCDPLFKRYVMLPPVPDDLTANEGSLVDFGLCLAPSQEDEADTSFRVICVARYSTKLVAFVFSSVTKQWGIGSSSTWSSLGTEEPPNRHGLSCFDCVDGCFYWTVPSADKILVLDALKMEFSVINYAHRVEDGFRACVAVDREGTPGMLTVGEYLGNGEFRFSRITKQSDGDSPNERLSENIIQLPSYYNKYFTLGAAEGFIFLRGIPEDEKVEDSSSEDLYMDPEEIEYYSLNVKTAEFEMVCAMDMDKCYFDVCPYFRFSPPSAKPCV >ORGLA07G0147000.1 pep chromosome:AGI1.1:7:16150015:16151349:1 gene:ORGLA07G0147000 transcript:ORGLA07G0147000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQFLLKRLAKLRCFRRRRRRAAKPNAATPSPAARPAPTPTPPSLAVLSDDVLREIFVRVPSHADLARAATACAGFRRVITEPSFLRRFRAAGHPPALLGFLDAGGGFVPAEPPHPSAAAAAAGAAARDVVDFACPFLPSSPDPWRRRDVLDGRVLFSRGAAGGGGGEVDGQGDDPGFMDLAVCDPVSRRYVLLPAVPADLTASAQLHNLLDLQPFFAPPRDDDDGGGGGTSFRVMYMARCQSKLVVFTFSSDTQQWSSTSYDGWGILVAATPSQEAALTQRHHAHGCIFWFLRWAKKLLVLDTFTMELSTINLPSSELIEIHQVAIVESARGGIGMFAMVDEILDSTFDMFYVVWDPEGANKWPLERLMKLPVEFRYNLVGAAGGYLLVQGISVQGPVQDQVCFTVELKTFKVEMFCETRRTLIGADLFAGFAPSLSPPSV >ORGLA07G0146900.1 pep chromosome:AGI1.1:7:16144295:16145581:1 gene:ORGLA07G0146900 transcript:ORGLA07G0146900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRQNPAPAPPPPPPVILTDDLLEEIFIRLDTPADLARASASCPPFRRVITDPSFLRRYRALYPPPLLGILPRDADAFLPAEPPHRSAPAAGAVDLSCAFLPDRHTWRRRDVRDGRILFSREEEYYAPDDDGADVLLMDLAVCDPFTGRYAILPEIPQDLIDPLDLEGQSFLCFEPFLAPATAADDDEDEVGGASFRVMYMARGLTKLMAFIFPWEAGEWRAVEYDGWAALINGTSTWLAEMFWRFHVHGNICWLLDWANKLLILDTTTNQLSTIEMVPGSWKKDIVFLETEEGQLGLFVLINNFYTSFDLYYAIWQDNDKGVKQWRVIEAIQLPLNYWYENLLGIDGGYVLLQGIPKGSKSSWQASSRQNGSSQASESSSEVPEHVCFSVDVKTFRVERFCGTNHAIKTANLFYQLPTIVVAAVLM >ORGLA07G0146800.1 pep chromosome:AGI1.1:7:16137149:16141600:-1 gene:ORGLA07G0146800 transcript:ORGLA07G0146800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSNRKRKRRSAGAAGARKRARRHSVVKLRKQHESTCHDRISELPDDLLTTILGHLDTRTSAATSVLSRRWQHLWKSVPKLRFSQHDILPQTELSHFLRAHEYVFFKPSLCPWKRRVRANPERLIRLVGRYRTRIFLSLLTGYLHKSNAGDKNGTKISSLVLCCTMEDCYANLIDKLISIAICRGVEHLNLETYLYSANDQRPSPAPYKFPLSLFADGKGLSVTKLILAECTLSIPVGFAGFKSLVELSFTEMHISEDMIQTLIENCPNLECFRLRLCSGARHLKIASPHLQLREIMVKSCLQITHMELFAPKLQQFTYRGPCISMVLSSVPLMEHACLDYEGRRDGESVKYILGKLSQDFSLLTSLSIVLNTYRLKNPVIPEVVPTPFKNLKSLTLGAIMHCNDDIGWVTMLLEVAPVLESFQIELLTNEKREHPGGVLWEPSDNAHRHLRQVKFYRFRMRQADVALAGLLLARAPLLQTMTFSRGSVHRSPGQTAQYVEAAADWTAEQRSAITRRLETCNAFGARLEFRS >ORGLA07G0146700.1 pep chromosome:AGI1.1:7:16132944:16135367:-1 gene:ORGLA07G0146700 transcript:ORGLA07G0146700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPKRKRKRKRGLGKAAGARKRAREQHPGVAKLRKQHGSTFEDRISELPDDLLITILGHLDTRSSAATSVLSRRWQHLWKSVPKLRFSQHDIVPQTELSRFLRAHEYVFFKPSLCSWKRRVRVNLDRRIRLTDMYRTRIFSSSLTGFLHKSNAGDKNNTKISSLFLSCTMEDRYVNLVDKLVSIAVCRGVEDLNLTTSFYYDGQRRSTTPYEFPLSLFTDGKGLSLTELKLCECTLNIPIGFDGFKSLVKLSLTRMPISEDMIHTLFENCLKLECFHLNHCWGANHLFAGPGANHLKIASHDLQLRDIMVNSCEQITHMELVAPKLHQFRYRGPSISMMLGSVPSIEHACLHYEDSRDGESVKYILGKLSQDFPLLTSLSIDFDTYELKNPVIPGGLPTAFKNLRSLMLRVTMHSNDDLAWATMLLEVAPALESFQIEFISNKKREHPGGVLWEPSDFEHHRLRQVKFYRFRMRQGDVALAGLLLARAPLLQTMSFFHGFVHNPPNWITQYVEAYHDWSTEQQSAITRRLEACNTFGARLEFRS >ORGLA07G0146600.1 pep chromosome:AGI1.1:7:16130058:16131167:1 gene:ORGLA07G0146600 transcript:ORGLA07G0146600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAWQPPHRLQPPPPAQLDLTDELLEEVFVRLPTAADLARASTACASFRRLITGHAFLRRFRRLHPPPVLGILAAGFLAAQPPHPSAAAARALADPDAADFSCSFLPSRDRWCLRHFSDGRYLLSAIPERSDPAPDHRALVREFAVCDPLYRRYLLLPPIPDDLASVVNQSEIVNFEPFLCPATEDEEDTMFRVICLAQCEAKLVAFTYSRCSGQWHAVEFDGWRDLTRGTSNPFPSGEPELSGRYYAHGCFCWVMHWVNKLLVLDARSFEFSSIDLPPGPSSRRMVIVEALEGKLGLFTLCNDNALYYFLWYDILENDDEGALQWCMKEIIPLHENFNYNILGVAGGYLLLQGFPHDFRPKKLCFH >ORGLA07G0146500.1 pep chromosome:AGI1.1:7:16124971:16126215:1 gene:ORGLA07G0146500 transcript:ORGLA07G0146500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARRPGYRRLDDAQELALPDDLLAEVLIRLPSLADLGRASAACASFRRVATDPAFLRRARALHPPSLLGFCASPGGFHPAEPPHPSAPAARAVLRAADFGFSFLPSPLSWVVRDVLDGRFLLDRDGGEGGAALRILAVCDPLFRRYTLLPQIPEDLAASVRRRPRRGVAPNGRFDTFFAPIGEEERAAAAMAETSFKVIWIAQCPDKLVAFVFSSVTGQWRATASPCWGDLSPAFSRPACRSLLRRSYAYGCFYWMMGDSGNLLVLDMCKMNFSVVKLPSSPPGRDIVECAIVEAGEGKIGMFAFCNCIDIYALELYSTTMQNEGRVASKWSFESAILMPSRDGFRVLGVTGKELCLQVSPICVSGCYLLEFSTNPSCKKLEFVRRVIRGVRTSLPFMYVGYPPSLSSPSI >ORGLA07G0146400.1 pep chromosome:AGI1.1:7:16120904:16122133:-1 gene:ORGLA07G0146400 transcript:ORGLA07G0146400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAHRQLDALPDDLLAEVLIRLPSLADLGRACASCASFRRVVTDPAFLRRARALHPPSLLGFCGASPGAGGFHFHPAEAPHPSAPAARGVLRAADFGFSFLPSPDPLGWIVRDVLGGRFLLDRDVVEEGAAAASRILAVCDPLFRRYLLLPQIPQDLAAASDLRQSRRGDTFFAPIGEEECVAAVAETSFKVIWIAECADKLVAFVFSSVTGQWRATASVCWGDLSPAFSRPACRSMSRRSYAYGCFYWMMGDSGKNLLVLDMRRMDFSVLELPSSAPGHDIVECAIVEEGEGKIGMFAFRNYIAGYALQIYSAKMQNEGKAAAGKWSFETAMVVPFDEFGILGATSRELLLKVPPSFARGCYSLEFSTNPSCKYLESVRRVISGVPPSLSFLYVGYPPTLSSPSI >ORGLA07G0146300.1 pep chromosome:AGI1.1:7:16113826:16118242:1 gene:ORGLA07G0146300 transcript:ORGLA07G0146300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEMASPSPPVAALTNDLIAEIFLRLPTPEDLVRASAACVSFRRLVTTDRSFLRRFRSLHAPPFVGFLDHRGFHPALPPHPSAPAARAVADAVDFALSFLPSPAGSWMVRDVRGGRVLVDRDTKAETGGSEKPLVFTEIAVCDPLRRRFLLLPPILDDLAASVDRPVRVHLDRWCEPFLAPHIEEEEDDTSFKVLXMQGQDYRLRLQFEHRSMARRCLPEHHRSVQWSQSVAAAAAVVVVTEPSVFVTGSSVLQPPIRVRLLLLGDFEDHVAGARHPTDEILHCXTPTSLPWGTDRHCXGRGRHDWDLCSPGEHWWHVXSPLQHLGKRRGDSSRADGEDNPTGSWLPVLHXRCDGEALAVNQVPRGRRRRYTGGARLGMLFTGCQDLAAXAGFDLSWNNNSGQALPSXVISYSRCVLWFXVTPFWNRWSICYGYXNFVXLGYLCAQDIKQTIVQEIFLYDFFCSFKSKRA >ORGLA07G0146200.1 pep chromosome:AGI1.1:7:16104317:16106470:-1 gene:ORGLA07G0146200 transcript:ORGLA07G0146200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein [Source:Projected from Arabidopsis thaliana (AT1G11380) TAIR;Acc:AT1G11380] FQFRLIXIRNQRHSLVNFNSVEKKNLRHICSWKLGIRHIWDDKLSGNIPQKXGILPILCCPCYRFGKNMRRANLGSCFLQAMVYLISLVAILVSLIAFSVTRHNIYLYMGLSSVLLIAIYTGYFRRRIRKQFNIRGTDSSLDDCVLHLICPCCTLCQEARTLEINNVQCGVWHGRGDTICLGSNGEGNKAFAALHKSSFVPIKSPELCGMDRTSNGANEHEPLVPSDLPEQ >ORGLA07G0146100.1 pep chromosome:AGI1.1:7:16098844:16099509:1 gene:ORGLA07G0146100 transcript:ORGLA07G0146100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFACFSAAAVADGAEAGARHHHHRSGGGGGRRHRGTSSSLRSKLFGGRTTKAGSKKYSSAAALDDIYDAAEWSSSSVPWSSTSALSLDSARSSSSSSSTTTTAPCSRSRSLSSLSDALSPPAARRAPERRGRTPRPAAGVAAVIVCLVMVMLCGRVGATALASAAFYLFPRRWRPVGAIEVAESAAASPEHDWPSSATDQETTTKRKVVKEGFLARNCKK >ORGLA07G0146000.1 pep chromosome:AGI1.1:7:16094005:16095375:1 gene:ORGLA07G0146000 transcript:ORGLA07G0146000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:I1QBG8] MAASKLQAFWNHPAGPKTIHFWAPTFKWGISIANVADFAKPPEMISYPQQVVVACSGVIWARWGMVITPINWNLSSVNAAMAVTGVCQLSRKIRHDYFSDEKSATASLEG >ORGLA07G0145900.1 pep chromosome:AGI1.1:7:16080533:16083007:-1 gene:ORGLA07G0145900 transcript:ORGLA07G0145900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QBG7] MAPLFFLLLFSQIFLCTAVDTINSTAPLSGTQKIVSKGGRFALGFYTPPQGNNTASGTGNYYIAIWYNNIPLQTTVWTANSDLPVSDPTTASLAIGSDGNLVLLDQSKNRQLWSTNISVASSSTVAVIQDGGSLDLMDATNSSIVYWRSIDHPTNTWLPGGKLGLNKTTGVSQRLVPWRNNANPSPGLFSLELDPNGTTQYFIQWNDSITYWTSGPWNGNIFSLVPEMTAGYNYNFRFINNVSESYFIYSMKDDSIISRFTIDVNGQIKQWTWVPASENWILFWSQPRTQCEVYGLCGAYGSCNLNVLPFCNCIKGFSQKFQSDWDLQDFTGGCKRNVPLQCQTNSSSAQTQPDKFYSMVSVRLPDNAQSAVAASSQACQVACLNNCACNAYTYNSSGCFVWHGDLINLQDQYNGNGGGTLFLRLAASELPDSKKSKKVIIGAVVGGVAAALIILAIVLFIVFQKCRRDRTLRISKTTGGALIAFRYSDLQHVTSNFSEKLGGGAFGTVFKGKLPDSTAIAVKRLDGLSQGEKQFRAEVSTIGTIQHVNLVRLLGFCSEGSRRLLVYEYMPKGSLELQLFHGETTALNWAIRYQIALGTARGLNYLHEKCRDCIIHCDVKPDNILLDESFVPKVSDFGLAKLLGRDFSRVLTTMRGTRGYLAPEWISGVPITPKADVFSYGMMLFELISGRRNADLGEEGKSSFFPTLAVNKLQEGDVQTLLDPRLNGDASADELTKACKVACWCIQDDENARPTMGQVVQILEGFLDVNMPPVPRSLKVLNESPDVINFFSDVSSSQTSQMHNSTASSQTKSSTSGGSQFQSS >ORGLA07G0145800.1 pep chromosome:AGI1.1:7:16066839:16070236:-1 gene:ORGLA07G0145800 transcript:ORGLA07G0145800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASVAGGGEDSNGSSSLIDPLLVSRTSSIGGAERKAAAGGGGGAKGKHWAAADKGEWRAAKECGGEDGRRPLLFRSYRVKGSLLHPYRALIFARLIAVLLFFGWRIRHNNSDIMWFWTMSVAGDVWFGFSWLLNQLPKFNPVKTIPDLTALRQYCDLADGSYRLPGIDVFVTTADPIDEPVLYTMNCVLSILAADYPVDRSACYLSDDSGALILYEALVETAKFATLWVPFCRKHCIEPRSPESYFELEAPSYTGSAPEEFKNDSRIVHLEYDEFKVRLEALPETIRKRSDVYNSMKTDQGAPNATWMANGTQWPGTWIEPIENHRKGHHAGIVKVVLDHPIHGHNLSLKDSTGNNLNFNATDVRIPMLVYVSRGKNPNYDHNKKAGALNAQLRASALLSNAQFIINFDCDHYINNSQALRAAICFMLDQREGDNTAFVQFPQRFDNVDPKDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRLALYGIDPPHWRQDNITPEASKFGNSILLLESVLEALNQDRFATPSPVNDIFVNELEMVVSASFDKETDWGKGVGYIYDIATEDIVTGFRIHGQGWRSMYCTMEHDAFCGTAPINLTERLHQIVRWSGGSLEMFFSHNNPLIGGRRLQPLQRVSYLNMTIYPVTSLFILLYAISPVMWLIPDEVYIQRPFTRYVVYLLVIILMIHMIGWLEIKWAGITWLDYWRNEQFFMIGSTSAYPTAVLHMVVNLLTKKGIHFRVTSKQTTADTNDKFADLYEMRWVPMLIPTMVVLVANIGAIGVAIGKTAVYMGVWTIAQKRHAAMGLLFNMWVMFLLYPFALAIMGRWAKRPIILVVLLPIIFVIVALVYVATHILLANIIPF >ORGLA07G0145700.1 pep chromosome:AGI1.1:7:16059120:16063135:-1 gene:ORGLA07G0145700 transcript:ORGLA07G0145700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVTRRINAGGLRVEVTNGNGAAGVYVAAAAAPCSPAAKRVNDGGGKDDVWVAVDEADVSGASGGDGVRPTLFRTYKVKGSILHPYRFLILVRLIAIVAFFAWRVRHKNRDGAWLWTMSMAGDVWFGFSWVLNQLPKLNPIKRVADLAALADRQQHGTSSGELPGVDVFVTTVDPVDEPILYTVNSILSILAADYPVDRYACYLSDDGGTLVHYEAMVEVAKFAELWVPFCRKHCVEPRAPESYFAMKTQAYRGGVAGELMSDRRRVRREYEEFKVRIDSLFSTIRKRSDAYNRAKNGKDDGENATWMADGTHWPGTWFEPAENHRKGQHAGIVQVLLNHPTSKPRFGVAASVDNPLDFSGVDVRLPMLVYISREKRPGYNHQKKAGAMNALLRVSALLSNAPFIINFDCDHYVNNSQAFRAPMCFMLDRRGGGDDVAFVQFPQRFDDVDPTDRYANHNRVFFDGTTLSLNGLQGPSYLGTGTMFRRAALYGLEPPRWGAAGSQIKAMGNANKFGASSTLVSSMLDGANQERSITPPVAIDGSVARDLGAVTACGYDLGTSWGRDAGWVYDIATEDVATGFRMHRQGWRSVYTSMEPAAFRGTAPINLTERLYQILRWSGGSLEMFFSHSNALLAGRRLHPLQRIAYLNMSTYPIVTVFIFFYNLFPVMWLISEQYYIQQPFGEYLLYLVAIIAMIHVIGMFEVKWSGITVLDWCRNEQFYMIGSTGVYPTAVLYMALKLFTGKGIHFRLTSKQTTASPGDKFADLYTVRWVPLLIPTIVVLAVNVGAVGVAVGKAAAWGLLTEQGRFAVLGMVFNVWILALLYPFALGIMGQWGKRPAVLFVATVMAVAAVAIMYAAFGAPYQAGLSGVAASLGKAASLTGPSG >ORGLA07G0145600.1 pep chromosome:AGI1.1:7:16056086:16056355:-1 gene:ORGLA07G0145600 transcript:ORGLA07G0145600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDLLAAVAAPVLAVVRVSTARVDGESRGGDEHMPRLEPSFVSGTKGGLDEGGGALLLLLQHHLVQLPPRCASRRGYPPPCTLPPCS >ORGLA07G0145500.1 pep chromosome:AGI1.1:7:16046625:16048912:1 gene:ORGLA07G0145500 transcript:ORGLA07G0145500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AALADRHSGDLPGVDVFVTTVDPVDEPILYTVNTILSILAADYPVDRYACYLSDDGGTLVHYEAMVEVAKFAELWVPFCRKHCVEPRSPENYFAMKTQAYKGGVPGELMSDHRRVRREYEEFKVRIDSLSSTIRQRSDVYNAKHAGENATWMADGTHWPGTWFEPADNHQRGKHAGIVQVLLNHPSCKPRLGLAASAENPVDFSGVDVRLPMLVYISREKRPGYNHQKKAGAMNVMLRVSALLSNAPFVINFDGDHYVNNSQAFRAPMCFMLDGRGRGGENTAFVQFPQRFDDVDPTDRYANHNRVFFDGTMLSLNGLQGPSYLGTGTMFRRVALYGVEPPRWGAAASQIKAMDIANKFGSSTSFVGTMLDGANQERSITPLAVLDESVAGDLAALTACAYEDGTSWGRDVGWVYNIATEDVVTGFRMHRQGWRSVYASVEPAAFRGTAPINLTERLYQILRWSGGSLEMFFSHSNALLAGRRLHPLQRVAYLNMSTYPIVTVFIFFYNLFPVMWLISEQYYIQRPFGEYLLYLVAVIAMIHVIGMFEVKWAGITLLDWCRNEQFYMIGSTGVYPTAVLYMALKLVTGKGIYFRLTSKQTAASSGDKFADLYTVRWVPLLIPTIVIMVVNVAAVGVAVGKAAAWGPLTEPGWLAVLGMVFNVWILVLLYPFALGVMGQWGKRPAVLFVAMAMAVAAVASMYVAFGAPYQAELSGGAASLGKAAASLTGPSG >ORGLA07G0145400.1 pep chromosome:AGI1.1:7:16031365:16036195:-1 gene:ORGLA07G0145400 transcript:ORGLA07G0145400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAAAGRTGRNNNNDAGLADPLLPGGGGGGKDKYWVPADEEEEEICRGEDGGRPPAPPLLYRTFKVSGVLLHPYRLLTLVRLIAVVLFLAWRLKHRDSDAMWLWWISVAGDFWFGVTWLLNQASKLNPVKRVPDLSLLRRRFDDGGLPGIDVFINTVDPVDEPMLYTMNSVLSILATDYPAGRHAAYLSDDGASLAHYEGLIEAARFAALWVPFCRKHRVEPRAPESYFAAKAAPHAGPAPPEEFVGDRRLVRREYEEFKARLDALFTVIPQRSEASVGNANTKGAKATLMADGTPWAGTWTEPAENHKKGQHAGIVKVMLSHPGEEPQLGMPASSGHPLDFSAVDVRLPMLVYIAREKRPGYDHQKKAGAMNAQLRVSALLSNAPFIFNFDGDHYINNSQAFRAALCFMLDRRHGDDTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGVQGPSYVGTGCMFRRVALYGADPPRWRPEDDDAKALGCPGRYGNSMPFINTIPAAASQERSIASLDETAAMAELEEVMACAYEDGTEWGDGVGWVYDIATEDVVTGFRLHRKGWRSMYCDMEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSRNCPLLAGRRLRPMQRVAYTNMTAYPVSALFMVVYDLLPVIWLSHHHGEFHIQKPFPMYVAYLVAVIAMIEVIGLVEIRWAGLTLLDWWRNEQFYMIGATGVYPAAVLHIVLKRVLGLKGVRFKLTAKQLAGGARERFAELYDVHWSPLLAPTVVVMAVNVAAIGAAAGKAVVGGWTAAQLAGASAGLVFNVWVLVLLYPFALGIMGRWGKRPCALFALLVAACAAVAAGFVAVHAVLAAGSAAPSWLGWSRGATAILPSSWRLKRGF >ORGLA07G0145300.1 pep chromosome:AGI1.1:7:16025681:16028082:-1 gene:ORGLA07G0145300 transcript:ORGLA07G0145300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPASHPVDLPLAAAPPPVKAPTPRPPTPASLQPESPGVFFTAAAAAAPVGSSHRRIAIAVDLSDESAYAVRWAVANYLRPGDAVILLHVRPTSVLYGADWGSVDLSLPAANPNPSGDPPSAEDDAEAAARKMEDDFDAFTASKADDLAKPLKDAGIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSKGFGASRRTSKGRLGSVSDYCVHHCVCPVVVVRFPDDGVAEGGEAGGASELAVGEEVLHPVPEEDAEYHDATEEHKDT >ORGLA07G0145200.1 pep chromosome:AGI1.1:7:16009863:16016276:-1 gene:ORGLA07G0145200 transcript:ORGLA07G0145200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QBG0] MAASPPPPPPRPLLLLLPLLLVWGVVVAAAAATDTLRQGESLTGAATLVSSPSGVFEVGFFAPDPKLPSRLYLGIWYRSISPRTVVWVANRAAPATAPSPSLTLAANGELRVLDGSAADADAPLLWRSNASTQSAPRGGYKAVIQDTGSLEVRSDDGTLWDSFWHPSDTMLSGMRIAVRTPGRGPSEPMRFTSWTSETDPSPGRYALGLDPANSGQAYIWRDGNVTIWRSGQWTGQNFVGIPWRPLYLYGFKPANDPNLGAYYTYTASNTSLQRFVVMPNGTDICYMVKKSAQEWETVWMQPSNECEYYATCGANAKCTAMQDGKAKCTCLKGFQPKLVDQWNMGNWSQGCVRSPPLGCQVNQSGDGFLSIPNIKWPDFSYWPSTVQDENGCMNACLSNCSCGAYVYMTTIGCLLWGSDLIDMYQFQSGGYSLNLKLPASELRSHHAVWKIATIVSAVVLFVLLVCLFLWWKRGRNIKDVMHKSWRSMHTSTRSQQNSGMLDISQSIPFEDDTEDGKSHELKVYSFDRIKAATCNFSDSNKLGAGGFGPVYMGKLPGGEEVAVKRLCRKSGQGLEEFKNEVILIAKLQHRNLVRLLGCCIQGEEKILVYEYMPNKSLDAFLFNPEKQGLLDWRKRFDIIEGIARGLLYLHRDSRLRVVHRDLKASNILLDKDMNPKISDFGMARMFGGDQNQFNTNRVVGTFGYMSPEYAMEGIFSVKSDIYSFGVLMLEIITGKRALSFHGQQDSLNIAGFAWRQWNEDKGEELIDPLIRASCSLRQVLRCIHIALLCVQDHAQERPDIPAVILMLSSDSSSLPMPRPPTLMLHGRSGETSKSSEKDQSHSIGTVSMTQLHGR >ORGLA07G0145100.1 pep chromosome:AGI1.1:7:15984048:15988823:-1 gene:ORGLA07G0145100 transcript:ORGLA07G0145100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QBF9] MASTAAIAALALVFFVLLCVRDGGGVDAADTLSQGQSLGANDMLVSANGTFKVGFFTPAGGDPGKVYLGVMYATSNVQTVMWVANRDAPVRTAAGAASATLTGSGELLVKEGDRVAWRTNASAAGRSNHTLTIRDDGNLVISGSDAAGTDVEWESFHHPTDTFVPGMEIALRQTNGDRTLYTSWRSDADPATGDFTLGLDASAQLYIWRSQGGKNSTYWRSGQWASGNFVGIPWRALYVYGFKLNGDPPPIAGDMSIAFTPFNSSLYRFVLRPNGVETCYMLLGSGDWELVWSQPIIPCHRYNLCGDNAECTADDNNQPICTCFTGFEPKSPQEYNNGNWTQGCVRSVPLTCSSERNNTTAGGAGAGGGDGFTVIRGVKLPDFAVWGSLVGDANSCEKACLGNCSCGAYSYSTGSCLTWGQELVDIFQFQTGTEGAKYDLYVKVPSSLLDKSSGRWKTVVVVVVVVVVVVLLASGLLMWKCRRRIKEKLGIGRKKAQLPLLRPARDAKQDFSGPAQSEHEKSEEGKNCELPLFAFETLATATDNFSISNKLGEGGFGHVYKGRLPGGEEIAVKRLSRSSGQGLEEFKNEVILIAKLQHRNLVRLLGCCIQGEEKILVYEYMPNKSLDAFLFDPERRGLLDWRTRFQIIEGVARGLLYLHRDSRLRVVHRDLKASNILLDRDMNPKISDFGMARIFGGDQNQVNTNRVVGTLGYMSPEYAMEGLFSVRSDVYSFGILILEIITGQKNSSFHHMEGSLNIVGYAWQLWNGDRGQELIDPAIRGTCPAKEALRCVHMALLCVQDHAHDRPDIPYVVLTLGSDSSVLPTPRPPTFTLQCTSSSSGRDMYYRDKEESYSANDLTVTMLQGR >ORGLA07G0145000.1 pep chromosome:AGI1.1:7:15975026:15976892:1 gene:ORGLA07G0145000 transcript:ORGLA07G0145000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAFTVKRSAPELVAPSRATPRELRPLSDIDDQDGLRFYRSGLHFFRGRGGGGADPAAVVRRGLADALVHYYPVAGRIREVEAPARKLVVDCTGDGVVFVEADADVSLSDFGDVLCPPFPCYQELLCEPDGNCAAVVGRPLLFIQVTRLRCGGFVFGLQICHNIADAAGTVQLLRAIGEMSRGMPAPTVPPVWARELLMARSPPVVTHRHPEYDETAAGGNHDVLAHHEPLVQRAFFFGPKEMSALRELAAPAGAAAGKRISRFDMLAAFLWQRRAAALEYDDDDEVRVMFVVNARGRSPPLPAGFYGNAFAFAVAACTAGRLRDSPLADVVGMVAGAKARATSEGNLQSVADLMAQRGRPRFGRAARAYLVSDVTRAGFEGVDFGWGEGAYGGPAAATLATFHLTVKDASGEEVIAVPMCLPAPAMERLELDVQMSLNH >ORGLA07G0144900.1 pep chromosome:AGI1.1:7:15967039:15970843:-1 gene:ORGLA07G0144900 transcript:ORGLA07G0144900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGGGGVLSRFVLNQSSAQRYVWLPQAGGWSLYWSLPRDQCDQYAHCGAYGVCDVGAASMCGCPAGFAPASPRNWELRDSSAGCARRTRLNCTGDGFLPLRGVKLPDTTNATVDAAIAVDQCRARCLANCSCVAYAASDVRGGGSGCIMWSSPLVDIRKFSYGGEDLFMRLAASDLPTNGDDSSRKNTVLAVVLSLSGVVLLALAAFFVWDKLFRNKVRFQSPQRFTSFDSSIPLNQVQDRKMEDETRHSNELNVTLFDFNTIAFSTDNFANLAKLGEGGFGPVYKGELDGGQTVAVKRLSKFSTQGLDEFKNEVMLIARLQHVNLVRLLGCCIHGEERMLVYEYMENKSLDNFIFDKARSAQLNWSKRFNIILGIARGLLYLHQDSRFKIIHRDLKAGNILLDGDMNPKISDFGVARIFGDDTDSHTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLVLELVSGRKNRGMYSSGEQTSLLSHRMQAWRLWREGNALALLDEAVAGGGGGGYSRSEVLRCVQVGLLCVQERPEDRPHMAAVFMMLGNLSAVVPQPRHPGFCSDRGGGGGSTDGEWSSTCTVNDVTVTIVEGR >ORGLA07G0144800.1 pep chromosome:AGI1.1:7:15963969:15966275:1 gene:ORGLA07G0144800 transcript:ORGLA07G0144800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPDDGRRSEIVMDAAGRSCEVDEEDYISGLPDDLLHNILLRLRSTAAAARTSVLSRRWLRVWAHLPDLELGNFRAPAAAVDSVLDSIDAAMAASQAPAIDSLCITVEKENRTRQLPLAAIPAHRVIAWLRFASRRRVRRLNLSLPADDDMAARAPRRRVGGEEKTMEALPIDLLAMERATSIVLDLGHRFRLRLMMIPPPVAGGSGAFTKLTALTIIAAAVESRELEALVSSHCPRLERLSIIGVKLLGGGGAASSFSIRSDSLTSLYIHLRDSGLEEVVAPRLEKLHASGDTGFHVAAPMLAEVSWQDVHRAYLSNGVRRRPLKIAGAARSLRRLCITSPCSVGYLLQRFDAVDWLDLTLAVPQGVEAYRTFMDDMDNLPKCETLVVALIAQFHGFVPSMLHLLRRCSHVKKLVVMIIEHRDPPPLSPSSFCSTACPCRSPDIYKTDGIALDCLEEVEIRPIGSAPVGVVAEFVDQIFRLDAAMLKKVVYQQSPFRPDQEGYEKVRSMYLSNPRIEFRQLASTTQSKDVTVLCSVNQS >ORGLA07G0144700.1 pep chromosome:AGI1.1:7:15957416:15960339:1 gene:ORGLA07G0144700 transcript:ORGLA07G0144700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSARNSGDGGEGVDRISHLPEELIQNILVRLPSVDAAARTSVLSRRWRRVWTRLPSLSFQCDSEKPGAIDAALAAYSAPALNRLALSAAHALEAATVAPWLEFASRRVAGNLTLNFSVAGGLELPACERATSINLHVGHLRLPPAGSFAALASLAIVGARMQGGDFEAVCSPRCPRLRRLAVRSVTLVGGAADVSVRSGSLEWLVFMAQGVGRLEVAAPRLRYFRAEAKAAAGDVSDVSVASPVLEDVAWYGEFDPRRHRFAEAGHRLRKLMVMDMPTVALMRRFYIVDELVLSFGISPGIRGYKTFLNATSMIAKCEVLEVQVTTRRHAFSSAVLHLLRKSVGVAKLLIRLPRMGNKSCTEGCPCSLTDSCNTEKIQLDSLKEVEILEFQGEFNQMKFINLLLDCHAPILKKVYVRIPKDVKSISNTKSKKIRSIVDGHPEIDVEFKLWS >ORGLA07G0144600.1 pep chromosome:AGI1.1:7:15941939:15944522:-1 gene:ORGLA07G0144600 transcript:ORGLA07G0144600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGRYHPIPARYQDLIPRRDELSSLGCARWQMMPFVAPPIGDSEAELALPPMRRRDANRSHGLTRAARVDRPTGFDGDCQAMQRRGGVGGVDGVGGARLGGVASCAGQRGELGEARPDAAPSAGQEARARRVGGGREKDAVEELFGEVADAVVAAMEVAHDINLLV >ORGLA07G0144500.1 pep chromosome:AGI1.1:7:15941033:15941344:1 gene:ORGLA07G0144500 transcript:ORGLA07G0144500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:I1QBF3] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ORGLA07G0144400.1 pep chromosome:AGI1.1:7:15936937:15938782:1 gene:ORGLA07G0144400 transcript:ORGLA07G0144400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARAGFRRMFSVSGFTPPPPPAARPVADPCNNLFVSGLNKRTTSVGLREAFSKFGQVIEARVITDRISGYSRGFGFVKYATVEEAGEGIKGMDGKFLDGWVIFAEYAKQREAQQPAQPAASTGYQYSNQ >ORGLA07G0144300.1 pep chromosome:AGI1.1:7:15931944:15932560:1 gene:ORGLA07G0144300 transcript:ORGLA07G0144300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWTLPLHFKVLKRDIPWESYMANKLISGTCLQLLRRYDHKPESQRGPLLDEDGPSYVRVFLNILRNISKEDTVEYVLALIDEMLAVNPKRAALFYDNSLSGEDIYDPFLS >ORGLA07G0144200.1 pep chromosome:AGI1.1:7:15927994:15929610:1 gene:ORGLA07G0144200 transcript:ORGLA07G0144200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRARARGSGGGGGEEVKVEDDFVDSVLNFGGGGGGEEDGDDGEEEQQQQQAAAAAMGKEFKSKNLEAERRRRGRLNGNIFALRAVVPKITKMSKEATLSDAIEHIKNLQNEVLELQRQLGDSPGEAWEKQGSASCSESFVPTENAHYQGQVELISLGSCKYNLKIFWTKRAGLFTKVLEALCSYKVQVLSLNTISFYGYAESFFTIEVKGEQDVVMVELRSLLSSIVEVPSIRDTPDYNKLT >ORGLA07G0144100.1 pep chromosome:AGI1.1:7:15917555:15917944:-1 gene:ORGLA07G0144100 transcript:ORGLA07G0144100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIIQKEDWYKPDVFMYKDLIVALAKCKKMDEAMVIWGNMTDENLFPDAQTYAEVIRGFLRYGSPSDAMNIYEEMKKSPDPPEELPFRVLLKGLLPHPLLRNRVKQDFEELFPERHIYDPPEEIFGLR >ORGLA07G0144000.1 pep chromosome:AGI1.1:7:15912972:15913337:-1 gene:ORGLA07G0144000 transcript:ORGLA07G0144000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLLFCLVSSQLAMTAVMGRPFPLFYGGGGGAAASIADAPTSSSSSDGGGGGGHLLHVYSLLESSFAESPMSSHHRNHSPFDRKFAGGKVILGGLAAAIFAAVFCYIRITRRKKIEPKS >ORGLA07G0143900.1 pep chromosome:AGI1.1:7:15905359:15910258:-1 gene:ORGLA07G0143900 transcript:ORGLA07G0143900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAAAMAVVKAARPAFRGAHDGVAFAANAAFLAAGYSLCAVGPAALTDPPPSGEEEVGIDGWNSMDNCYAFLYIKEERGKKKRVLVKCLVIGDVLAIDVLDLEAQNKGPYNIQINVKDFFSEEQPKNYGNMYKNFAGLIETMNSNALSKLDEKDAGAAKNPEVETSSSIHRSENPGLRTTEPGLVYPPIAPLGHDDAFPGPGAGFYPHSGIGGGGSMHVGPNDPRFFPSNPFPVPFGGPGSVPPGGRYDPIGPPDVPGFEPSRFVRRPRPPAGTTHPDLEFFQPGPHGPF >ORGLA07G0143800.1 pep chromosome:AGI1.1:7:15899302:15901637:1 gene:ORGLA07G0143800 transcript:ORGLA07G0143800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRPLAVLLLLLLAACALPLARSRAAPRTAVGGGGGAAAADTIHELLRTHGLPGGLLPRGVESYSLDEANGLLEARLSAPCYAKYDDGDLAFFDTVVRGNLSFGALRGVEGLSQEELFVWLPVKGIVVADPGSGVILFDIGYAHKRLSRSLFEEPPDCKPSASAGTDAADAARWKDRQDVPGLRFMGEASSGAENHQDQR >ORGLA07G0143700.1 pep chromosome:AGI1.1:7:15886209:15886571:1 gene:ORGLA07G0143700 transcript:ORGLA07G0143700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQLVLADDDSPTFVLLTKLHLSETVITDDEGGYLMDAANLTRMQVKDCFVIELKDSAMSLISMPAMGEFC >ORGLA07G0143600.1 pep chromosome:AGI1.1:7:15877443:15879159:1 gene:ORGLA07G0143600 transcript:ORGLA07G0143600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGSSSPAKLERDERAPVVSGGDAAYEGPDRISSLADALLHHILVFLPVVEAIRTCVLSRRWARVWTGIPRLRLDDGAAEAVGSFPALVDGVLRCYDARVNLRDLTVSAHGGEEELGGLGNDDVVSLVGAAARLVTGRFRLDVSRGINISEDYDEEANLLALPCFERATEIAISIADMAVQLTPDNHRGRTFAHLTKLNLSDTFVADEGELLSEVVSHGCPCLKTLQLVDIHAGARELTIHTASLLTLCVVSINDLQLLEVDAANLRWMKVKDCFDIDAAETEGSAMSLSTPAMEEFYWEDCCPEEVKLVREPAGFLHKIACVDSASTYLSFISGSQSFYTRILQLFSSTCTEVLQIEFPIKPVGSINVLNYYKDPA >ORGLA07G0143500.1 pep chromosome:AGI1.1:7:15871656:15875686:1 gene:ORGLA07G0143500 transcript:ORGLA07G0143500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRCAAHKKASLSGGSVGAGAGGGRGYYGGPDRISSLPDELLHHVLSFVTTPEAVRTSALSRRWVGVWKRVPRLHLLEEEATNAGHIPDHFDGILRRYAADVDIADLAISYHWDWPEVDGDRASAWAAFAARRVTGRFDLAVTTQVGHDDDAVLDLPCFERATEISLYSSGMAVRLPALDDNAAGNFTRLTRLHMSELRFSDAGEGISGVVSRRCPSLECLELEHIDGMEALTLRSDSLLSLRLAYVPLRRLDVAAGNMRKMRVKYCLDETSRCPWTGGAAMRLAAPALEELGWEDAYPDKVELISLPSCLMEVAVVELPSHIIHEIGQSDFTKILKLFSSAHVLRLTSPMTATATLDSEEQESLIHSVQLPYYSELDLGVITNGHSSFGSTVVHFLRRNSSIRNLTLTLNPYHPKENKFAPCCMSNCTCHEPLKWWDQDIPLDSLEQLVIKHISGHREAKKLRTAAAAYVQRPAWERTPTAGAGAGGGGGGPARPTGEQASPAGAGGGSGLVGARQLRQRGGGFVDFLSVNVRILLIYYL >ORGLA07G0143400.1 pep chromosome:AGI1.1:7:15853374:15854551:-1 gene:ORGLA07G0143400 transcript:ORGLA07G0143400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVRIKRTKDAVKFKVRCSKYLYTLCVFDADKANKLKQSLPPGLTVQEV >ORGLA07G0143300.1 pep chromosome:AGI1.1:7:15848278:15851605:-1 gene:ORGLA07G0143300 transcript:ORGLA07G0143300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high chlorophyll fluorescent 109 [Source:Projected from Arabidopsis thaliana (AT5G36170) TAIR;Acc:AT5G36170] LSCSXSAAMIDCPRLLLAAARASPYPSSAAHRRVCTAGVPPVPVYCRVSRRRRSSVPVQSASSGSGGQSSVAESSEATEWAMQDFYALRKDVELALARVGEVRQSAGLDQLEEEIASLEKKSADSSLWDDPSKAQEILVALTEVKDRVKLLNDLKSQVEEAETIVKLTEELDSIDTGLLEEASKIIKALNKALDNFEMTQLLSGPYDKEGAVINITAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSPGEEAGIKSATIELEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESMDVEIPEEDLEISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTACETSDITGVMDGELDTFIRAYLKYKLSAAAEEQSVK >ORGLA07G0143200.1 pep chromosome:AGI1.1:7:15834627:15835371:-1 gene:ORGLA07G0143200 transcript:ORGLA07G0143200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAEEQEKGPRMNMKKPLAVDHTELAMDPWWDGVCCKDHPAFFDHLSMVMTCKLDLDFKPVVSKKEMESFCQAHRSTKVGIESL >ORGLA07G0143100.1 pep chromosome:AGI1.1:7:15830262:15833728:1 gene:ORGLA07G0143100 transcript:ORGLA07G0143100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT3G22104) TAIR;Acc:AT3G22104] MGCVLEVDVDGEEVFLVDKEVLAPFCGRIKNLGGGGAGGEGVVGAAAAARVVLRGFPGGAEAFELVARFCYTGGGGGVAVTASNACALRCAAEFMDMAAAAAEVSTPPSLVKMTEKALDEMPQWSWQSVVDAVRQCQRLLPTADATGVFDRVVGALVSHVAVSPGDATPTSSSPESSAFRFSCDTKSSCLSARCTSRTWWFEDLAVVLGSGMVERVAGALLARGTDHGVVSRFLFYYLKCRVAGAATADDKRAMLEAAIAAMSGLDRSSVSCRGLFGILRISSPLKLPTSCHDSLVAMIGAKLDHATLDNLLVPAPPGTTSSLYDVILVLRFLDAFLHHAAATGARGETPRLKKVGKLVDLYLAEVAPDPSLRPAMFVELATALPAAARDCHDALYRAIDVYFQWQVHTRLTEEEKMRICKGINYEKLSPECCKHLARNAGFPTRAAVQALASQHTVLKSLLRGGGPDEHLRPVSAAKHRAGGEDHDDLVAGGGQVVLYAGRLDLSLESQNLRSLLDGMHWRVMELEKVCSRMKTQMSKMKAARRATGGGGGGGAGRRAASRSLPRMCS >ORGLA07G0143000.1 pep chromosome:AGI1.1:7:15811494:15813956:-1 gene:ORGLA07G0143000 transcript:ORGLA07G0143000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFAFVRRCRRLSSAPVDQAAEEEPAAVAPPAEKRRRRPSGPAWKPTLGAISEDVAVASSAAAKAKPAARTKAKAKGKAAPPPPPRRVVRSEYDDFRHYGAPTVLPAFAPTAFLF >ORGLA07G0142900.1 pep chromosome:AGI1.1:7:15806851:15810563:1 gene:ORGLA07G0142900 transcript:ORGLA07G0142900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAVTTMTLKDFHGGSIPSELPLPSAPGVSARPADRPVASQAAAPAASARPRALATSAAAAAAAAAAVPSFLTNPSRIGRHFDEDERTPFEAASTPRRPAPSPPSFAPSPAAGPTRSGPGNAWGPRREAAPTAAPVSPAASGGGGGQIWSATRIAQASAVEKVISGRWNSSKPSSPPAAPVSVPVVVETHVAPPEMERPKSVGVRELDGGIERSVAPVRPASHEGRVGEARGVEVQERPRVGDVPERPRVGDVLPERPKLKLLPRSKPIEASEPSPVYVEEKQVHQVPVVANTVQVDVIHDVHQNVVAPKAGVAGADAEGRAVERPRLNLKPRSNAVGQSDESAPKERQSLXXXXXXXXXVLRERGIDALASDLDKTSPVGRSKSEFAKGEQKVEAMSINPSGEKAESFPAGSRGPRNADKKDYRRDTDRSDVYRPTRREDNRRVARDVEKPEQQRPEPETWRKPVEPPKPEVVAPRFGKGASALELAQAFSKSMSDTVPQSRLTSVPSPKVPQSPGTRDQVGFSRLTDNRALHSSPSQRKINGY >ORGLA07G0142800.1 pep chromosome:AGI1.1:7:15801554:15805813:1 gene:ORGLA07G0142800 transcript:ORGLA07G0142800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAVEDAGMDEVQKRLMFDDECILVDEQDNVVGHESKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIQENYLGVRNAAQRKLLDELGIPAEDVPVDQFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKLVPNPDEVADVKYVSREQLKELIRKADAGEEGLKLSPWFRLVVDNFLMGWWDHVEKGTLNEAVDMETIHKLK >ORGLA07G0142700.1 pep chromosome:AGI1.1:7:15797347:15799920:1 gene:ORGLA07G0142700 transcript:ORGLA07G0142700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18975) TAIR;Acc:AT4G18975] MAGAFSLRLGPRIAGTPPPPASATKGEGRGGGRPTGSRALVSKKPNKEHHLWIRKDSAGSGKKALHLVNTVSKLPNEKEAVYGALDKWTAFEPEFPTIAAAKALEMLKRRRQWLRIIQVAKWLMSKGQVLTWTTYDTLLLALFMDGRIDEAESIWNNIIQTHTRSVPKRLFSRMILIYDTRHCPDKVLEIYADMEELGVHPDEDTTRRIGRAFVTLGQEDKEKIVLEKYLKKYKYMHFNGERVRVRRGGPLA >ORGLA07G0142600.1 pep chromosome:AGI1.1:7:15793484:15795199:1 gene:ORGLA07G0142600 transcript:ORGLA07G0142600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHQLLKYRLTGANVVYEIPTENNLQNSPWQANPLKYEFSDSPYTPLSSQFECDNLSALTNTPDNQSSTETISAQPISPLEADSSYRQTGILLRENIQVGADPLYATSRHNMQHALREIETVLMAPDTDDATTSTKHEFEEIKPAQLVRQRSRTWSHESRQPLPGVGRSQFASGGYPTASYEFRPEKRQRELREDTQMIVKQLLTRCAEVLSEDRTEEFHKLVQEARGVVSINGEPIQRLGAYLLEGLVARHGNSGTNIYRALKCREPESKELLSYMRILYNICPYFKFGYMAANGAIAEALRTENNIHIIDFQIAQGTQWITLIQALAARPGGPPRVRITGIDDPVSEYARGEGLDIVGKMLKSMSEEFKIPLEFTPLSVYATQVTKEMLEIRPGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFLMRFGETMEYYSAMFESIDANLPRDNKERISVEQHCLAKDIVNIIACEGKDRVERHELLGKWKSRLTMAGFRPYPLSSYVNSVIRKLLACYSDKYTLDEKDGAMLLGWRSRKLISASAWH >ORGLA07G0142500.1 pep chromosome:AGI1.1:7:15760444:15764729:-1 gene:ORGLA07G0142500 transcript:ORGLA07G0142500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:I1QBD3] XXGIVGENGSSPCASSLYSSSFQQAARGFSSRQATCRHLLXHHPSSIKWTNCXNMHGSSVDWTRELEDVRRHFNYNHVLAILRRMSGQDIKDTSPDIDGGTSVLSLERRDTILNCLSKQNFMSIAGQDGLKILSADYIKALIASLRTDLAQESSTTKSIPEQAGKPVPGKTSTPKPVNKPTDSASSPPDRSYKSAPTEKENPPTKSVAGKKKDSSGMPNAFIGLSIAGIALMAHLCLCCLMCHGTSSSDLRDDKPLLTLNPSNLSASSKSSQGNPIDVNKLGVVSLKSEAGQNGDVKLISKEGTNNVNVVHPVSSVSESTLMPPPVMPPPEGANNVNMVHPEGANNMNVVHPEGTTTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTDKSSDTKKDLSSKDAAQLIRILDPKKAQNLAISLRVLGVSPQEVCSAVKEGSELPSDLIQTLIRWSPSNDEELRLRLYTGELFQLGPAEQFLRVIIDIPYIFQRLDALLFMANLPEEASNVKQSFATLEVACQELRNSRLFMKLLEAVLKTGNRMNVGTFRGGAQAFRLDTLLKLSDVKGTDGKTTLLHFVVQEIVPKVSVLNGLQRSRTMAFPV >ORGLA07G0142400.1 pep chromosome:AGI1.1:7:15757207:15757849:1 gene:ORGLA07G0142400 transcript:ORGLA07G0142400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:I1QBD2] MAGRGKAIGSGAAKKAMSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGTVTIASGGVMPNIHNLLLPKKAGGSAKAAAGDDDN >ORGLA07G0142300.1 pep chromosome:AGI1.1:7:15754024:15754709:1 gene:ORGLA07G0142300 transcript:ORGLA07G0142300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:I1QBD1] MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEQVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAGSSKASTVDDDDN >ORGLA07G0142200.1 pep chromosome:AGI1.1:7:15746603:15747444:1 gene:ORGLA07G0142200 transcript:ORGLA07G0142200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEAVVVCLLIIAMDVVAGVLGIHAEKAQHQAAGPARLRARRRGGRRSGGVARHRQRRRRVLLRLLPRPPRHAQPADGVLRPRHLVDRAGGGVGAADPGGAAERREEGGQVRPPAPPLPLHRRHPLLRPRPLLPRLLRLRQRRQAGGGRPPPLIDSLLLIHFSCRNGMKTNVGGVVFA >ORGLA07G0142100.1 pep chromosome:AGI1.1:7:15742774:15744508:-1 gene:ORGLA07G0142100 transcript:ORGLA07G0142100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L28 family [Source:Projected from Arabidopsis thaliana (AT4G31460) TAIR;Acc:AT4G31460] MSFRAREMYKKVVRRVGGEGKLPAELMASVKNLLPDSKVVMGRAKRGIYAGRHIQFGNKVSEDGGNKSRRTWKPNVQEKRLFSYIHDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEMGVAWKAKIEKMYSQLAQMEVGFFSPEEEAKIEQGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA07G0142000.1 pep chromosome:AGI1.1:7:15739571:15740827:1 gene:ORGLA07G0142000 transcript:ORGLA07G0142000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXSCRGPRRAGGRSRLAVVRADAAAADVQTGRRAVLGLVATGIAGGALAQAALAEAAKPIKLGPPPPPSGGLPGTLNSDQARDTDLPLKERFYLQPLPPAEAAARAKESAQDIINLKPLIEKKQWPFVRDDLRLRASYLRYDLKTVINSKPKDEKKGLKDLTGKLFATIDGLDHAAKIKSPEEAEKYYTLTKSALGDVLAKLG >ORGLA07G0141900.1 pep chromosome:AGI1.1:7:15735484:15736485:1 gene:ORGLA07G0141900 transcript:ORGLA07G0141900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLGTGRVGTLTRVALTVLVFLLLLPSHALAAAVARDTSATLVETLPLPTTLVGPESVAFDKFGDGPYSGVSDGRILRWDGADKGWTTYSHAPGYNVAKCMAPKLHPVELTESKCGRPLGLRFHNTSGNLYIADAYKGLMRVGPRGGEATVLATEADGVPFKFTNGVDVNQVTGEVYFTDSSTRFQRSQHEMVTATGDSTGRLMKYDPTTGYLDVLKSGMTYPNGLALSADRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA07G0141800.1 pep chromosome:AGI1.1:7:15728273:15729334:-1 gene:ORGLA07G0141800 transcript:ORGLA07G0141800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLGTGRVGTLTRVALTIVVFLLLLPSRALAAAVAKDTSATLVETLPLPTTLVGPESVAFDKFGDGPYSGVSDGRILRWDRADKGWTTYSHAPGYNVAKCMAPKLHPAELTESKCGRPLGLRFHNTSGNLYIADAYKGLMRVGPRGGEATVLATEADGVPFKFTNGVDVNQVTGEVYFTDSSTRFQRSQHEMVTATGDSTGRLLKYDPTTGYLDVLQSGMTYPNGLALSADRSHLVVALTGPCKLVRHWIEGPKAGTSEPFAELPGYPESRTTXGPTGREATGWRCTVRRRSRRTARTPTSSPXGSVARGKILQELRGPKNVRPTEVIERGGGKLYLGSVELGHVAVVKAT >ORGLA07G0141700.1 pep chromosome:AGI1.1:7:15722766:15726593:1 gene:ORGLA07G0141700 transcript:ORGLA07G0141700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTITVGSGGGGEHRVQVAAAKLGGGQQQQGKKAAAPEKWLNGFVRLVALVERVGNALGTLAFTWATVVLLGGYPTSLTALGSYNDFWFATAIIFLEAARMFTGSNNRSDYQLFFRTKGAFRPLGWNWLIAIVCILDVWKLLIPLPHKKNVMAAVVLYAMIILLILGKSMTPKFQPSLRNPLRRAISLWSPLAAILLMTPAMQHDHSRDFVRNITGNGSITTITGDFAPTRSTVVAKWIVFLLLLVSVLVVTISRLQFPRIIKLLDSAWGRKLVSWRRSIQNICMLAALVMVVLTTDGFFRFLIIGMLVIVAVMVSSGNLQIPAAVLRVVFAGLALSHLMALHKNNKEDEETNLVPSLIVFYAMVTAQGILYTVACTLEIFSFIPRRSLIRRAGFRGQLGVEYVNLYYAYALEKCMEKAVFAPKKTSLGNFAMDSLNSDSPKNHLYGIQLMHSLLEKETTRARLLEKLITSTKTMARIISMLGWTSPNYMTVRLYAAKVTAELAKDLQVIAVPRAMQLVSALLDTDGKLKKGNPLLQVDDEQEERQDPILSTANSQEERPNAIRNADDPPKQRQQETLQGTHNLPETQTRSAHIHEQNCIIRSLQKISEYWTVPKEHPLTYHDQLPALGMLIVDKLASCDQNNCVEIDRVTDLIPKIIGFTSFRSDTMNSEAQQMVLVKSSLKVLQRLTSIEGEIGKALRYKISKHPFLLRNLADILGDNIRDQELKKLAVGILRNISIDGNTRQEIGNMKVLITRLMKAFLDLHRTSNTDVDFLLPKVAGQALAMLAMDNVHNCLVMLKEPEFITKLKNMILIQDEKYIYVAPSLLRSMCQHAQAKLTELDLKELSHTLREVLERIMNAEGAELEILIGLSSQICKVNPEEFAQELDHGHIRQRFVKRLVDALNANMKPSAHCPGIRRVILEQSIYMMECNSHYANCFNEFRMMDALSIVEETPSKVENYMVFLGDVGFMECGTPLFALVDRAKELMGRQWLQGINSAN >ORGLA07G0141600.1 pep chromosome:AGI1.1:7:15719870:15720771:1 gene:ORGLA07G0141600 transcript:ORGLA07G0141600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDIQQHYSVESPNTTCLHKGYGIKDTVVVHPKTDMFSSIVSHQKKIREATTLITVYQATTLITVYRAPSQCDFLPSNVREGMGREKHQCGSRHYMWRSRPQVTGDGDRRYVEEGVNSGGKGGIGIERCLQWPSQPISPYLL >ORGLA07G0141500.1 pep chromosome:AGI1.1:7:15713891:15716428:1 gene:ORGLA07G0141500 transcript:ORGLA07G0141500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:I1QBC3] MAGNLLANYVQVNVMLPLDVVTVDNKFEKVDETRAQLKKLTEAGVDGVMVDVWWGLVEGKGPGSYDWEAYKQLFRLVQEAGLKLQAIMSFHQCGGNVGDIVNIPIPQWVQDVGASDPDIFYTNRGGARNIEYLTLGVDDQPLFHGRTAIQMYADYMKSFRENMAEFLDAGVIVDIEVGLGPAGEMRYPSYPESQGWVFPGIGEFICYDKYLEADFKAEAAKAGHPEWELPDDAGEYNDTPEKTRFFADNGTYITEKGKFFLTWYSNKLIKHGDKILDEANKVFLGCRVQLAIKISGIHWWYRVPNHAAELTAGYYNLDNRDGYRTIARMLTRHRACVNFTCAEMRDSEQSSEAKSAPEELVQQVLSAGWREGLHVACENALGRYDATAYNTILRNSRPTGINKNGPPEHKLFGFTYLRLSDELLEGQNYSTFKTFVKRMHANLDYNSNVDPLEPLQRSMPEMPIGKILQAAHPKLAPFPFDENTDLPV >ORGLA07G0141400.1 pep chromosome:AGI1.1:7:15698710:15700996:1 gene:ORGLA07G0141400 transcript:ORGLA07G0141400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:I1QBC2] MITTSSPSSQPVRLQQRWTCRSRAASPAPLLPPPATGRRRRRRVAADSQKTVASLRVRAIAAESEQASPLPEPPPLLTEEEEEEEKMLANYVPVYVMLPLGVVTAENELEDAAGLRARLRRLRREAGVDGVMADVWWGIVEGAGPARYEWRAYRELFRVAQEEGLKVQAIMSFHACGGNVGDAVAIPLPRWVRDVGDADPDVYYTSPGGARNHEYLTIGVDNRPLFHGRTAIQLYADFMKSFRENMADFLDSGLIVDIEVGLGPAGELRYPSYPESQGWEFPGIGQFQCYDKYLEEDFRAAATEAGHPEWELPGDAAGEYNDTPEDTRFFAADGGTYLTEAGRFFLTWYSSKLLEHGDRVLDEANMAFLGCSLKLAAKVSGIHWWYRHPSHAAELAAGYYNVPGRDGYRPVARMLARHDGAVLNFTCAEMRDSEQPPEAMSSPERLVRQALSAAWREGVEAACENALSRHDRRGYNQMLLNARPNGVGPAGGGGAPPRRVAAVTYLRLSDELLTATNFRAFKAFVRKMHADQDCCPDTARYGRPMRPLERSGPEVAIERLLDATAPEPPYPFDGETDMSVGGGLAELIDWVFDKVEWIFC >ORGLA07G0141300.1 pep chromosome:AGI1.1:7:15695030:15697424:-1 gene:ORGLA07G0141300 transcript:ORGLA07G0141300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEGSTWVFDCPLMDDLAAAAGFDAAPAGGFYWTTPAPPQAALQPPPPQQQPVAPATAAPNACAEINGSVDCEHGKEQPTNKRPRSESGTRPSSKACREKVRRDKLNERFLELGAVLEPGKTPKMDKSSILNDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA07G0141200.1 pep chromosome:AGI1.1:7:15692908:15693816:1 gene:ORGLA07G0141200 transcript:ORGLA07G0141200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSVALLAAVMAVAAVASTAVAKDYTVGGSYGWDTYVDYDKWAAGKTFIVGDTITFKYEPYHNVVEVPAETDYDGCVSTNPVSVHSGGNTTFELAAAGTRYFICSIPRHCLNGTMHVKVTTVPYSASAAAAAAAADAGPSPAPLPSPPADEQQHRSNSASSPAAGPSSSAASAPRHWQQQPAMAVAGLALAALVAMAA >ORGLA07G0141100.1 pep chromosome:AGI1.1:7:15669738:15673877:-1 gene:ORGLA07G0141100 transcript:ORGLA07G0141100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLVAHAVLLFAAVALPLAAAQPWPVCGSSAGTYTAGSTYETNLLDLISALQGNASSPTLFASGALGSAPDTVYGLLLCRGDMSPSDCFDCGTNVWRDAGPTCNRTKDAILVYNQCYAQFSDRGDFLAATNNSGGVSRLISGTNISSTDVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGNDTGFSNIYSMAQCSPDLSPAQCRSCLDGLVGQWWKTFPLNGQGARVAGPRCYLRSELGPFYTGSPMVRLPVKADGLTPRRLAKAERHPGTDTTEDFESVKSTLLSLASLQVATDNFHESNKIGEGGFGAVYKGILHGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHRNLVRLVGFCLDEGERLLIYEYMSNKSLDTFLFDAEQKRKLDWAVRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREVTSRIAGTFGYMPPEYVLRGQYSTKSDVFSFGILVIEIVTGRRRNSGPYLSEQNDEDILSIVWRHWEEGAIAEMIDHSLGRNYSETEVLKCVNIGLLCVQQNPVDRPTMADVMILLNSDTTCTMPALAPRPAYLIDGTSGYSQTITQWSGR >ORGLA07G0141000.1 pep chromosome:AGI1.1:7:15663675:15666591:-1 gene:ORGLA07G0141000 transcript:ORGLA07G0141000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSVLHAVLLLLVVAAVALPLAAAQPWPVCGTSGGNYTAGSTYESNLLRLASTLRANASASPTLFASGVRGAGPDAVYGLLLCRGDMSPSDCFDCGTRVGDDVAQVCNRTKDAILVYNQCYAQFSDSGDFLAATNNSGAYSLLISGTNISSADVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGADPGFRNIYSMAQCSPDLSPAQCRSCLDGLVGQWWTGFLFPRNGEGARVAGPRCYLRSELGSGFYTGAPMVLLRADGLSPASAPAPDVVPATTLVKKNSASKILVIVLPIVAVAIVAAISVCMWTVRKKSRATKAEHLSELDASEDLESVKSTLLTLGSLQVATDNFDESKKLGEGGFGAVYKGLLFGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEDGERLLVYEYMPNKSLDTFLFVVEQRRQLDWTTRFRIIEGVARGLQYLHQDSQKKIVHRDMKASNVLLDADLNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMAPEYVIRGQYSTKSDVFSFGILVLEIVTGQRNSGPCFAEQDEDLVSVVWRHWTEGNIVEMIDYSLDRNYPEAEVQKCVNIGLLCVQQNPVDRPTMADVMILLLIYSHD >ORGLA07G0140900.1 pep chromosome:AGI1.1:7:15655952:15657106:-1 gene:ORGLA07G0140900 transcript:ORGLA07G0140900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDSHQGLQELKNELILVAKLHHKNLVRLVGFCLEKGERLLVYEYMPNKSLDTLLFDTEQKKRLDWATRFKIIEGTAWGLQYLHQDSQKRIIHRDMKASNILLDADMNPKIGDFGLAKLFAQDQTREVTSRIAGTFGYISPEYVMCGQYSTKSDVFSFGILVIEIVTGQRRNSGPYFSEQNGVDILSIVWRHWEEGTTAEIIDHSLERNYNEAEVVKCVNIGLLCVQQNPVDRPTMADVMVLLNSDATCSLPAPAPRPTSLIDGSSGYSTEWSGW >ORGLA07G0140800.1 pep chromosome:AGI1.1:7:15636096:15639268:-1 gene:ORGLA07G0140800 transcript:ORGLA07G0140800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSLVHAILLAAVALPLAAGQPWPRCGTTGGTYAANSTYETNLLDLISALQGNASSSPTLYASGVVGSGGRDAVYGVMLCRGDLSTSDCNDCGTRAGQDVGRVCNRTRDAALVYNQCYVRVSDADFLAATTNNSGEVPLMSSTNITRAADVRAYDAAVVSLLNATVRYAVENSTRMFATGQRVGSDPGFSDIYSMAQCSPALSRPLCRSCLDGLVGQWWDTFPVNVEGARIAGTRCNLRSELNQGTFYTGQPMVVLRADELAPPQGPAPAATTGGKNNSASKVLVIVVPIVAVAIVAATSFCIWNVRRKRRSRKAEHFSELDASEDLESVKSTLITLASLQVATDNFHESKKLGEGGFGAVYKGLLFGQEVAVKRLAKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEEGERLLVYEYMPNKSLDIFLFDSEQSKQLDWVTRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNVLLDADMSPKIGDFGLARLFGQDQTRDVTNHIVGTFGYMSPEYVIRGQYSTKSDVFSFGILVIEIVTGRRNSGPHFLEQNEDLISIVRRHWEEGNIVEMIDHSLGRNYPEAELLKCVNIGLLCVQQNPVDRPTMADVMVLLNSDATSTLPAFATHSPTISIEGNSGYSQTVTQLSPR >ORGLA07G0140700.1 pep chromosome:AGI1.1:7:15630297:15633908:-1 gene:ORGLA07G0140700 transcript:ORGLA07G0140700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKDLVARWWKTFPRTTVGARIVGTRCSLRSEVTQDKFYTGAPMLNLWADSLSPAAALPDAAPGTTGDEEKRRQLDWTTRFRIIEGIARDLQYLHQDSQKKIVHRDMKASNVLLDADINPKIRDFGLARLFGQDQTXEITNRIVGTFGYMSPEYVTHGQYSTKSDVFSFGILVIEIVTGRRRNNGPYFFEPNEDIISIVWRHWAEGNIKEIIDHSLGRNYPEGEVLKCVNIGLLCVQQNPIDRPTMADVMVLLNSDAITTLPAPVVHIPAASFSDGSSGYSQTVTQLSPR >ORGLA07G0140600.1 pep chromosome:AGI1.1:7:15618573:15619807:-1 gene:ORGLA07G0140600 transcript:ORGLA07G0140600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRSLDTILFDAQKIKELNWGQRFKIINGIARGLQYLHEDSQLKIVHRDLKASNVLLDSAYNPKISDFGLAKIFERDQSKVITHRIAGTYGYMSPEYAMRGQYSIKSDVFSFGVLVLEIITGRRNFGSYGSDQQDYDLINATWEHWTSDKALELIDPSLGNHYPVDKVLKCIQIGLLCVQPKPADRPLMSAVNVMLTGTIRLPSLSRPAFWFQEIGASSDVNSEQNLLDPHNSTKMYQSEAPITELEPR >ORGLA07G0140500.1 pep chromosome:AGI1.1:7:15616238:15616627:-1 gene:ORGLA07G0140500 transcript:ORGLA07G0140500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKCTRCRTTPSSAPPRSGTGGGRDPMGGSAARSTAPPVTSTTRGPAPWPRTRRSASLLRQRQPPSPRSSVHFLNFQVSMFHRSRTTTFPFVKIFFRDFFVMSTVGVDMIFITWPRRIRTFLSILHTR >ORGLA07G0140400.1 pep chromosome:AGI1.1:7:15612213:15612761:1 gene:ORGLA07G0140400 transcript:ORGLA07G0140400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAASLLAARSGTEIEGKVSGSIRSCVLFGAALLSNEKIESYRWLLQTFLRAMGGVEPRLIITDECASMKAAISVDFPTSTHRLCMWHIMRKLKDKVGYPLREDKEFLDRFNKCVWCTETDEEFEAQWTSIISDYGLEDHEWLTTRYRIRESWIPVYFKDXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA07G0140300.1 pep chromosome:AGI1.1:7:15608349:15611189:-1 gene:ORGLA07G0140300 transcript:ORGLA07G0140300.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKNGLGWNIRTASEAVAQAFEAAVVRLINSTVDYAATDSVRRFGTGEEAFDDTTNPKIYSLAQCTPDMTATDCRSCLEDIVGRMVSGNFVESADCGDFFSRMGGRVFGVRCNFRFEVYPFFTGRSLLQLPGPSPSPAPTPPVIEIRERSKNKRSAVLPISVPTTILVFAIIAAWFCSRSWRRRLARKTLRPKNSPDEVQSFGSLVLDLQTIRTATDNFSEHKRLGEGGFGVVYKGDLPEGQEIAVKRLAQTSRQGIEELKTELLLVAKLNHNNLVRLIGVCLEENEKILAYEYMPNRSLDTILFDAERIKELDWGQRFKIINGIARGLQYLHEDSQLKIVHRDLKASNVLLDSAYNPKISDFGLAKIFERDQSQVITHRIAGTYGYMSPEYAMRGQYSIKSDVYSFGVLVLEIIAGRRNFGSYGSDHEVDLIYVTWEHWTSDKAIELIDPSLGNHYPVDKVLKCIHIGLLCVQPKPADRPLMSAVNAMLSSTGTVRLPSLSRPSFWFQEIGATASSGANSEQNPHNSRKMSQNEQPITELEPRWVM >ORGLA07G0140200.1 pep chromosome:AGI1.1:7:15603304:15604750:1 gene:ORGLA07G0140200 transcript:ORGLA07G0140200.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSVSSDDIQSFDSLILDLPTIRVATDDFADTKMIGQGGFGMVYKGVLPDGQEIAVKRLCQSSRQGIGELKSELILVAKLYHKNLVRLIGVCLEQQEKILVYEYMPNRSLDIVLFDTDKNRELDWGKRFKIINGIARGLQYLHEDSQLKIVHRDLKASNVLLDFDYSPKISDFGLAKIFGGDQSEDVTNRIAGTYGYMAPEYAMRGNYSIKSDVFSFGVLVLEIITGRRNTGSYDSGQDVDLLNLVWEHWTRGNVVELIDPSLGDHPPIEQMLKCIHIGLLCVQKKPASRPTISSVNIMLSSNTVRLPSLSRPAFCIQEVSASDGSNPYSERYARPRHSGYSDNSTVVSSNDLSITELVPR >ORGLA07G0140100.1 pep chromosome:AGI1.1:7:15599712:15601744:-1 gene:ORGLA07G0140100 transcript:ORGLA07G0140100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASNILLDTDMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVMRGQYSTKSDVFSFGVLIIEIVTGQRNNRPYLFEQNEDIISTVSIPASSYSTMWYYLRLQVWRRWSDGTVAKMIDHSLGKNYPETEVLKCINIGLLCLQENPVSKTFLQSYVNSLEQVKNNPQADLVTGKQALYHEERKIQKPKQNPLNNNCRWVKLKQGWMKLNVDGSIDINSEKWGIGVVLRNSMGMVIFSACGLVAARWKQSFLPAGKVLAWLFSGLSFHS >ORGLA07G0140000.1 pep chromosome:AGI1.1:7:15588327:15588632:-1 gene:ORGLA07G0140000 transcript:ORGLA07G0140000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVNRYQSQETVLVSQEDRISQQQRSLYYRVGVQSCWATDRSRAHNCISKGKRKPRIGPNITGATWELGRNPKTHRIRLAPGGTPRQRDPLHLLQQLGGICI >ORGLA07G0139900.1 pep chromosome:AGI1.1:7:15584275:15585090:1 gene:ORGLA07G0139900 transcript:ORGLA07G0139900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLLLLAAAVSSPAPAAGDGGGALPVLNPISSFCNTTAARRTYLPNSTFEANLNGLFAVLSRNASASGYAAGAFGAAPDTAYGLLLCRGDFTGNDCSAARLASSFQQAASSCLYSKDVAVYYDQYQLRYSDQDFLAGAANEPETAAFNMNNVSDAGDVAAFDALVAELVNAVADRASNATRRYAAGKAGFAPEAMTVYAIAQCTPDLSPPQCRGCLAGIIDQMPKWFSARVGGRILGVRCDFRYEKDPFFKIPNDMVVLSPLPDPSSQG >ORGLA07G0139800.1 pep chromosome:AGI1.1:7:15572796:15576563:1 gene:ORGLA07G0139800 transcript:ORGLA07G0139800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLIVSLLMLLLSTPNLLVAKQLPFCSNANTITHMPEGTYKTNLLQLAKNLITNVNQTQLHSANGTAGAAGPDTVYGAVLCRGDSSVESCATRLQRVLDTASINGTSGDDSGYFQNQKNVTLYDHDFQALLSFSDKDFISSFSNAPECTVSAYLNPPPDADRAQFSQLFSELMEKIAAAVVSRRPVSYLTGRGWFDLKSQTVYALAQCTDGMPPENCRSCLDGIIDEGKKMVGGGLTGGAVLGMRCSLWYQTDVKFFAGDPEVSLHMPTQQARFELRLLSMAVQNVINLWRIEEGNSGFSLYDFSQIKEATQNFSRENKLGQGGFGAVYKGLLPGGLEVAVKRLSACSVQGLLEFKNEIQLIAKLQHKNLVKLLGCCIEGEHEKMLVYEYLQNRSLDVFIFDFVKGAQLTWSKRLRIIDGIAQGILYLHNHSRVCVVHRDLKASNILLDSDMTPKISDFGMARIFGSNMIESNTTRIVGTHGYISPEYAFDGVCSIKSDVFSFGVLVLEIISGKRTAGFYPYDGKLCNLISYAWQLWRSGQGHELVCCRIGNNHKVIQRCIQVALLCVQERADDRPSIDQVVTMLNSEEMTLPKPNQPAYFYVRSSGSDDSSCNNSISITLARXTVRQWTVISINMXGRVSGRAAIFKSKYELSATD >ORGLA07G0139700.1 pep chromosome:AGI1.1:7:15565337:15566572:1 gene:ORGLA07G0139700 transcript:ORGLA07G0139700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRRRQRVGDDDAGGGGGADPDDDDEWAAMRASSRPDPEAGVGGGSGESGGGAADPARARRRRPRRRQRRRACDHHHCSHALVRRMAEAICICSMNSSSVCLWYRMDVAGQGKADGKGGWSRLS >ORGLA07G0139600.1 pep chromosome:AGI1.1:7:15557289:15560456:1 gene:ORGLA07G0139600 transcript:ORGLA07G0139600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVTFGAVKLVLGLIQDEARLLSGVREDLRFIMQEMESMNNVLRHLVANKGSAAADYQLRPWMKQIMELAFDSKNCVELYTQSGGGHCGWLPWTMVARHRVVTRIRELKIQAREISERQARYHIAGVAHAQQMDATTTIERWDASVPNQSRAWAGSSNNPSRRAILHDGWFGDYISVDEALSRLNPFTLDRYEVEPPALLDSDDEQQPRPQLNSDGGKEQPLAPQSDGRNKEQLPPPQSGGGNGIKQQQPLPPQSDGGDKEQPPPSLSDGSDKDQPLPPDCGVKRQLPPQSDGDDKQQPPPQLDGSDQPPPPQPDGGDTEQQPPQSDGGDKKQKHIRVVTISVQDGTDEAVAAEAVIERFKSRWARRGLWSQQLCLHVSVHRPPILSEITKVMVEKLKEKEDDETKNEAEDREWLGDKLKDEDVLLVLSGFNYPKLWNQVLDLLTSMSCSDCAVVLCTNDSKMAKYCCSNDSANDGPQIIYSLVDIYLNRALALLSHSYGYEEGHLKEILRNILAKCCLDVFCMKMLLHALYYNPDMTEHQLQFLNISLGKEFTDHGRQDRIMAFCYQALPNSYKNCLWYSTIFARGSGVRRASLIRRWIAQGLIAQVNQSSAEDEAGHCFEAMNSQKLIVSSGLSGTRKVKSCTVHPVVSGLISRESSTVEDLLLNNQLPLDLDLLYSIRNGMQLHPANSNIKKFLNSLSSSSRLLLTVLDLEGRKGLKAGDLHTVCKIHKLKYLSLRNTDIAQLPKEIGQLKLLETLDIRGTRVQVFHTALPMLKHLLAGCIIDYPKEDIIKSKESFSTVSMPRAVATMEKMEILSRVKVSNSAKELNNIGDKLEHLKKLGVVLSGKKASLIDLFLQVDKLHRCLRSLSIRMDPPGNWDSIDVILLRPPKLLESLHICSIRSGLPPRIKELHQLAKITLRDTFLNQGALDVLSMLKGLRYLRLCYHSFAEGALSFVKFVELVDLVIEDDIVNSVALGNNTYGGDPDKLEKMVWSFTHMEELSGVSTLQSLTHIELNGRTWHLQNLEKLKREVDECGITFTLNPPENGQGSRL >ORGLA07G0139500.1 pep chromosome:AGI1.1:7:15545024:15546116:1 gene:ORGLA07G0139500 transcript:ORGLA07G0139500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAGGVTARAPTLLLFRPLRIGAVRXLERLSSSSGPIPIHPAPVPPYRRIPTSATASGSGRARFRWLISQRANDDEVVRGATRIDAISVANPCITDAIILLXYNFKILKIVIVDALS >ORGLA07G0139400.1 pep chromosome:AGI1.1:7:15540431:15541747:-1 gene:ORGLA07G0139400 transcript:ORGLA07G0139400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKKARVATNGRAASSNVALDLYPFIRKYNDGCVVRFLTSTFVPASEDGSAGAARGVATRDVAIDRDNGVSARLFLPSGAAAAAGRRRLPIVLYFHGGCFCTESAFCRTYHRYAASLASLAGALVVSVEYRLAPEHPIPAAYDDAWAAFRWVESLSDPWLAEYGDLRRTFVAGDSAGGNIAYHTVARASRENDDDDIQGLIMVQPFFWGAERLPSETVWDDGVSLFPPYKVDELWPFVTAGXAGNDDHRIDPADHEITSLSCRRVLMAVAGMDTLRDRGCRLAARMRGGADVTLVESEGEDHGFHLYSPLRATSRRLMESIVRFINQPSPAPPPLRWPATILSELHDTTDSSQILLPMPTREYKAVFIDRLEKRTKTGASSANSTAANASLTIGRGKLATKKSYGLFFGQTRAYIYGGLASAGSLQCPRPFCGAPSG >ORGLA07G0139300.1 pep chromosome:AGI1.1:7:15531627:15532657:-1 gene:ORGLA07G0139300 transcript:ORGLA07G0139300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKMPTTSAAGTSIRQSLSDGARWPRPSMMASLHTVAKSAAGVPMVAQGSGDSGALFARPGGRACHAVKVGGVAALASDEADAMVDRLRGLWDDRVAAEEQSSRVVVAAAVSPVASSSPASPGDLLPSCWPAYPENRRREE >ORGLA07G0139200.1 pep chromosome:AGI1.1:7:15521934:15524737:1 gene:ORGLA07G0139200 transcript:ORGLA07G0139200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1QBA0] MGNGSIKAYAAVVLIRIMYSVMQVVSKVALDQGMSPLVFIFYRHTAAALVLIPIAFVLERGKAKRVTLKNAGKMFIHALYGVTGCGDLFNLGLNYASATSSSALYNVQPVVGVYETEEIPWTCLWNVPGCDCHCTFILHANMDDREEGPVFLAMSMPLTLIFTIIISSFILGEAVSLVSIVAGILLIGGLFNVLWGKNLEEHDELNKIGPAIPDLEMQDKEAQVPNDRATN >ORGLA07G0139100.1 pep chromosome:AGI1.1:7:15503535:15508519:1 gene:ORGLA07G0139100 transcript:ORGLA07G0139100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGIKAYAAIVLIRLMYSGMHVMSKVALDQGMSPFVFVFYRHGSAALVLIPAVLILERPKAKQVTLKIAAKMFVHALYGVTACGFLFNVGLNYASATSSSALYNVQPVVTFILAVVFGMESMKLKKLHGNVKAAGILFCVTGVTVLAFYQGPMLGSFNHHHLFQQANSSDDPAGNTHSKTQWVLGIFLMTLSNVLAGLWTVLLGPLIEETSKLMNTALQISWAAVQAFVVAVAVERDFNKWKLGWDVELATVIYSGVVVTALSYYMQMWTITKRGPVFLAMSMPLTFIFTIIISSFILGDPTSLGSIFAGTLLIGGLYNVLWGKNIEEQDEVNDIVADKPEFEKQGKEAQMPGDAGTKNSSSSGGSVSKDSDISLAPKLPGHQDYHRFKSLPWHICPFQILLNGLQLARRCRADSGEARHIGQKCWLAQPLFCIDTVLGQFPGDSICLFAVELYVLDQSPEADVLSQMINLRYEEKVDVTNPSVGG >ORGLA07G0139000.1 pep chromosome:AGI1.1:7:15488489:15488971:-1 gene:ORGLA07G0139000 transcript:ORGLA07G0139000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDNIKRKSIIPVSESSVLRFNILSRKFCEITSRGAKTIPAYEFLMEXADKINEKLNDLIDNDNEVGVDEVNNDQDANMNDNSENNVVQDERLPEEDFIEDPDTANSKGRPRQRYKTIREQIAXQESYHCSHCGRTDHTFPTCPFKHIEFDLPRKKKRKV >ORGLA07G0138900.1 pep chromosome:AGI1.1:7:15480383:15487859:1 gene:ORGLA07G0138900 transcript:ORGLA07G0138900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WVILMILKIFLVILFLLNLKVLQMMMNFVTMRTPNLVMLEFLRMNFXTLXKESXVRRKILMKALPREKKPKQXMLISKISWIQPCLRYIEIMFXMEXKGNSILIKARKIQXRVLQLXILNXQGFLXNTFLMCXLHCLNIRERLFPKPVSKLSYSLKNVPCHINLLYGWHKELMSPLVISSLEIKSFHFPKISSYCFGFACWRFTDXFRFXSWQIHPSXLFRPLFFALCQIFWREAYQKXNNVXXANLNVFHDCILELLPVPKIFSSTXHQISECFXRSXLYXQFGLEQSCLXLVNETSEQAXQVKNFWRLLLLFGGELFGFSEFWNAISTERCSKNKSMERVNDQKIFKVXXNQQRCLWQKTSXRFFXVLLQNDVNLNEDDKSKFNDDSSMVKDQNTYNDNAIGNEQTPMKISSSPKDKIAVVDGIMKKLSKPDDDFPNEEKHFKTQVTPKHQDNSNIMIIPKDLSQDFDDNSQSQSNNSTNEDKLVMITLEDTDTEILTQHNEKENLNIEQLQKKINTFVVKPNKKLKMSTGSPERILLCNVDKSIQPEQVKNQNMISEEFYSQLDTIQIHTALRDILFQXHNMIDKFTMLFARSARVIFKIRXLLILTVFIANSTHLEIHSSLVVNCQTLXLVFSADICFDHVTLQNRRNITSSLQLEMISLRTVLXQISRLXRSVXWSFTSKTCSHMXPALFPIVKSRHWFVFVIDLKSERFVFLDSLYDEESFYHAELRPKLALLSYMKILNEHGRTCRTIWWSFSSVLAGR >ORGLA07G0138800.1 pep chromosome:AGI1.1:7:15466144:15467480:-1 gene:ORGLA07G0138800 transcript:ORGLA07G0138800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVAPVTVHEHRRAERADGPATVLAIGTANPANCVTQLDYADFYCRVTNSEHLAGIKDKLDTLCVSASGSEKRFFHHTEEMINAHPEFLDRATPSLDARLQIAAAAVPELAATAAARAVVQWGRPATDITHLVVTTNAGAHAPSADVRFAALLGLRPTVRRTMIHLNGCSAGAAALRLAKDLAENSRGARVLVACVELTVLTFRGPDCPHTVNCQALFGDGAGAVIVGADAVRPVEQPMFEMVSASQTLIPGTEHVITMQLTEHGLDGNIDAKELAPLAANNVKKCLSDALTPLGLDGGEWNDLFWAVHPGSPLILDHIESALQLKPGKLAASRRVLREYGNMLGSTLIFVLDEQRRRMEEEGDGAEWGVILGFGPGFTIETMVLHAPDHDSRKKN >ORGLA07G0138700.1 pep chromosome:AGI1.1:7:15463565:15463879:1 gene:ORGLA07G0138700 transcript:ORGLA07G0138700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRAASEEPVGCGRSRWQASAAAECSGRAAVGECGSEVAAGRGRSGRQASSAAGASRCYSTTTLFGALAASFALSFFLITIFICPQALHVARRRRDRPLVMEQEQQ >ORGLA07G0138600.1 pep chromosome:AGI1.1:7:15459315:15460676:1 gene:ORGLA07G0138600 transcript:ORGLA07G0138600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHARKIAAGDVAIDLHPFIRKYNDGRVERILRSSFVPASEDPAASRGGVATRDVVIDERNGVSARLFLPPSRDNTDIADGDHRIRLPVVLYIHGGSFCTESAFCRTYHRYAASLASRAGALVVSVEYRLAPEHPVPAAHDDAWTALRWVASLSDPWLANYADPSRTFIAGDSAGGHIAYRTAVRASREGDSHGIDIEGLIIIHPYFWGSYMLPSEVGWDGESAIRPETVENVWPFVTSGQAGNDDPWIDPPVEEVASLTCRRALVAVAEKDFLRDRGRLLAARMRGCAWAGAGDGRNVTLVESEGEDHGFHLYSPLRATSRRLMESVVRFINERSAAAAVAATSPWPAGVLPELHECSPSRARKGKMSKAQPLLSVPSRPYQGVFLNGPDLQAPRGPSAMKINNALTVGLDKASKRGFGSFATWANPNNRRAIKRPLSASVSRNIVAKNFF >ORGLA07G0138500.1 pep chromosome:AGI1.1:7:15442756:15443604:1 gene:ORGLA07G0138500 transcript:ORGLA07G0138500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WAPMMVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >ORGLA07G0138400.1 pep chromosome:AGI1.1:7:15434426:15435804:-1 gene:ORGLA07G0138400 transcript:ORGLA07G0138400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSHVTVYDIRRAQRADTTAAVLAIGTANPVTCISQADYPDYYFRITNTEHLTDLKRKLNNLCQASGADKRFFHHNEEMIAAHPEFLDRATPSLDARLDIAAAAGPELAAQAAERAIVRWGRPAADITHLVVATNAGAQAPGADHRLASLLGLRPTVRRTMLHLSGCSAGAAALRLAKDLAENSRGARVLVACVELNVVAFHGPKEDYPQTLTCQGLFGDGAGVVIVGADAVRPVERPLFEMVAVSQAVIPGTEHALNMRLTEHGLDGHVSIKDLIPLAAANADELLSDAFRQLGLAGVEWNDLFWVVHPGAPSILDQIESALRLEPGKLAASRKVLREYGNMLGSMLIFVLDEQRRRMEEEEGGRSAEWGVMMGFGPGFTIETMVLHLPECPKEQ >ORGLA07G0138300.1 pep chromosome:AGI1.1:7:15425118:15432355:1 gene:ORGLA07G0138300 transcript:ORGLA07G0138300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWGIGSSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSVRKWQAAFSTDGCLDIASVLSRIQKGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCREMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATSSENTSDGTSTSSTNGNEIDESASRITDKLIIDWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLKDEADAFWCFEKLMRRLRGNFKCTDQSVGVANQLQYLASIIQVLDPKLHDHLEILGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEDASAHKSKVSKSKLRGVRHFGKWDKDKDKENSKNGSEDTDGPVPISVFMVASVLKEKREKLLQEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLKKVQTKKP >ORGLA07G0138200.1 pep chromosome:AGI1.1:7:15407716:15410627:-1 gene:ORGLA07G0138200 transcript:ORGLA07G0138200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1QB90] MSSGGTKAYAAVVLIRIMYSGMHVMSKIALDQGMNPLVFLFYRHTTAALVLIPITFVLERRKAKPVTLKIAGKMFVHALYGVTACGDLFNLGLNYTSAASSSALYNVQPVVTFVLAVVFGMESMKLKKFHGNVKAAGILFCIAGVTILAFYEGPMFKSFNHHHLFQQGSSSSTSSGDTHSKKQWAFGIFLMTLSNILAGLWTVLQGPLIEDTSKLMNTTLQICCASVQAFVVAVAAERDFSKWKLGWNVELGAVIYSGVVVTALSYYMQMWTIAKRGPVFLAMSMPLTFIFTIIMSSFILGDAVSLGSIFAGILLIGGLYNVLWGKNIEEKDEMNKIGASKTGLELELHDSEAQVPDDDAAKV >ORGLA07G0138100.1 pep chromosome:AGI1.1:7:15392260:15394062:-1 gene:ORGLA07G0138100 transcript:ORGLA07G0138100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1QB89] MGNGKVYATVVLIRLIYAGMHILTKASFNEGASTTVFVFYRHAVAAIFLLPFAYFLEIRKKQAPPLTFRLSAKIFVHGFYGMAGTINLYSIGLNYASATSSSAIFNIVPVVAFILAVMFRMETLNLKSTHGMAKASGILLCIGGVIVLALYQGPEFKSLNHHQLLHHASAAAAAAAHSKKNWALGIFLMTTSVVIWSFWTVKQGPLLLEYPSKLMNTTLQCVFASVQSLVIALVLERDFSRWILPGVVSLVGVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMAIASFLLGEDVSLGSIIGSLLLVAGLYNVLWGKSREEHGGGGGGVVVGPGAGAVVVGGGGEKEGAVAPAAADVVMAKV >ORGLA07G0138000.1 pep chromosome:AGI1.1:7:15379037:15379791:1 gene:ORGLA07G0138000 transcript:ORGLA07G0138000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAAAASRIPASYQQQQEEHSPPPAASITQQQQQHSPPPAATTAASITQQQQELFRQQFQQQQHSPEELALIGATAPVVRSAGRATPRRLGDVEQHLGGLDGHGGDLGGGDALHVAEEEAAAVEDDVIGAAAAEEEAAAAMEEPVADGAV >ORGLA07G0137900.1 pep chromosome:AGI1.1:7:15376961:15377533:1 gene:ORGLA07G0137900 transcript:ORGLA07G0137900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRKPALICCGAALAAAVVLAAVFTALYFTVLRPRPPRVTATVVGTRVSAFALIPSPALNLTFDVAVAAYNPNRAAFEYGEVVTVVRYHGDAVGEAVVPRGEVGARASAEVRAVVEVDAVEVFSSPYFPLEGIAGALPFETATTVAGKAVVLGVLKIRASSVVTCGVTVYPLRKETTSPQCTSTVHVG >ORGLA07G0137800.1 pep chromosome:AGI1.1:7:15374737:15375378:1 gene:ORGLA07G0137800 transcript:ORGLA07G0137800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGKKTKTKPHRRACCLLLAAVAVLGALVLALYLVYRPRPPRVVATPVDVTIELFSLVPPKLKAAVGVHVVVTNPSNSAYRYGESLASVTYHGERVGASVVPRGEVEARSTRLIEPATAVDVVRVAESPHFAHDAAAGVLPFVAVTTVEGKALVLRSFEVSVSVEVVCFVQMYVFHGESTSRCVSTVRTATETVSSEPSGARGARNSVPSYD >ORGLA07G0137700.1 pep chromosome:AGI1.1:7:15367997:15373395:1 gene:ORGLA07G0137700 transcript:ORGLA07G0137700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSKLKSVDFYRKIPRDLTEASLSGAGLSIVAALAMVFLFGMELSNYLAVNTSTSVIVDRSSDGEFLRIDFNLSFPALSCEFASVDVSDVLGTNRLNITKTVRKYSIDRNLVPTGSEFHPGPIPTVSKHGDDVEENHDDGSVPLSSRNFDSYSHQYPVLVVNFYAPWCYWSNRLKPSWEKTAKIMRERYDPEMDGRIILAKVDCTEEIDLCRRHHIQGYPSIRIFRKGSDLKENQGHHDHESYYGDRDTESLVAAMETYVANIPKDAHVLALENKSNKTVDPAKRPAPLTSGCRIEGFVRVKKVPGSVVISARSGSHSFDPSQINVSHYVTQFSFGKRLSAKMFNELKRLTPYVGGHHDRLAGQSYIVKHGDVNANVTIEHYLQIVKTELVTLRSSKELKLVEEYEYTAHSSLVHSFYVPVVKFHFEPSPMQVLVTELPKSFSHFITNVCAIIGGVFTVAGILDSIFHNTLRLVKKVELGKNI >ORGLA07G0137600.1 pep chromosome:AGI1.1:7:15354407:15355385:1 gene:ORGLA07G0137600 transcript:ORGLA07G0137600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVSGSSVMRSAIISCSSTSEDQQAAAAAQAQPEESTWTDYFVDFMMSEEEKKRQEDHGASSYCSHGGDGVYGDCSDQKELEEEEEGEEDSMISDAASCAPAAAALPDRYKELKKLKKKVFKALDHDDSLEDTASSPVNSPKVSALTQLELSPKRRCNTRDLTKEVGIGDDRGREGMDYADAMVEGVRFVDQSQKSVTPCGELKDKGLCLFPLSMLLHYHG >ORGLA07G0137500.1 pep chromosome:AGI1.1:7:15340655:15342927:-1 gene:ORGLA07G0137500 transcript:ORGLA07G0137500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASVKLSTAGTATAPNMALFKPLHLPPLFAAAAGPRPLSLSARPLYRQQDPFFLASRVASPAPPPPSATADGARPXXXXXXXXXXXXXXRRAKIGVYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLAAGSAIMLASWATRIAEAPATDLDFWKALSPVAIAHTIGHVAATVSMAKVAVSFTHIIKSGEPAFSVLVSRFFLGEHFPAPVYFSLLPIIGGCALAAITELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLVILLPFAFAMEGPKVWAAGWQKAVAEIGPNFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVASIIIFHTPVQPINALGAAIAILGTFIYSQAKK >ORGLA07G0137400.1 pep chromosome:AGI1.1:7:15335657:15336508:1 gene:ORGLA07G0137400 transcript:ORGLA07G0137400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDDGMKSLRPDILVMTNISIFPEDPIEVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >ORGLA07G0137300.1 pep chromosome:AGI1.1:7:15328027:15330891:1 gene:ORGLA07G0137300 transcript:ORGLA07G0137300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT5G54840) TAIR;Acc:AT5G54840] TTTTTATNTATNTAAAMEVTKAVTQLCAQGGGGGGRRRGRGRPAVLRLDLRWGRLLRLAVISRVVRLVWDQLLACSSCAGGGGGRYRRLGPPPQGVAAGTVLSPLPRDADDDRAAAADRDAADVEDVVSLKVSLLGDCQIGKTSFMVKYVGDDEEQNGLQMTGLNLMDKTLAVRGARIAFSIWDVAGDSQFLDHVPIACKDAVAILYMFDLTSRCTLNNVIDWYERARKWNKTAIPILIGTKFDDFAQLPLEMQWTIVNEARAYARAMKATLFFSSSTHNINVNKIFKFITAKLFNLPWTVERNLTVGEPIIDF >ORGLA07G0137200.1 pep chromosome:AGI1.1:7:15306761:15310353:1 gene:ORGLA07G0137200 transcript:ORGLA07G0137200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:I1QB80] MADGQNVTGGDGSRPAELRIGALFTFDSVIGRAVMPAIELAVADVNADPGVLPGTKLSVITQDTNCSGFLGTMEALELLAKEVVAVLGPQSSSIAHVISHAVNELHVPLVSFAASDPTLSSLEYPYFVRATTSDYFQMGAIASIINQYRWREVIAIYVDDDYGRGGITALGDALAKKKSKIAYKAKLPPGASRTTIEDMLMHVNEMQSRVYVVHVNPDSGLGVFAAAKSLGMMSTGYAWIATDWLSAVLDSSDHISPDRMELTQGVIMLRQHVADSDIQHSLVSRWNNLTRNGGHSSFSSYSMRTYDSVWLVAHAVEEFLSEGNAVSFSADPNLQDMKGSNLQLGSLRSLNNGEKLLDKVWHTNFTGVSGLVQFTAERDLIHPAFDILNIGGTGFRTIGYWSNVSGLSVVAPEKLHSEPLDSSTNNIELHGVIWPGQTSEKPRGWVFPYHGKPLRIGVPLRTSYKEFVMPDKGPDGVKGFSVDVFKAAVGLLPYPVSFDFILFGDGLKNPSYNDLIQKVSDNHFDAAIGDIAIVTNRTRLVDFTQPYTESGLIILAPAREIESNAWAFLKPFTFQMWSVLGVLFLFVGAVVWVLEHRTNTEFRGPPRQQIMTVCWFSFSTMFFAHRENTVSALGRFVLLVWLFVVLIINSSYTASLTSLLTVQELTSGIQGLDSLISSPSSIGYQVGSFARSYLVQELNIAETRLVPLNSPSDYARALELGSGNGGVDAIIDELPYVEIFLSKYCKFKTVGQVFTKSGWGFAFPRDSPLAEDLSTAILTLSENGNLERIHDEWLTGTECSADDNEVGSNRLSLSSFWGLYLICGFSCVLALLIFFLRICCQYSKYNNQVGLDCPEPEIVTRSARLTTIKSIISFVDKREEEVKNALKKKPNDSLQPRTGSTGEQSTLP >ORGLA07G0137100.1 pep chromosome:AGI1.1:7:15292272:15305520:1 gene:ORGLA07G0137100 transcript:ORGLA07G0137100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGILRKAVDGGDGEGAGSLFKADEVDIANLDPREGRELMERVFKAVEDDNERFLRRFRDRLDQVGIELPKIEVRYQHLDIEADVHVGKRALPTLLNATINTLEGLVSLFISSNKRKLKILNDVNGIIKPSRMTLLLGPPSSGKSTLMRALTGKPDKNLKVSGEITYCGHTFKEFYPERTSAYVSQHDLHNPEMTVRETLDFSRRCLGSGARYDMLSELTRRERNAGIKPDPEIDALMKATVVEGKQNNIVTDLVLKALGLDICADTIVGGAMIRGISGGQKKRVTTGEMLTGPATALFMDEISTGLDSSSTFQIVKYIRQVTHVMNATVMMSLLQPPPETYALFDDIVLIAEGYIVYHGPRESILEFFESAGFRCPERKGVADFLQEVTSRKDQQQYWFLEQDHYRYVSVEEFAQNFKKFHVGQKLQKELQVPYDKSKTHPAALTTKKYGLSSLESLKAVMSREWLLMKRNSFLFIFKAFQLFVLGFITMTLFLRTKMPHEKFSDTSKYVGALTASLITIMFNGFGELQLTIDKLPIFYKQRDFLFFPAWTYGLANIILKVPLSLMESSLWIVLTYYVVGFAPAAGRFFKQFLAYFWTHQMALALFRLLGAILRSMVVANTFGMFVLLLIFLFGGFLVSRKDIKPWWIWGYWTSPMMYSNNALSVNEFLASRWAIPNNDSSISAPTIGKAFLQSKGYFTGEWGYWLSIGAMIGFMIVFNILYLCALTFLRPIGSASTVVSDDDTKSELEAESNQEQMSEVINGTNGTENRRSQRGMVLPFQPLSLSFNHMNYYVDMPAEMKAQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIKLSGYPKKQETFARISGYCEQTDIHSPNLTVYESIVYSAWLRLSSEVDKNTRKVFVEEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELLLLKRGGRVIYAGQLGLHSQILVEYFEAIPGVPKITEGYNPATWMLEVSSSLAEARLDIDFAEVYANSALYRSNQELIKQLSVPPPGFQDLSFPTKYSQNFLNQCVANTWKQFQSYWKDPPYNAMRYVMTLLYGLVFGTVFWRRGKNIESVNDLNNLLGATYAAVFFLGAANLLTLLPVVSVERTVFYREKAAGMYSPLSYAFAQGFVEFCYSAVQGVLYTILIYSMIGYEWKADKFFYFLFFMIAAFAYFTLFSMMLVACTASEMLAAVLVSFVLSSWNNFAGFIIPRPLIPVWWRWFYWANPVSWTIYGVIASQFADSDRVVTVPGQATTMVVKDFLEKNMGFKHDFLGYVVLAHFGYVIIFFFLFGYGIKCLNFQKR >ORGLA07G0137000.1 pep chromosome:AGI1.1:7:15284261:15284941:-1 gene:ORGLA07G0137000 transcript:ORGLA07G0137000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSPPGDDLGALVSAAIAAAAKKLRAFLDDDYARYESALLALDVVEAQLRALPLGGGGRGRGEGDDDDDPDVRVARLEWKLRLDGATNELEDLVGEMEAAVEAETKAARWCWAPWRRRRCRAAAEVVAGWLRSDARNKVRMELAVGRLAGVYVQGGELFDDDEEDDVAGDGDGTAASQCRDGGACQPDGMAGETTKAWPTRTNTSSSSSPPAMHNAIIECSR >ORGLA07G0136900.1 pep chromosome:AGI1.1:7:15278494:15279200:1 gene:ORGLA07G0136900 transcript:ORGLA07G0136900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLELRTEYEVHIAHTSFNRASDQAVLQLKESGEWRGSHFGDLDDAFLFEELGEALAVDVVGXVPNVYLGLRRRLHVLADLLYVLRLLRNFRGGLDGFLLLIISSAASSASGAYPSSWSDSSSTSDSSAALGSAKSSAAADDEEDWKESDAASCASEWRRVERMWRHGVDGERRRRNGAEEEGEDLGRKASVAAMAQSERRSIRGG >ORGLA07G0136800.1 pep chromosome:AGI1.1:7:15266240:15266683:1 gene:ORGLA07G0136800 transcript:ORGLA07G0136800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIQFTSMNKENPEIPTTFNPDPQRRVHWRNMRCAKSVAYLSLSAFVMSGRAVASAAATASPPVGVQFTFTSEHSEWSILSPRKTCHPTGKVKWKGRREHFVERLVGTAHLPRRRPEATARKWSRATAHPDACAECTYPRAVGLPK >ORGLA07G0136700.1 pep chromosome:AGI1.1:7:15263196:15265646:1 gene:ORGLA07G0136700 transcript:ORGLA07G0136700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPELTVGGWFAGAVISNLVAKVRSAMEHHAALRAAAGDMLYGVEAALPRIRILVEATERRAISRASFAAWLQQFKDAVAEAEDLLDDLETRRIRAALRARGKVGSATSLALRFLRNLVLSDGDLQRLKNVLAKLNRITTDATGFHDILKLADDDVGAMRSVLPVPATPPAVIGRDEEQQQLLKMILRPGAPPYPQDGAESCSGVSVISVVGAAGVGKTTLAQLIYSDPNVKEAFLLRGWVFTSRSCSRTGLEQDIIESFASEQEENLQRKSVSSESSLIDVVRNKKFFLVLDDVQHNLHSQWDSLRSTLARGANGSVVLLVCQSKEVANSLGATAQVPMGYLPSPVLWRVFEHHAFGNQKRASLESIGKKVLQNLHGLPLLAEAIGRLLRQRLDKAHWQKISSSPWWLFSEDEDNVALPSVAIMCEHLCDHLRKCLCYCSIFPSGYLFEKNMLIHMWIASFMQQHDGIGMKEMEKEWFDELFRRSFFQPTIWKNRYIMPDMIRKPLCSIVGKECHAASELGEQKRRLQDYRHLAISFPDFNVHLDLRKDNKLRTILLFDGRKTIKPHEAFANILSHLSGLRVLDFSYSEAKLEKVPDFIYKFTHLRFLDLSFTGMTVLPDSLCKLHLLQVLGLRGCRFKELPRAINELVNLRFLYAEAHTVSLIYKIGKLTNLQGLDEFLVGRMDGHKITELKNLNEISGQLCIGNLDKVASTDVVSDAELFKKRHLKKLVFRWGLTACKPLAEADGFMRTLAGLKPNTNLEELKIQCYMGVGFPSWMAVKLAQALEFKLSLHNSSHFSPIHHCVLNYQLIFFA >ORGLA07G0136600.1 pep chromosome:AGI1.1:7:15253246:15255711:-1 gene:ORGLA07G0136600 transcript:ORGLA07G0136600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVVEDNGWPLPAAIARVVGKLRLHLGGSSDAHKYRGTMKMLDLLEDKLSILRGESLLRVDADREEEAAAWLRQVKEAADEAEELVNAMEASAASDSSSLLLGVKYTVGKLVSACSEVESLLPVPGLDDDGLETPGDDVAALPPGPDQPFVVGRDEEIGVILEMILEDARFVADESVEERASAADGSQISRKGWIIDALRGIDLSDQRNQPAESAAAYQKKMGSRVKYTRVQSSTVSTMCNPTVIPIVGVGGVGKTALAQFIFDDERVQEHFRGQSAWVYFTDNIRKEEPMAQIFISMQPEHNMLDHAFSLNSLRVQLQSVIEGKRFLLVLDDVSDEIRAMWGDLRSALKKGAPGSVVLVTTNLYSVASFVGTTTPVFLDYLHYDDLWKLFKHHAFASYQSTEALEPIGRKIVDKVHGSPLAAKFIGASLRNCLDEAYWKRVLESWWWNVSSCSFDIHIISSFGICYSELPAYLRQCLVFCSIFPRNYLFEKYELIQMWIANGFVELDNTTVARKLEDVAGEWFDELVNKCFLQPTVWKAWYIMHSWVRDFAITLSSNEYQGVDCTMGNLPRSVRHLSIDMDAMNIPWTEYSIKQLRSLILFGGFRHNNSSKGFNNIYNILEGSYDAADSISERSYNTTDNDTADNISEWSSFSFDDGEVDIVAIILKRYCDIIGSILNRSTSLRLLSLSNLRANSATACIGDYPLEEDGIAQFVEFTTTHQMLPYLTHLRYLDFSHSGITKLPDSLCSLCNLQVLGLRGCRFTQLPRRMNSLVGLRHLHADADTVALIHGIGQLTRLQDLYEYRVKAEDGHTLIELKT >ORGLA07G0136500.1 pep chromosome:AGI1.1:7:15233365:15234726:-1 gene:ORGLA07G0136500 transcript:ORGLA07G0136500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLEYLHIKKIQEVCIEGGLWYMRNLKDLLMINCYVVVTDSNEESAHEDKQSPTQIDRAMHSLTHLTLDGNPKHIVDLEIVIPQTPSLRHLCLDGVGRRTSITEKWLQHLTSLKELEISSCYALPSSLSSLSSLKRFTLICCYNIHSIPPNSLPGNLKELKIENSSFELEARCQNPTGDAWRPEGYKIELWWRRKIDEWRERKLEHGRTKLIQMQLKKESLGIPMSRREKSPKSSKGESLYQSDNYGSLGQMMQEGHEWPRKQHMEEQSFIEKEKSSSLNEQPEEDESDKELLEEWLQQSEGDQWPVQEWELYSWVLRKLKKELDRNKDDPSSLMKEREEWLKEEERKFHSETLGKDWPNICHVPYIRVEGKIVQNLYTXSCRFSRRGLIFCFTIHMLWXRKFCIXIKSQDNAFAFGIIYLPSAL >ORGLA07G0136400.1 pep chromosome:AGI1.1:7:15220097:15223810:-1 gene:ORGLA07G0136400 transcript:ORGLA07G0136400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGLVVGGWIAKAVIANILSRVRSLLHDNFSLQKDTEKMLNDLEVALPRIEAVIEAAERRSIESSALSTWLQQLKDAVSHAGDVVDDFEAKTIKDQVESKSKVSAKAYSTVKALKALVFSDSELKKLKHAVRRLENVSTRVDSFIELVKLNDDDTVGRIGHSLHSETSSLLGDTKVIGRDEEISLILDIILDYRYHLPRTSEHARPDDQPEFGQRGTLFDKLRKIFLTGTAESSKSSDKAKLEELEPRKKGIKIEEVDPSKDCIEIGEYEPNQKGQTEILDYTSSDVHETSGSSRNLGILPIVGINGVGKTTVAQAVFNNTRVKMCFDLRAWVYVSDNISGKQIVQRIIMSLEPWSGVTDAALDLDSLQHKLIDIIRSKRLLLVLDGVSDDIIIVWSQLRSILRCSEPQSMVLVTTQKYSIANLVGTMGPITLNTLGQTDFRYLFEHLVFDDCFYHHYEVHLFESVCEKIADKFHGLPLAAKTVAPLLRANRNMGYWENVLRSDWWNIADHGLGINVLPALGIGCLNAALRQCLLFCSLFPRNYVFEKERVVQMWVAHGFIQSSNTGDILPENVANNWFDELVDRSFLQPTVWQGRYVMHDLIREFSVAVSSNEYYVFHRNSKVLPQFSNHISVDNDNFDLQWGHYDHKRLQTLMFFGHHRVDKNYDTLGSIVRKSTSLRVLDLSYICMSNVSQASYILSKLSHLRYPDLSFTGIKDLPEAFGNLYHLQVLDLRGCIIEKLPKNMNNLINLRHLYADSQTIALIYAVGQLTKLQELQEFRVRLEDGYKINELRDMKDLRKLCITNLEKVSSLQEAIDAKLVEKKSLDSLQLKWVYQMPESRSTSQLNKDILDGLHPHFQLKRLKILNYMGIDFPYWVQRLTDLIVVNIINCHWLSVLPPLGELPRLKKLSLFGLSSITHINDQVYGTNDVIFPYLEELHFSELFSWEQWSEAEYKLLIPHLRKLGINACSKLSLLPIETLSSSVKELHLSSCTSYISMLPAYLKRLTSLTKLSIQDCSATLLIPCHSLTLLEHLQLESCFDVHFEGGMQYFTKLKKLEVHRCFDVTQNIYEQTSLLERYSLMGGLQSLIHLVIDDRFMYYRYYHMLNTLCSIRTMKFCAFDLSEFTTEDEEWLQQLQSLQEIQFASCRNLLRLPSNLNNMLNLKKVVLNDCCKLQSLPLNGLPDNLKEFHVSGGSEVLEQQCQKTDGDEWQKISHVPYVRINGRTIQMISHDLGS >ORGLA07G0136300.1 pep chromosome:AGI1.1:7:15217949:15218713:1 gene:ORGLA07G0136300 transcript:ORGLA07G0136300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYQSNARFAPFKDAPFALRGALGSSNSSFNNIDHLRQSSSSGQARSYTSSPLGALRPKMSPSGNRLLHTSRPLTAPVANRPLSPHLPLKKPQLSATFSISHRIFGAALGAVIISIPLATKFSLMFDV >ORGLA07G0136200.1 pep chromosome:AGI1.1:7:15209491:15210310:-1 gene:ORGLA07G0136200 transcript:ORGLA07G0136200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:I1QB70] VQRLSLFAVGNLAFCLETRRTLMHSESLRDLLIRLTLSQEKRVSKAAARALAILGENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQILKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGVKQMTLDQCEEIYTKLGKLVFAEPAPKDEAATWKEKIDQLFKSSSQSFRVVVHGSKCRSI >ORGLA07G0136100.1 pep chromosome:AGI1.1:7:15203545:15204797:1 gene:ORGLA07G0136100 transcript:ORGLA07G0136100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT1G50900) TAIR;Acc:AT1G50900] MASIPCTFQLSARAPSAAERRRSPRAAARLGWLRPSRLSAVVPASESGRVGPTCFFKFGNKDAEGAGIYGSQGRDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLNQDIHPVDILLMLAASEGDKPKLEELLRAGAKYDVKDVDGRTALDRAADDTREFILGFAATLAA >ORGLA07G0136000.1 pep chromosome:AGI1.1:7:15199096:15199647:1 gene:ORGLA07G0136000 transcript:ORGLA07G0136000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAAAELANGEAAAAAACAVKVGTTGTIGSLMTRELEAIKAAPPHATAAATTPRRLRRQSSPVSVPCGASPRKIVALRKSSSSLSTTSSSGGSGRRTDRVSAEESSACKTAACRRSSSTTPASSPMLAADVDRSGGGGKAKKAAARGRRGVGGVEVVDVRCGNPMSSRLRRLGFSKLSETFA >ORGLA07G0135900.1 pep chromosome:AGI1.1:7:15176914:15182614:-1 gene:ORGLA07G0135900 transcript:ORGLA07G0135900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSKSAAKPHPRSPTTAQPPNNGSAVGAAAGGGGGGVGMGPAGATPSKNAAMAELKSRVLGALAKLSDRDTHHIAVEDLDRIIRSLPSPDAVPMLVHALASDSPGLASPARRESLRLLATLCAAHPDAAAPYLQKVMAHLARRLKDTNSDSSVRDACRDAAGQLSAVYLRPLAASAAAEAGNATVTLFVKPLFEAMGEQSKAVQGGAAACLAKTVEGAGPGPGVVGMFGKIGPRVCKLLGGQGVQAKGALLTIIGSLSQVGAISPQNMPQTLQSIRDCLENSDWATRKAAADTLCVLATYSGRLIGDGTAPTIAALEACRFDKVKPVRDSMADAVQLWKKMAGDDSNDGKNKESADNEGKMDSPNNNDKVKGSSMAEKAAVLLKKRPTLTDRELNPEFFQKLETRITDELAVEVVVPRKTLQSHLQSEEEPEDADGDPVGPANSNGSADDEANLTQLRSSSNFQNIRDRWAGQRGSRNKDAKVRASDVEDRNESSAKDSASAAMNVPGEGPSLNNKTNWLAIQRQLSHLDRQQTSLMNMLQDFMGGSHDSMVTLENRVRGLERVVEEMARDISLSSGRRGGGSMLGFDSSPGRSSMKYNGFHEYSNSKFGRDRDGRVGFAERYFSGDGMSSGVRNSEPWDSYAYSGSRSGMNARRGLDSVSSDNRVPRNERSNDQAGPRRGWDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGTSRAAARVAIRELDGETLNDDNQGDERGPIWESWTRAMDAIHVGDMDSAYAEVLSTGDAELLVKLMEQTGPVVDQLSNEVANEVLHAVGQFLVEESFYDIALSWLQQLTDLVMDNGSGYLGIPLDAKNDLLLGLHEATAIELPDDWEGATPVQIMKQLASSWRIDLQQLIS >ORGLA07G0135800.1 pep chromosome:AGI1.1:7:15174481:15176049:1 gene:ORGLA07G0135800 transcript:ORGLA07G0135800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVVVALLVAFLTPLAVYLAGRSARTTPPPRRNLPPGSLGLPLVGQSLSLLRAMRRNTAERWLQDRIDRYGPVSKLSLFGAPTVLLAGPAANKAVFLSEALAPKQPRSLAAIIGRRNMLELVGDDHRRVRGALAQFLRPEMLRRYVGRIDGEVRRHLAGRWAGRRTVAVLPLMKLLTLDVIATLLFGLARGAVRERLAAAFADMLEGLWAVPLDLPFTAFRRSLRASARARRLLAATVREKKANLEQGESSPSDDLISYLVSLRDGDGGGGRPLLTEEEIIDNSIVCLTAGHDTSAILLTFMVRHLADDPATLAAMVQEHEEIARSKRDGEALTWEDVARMKLTWRVAQETLRMVPPVFGSFRRALEDVELDGGYVIPKGWQVFWAPCVTHMDPAIYHDPDKFDPSRFDAQAAASAPPPYSFVAFGGGPRICPGMELARVETLVTMHYLVRHFRWRLCCGGEENTFVRDPLPSPANGLPVELDHIAPLRCYEFNS >ORGLA07G0135700.1 pep chromosome:AGI1.1:7:15168633:15170413:1 gene:ORGLA07G0135700 transcript:ORGLA07G0135700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTMPFALLLALLVPTLLHFVIRHKYSSYNLPPGSLGFPLIGQSISLLRALRSNTDYQWYQDRIKKYGPVFKMSLFGSPTVLMAGPAANHFVFSNQDLIFTQTKAINTILGRSILTLSGEELKRVRSALQGYLRLEMVTKYMHKMDEEVRMHIDLNWVGHKTVKAAPLAKRLTFDIICSVIFGQGIGPIREALATDFETLVQALLSLPVNIPFTKFNKGLRASRRIRKVLRKIAREREAALQQGHSSSADDFFTYMLVLRSEGTHSLTVEDIVDNAIVILTAGYGTTAVLITFLLRYLANDPDILGKITEEQEEIARRKGPNEPLTWNDVSRMKYTWKVALETLRTVPPIFGSFRTAVKDIEYHGYHIPKGWQVFTAQSITHLDGNFFSDPVKFDPTRFDNQTSLPPYCFVPFGGGPRMCPGNEFARTETLVTMHYLVRQFRWKLCCEEEGYRKDPVPIPVLGLPIELETRSPP >ORGLA07G0135600.1 pep chromosome:AGI1.1:7:15141770:15143339:1 gene:ORGLA07G0135600 transcript:ORGLA07G0135600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGSPTVLLAGPAANHFVFSNQDLIFTETKAINALVGRSILTLSGEELKQVRGALHGYLRPEMVTKYMRKMDEEVRRHIDLNWVGHKTVTVAPLVRRLAFDIICSVIFGQGVGPIREALAADFETMVKAMLSIPVNIPFTKFNKGLNASRRIRKVLRQIARDMEGALQQGYSSSADDFFTYMLVLRSKGTHSLTVEDIVDNAIVLLAAGYETSSVLITFLIRCLANEPDIFGKITDEQEEIARSKGPNEPLTWDDVSRMKYTWKVALEILRTISPIFGSFRTAIKDIEYRGYHIPKGWQVFHAQSITHLDGKFFNDPIKFDPTRFDNQSLIPPYCFVPFGGGPSMCPGNEFARTETLVAMHYLVRQFRWKLCCEEEGYRKDPLPTPVLGLPIELETRTPPEYGHA >ORGLA07G0135500.1 pep chromosome:AGI1.1:7:15135180:15137002:1 gene:ORGLA07G0135500 transcript:ORGLA07G0135500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMPFALLLALLIPILLHFVIRRKYSSYNLPPGSLGFPVIGQSISLLRALRSNTDYQWYQDRIKKYGPVSKMSVFGSPTVLLTGPAANRFVFSNQDLIITETKAANALIGQSILTLSGEELKQVRSALQGYLRTEMVTKCIRKMDEEVRRHIDLNWVGQKTVTVAPLAKRLTFDIICSVIFGQGAGPIREALAADFKKMVQAMLSIPVNIPFTKFNKGLSASRRVRKVLRQIARDREAALQQGHSSSADDFFTYMLVLRSEGTHSLTVEDIVDNAILLLLAGYETSSVLITFLLRYLANEPDILGKITEEQEEIARYKGPDEPLTWDDVSRMKYTWKVAMETLQTVPPIFGSLRTAIKDIEYQGYHIPKGWQVFTAIIITHLDANFFDDPNKFNPARFHNQSSVPPYCFVPFGGGPRMCPGNEFARTETLVAMHYLVRQFRWKLCCKDEGYRKDPVPMPLLELPIELETRSSPWICLK >ORGLA07G0135400.1 pep chromosome:AGI1.1:7:15131263:15133068:1 gene:ORGLA07G0135400 transcript:ORGLA07G0135400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSSMLLALLLALFIPILLHLVTRRKYASYNLPSGSLGFPLIGQTISLLRALRKNTDYQWYQDRIKKYGEELKQVRSAVQGYLRPEMVTKYIWKMDKEVRRHIDLHWVGQKTLTVAPLAKRLTFNITCSVFFGEEAGPIREALATDFEALVKATLSIPVNIPFTKFNKGLSASWRIRKLLSRIACHETTSVLIIFLLRYLANEPDILGNITEEQEEIARNKGPNEPLTWDDVSRMKYTWKVAMETLRTVPAIFGSFRTAFKDIEYQGYHIPKGWQIFTDQIVTHLDTNFFDEPRKFDPARFHNQSSIPPYCFVPFGGGPRMCPGNEFAKTGTLVAMHYLVRQFRWKLCCKEEGYRKDPTPMPLLGLPIDLETRSPPGYAHS >ORGLA07G0135300.1 pep chromosome:AGI1.1:7:15122055:15124476:1 gene:ORGLA07G0135300 transcript:ORGLA07G0135300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSILPALLIALFIPILHLVTRFKYSSYNLPPGSLGFPFVGQSISFLRALRSNTDHQWYQGRIGKYGPVSKMWLFGSPAVLMAGPAANRFIFSNKDLLFTGTRSMNLLSGRNILMLSGEELKQVRGALQNFLSPEMVIRYVSKMDEEVRRHVKGNWVGHKTVKVLPLAKRLTLDIICSVIFGQEAGSVREALATDFPAMVRAALSIPVKIPFTRFSRGLSASQRIRKLLRGIARERETLLQQQQAHGASAADDFFAYMLALRAEGAHSLTVEDIVDNAIFLLIAGYETTSVLITFMLWHLDKEPEALAKITEEQDEIARNKGPEDALTWDDVSRMKYTWKVAMETLRTIPPIFGSFRTATRDIEYQGYHIPKGWMVFTAQSVTHLDANIFPEPSNFDPARFENNSSIPPYCFVPFGGGPRMCPGNEFARTETLVTMHYLVTQFRWKLCCKEESYKKDPSPTPLLGLPVELEPRCLPENAHA >ORGLA07G0135200.1 pep chromosome:AGI1.1:7:15110121:15111163:1 gene:ORGLA07G0135200 transcript:ORGLA07G0135200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSWGREGDEGREGWDVGCLRGGGGREEEAEEGRGARWAARKGARKAGDEVVAFGHRPSRTATCMALLRVLLPLSTTSLSVAFAIDGM >ORGLA07G0135100.1 pep chromosome:AGI1.1:7:15094401:15094598:-1 gene:ORGLA07G0135100 transcript:ORGLA07G0135100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CILVDDPRQVFVLAVRDSYAYLATSPMFHDPQSPCWFLFLCLETMKLERLFRRTFDNDVQPYIMA >ORGLA07G0135000.1 pep chromosome:AGI1.1:7:15091628:15093190:1 gene:ORGLA07G0135000 transcript:ORGLA07G0135000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLVVALIAVASSCVFVHFLARGATKKRRSPAAKKLPPGSLGLPVIGQSLGLLRAMRSNSGERWVRRRIDRYGAVSKLSLFGKPTVLVAGAAANRFVFFSGALALQQPRSVQRILGDRSILDLVGADHRRVRGALSEFLRPEMLRMYVGKIDGEARRHVAGCWSGRAAVTVMPLMKRLTFDIIASLLFGLGPGAAARDALAGDFERVMGGMWAVPVDLPFTAFRRSLRAAARARRLLAGITRERKAALERGAATRSSDLIACLLSLTDDRGGAPLLSEEEIVDTAMVALVAGHDTSSILMTFMVRHLANDPDTLAAMVQEHEEIARSKRDGEALTWEDLTRMKLTWRVAQETLRIVPPIFGNFRRALEDIELDGYVIPKGWQVFWVASVTHMDAAIFHDPDKFLPSRFDSQSSSPATAKAAPPCSYVAFGGGPRICPGIEFARIETLVMMHHLVRKFRWKLCCKEDTFARDPMPTPLHGLPIEIEPRISP >ORGLA07G0134900.1 pep chromosome:AGI1.1:7:15083984:15085779:-1 gene:ORGLA07G0134900 transcript:ORGLA07G0134900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSSMPFALLLALFIPILLHLVTRHKYSSYNPPPGSLGFPLIGQSISLLRALRSNTDYQWYQDRIKKYGPVSKMSVFGSPTVLMAGPASNHFVFSNQDLIFTQTKAINVLIGHSIMTLSGDELKQVRSALQGYLSPEMVTKYVWKMDEEVRRHIDLNWVGHKTIKVAPLAKRLTFNIISSVMFGQGAAPFREALAIDFEKVVRAALSIPVNIPFTKFNKGLSASRRIRKLLRQIAHEREAAFQQGYCSSADDFFTYMLALHSEGKHSLTVEDIVDNAILLLIAGYETSSVLITFLIRQLANEPDILGKITDEQEEIARNKGPNKPLTWNDVSRMKYTWKVAMETLRTVPALLGSFRTATKDIEYRGYHIPKGSQIFTAQIVTHLDANFFDEPSKFDPSRFDNLSSIPPYCFVPFGGGPRMCPGNEFARTETSVAMHYLVRQFRWKLCCKEEGYRKDATPMPVLGLPIELETRSAP >ORGLA07G0134800.1 pep chromosome:AGI1.1:7:15077329:15077787:-1 gene:ORGLA07G0134800 transcript:ORGLA07G0134800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRSSPRSSRPIIHRAVDAKGRLVSKKPPGSGSPINKMSFNKGDEVRVRTPVGRLGTTALRLVMWLGAVVVSDADDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTPANMAAPRPNKAGKNLPRLKMFVLEKEQLRAKSEAFL >ORGLA07G0134700.1 pep chromosome:AGI1.1:7:15067217:15068409:-1 gene:ORGLA07G0134700 transcript:ORGLA07G0134700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRDCPSRILDQLITAKLIVDLNSDTDFNSNSDSAGYKSMRGRLRIHQQFLPPILAPPAALSSSMALPRRSSHISSRPIIHCRSELAVEAKGRVVSKKPSGSGSPINKMSSFKKGDEVRVRTSLGRLGTTALRLVMWLGAVVVSDADADDGHLEVIYNGNFPRDDPFQTVRVAVKDVKLPARRPAPTPANMAAPRPNKAGKSLPRLKMFMLEKELLRANPEALL >ORGLA07G0134600.1 pep chromosome:AGI1.1:7:15064644:15065144:-1 gene:ORGLA07G0134600 transcript:ORGLA07G0134600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRSSPRSSRPIIHRAVDAKGRVVSKKPSAGSPVKKMSSAPSTATPVSFKKGDEVRVRTPVGRLGTTALRLVMWLGAVVVSDSDADDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTPANMAAPRPTTAGKNLPRLKMFVLEKEQLRAKSEALFAS >ORGLA07G0134500.1 pep chromosome:AGI1.1:7:15061933:15063764:1 gene:ORGLA07G0134500 transcript:ORGLA07G0134500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPLHFEFKGESLVPQTAIVPSLLGCICLAHNLFGLHIIEKKCNCVNEVPMKTKSTFFHSINLGSVEGTMLESFSELLKAVDKQSVCDFRNGGCGHRITRYLWYPPHFFMIVLRWPDNKGNHINMHKVLISLAAELDISHIYKGLQSESMYTLVSAVCYDDEGRQYLCFGRDEARWLIHDSTTVQQAESWKGLIDRYSQANLRPEILFFEHGRKRDHRLLL >ORGLA07G0134400.1 pep chromosome:AGI1.1:7:15059207:15061276:1 gene:ORGLA07G0134400 transcript:ORGLA07G0134400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPPRGGAHRPGVALHLREQPLLLRRSSRQPLRSRVQESPRPRDDPRAACEDREGQGASRGFTGASTPEWPPETVDLGIAGDRWSRMSEEERQALLKVSFGEMKSYCRSRGLMDMTSMLSDAEVFVKKGWSCPFCSGMIYVEFAAFKSHIDEEHIVGKEFLSLVPERISDSERELLRSWRWEPTDGDDLAGRTKILREVKEIVFELIDLEVVSLNLLYIMHKFIMNRVRPVAPLVVSMCGSCGIGQLSSTHLQELCELLKLLKLVVQTQRGWEHQKHHNDEQESQQDSFVVHTHRGCNHHKRRNGEQESQQDSLVGITWSQETGTLSFDCEKIASRETDGSSQADRLFACLLSEPLLEDPMELCFSMWRECFVDGPDILNNISRALGKVKLKFSSWEELKGIQGGVYFLPKAIFERDIDIKTYFDSWIGSAQVEMLLIDAEVDYWKERLLKTCQVDCLAVISPIAKACLWAKLVNDPLEDALLAHPQNCHKPQVPLDAILRSLWHIRRFCGDLWEIPCISPDVKARVYRAILLRIFRSWDQCKTCDLPSSAIFMVDSLRSFVIDEKVCVRFLFPTFLNAACDLRENTTEQLSYQMLTVSM >ORGLA07G0134300.1 pep chromosome:AGI1.1:7:15055478:15057577:1 gene:ORGLA07G0134300 transcript:ORGLA07G0134300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VERFDLAARECERALALALADDTAAAAAEEELLHLQLEPLPTKEARMLAKERLRFLLLQASSKAVAMAARDRWRAAMADDDDERRRGFLTVSVEDLKAHYAGEPLSDAQMSALACAVDLAKDTGDWICWMCPDPQCMMVFLTAECFRSHVADEFFPDLQRSPPLVPERISEEQEAELIGSCAVKLAPSDDDDDESERFLSKIKSTLQRIKDKKALSVDLLDNLVEFTNRWTIEEETAAADPPQNPICSIAKLHPVALHVLALTLDTIMPGFFERSTMPGLSAGDDDAKLQDSYDHFDYVSVVREDFVPSIVVEEDALRIIIDGSYSNQDALFRWLSRPRRQDPVTSWNNMRQACLDNGARVLEKLIASAAALVEKIELKHGLIEMNTHESYFTKKAKLDIEILQLDAEVDDLKKKLVEVCTCDYRKVSLPAMKDYLWDKLRDDPPEKVYIMFRGWPEYRHTXSIYTVLPRXXKRCQGQSKGWRTGDSTAPHDIXXCCRRASRARSFELPPSA >ORGLA07G0134200.1 pep chromosome:AGI1.1:7:15051833:15052351:-1 gene:ORGLA07G0134200 transcript:ORGLA07G0134200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRSSPHSSRPIIHRRSELAVEAKGRVVSKKPSGSGSPIHKMSSAPAPAVSVSSFKKGDEVRVRTPVGKLGTTTLRLVMWLGAVVVSDADADDGHLEVIYNGNFPRDDPFRAVRVAVKDVKLQGPRPAPTPANMAAPRPTTAGKSLPRLKMQMLEKEQLRANSEAFLAL >ORGLA07G0134100.1 pep chromosome:AGI1.1:7:15049378:15049851:-1 gene:ORGLA07G0134100 transcript:ORGLA07G0134100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRSSPRSSRPIIHRAVEAKGRVVSKKPSGSGSPINKMSPFKKGDEVRVRTPVGRLGTPALRLVMWLGAVVVSDADEDGHLEVIYNGNFPRNDPFRTVRVAVKDVKLPVPRPAPTLGNIAAPRPTTAGKSLRRLKMQMLEKKQLRANSEVLLAL >ORGLA07G0134000.1 pep chromosome:AGI1.1:7:15039579:15045742:-1 gene:ORGLA07G0134000 transcript:ORGLA07G0134000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein / kelch repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT4G04670) TAIR;Acc:AT4G04670] GVRSPEGGGAGRARVAGAGQVAEGWRRRAHRAAPRRPQLPPGPLHHLLLLRPRLRPRAAAAAAAAAGRAGRGQDQEEGAGRRVGVHLPRPRGPGGPGGGAVRREGRGGGGDDELVFRFEPMIVAVECRDAAAAAALVAAAVGAGFRESGITSLQKRVMVALRCSIRMEVPLGQTKELVVSPDYIRYLVRIANGKMEANKKRMDGFLDLLHAKSSLEASYLESQDPVLQNGAKHGFGNAKRHVLISLSFYPALFPPHGVILTQEEALPTLSGNTSHCLSTAALEITGEPIEKLFLWGQSACALTVGREHHILTFGGFGGPGRHARRNYSLLVNPGSGLLTELKVTESPSPRMGHTITVVSNDIYVVGGRGGPSEILNDIWVLERANNRWSKVDCSGDFFRPRHRHAAAAVDRKVYVFGGLSDDGLCSCMNIMDTSSIQWNVISPDDKWPCARHSHSLVSYGSKLFLFGGHDGQRALNDFYSFDTTTLKWNKENTNGKAPSPRFSHCMFIYKDYLGILGGCPIRESSEEIALLNLKHKIWFYVSIPSLSQCLCVRSSSVIIDDDLVIVGGGASCYAFGTRFSQPIKIDLHLLESIFKLAYNKEKEMSVQHGSVSNVDLEGHEENCNPSDNVKVVIDSATLGSAPLVLQLEKKYAKLAKDILKKFGWLDLTRKVRVSQDNIHVLFPVSKTFHALITDKHLKVQPDDSCVFEELLPFSENKLVGASISLQKALEILLLCRGSILKDELAISRKASKTPQTIMRELVSALLDKKGLPGQLLEQLPTRWETLGDIIVLPKTCFKDPLWESVRDDLWPLVAKSLGAQRLARQGKITPNGTRDSTLELLVGTDGWLTHHENGICYSLDATKCMFSSGNRSEKLRMGKLDCRDEVVVDLFAGIGYFVLPFLVKANAKLVYACEWNPHALEALQRNVMDNHVADRCIILEGDNRLTAPKGIADRVCLGLLPSSECSWDTAVRALRAEGGMLHIHGNVNDSDETLWLDNVVKSITNIAKTHGLSWNVTVEHVERVKWYGPHIRHLVVDVKCRAT >ORGLA07G0133900.1 pep chromosome:AGI1.1:7:15035154:15038904:1 gene:ORGLA07G0133900 transcript:ORGLA07G0133900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSRATSPDSGRGGANGYGYSHQTKPAQTTPSYNHPQPPPPAEVRYTPSAMNPPVVPPVVAPPKPTPDTILGKPYDDVRSVYSLGKELGRGQFGVTYLCTEIASGKQYACKSISKRKLVSKADKEDIRREIQIMQHLSGQQNIVEFRGAYEDKSNVHVVMELCAGGELFDRIIAKGHYSERAAATICRAVVNVVNICHFMGVMHRDLKPENFLLATKEENAMLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILQGEIDFESQPWPSISESAKDLVRKMLTQDPKKRITSAQVLQHPWLRDGEASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFTNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDNSGFITRDELESALIEHEMGDTSTIKDIISEVDTDNDGRINYEEFCAMMRGGGMQQPMRLK >ORGLA07G0133800.1 pep chromosome:AGI1.1:7:15020163:15020890:1 gene:ORGLA07G0133800 transcript:ORGLA07G0133800.1 gene_biotype:protein_coding transcript_biotype:protein_coding YNFDIDHVEXRXREFHWWTWDKVAGKHGNKGIILKILPRQDMPYLQDGTPVDMVFNPLGVPSRMNVGQIFESSLGLAGDLLKKHYRIAPFDERYEQEASRKLVFSELYEASKQTKNPWVFEPEYTGKSRIFDGRTGDPFEQPVLIGKSYILKLIHQVDEKIHGRS >ORGLA07G0133700.1 pep chromosome:AGI1.1:7:15012458:15017230:-1 gene:ORGLA07G0133700 transcript:ORGLA07G0133700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERNISIAKTIANDIIIPDPAPKWMCYTFLDKYDQLEPIFIKDSARCFLRLFKNWKGYTMSWNLTITAQTLTCMVSFNSLRCAKVVLEGRAPELQGMHANPNCVTKYGYFPLHEAAERFSIEMIKLLLRHGASANVRTVGDDVIDGLLLLHIAIENTCLHKYLEDNLSPGQDHLDYIYKLFHLLCLPEMKIFLDTTRLLAGKTNNLLEELWNYIENGKLIQTAILLLAAQEQIRGGCSSKINGSRKKNGYDIMYKRILRLSFALRWGKVSNGMTQKLMKEKRALIDCMGLLVDVISHAGEPLSAYIQAHSEAPRAEVLEHVSTILKEYGFCPTEEVMDTINLQPYNCKMSETKSCSEGLTDANTAATEMANLHAADKKAGRKEVGRGWDPTYTRRNFFPYWRSILRTRCPVKVYPTYARADARSGRDLEQIRAFESNSSMAKNRILGSVGRISSLLASNHQSKRSFSTVATGAFRLLKLLK >ORGLA07G0133600.1 pep chromosome:AGI1.1:7:14990070:14997865:-1 gene:ORGLA07G0133600 transcript:ORGLA07G0133600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAPRRRSPSPPSASAGPLGEHPGPFLSPSLPRRRLRACAAASAAAVVFVSSAAASRRRLPSPATSIQVSVNQEKCVESIKNGFVLCFSRLIACFVCVLCLSRRFRPFRRFAVSQRRCCGSISARRLRRFPSISREVGAVVLLLPPPESINPKGWSXDLRHPIMLRGKRRQLHATKIMAMIKMDRLQXEMSIHGTLPLVLNLLREXIXKIDEFMVERNISIAKSTANDIIIPDPAPEWMCYAFLDKYDQLEPIFIKDNARCFLRLFKKWEGYAMSWDLTITAQTLTCMVSFNALRCAKVVLEGRAPELLGMHANPNCVTKYGYFPLHEDAERFSVEMIKLLLRHGASANVRTVGKDVIEDLLPLHIAIENTCLHKYLEDNLSPSQNHLDYIYKLIHLLCLPEMKIFLDTIRLLAGKTNNLLEELWYYIEDGKLIQSAILLLAAQEQIRGGSSSKISSKKDGFGIISKRILRLSFALRWEKGSNGMAQKLLEEKRTLIDCTGLLVDLWCL >ORGLA07G0133500.1 pep chromosome:AGI1.1:7:14973682:14976231:-1 gene:ORGLA07G0133500 transcript:ORGLA07G0133500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIYRCSRQKVAWSATGCTLLISLLFFLSDSPHRILLNKKPLNFKTAPSRLLHEISISSRRVQRVNNSSAEFVANLNDRNVEIVQHMEDSAHNIINATANVTSDWSIVKEEFTFPAGSAPFNSCHASTIVETEKDSFLVAYFGGSREGAPDVKIWLQRYSDGCWRTPQVADEQDEVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGKTWSAREQLPPGILGPIKNKPFLVEDGRLLCGSSVESWNSWGAWLEVTKDAGRTWRKYGPIYIEGETLGVIQPVPYVTANGTIRVLLRSFETIGRVCMADSADGGVTWSYVHETDLPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKVAVSMDDGDSWNEVMTLEDTEGMEFSYPAVIQTMDDFIHITYTYNRTQIKHVVLQPSGIVRW >ORGLA07G0133400.1 pep chromosome:AGI1.1:7:14970249:14971937:-1 gene:ORGLA07G0133400 transcript:ORGLA07G0133400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKWSVLKEEFTFPEGSVPFKTCHASTIVEVQKNMFLVAYFGGTQEGADDVKIWLQRYYNGSWHSPEAVDEVPNVPLWNPVLFQLPSGEILLFYKVGKTVESWSGCMKYSSDGGVIWSKREQLPPGILGIIKNKPFLLKDGHLLCGTSVESWNSWGAWLEVTKDHGKTWKKYGPIYVRGKTMGVIQPVLYQTSSGTIRMLLRPSDEVGRICVAESKDSGVNWSYAQPTELPNPNSGIDGVKLKDGRVVLVYNSTSRGVLKVAVSQDDGDKWEDVLTLEETHGVEFSYPAVIQTSDGLVHVTYTYKRTQIKVVLPMLFGLLMVIASFIIFHVKNEFLLVTAFCSMSFYNQARFDAVSNQTWIRLRSEVCSV >ORGLA07G0133300.1 pep chromosome:AGI1.1:7:14964615:14967067:-1 gene:ORGLA07G0133300 transcript:ORGLA07G0133300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endoribonuclease L-PSP family protein [Source:Projected from Arabidopsis thaliana (AT3G20390) TAIR;Acc:AT3G20390] MAWSAAATVTRAAAPAAELRLPLAAGLGRASFAVTGRLRRAVSASLSTAAAAVKKEAVQTEKAPAALGPYSQAIKANNMVFVSGVLGLNPETGKFVSESVEDQTEQVMKNMGEILKASGASYSSVVKTTIMLADLQDFKKVNEIYAKYFPAPAPARSTYQVAALPLNARIEIECIAAL >ORGLA07G0133200.1 pep chromosome:AGI1.1:7:14953585:14954175:1 gene:ORGLA07G0133200 transcript:ORGLA07G0133200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKQQPQGPFAGGAAAGERSFLGFQYHHHHRGGSVAPAYGDDDDLPDLAEADVWYAPSSEGGADHRGGGGGGGGGGGLEIGGGGWGGGKHKVGGLSRAFADGRQVAASAPVQVPAWPGRYADPDQAAFAEEEKRREEEDDAGDGDGDGWVPPHVYLARRQARSSVVEGVGRTLKGRDASRVRDAVWSRTGFDG >ORGLA07G0133100.1 pep chromosome:AGI1.1:7:14941780:14942124:-1 gene:ORGLA07G0133100 transcript:ORGLA07G0133100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLERSLGGGGGGAHCDAGKKKRAVLVEEELAAAAVEEEEEEMRKQGGEKVTEVKIRITRKQLEELLRRLEEGSDGGGGGGAVVSELLCMTSSCNFRHRPEQWRPSLHVIPE >ORGLA07G0133000.1 pep chromosome:AGI1.1:7:14931043:14934046:-1 gene:ORGLA07G0133000 transcript:ORGLA07G0133000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1QB38] MGHAVDGRLEALLSGGGGGEAAAAAWARRMAAAAALELRLLAPLAAPAVVVYMLIIVMSSATQIFCGQLGNVQLAASSLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAGRHEMLGVYLQRSAVLLTAAGVPLAALYACSERVLLLLGQSPEISRAAAGFARGLIPQIFAYAANFPIQKFLQAQSIVAPSAAVLAASFALHLPLSWAAVRVLGLGLPGAALALSATWWVLVAGQFAYIVRSPRCAATWTGFTWAAFHDLAAFARLSAVSAVMLALEVWYFQVLILLAGMLPDPQIALDALTVCTSIQSWVFMISVGFNAAASVRVGNELGAGNPRSAAFSTWMVTALSAIIAAIAGVVVILLRDKLSYIFTQGKAVSRAVSDLCPLLVGTIVLCGIQPVLSGVAVGCGWQALVAYINIGCYYLIGLPLGVLLGFKFDYGIKGLWGGMIGGTLIQTLILIWITFRTDWNKEVPLSVEDARRRLDKWDDTKQPLLVNRQ >ORGLA07G0132900.1 pep chromosome:AGI1.1:7:14927877:14928203:-1 gene:ORGLA07G0132900 transcript:ORGLA07G0132900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLLRPSAAAAAPFAYAKVDKVDAEEARHLQAQYLIHKVLEGSSAARGRGRGRRPAARQVGVRLRRLRLAARSVRLRLCRGLQRHLRSLRRLVRGSSALHDSSSCS >ORGLA07G0132800.1 pep chromosome:AGI1.1:7:14921151:14925170:-1 gene:ORGLA07G0132800 transcript:ORGLA07G0132800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPPPTMNGGHHAAPPPPQVSGAPPPPHGHYQQQPPPQLYYQQQQPLPPHYYQAGPPHAPPPQQPPAMWGQPPPPPPQYAPPPPQQFQLPHQQYAPPPQHYAPPPPQQQYGAQMAGGPAPGGDEIRSLWIGDLQYWMDESYLSNAFAPMGQQVTSVKVIRNKQSGHSEGYGFIEFQSHAAAEYALANFNGRMMLNVDQLFKLNWASSGAGERRAADDGPEHTIFVGDLASDVTDSMLEEAFKTSYPSVRGAKVVFDKVTGRSKGYGFVRFGDENEQTRAMTEMNGATLSTRQMRLGPAANKKNMGTQQTYSTNGYQSSQGNSLENDPNNTTIFVGGLDSNVNEDHLKQVFTPYGEIGYVKIPLGKRCGFVQFTSRSSAEEAIRVLNGSQIGGQQVRLSWGRTPQNKQAPQQDANQWNGNYYGYQQGYDSSYYGAPNAQDPSAQNYYGYSGYGNYEQQQEPPQQQQQPLQQPPQQPQDNNFSTSFITR >ORGLA07G0132700.1 pep chromosome:AGI1.1:7:14913582:14919137:1 gene:ORGLA07G0132700 transcript:ORGLA07G0132700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G54910) TAIR;Acc:AT5G54910] MRRPRSRGAAKQTRLREADEIRLLEAWIDAGKPARGTRPPPLSKSSSSPADAAAAKRGAKGAGGVPSKAAGEHPEYGACARFDELPLSKKTKDGLRKAGYTKMSEIQRAALPHALCGRDVLGAAKTGSGKTLAFVIPALEKLYRERWGPEDGVGCIVLSPNKDLAGQIFNVFQKVGKLHGFSAACIVGNRKGLDEEKAVINNMNILVCTPGRLLQHMGETTNFDCSQIQILVIDEADQVLDKNFQEQVDNVVSQLPKVRQTLLFSATQTKSVKDLARVSLKDPEYISVHEEATTATPDTLEQYAMIVPLEQKLNMLWSFIKRHLKSRILVFLSSVKQVKFVYEVFKKLRPGISLRCMHGRMKYEVQQAIVAEFKEGHSVLFSTDIFARGLDIEDVDWVVQVDCPENIALYIHRVGRTARYNKRGKALIFLCPEEEKMLEKLKAAESKIPIHIKKPNTEQLQQISQNIASVLVQYPNLQQLGKRAFVTYLKSVYLQSDKEVFDLSRFSMENFAAYAASLGLPVTPKIRFVSHKKNVPKKYMGDIDVKRMKRSSKPEVIEINPQAKSNLIEDDGDYDILYPKEQQTDVNMADGLDDVLYPKVSTADTNNEPEKVTQLGNKSVKKKKLKINVHRPLGTRVKFDDEGHTIPPLASIAEEVGSGDVIDKDKISQRYAEILREMQEHDKEDKLEHKRILREKKLQKKLKLKRKRNEEMDAGSENSGSESDRDQRTASKGKKRYFNSDDEEGSKDAAKDGDVLAQQEALALKLLSKMHS >ORGLA07G0132600.1 pep chromosome:AGI1.1:7:14909342:14909782:-1 gene:ORGLA07G0132600 transcript:ORGLA07G0132600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21870) TAIR;Acc:AT4G21870] MSAGRRPPPRTSTPPASPVINQKHHLIESFGALISLIDTKRGMVGLGVRKEEIRVEVEDAMYLVIRTELDDGGDGDGGGGGGRRSFARKFRLPAMVDADGISAEYTHGVLRVTVPRLHTRARPVVNLAAGGGGGGGPACDPVARAA >ORGLA07G0132500.1 pep chromosome:AGI1.1:7:14901848:14906073:-1 gene:ORGLA07G0132500 transcript:ORGLA07G0132500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Non-SMC condensin II complex, subunit H2-like (InterPro:IPR009378); Has 249 Blast hits to 211 proteins in 82 species: Archae - 0; Bacteria - 0; Metazoa - 145; Fungi - 8; Plants - 30; Viruses - 0; Other Eukaryotes - 66 (so /.../NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G16730) TAIR;Acc:AT3G16730] MEGGGGGGGGGGGEGSTSGARFPILQANRDPESNWEVDVAKSLEEYLLRICSGEISGEDGAHSVNFAEAALLLQGSVQVYSRKVEYLYTLVLNALEFLSQKKQDQENSSAQANESDPSTVPNEEDDVFSGLDDVPVEARTTLDNNIDRDDLLKKIVRPPANLLVFEGDCLDSEASELELYLLATCGFFGDFLLLDPCDAPAVSDFLQGKQSAKEDIFAGRGSSARSKSRTNVFCSPNGRSGGTGRRPTPGKVQEGNPDQTQESNPDQSQEMNANQTQEHIDDLNVNDDHWSVHPADHDFPDNDMPHPDDADAGCVDDSDDDDDPWRPLNPHEPGNLKIRTCRKVKSFARQVIGAPKRNIIASLFPMEKMDGASFKVHLSQQETHHVPEPPPLYEKLMRSLEHGEPESHLFGDLKDGHEPDIGVNDFDIHEPDMPDDVCDMDVDMDIPTYPDKNNDATLDGAQGTQDSMDAHESLEDLCRSHLDALLASIAEAEQQTELDARVSTWKERIEHALEEQDRNPPFDIGSYGEQIIDTLSSRTENAGIASFSEIVSGKPKYEVARTFSALLQLVNGRSVDLDKGQTTNGLVCHTASNPFHVRLIGPNQRPEIEARFARKRVNSPSRNKGSGEPSPAQQKSPKKHGHKNGKVPVKTSIKLTPDGKRRRRSTQMLRPINLESS >ORGLA07G0132400.1 pep chromosome:AGI1.1:7:14893665:14900662:1 gene:ORGLA07G0132400 transcript:ORGLA07G0132400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding;calmodulin binding [Source:Projected from Arabidopsis thaliana (AT4G21820) TAIR;Acc:AT4G21820] MSRREPAVSSPFRDLSNLRTPNPRAPPNPKSSASKEEPLPSATPTTRRRRGPPPPPRPGAATATPLARRLRALELDQSRSARRAESGRDGALRAFASSATSWLSLLLRDPSACGCAPSAAVARVTRDAPAHGVQGKRDAVDGERARGRSPKRHRGGEDRGGPGPRRKTMTPAMAASLRDSLREVCSLDDVTERMGSHMSREACEEVLVMMCQICKNIDNGRLKMKEHCPLVSDLRLRDKAIRIFMCYNPKWLRIGLHIVLGGDSWIQNESQKKDKEVAFLKFVLEKQLFVHMNTQPSALNKAPEGHRRACYAEAASNSILKRLFLLVAALDRAKIESGLPSESGIDGLDGGSPLLFCRQTEIKSSRQIVQESLGEVMHGEGDLLMHLNIMGYKLNYQQLALSEYDFTVGNLFEDLQDGIILCRIIQLLTSDASIILKVIAPSDTYKKRLHNCTMAIQYIKQAGFPLSDADGLSISAEDIANGDKELILALLWNMFIYMQLPVLVNETSVAQEISRLKAPVSEQSISEMKSQTGLLYDWIQVVCAKYGISVESSSQIDRRALNYFISYYLNINIPNFPLKETLSDCRKELFSCHKTDMIADITTYQFNNIGKVLAQFLQDLPGWDILANDVLFDGKSAIILLAFLSSHLTNVRRLEQLKNLIDSKLDHQSLVTEVSPRRRSRGTNDMKCHFPQTEETDGSRSTREWAATVIQTQARRLNAMSKYCKLKNATQPCNKGHDPASSSPLKSIADSSCIDSATKLVCEDDVDCSSNSCQVLFYHDPVSTKVDFLFCRKAMAARKIQFAYRRFAHRIRSRISAAIKIQSHWRCFSVRIHFKRQIQNITTIQAVARLLVTGICPKVTKMVEKGPFKSVYQNISNLSSVLCSWLVDSKTSKANSMRRLSHSMYLQRWWRQVLFLESRKRSVIVIQAHVRGWIARQTAARNKKRITIIQSYVKAYLLRKRSKQEITDDIMCLINRLIAAVSQRSISTIRQICATLSTATEHSEKCCQTIVNAGAVEILLKQINLLNRGVPDQEVLKQVLFTLRNIARFRNLQPVLANTPQAVEIVFQELLRSKTEGFFVACDILKRLCESEEGHKIARALKRHIRRLGCLVQELEKKVDLDKRNGRTGVAKDNNLRRLGEAVTLHHLLTNDHC >ORGLA07G0132300.1 pep chromosome:AGI1.1:7:14887418:14888362:-1 gene:ORGLA07G0132300 transcript:ORGLA07G0132300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARELLPDELRAAARLGWGSRERRTLVVRSHAGGGGGGGGGGGGEYEDSNLLFDAARTYLASRLDPRDVRCLGLTVSKAPGGRRRRPRRVEGAPVHRARRLHHRRVRRRRVHVAVRSSRRRHGRRGEEGQGRRPRVPAGAQLRRRRRAHGHGHGQVRAVRDGDGEGDAAEFHSKHGSAPSINHDHFLDRCKVTLSGLLNLIDGLWSATSDERVIVFTTNYKERLLQPGRMDMHVYMRYCGWEAFKTLAHNYFLVDDHPLFPEIRQLLAGVEATPAEVSEMLLRCEDAGVALRGLAELLKEKKKQEARRDGQQQQ >ORGLA07G0132200.1 pep chromosome:AGI1.1:7:14881952:14883491:-1 gene:ORGLA07G0132200 transcript:ORGLA07G0132200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPSPSLAKAVETYRKAVATAATVTAYAVLARGMARELVPHDLRAALSWAASLVRARVEPRPAERRTAIIRSIEGNGHGHAQCIESRFFVDAHAYLATKIDPRSMSRFFLGGGGGGRRGRNVLSMVPGDSMTDVFEGVEFKWTSVPAEGRFADTEVSLELSFDAAHTDMALRRYVPFITEEVEQARRRDRELMIFMNEGSSWRGIAHHHPATFDTLAMDPELKQSIVADLDRFLKRKEYYRRIGKAWKRGYLLHGPPGTGKSSLVAAMANHLRFNLYDLDLSEVHSNSALQRLLIGMTNRCILIVEDIDCCFSARSREDGKERKKPTLTNNDGGGGDDDDDEGDDFSEKRLTLSGLLNFIDGLWSTSGEERVIVFTTNYKDRLDAALLRPGRMDMHVYMGYCGWDAFKTLAHNYFLVDVHPLFPEIRALLAGVDATPAEVSEMLLRSEDADAALSGLVEFLEEKKEKKKKQAMCEAGK >ORGLA07G0132100.1 pep chromosome:AGI1.1:7:14875057:14877936:-1 gene:ORGLA07G0132100 transcript:ORGLA07G0132100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLADGRRPTKRPKAESGEEGPASIRTTTTIHSLGDDLLLAVFLRLPSLAALVRAALACRAWRRTVASSPAFRARFRATHGPPFLGLFFAPSAPAQAPNVPAFPSFVPSRPRDRDMAAAVRGGDFFLTSLQDRPHDEQQCWDVMEICGGHCLLMNWDDGLFAVLNPLTRRTEFVVDLSSAEFSDGACGQHHTVELTPRLICSDGHPKSFRLVVLAIDDSRVRASICSSDNTGEWEWSSLPWVDIPEPVRSDDTGCWLLNEGTMQANGSLYWVXDRRYLLSLDAATMAFSAVQLPQCLRHCSSLDVGETKDGATCIVYAHQLNVGVLMHTKGDDGAAERWVTDRVVPLGKEVERVLRAPLRDGSVLMHLVDNPRQVFVLAVRDGYAYLATSHMFHDPQSPCWFLSLCLETMKLERLFRRTFDNLVQPYIMAWXEILFSILMGRPCSGVGMGSLAKVSSLQMEFILSGQQLPQTDEDRLYAARQEGQRKDVEQAFVEDEKEMAEIPLDLNENPGASIVLPLE >ORGLA07G0132000.1 pep chromosome:AGI1.1:7:14867146:14867610:1 gene:ORGLA07G0132000 transcript:ORGLA07G0132000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRSSPRSSRPIIHRAVDAKGKKPSGRSPVNEMSFKKGDEVRVRTPLGRLGTTALRLVMWLGAVVVSDADAADDNGHLEVIYNGNFPRDDPFRAVRVAVKDVKLQGPRPAPTPANMAAPRPTTAGKSLPRLKMQMLEKEQLRANSEAFLAL >ORGLA07G0131900.1 pep chromosome:AGI1.1:7:14863245:14863358:-1 gene:ORGLA07G0131900 transcript:ORGLA07G0131900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERVTRLDRLLVMAAGTAAALAGCYAKGSGVRDGGA >ORGLA07G0131800.1 pep chromosome:AGI1.1:7:14860431:14860919:1 gene:ORGLA07G0131800 transcript:ORGLA07G0131800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRCSSPRSSRPIIHRRSELTVEAQGRVVSKKPSGSGSPINKMSSFKKGDEVRVRTPVGRLGTTALRLVMWLGAVVVSDADADDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRLAPTPANMAAPRPTTAGKKLPRLKMFMLEKEQLRANSEAFLAL >ORGLA07G0131700.1 pep chromosome:AGI1.1:7:14855223:14858178:1 gene:ORGLA07G0131700 transcript:ORGLA07G0131700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRRSGPNVGYTAHGDAFLLRVLLPERHFFSFVYEQKLREGRTGRFSRRAAPGRRRRDASHAELRLVSSMLSQGYDIMKDDIGTSYGDLPDHKYFISVREFTTSAMEYIDSTRCSLLGEYKKLNVWIVKERIKCCVRSMAVELFNQHEEGNYSMDQIPEDWRSLMSLMLHNPHEHGYLICNYAPLIPIENRILFYFRAYEHMRFVLAYTNDAAYRDILKMLPYQNRWFQITEGNYLLEASLKHKNYGVDDNPEKAHDPETFFKYYRHSNCHRLDRCFMIEEVGGYSAEQFELIFIVKYPLFLPLLQQELQRYNQLRCLKPHTLFFYGNIQDAEQSCAMIYHDQLDNPQATVGELMCTLEELYQGTDLTVALHRRITRHTDEPVENEEIILQVKVLPGSRKGTKITLPYEGSHFYGQPPHDLILTLDSAPHETYILYGNDLVVHWVLRLVDALAKCTINLKTLDGRYLKIKVDEVVYPGYELVINDESPIGEGLKGNLRIIFDVSFPKTLSGRQQHSIRQVLDR >ORGLA07G0131600.1 pep chromosome:AGI1.1:7:14853788:14854192:-1 gene:ORGLA07G0131600 transcript:ORGLA07G0131600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSQIPFPKFQHPPKQINSARNQVIVDRFLVSILQKLGKGDTIHTIIKFLSNKLSGHEPTCKRIVAVGMGSSKSESVVAVDKVESTLCNLDRFKANTQHEVGPTTPDCNYSSPGCFNANVKQVAMATRWRTR >ORGLA07G0131500.1 pep chromosome:AGI1.1:7:14850209:14851387:-1 gene:ORGLA07G0131500 transcript:ORGLA07G0131500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLTTSMAGKERSHVHLCLTDYRDGTYTYTVHHIDVAPFFLRSDDPDVPDPGAMEEAVLPPPATRLATRPETNGLEFHHLLRAADGGDMIVATDDQRRTLIYDVAARAVGPGHMLLSDKRVPVSAAVADRLYVLDTSHAARRATCFEALVYDGVEDPLRADWYWRRLPGPPYADDGIGRPLPGSRVTALAVVGAGIWATTAPAEDGVASVRPCSRLDPRRGVWTTPKGAGAGPGTYSFDTERQAWRREGDWELPFAGKAELVPSCNLWFGFSRADGSSSLCAADLAAAPHRACGVWEDFRPPKEWFSCGRHLVSLGSGKLCVVRFFATDPLDKWRRRDPVAIITAMEVRTMPACDGDDDGGRGGERRIKVVKHMSRCIKLPNYNKGRNWVL >ORGLA07G0131400.1 pep chromosome:AGI1.1:7:14842873:14845137:1 gene:ORGLA07G0131400 transcript:ORGLA07G0131400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05670) TAIR;Acc:AT1G05670] MLLRRAVAAVAQRSSRLSHSRPLLRRAGPACSSLTTTTTSQHRHGRRRAPPAESNALTTTAAPRPFPDYSPPRPDSPADDDLARRLAAAVLSSPNPGSLPPLPFLPLLRPLHLLLALPLLASHPHLPTILLPLLLLFPSGPRPHPHLLQSFAVAAHLAAVRDPGAARAILVRALRFPSPHRHFVEQFISTYKAFSSDPVSFDLLLLCLPSAPLLLRLRQYGISPSPESCNAVLCRLPLDEAVQLFQELPEKNTCSYNILLKALCTAGRIKDAHQLFDEMASPPDVVTYGIMVHGYCTLSELETAIKLLSEMAARGLELNPVAYTSVIALLCDEGQVSDAVRVVEDMVMHGVVLDAAVFTTVMSGFCRKGDLAAARNWFDEMQKRGLAADGVTYTALINGLCRAGKLKEAERVLQEMEDKGLDVDAVTYTVLIDGYCKVGKMTEAFLVHNKMVQKRVTPNVVTYTALSDGLCKQGDVCAANELLHEMCSKGLELNIFTYNSLINGLCKAGNLEQAMRTMIDMDEAGLKPDVYTYTTIIGALCQSKELDRAHSLLQEMLDKGIKPTIVTYNVLMNGFCMSGRVEGGKRLLEWMLEKNIHPNTTTYNSLMKQYCIEKNMKSTTEIYKGMLSQEVVPNENTYNILIKGHCKARNMKEALYFHSEMIEKGFRLTASSYNALIRLLNKKKKFTEARRLFEKMRKERLTAEPDVYNFYIDLSFNEDNLESTLALCDELVEVTLVKSIADTDDDFAEEHICK >ORGLA07G0131300.1 pep chromosome:AGI1.1:7:14832480:14842480:-1 gene:ORGLA07G0131300 transcript:ORGLA07G0131300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H fold protein with HRDC domain [Source:Projected from Arabidopsis thaliana (AT2G32415) TAIR;Acc:AT2G32415] MPTANLRSRAAAAAAAAACLAALAVAALLHRRRRRGRARAPASPGLLGGRRGRRPRRACEEEEKPQARFRRVVADNSYSAFKHLRRQGAGPVGSGHHGSEAQPTSQESSQKVHPFEEEITSLLNNPPDFQNFMPGDRCPEMSTSYNWVETDAQLEDLARLLDDEKAFAVDTEQHSLRSFLGYTALMQISTQKADYLIDTIALHDVMSILRPIFANPSICKIFHGADNDVLWLQRDFHIYVVNMFDTAKACEVLSKPQKSLAYLLELYCGVTTDKTMQREDWRLRPLTPEMIQYARCDAHYLLYIANCLASELHAKTYDSPNDKINFFFEASHRSNMDLVWKICAWRDLMARMHDESLRYVLSDQAIASLAVSVPRGPTEVCSAILETETSNSTVYPSLPPPSPIVVAHAEELRYLIEDITVSMDATFKNLLEKYKDPSRLCRLSVFNYNLVSQLSLKQKNMFSFASSGEKLLMAPTNKKASRELFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYIQRNLAKLIEDNPPAIVLLFEPKGRPEDEDNDFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRQIAKEFGVPLFVQKILNSGDISLIAGASLSEDKSNGTGVSPLQLRTAAMALLRHGSNMPLKRCEELMQIVKSYYGGRDVTPEDLEMALLVGMSPNERRRHSKKNGFSYRSQAQNVIRKSNSNGIVENNEHDPENGYAEQFSKNGVENNSHPDIDENNNQLGIDEHTSQPGSGDNKIHGPTLSKESTIYPPCMANPISDSSMEADTVQQASLGGNPANGDLDRDPCGSNNSNQAIPQNGDKKISLLGHGHHGKQVVELLLSNGGEEAINQFSQRWRQVFVASLHPRYLPSGWNIKHSGRRDFGDFSVYKPSKKPPAADQSETLAAAAVVP >ORGLA07G0131200.1 pep chromosome:AGI1.1:7:14830596:14831672:-1 gene:ORGLA07G0131200 transcript:ORGLA07G0131200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSHLSTAWSSSALATRRRSAPSSGSSGRLQVVRCSLRELRSRIDSVRNTQKITEAMKLVAAAKVRRAQEAVVSSRPFSEALVEVLYNMNQEIQTEDIDLPLTRIRPVKKVALVVLTGERGLCGSFNNNVLKKAETRIEELKQLGLEYTVVSVGKKGNAYFIRRPFIPTERTLEVNGIPTVKDSQSICDLVYSLFVSEAVDKVELLYSKFVSLVRSDPIIQTLLPMSPKGEICDINGVCVDATEDELFRLTTKEGKLTVEREKVKIETQPFSPVVQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSSATDNAIELRKNLSMVYNRQRQAKITGEILEIVAGADALA >ORGLA07G0131100.1 pep chromosome:AGI1.1:7:14821155:14821634:-1 gene:ORGLA07G0131100 transcript:ORGLA07G0131100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRSSPRSSRPIIHRRTELAVEAQGRVVSKKPSGSGSPINKMSFKKGEEVRVRTPVGRLGTTALRLVMWLGAVVVSDADDGHLEVIYNGNFPRDDPFRAVRVAVKDVKLPTPRPAPIPANIAAPRPTTAGKNLPRLKMFMLEKEQLRAKSEALLAS >ORGLA07G0131000.1 pep chromosome:AGI1.1:7:14817644:14818129:-1 gene:ORGLA07G0131000 transcript:ORGLA07G0131000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRSSPRSSRPIIHRRSELAVEAQGRLVSKKPSGSGSPVNKMSFKKGDEVRVRTPLGRLGTSTTALRLVMWLGAVVVSDADDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTPANMAAPRPTTAGKSLPHLKMFMLEKEQLRAKSEALLAS >ORGLA07G0130900.1 pep chromosome:AGI1.1:7:14811788:14812102:1 gene:ORGLA07G0130900 transcript:ORGLA07G0130900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRXRPGRGRRGGDALPSARSGRGGRGGAVAVDDMEGWRWRPNGGGEAVVARRRWILGATAAVAPSPPPDLAGGEAAAVKAATLTSGGFGSGGLFRSEHLVS >ORGLA07G0130800.1 pep chromosome:AGI1.1:7:14810282:14810758:-1 gene:ORGLA07G0130800 transcript:ORGLA07G0130800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVRRVEEEEEFDEGEVWEVLHHDQSNEAAAAALAGRTTKGARNNRQHEEGGGGAAAARRSKGRSSAPVAIPAAAAGSSSSSSLSSRRGGGGGEEDEEEEEEMMMMLPPHEWLARKMERMSAAAPAPEIGGGRSKGREMRKVRDAVLPKTAFSSEQ >ORGLA07G0130700.1 pep chromosome:AGI1.1:7:14804943:14806058:-1 gene:ORGLA07G0130700 transcript:ORGLA07G0130700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:I1QB15] LLIXEKLACTRERRQAESARIREKYSDRIPVIVEKADKTDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFVFVKNTLPPTASLMSAIYEENKDEDGFLYMTYSGENTFGSA >ORGLA07G0130600.1 pep chromosome:AGI1.1:7:14792603:14796313:-1 gene:ORGLA07G0130600 transcript:ORGLA07G0130600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQDPSSPVPAAARPKRTSSAPIRPSDYTHSPAHHRVALRDAAGLAGVLQGLPPLAHPSRILTAADAAREARLAASVSGALDRRDVPAGDTALHLAVRLRLPSLASALAAAGADPTLQNHAGWTPLQEALCLGCKDIAACLLRAHRLAAWAKLRRRAPALSAALRRVQDFYLEVDFHFESSVVPLLSRAAPSDTYRIWKRGAELRADTTLAGFDGLRIRRADHSFLFFGEEADAGGRHLPPGSLLVLHRGKREVHDAFAAAAAAGDEDSATSDAAAYRPGLNISSARLVPRTTWLRKEKTESVGEWKARVFDVHNVVFSFRTLKAANAGRKDFTFELAGDDDDDDNNDDEEDFLPLEIRDDDEDGDFLVADIPPPPSRRSCYVPGRRSVAAPPSHMATPQRRRNSVDVPRRLPACASVGRGEGGVFGRHATTTGGARWKEEETVKTLRPTVWLTEDFPLTVDEFLPLLDILASRVRAVRRLRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVPLLEPEEFFTPMSSPSLLASPGPGSIMHKPDTQKSSYLKWGLKNSRSKPVNLSQVADNTDPFTIPSDYTWGRIIGPTLRLYRAILAAKNIPGLAVKNGSFFYHG >ORGLA07G0130500.1 pep chromosome:AGI1.1:7:14778516:14787999:-1 gene:ORGLA07G0130500 transcript:ORGLA07G0130500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAVACAGYAGMDDGGGGEGMMIMARRRRLVVPGIIATAGGVVRLRPATKGAYTCARAQRARGPSLATDQSLDIERANVRVAYQGSPGTAIEEMVFKAFPDCIAVPCKKFVAAFEAVDSSLADIVVLPIENSSTGSFHQNYDLLLRHKLHIVQEVQVEIELCLWALPGVQKNDLRTIFSHPEEFAQCEHSLSSLRVIKKNVDHCAAGAEIISMQNLGDAGVIGNAQAAELYGLNIVECNFQDASPNLTRYLVLAKTADIPKEYGQYKGRRSMIYYIFQSNARCYKLVALIYQTSIVFGL >ORGLA07G0130400.1 pep chromosome:AGI1.1:7:14768415:14774266:1 gene:ORGLA07G0130400 transcript:ORGLA07G0130400.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQQQRRPSPEPSSSDSDGHGGGGGGGGGGSSASDRRRRKLKLVVKLSQLAPDQNHHRRGPPPPSYSDSSGGEQEQEEEEEEAGGNGGDDVSGGEERVKPPKKRRIEPRGDRSRHREVGGRSDAASAPRTKRLPVPGMARTTPLPDRKALDMILDKLQKKDTYGVFAEPVDPEELPDYHDVIEHPMDFGTVRRKLARNAYRSFEQFEDDVFLICSNAMQYNAPDTIYFRQAHSIHELARKKFQELRDEGIPTENLIKSEQKIRPHPSNREPIKKPVLRYSDDDLGFMSHKEQVSRPNSKDLEDDRKFKDQVKKTISRNSEDVLSSSFQKERVKKSSVRNSDDDLSSSFQKEQVKRPISRNSGDDISSSFHKEQGRKVISRNSENDRVASFHKQHDKRPTSLSSKDELPSQKKHIRKPVCTNGEEPDFSSHRDSVENPVCTNGEHVGVLSPKRLVEKPICRNRDDLGHSHKKGLNNKSICGDGQDDMGYSCNGETVKKPVRMNSQDALGSDVSAATIASAGDGSNGLSMSQANAVEPQDCIAANGFMDKDISSPLDEIRSEKPDDISARESSVKPSYKSIVVDETRRKTYDTYEEQPSSESDTIFNVFCEEPKELVNVGPHSEHSYARSLARFAGSLGTQGWRLASERIQRVLPTDVKFGRGWVGEYEPPLPPILFVQNQPRSLVSSEANVQRSASMTRNNERIRPTESVNPKDMSLSLLNQITTGNNVVGVPGPLESPEIKPRLFGVTAEPQQRSTEAPSLHENHRAPGSVAKTKRAPSEQTRKGSSSSSSRPLQKQPQRPEISKGASNVLDMPSLNKMTGQPRPFFQPAEAAITQQMRKSETPKSSHPLEMAHQRLECAKGASGVHDMPSLNNTSGQPKPFFQSQEAAVPQPRNENTWVYHGRPGDGKYGTTDKSRPMSSMGFITKNQQVNAASFAMNLNGQKNVNDNVKSVGSTVMPVQVNTTNRGPDSSRNIFSAFPPAVRENQSIPSAPVAQSWISFGASSESKPTIVSPTFHDSNSGWKMPFANARPDEAKMTAVPQFFRQPVQMVRESPGQNKGLVIFPQLVQTDFSRSQGQPQWQGLVPPMQQKPNKDMLRPDLNIGFPSPGSPPARQSSGINLEAQQPDLALQL >ORGLA07G0130300.1 pep chromosome:AGI1.1:7:14729632:14736360:1 gene:ORGLA07G0130300 transcript:ORGLA07G0130300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGASSASTCTLVVCPVVAVIQWAQEIERHTAKDSVRVLVYHGGRRGAQKYDFNKYDFVITTYSTIEADYRKHIMPPKTRCCYCDKLFYPNKLKVHLRYYCGPDAQRTEKQAKQESRKWGSKKGTSKRRVQKKKNDSDGEDFEERDGGSGSQSRGQSPLHSVRWERIILDEAHFIKDRRCNTAKAIFALESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYFCKDCNCEILDTLLKKQCDCGHSSVRHFCWWNKYISKPIQFGSASFEGKRAMVLLKEKVLKGIVLRRTKKGRAADLALPPKIVTLRRDSFDKNEMEFYEALYTQSRTQFDSYVDAGTLMNNYAHIFDLLTRLRQAVDHPYLVAFSKTAELGDRSKNEGNENMESQCGICHDMTEDAVVTSCEHVFCKNCLIDYSATLGNVSCPSCSVPLTVDLTPRSSGEKVTPNLKGGKRSGILGRLQNLADFKTSTKIDALREEIRNMVEHDGSAKGIVFSQFTSFLDLIEFSLQKSGIKCVQLNGKMNIVEKGKAIDTFTNDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVESQAQDRIHRIGQFKPIRSMRFVIKDTVEERILQLQEKKRLVFEGTVGDSPEAMSKLTEADLKFLFQN >ORGLA07G0130200.1 pep chromosome:AGI1.1:7:14698239:14702529:1 gene:ORGLA07G0130200 transcript:ORGLA07G0130200.1 gene_biotype:protein_coding transcript_biotype:protein_coding APASSPAPSSASSSPSTTTATTTSLVSRARTAIHSAAARVLTDIKADLRDADGSGALRAPSPRPSADRHPDSIAAVVGSPRDEAPDIISSPDGDTTNIEPDSTSSTKMAFPSVSVVKQLVAAIDNGKNFKSMNDMRSNGDQLLKEKGGLSLSVVKSLVRREKDERSSSEFVGDDETQSLMYTLFKLEEHFPHDKSQCNSELHHSISLPKDLHGAPPGSFTHQIAETIGKISSVYKMAFFWQSLVLELKKLWSDGQPVPRMPLDAAPDLNCCLLHQEIQVINCCIARKKRRKAAKESLDSLLKRASIDNSNHLYSNGDSPDSEMYIKGSAGDNVLRLGADHPSENLTLLETGELVYSPTLQEGPIMTAELIKETEELVLRTGSVGAGCSQLLSDMQAFKAANPGCVLEDFIRWHSPPDWSEDCAASSAEVGEGSSRRGRLSERMQTKEGNLWKELWGAAKPIPAVEQAPIYDEDLAVESIFDALEVIEPSKLFEQLLAVILSVCFVAAELVLPAGSNLSKLFYDCKDYILSIYQDDISKEKLDEICKVYETMEAIVTHPEETLQIMEAPDEKSPLESKNRFKIKLNFIGKDRHPLWKRAPKDPKEEKKSSPKDEKRSSEERSTKIFSNLLDKKVSIFSKKNAKPTTEVPPPPPPSSAPGPFDDSEWTIL >ORGLA07G0130100.1 pep chromosome:AGI1.1:7:14689056:14695621:1 gene:ORGLA07G0130100 transcript:ORGLA07G0130100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 4 [Source:Projected from Arabidopsis thaliana (AT4G22010) TAIR;Acc:AT4G22010] MAAGNVVAAALFLFLATSALLVAGDDPYRFFTWTVTYGDITPLGVKQQGILINGQFPGPTIEAVTNDNLIINVFNKLNDPFLISWNGIQQRRNSFEDGVAGTTCPIPPGGNFTYILQVKDQIGTYFYFPSLAFHKAAGGFGAIRVLSRPMIPVPFPPPAADYPLLIGDWYKANHTDLKYMLDSGKALGFPDGLLINGRSWDGYTFNVQQGRTYRFRISNVGLSTSLNIRFQGHTMTLVEVEGSHTMQTTYSSLDVHLGQSYSVLLTADQPAYDYAVVVSTRFTSKIISTTAVLRYSGSGGKSPAALPGGPTIQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGMVTTTRTIRLASSSATVNGKQRYAVNGVSHVNPDTPLKVADYYKIAGVFSVGTISDSPSGGGGGGAYLQTAVMGASYRDYVEIVFENPENEVQSWHIDGYAFWVVGMDGGKWSSASRQGYNLRDAVSRYTVQMKYNKQTNTSLSLVLCSDHHDLTHKLCLFHQVYPNSWTAIYMPLDNVGMWNVRSENWARQYLGQQFYLRVWTSSTSWRDEYPIPKNALLCGRAAGRRTRPL >ORGLA07G0130000.1 pep chromosome:AGI1.1:7:14682641:14683390:1 gene:ORGLA07G0130000 transcript:ORGLA07G0130000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDETLLVFTLVVSSVSDFLFGILLFMFLISATRDFRERTKSKFVKIMIXAGIVVITFAIVVRIYPIFIFLLKEQIKPLVEALYDKLPWIWEVSLSRYWDRLIDFLDRYLWACTQRIQTGIRKQKGEFVVTFSCHVKKRLYARAIEIGIHLSLLSNLFWILKTTLAVGYRLLWVLYYIISFEGFLGSFRLYLVYFGFYCLLFSGKWLRTSEDRGERKAQISGILLRGMLIECAFSVLCLEEDSNLHAL >ORGLA07G0129900.1 pep chromosome:AGI1.1:7:14660960:14662417:-1 gene:ORGLA07G0129900 transcript:ORGLA07G0129900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPEAAVDIAAQVKREEASGADDISFRHLPAVDMPTVHTGIEEWVSLILRSHGPHVRAAIAGLDCPVAALVTDIFCTPALDVAAELGVPSYVYFTSGAVMLELLLYSPVLDEEVPGEFSEMDGALNIPGLPPVPPSVLPATMLHKKKMSTYRWFLETGRCYMKATGFIVNTAAELEQSVIDAIADGRCTRGVPAPTVYAIGPVIALTPPPEQPHECVRWLDAQPPASVLLVCFGSKGLLPPPKVREIAAALERSEHRFLWVLRGPPKDSRPGQRVPTDAMLDELLPEGFLDKTKGRGLVWPTRAPQKDILAHAAVGGFVTHCGWNSILESLWFGVPMLPWPLDNEQHANAFLLVSVLGVAVPLRLDRERDNFVEAAELERAVSTLLGGGDGEAGRKAREKAVAVKAACRKAVEKGGSSDAAFQRLTEEIRRGAVNVPKKRN >ORGLA07G0129800.1 pep chromosome:AGI1.1:7:14652991:14654439:-1 gene:ORGLA07G0129800 transcript:ORGLA07G0129800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPDAAVDIAAQVKREEASGADDISFRHLPAVDMPTGHTGVEEWISRILRSHAPNVRAAIAGLDCPVAALVTDIFCTPALEVSRELGVPGYVYFPCSASMLALLLRSPGLDEEVAVEFEEMDGAIRIPGLPPVPPSALPSTMLDRKKSTYDWFVATGRGYMNATGVIVNTAAELEQSVLAAIADGRCTRGVPAPTVYPIGPVLSFPPPPEEQPHECVRWLDAQPPASVLFLCFGSKGLLPPPKVREIAAALERSGGHRFLWVLRGPPKDSRHGQRVPTDAMLDELLPEGFLERTKGRGLVWPTRAPQKEILAHAAVGGFVTHCGWNSILESLWFGVPVLPWPLDAEQHFNAFTLVAHLGVAVPLGMDRRRDNFVEAAELERAVRSLMDDASEEGRKARAKAAETRAVCRKAVEEGGSSSTAFQRLTDDIVRRGAVQIR >ORGLA07G0129700.1 pep chromosome:AGI1.1:7:14641554:14643630:-1 gene:ORGLA07G0129700 transcript:ORGLA07G0129700.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNPKKEIKFMTYNVWSREDVAVYTRMKAIGSLVEKHKPDVIIFQEITPYILRIFKSFVWWKEYHCSEVKPEEQATKLHFCMMLSKIPMEKPASWKFTNTSTGRGYVEADINPGTSSPAIHIATTQLESPSSGPPAAQPQTRSLERYAQAEHAVAALGSARNVVLGGDMSWDDAVDMPFPLPAGGGGGGGGWVDAWTVLRPEHQRASARRTTASGTRISPCSTASRRMLASSLKKRSDRFVCKLQDYKLGGIELIGSTENLGIDYLKKRRD >ORGLA07G0129600.1 pep chromosome:AGI1.1:7:14633971:14639711:1 gene:ORGLA07G0129600 transcript:ORGLA07G0129600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT1G11820) TAIR;Acc:AT1G11820] MGSRSRGGRHLLLLLLHLLSLHSSLLPWAAVSAAGGGGSGDPYVGVTIGTAVTNLLSPSDLAEFLRAQRITHVRLYDADPRMLSALASSGARAIVGVPNDELLALGSSPATASAWVARRVLPYAGANSSTPGLIAAIAVGDEVPTALPSALPVLLPAIQSLAAALAAANLSSIPVSTPLPFSVVLDPFPPSQAFFNQSLAKSFILPLLSHLANTSAPLMLNLYPYYSMMQSNGVIPLDNALFKPLPPSLEMVDPNTLLHYTNVFDAMLDAVHVAVKNLNATGGGGPVPVLVTETGWPSYGDRRAEPYATRDNADAYNSNLIKHVNDKPGTPMRPGAQASVYIYELFNEDLRPGPVSEANWGLFHGNGTPVYLLHVSGAGGFLANDTTDRTFCIASDDADEKAVQAAMDWACGPGRTDCTAIQPGQGCYEPNDVRSHASFAFDSYYQSQGKAAGSCYFQGVGMVTTTDPSHDSCIFPGSKLLSNVTKSDGANTTTAQTSDAEGSAIWRLRTGRETGFLFILRWLLSLSVVLITTNSNFWT >ORGLA07G0129500.1 pep chromosome:AGI1.1:7:14625670:14629413:1 gene:ORGLA07G0129500 transcript:ORGLA07G0129500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:I1QB03] MAAPGACLASRSPPAFLAGGFLAARPAAVSPIPSRSGKSIAPSRRFIVSNKLAWVEDELVEITESQEPSSASSKKRPPLRRGKISPQLPVPEHIPRPSYVGSNRPQELSSVRQIHSAEGIAGMRAACKLAARALDFAGTLIKPSVTTNEIDREVHNMIIEAGAYPSQLGYGGFPKSICTSLNECVCHGVPDSTQLQTGDIMNVDVNVFLNGYHGGASRTFVCGEVDDSIRHFLKAAEECLEKGITVCRDGVNYKKIGKKISKLAYFYGYYVVDRFVGHGIGPIWHSEPLILHHANDNSGRMVEGQTFTIEPILTMEKAETVTWEDGWTTVTADGSWAAQFKHTVLVTRTGAEILTKL >ORGLA07G0129400.1 pep chromosome:AGI1.1:7:14615568:14617958:-1 gene:ORGLA07G0129400 transcript:ORGLA07G0129400.1 gene_biotype:protein_coding transcript_biotype:protein_coding APAPAVDYEALAQELQGASPLEIMDRALAMFGSDIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYQLFDKVEKHYGIRIEYMFPDAGEVQALVRAKGLFSFYEDGHQECCRARKVRPLRRALRGLRAWITGQRKDQSPGTRAAIPVVQVDPSFEGLAGGAGSLVKWNPVANVDGKDVWTFLRAMDVPVNALHAQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIDDQGGAAAAAAAAHKAGGANGNGSAGAPDIFESSGVVSLTRAGVENLLRLESRAEPWLVVLYAPWCPFCQAMEASYLELAERLGGAGGGVKVGKFRADGEQKAFAQQELQLQSFPTILLFPSRTARPIKYPSEKRDVDSLLAFVNSLR >ORGLA07G0129300.1 pep chromosome:AGI1.1:7:14611403:14612278:-1 gene:ORGLA07G0129300 transcript:ORGLA07G0129300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPQILPSPRLAAAAASPNSAFRPAPRLHSSAPARRGRGARLATAASASGSGSGSSPSSPEPYQPAESDDGLVELPLFPLPLVLFPDATHALHIFEFRYRIMMHTVLQTDLRFGVVFAGSGAGGAADVGCVGEVVKHERLADDRFFLICKGQERFRVARVVRTKPYLVAAVQWLEDRPPAETPAPGDDAEALATDVEALMRDVIRIANRLNGKPEKDVGDLRRGLFPTPFSFYVGNTFEGAPREQQALLELEDTAARLRRERDTLRNTLNYLTAASAVKDAFPSSPSSG >ORGLA07G0129200.1 pep chromosome:AGI1.1:7:14608802:14609959:1 gene:ORGLA07G0129200 transcript:ORGLA07G0129200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA adenine dimethylase family protein [Source:Projected from Arabidopsis thaliana (AT5G66360) TAIR;Acc:AT5G66360] MKRAVSSIRSRDVAHLAAAATAPSPAAAEAWDGRFRLHKPRGQHLLTNPRVLDAIVRRAALRPGDAVLEVGPGTGNLTVRLLESPAARVSAVEIDPRMVDAVTARVDALGLAHKLTVIRADAVEAEFPEFDVCVANIPYGISSPLIAKLLFGPYRFRAATLLLQKEFARRLVAAPGDSEYNRLAANVRMVADARLLMDVSKRDFVPMPKVDSSLVEIRPRAAEPNVDLAEWLAFTRSCFGQKNKTLGAIFKQKRKVLELFRRSRCGEERRDGNANAGGGSRLIALGGSDDDGDMSDGDSNEGHDGAPSFSEEEVAVFKAKIAGALESSELAGKRPSKLSNDELLRLLKLLNEQGVRFQ >ORGLA07G0129100.1 pep chromosome:AGI1.1:7:14599065:14599283:-1 gene:ORGLA07G0129100 transcript:ORGLA07G0129100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAADKGGGVASDLKLDCCRGLYKRGWEELERGAGCVALEEAMQRRKWGGGDAVGKTAGAMESDSQARLA >ORGLA07G0129000.1 pep chromosome:AGI1.1:7:14589665:14596671:-1 gene:ORGLA07G0129000 transcript:ORGLA07G0129000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1QAZ8] METRSGGSGSASGGGGGGRMRLRKTESAEMRWVVSGGAYEEDEIESSDGGGGTPAAASGSRGGCSDSDDNYEEAEMLRQRLVRTGPRADSLDVEAQDVAGMNRHQEITVGRSIVLAVQTLGVVFGDVGTSPLYAFDVMFNKYPITSKEDVLGALSLVIYTLILIPLLKYTLIALWGNDDGEGGTFALYSLICRNARVSLLPNQLRSDTRISSFQLQVPSVELERSLKIKERLETSSMLKKLLLMLVLFGTSMVIVDGVVTPAMSVMSAVNGLKVGISSVNEGEVVMITVAVLIVLFTLQRFGSSKVALAVGPALFIWFCCLAGIGIYNMKTYGSAVLQAFNPMYIYYYFERNPTQAWMSLGGCLLCATGSEAMFADLCYFSVKSVQLTFVFLVLPCLLLGYLGQAAFLMENLTENQQVFFLSIPNQAFWPVVFIAILAAIIASRTMTTAIFSTIKQATALGCFPRLKIIHTSRSFMGQIYIPMMNWFLLVSCLAFVTMFGSINEIGNAYGIAELGVMMMTTVLVTIIMLLIWQINIIVVLCFLTLSLGLELIFFSSVLGSVADGSWVLLVFAAVLYLIMYIWNYGTKLKYETEVKQKLSMDLLMELGCNLGTVRVPGIGLLYNELARGVPGIFGQFLATMPAIHSMIIFVCIKWVPVPVVPQNERFLFRRVCPKSYHMFRCIARYGYKDIRKEDYISFQQLLIESLEKFMRREAQERSLESDQYDGTDSEEEVASASSRALVGPNGSIDSLGVPPAEAAGTTEHPTIGSSMSFDGSLDEAIDGRGSLDDELSFIHKAKESGVVYLLGHGDIRARKESFFVKKLVINYFYAFLRRNCRRGIAALSIPPSRMMQVAMQYMV >ORGLA07G0128900.1 pep chromosome:AGI1.1:7:14580449:14581208:1 gene:ORGLA07G0128900 transcript:ORGLA07G0128900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYAVVMTTTTEPSCIFLGGPCSSRRANGDTVMLKNDGNKRMGTNRSNASSTGSGVLPARYDTLQSDMCIVTRDARKARRGSGRERRPLRSGSLDGGTLIWRRHRAVLMEAEAYFFGCTERHQGGGIGFHGREMLGIGVMDYCV >ORGLA07G0128800.1 pep chromosome:AGI1.1:7:14573012:14574091:1 gene:ORGLA07G0128800 transcript:ORGLA07G0128800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGAATAAAGGGGGGGVAAGRSGGGGGGGAAAAAGAGAPDPRAEALRCPRCDSANTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRSRSGGAAPGGGVGRGGPGGGAAAAVSSAGGGAAGTSPASSLALPQPGSLPSLSSALGLTGGTSLASLLLGSSGSGGDHLGLFQAMQSVVSDAAAFEMHQQHQSQVDHLLGLGYGAAGAQIQAAKPWLHDGGATGGLLDGFYAPLLSGSIVPGLEELQVKAEATTGDHQQKSSAAAAGEQSWDLPTPSSSNVEASIIASDALMAAAAASMNPAVSAAAASTAPSAQSLLYWGNGGIGAAAAAWPDLANCGSSIATLF >ORGLA07G0128700.1 pep chromosome:AGI1.1:7:14540087:14542995:1 gene:ORGLA07G0128700 transcript:ORGLA07G0128700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLENINVDLKKFAGYHKSNKVYHGKVPLTSPQNVLRNKVIELGGRKYQLKGSPGTGAFAKVYKASVDGNTEDLVALKIQKPPFPWEFYMYRQLDTRVSDIERPSFGYTHEVHVYADVSVLVCDYLPYGTLLDVINSHLVLERHMDEVLCIYYTIEMLRMLETLHSVGIIHGDFKPDNMLVCYPSGEITDETFKGETRSERNQGLCLVDWGRGIDLNLFPSGAEFYGDCRTSGFSCVEMQEQRAWTFQADTYGLCVIAHMMLHGTQMSIQKTPRPDGSYMYQPTTPFKRYWNVELWKNLFSTLLNATSNGSDAAVLRSLRMSFQEYLCSNRQLVGKLNQQLAKQKTSLCLS >ORGLA07G0128600.1 pep chromosome:AGI1.1:7:14537294:14538419:1 gene:ORGLA07G0128600 transcript:ORGLA07G0128600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLERTPLVVPEAAAAAAAASSPLRRPSPRVLREVPPPPLDPILPYLSVQLNLDERKCEKWGRPQSWCMHSFMLVSDLVFRSINKAMDELRTGPKFEAAALDRLKICVTECIDKYGDDYQYSTDPRLLKIWILYVIVWL >ORGLA07G0128500.1 pep chromosome:AGI1.1:7:14534840:14535370:-1 gene:ORGLA07G0128500 transcript:ORGLA07G0128500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGDGRDEELLPGHSDNLPSASFFRAREEAEPRNAAGGRGHRALLVSSTSICSRSPPXSLPSCPASTHTLPPPPPGPPPPSTPPTTTAWIWWWTTSSSSLVTRIWRLAGGYKGKSMVDGRRRGGVDVSPLLPSPTLTPARLRSSPRGPAPLCSGDRSSDSNGGDRSSDSGVER >ORGLA07G0128400.1 pep chromosome:AGI1.1:7:14522210:14527145:-1 gene:ORGLA07G0128400 transcript:ORGLA07G0128400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G18060) TAIR;Acc:AT4G18060] MDVLRKQASKFKEQVAKQQQAVIKQFSTTGYEHSDAVVIDEVELQRHQQLEKLYTSTRSGRDFQKDIVRAAEGLVSIGIRHVEVGTKFSEDCYRYGGESSASDEALAKAASLYGGALRNVEKEYEEFNRILSSQTIDPLRAMAAGAPLEDARGLAQRYSRMRHEAEILSAEIARRKQRVREAPLAEHTTKLQQSESKMIEHKASMAVLGKEAAAALAAVESQQQRITLQRLVGMVEAEKLFHLRLAAILDDVEAEMSSEKQKRESAPPTIHSHKRAEKAQYFLAEAVHNFNGTTEKELSLIVGDYVVVRQIAPNGWAEGECKGVAGWFPAAYVERRENIPPNKVFPQA >ORGLA07G0128300.1 pep chromosome:AGI1.1:7:14516753:14521131:1 gene:ORGLA07G0128300 transcript:ORGLA07G0128300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRPPPXPAAPILPSRRRRGRGQGPPPASSLAPPPPPPAASSPRRLPGAAAAPPAPPPRRLPRAAPPAAPAPPPPPRRIRRAAAPPRVRGPRRRRGPPPAPHVVAALEERLGAEIEEAHVLLGQNQRLAATHVALVQEVSAVRHELGRTARGLAAAQEEGELRLREVYERSMKMEAELRAVEEMRAELAQVHLDIQKLGAARQELMGQVQGFTQDLARSAVDLQQVAALKAEIQEIRHETQHLRSGIEVEKKGYAESYEQGQEMQKKLISVASEVEKLRAEAEKRSRAAVSGGNQVYVGGYGNPKAAYAANPYNAGYNINQPHPQANTADSGSQFGPGSTHAPWGAYDMQRATGRR >ORGLA07G0128200.1 pep chromosome:AGI1.1:7:14513745:14514398:1 gene:ORGLA07G0128200 transcript:ORGLA07G0128200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATWWWTTTAAVYCNATTASSYLPVVCRALATPTRLAPDADPLSLLLFLNDITGHIRAFDKGSKMTCPAQMLSP >ORGLA07G0128100.1 pep chromosome:AGI1.1:7:14502982:14510690:-1 gene:ORGLA07G0128100 transcript:ORGLA07G0128100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G13010) TAIR;Acc:AT5G13010] FQGDMDATMTTLGPEDDTGAQGLILPSRDRVMYRPPPGKSALGLDLLAHRKREAEGGNAFKPPPQKVVAAATSIDEDEKPGPAESDEKSLSSGHRGSVSRRYRGANSDERTSFKEPTITDEDGRGPSPSHRDGSYRQDTHKSRSSQGSHSRSTPRRYDDYEDRGSRDKHGERERSASIGYSSSGRWGHHDDRESHNRRDERERSTSVDYMNKRSRHEHSSRSSRTPARSDWDSGRWEWEDTPRREYRDDRSNSHRQHPSPSPMLAAASPDARLVSPWLGGNTPRYAASPWDNVSPSPAPIRASGSSKGSSYPRSGGRSHQLTFSSTSASNDRESDRSPSAADGNYEISEEMMQEMDYNADRAWYDCEEHNTMFDGDNSMYLEDDSSYKKREAQLPKRLTRKDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIVARKGSALVREIREKQSMNKSRQRFWELAGSKLGNILGVEKTAEQVDADTATVGDQGEIDFKEEAKFSQHMKVKAEAVSDFAKSKSLSQQRQYLPIFTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDMTSSNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKTVPKLSILPIYSQLPADLQAKIFQKAEEGTRKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGALTVIGWKMVEFPLDPTLAKMLLMGEQLECLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAELGPMFFSVKETDTSLLDHKKRQKEDKTAMEEEMEKLRQEQAEAARLEKEREREKRAKQQQQVSMPGLKKGSTYLRPKRMGL >ORGLA07G0128000.1 pep chromosome:AGI1.1:7:14497192:14501239:1 gene:ORGLA07G0128000 transcript:ORGLA07G0128000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQACKKRRAVYISSESEDSGTDSEVEGSKLSKKDGVTSVYTCGHQPTSKNKVDPMNTSKSRQCGSILKKLMDHKSGWIFNTPVDPVVYGIPDYFDVICNPMDLGTVKRKLTSKQYSNPYEFAADVRLTFSNAMKYNPPGNDVHAIADQLNKIFDSEWKLLERKWKDRNLVQEQPSLKVLKAQPAVTPKPVLPKGVTAGTNSAVSKTLATALSSKVKIKFSVRGSELTSSKDTPLQAVGRRDGTINQSLPCTKDNAKTPRIQSSEDRSESTGNELRPCDDASTSPLASSRQEEEYLPEEPLSPSKALRAAMLKSRFAGTIVKAQQKALLDHGKKIDPAKLQLEKERLEKRQQEEKARIEAQVKAAEAAAQLKLDEEMRMKREQERRAARLALHMMKKTVDIDNSDFLKDLENLSKKWELNPPGKLIVDFVDGIDLPPGLGSPLERLGLFMKKDLEEEVEHEMEDSVSPSTEIDVEEGEISFCQ >ORGLA07G0127900.1 pep chromosome:AGI1.1:7:14489011:14491203:-1 gene:ORGLA07G0127900 transcript:ORGLA07G0127900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASQGVVTQQQKPSGRRPVGSSQIWTRYGVLLPSQQTRKLKEWVLTDEQQQLVNASGLGHLALTTGFTIDRSLLTAFCERWNNETNTAHFMGFEMAPSLRDVSYILGIPVTGHVVTAEPIGDEAVRRMCLHFLGESPGNGEQLCGLIRLTWLYRKFHQLPENPTINEIAYSTRAYLLYLVGSTLFPDTMRGFVSPRYLPLLADFRKIREYAWGSAALAHLYRGLSVAVTPNATTQFLGSATLLMAWIYEYLPLTQPQQKNQNTLLPRACRWNFGGATRGQRKKVMEWRKVFEELQFSDVNWNPYKDMNPAIIPEYCIAADNICYSRTWLISFNIKEVYVPDRFSRQFGREQGRLHGVPMWARRTWSKWKDWRVEYAREIEEFHQLVGCRFTPAETNINSLPIESITKQDAAGCSRSTSQNFSSMVEDLRNDLPVIDRYLEGQLLPMEVASFLERVGMMIKSYSPPQSSRRKDQAGQGQDSNVRSKNPRKRGKPSFFQDPSSPPNSRADRFPAVLIPYQDSKCDMVLDGTVPLLDGAEEFKEQGVMDLWQNSHLTTPSCSSLDSSSPESRKRRQQDRDEIRLPRDTENLRRSGRLCVQLKMFKHRDGVGAEATNPIFL >ORGLA07G0127800.1 pep chromosome:AGI1.1:7:14484209:14487024:-1 gene:ORGLA07G0127800 transcript:ORGLA07G0127800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAEAEPTNKSVIQGTHELTVCQTTERLDTWKLNEQQQQLVDASGLGNLIHTAGLVIDRIALMAFFELWSKETNTAQLNGFEMAPSLRDAAYILGIPVTGRVVTAGAVLNKSVEDLCFQYLGQVPDCRDCRGSHVKLSWLQSKFSRIPERPTNDQIMYGTRAYLLFLIGSALLPERDRGYVSPKYLPLLSDFDKVQEYAWGAAALAHLYKALSIAVAHSARKRLFGSAALLMGWIYEYIPALRPDMYDPPEHIFPRVLKWTGSTISQPAKNVSDIRKAFSLLQVSDVNWEPYKGVDPASIPKHCAAPDNLCFSRTWLVSFNLKEIYAPDRFARQFGQEQHRPLNDVPAFQRQLWNPAVDWSLMYASEIERFQQLINAADGGDHGHTADAAAAAAADVFTPATMARASLGLSLISVVEGVREELPTVARFLEQHRLPAELATSLSRIRGLIEASPPAPPLKRGDAAPQPQPQVIAVIPPLAVMPPREQEAPHGTGDAEAPGIVRGDADDDDAVLPKERSDEQQQQDEEEEEEEEQKWRRRRRREEEDGAAKGSGGGGGAVRRSSRSCVQAKRFRRVGGKGSQSSDPIVL >ORGLA07G0127700.1 pep chromosome:AGI1.1:7:14471137:14480170:-1 gene:ORGLA07G0127700 transcript:ORGLA07G0127700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIEARFSGRDLIGRGSFGDVYKGFDKELHKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQTGPPLDELSIACILRDLLHAVEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKPMKEFVSLCLKKNPAERLSAKDLLKHRFVRNARKSPKLLDRIRERPKFPVKSSADATQNGRTHVEEDDGTGTIKVERATRDVVSPSSQGTVRKAAGWNLPDRSEGTGTVRGGLKPSQVTSTKDSRSDASHSPNTPKRTADRENQWRTSWTGSEESISTNPSQRDAQSEYGRLENSTEDNDQSISGSGTVVLRSPRASQVYPAATNHSSKPPSRFSSYEDTSISGTVVRNQIEEPETPRSSRSRLGIQEKSPNASLEDSATNLAEAKAALQAAFKKGNARERHVISKHEKESHEPRISAVNSHDAPSENADMEKGRKPRQIHDGQSAPQASGSVSSPALSSLIIPSLKEATGDKFNGPVVHTFLDSLMHLEKELPGSCEVLIGRMLHRLGSSKESSLQSLQETATSVFAKKSEPPSEPPSNKKMANTPSLAAPTVSPLARFLLTRWQNQVSQDLNSV >ORGLA07G0127600.1 pep chromosome:AGI1.1:7:14465448:14470044:-1 gene:ORGLA07G0127600 transcript:ORGLA07G0127600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:targeting protein for XKLP2 [Source:Projected from Arabidopsis thaliana (AT1G03780) TAIR;Acc:AT1G03780] MAPDANPEAAAPPPQLQVDEGYEFCAPKFFDFVCDETEEEIRAAERWFEASASHAPSPFAPRIKESRAEVKIESLCDFTDAEPIPKEVAVEEAAGSAANPSQNSDGNVQQNKDGSIKLVHEANPSENCVTDGDHKHQESDAMLESPPAEEDEKESPKSFEFVPSNAKSADVASSTPKIQRPPPVKAVTTVPTCPKLTVKTEAFTPKVQATNSSRGLAPLTGSRAHPSALKQSMSVKRSVIKCPRELLAGKAATAANEIAQENQAVKRQKLDDGRTRQILNVKTRTLPHKGRGGGLAGSTEMSLSAMRKHRDDSHSLKEVTHYISAAEMVKKFESGTRELAIPHNRSLSHEDAATALQRRTKLMLTRPKEPEFQTSHRVRAVRVKSSAELEEEMLAKIPKFRARPFNKKIAEAPSFPPLPRKAPQLPEFNEFHLKTMERATRHADTCSEASSVGTIRSQSSKPLTLTAPKPPQLETALRARPPRVKSSQELELEELEKAPKFKAKPLNKKILESKGDIGVFPHLKAQPTAPKEFHFSTDDRLGPPAVVDLFDKLSLCSESSYHSKKDVPRLTIPNPFNLHTDERGHEKERQLAAQLLQKQLQEEKARIPKANPYPYTTDYPVIPPKPEPKPCTRPEGFQLESLVRHEMEQQRIMEERERMEREEAQRRVVKAHPIMKEDPIPLPEKERKPLTEVQPLKLHVDERAVQRSEFDNMVKEKEITYKRLREENEFAQKIEEEKALKQLRRTLVPQARPLPKFDRPFRPQRSTKQVTRPKSPQLQVDQRGARRHAFIR >ORGLA07G0127500.1 pep chromosome:AGI1.1:7:14455723:14459603:-1 gene:ORGLA07G0127500 transcript:ORGLA07G0127500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIYHKMKDKVKDAFSSSGPETGKGKTKLSGKRVKHGYHLVKGKSNHPMEDYLVAEYRQEGEHDLGLFAIFDGHLGHTVPDFLRSHLFDNILKQPEFLSNPQAAIRNAYQLTDAKILESAAELGRGGSTAVTAILISSENSVNLVVANVGDSRAVISKSGVAKQLSVDHEPNKERHSIEKKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSEPDVVEEPIDENTDFLILASDGLWKVMSNQEAVDEIKDFKDAQAAAKHLTEQAVNRKSKDDISCIVVKFLC >ORGLA07G0127400.1 pep chromosome:AGI1.1:7:14449819:14450256:-1 gene:ORGLA07G0127400 transcript:ORGLA07G0127400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSITPGSSAQSRKRKAVSLTTTSTTEHSKSNQSKSKAAMDSQEVVRVAAKAKVSTAQGGSARVDLQAIVPHSNSSTTASVRLTSGKATVTVSAQEPTKNKPKKRAGGSLILLPWEAKKL >ORGLA07G0127300.1 pep chromosome:AGI1.1:7:14443904:14447958:-1 gene:ORGLA07G0127300 transcript:ORGLA07G0127300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G29320) TAIR;Acc:AT1G29320] MPRTSVVESPGCPPLRALTTDILGLIKVVEARTKPAGVAKVVETWGAPDAPRAVLAASLADRAVDPVLAVARKNGVVELLNPLNGETLAGVNAAAGRAAPADSSAEEDPLATLHLFRRHALDSSMLGTFLACTEKGKAYVKSVAKENASSDMAVGPSSSWDVSNSGTVQFSSVDAGESYAMFGGKGIEVNLWDITSCSKIWSAKSPRGNSLQIFTAPWFTAGTFLCKDDHRKIVACTNNHQVRLYDTASQRRPVISVDFRESPIKAVAEDPNGHAVYIGTGRGDLASFDMRTGKLLGCFVGKCSGSIRSIVRHPELPLIASCGLDSYLRIWDTNTRQLLSAVFLKQHLTAVVIDSHFSTEELEETKSKQPDPVGAEVRKERKEKKNRTSEMDEDETRMLDHDDSDSEMHTSKRKKSGEKSKGMKKKSKKQQVA >ORGLA07G0127200.1 pep chromosome:AGI1.1:7:14436500:14442217:1 gene:ORGLA07G0127200 transcript:ORGLA07G0127200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRRSTEKSPAETESSVSSPSERLSDEQDTPKSSPSSVQSPEISSKEAQDDNVKVKVLSERLSSAVLDIRAKDDLVKQHSKVAEEAVLGWEKAEKEIASLKTQLNAATAKNSTLEDRIVHLDGALKECVRQLRRAKEELDHGIQDALAQQSREWESEKADLELRVVELKAKLEAKSEFSVNAETDASSRLASLEKENSALKVQLLAMSEEVELRTIEKELNRRAAETASKQQLESIKKIAKLEAECRRLQANARRELKRAPSSVYAESVTDCQSDCSDSWASILITELDQFKNDKSITRSASLAAADIGMMDDFLEMEKIASANSPSKSEAEDAASVQLVKLEEKIKRLAMEKADREKALHEAQRELRNTRHRAMVAEEKSVELQRQLNLVKGVKHSMETEMEAMENRRNELEGRIELAHGEITSLLDKGRILEERLESEKALTLELAAKYQQMDALEAERRELRGHLEASQSEAKNLGDKITLLEKKLEEEKAFSTRLAVRCHGIEALEEKKKGTEHELESAREEIASLQKKVSILELKIQEERALSEKLATRSRDLEALGVQTNELRSQLQSANSEIAGLNEKVKMLEEAEEKHKPLTAGLESQLRLAQAEAMRLKDHVSSLEKKLESQKNLSSAYITALDASEAQKNKFASRFELKEAEAEELRRKIRLLEEEIHKEKAQSSELGVQCQNLKEQFTSRALSQPMKPMASKELHIKKEKELARAAGKLADCQKTIASLNRQLKSLADFDEFVPGFENDSVIAEGWEENGLKLLNSANYPAQLGCLAVK >ORGLA07G0127100.1 pep chromosome:AGI1.1:7:14414755:14415006:1 gene:ORGLA07G0127100 transcript:ORGLA07G0127100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLGDYRRSGRATATSIGRSAPKVGSGASSTSPTNVNLIAATLDNTCSIVWFRKLASDQIKNTLLPLLQSCPPATAILPISR >ORGLA07G0127000.1 pep chromosome:AGI1.1:7:14396363:14398719:-1 gene:ORGLA07G0127000 transcript:ORGLA07G0127000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLFPGMDLTKMDAPTLTLLGAACCVMLSMHFTVQLVSQHLFYWKNPKEQKAILIIVLMAPLYAINSFVGLLDIKGSKTFFTFLDAVKECYEALAIAKFMALMYSYLNISISKNIVPDEIKGRVLHHSFPVSLFLPRNVRLEHKTLKLLKYWTWQFVVVRPICAILMITLQLLGLYPSWVSWTFTIILNFSVSMALYALVIFYHLFAKELAPHKPLAKFLCIKGIVFFSFWQGFALEVLAAVGIIQSHHFWLDVEHIQEAIQNVLVIIEMVFFSVLQQYAYHVAPYSGADRAKFEKKNE >ORGLA07G0126900.1 pep chromosome:AGI1.1:7:14375988:14378911:1 gene:ORGLA07G0126900 transcript:ORGLA07G0126900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPIGDTEAEVINLEDLPPVGVLGAEVIDLESPECKSGAAGAKSRSHSSGHPKQQSHGRISLSPQSKAFKVSGTRGSLQKTAARVVSRYRQSRVLNRQKKDNTTFGINCRCXPKCIVNITKDFDDRKKELIAEIGFDGVLDIKLTKVNRQFWAWLLSKVDPKSGTIVTNFNXELPFGPNDVNAVFGLPCSGQLIIPCSQDELDGKKQKLCEIFEIPNFSHLKISLLERVLKKQYVHPMTIDEKREFKAAFVLYVTTKLLAPQSCANFISPRYIRAVADVDNIKQYNWSXFVVDEVKKAAESLPKRFLNTTQQSINGCIIFLMFEDNQIAMMIQQDIVSKHNPGYPFPRYGKLQLMKAPRENYPQAPEVSPLNLSSVSKIQCRGNDGGANLIKFLESHFNSLDVSAMVGPQAYKELKSYVQDGFNQIDEILPSIADFVNISNLKTATEAANMFKKAFKYNMAAAVKIATRAAVRNVIDTIEDMQGPLHPWGDPSAMGYHTPTNYSTHATEYENPVEQPTDTRHCDHKFGASQCTPTKFNGAPGWCAKRLKNQIKNLITAY >ORGLA07G0126800.1 pep chromosome:AGI1.1:7:14373305:14374369:1 gene:ORGLA07G0126800 transcript:ORGLA07G0126800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPDGVRYCQQCERTTSMVLDHDTGDAICTECAIVLGNGNDPRRPAVASAATKHGGADAPADDDPLLQGSDVVAAAAAEVACSVAPTKLQAEGAAPAAPPRMRGAVVAPKVRGGGGGAVTKAQGGVPDTNKSLAEGFDAIDNMASRLGLAGNVRDRGKDVLRKVEEAKVCARGRSRDALYAACLHTACRMEGAPRTLKELIAATPDAAATKRDLGKFIHAIKRLLGSNDEEAEAGQDQAGSKATNGCGGGGGAGAVVRASDYLLRYGSAVGMSGQEVSAAQRAASRLDESLDVRRNPQSIAAAIIYMAVQRAGGGGGRSKSVREVSAATGVSESTIKDAYKDLCQHAEVLFG >ORGLA07G0126700.1 pep chromosome:AGI1.1:7:14369603:14370649:1 gene:ORGLA07G0126700 transcript:ORGLA07G0126700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVLLGQLSGSHRADSGFDVRFHCLPAEELLDFCGSEDFISRFMQQHSSHSREAIFGLESHVAAVVLDLFSTTFLDVTCNLDLPGYVXFTSTASLLSLVLRLLVLDQEMLVDFEEMEGVVDLLGLLSVPATLLPTPVIKKDCVEIMGTICPHGKDDFVFLPGQASHFGNLNFIMDDFSKISLLDSDSRI >ORGLA07G0126600.1 pep chromosome:AGI1.1:7:14366781:14368253:1 gene:ORGLA07G0126600 transcript:ORGLA07G0126600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QAX4] MAPAMASSAATVVLIPFCVSGHLTPMLEVGKRMLRSRCCGDDDDGRPAMSLTVLLAQLPESHRAPEIDEIIRREAAGASEDSGFDVRFHCLPAEELPDFRGGEDFISRFMQQHASHAREAIAGLESRVAAVVLDWFCTTLLDVTRDLGLPGYVYFTSAASMLALLLRLPALDKEVAVDFEEMGGAVDLPGLPPVPAALLPTPVMKKGCNYEWLVYHGSRFMEAAGIIVNTVAELEPAVLEAIADGRCVPGRRVPAIYTVGPVLSFKTPPEKPHECVRWLDAQPRASVVFLCFGSMGSFAPPQVLEIAAGLERSGHRFLWVLRGRPPAGSPYPTDADADELLPEGFLERTKGRGMVWPTWAPQKDILAHAAVGGFVTHGGWNSTLESLWHGVPMAPWPLYAEQHLNAFELVRDMGVAVEMEVDRKRGNLVEAAELERAVRCLMDEGSEEGRMAREKAAAAKAACRNAVDGGGSSIAALRKLTQEMAHMSSI >ORGLA07G0126500.1 pep chromosome:AGI1.1:7:14359073:14361888:1 gene:ORGLA07G0126500 transcript:ORGLA07G0126500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit E [Source:UniProtKB/TrEMBL;Acc:I1QAX3] MAEHDLTARMAAHMNCHLVFPLLEFLQWRPDRAYAVEEILQAKLRLLIQGTNMVDYAMDTHKLLHGDTDDDVVVPVPDDMVXRRHEVVSRLGALAAAAAPIVSALKNHQLGPDKEHNIRLLHERFQIGPDQIEALYQYAKFQFDCGNYPDAAENLHRYRALCTSSERSLSAQWGRLSAEILNNNWDVALVELNRLKEMIDSKNSSSPLNQIHNRIWLMHCSIFIFFNHGNGSYGIIDLFFQDRYLNTIQTDAPHLLRYLAVAVVVNRRRRNMVKELVKVIQQEQHSYKDPITEFLECLYVNHDFDGAQQKLIECEQVILNDPFLGKRIKEGNSITVPLRDEFLENARLLIFESYCRIHRCIDIGMLSEKLNMSYSEAELWIMNLVSNSKLDAKIDSASGTLIMAANHANIHEQFIESLKNLDMRTFMLAKSTMEPA >ORGLA07G0126400.1 pep chromosome:AGI1.1:7:14346200:14353178:-1 gene:ORGLA07G0126400 transcript:ORGLA07G0126400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QAX2] MAPATASPATVVLIPFCVPGHLTPMLEVGKRMLRVGFCGNADGGRGAMSLTVLLAQLPELVRAPDHEETIRREAEASAAGSGPNIRFHCLPAENLPDYRRGEDFMSRFMQQHASHAREAIAGLKSRVAAVVLDLFGTTLLDVTRELGLPGYMYFTSAVSMLSLVLRLPALDKEVLVDFKEMDGDVDLPGLLPVPAALLPTPVMKKDCNYEGFVYHGNCYMEAMGIIINTVAELEPAILAAIADGRCVPGRRVPAIYTVGPVLSFKPPPEKPHECMQWLDAQPPASVVFLCFGSMGSLTPPQVLEVAHSLERSGHRFLWVLRGQPATSMPYPTDAVVNELLPEGFLERTKEKGLMWSKWAPQKEILAHPAIGGFVTHCGWNSTLESLWNGVPLVPWPLYAEQHLNAFKLVAAMGVAVEMKVDRKRDNLVEAAELEHAVWCLMDDSSEEGRMAREKAAEAKAVCRNAVEEDGSSFVALQKLSQEMIHVSSK >ORGLA07G0126300.1 pep chromosome:AGI1.1:7:14344489:14345913:1 gene:ORGLA07G0126300 transcript:ORGLA07G0126300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QAX1] MAIPTLVLLPTWGTGHLMSLLDAGKRLLGCRGGGGLSLTVLVMQPPRKEYASAVAATVRREEASGLDIRFRHLPAVEPPTGCAGVEEFVSRFVQLHADHVRAAVSGLDCPVAGLVIDFFCTTLLDVARELAVPAYVYFTSNAACLALLLRLPALEGEVTVEFEEMDGEVDIPGLPPVPPSSLPMPVMDKKNPNYTWFVYHGRRFMEANGIIVNTVREIERSVLAAIADGRVTPGVRAPVIHPVGPVISFTPPSDDPPHECVRWLDAQPPASVVFLCFGSMGSLAPPQVLEVAHGLERSGHRFLWVLRGAPAAGGSMNPTDADLDELLPEGFLERTRGRALVWPTWAPQKEILAHAAVGGFVTHGGWNSTLESLWFGVPMVPWPLYAEQHMNAFTLVAAMGVAVAMKVDRKRNNFVEASEVERAVRSLMGGSEEGRKAREKAAEMKAVCRKAVEEGGSSDMAVHKLYEELSTQTA >ORGLA07G0126200.1 pep chromosome:AGI1.1:7:14336430:14337920:1 gene:ORGLA07G0126200 transcript:ORGLA07G0126200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QAX0] MATPAPALVLLPEWGSGHLMSMLESCKRVLLAGAGGGREFSITLLVMRPPTDEAGSEVEAHVRREAASGLDIRFHRLPAVDPPADAAGVEEFIARYIHLHAPHVRDAVAGMGRPVSALVLDMFAAPMVDVARDLGVPSYVFMSSTGAMLALMLHLPVLHDRVAVEFHEVDGEVDVPGLPPLPPASMPCPVVDKKSPNYTWFVRLGDRFMDATGIIANTADELEPGPLAAIADGRCVPGRAAPPVYPIGPVLSLGGNDKRDSSEPPHECIAWLDGQPPASVVFLCFGSMGWFEAAQVVEITAALERSGHRFLWVLRGPPPAAESGTGAPDGSEHPTDANLDELLPEGFLERTKGRGMVWPTWAPQKEILAHPAIGGFVTHGGWNSVLESLWHGVPMAPWPLYAEQHLNAFELVRDMGVAVPLGVDRERDNFVEAAELDRAVRSLMDDASEEGKKAREKAAEMKAVCRSAVAAGGGSSHAALQRLSEALHQGAALPKK >ORGLA07G0126100.1 pep chromosome:AGI1.1:7:14333172:14334870:-1 gene:ORGLA07G0126100 transcript:ORGLA07G0126100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AREGFRLRVNGEGGHSTEKDGSGCNFWYWEEAYIKFLKRSGFIDEATCAELLKEAKMKDGDEMKKSSAQEFKKELDVGHFKQLENMIFILTKMMVLLKLIQAGATAGTEIQHETEFAPEIEIAPTEKTCTCNASPHHAC >ORGLA07G0126000.1 pep chromosome:AGI1.1:7:14321838:14323301:-1 gene:ORGLA07G0126000 transcript:ORGLA07G0126000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1QAW8] MAMASANVLLLPEAGSGHLMSLIEAGKRLLAHGGRGDGEGPAVTVTVLVVRPATSESAAEVDAHVGRVEASGLGVRFHRLPAVEPPPMGCAAGNVQEFKSRYMQLQAPHVRAAAAELGAAALVVDFFATGVLDAAREAGVPTYVYFTSTAALLALMLRLPALEEEVPVDFEEFDGTVDVPGLPPVPAGSLPAFMGRKESPNFKWFVYHGRRFMDADGIIINTVAELEPALLAAIADGRCVPGRTAPPLYPIGPVLDLEDKPSSNARCVRWLDAQPPASVLFLCFGSMGWFDAAKAREVAAGLERSGHRFLWALRGPPAAGTVHPTDASLDELLPEGFLERTKGRGLVWPTWAPQKEILAHAAIGGFVTHCGWNSTLESLWHGVPLVPWPLYAEQRLNAFELVRDMGVAVPLGVDGKRRDSFVEAAELERAVRSLMDDASEVGRKAREKAAEMKAVCRNAVAPGGGSSYAALQRLLGAIRGGFSTMTQ >ORGLA07G0125900.1 pep chromosome:AGI1.1:7:14319616:14320285:1 gene:ORGLA07G0125900 transcript:ORGLA07G0125900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPPPEVFRACYERLWTLAGQEGDNGVGDWPIGAYGEGFGXSKDERGGGTEGRATSGERDVEDAGPPVDATPSTADSGEAVLAGVGCHVSKTGRKYWHGIFFEWFE >ORGLA07G0125800.1 pep chromosome:AGI1.1:7:14319183:14319425:1 gene:ORGLA07G0125800 transcript:ORGLA07G0125800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEALCAAVVRIIAAWLERSELPLGREVVRHMLDVDGHTWSVHRAKANWFRIMGVLTWAVGLARWLDGVQRWRSPFHHR >ORGLA07G0125700.1 pep chromosome:AGI1.1:7:14282889:14284476:-1 gene:ORGLA07G0125700 transcript:ORGLA07G0125700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVCLIQMGMSGALETLCGQAYGARMYRMLGLYLQSSLLMSAAVSVLVSALWCFTEPLLLLLRQDPAVSAAASAFVRAQVPGLFAFSFLQCLLRYLQTQSVVAPLVACSLAPFLLHVALAHLLVNALGLGLAGAGAAVSITFWASCLMLLAYVLRSERFAETWNGFSAEAFRFVVPTIKLATPSAVMVCLEYWAFELLVLIAGLLPNPTVSTSLIAMCSSTEAIAYMITYGFSAAVSTRVSNEIGAGNVEGAKNAVAVTLKLSVFLAAAFVLLLGFGHGLWAGLFSGSAIIAAEFAAVAPLMMASILLDSAQGVLSGVARGCGWQHLAAVTNLVAFYFIGMPLAIFFAFKLKWYTKGLWMGLICGLTCQTCTLMVITARTKWSKLVDAMQEKKASYVA >ORGLA07G0125600.1 pep chromosome:AGI1.1:7:14268118:14274520:-1 gene:ORGLA07G0125600 transcript:ORGLA07G0125600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRATIGAMGPSAAALRAAAVVGLVVAVGLALPPLAAALRPLRERVASAGAASSSGSWGDEHAFFKRDENEISPYSWNITGTYKGSWNFAGSTNGSSRFLEFTKLKGDAVLELLSTPTKISGVHYVQGSVTFHDVLDNAHDRGVAQIRLEGVYIWPFRQLRMVANSGADGEPLQEEDYFLSNPYHLLRIFSSQVFQETSEEKNRRKNSLTYDMEKHCSVEIAAKVVRVSSNLNEGEHEKYRLEGLMESTAVDDDGECFSPILLNATSLNVEVYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLNSGEGWEVMRRELSVLYSRFYGILLGGILLMYELHNFLRPLLFLMYSFWVPQIVTNVIRDTRKPLHPQYILGMTITRLAIPLYIFGCPSNFMRIEPDKTWCIAVTIFMGIQAAVLLLQHYFGSRCFIPHQILPEKYCYHRKVEDNTNQPIDCVICMTTIDLTQRTSEYMVAPCEHIFHSGCLQRWMDIKMECPTCRRSLPPA >ORGLA07G0125500.1 pep chromosome:AGI1.1:7:14258605:14263643:-1 gene:ORGLA07G0125500 transcript:ORGLA07G0125500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:receptor like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G28340) TAIR;Acc:AT1G28340] MQRGSLGVLRLRLWLWLLAVSASTAVLAADPSKEPFTIRISCGSFDDIRTAPTNTLWYRDFGYTGGRFANATRPSFIIPPLKTLRHFPLSDGPENCYYINNVPNGHYQVRLFFALVADPNLDSEPIFDVSVEGTLFSSLLLGWSSEDEKTFAEALVFVQDSSLSICFHSTGHGDPSILSIEVLQIDDNAYKFGPSWGKGTILRTAKRLTCGSGKPAFDEDLNGIHWGGDRFWLGVKTLSSSSDDQPISTENVIAETLLAPNFYPQSIYQSAIVGTDRQPSLSFEMDVTPNRNYSVWLHFAEIENGITAEEERVFDVLINGDTAFKDIDIIRMAGERFTALVLNKTIVVTGTTLTIVLQPLKGTRATISAIEVFEIILAEKKTLTQEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHAVIGLDNQGLRGFIPSDISKLQHLQSINLSGNSIKGNIPVTLGTISGLQVLDLSYNELNGSIPDSLGQLASLQILNLNGNYLSGRVPASLGGRPLHRARFNFTDNAGLCGIPGLHECGPHLSVAAKIGMAFGVLVAILFLVVFAACWWKRRQNIRRAQKLAAAREAPYAKSRTQFTRDMQMAKHHRPHESSRSGNDESTPHLLPS >ORGLA07G0125400.1 pep chromosome:AGI1.1:7:14255202:14257845:1 gene:ORGLA07G0125400 transcript:ORGLA07G0125400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLGLLKVRVXRGLNLAICDPLTHSSDPYVVLRHGSQKVKSSIRYHSINPEWNEELTLSITNMMLPVKIEVFDKDTFTKDDSMGDAEFGILDFVEIAKQDHSHLGDGAVMKTIHPDKENCFAAESHITWKDGKVSQDIVLKLRNTDTGEIILHLQWVNIPGVSR >ORGLA07G0125300.1 pep chromosome:AGI1.1:7:14237609:14243326:-1 gene:ORGLA07G0125300 transcript:ORGLA07G0125300.1 gene_biotype:protein_coding transcript_biotype:protein_coding CMYLYDTYTYPMWIRPTAKLVTTFTTQAHIRHKLSRKIKAINSRLEDIIENKHKYKIEEANTKTTGTWKASTSISYTHKKLEYLHESDVPIHVEERKKLEKVLLTTPEDLHGKEHNPVIISVFGKSGVGKTTLVRKIFKEIGKQKQFDIQTMECFAPYLSATNILQQIVQQLTKDNKNCPRNMVLKMLEEELKEQKYLLVIDGEVSGTELNNILSTLPIGHAGSRIVHITESKPEEPPSNYHHVTIELKTIDKSISKKMFLHHMEVQNLDLENHEDDIFQITGGLPLAIALLSGLMKTKESPGEWQKVFEYLKSKQSKQIDDMLSICFDDLPHELKCCFLYLAAFPANVTIEARSLVSMWVAEGFLRSKVGKSMEDIGYFYLKELSARNLVSLVQMDDDSNVSNMTVTIQNKVHEFLQFEAHEASFLEVHSGDDIPTLTSARRLSLQNYTDKYAVLANPLPKLRSIFSXFEQEPKEELETMTKSIQAYVCCSPQQGTIASMQKKNIKSHIKELLHGSEFLRVINIQGIEIGNRLTRAIGKAVHLQYLGITSCSLENIPSSIGNLTSLQTLDVRETNVRKLPKAFWMIKTLRHVFGFILKLPKQTVNLKQLHTLDSIELEDFEQGLDNTLGEMIHLEGLVIWNISNGNVEALLSALRKLESLKTLNLQGNNITSSVFTTLFLRRLKFMVLDGELDFSSDQLNNGLALPNLTMLTLRETKVTQEFINKLAKLPSLVTLALCLGSYKDQELVFFSNKFRCLKKLKVDVEKLKKVEIKLSMLPKLKKLEIRTHDSHHYQEHEVTHQEHEQKTVISWKKENAIQVE >ORGLA07G0125200.1 pep chromosome:AGI1.1:7:14235032:14236378:1 gene:ORGLA07G0125200 transcript:ORGLA07G0125200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSSPAIGGGGGGRVAVDLYPFLRVYEGGHIERLVRSTAAVAASHDDGTATSAAVRPATRDGVATRDVVVDEDTGASARLFLPGGGGEGRRLPLVLYFHGGAFVTGSAFGRLFHRYAASLAARAGALVVSVEYRLAPEHPLPAAFADGWAALRWAASLADPWVACYADPTRLFLAGESAGATIAHNVAARAAGPDGDDVDIEGVALLQPCFWGARWLPSEEAAAAGWRDDEPPMLAPGRLDALWPYVTGGAAGNDDPRIDPPAEDVSSLPCRRALVAVAEKDVLSERGRRYAAQLRGGGREVTLVESEGEDHCFHLYRPARPSAVELMDRVAQFISPASSCLQAEELHLHGRRRTLCHGNATAAAATRSGAPRRQLVVSGGPTTAKLGRPKTKVCGGPACKAQTALCLGPRGMGKAQRHGFVGMGGPMPSGTNKYSVSSAALRVLC >ORGLA07G0125100.1 pep chromosome:AGI1.1:7:14215455:14217084:-1 gene:ORGLA07G0125100 transcript:ORGLA07G0125100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPATAAAAAAPAAVVSTHEIRRAQRADGPATVLAIGTANPETCVPQDEYADFYFRVTKSEHLPELKNKLRRICNKSGIEKRFMFVNDDVMEAHPEFADRHLTSLDARVEIVSKVVPELAAAASAKAIAEWGRPATDITHLIFSTYSGVKAPGGDRLLASLLGLRPTVSRTVLSLHGCYGGGRALQLAKELAENNRGARVLVACAESTLIAFYGPEVGCNDTIIGQALFGDGSGAVIVGADPVGAVERPLFEMAFASQATVPDSEGAITMQHKKGGMDYHIGGGVPEMLAGSIERCLADAFGAIGVAARWRDLFWAVHPGGRRILDLIEEALGLDNGAMAASRQVLREYGNMSGTTVIFVLNELRRRFVADGAEGADWGALMAFGPGVTVETILLRVGSGLKGN >ORGLA07G0125000.1 pep chromosome:AGI1.1:7:14193106:14197465:-1 gene:ORGLA07G0125000 transcript:ORGLA07G0125000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHHQAAAATTVTTQDIRRAQRADGPATVLAIATANPETRRSQDEYADFYFRVTKSEHLPQLKEKLERICKKSGIENRYTYVNDEVMKAHPEFSDRKLPSLDARVEIASNAVPELAAAAASMAIAEWGRPATDITHLIFSTYSDLKAPSSDRRLASLLGLRHTVCRTILSLHGCYGGCRALQLAKELAENNRGARVLVACSEISLIAFYGPEEGCTDTDTLVAHALFGDGSGAVIVGADPVDAVERPLFEMAFASQTTVPDSEGAITVQHKKGGMEYHIARGLPEMLAGNIKRCLADAFGAIGVAARWKDLFWAVHPGGRRILDLIEEALGLDNGAMAASRQVLREYGNMSGSTVIFVLNELRRRFAADGAEGADWGALMAFGPGITAETILLRVASGLKGN >ORGLA07G0124900.1 pep chromosome:AGI1.1:7:14181160:14183983:1 gene:ORGLA07G0124900 transcript:ORGLA07G0124900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAHKEEVIGKLNVRVVRGSNLIIADPLTHTSDPYAVLSYGPQKVKTSVQKKNSNPVWNEVLQLAVTNPTKPVKLEVFDEDKFTADDSMGVAEFNVTDIYDAAKLDLKHVSDGARIKTIYPVGVNYLGAESHVSWKNGKVVQDITLKLSKVDSGLIVLQLEWVHVPGVTL >ORGLA07G0124800.1 pep chromosome:AGI1.1:7:14165828:14166975:1 gene:ORGLA07G0124800 transcript:ORGLA07G0124800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPENSTVCVGSTDGWLALHRTDAATAATGTKTKRHTFFLHNPFTATTVPLAELKDILDDAFFEWNEVWKGTWTPDSCSMPFVRVIDIAFFKDKLYLITTAEDLFAVDLAADKHGKPTVINVERIIRQPRSPDGMIDAFRWSDDEDDDGDGDASSTNDDGGTPPSTMKGXXTVRTMMRYSTKRVETGKLCPSVMTMTSTTSASSGTSLGSIESTRRSTRVSAPGTSWSRVTGCTWXDGSGYSRSSCRPTTPLQTDHTRKLDVFEANMDDGAWVPVTSGLGGQAIFVSELFSKSMAAPAHGEVEEDTIYFVDTHDVWNMKSGTRRPFRRVSKIMDTDMTWVFPPKLIV >ORGLA07G0124700.1 pep chromosome:AGI1.1:7:14158715:14159416:-1 gene:ORGLA07G0124700 transcript:ORGLA07G0124700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSTMAPWSDLPSDLLGLVIARLPFPADRARFRAVCRAWHSALRRHVAAPPQLPWIVLPEGTFVTVSDGGVHRMAFPESNTVCIGSTDGWLALHRTDNDDDDSVDGARTTKTRHTFLLHNPFTGATVPLAELRDILDDDFFEEFRVCKVIIRSRPDDGGHLVAVMTDHWDCPLILCQPGKGIWTPDSCTMPFVRVVDIAFFADKLYLITKAEDLFAVDLADDKDGKPTITN >ORGLA07G0124600.1 pep chromosome:AGI1.1:7:14153235:14153471:1 gene:ORGLA07G0124600 transcript:ORGLA07G0124600.1 gene_biotype:protein_coding transcript_biotype:protein_coding NMDTWVTPPGVSRIWAMLVRSTTAEITVHLCPSLLTLVPLVLSLLLSFGPLVPDLLLGRCLSLGAHPGMHLYEAPPVFA >ORGLA07G0124500.1 pep chromosome:AGI1.1:7:14145066:14146202:-1 gene:ORGLA07G0124500 transcript:ORGLA07G0124500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTVFRFQVTNAGEEEEPEERQIAVDPFSLRQFSRLDIDGPLPIPSVSVDHHHHHAPHPRPGPALVLAGASASVPTSPRRVSAWGAPPTRWDAHLAVVAAAPAARVASSDVMAPPRTAISRSRSCAGAAEAELDDDEFDVILSSSERKASAPQRWGSDVPLIGAGDGAEDSTGYAAADARGKSGRRKSKRGGGAAPFTCCLYLPGLGTRRTAKPPPPTAAARASSLPSSPATFRCGGGVESDPGTARPSTMSLAMSLERFDCGSCSTSSRSGLALDGEAGSSYFDLPLELILGCDGDDEADLPVHAAFMFDSDGIRKSVLKKGVRRAAAAAARPSMGKMSTDGADRISGRHVRFXVTSGSSPTSTPAVVSVLAGER >ORGLA07G0124400.1 pep chromosome:AGI1.1:7:14139447:14144281:1 gene:ORGLA07G0124400 transcript:ORGLA07G0124400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSVAAMPHDSTPWRDPSRASSRQPARGFFNILVPPPQSPRPRCPDHHHHHHHHDAAGGAATAAAAEPTPRRRRQILDRWAAAAAAASATASAEAPAPQEQRRRARDAELSALASATRPVTARAAVFREPSPAPSDASSGAGAGCGSGAGCGAELPPAAPRASSLIQRWREIEAVGPATPRPCDLASDSDGGSPRGRVGCIVKKLSGTSSIPDDELDAANKEVAMSQSAPPSPAPMRAGVEPPTTIAGINGSRPTQLVVRTVRGRRAMEELVAMMAHCRRCELAAIADRHVVSRFSHKGRIQSMLRLRLLRQGFKVKDEVWTLPKPVRPRLPKHEHEVYTTSKCIAGNQHKGGQVLAEKSTGSVERLVSLDGLGNEQYDGQNSTSENQCQEGCKNMVKLCTQNQEYSEPSSLVRYDEHSTVDDVSPSTISTLHELCTPSSRGDNLREDNQSLNGSWEERALWISSLGWSAPVEAMSPDSWNQDEIGDIENHTQIEFNDRPWIDSPNSWRSLCVATQADSGALSGNADICNLLESKKVSKSLESDFSNKMNNMLLTILRKQRQQHMIDDFEGYYDERLYWRQNDEPQNADQRVSAQCSLAPVSHLHQQEGWQHSSFEHQHHENQNFLEMEVRVRSEMAQVHHEIYELRKLVESCIASQVKIQHSIKEEMCTALREAGLMPSQPDTPAKRGSCCICHQTQVDSLLYRCGHMCTCFNCADQLKSSNRSCPICQSPIEDVVRAHMNF >ORGLA07G0124300.1 pep chromosome:AGI1.1:7:14093640:14094041:1 gene:ORGLA07G0124300 transcript:ORGLA07G0124300.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAAAALKRADVPEDEMANLERQLAPGPSTAPPAPSTATAPANRMMNFVSAGVQAQAESSSRQQRAAAANNEDIELPDESDEEEDDDQIAEKSVPAAVFGELGKRTAENREEESSSAQENEQLGALERIKRRRQ >ORGLA07G0124200.1 pep chromosome:AGI1.1:7:14075755:14077323:-1 gene:ORGLA07G0124200 transcript:ORGLA07G0124200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHCCSKQKVKRGLWSPEEDEKLVRYISEHGHSCWSSVPKHAGLQRCGKSCRLRWINYLRPDLKRGTFSEQEERTIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPKTHNLLPASKTLLHGGGGGGGGAANPSGNGLAQFQSNNGAAAAGTTPFTISSPAKAAAYDVAPPAIPPALYDVVLPANPAGGMLMAHDHHQAAVAAPVGYPYADHGGNGGGVLMSFRDQNAGVHGAASMDFMNGSSSSSSMEQLGGGGGMSSNGNGGFNASMAAFMDEEAAMWATAVEPPGSMGGLAVMDQVAQQQQQQVLVQDAAVGVAPTTLMMHGGGAATAGAMVVDKSVEMVDVSSAVYGGATATAFDLDLMVESCGMFCGGGGAGNAMEQLQWDC >ORGLA07G0124100.1 pep chromosome:AGI1.1:7:14060123:14070051:1 gene:ORGLA07G0124100 transcript:ORGLA07G0124100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide-N-glycanase 1 [Source:Projected from Arabidopsis thaliana (AT5G49570) TAIR;Acc:AT5G49570] MVSRRFVVRQGSGGGGGEAEEEHEVEYDTEHGLDILRLQIFSLTSIPPELQKIVVEADGSVVGDGTDLEAISEGLRLVVITGEEEEGEAAAAAEAARAQEKSDEELARMIQIVVEADGSRVDGGTDMESICEGLRVVAISEGDGEDIAAAEKXLRLADQAEEEALLLQQYSIRNDGGEEFRERVEPYMHQVLMYEDPMRQEAARKTVPMDELQEKALVSLAKEGNFSPSKDEEDHAFLLQLLFWFKQSFRWVNAPPCDSCGRETFNVGMGTALPSEIKFGANRVEIYRCNYCTSTTRFPRYNDPYKLLETRKGRCGEWANCFTFYCRSFGYEARLILDFTDHVWTECFSNLYGRWMHLDPCEGVYDNPLLYEKGWNKKLDYVIAISKDGVRDVTKRYTRKWHEVLSRRIITSEDTVSAILSSITGKYRSGLSIDGLTAIENRDKKESEELSKAAYLEVDTSISLPGRQSGSVEWRKARSELSQVESLACSSCPARKCVDAHVSKIYDALSALLSHFCDGDIPKERVIEVFDSLKCLMQNLKDAKFKSRRTTLDKKTQLVFEEIFPSVERLLCAMSLKAELGTDGKCSVTTVGNAVHTSLALPVAMDAVDEILSNYKSNAVCTKGHQFPRGNRLCSGSVLASGEQLPIGIATAAFDGIRSSKWEEPDGAKGCWIIYRMLDGQTCELDSYDLMSANDVPERDPMDWVLEGSTDGGSTWNTIDARSSVIFDSRFYRKTFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKST >ORGLA07G0124000.1 pep chromosome:AGI1.1:7:14030953:14043937:-1 gene:ORGLA07G0124000 transcript:ORGLA07G0124000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLNDVRVGSKVARMYLVQANCVGGYKGRYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKKVSLKLLDNADADTSKRERTRRMRTSTTSDSPSPSPQNKASFNTSRGAAFRDDEPGAKDNEVEKRKPLILHLKKRSTKELSTDTTSSKSGLLGKSSEEKQEKHGSALKVKKHLHPMELSPKKYKNKKQHSHRDSKRSEAKKVKYLASDVDSDSSMEPSTSLEHSESPPPKRKSLDGRTPASSTKKGKKKVKFVDKKHPENAVHITEKEHGGAGDKITTQGDLQVDRILGCRLQTSQIISPAHASSEQIDMAPPSASSAPEPSQALSKGLHEEIQSSNSDTNVTEDACADELANDGGENNLDCSDAQKESNVRSHGHKESLNAKEIMNTASACSADQIVTVKDAGAVQTNVTASVNGEYETVTDIPEEKNDTKHPVSKADTEVHTKQEHTPDSKLHGKIQETELKEHDGTTYEFLVKWVGKSNIHNSWISESELKALAKRKLENYKAKYGTDEIEEALIKWCALPYDECTWERLDEPTMVKYAHLVTQFKKFESQALDKDKGGSHAKPREHQEFNMLVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEYKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDASQMGKIKKSHKFNVLLTTYEMVLVDAAYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLTTTEKVEELKNLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGSPEFLHEMRIKASAKLTLLHSMLKILHKDGHRVLIFSQMTKLLDILEDYLTWEFGPKTFERVDGSVSVAERQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILHLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDVAVKDNNEASGVKNDVAEVEFKHKRKTGGLGDVYEDRCADGSAKFIWDENAITKLLDRSNVPSTVAESTDGDLDNDMLGTVKSIDWNDELNDDPGATEDIPNIDNDGCEQASETKQDAANRVEENEWDKLLRVRWEQYQTEEEASLGRGKRLRKAVSYRETFATIPNEALSEDSDEEDEPKREYTAAGLALKEKYEKLRARQKERIAQRHVIKNYADDRLEEFMKLYDSSANPLRIVEDPNPVQPSGAKRLGESTAEMKQSSKKTKRYPEIPQELYAKLPGNAASSKHHPKAADISNSGTPHHLLPVLGLCAPNADQMNSYKGSVCGPSTKEQKRASGELANKPLLTPAVDHCSEQKHDGQPTPCKPMFPGSSEETLRRLNNIIPDSYFPFQPIPPISGKGIGDHVENPVSSIPSFQGKLGLPNFSLEDSIPLKHLKSVPDLFPNLSLGTSNEYLRNCVPELPNSSFLPSFMADIAGTSKQKNKFMADMSGLLPGLAINPVQQIHSSMPENHKKVLDKLMMRAQYSSSKFLKNASNKFLKKSLKPDYWSEDELDALWIGVRRHGRGNWEAMLRDPKLKFLSHRSHEELASRWILEEQKIIEEPMPTATRSSNSTSFPGISDAMMSRALNGSSFSKLRMEPPKLQSHLTDIQLGCNDIPTRFSHVEPTNYMNLSEGGPSLTPWQDFKNRSGHSGDFPGPLDKWEKPDMGLIPPFMPNPFMKESIGSLPINRHSSNSIQQNEVGSSSHESILHGFSDGQAKLFHEMQRRVKLGKLPIEMNLNHTKLSDPLAENSGDFESSKPNKLPHWLQEAVRAPPSKPPECELPATVSAIAQSVCLLLGEQKPAIPPFLIPGPRLSLPKDPRSAPKKRRVHKVQQASSLVDHSKTTVGQGDHNSTPSAPLSMEAVPASPAVIKNNDTPSLNLNSPSSSSAGSRGQDASTPSTFEEPERTMEGSEPASDAATCPSRSEPPETGTHRTEFSAVDDMDTGSCRSPVRDTPDPDNQKSELSGSGNTPTELSVLPLVDAPGASSEPAVVPVSSDEESTQEGVPGKAVSTGDQEKRTPPDESENSGAANPVSAAQTADEDKVDEMITDEH >ORGLA07G0123900.1 pep chromosome:AGI1.1:7:14027130:14029916:1 gene:ORGLA07G0123900 transcript:ORGLA07G0123900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G12940) TAIR;Acc:AT3G12940] MDDAEANILASLGRVRLCDLAAADGLPSDGYKMCVSALTQSLAQYSAAVVELPPADGALLRSGLDSARLFFHQRGYGSGEAGGGSGDAREWCKTSGYYADPQMWLEIYDFRPGITPVEPNGVAELPPSGLPDMFSVLGKVSREVLDAISFSLNLRSSTFTELLDNIPLRSQEVSSSVLSACCHSRPSMEGTQQHSVASQDDEQLLMFSDQENQIDKTLLTLVKSDRSGLYIKDLHGRWILVDGDLGPLDIVVYPGLALYRETAGYVNPAVHKTEVGNLQECMFGRCSLVFKLMPRSVARLSGEEMRAAGHGVDAQFQVPILVNDFMQTEHSANQLFPKNNEPSPHVEQEASYNFVMKRKKEGRKTKALPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCSLRDCESHIKSLDHPCENIRTEIGWPPGVPFVHPHDLPNKAKLRFLEAYEPGWTASQQDLEVGSVV >ORGLA07G0123800.1 pep chromosome:AGI1.1:7:14023686:14024669:1 gene:ORGLA07G0123800 transcript:ORGLA07G0123800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXORDIUM like 1 [Source:Projected from Arabidopsis thaliana (AT2G35150) TAIR;Acc:AT2G35150] MGRLPLLLLLAAAAVSTAGGAPVYRADYLVDGNQLVDMQYHMGPVVSGSPTNLYLIWYGRWEAAAQAVLRDFLASLSAPAAPSPAVSDWWARAPRLYADQTGANVTGAFAVAGERSDAGYSHGASLRRIDMQSVIRSAVYAYPDPLPLDPYSGVYLVLTSPDVQVEEFCRAVCGFHYFTFASVVGVTVPYAWVGNSATQCPGKCAYPFAAPDYGGGAGGQQVLRPPNGDVGVDGMVIVLGHELAELATNPLVNAWYAGDTPTAPTEIADLCLGVYGDGGGAGGLVGNVSRAADGASYNVNGVNGRRFMVQWLWNPVRGACYGPNSSS >ORGLA07G0123700.1 pep chromosome:AGI1.1:7:14017081:14019715:1 gene:ORGLA07G0123700 transcript:ORGLA07G0123700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEYNTESSNEEDMQEDDGEKQGNVAEGDIFKPVDIDPAFVPKVGMVFESEEDAFQFYVSYGCRSGFGITRRSNNTFDGFRYRSTFICSKGGQSRLRSGATRSARKRGTKTGCKAKMIVKDAHFQNRWEVIVLELEHNHPLDPSLLKFKKQLKNSPFLQNPPLMLEAPDSSSAAALSSRGGDSGIPLSTQIEFRTKIDRNRKLKLAEGDLDALLSFLNKMQDQNPYFFYSLDMNEQGQLRNVFWADAKSRSSYNYFGDVVAINVRNFSDQYEIQFVSFVGTNHHAQQVLLGCGLLAGRSLGAYVWLFDTWVRCMNSTPPPSVITNYCHDVAIAVKKVFPNARYRFCLLDILNELPEKLEETEKKDEIVSAFSSLAFDSITMPDFDKDWQEMVEQFHLEGNEWLSKLFEVRTQWAPVYVKDSFWAGMSITERSDSASDYFDGWLMPDTSVKMFVEQYESAVKVKLEKENYEDLRSSQMRPPVMTGVSVEEQAAKMYTLEIFQKFLDEIGHSFHCNYSILDRNESVVTYIVSDHIDETKKVDYKVAYDNVEDDILCLCRLFQFKGILCRHALTVLRQEFVPMIPPKYIIHRWCKDCKQTCSSMSQPVSLSNQETGGYDDLYKLTHQYFTEVVEFGSVNSESKGYALSIMREIRDKVISYEKSLRDQRVDSHVSTANFAYNPVNEDFNDDTLPISLSTKGWDVVQGQSKRSRKKKLATPNVLDTLKKKTKRAYNKRRNAMANTLNTAVTTTESITDATNVQHNQVNEGWPLTSTGAHETFPYGVETISFDLSQYNSAPSFHWPESSSRSQLQ >ORGLA07G0123600.1 pep chromosome:AGI1.1:7:14011765:14012388:1 gene:ORGLA07G0123600 transcript:ORGLA07G0123600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLADPAAEGFRIPFLPSDSDLLDCLLRPKIASGRVDPRFAPLVHDVADAFALPPAQLAAAHAPAPGAGGAEAWYFFSVRPRARAGSKRAASRAVGGGGGKRWCSMGAKKAVEGGGYCQRFRYKERTAAGVVAPRWMMVEYGVAQEHDGEGVAQEHGGKGVAELVLCKIFRSPEPSRRSESGSPSSSSSASASPSCSGGRKRKAAE >ORGLA07G0123500.1 pep chromosome:AGI1.1:7:14006354:14008996:-1 gene:ORGLA07G0123500 transcript:ORGLA07G0123500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAADPSSLSGDSSPTSSGDAESSSSPSSSAAAAGGSDDPAPVDQVVASGSGAGGDGDAAAAVPTSPRIGMYFETEEDAYEFYKAYAARLGFVVRKSNKSKNSRHTVTRRLFVCSKQGFRQEPKKPPQDEATAASPPPPRCPDWRTGCLASLTIKLLPSANAFRVTDFAAEHNHPLASAAPAVSLALLPPSSSHHTIAAVASLPDPRDGPRTDMHFETEDDAYAFYNRYAEHVGFSVRRSYKKRKRGVIVSRIFVCSREGVSDRAKHESIAIVSNNASTGPSGTPRPGPPPTRTGCQARMVIKITPCRTYRVAKFVPEHNHPLANPDSVHKLRSHKMRARAHELGAGELHRRKHGKGVQLGDAGAVLEYLEELQVGNPSVYYAVGMGPDGKSAANFFWADAKSMIDYRSFGDVVCFDTTYELNGYGRPFALFVGVDNHKQMLVFGAALLYDESIESLKWVFKAFADAMCGKQPDTVLIDERPECAMAAAEVWPRSSHCTSVWHIYHNSKRHLKQVFEGSKSFANALSHCLFECDDEVEFLSAWEKLIEKHDVGENEWLNKLFLEKEKWALPYWRALFSADILTTLRKDNMINDIKRELSEQEDILQFLRRYETMLEEHRSKKLQADVDGSQVTLPIPSLRMLKQASSAYTPEAFKMFQGEFEAYMNCMSFPCGGLGTISEYKITLDEKPSESIVKFDALDGSATCSCRKFESVGIQCCHVLKVLDLKNIKELPEQYILRRWRKDARSVRIGEEPSGGSSSMRSASEVRFSTMCRFLSLIASRAARSEEATSYIESQSSVLLKHLDDILQTGYPDIGNHVVASSSQPISFVGNQLPDHTSQARGVPQTTNGLMGV >ORGLA07G0123400.1 pep chromosome:AGI1.1:7:14003818:14005104:1 gene:ORGLA07G0123400 transcript:ORGLA07G0123400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:expansin-like B1 [Source:Projected from Arabidopsis thaliana (AT4G17030) TAIR;Acc:AT4G17030] MAQLLRRHLPVILSLILFLSKATADANFTVSRAAYYPNSDIKGTENGACEYGAFGATLNNGDVSASASLYRDGVGCGACYQVRCTNPYYCSPNGVTIVITDSGASDGTDFILSQHAFTRMAQSTDAGTALLTLGVVGIEYRRVSCTYPNKNIVFKITESSNFPNYLEFEIWYQQGNQDIIAVQLCETVNLTCQLLSRTHGAVWAAVSPPSGPLSIRMLFSSRAPRGGDTWLVPTNIVPQNWTAGATYDSGVQVQLQ >ORGLA07G0123300.1 pep chromosome:AGI1.1:7:13994056:14000638:1 gene:ORGLA07G0123300 transcript:ORGLA07G0123300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:I1QAU1] SQKVDRPFLLDMQRLQKYARDGPSDTYPLAKWRILNRLHDRNETMYYKVLIDNIEEHAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVHGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLEGEEYVAIIDEFMEAVFARWPNVIVQFEDFQSKWAFRLLQRYRKTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMLDFPKQKIVVAGAGSAGIGVVNAASRTMARMLGNNEVAFESARSQFWIVDAHGLITEERTNIDPDARPFARRKSELGHQGLSEGASLVEVVKKVKPDVILGLSAVGGLFSKEVLEALKDSSSSRPAIFAMSNPTKNAECTPEEAFSILGEKIIFASGSPFSDVDLGNGKIGHSNQGNNMYLFPGIGLGTLLSGARVISDGMLQAAAERLASYMKEEEVLEGIVYPPISRIRDITKEVAAAVVKEAVAEDLAEGYRDMDARELARLSEEETVEYVQQNMWSPVYPTIVYKKD >ORGLA07G0123200.1 pep chromosome:AGI1.1:7:13984587:13987838:-1 gene:ORGLA07G0123200 transcript:ORGLA07G0123200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G44610) TAIR;Acc:AT2G44610] MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEGKAKDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLKSSNANSSQSQAQAGGCSC >ORGLA07G0123100.1 pep chromosome:AGI1.1:7:13981245:13981945:-1 gene:ORGLA07G0123100 transcript:ORGLA07G0123100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLYRLSQGHKRPYRNTYEDKWHGCRAPIKDPKLGRHPNNLSKPPGALLHSPHPRLEKRGSRQMWSWSVGTSPAQCGSAHPRPVRLLPAYRVLLQVPSSARRSSPADAFAVPGVSSSLHSPPELDEKHGPVTESCDDVKALDGPSAAAGCEIETVVAG >ORGLA07G0123000.1 pep chromosome:AGI1.1:7:13965939:13974946:1 gene:ORGLA07G0123000 transcript:ORGLA07G0123000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTPSSSAAADLYETASQPDPPASAAGDAYTFLEFNTQGDDFDYPDFPELSQPARSAPPTSAPGVPGSAGSPSPSSSSWPPPPPPPPDASQDPDLAPREATTPPASSSSPSPRASAKARASAAAADGLASGVAALSFEEPLGAGAGEDGFGYGKGDFVEHACRYCGIHNPACVARCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKAENVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQQVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDSLTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDSSHPAWQSVGHVIKLTAQEEVALELRASQGVPVDLNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPRRFGAPGLPELNASQVLAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSDFPSNCFYEGTLQNGVTVNERQTPGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGVMQGASFGAAGTNPVADKRSGRGKGHSFVPFGPPNGAHKPGVHPSGYPLPRMPFPPFAGAHSQPYAIPTRGSLHGPIGAVPPVPQPGNRNFGPRGNTGGPIGGHLAHQQSSQQAMGGMGSAFNFPGLENPSSQPSGGGPMSQTGLMTQMPVQGLSQTFRDGFSIGGMSQDFFGDDFKSQGSHVAYNIADFSTQASQGGYGVDYSQGPQSGYPGNYLNQNAHPGYSHMGAANDIVSQDHMAHGSHGMFTQAGYNDPSQDESSQMHFGMAGPGLQSQPMMNPLYSQSYAHYNTQPQSLQPPPQ >ORGLA07G0122900.1 pep chromosome:AGI1.1:7:13962883:13963987:1 gene:ORGLA07G0122900 transcript:ORGLA07G0122900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGREEEGDVEEAEEARPRIEVRWREADVAGVGVVEATDVAVAVDTDVGRVPPDLEGGEGVITDRNKEA >ORGLA07G0122800.1 pep chromosome:AGI1.1:7:13951403:13952379:-1 gene:ORGLA07G0122800 transcript:ORGLA07G0122800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLRAFAYYRRSSPVHTEAEAEVCSWLFSTNCLVSYESSLHSSIVFLYVYSRIQSIQLRCVPARIEDSRFNWLFIHSLSLWSCKSNMEELNIVQVCSEDTRRLGKIHLTA >ORGLA07G0122700.1 pep chromosome:AGI1.1:7:13943268:13945709:-1 gene:ORGLA07G0122700 transcript:ORGLA07G0122700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17616) TAIR;Acc:AT4G17616] MAISPTPATTTSDSKLTVDFFILPSNSKQADCYGSWRRQSALAIHHLPMGTIAAKILPWEAPSRETLLRTINAALDDGNVDDVLQAFANYKTLHGLPEPRVLDRMIVSLSYASSRRWLQRAFDMVLSVYQCNGNLLNCGSLMKLALALARDQMPIPASTVVRIILESGKLPDVDMLTMVYLHMVKSQVGSYLAADVLCETCECFLEQIGDRRQLKKLDPIKSNVTLFNMVLKSCVDFKCMIKAQRIMELMSLVGVVADVNTVAIASLVFEMVGQRVELVNMKRSIDSFASLPFIQHYLYFYGSLLNLHFKYNDMDAAAQLLVDLYRQQKPRAFVGDSVHKQGVIQIGSGNLKTGFRIMFDPIKVDKGFVLDTESQFGLLAVIDGNIRPSEKALAKFIVGCLKASKVRALSSFLITLHKEDLKGPSHSDVISACILMGWLHAAHDILDDLESAEIPVLICTYMSLLRAYEKENKPEEVDRFLQQIQKKAYTMADFHTNPSFTIKDVAKIVKDEMPLRNSSLLSSLVQEIEHYSSREHLTFEFNNSILFFCKANMMDDALSTYKRMREQNVKPSLHTFCHILCGYSSLGMHREIAMLWGEIKRRLEYGELTVDRDLLDCLILNFLNAGYFARVMEVLSYMANRKMYCDKWKYKQVFLKLHKNLYRNLNLLHEKTEEQSKRIEDVRAFRSWAGVK >ORGLA07G0122600.1 pep chromosome:AGI1.1:7:13937736:13938373:-1 gene:ORGLA07G0122600 transcript:ORGLA07G0122600.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVSDHQFFLKYQVDMVIVPATTGQMGVLPGHVSTIAELKPGVLSVHEGNDITKYFVSSGFAFVHANSIADIVAVEAVPLDQIDPAAVQQGLAEFNAKLGSASTELEKAEAQIGVDVHSALNAALAG >ORGLA07G0122500.1 pep chromosome:AGI1.1:7:13924554:13928017:1 gene:ORGLA07G0122500 transcript:ORGLA07G0122500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit beta [Source:UniProtKB/TrEMBL;Acc:I1QAT3] MYKQGGGGGGGGGGGAGLDRKRISDALDKHLEKAVAAAAAAASPSTSRGSAGGRGGGDHQRLVVPSSASSMPKGRCSEGESESDSEASDVSGSDGEDHSWISWYCSLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQDIDGAYFGTTFPHLFLMTYEHLKPQKPSQRYVPRVFGFKLHKP >ORGLA07G0122400.1 pep chromosome:AGI1.1:7:13915066:13919682:1 gene:ORGLA07G0122400 transcript:ORGLA07G0122400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ureidoglycine aminohydrolase [Source:Projected from Arabidopsis thaliana (AT4G17050) TAIR;Acc:AT4G17050] MMLPRLLLLVVASALPLASVAAGAVGVGEGFCSAEPSAASGGCSGVRPPLYWKVTNPTLAPAHLQDLPGFTRSVYKRDHSLITPESHVFSPLPDWINTLGAYLISPAIGAHFTMYLAKMHDGSKSALPPKGVERLIFVIQGSILLSEESGNTHTLLVDSYAYLPANMKHSVISDEVTTLVIFERRYTTIEGYHPDLIVGSTDKQPLLETPGEVFELRKLLPTSLPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQSGDTIWMAPFVPQWYAALGKTKTRYLLYKDVNRDPLI >ORGLA07G0122300.1 pep chromosome:AGI1.1:7:13908421:13912036:-1 gene:ORGLA07G0122300 transcript:ORGLA07G0122300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT5G51150) TAIR;Acc:AT5G51150] MPFLSTPSFDLSAGAEPTLGPRSPPPPPDAAHPPTPQQAAPASEAAARRLREAEERLREAIQELHRHQHGGGGDGDGDGKGVDGDEEGGGGGGGGGWGCGHEGESCAAHAAGNLCQSFLLSYGVRVGIGILLRAFKLARRRSYSSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRRFRKKETPFNAILAGSVAGLAIVALDDSSRRRTLSLYLLARLAQCAYNSAKSKNRFHFWGSHWRHGDALLFSLASAQVMYSFVMRPESLPKSYQDFIQKTGPVAEPVYKAVRDCCRGGHVDLIGLSAYLANKKNSNLINLTKSPPIIPCSVIHPDRASCLAHNVSVSSSTFKKTFPLYFSLTFVPFVVLRLQKFLESPAATCWRALVGAVRSTTFLSAFVTFFQAAICLHRKVATKDHKLVYWFAGLMSGLSILLEKKARRAELALYVLPRAGDSLWYILINRHLLPNIKNAEVALFCMCMGGIMYFLEYEPDTMAPFLRGLIRRFLASKISNPIPPPNPNASYSYLQKLNALEQPRTQQGPENGLTSSEKYNLEAIPGL >ORGLA07G0122200.1 pep chromosome:AGI1.1:7:13905507:13907438:1 gene:ORGLA07G0122200 transcript:ORGLA07G0122200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WETGMRNFHNLLGILQMKYWQKQILIQMLGASQEGRXSTSQTTTALSWEKEVFLWCTRENLIMAVQWQXNNIIGEHRKRSSQKKXSYSPSVAIGTLLGYWVEADAPMLVTEFVPNGNLSELLHGKSGQLPVSLETRFQIALDVAEALVYMHCSQNHPILHGDIKPSNILLGDKHVAKLCDFGISRLLCMDNDEHTGFVIGSKGYMDPVYCETGRLSPKCDVYSFGVVLLELITRKKGIDDQSRNLAGMFARSSRDKRHELFDKEIAADENIDFIEEIANLALDCLKSEIEDRPQMKEVLKQLWSIKRSEILRQERRLAELRERRIMTLREIEVMLRGSGFERFVTKADIDSIIGDPEQESTSETFSGKSDVAMGKVYMGRLKNTPLIVIKMSVEVDEDWKQTFFYEMIMQSRIKHWNVAKLFGCCLDHVDAPVLVYEYGEMGLHDALFGKAWQSIEHPFTSYVRLQIATDAAEGLAHLHSFDMVHGDVRTANVIVDGFSGSKLEMPXISTFPAKIAGLGTTKLLSLDKAQYARFLTENIHYKDPHFLITGLMTKEHDVYGFGGVLVELFTGNKIQMHDINTVIKYFDSVFAICHHLVEIKELASWCVAPEVTERPTMAKVVRCLHDILKDQRRPCPCPCKSMH >ORGLA07G0122100.1 pep chromosome:AGI1.1:7:13902757:13903032:-1 gene:ORGLA07G0122100 transcript:ORGLA07G0122100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSQLRRPISLSTLLPTTSREQAWAASLVSIPSLAEMKTMIIFTTKPRSSLSLLRSILNSSCRTNFLLTPSRLIHCSNGNTAVPSSSWSVL >ORGLA07G0122000.1 pep chromosome:AGI1.1:7:13884357:13886366:1 gene:ORGLA07G0122000 transcript:ORGLA07G0122000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEKYFMHFIGFAHYKVQTNNKYSALNNAPSSPICRHKYNHIFCVTDMGDWYDKLSQSFRDTAKEVLAKTDIDPNVRCFPKRQMKRITNNYSTTLGRGGFSVVYKGRLDDGRSVAVKQYNWRTQKEFTKEVIIQSQCSHKNIVRLLGCCVEATAPILVTEFVPNGNLSDLLHGNSGLLPVTLETRLQIALDVAEALVYMHCSQSYPILHGDVKPSNILLGDKGVAKLCDFGISRLLSMDSDEYTGFVIGSKGYVDPVFCQTGRLSQKCDVYSFGVVLLELFTRKKGIDDMKVCLAEIFACASRKGDEHKLFDMDIVTNENMEFLQGIGRVALECIKFEVEERPEMRLVLEQLLSLKRSRDKSIHEMLVVRKEIEVFLRGCGFGRFILSKESVDDLICNLKIVLKECALGKAYIGKSRGTPLMAIKMSTAVTEKWKDMLGNEIAVQSRIKHMNVAKLIGYCLDHSDGTVLIYEYGAISLYDVLFGDAGKIYRPFTCDLRLKIAIGAAEGIAHLHSLGVVHGDVSINDILLDHVSSSLVKIAGYGTSGLPDIDKALDSLETGHGKKEHDVYSFGLVLLTLFTWKKVSLPHDLKSEPDKPVLLHQEAIRGRRCNHLEMIKGLASRCLTSEATKRPSMVEVAKHLNFILIFPERRKTCHDLAIYQSRMLSD >ORGLA07G0121900.1 pep chromosome:AGI1.1:7:13880029:13880845:1 gene:ORGLA07G0121900 transcript:ORGLA07G0121900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AASGGWGEKAAKYVFGIAFQRNRFLKVAKCPFLPGDGKVIHRVDEVKALDLLSVGTVTTRRAGGAMGASKQRTATAALAFARITSTSYTRCSGAHRGSRAQWRCLRCRGVALVPPPDATRNVGVAATAAVHRNRSSPPSARLPP >ORGLA07G0121800.1 pep chromosome:AGI1.1:7:13837888:13851664:-1 gene:ORGLA07G0121800 transcript:ORGLA07G0121800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25120) TAIR;Acc:AT4G25120] MSRWKENASPLPLHPAGSSSLLPRKRPPQSPPPPQPPCPPPRRPLADVTGNALRQRGSGGGGCWYGDGYGYSTPAPKAPRSSCGFLLDDDEGMDEAFLREVDAICEEHERSSARKDKEAGEAPPLIPSEPESGVSGDAFREEENANGEEGDAQPFATSQEEMEDADEEEICELWFGDDSLPPAISIATGGGEFEDAFWNVRDITEEVHHTGSSAKCQEYMDGKNSDGPSVPSVICHEEREGELVVAFLEDLDAIHQGDATKGQEEPQEMELEIEENEGCVPKKYYEYFQSLNDRQSEAACSDVTIPLMIVAGPGSGKTSTMVGRVLTLLKEEFPPSNILAMTFTTAAASEMRDRIGTVVGKAVAKEIVISTFHSFCLQLCRTHAEKLGRTSEFIIYGNGQQRRAVIEAERLLESDKNNGLGDANKNYDGDIKNSFKDKAKKWQKFVTQAKASGRTPEEYEKKGDLTGASILRHYNEILRSCNALDYHDFINSSITLLTKFPEVYDECRNTWQAIVVDEFQDTSAMQYYLLKTLASHNRITIVGDEDQSIFSFNGADVSGFDSFRRDFPNHKEVRLSKNYRSTRAIVEAATALIHNNTKRQSHKLVETDNPSGNKIIVKECHSEDSQCAFVIDKIIETTSSSVEGCHFGKIAVLYRRQITGKAFQASFRNRKIPFNIHGVAFYRKKIIKAIMAILKTTLPGCDDDVPWHQAFKAILPGDKEEKKKIIHHIEKISLARKCSFISAATDIFSAKVSGTFKRAQITQGRKVLSALDSLSKLVEREQSVSVVISSAGDMLPQKYLLEKRAIVDADGGKLLNEDNDIRSVLQFLMDDVSDFLSTHFSSSVDTSKTEEKGCASTLKAFIDYISLRETENFRSRKEENKNSITLTTIHQSKGLEWDVVFIVQANDSEIPLLHEYNGTVKDAGSTLEEERRLFYVAMTRARKKLYILHVTVDSNRQLLQPSRFLREIPAHLLEVQGEGTLRKTPEQPVNIPFDKPEGDTSVERPMVVRNETSPFPEMDQPCLANDFLKRFEIEDRAIISHIFHQWAKKQAFQNPKRLLDKIGFVIDERLRGKGYKRKDVLCKLKSFLSGDEAFGYAQYVIKWEQIPIDKRSHLMRERQEHFQKQRIENSMGSSEPTPKQISYLRNLGCTITPTSRLHASHLIEKYKSL >ORGLA07G0121700.1 pep chromosome:AGI1.1:7:13834502:13836559:-1 gene:ORGLA07G0121700 transcript:ORGLA07G0121700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:I1QAS5] MEQSFIMIKPDGVQRGLIGDIISRFEKKGFYLKGMKFMNVERSFAQQHYADLSDKPFFPGLVEYIISGPVVAMVWEGKDVVATGRRIIGATRPWEAAPGTIRADYAVEVGRNVIHGSDSVDNGKKEIALWFPEGLAEWRSNLHPWIYES >ORGLA07G0121600.1 pep chromosome:AGI1.1:7:13831126:13833140:-1 gene:ORGLA07G0121600 transcript:ORGLA07G0121600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATTSSHALSCYLHPRRRRHRARLNAAVSPRSEGGGGVRRKEEVEIVIVGAGVAGLATAASLRRLGVGSTVLEQGASLRAGGTSLTLFKNGWRVLDAIGVADELRAKHLRIQGMKMRSASAAAGGVLREFSFEEEAPGQEVRAVERRALLEALASRLPPGAISFSSKVRRVAVAVAGQQGPGGTTELELEDGRRIVAKVVVGCDGVNSPIARWMGFSEPRYVGHMAFRGLARYDGDGGQPFEAKVNYIYGRGMRAGFVPVSPTLVYWFICFNRPSPGPKITDPAALKREALELVRGWPEDLLAVMRDTPDDAVVRTPLVDRWLWPGLAPPASRGGVVLAGDAWHPMTPNLGQGACCALEDAVVLARRLATAAASEGGEASSYGEAMRAYERERWGRVFPLTARAGLVGALVQWGNPAVCAARDGVVIPRLVRLGPFLEHTNFDCGLLEPSAAAAAPSP >ORGLA07G0121500.1 pep chromosome:AGI1.1:7:13819239:13819487:1 gene:ORGLA07G0121500 transcript:ORGLA07G0121500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRRRSRGGLNPVATDDVEDDGDSAEDGGGHRVCERREAAPAHLSSMPSSTLGXQQASRCKRVADKEMGGGMCVGPTVGQ >ORGLA07G0121400.1 pep chromosome:AGI1.1:7:13812361:13812498:-1 gene:ORGLA07G0121400 transcript:ORGLA07G0121400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNGGEEMKVESGVVGEVGGEEERKKGVAQRRRRRCGRCLVGE >ORGLA07G0121300.1 pep chromosome:AGI1.1:7:13804204:13807059:1 gene:ORGLA07G0121300 transcript:ORGLA07G0121300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKGFPSHLDXFHVGRGLAPELKGTKDSPRIGRAHHVAQLPPEQGRSNGCQTEAARGLVDRGCQSGWGDTCHHGEDSSIMPFSTGRLGNYLSLRTTAEESDWTSQRQSALTGGGGVGVAISTRVEDGKFGPMGGLGQGPEVYYLVDFHLVLNVQELIRMMMNHAIYHFHRHFEHLSLADRVNISQTVVSPPMRFGNSGCHIDLNDQPPVEEKLAAEAVKISGEEKMLIKADKEYPTPPVSPPRSFGTGVCGYDLNETPEEIDEP >ORGLA07G0121200.1 pep chromosome:AGI1.1:7:13797139:13797429:-1 gene:ORGLA07G0121200 transcript:ORGLA07G0121200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAATSRIYEALKMLQPPPWVHARPHLCLYHVTLVVLTTNLSSPLFCPPFPLALHFAGSNSVTIARAFIPDTINKAIGTDKKATEYADLCDFLIS >ORGLA07G0121100.1 pep chromosome:AGI1.1:7:13794642:13795550:-1 gene:ORGLA07G0121100 transcript:ORGLA07G0121100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFAXSSKAPASARLKLRRQHQQREICDALPVPHLLQSLLLLGPAAGSAAHDTFGRRIRKTTPIFPSRCDYRRKNLVVSFGTGQSTSRWPVPSLFFLPGFNGFGKSVLGWAFSRVTPAGEIEAFRKGSSMAAGGTGEGEVSGRM >ORGLA07G0121000.1 pep chromosome:AGI1.1:7:13788822:13790050:-1 gene:ORGLA07G0121000 transcript:ORGLA07G0121000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLPPCLADFVQTNSSSSLYGYSCCIGNLSKSQPLPDYKPKTSVRKKPRHSSIGKRRQCSSGLEAMENNVSVSVSLEGNISSLPNSIINDSNGKDTSFINHAAIAWAEMRRQWTGDQEKVPKEASQEPIISWCTTYDDLLSTSERFPQPIPLSEMVDFLVDIWHEEGLYD >ORGLA07G0120900.1 pep chromosome:AGI1.1:7:13783587:13787437:-1 gene:ORGLA07G0120900 transcript:ORGLA07G0120900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKGLLKPRPTPQQQLREWQRKLRNECRVLDRQIRDVQREEKNVEKSIREAAKRNDIGSAKALAKELVRSRRAVNRLYENKAQLNSVSMHLGEIVATARTVGHLSKSAEVMKIVNNLMKAPELAATMQEFSKEMTKAGVMEEMVNDAVDSALDSEDMEEEIEEEVDKVLASVAGETASQLPDAVRKQRINQASTSRVPEERQAVAEGADDDEEDLEEIRARLAKVRS >ORGLA07G0120800.1 pep chromosome:AGI1.1:7:13772386:13775834:-1 gene:ORGLA07G0120800 transcript:ORGLA07G0120800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1QAR6] MGPTRQSHSLLLTFLLVGAAAAAAAASPEDGSPFLRLPTASDLPVPAGQLPRSAAVGLIRALNLHPRDASPSPSSRGDGDVPAGTLVERPIHLASMATGKSGGSSAEDLGHHAGYYRLPNTHDARLFYFFFESRGSKGEDDPVVIWLTGGPGCSSELALFYENGPFHIADNMSLVWNDFGWDQESNLIYVDQPTGTGFSYSSNPRDTRHDEAGVSNDLYAFLQAFFTEHPNFAKNDFYITGESYAGHYIPAFASRVYKGNKNSEGIHINLKGFAIGNGLTDPAIQYKAYTDYSLDMGLITKSQFNRINKIVPTCELAIKLCGTSGTISCLGAYVVCNLIFSSIETIIGKKNYYDIRKPCVGSLCYDFSNMEKFLQLKSVRESLGVGDIQFVSCSPTVYQAMLLDWMRNLEVGIPELLENDIKVLIYAGEYDLICNWLGNSRWVNSMEWSGKEAFVTSSEEPFTVDGKEAGILKSYGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTSGNLSNASSSFQRLDFTM >ORGLA07G0120700.1 pep chromosome:AGI1.1:7:13766749:13771431:1 gene:ORGLA07G0120700 transcript:ORGLA07G0120700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAGTMELEILGINFGCVLAALADAKIPEKDCLLPLASKLLGYAIVAASTTVKLPQILKILKHGSVRGLSVASFELEVVGYTIALAYCIHKGLPFSAYGELAFLLIQAIILVAIIYYYSPPMGTKTWMKALLYCGLAPTVLGGKIDPALFEVLYASQHAIFFFARLPQIWKNFMNKGTGELSFLTCFMNFAGSIVRVFTSIQEKTPLSVILGSAIGIVMNGTLLGQIVLYQKPAPKKEKKRD >ORGLA07G0120600.1 pep chromosome:AGI1.1:7:13762074:13764809:1 gene:ORGLA07G0120600 transcript:ORGLA07G0120600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAMPSPSPSSSAAAAAAAASGCCLDRLWRACGGCGAAAASAAGWTVCALLTCVFAVVGSLVGVFIGAFMGMSTESGMLRGAGVGAVSGAVFSIEAVESCIEIWRSSESGKYSIIFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLLSTPFIDNNDLFETGNTGGMSRDLINRIPKTTFSAATNPDQETDNCCAVCLQDFGASQFVRVLPHCQHTFHARCIDNWLFRHASCPLCRAGVHIDHIHM >ORGLA07G0120500.1 pep chromosome:AGI1.1:7:13706732:13707196:-1 gene:ORGLA07G0120500 transcript:ORGLA07G0120500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGPPAATALVVALGIARRHCTPYMHAFSARTGSELIHDDGWSRCEGVAVHDDRAGRSAAAYPLRRRHQXLPPAIAGHALLDFVLATSADHPSRPKPCHHRSTRAELVTTMGPHVAATTFFTDSAAAAARSQRGWLVAAAGLSIDLGLVLDLG >ORGLA07G0120400.1 pep chromosome:AGI1.1:7:13673316:13673735:-1 gene:ORGLA07G0120400 transcript:ORGLA07G0120400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQYELISDRDPNRSSTGLGGPSDGSYIFVRGVTGGAWEYGEENARGGEGRASAIDYFLYIDARYFARVSSTSQRLLPLRSASSGNDL >ORGLA07G0120300.1 pep chromosome:AGI1.1:7:13668203:13668619:1 gene:ORGLA07G0120300 transcript:ORGLA07G0120300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAIIARIYAGQPSVVEKQQRTTXSXLGIGSARARADACNDDDAWCLRRRIERRGVNLATEGARRRRRLDWGGRRCGGCRRAGATGRLRQWGGSAAWRRKGWECRGGRQRRWGRRKEGENGSGMRAVEGVAAAWIRGL >ORGLA07G0120200.1 pep chromosome:AGI1.1:7:13657008:13661135:1 gene:ORGLA07G0120200 transcript:ORGLA07G0120200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Histone deacetylation protein Rxt3 (InterPro:IPR013951); Has 34444 Blast hits to 20801 proteins in 1175 species: Archae - 64; Bacteria - 2390; Metazoa - 15568; Fungi - 3729; Plants - 1886; Viruses - 208; Other Eukaryotes /.../9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08450) TAIR;Acc:AT5G08450] MSGAPKRSHEEGSHSTPAKRPLDDSSLYSSPSGKIIQPGSSDFHGSFEHDGRFAKVQRIEPRDDKRPSLAHRMPIGPSNFVDHSISSDGRLESKQNKDPRDTKVDVREAKADTRDVYSDPRVEFPSNKVETDVKTDNRADDNDIRADRRINADYKGDAKLDKDGHPTAISNIAWKDNKEHRGKRNIEQPSDNADWRFPRPGLQGTDESSKGPVPADERSKDAHESTGENKTEPKTEDKFRDKDRKKKDEKHRDFGTRDNDRNDRRIGIQLGGNSVERRENQREDRDAEKWDRERKDSQKDKEGNDREKDSAKESSVATEKENAILEKTASDGAVKSAEHENKTVEQKTLKDDAWKSHDRDPKDKKREKDMDAGERHDQRSKYNDKESDDTCPEGDIEKDKEALGSVQRKRMARSRGGSQASQREPRFRSRMRDGEGSQGKSEVSAIVYKAGECMQELLKSWKEFEATPEAKSAESVQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQDSYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHYNRYELCFSGEKARLVGSSSNAADAETEKHQNSSHHHSQNGDRASSEHELRDLFRWSRCKKAMPESSMRSIGIPLPADQLEVLQDNLEWEDVQWSQTGVWVAGKEYPLARVHFLSSN >ORGLA07G0120100.1 pep chromosome:AGI1.1:7:13650848:13653069:-1 gene:ORGLA07G0120100 transcript:ORGLA07G0120100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb7 N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G06790) TAIR;Acc:AT1G06790] MFVLSQIEHNLPMPPHLLSRPLVDAIKAELERLFLDKVVANLGLCVSVYDIRSVEGGFIFPGEGCSTYKVSFRLLMFRPFVGEVLVGKISGYDEKGLHVSLDFFSDISIPGHLMQYGTARALDGRWMLKTEDGDELYLDLDDEVYKICFFSKKKIKXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA07G0120000.1 pep chromosome:AGI1.1:7:13649019:13649918:1 gene:ORGLA07G0120000 transcript:ORGLA07G0120000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplastic drought-induced stress protein of 32 kD [Source:Projected from Arabidopsis thaliana (AT1G76080) TAIR;Acc:AT1G76080] MASTAAFLSTLAGSTSLGGATPTSGGGSGRSKTARFLRRRRRGGAVRAAVSGTEQAPETTKKKGGGGGDERVVQVHSAEELDGALRAAKERLVVVEFAASHSVNSSRIYPCMVELSRTCGDVDFLLVMGDESDATRELCRREGITAVPHFTFYKGAEKVHEEEGIGPDQLAGDVLYYGDHHSAVVQLHSRADVESLISDHRGEGGKLVVLDVGLKRCGPCVKVYPTVVKLSRTMADTTVFARMNGDENDSCMEFLRDMDVVEVPTFLFIRDGDIVGRYVGSGRGELIGEILRYNGVKVT >ORGLA07G0119900.1 pep chromosome:AGI1.1:7:13645622:13646113:-1 gene:ORGLA07G0119900 transcript:ORGLA07G0119900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGHPLPPSSSNASPPPERPPAKPRSCKDGGGGAPRFSPSDLARVAWGTTLGGGGDDRQIRVAPAGSGGVATGGWDGDGDGRGELRRAAGSKAAGMATAAGKAVVRRRRIWPGGASWRWWWRWSSQRRVSGSGASDDDTRLAETTVAGRWYERLVMDDSGRG >ORGLA07G0119800.1 pep chromosome:AGI1.1:7:13628184:13629932:-1 gene:ORGLA07G0119800 transcript:ORGLA07G0119800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPKQLLIQSKTKKAPTRISYSTIVTWNLIVILVVLSLYATYRHWHHRPMLETEMDLPRAEHVGRSEDSTKTSRPSYAVIDTAKGSITIEIYKDASADVVDRFVSL >ORGLA07G0119700.1 pep chromosome:AGI1.1:7:13624172:13626726:1 gene:ORGLA07G0119700 transcript:ORGLA07G0119700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASPPRSGDASPSSPLLPSPTSPDRRSGGGGGGGLLPGLRGAARFLGRTGSRRLMREPSVAVRETAAEHLEERQTDWAYSKPVVVLDVLWNLAFVAVAAAVLAASLPESPSVPLRVWLAGYVLQCLFHVLCVTVEYRRRREARGGGFGADQGAAADGDFKLRCFMYILFSIVKHLESANTMFSFIWWIIGFYWISAGGQALSHDAPQLYWLSIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQQEGASEEDINNLSKFKFRTMGDADKLVAGIAAPVGGVMTECGTNPPVEHFLSAEDAVSFQFPP >ORGLA07G0119600.1 pep chromosome:AGI1.1:7:13616350:13617453:-1 gene:ORGLA07G0119600 transcript:ORGLA07G0119600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:I1QAQ4] KINGVKHFETEGVLCKSNHFKGMPFRHVIKNFVIQGGDFDFNGAAQEWILKAKASGENALSPKHEAFMIGTTKNPNNKGFDLFITTAPIPDLNDKLVVFGQVINGQDIVQEIEEVDTDEHYQPKTPIGILNITLKQQALS >ORGLA07G0119500.1 pep chromosome:AGI1.1:7:13610656:13611182:-1 gene:ORGLA07G0119500 transcript:ORGLA07G0119500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASIGGEGGSAPLSSIYDDGICRQWFAKMLHLLCLQDVWSCSSNFEGFWPATVHTRSFSSNLKGSGSATNGSEAITDLFCFLREPCDLFTIWMCAWKKGIGRKYMVLSLMDERHGCELDTDRDGIQNSWENILYFYNG >ORGLA07G0119400.1 pep chromosome:AGI1.1:7:13591428:13605384:-1 gene:ORGLA07G0119400 transcript:ORGLA07G0119400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT2G06210) TAIR;Acc:AT2G06210] MASVYIPVQGTEEEVRVALDQLPADASDILDILKAEQAPLHLWLIIAREYFKQGKIDQFRQILEEGSGPEIDEYYADVKYERIAILNALGAFHTFLGKVERAQQKEVHFKEATQCYNRASRIDETEPSTWIGRGQLCVVKHDLQMASDSFKIVLDEDGSNFPALLGQASVYFLMGDSEQQHKKALDYYRNSLDLYKRALRAYTSCPAAVRLGIAFCRYKLGQSDKARQAFQRVLQLDPENIDALVALAIMDLQTNEAGGIRRGMEKMRRAFEIYPYCTLALNHLANHYFFTGQHFVVEQLTETALSSSNHGLLKSHAFYNLARSYHSKGDIETAGRYYMASVNEISKPQDFVLPFFGLGQIQLKFADYKSSLASFEKVLEVHPENCESLKAIGHIYAKSGENDKAIETFKKVTRIDPKDHQAFMELGELLVQSDWATAMEYLKTARNLLKKAGEKIPIELLNGIGLLHFEKGELEMAEQSFKEALGDGFWVSIIDGSVGSSVVNWSIQYRDQSFFQQLEEEGTPLELPWDKVTTLFNYARLFEELHDTVKASLFYRLIIFKYPDYIDAYLRLAAIAKEKNNLQLSIELIGDALKIDDKYPNALSMLGSLELQGDETWLTAKEHFREAKDASEGKDTYSMLQLGNWNYFAANRPEKKAPKFEATHREKAKELYSNVLKQHRGNMFAANGIGILYAEKAQWDIAKELFTQVHEAASGSIFVQMPDVWINLAHIYFAQGFFQQAVKMYQNCLRKFFYNTDATILLYLARTHYEAEQWQDCRKTLLRAIHLAPSNYLLRFNVGVSMQKFSASTLQKTKRTVDEVRATVSELQNAIRVFSLLSVASTYHSHGFDERKIETHIEYCKHLLDAAKVHRDAAEQAEQQNKQKNGSCSTNCFGXXSSPQGXRTEEGPGIGLALGANLSRAENGAIHXRNQEQWKTSSNNPGKRKDRSKHEDEEGGSEKRRKKGGRRRKDQKTKAHYGEEEEDEYRDEPEAEDDYANTARSNDGGDSEKAPGHLLAAAGLEDSDAEEDDMGHPQSAIERKRRAWSESEDDEPVQRPVQPSAGENDLSD >ORGLA07G0119300.1 pep chromosome:AGI1.1:7:13580160:13580527:-1 gene:ORGLA07G0119300 transcript:ORGLA07G0119300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVANSHGVRVAAGSEPTALTHLHLHLHLHGTRLYGRRVASRDVGGSGNRQQEEEAAKVNIL >ORGLA07G0119200.1 pep chromosome:AGI1.1:7:13576859:13577035:1 gene:ORGLA07G0119200 transcript:ORGLA07G0119200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLVVDVVAIRDWSWHPALLSGRRIIEMHQDEYGAAVKKEKVEPEIDLEEAADCGA >ORGLA07G0119100.1 pep chromosome:AGI1.1:7:13560928:13570160:-1 gene:ORGLA07G0119100 transcript:ORGLA07G0119100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITPFSHAWLHALNRYALDVNVERVDDVLLHKRLLDQAREPMNGLVFDVRRSQAATLDRSTEVEQSTSFKHEVQDPQCSSFTSRDQRCFHEIIFACDDKPKLLSQLTALLGELGLNIQEAHAFSTSDGYSLDIFVVDGWSHEVDVLRDALRRGVEKIKYKAWPLVQSMPTRTGHELMEDSPPADFVQIPADATDVWEVDPRLLKFERKLASGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLREFAQEVYIMKKVRHKNVVQFIGACTRPPILCIVTEFMRGGSIFDFLYNFRGTFQLPDVLRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHLPYDQRADVFSFGIVIWELLTGKLPYEDMTPLQAAVAVVQKDLRPIIPADTHPMLAGLLQKCWQKDPALRPTFSEILDILNSIKEQAVRSSGHQKRHSGRSYS >ORGLA07G0119000.1 pep chromosome:AGI1.1:7:13557703:13558581:-1 gene:ORGLA07G0119000 transcript:ORGLA07G0119000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA processing protein-related [Source:Projected from Arabidopsis thaliana (AT3G22660) TAIR;Acc:AT3G22660] MARLAAEDPLVRDEAILDDDDDDDVDTDEEESESEDDSGEEFHAEPSKKAVYNKEGILEKLEDIAWPENVDWRHKLTIEHDQGEKVDVNDDLARELAFYTQALDGTRQAFEKLQSMKVRFLRPADYYAEMVKTDAHMHKIKGRLLSEKKKIEEAEERKKAREAKKRAKEVQAQKEKERAKQKKEQIESVKKWRKQRQQGGFAKGNDDGPDLNFEGDEGFKQSKKKRPGVSPGDRSGGLAKKGKQGKNRKSRDSKFGHGGRKGLKKQNTAETTNDFRGFNQMDKSQNKRRKMG >ORGLA07G0118900.1 pep chromosome:AGI1.1:7:13549050:13549400:1 gene:ORGLA07G0118900 transcript:ORGLA07G0118900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLRLAEISRKWSGSGSSKVTSPTAAAAACPRGHFAAYTRDGSRFFVPIACLASDTFRELLSTAEEEFGSPGGRPIVLPCSADRLHQILAAFRSASGKNKCSPPSGSGGRTKIW >ORGLA07G0118800.1 pep chromosome:AGI1.1:7:13505392:13508538:1 gene:ORGLA07G0118800 transcript:ORGLA07G0118800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRWPPLLLLLLFLLAAATATATATGNAPPPEPKPARWPDVFHARLFTNLTNHSAASTGPPLRVADLYYDWPRRRNLNLIRYQLAAADDPLYDVEWDNGTTFYFDSRSCRTERFPVGVLRPGWLADGGGVVYLGRWRTGGIECDVWDKLGFVVYYQEVATGRPVRWNFLDKTGIQQFVMSFEVGVTLEDDSQWQAPAHCFPATAADDDDDDDDEDEEQDEMIKSNSDHIEDVDDGLDAARLLRRLAGAAAF >ORGLA07G0118700.1 pep chromosome:AGI1.1:7:13500101:13501563:1 gene:ORGLA07G0118700 transcript:ORGLA07G0118700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAPFKTILLVVFFALFAVSSLQPSAAVRDAQVFKPTVANADVIQPSASLVGLPGLPPLQPLPTIQIPGLPPLPQLPTIQIPSLPPLQPLPTIQIPGLSPLLPQLPTIQIPGLPQLPTLPTIQIPELPPLPPLPSFSITPGSPGAPARIPISSQSALAAAPIAPQQPTECLSSLMALMPCVEYVTKADVPAPPSVCCDGFKSLVEKAPICLCHGINGNISKLMPAPIDLTRMMSLPVTCGVAPPVEALTKCFTGPVPPLMPASTPAAAPSPSPEPST >ORGLA07G0118600.1 pep chromosome:AGI1.1:7:13495745:13496435:1 gene:ORGLA07G0118600 transcript:ORGLA07G0118600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAAQDPTTQGRHLRAEQAASEHQDLRFTHCCQVHPKLLHCLASDKYYPFPSSAPAASTIKPFLTSTEHQNGNIGCIP >ORGLA07G0118500.1 pep chromosome:AGI1.1:7:13479070:13479669:1 gene:ORGLA07G0118500 transcript:ORGLA07G0118500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVAVVGAGVSGLAAAHEAARGGGGVRVTLYEREDSLGGHARTVAVDGDAGPVDLDLGFMVFNREDPNEHDIQILFPISLFLTILHSKWPLIFSILPH >ORGLA07G0118400.1 pep chromosome:AGI1.1:7:13470395:13471486:1 gene:ORGLA07G0118400 transcript:ORGLA07G0118400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRPPLAVSPRRLRPRPHRAAAGVPRPPVACSVQTPPGSIKKATTPMRSSFCALPTSRLEPTPRAKLDFAAAPSPARAAAAAGKENRHVDDEVALDLTAMPMPMPMPTPTWTASPLPPPTSPLFERGRLYDLYSARRNERLKRKHGFPAGEEEAEAMAADPCVAVELSKRRGAKKMTGAESVRRSMPAAADFSAAGRAATSTLGLRSSLRSSKEMKKASAASSSFAGAKSPAAKERRASTRSSARRF >ORGLA07G0118300.1 pep chromosome:AGI1.1:7:13454819:13455321:1 gene:ORGLA07G0118300 transcript:ORGLA07G0118300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDDVLTLAIDDEVAAALKVIDGYDDDDDVDPALKTVCKLALEVMAGVEPVAETLRKAEAILRMEKMKPPPAAKEKVNKEDSED >ORGLA07G0118200.1 pep chromosome:AGI1.1:7:13439721:13440176:-1 gene:ORGLA07G0118200 transcript:ORGLA07G0118200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATPTTPWSTHWSAAASTIVVVRPFSTTSEQAAAPSSSPQPPLQYASRTPAEDVKDLENASFLHRRRSRIASAVIIDYRCLGEPLFDSLRIHHLLDLTTLVHACLKQLIVPFEPSSFTRGGAPSPSRSTSHRCRQDLDNPSSTHGEHPEG >ORGLA07G0118100.1 pep chromosome:AGI1.1:7:13434036:13434681:-1 gene:ORGLA07G0118100 transcript:ORGLA07G0118100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLSPSLMMTMQQRSWLEPKVNDHDKEKELNKGDKAQLRLRSGLTPATQAQALAIKGELGWGLAVKPFAREVEILKMAQPAEGFKVMVERVARELERVEKLVVQEFTRERAQYLV >ORGLA07G0118000.1 pep chromosome:AGI1.1:7:13410438:13423007:1 gene:ORGLA07G0118000 transcript:ORGLA07G0118000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi-localized GRIP domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G66030) TAIR;Acc:AT5G66030] MDPEENPTPPPPYQEAEAAVPVDEQPPPPVEEEQAEAAREESAAPVEQDAAAAEGGGGDRAAGGERSREELERVVMELGFQNDYLKSQIAAAEGGSGAAESELVKGLKEQVERLRKEVEEHKQTQKATEAALEHVNVAYAEADAKVQDLTAKLTQAQQKMDKELKERDEKYVELDTKFQRLHKRAKQRIQDIQKEKDDMEARFNEINQKAEQASSLQSAAQQELERARQQASEALRSMDAERQQLRTVNSKLRTNLDEARVALEARNNVLEKLRQSMFEKEQLLEQTQASLQSAEEKRNASIAELTAKHQKQLESLEAQLTEVSAERTKASETIQSLQMLLVEKDSEIAEIEAASTGEAARIRAAMEELKGELAHLKDQHEKERQNWETTCESLRTKLEASESACHISVIESTKVKSQLELELSKQNQLLQTKDSDLLAAKDEISRLESEFSAYKVRAHALLQKKDAELNTAKNSDLIKAHEEAIREAEKEISAALAERDKAIHDLQIAQSKYGEEIEARDLALADSDKKLKNVMAKLDSLTSKFLSEKESWEKNMASVEESWRLKCESVKAESNGHAGDELKKNLVELTVKHEKLKEEHDSFRDIADRMLEEKDREVAKLLRENKDLHNSLEAKAAVSINGNQNPGPAKQDAMDIELAEQQILLLARQQAQREEELAQSQRHILALQQEIEELERENRLHDQQEAMLKTELRNMERSQKREGIDMTYLKNVILKLLETGEVGALLPVVATLLQFSPEELTKCQHGVLSAVASSPATAVPDGGSTPNSFFSRFTF >ORGLA07G0117900.1 pep chromosome:AGI1.1:7:13405176:13407906:1 gene:ORGLA07G0117900 transcript:ORGLA07G0117900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPIEKKLKMRARRVLAKLAEKKKSAAEYARAERSLDGIDMTCKIVDFGNACWADKQFTDFIQTRQYRAPEVILGSGYSFPVDMWSFACIAFELATGEMLFTPKEGQGYSEDEDHLALMMEVLGKIPKKIATMGTKSKEYFDRHGDLKRIRRLKFSSIERVLVDKYKISESDAREFAEFLCPLFDFAPEKRPTAAQCLQHKWLQYSDGKNYGTLNISDVKNASVTCSPGTTASSDSKSIDVTRNIGDKPDSYAERADAKCNTSKKSIINSNSENSDVQPNTASVGNRNSKIDDVNSNTGSITNKDSKNTVIKPSIGSFANRNAETVDVKPNIGSITSRGDSSSDTKSNIGSVASKDAKTIDAKPSTSSITSQDSKIGDGRSNIDGIANRDAKTADVKHNIRSVVNTYLRNFDVKHNTGNIVDGGVKHSDLKPGTVSAANVDSKSISAKPSTGSFENSDAKSISAKTSTGSFDNSDARNIMKANTGIVANNDVKDTDVQTNTESVTSGDDLNDIDRKPNIGRVAASIQRLESSIGKVQSGKYR >ORGLA07G0117800.1 pep chromosome:AGI1.1:7:13394446:13397819:-1 gene:ORGLA07G0117800 transcript:ORGLA07G0117800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLKTVGRFFRRYPSIFSSLVFLVILYKFFFGWFTFLLETSPIFLFAGFFLGIILAYGEPNIPENDHVYKKIEKAYNRNVRDNSKSAGGVTLPSIASSEERLAKHNNTEKVTNGRSHIGAFSSESGSGESDGSETDTHPMLHTFHHLRSATSSSRSSQDGDSNDSSIEDGNENQEGKDDNEHEEKNGKVVAWTADDQKNILNIGCLEIERNQRLENLIARRRARKYIDRNLIDFGSSDSLPKIEELSKFNVQIPAIFAPRKNPFDLPYNEDNFPESAPSAPLNMLSKFDLPFDEANESSSTGGANSNHVDSTTVFSQSQKDTMFRRHESFTPGAPFLSDFWQDTQPSRFRPYFVTEKMANEGISVPNLEGEASEKSSVEDSDSTSSVTDQENHKLVMEDSPNQNLGPQMSQMDEQPHHSQNAREVPLALDIEPPLLISDSSDDDISLPGGNINDREEAQENGNLNLSQNASLEDPSVIEYPHQMEMISNEFHQLSPHSNDNDSLSSSTEATEPPELNSIELPANEVEFINEIPIADPIYDISPSRSEKPASIGSTIDAVLLQEGNTHTSDVEMSMDGEDSVSRIEASEIPAPSLASVQESKPREKETSEVKEQDNSGHDGGNQDSVSHANSKVPVISSKPSISGLSNPVKSGSKMISSSKKAVFGLFKK >ORGLA07G0117700.1 pep chromosome:AGI1.1:7:13380444:13385086:-1 gene:ORGLA07G0117700 transcript:ORGLA07G0117700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73710) TAIR;Acc:AT1G73710] MLTPTTAAAAAVAVSGELSISATQSRLLPPASRVHLPHLLPAPPALSSSRSHPSVPTPTGGGGAGEAADLDPSDEHLATMSPREQTALLSRQRHWRRARDLLDRMRALPGYAPSAIHYGVVLRHLARARRWGELRRVWARMAREGAPPPTNQAYAALADALANAGHARDSLLLLRHMRARGVAPDEVSMSTFVRILKDVGRYSDAATLFDNWCNGRFEVGFIDLDYSALDSGGPMQFLLEEMCHGNVDDAGASGIQGVARIPKLAVTYNTMIDLYGKAGKLKDAMDMFMGMPDYGVAADTCTFNTLINIFGSCGNVKEAEALFASMIVRGTNPDVKTFNVMMTLFASKGDVEGVLKHYYHIGKMGLSADTVSYGIVLRVLCERKMVHEAEDVIVGIMRSGTCVPEQSLPVVMKMYIDQGLLDKANAFFDRHCRGDEVSSKTFAAIMDAFAERGLWEEAEHVFYSHRGVRRRGIVEYNVMVKAYGAAKRYDRVSPLLEHMNESGISPDECTFNSLIQMFATGGYPQRAKKLLGKMKYAGFKPKCETYAAAITTLSRNYLVSEAIYLYNEMKASGVEPNVVVYGVLIDTFAETGQLEEALHYNNLMEESGIAPNQIVLTSLIKAYSKANCWKEAQDLYSRMKNMDGGPDIVASNSMLNLYANLGMVTKVKEIFDCLRRNNQADDVSYTSMISLYKNMGLLNESVRVAHDLQNSGLLSDCASYNAVMACFVAKGKLRECAELVQQMQEGNILPDASTFGMIFSIMKKIQIAPEEVSQLQSAYNDNRSSSSQAVIAFLFLIAGMHAAALNICEKYMKPVLTIDQCACNVAFKVYASCGEVDKAFSLFMQMHELGLKPDTATYIHLTTCYGEYGMPGGKMKAIFLLISQLRNEMLIQFTQSPDMLTRNSECPWLHAKCKVLTAASWKLQVIQGDFLPEVVNIYDSTSSSYRKATKHPGEHDFGGADILECNAQLLELSQEELHNKISVPIWKLEYMNLNKDQHPGPGAYKGRNEEHKCCQ >ORGLA07G0117600.1 pep chromosome:AGI1.1:7:13371325:13376390:1 gene:ORGLA07G0117600 transcript:ORGLA07G0117600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAAGGEVGRSMPAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYGGGGGGGGGGWPEHQFTAAAALEKHLSSAAAAGYGGALAHHHHAAGAPERLTANLSDLVSNWSIAPPNHGHHVGGAAACDNPAVAAAMAAAHGGGNVKQSGSSFLDSGGGGGGAMLQQESSSSTGTGGGGQDFLRPMGLAAGSSSYSSMLGLSSRMYGGGGTATMDVPWGSSNASAARSLSDLISFGGGAMDKPPPPAPSSAPARTSSADYKKQQGQQEISSPVKTSSSGGGGKEGKKKRSEEAAGSEGSTKKSKHEATSPTSSLKSQVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKSSSSKDYNAWGGLDRKEKADAEVDLRSRGLCLVPVSCTPQVYRDNNGPDYWTPPYRSCLYR >ORGLA07G0117500.1 pep chromosome:AGI1.1:7:13369737:13370189:-1 gene:ORGLA07G0117500 transcript:ORGLA07G0117500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLSAAAAAAAAAASPEESMLRPEWLVVEVLVKEVMVALDADRSSSAPDDDVRWWWCWCAAAIVGGHRGAAADGGGSQLGADAAPYGWCMSRHQEAAAAAEEEEEEGGGGAGGELATEASEPSCAMHCMRVVVAGRRRRIEKEKWRREK >ORGLA07G0117400.1 pep chromosome:AGI1.1:7:13315892:13318708:1 gene:ORGLA07G0117400 transcript:ORGLA07G0117400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) TAIR;Acc:AT5G17240] MEALLRWAAELGVSDSPSAPSPSSCLGRSVLIADFPDAGGRGLAAARDLRRGELVLRAPRAALLTSGRVMDDDPRIASSVASHLPRLSSVQTLIICLLSEVGKGKSSNWYLYLSQLPSYYTILATFNDFETEALQVDEAIWVAQKALRGIRSDWEEATPLMKGLGFKPKLLMFKSWIWAFATVSSRTLHIAWDDAGCLCPIGDLFNYAAPNDDNSSTDEDRDDMMHQETNKMLDQTDFDSSEKLTDGGYEDVNEYRLYARKRYRKGEQVLLAYGTYTNLELLEHYGFLLGENPNEKIYIPLDLDLCMIGSWPRDSLYILPNGHPSFALLCALRLWTTPRNRRKALSHQIYSGSLLSVENELEILKWLVKKCKETLQQLPTTIEFDDNLLVLLCKLQNSTSCITEMNRSIFEQEFAPFFRFHGFKLDCSIHSKLPVRLLRSLERWGLAVQWRCNYKRTLTKCIVHCKSLVHELSLQQNQQ >ORGLA07G0117300.1 pep chromosome:AGI1.1:7:13306762:13311158:1 gene:ORGLA07G0117300 transcript:ORGLA07G0117300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRRVLLQLVLLAGVAFRGVRFDDAADSAAAAQGLSDLFELPSPSPTLALPGGGDEGASTEIIAAPWPGRHGLFTPPRSTSQPARAVVQPAADFGSQLQFYDNGTIQLVDLLSKLPRWQFSTGPPLSKHITTSKPDLNYVIYLDGSETSDLIEVHNGSGVRLPWKLEEFIAETPYIRDSFVTIGSKVSTTFVVNADSGEIIYKHSLPVALNEVGGPLVEEIPSKLDAARSGTSANIIVVVRTDYSISASDLGEHLFNWTRTSFTANYYARYGHQDMLAQSSCLRGNIPCIRTEGPPIKLYLPDSSSDNAIVLRPVNEVSAVDALEPLLPPKKLPQPAGESNVALDSAQNQTADIALGHFVPADTELTNSVTKFSYRWLFPTFLMLLIMACLVKLADASKYCRQFVIRFLKPFMRDEKLMDPRGKSEGTSKRRKVRKKDGLINSTQIFSASDKEGNGTGGSTEAQSNKAHDSTNVELPNGLNGRQIGKLCVYSKEIGKGSNGTVVFEGSYGGREVAVKRLLRSHNDIASKEIENLIASDQDPNIVRMYGFEQDNDFVYISLERCRCSLADLIQLHSVPPFSNTKGTDIELWRQDGLPSAQLLKLMRDVVAGIVHLHSLGIIHRDLKPQNVLISKEGPLRAKLSDMGISKRLQEDMTSVSHHGTGFGSSGWQAPEQLRHGRQTRAIDLFSLGCLIFYCITKGKHPFGEYYERDMKIINNQFDLFIVDHIPEAVHLISQLLDPDPEKRPTAVYVMHHPFFWSPELCLSFLRDTSDRIEKTSETDLIDALEGINVEAFGKNWGEKLDAALLADMGRYRKYSFESTRDLLRLIRNKSGHYREFSDDLKELLGSLPEGFVQYFSSRFPKLLIKVYEVMSEHCKDEEAFSKYFLGSSA >ORGLA07G0117200.1 pep chromosome:AGI1.1:7:13305350:13305871:-1 gene:ORGLA07G0117200 transcript:ORGLA07G0117200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARKSLTHKSERARDRSPTPSPSSAEDSDHAWSRKGEEETGPQVARVPRGSTQPPPTRERPSPMARREPSPLFRILRSLFDLCAAEAKKNRRMRNGIKKTARRIKNIQAQLNEHFHVDVPPSPPGFEAEPDEPKEEEIEDPFAGIPLDYDFFGFGHGYGYPPPEDPPQAPFA >ORGLA07G0117100.1 pep chromosome:AGI1.1:7:13299288:13303148:-1 gene:ORGLA07G0117100 transcript:ORGLA07G0117100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHVKSALLSGHRGPHHLAASAAAAASFHSTPPLQRKRKTQWHHGIMHAKIEEFIVSARRFSYYEKRRRNRESKRTMLRNMSEYAEYLFQSWRDEDDKNDESSGPSWFRGHRWVRNPKNNGFRPHDFYFGNFRSRGGFEFCTSDEDEPETVFRNAFRGQQTFYWSFDSDDFCRRNHRRSHSESSRRWSYETDDEDETPAQTEVSLARQALGLSTSGPLKLEDVKSAYRTCALRWHPDRHNGSTKATAEEKFKHCSAAYQTLCDSLASA >ORGLA07G0117000.1 pep chromosome:AGI1.1:7:13293240:13298367:1 gene:ORGLA07G0117000 transcript:ORGLA07G0117000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT1G51110) TAIR;Acc:AT1G51110] MAAAAAAAAAAGGLLHLGASRVPSRRPSAGAAPRLRGASCARGRQPRRRAPPPLAVAAAEEAYTGAETELLDALAGVQGRGRGVAPRQLEEVESAVQALEALGGLPDPTNSSLIEGSWQLIFTTRPGSASPIQRTFVGVDSFKIFQEVYLRTDDPRVINVVKFSESIGELKVEAEATIEDGKRILFRFDRAAFNFKFLPFKVPYPVPFKLLGDEAKGWLDTTYLSQTGNIRISRGNKGTTFVLQKSADQRQLLLSAISAGTGVKEAIDDLTSSRQGIEADLNTLAGEWQLLWSSKTEDESWSFVASAGLKGVQIIKEDGQLKNLVRPFPGVSLNASGNICKMEDGNNFNLSINKGAIQAGGLQFPLDARGEFATEILYIDNKIRISNINQHKLVHVRIANRT >ORGLA07G0116900.1 pep chromosome:AGI1.1:7:13231481:13232319:-1 gene:ORGLA07G0116900 transcript:ORGLA07G0116900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGVEDPNWRSDPCVYLLPPWCQRPLCLCGDRCQLMASRNPDIRGRRFFRCPNYDRETRTTACAYIEWVDTENPVLDLTTCLQEGRWYFASESTEQYLQRKAAYERQCREQQSDWRVLTTVLPPWEARPRCRYGDRCQVLRSINPTTLGRRFFVCSNILDDDFIEPPRRCQYREWIDTRRVLTPPSRVLCSLNYQSNTGLLKRGLREERDHHVGVSYYQTT >ORGLA07G0116800.1 pep chromosome:AGI1.1:7:13230477:13230767:-1 gene:ORGLA07G0116800 transcript:ORGLA07G0116800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDDEVAAGEAGPAPAAAATGGHADRVFRALALASLYILFRRWRAGGAGLAERPSPAEIAAAVALCASVAWLYALPAFGIRRSSEISTRRWHQD >ORGLA07G0116700.1 pep chromosome:AGI1.1:7:13224495:13224824:1 gene:ORGLA07G0116700 transcript:ORGLA07G0116700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVAAYLMATIGGNASPTKDDVRAILGAVGADIDEDKLGYLFDQVAGKDLAEILAAGSEMLAFGAAPATAAAATGGGAAAAGEKEEEKVEEKEEEGEDDIVFSLFDDE >ORGLA07G0116600.1 pep chromosome:AGI1.1:7:13211679:13212602:-1 gene:ORGLA07G0116600 transcript:ORGLA07G0116600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGFTATVRSGLRAAKERRGMDQRSPKDASYARNCAEANPRARNGRRWPAAGLEEEREDLLFGGSSARFPWQAFSWNIGDAHGAAARSEMHRIIGNGEATDGGALDTEDADELDGRCYLDGRWGMGKNVDSMVSLTRWFAEDWMINGSKLMYPRCM >ORGLA07G0116500.1 pep chromosome:AGI1.1:7:13180225:13180626:-1 gene:ORGLA07G0116500 transcript:ORGLA07G0116500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCERLNLEIRFASVAHPQSNGAAERANGKILEALKKRLEGVVKGKWPDEMLSVLWALRTTPTRPAKFSPFMLLYGDEAMMPAELGANSPRVMFSGGKDGREVSLELLEGVRVEALEHMRKYAAITLATYNRKV >ORGLA07G0116400.1 pep chromosome:AGI1.1:7:13172924:13173463:-1 gene:ORGLA07G0116400 transcript:ORGLA07G0116400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRESQASPEAAVAAVAAVAAAVDAADQAAPEATAATGATAATGVAAATGASSATSATAATAVTGATAPMAAHGATAATAAPGATSVTTGLHEYHAPGMVATAGAPAPAGTADKVAPLGTPAPAVSPAAAGTAGTAATAAVPAAAAVPAVATXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA07G0116300.1 pep chromosome:AGI1.1:7:13144647:13144856:1 gene:ORGLA07G0116300 transcript:ORGLA07G0116300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAIVAELLEEYTAAVARAMELLLSRAPPRIFPRRVRFLVLRSLPFASPPPSPLSPPPPFTVAAGTR >ORGLA07G0116200.1 pep chromosome:AGI1.1:7:13137859:13139387:1 gene:ORGLA07G0116200 transcript:ORGLA07G0116200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTCRLAAAPLGLAPLPRRPTTVAFAVAATGVKYVGIRASRSVVIRAADGTGGETEVPEIVKAAQDAWAKVEDKYAVTAIGVAALVGLWTAIGAIKAIDRLPLLPGVLELVGIGYTGWFTYRNLIFQPDREALVSKIKSTYNEITGSSS >ORGLA07G0116100.1 pep chromosome:AGI1.1:7:13131943:13132270:1 gene:ORGLA07G0116100 transcript:ORGLA07G0116100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAALRQVDLALANEGGDDNGSKLREGRSGGARLETGGSASAGLSDDDNDDDNGTNG >ORGLA07G0116000.1 pep chromosome:AGI1.1:7:13125393:13126226:1 gene:ORGLA07G0116000 transcript:ORGLA07G0116000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHSTNLSGELPVWIGKLSSLDFVDLSHNTLTGELPVGFGALRNMIYLNLGWNNFTGQISEEHFSSLLNLKYLYHSGNSFKQMVFEEDWIPPFRLKVAHLRSCRLGPKFPSWLKWQTEIRVLDVSGTCISDSLPVWFKTVFSQAYSLNLSDNQLCGTLPRTLEDMLAMVMDLGSNNLTGQVPRFPVNITYFDLSNNSLSGPLPSDLGAPRLEELRLYSNYITGTIPASFCQLRGLVSLYLSSNHLTGEFPQCSDNYKALPPDDLDPFFSPYFGDRMSTI >ORGLA07G0115900.1 pep chromosome:AGI1.1:7:13094068:13094784:1 gene:ORGLA07G0115900 transcript:ORGLA07G0115900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRLVLLDFWASPFGQRCRIALAEKKLPYDYSEQELLGAKSDLLLRSNPIHAKVPVLLHGDGDGRAICESLAILEYLDDAFPDATPRLLPSAADDPYARARARFWADYVDKKVYPVGTRLWKVKGDDEEGVRAAVAAARGELVEALRTLDGELGEKEFFGGEEEFGLVDVALVPMMPWVYSFARYGGFSVEEECPRVAAWARRCMELDSVAGSLRSPEEIYDFIGLLRKHYGIDD >ORGLA07G0115800.1 pep chromosome:AGI1.1:7:13081359:13088930:1 gene:ORGLA07G0115800 transcript:ORGLA07G0115800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primases [Source:Projected from Arabidopsis thaliana (AT5G52800) TAIR;Acc:AT5G52800] MAAHDPKDDVDRLFACFKCGVSPPQSAIRERPRRAGKRSRVASTADGGGGGSSSSSTPTPDAAEKHEAPSSAVIKFTGRKQMSPVVFYGSPQGVPVKKPLSLLRLLREIRIDLKKQTDLVPSAGVWATFPRQEEAIRFCKAHAYTNVFSYQDHLSGQRRFLVSTYDEFWKRYNNMDPQIRHHYEVIQDGSPCHIYFDLEFDPRLNKMRDADEMVDILVAVTFSALHDKYSIEGQEEWIIELDSSTEEKFSRHLIIRIPKTAFKDNSHVGAFISEICSRIASQRAANPNFNKLYIMKDSSCTGRADHLFMDTAVYSRNRCFRLAFSSKSGKKSFLVATERFKHKNMSDKELFMESLICRLDDDCDKLLTCKLDLDCKKTLHFDSEASMIRIQGRNSKDSIGTYRNDFPVSNTYGISPFPALDVFIESIASFGNVSGKIRCWYWFSHYGLMIYSMSRSRYCEHIGREHKSNHVMYIVEFQRAAYYQKCYDPDCQGYRSPLRPVPWDVIPELSSISDSAQREYQGEVVEISIEGSNRNDEYLCNGTKSVTESGEDDPSWWEEAVKFADSIDNTDHGRDTRDQDKDCDDADWWMDAERIMVQIEEQIGSQSNA >ORGLA07G0115700.1 pep chromosome:AGI1.1:7:13071642:13078081:1 gene:ORGLA07G0115700 transcript:ORGLA07G0115700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT4G00840) TAIR;Acc:AT4G00840] MDCCRRVNPFRACSPLRGLGYLMLAFVAAIVAVSYYAVVVYTWGPLLLGGGGAAAGAAAVLVAFHLLLAMIIWCYLMVVFTDPGAVPENWRHASEEDGIGVNSRTISYNWDATYPNPEGQSAQKYCSRCQNGKPPRCHHCSVCNRCVLKMDHHCVWVVNCVGARNYKYFLLFLVYTFVETVLDTLVLLPYFIEFFRDESRRSSSPGDIAILFITFVLNLAFALSLLCFIGMHASLVTSNTTSIEVHERRNSVSWKYDLGWRKNLEQVFGTKKLLWFLPLYSAEDLHNIGALHGLEFPTRSDAVA >ORGLA07G0115600.1 pep chromosome:AGI1.1:7:13058643:13061310:-1 gene:ORGLA07G0115600 transcript:ORGLA07G0115600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSGATNGCGAGEYIRIPEDVEAGLGKEAGKGEGEGEGECPAVLRWRAIRWWAQVAALGILLAGAAAAAVVFLGPLVIKKVIAPVIEWESRTFSRPVIALICFGAIAFFPSVLLPSSPFMWMAGMSFGYFYGFLIITAAMSIGMSLPFFIGSAFHSKIHRWLEKWPKKAAFVRLAGEGDWFHQFRAVALLRISPFPYIVFNYASVATNVKYGPYIAGSMAGTVHETFLAIYSGKLLQSLAVATTQGSFLSVDQIIYNGLGFSVAAVSTAAITIYAKKALQKLQADDELC >ORGLA07G0115500.1 pep chromosome:AGI1.1:7:13053453:13055433:1 gene:ORGLA07G0115500 transcript:ORGLA07G0115500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEAKKDSASNPPGGGGGGGGGEEEEDSSLAVGEAAVGVGEAGGGGGGGEKVDREGEAEEEEGKEDVEEGGVCKDLVLVEDAVPVEDPEEAAATAALQEEMKALVESVPVGAGAAFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPIWKSVTGASSEGAQKYPTLMGLATLCLDFGKNPEPEPGRCRRTDGKKWRCWRNAIANEKYCERHMHRGRKRPVQLVVEDDEPDSTSGSKPASGKATEGGKKTDDKSSSSKKLAVAAPAAVEST >ORGLA07G0115400.1 pep chromosome:AGI1.1:7:13034264:13035907:-1 gene:ORGLA07G0115400 transcript:ORGLA07G0115400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2 iron, 2 sulfur cluster binding [Source:Projected from Arabidopsis thaliana (AT5G51720) TAIR;Acc:AT5G51720] MATPFCAAACRLSVSPPNAAPSAPGRARALARRGLVVAVRAEAGVGGINPSIRKEEEKVVDTVLAGELSKPLTPYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLVKK >ORGLA07G0115300.1 pep chromosome:AGI1.1:7:12949429:12950133:-1 gene:ORGLA07G0115300 transcript:ORGLA07G0115300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPNLVFPVIATAWCLLLLQAGSSSPPPQTAPAPALPPSNPCIARERDALLDLKAGLQDPSNYLASWQGDNCCDEWEGVVCSKRNGHVATLTLEYAGIGGKISPSLLALRHLKSMSLAGNDFGGEPIPELFGELKSMRHLTLGDANFSGLVPPHLGNLSRLIDLDLTSYKGPGLYSTNLAWLSRLANLQHLYLGGVNLSTAFDWAHSLNMLSSLQHLSLATVAYATLSLLRYT >ORGLA07G0115200.1 pep chromosome:AGI1.1:7:12943058:12945777:1 gene:ORGLA07G0115200 transcript:ORGLA07G0115200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGATVGSGTKRIEVENMEVFKETVELISLCEDLTYKTRLTNDETTQKPWSMEEQVWSESERNLGHQPCCRLTCTRVRWRTWATTRHGCCEAHQSRYSQQSSAKIKHTIIFS >ORGLA07G0115100.1 pep chromosome:AGI1.1:7:12939320:12940534:-1 gene:ORGLA07G0115100 transcript:ORGLA07G0115100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-related modifier 1 homolog [Source:UniProtKB/TrEMBL;Acc:I1QAK9] MHLTLEFGGGLELLLEKSTKVHKVDLQPNDGDGKVVMKGLLAWVKSNLIKERPEMFLKGDSVRPGVLVLINDCDWELCGGLDAELEEKDVVVFISTLHGG >ORGLA07G0115000.1 pep chromosome:AGI1.1:7:12929812:12934777:1 gene:ORGLA07G0115000 transcript:ORGLA07G0115000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFVPHPTPNREGRGAIDMVRAPAGADPPPFLQTRMDMAASSSSSLSQSHSQSQMQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEKSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQLPIIQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >ORGLA07G0114900.1 pep chromosome:AGI1.1:7:12919922:12920871:1 gene:ORGLA07G0114900 transcript:ORGLA07G0114900.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFLHQDKEEHRRCVAACLVKGAYVVENDLNRRRMSGKELAPVWWENFGFHTVDVINDDVIDDNDQIVTGTIYEHETPPGGGEPRHPLSPRYVVAFRGTMTWHPKAFVDLYLDLQVLFNTLQDSQRFRLAKAAVQKLVDTIHKGTGVCDHAVGGRCIVWLVGHSLGASVALEVGRVMMTEQGYNLPTFLFNPPQVSPAPVINLLHPNEKAKRHLHARAPSSR >ORGLA07G0114800.1 pep chromosome:AGI1.1:7:12876924:12879282:-1 gene:ORGLA07G0114800 transcript:ORGLA07G0114800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEQLVQASTELMHHSLGYVRSMALGCAAKLGVADAIHRAGGRATLHDLHAALSLHPTKLPFLRRVMRVLVASGVFAQVKEEEEDHYRLTPVSSLLVTAGRTLLPFVLLQHSPLCVTPATSMAEWLKTGEEETAFEMAHGAGLWGACRRAPEIGDFFNDAMAADSAFIMDAAIRGARQVFDKITSLVDVAGGTGAAARAVAAAFPHIKCTVLDLPHVIDSIPVDHGDVVQFVAGDMMDFIPQADALLLKFVLHDWSDEDCVKILKRCKEAIPSKDTGGKVIIIDVVVGSSSQAMCYGTQLLFDLSISMLTPGMERDEKEWFKIFNEAGFTEYKISPVLGIRSIIEVFP >ORGLA07G0114700.1 pep chromosome:AGI1.1:7:12864015:12864257:-1 gene:ORGLA07G0114700 transcript:ORGLA07G0114700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGSGCMVLKVRLSNRHDIFAHIPGEGHNSQEHSIVLVRGGRVKDSPGVKSHRIRGVKDLLGIPDRRKGRSKYGAERPKSK >ORGLA07G0114600.1 pep chromosome:AGI1.1:7:12860171:12860575:-1 gene:ORGLA07G0114600 transcript:ORGLA07G0114600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNPFLPYLWTRILFVHNQRRPPPPTYVATSSIACSYFVFPLISHQIWCFSIPSCYGEQRQKYNRFLHLSGSRFSLFLFLTPPRVVPNVWHFPYFVGATSTNSLMIKLQPKIYDYIMLTVRILFIPSVCSQVPVL >ORGLA07G0114500.1 pep chromosome:AGI1.1:7:12853714:12855760:-1 gene:ORGLA07G0114500 transcript:ORGLA07G0114500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 2 [Source:UniProtKB/TrEMBL;Acc:I1QAK3] LQYHDWVNQAALCDAAEPWQLGSQDAATPMMQGIINLHHDIFFFLILILVFVSRMLVRSLWHFNEQTNPIPQRIVHGTTIEIIRTIFPSVILLFIAIPSFALLYSMDGVLVDPAITIKAIGHQWYRTYEYSDYNSSDEQSLTFDSYTILEDDPELGQSRLLEVDNRVVVPAKTHLRMVVTPADVPHSWAVPSSGVKCDVVPGRSNLTSISVQREGVYYGQCSEICGTNHAFTPIVVEAVTLKDYADWVSNQLILQTN >ORGLA07G0114400.1 pep chromosome:AGI1.1:7:12840967:12844926:-1 gene:ORGLA07G0114400 transcript:ORGLA07G0114400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEQLLQASTELMNHNLGYIRSMALGCAAKLGVADAIHHAGGRATMDDLRAALSLHPSKLPFLRRVMRVLVASGVFAHDEEEDDDDIYRLTPVSSLLVTATAGSGGRNLLPFVLLQLSPPLCVTPATSMAEWLTSGEEETPFEMTHGPGLWTVCSRDPELGELFNDAMAADSAFIMDVAIRGAGRQVFDKITSLVDVAGGTGTAARVVAAAFPHIKCTVLDLPHVIDSIPADHRGRDVVKFVAGDMMDFIPRADALLLKFVLHDWSDEDCMKILKRCKEAIPSREAGGKVIVIDVVVGSSTQAMCHGTQLLFDLLISTTLPGMQRGEKEWCKVFKEAGFTDYKISPVLGIRSIIEVFP >ORGLA07G0114300.1 pep chromosome:AGI1.1:7:12833222:12835050:-1 gene:ORGLA07G0114300 transcript:ORGLA07G0114300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPMERRSPSLAESRQWTRRFLRGLGVDGTLPAAAELPAAYFALVRGVLSSAAVSVVPATPASPRVSCTLTVSPAAVNAYNTLHGGMVAAVAEAVGMACARAAAGDKEMFLGELSAAYLSAARLNSEVEVEAQILRKGRSVVVTTVEFRLKGTNKLCYTSRATFYIMPVASL >ORGLA07G0114200.1 pep chromosome:AGI1.1:7:12829443:12829994:1 gene:ORGLA07G0114200 transcript:ORGLA07G0114200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGWFLAAAVAAMAVVACFAATSSSSSSQLHCGTVTSLLSGCAAFVRGHGGGAQLPSPGTPCCDGVAGLYAVAADSADNWRAVCRCMARLVRRHSSNASAIALLPGVCGVVSPWTFAAGNTNSNRPYCRSLP >ORGLA07G0114100.1 pep chromosome:AGI1.1:7:12824844:12827893:-1 gene:ORGLA07G0114100 transcript:ORGLA07G0114100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDYNDMDMGYEDEPPEPEIEEGAEEEPENNNEDAVDDVVGAEDDKEQEKTARPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGG >ORGLA07G0114000.1 pep chromosome:AGI1.1:7:12806929:12807700:-1 gene:ORGLA07G0114000 transcript:ORGLA07G0114000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIANTFSSENSLITKAEDKRNSNNEDAVYDKEYEKDGSPTENINVYDKIRACTHPWYTTLQISMNALVMVELDGETDPLEQFEERATFIQKFIQLLLEIR >ORGLA07G0113900.1 pep chromosome:AGI1.1:7:12804334:12805881:1 gene:ORGLA07G0113900 transcript:ORGLA07G0113900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQQAGHGKLPHEQLLLQASTELMNLSLGYARSMALGCAAKLGVADAIHRAGGRATLHDLHAALSLHPTKLPFLRRVMRVLVASGVFAQVEEEEEEDHYRLTPVSSLLVTAGDGGGRSLLPLVLFQLSPLCVTPATSMAEWLRSGGEEETAFEMVHGVGLWGACSRAPDLGELFNDAMAADSRFIMDMAINGSGRQVFDKITSMVDVAGGTGAAARAVAAAFPHIKCTVLDLPHVIDSIPADHGDVVQFVAGDMMDFIPKADALLLKFVLHDWSDEDCIKILKRCKEAIIPSRAARGKIIIIDVVVGSASEAICQGTQQLFDLIISVLTPGKERDEEEWRKIFKEAGFTKYKISPVLGFRSIIEVFL >ORGLA07G0113800.1 pep chromosome:AGI1.1:7:12796028:12797456:1 gene:ORGLA07G0113800 transcript:ORGLA07G0113800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVPPEERRKPSPAESRQWTQRFFQVLGAGDPLPAPAELPAAYSALVRGVLSSAAVSSSASPRVSCTLTVSPAAVNGYNTLHGGMVAAVAEAVGMACARAAAGDKEMFLGELSTAYLSAARLNSEVEVEAQILRKGRSVVVTTVEFRLKDTKKLCYSSRATIYIMPVVSL >ORGLA07G0113700.1 pep chromosome:AGI1.1:7:12788362:12791192:1 gene:ORGLA07G0113700 transcript:ORGLA07G0113700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKECGSSIVIVGVVKVKVVRGTNLAVRDVFSSDPYVVLKLGNQEVYDRDTFVDDPMGAAFFELRPLVEAAAASSRRRTPSGVDSKEDGTAVVPRSGSSVVWSASEGKAAQGLVLRLAGVESGEVELQLELEWHGGAAGDTSMIDRLIDRNS >ORGLA07G0113600.1 pep chromosome:AGI1.1:7:12770326:12773515:-1 gene:ORGLA07G0113600 transcript:ORGLA07G0113600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIVLASVLLLDVIAFGLAVAAEQRRSKATVTPDSEKLYDYCVYDSDIATGYGVGALLLLAAAQAVVMLASKCFCCGRGLKPGGSRACALILFLFAWLTFLIAEACLMAGSIRNAYHTRYRGMFVGESVSCETVRKGVFAAGAAFTFFTAILSEFYYVSYSKSRDAAGGAPYGGSNIGMGTYS >ORGLA07G0113500.1 pep chromosome:AGI1.1:7:12763276:12767081:-1 gene:ORGLA07G0113500 transcript:ORGLA07G0113500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVAPDGGAAGRRRRRRGRPVVAVPTAAGRGRGRGGAVAASPPTEEAVQMTEPLTKEDLMAYLVSGCKPKENWRIGTEHEKFGFEVDTLHPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMVGKLPQAPGDLPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVGFLREVDAVISSGVTPAERLLNLYETKWQRSVNPVFQELLYX >ORGLA07G0113400.1 pep chromosome:AGI1.1:7:12752887:12753561:-1 gene:ORGLA07G0113400 transcript:ORGLA07G0113400.1 gene_biotype:protein_coding transcript_biotype:protein_coding NILESNGWKEPQCIMTDQDKAMEIAIAKVLPRTIHRCCMWHVHRNGSTNLGVLLNGKEGFEIDLKSCIDNSLNEEEFDVSWDAMIDRHELCGNKYMQHLYDNRKKWVPCFFMDYFFPFMSTSQRSESMNKLFKDFVHPADSIRNFIFQYEKLAQSCLDRDDNQRFIIVQTDPKMWSIYPMEEQASKFYTRAMFEEFQEMLYRATKYKTINGAGTWIIFCAANFG >ORGLA07G0113300.1 pep chromosome:AGI1.1:7:12739621:12746878:-1 gene:ORGLA07G0113300 transcript:ORGLA07G0113300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRMAAGKQKKRIIRSTNSDQNRTWKKSKVESSNCHISLKSQIALKWDDYQKRVVPQKEQVGILWSDLAPFIDSRQKHDSGLADVTYIPPETFSLENLRSVLSYKVWDTCLTEADRKFLIQFLPTEIDAEENVHLLLKGQNYHFGNPSLSWSSSLCYGDTHPDALLNKEKQIRADEKAYRVNLNNYHSNMVESLKKWKKRWLSSDDPEIMFRDNNLAKHKQGDTRPKVTSSEMPLKVAQSSDVSKFMSYIEISRTQHNLVKSMKQSGDGIKTKHLTRVIGNLDKFHVKPYGTLIDDEQRRLREHWLNISCNDIPAAFEVLKNNRVTTEKLRNLLGLELGEKNVSIMRKADQLAGITKELGQHGACENDGSTDLQDVLVEQLSEDMLQGGNDHCPSRQDCDDDETKHIETSADHHDSQGRENSDLQAQDYKGTSCADRSISFCASNVEEQNEDFVNTKFSNDGPDVQAEDFKEISYTDTTIIDHSPESQQIKTTCYTTAPIDTRESQNTQAQSLEGITYTGPSMHAHEQNQGLKGTRYKIMIDKGHSANDISLVNSYPEMNDVTMDSKEVENTTVIPSNSSTLLSNTSGGQIPVEEHLNGQAAKGVKDLWELPEPDDSYYLPLENSSVYNGSGGLQIGHRHLPAGQQGSVVCMENGILSQQQSQVTIAAAFPMDNPASFMQPCSNRQSNGQVQTVANDIGMLPYSLEHTDCIGQSTDLHSLDNNRFSQPTHFPSPLQEQQLVDQSNSVLYDELHKNLYSDVSFQTKGNNSILEQHSFASSGSMDHRYNRFPQEHQPHDNWPAMESNNCLPQALPVGSSNTDGSLFSALAQYRLPSSSLHMQSGRSSPSQLLEIRNQVPMSGSFVPRTQGTNLQAPSIYGYTQNLPSSSSSHVASVGSLNNMQWTNLIQQNPGMPNLTNRQFRGPWTR >ORGLA07G0113200.1 pep chromosome:AGI1.1:7:12735016:12736345:-1 gene:ORGLA07G0113200 transcript:ORGLA07G0113200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain [Source:UniProtKB/TrEMBL;Acc:I1QAJ0] MSSFDSVAAVAGDGDADDDDVLPPAPFDPAADGAQGGLGALRRGHRFATSYLSFGTAASEDDLAGAGAGTDGGVGAGMPLGSSSNGGAAYGYGGSGDVMNGHVDQIGDVMGGSVVVGDGGGIDDDLFAGAGDGDDGPVLPPPEAMKEEGILRREWRRQNALMLEEKERKERERRGEIIAEADEFKLSFAEKRKLNGDTNRAQNRDREKLFLAKQEKFHGEAEKQYWKAIAEMVPHEIPGLEKRGKRREKQSAEANAKAKQPGVVVVQGPKPGKPTDLSRMRQVLMKLKQTPPPHMAPPPPQPAKDTGGDTDANKDGEAEKAAGEIEKKAAGGEKEAAAGPPVTAAAAADAQANKAAAEETAKK >ORGLA07G0113100.1 pep chromosome:AGI1.1:7:12722925:12724412:1 gene:ORGLA07G0113100 transcript:ORGLA07G0113100.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXKSRADGSSRRIILELGDRDDSYPWRKYGQKDILGARFARSYYRCAQMLGCTARKQVQQSNDDPSRLEITYIGLHTCGGDRPSSPAPTNPADGPRCDAATSSHRLLPSALQQKLEEHVPAASDDMMMACTPSWLFIPSPACSQSELLSEGEVPELRVVRQEPDDPVELVEEHKKPSDADEDSLALHDSVVPDFM >ORGLA07G0113000.1 pep chromosome:AGI1.1:7:12722215:12722436:1 gene:ORGLA07G0113000 transcript:ORGLA07G0113000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGGGTAATERLIRSAQKSTNQLKALLAGGGGGGGRSSGAVEVILADISDLLSQALASLMLRAACDDQSLP >ORGLA07G0112900.1 pep chromosome:AGI1.1:7:12711894:12712527:-1 gene:ORGLA07G0112900 transcript:ORGLA07G0112900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAAPGSMGEVRMPRRNQLRLSSGTVLEEEKVGLPPRSAAADSRRKKKRVTLHMDTPIVANSPTSSGRHGASAAHATAPTTTAMPRLTRLRRRATALPRRICTDVSESYSSMVTSVATPPPPPPPERRRRWQLPLLHLPGPSSSSCSTGTWTPLERLQLWRRTTQQVAHQFPTIDEHLNA >ORGLA07G0112800.1 pep chromosome:AGI1.1:7:12675507:12676381:-1 gene:ORGLA07G0112800 transcript:ORGLA07G0112800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRIRPDVEIESVADDSANYVQNIRLVIDIGDRTIQVPLNGHTVVQNIGRQAAASVAGDSSSAGGVSEKAGGGGEEWLQEQDGLLCMRGWLMAAATLFAAMAFQAALQPPAWMPRPRDWFAALLAADPAAAAVTRDQAGKAMLYLIVSTCTFATSLAVLLMLLAVGGGGGCASRRVTARLISNMMTAVALFAAATFALCVADDYRLMAFVGTVVAVYAAVTVVFVRCNLALPFGRGGGGHGCCSWVPRM >ORGLA07G0112700.1 pep chromosome:AGI1.1:7:12636799:12639934:1 gene:ORGLA07G0112700 transcript:ORGLA07G0112700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT3G15430) TAIR;Acc:AT3G15430] MATGEKAPRAFSMEELPGHLIGEVLTSGRLAAGDLARLEATCRALRPLAEHAASRLCAARAAFAAMGPAARGELLERCGGSWKKVLRFLQSVEQSSGTVHTSSGNMQVATGRYHTLLVHDSSVYSCGSSLCGVLGHGPDTTQCAAFSRVPFPSLSRVVNISASHNHAAFVTELGEVFTCGDNSSLCCGHGEVGRTIFRPTEIKALKGISCKQVATGLSFTVILTTDGQVYTCGSNTHGQLGHGDTIDRATPKIVELFEGLAPVVQVAAGASYTFAVTDDGTVYSFGSCTNFCLGHGDQHDELRPRAIQSFKRRNIHVVRVSAGDEHAVALDALGYVYTWGRGYCGALGHGDENDKTSPELISSLKSQVAVQVCARKRKTFVLTDEGSVFAFGWMGFGSLGFPDRGSSDKVMRPRVLDSLRDHYVSQISTGLYHTVAVTNRGIVFGFGDNERAQLGQEYIRGCLKPTEIMFQKSMEEIVIAAPSG >ORGLA07G0112600.1 pep chromosome:AGI1.1:7:12618912:12628336:-1 gene:ORGLA07G0112600 transcript:ORGLA07G0112600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24970) TAIR;Acc:AT5G24970] MAGPTSRRLLLLVARRAGHLRRHHHHHHRDGLVLARSLQAAAAAAASSPPPLPASPPARSFSSAFSSVHGERPSSEYAKIRKESLESQFGRILGSSSRTLFADRGFGPFLAMYRAATISFHVMKLTIWHLLLSDVHKRAEKFRETLIRLGPFYIKLGQALSTRPDILPNAYCQELSKLQDQIPPFPTRIAIRTIESQLGSRISDLFADISPEPVAAASLGQVYKAHLHSGELVAVKVQRPGMTPLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYVLEGRNAERFARLYSHDLGGNSSGDGTSIKVPKVYWNFTRKSILTLEWIDGIKLTDAERIGKANLNRKRMIDEGLYCSLRQLLEEGFFHADPHPGNLVATEGGSLAYFDFGMMGDIPRHYRVGLIQMLVHYVNRDSLGLANDFHSLGFVPEGTDLHGVADALRVSFGDGRRQSNDFQGVMSHLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLEDPSPDMRKILRQLLICDDGSIRWNRLERLIAAISEQSESSNKSEDRSGENAANKPGWRSFDMHSVVAATEDLFHFILSRKGWRVRVFLVQDIVKASDAFLQEATFPGIFDEEGTTGELHPERSKMIRRVVHGVQSFRQAISLAPDAWTAMLFRTLLKPESQKFILDVFLALAMHSCYKIPETSWICMSRFLNYLDRQGR >ORGLA07G0112500.1 pep chromosome:AGI1.1:7:12604227:12608604:1 gene:ORGLA07G0112500 transcript:ORGLA07G0112500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Serine-threonine protein kinase 19 (InterPro:IPR018865); Has 155 Blast hits to 154 proteins in 53 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi - 5; Plants - 34; Viruses - 0; Other Eukaryotes - 21 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G20495) TAIR;Acc:AT2G20495] XDEPSSSSSSYPSSSGGKKKRPRSPGHSDERPVHTTQIYTSSLEDTLTFSDTMIALQLMRTQFPKLEKVVTEPFILQSQLYSSVKDRTQVDRDLESLKKDKVLRVFKLNTGQDDHAIMFMDDYLKQMALAVKRSRGKDQDGTEVFGWFERYVIHLKLEVSIDQRDLFSLLSLGGDVTDKHITLLMNAGLLTRQLIDPNMYWFSIPSIGPVLKGLTQGRKEILSLLNRKKYKEMLLSSLEKTRLRFSPLDVRFHIRDLIGSGHIKTVQTPTGLLVRISKD >ORGLA07G0112400.1 pep chromosome:AGI1.1:7:12584445:12587048:1 gene:ORGLA07G0112400 transcript:ORGLA07G0112400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSAAADAAVVLFSLTVAVAAPLIDAQSVLPRHLFPAPLVSLKRWYAREFGDYLVARPPGFLRGLVWLELAFLWPLALATLYGILARRRWAATTSLIAGVSTLTSMSAILGEIVGSKKATLKLLQMYVPFAVFAVIAILRGLCSSAPRGTAGSSLGPSARKKRA >ORGLA07G0112300.1 pep chromosome:AGI1.1:7:12576073:12577487:1 gene:ORGLA07G0112300 transcript:ORGLA07G0112300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSTAADAAVVLFSLAVAAAAPLIDAQAVLPRRLFPAPLVGLHRWYATEFGDYLAAEPPGFFRGLVWLELLLHWPLSVATLYGVLARRPWAGATALAAGVSVVTAMSAVLGEFLVSGRATHKLLQMYVPFAVLAVIAALRGLVVWSSQGTGLAPAPSSQKKRP >ORGLA07G0112200.1 pep chromosome:AGI1.1:7:12538448:12538660:1 gene:ORGLA07G0112200 transcript:ORGLA07G0112200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSTAAVLFSLAVVVAPPLVRLHRWYAAEFRGLNWAGAPPPLATLRRHPLHGPAPPPSSPGSPSSPQW >ORGLA07G0112100.1 pep chromosome:AGI1.1:7:12536438:12536662:-1 gene:ORGLA07G0112100 transcript:ORGLA07G0112100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILTKGTFTGVHNVIGAHGPLMGRLAIAGPVALRLDGLERLPRPSRGRTCHGEVVFLRQIVFALHPLPETPLA >ORGLA07G0112000.1 pep chromosome:AGI1.1:7:12518155:12519869:-1 gene:ORGLA07G0112000 transcript:ORGLA07G0112000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGIIQLDKLLVRTIDSAQAIFELKLRLGLGSWDGDGDGDEKVSGGCVEARRWRQWVRGGGGGLCEEEMPVAERGRGNDAGGGKEKATSWESGWMERQMRNFAGW >ORGLA07G0111900.1 pep chromosome:AGI1.1:7:12483166:12486011:-1 gene:ORGLA07G0111900 transcript:ORGLA07G0111900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDIEDCIDLFVHHLGSLTGKAGVIKKMAWIIKGLQLSHRISGHIQELKARVMDESDRYRRYDTMNISSMSSEAHLHRDASGSRTRSVDPRLSALYTEAERLVGIDGPKDKIIKWLMDTQGGISQRLRTMAIVGCGGLGKTTLANQVYLEVKNQFDCSAFVTVSQNPDVKHVLAKILSDVSGAIGGALADEHHLINKLREYLQDKRYFLVIDDIWDAQTWRIIECALVKNSQGSRIVTTTRINEIAKSCCCSYGDQVYEMKALCATDSKRLFFRRIFNSDERCPPQLREAANNILRKCGGLPLAIISISSLLATKPKSLDQWDKVKSRINYTQENSPDIETMAWVLSLSYFDLPHHLKTCLMYLSIFPEDYVIKKERLIGRWIAEGFIHAKQGESLYEIGENYFNELINRSLLQPVDIEDDGQVHACRVHDTILDFVVSRSNEENFVTMVGASDLTSTPTGKIRRLSFHKNSEGSVTMPTYLLRSHVPSLTTFLHAGQVPPLLGFYGLRVLDLENCSGLKNHDLKSIGRLIQLRYLNIKGTDISDLPCQIRELQYLETLDIRSTHVKELPSAIVQLQRLAHLIVDCHVKLPDGIGNMQALEELTGFSVFMYPSTFLQEIGKISSLRVLRVVWNYVDFQGNAETYKENLAISLTKLGTCYLESLSLDIHGHDEEDDFSLHLWTLAPCRLRKLYIGRWHPISRIPNWTESLANLQYLHIYVKRINQEDLRMLGSIPSLLTLYLFSDEAPKEKLTISSQGFQSLTFFKIHCYHMGLVFEAGSMAKLEYLHILISAFQVKSWDGSFDFGIQHLYCLTKVYAYINCYGLTAEEAEAAVNAIMISVDTIPNCPKLQIDRRYAPL >ORGLA07G0111800.1 pep chromosome:AGI1.1:7:12475894:12476718:1 gene:ORGLA07G0111800 transcript:ORGLA07G0111800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKIHGKRSDRPRGRNHTPWAPLQGLLNSVRNIRKYVNDRSVGSKMMKSTERDSLSSSASETAPKLKDNNGEDTKYKLLEIKTEITERIDPKTRGKRSARHRVKEPALWTSQDELQKFETGKNRNGNEQAVYSRKRKKTASKGEAKTGTGNDVTEKTGVRVIDTSAEVKNSTSENTNQKDGVPTLNTPMDKKLSGADAFKQEDALIADDAGAGLKDSNGAAASALDQHATGATNPMENKADNGKLYTEQLQLWIKTVLVKIFLFQVFQVQKQP >ORGLA07G0111700.1 pep chromosome:AGI1.1:7:12467624:12471920:1 gene:ORGLA07G0111700 transcript:ORGLA07G0111700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELHDCVVQLRSNPQRCRDTVYVGCGAGFGGDRPMAALKLLERVKELNYLVLECLAERTLVDRYQIMMSGGKGYDPRVKEWLSVLLPLALDRGVCIITNMGAVDPLGAQEEVLELASNLGLEITVAVAYETSSGTFGNSVFSNESTGVRQGGSTYLGVASIVHCLENGKPQVVITSRVADAALFLAPMVYELGWNWNDFEELSQGTLASHLLECGCQLTGGYFMHPGDAYRDFSFEQLLDLSLPYAEVSYKGEVFVGKAEGSGGLLSYSTCAEQLLYEVGDPANYITPDLVVDFRDVKFQQISKDKVQCKGAKPSNPCWPEKLLQLLPTESGWKGWGEISYGGQECLKRAHAAEYLVRSWMDETYPGIEGKIISYIIGYDSLKVIGDNKDSSAKQVMDVRFRMDGLFELEEHAIKFVEEFIALYTNGPAGGGGISTGQKKEITLQKILVDREKIFWQVNMKKSSIPSPQNQATNADKGQMCDQQQHKCPRRCAMGTLPLNTNMDTLLSAVPSPSGTKIPLYHVAHSRAGDKGNDLNFSIIPHFPDDIGRLRAVITRDWVKNAVSPLLDSSSFPADRANQVWYDPLENVSIEIYDVPGISSLNVVVRNILDGGVNSSRRIDRHGKTLSDLILCQNVVLPP >ORGLA07G0111600.1 pep chromosome:AGI1.1:7:12461476:12463356:1 gene:ORGLA07G0111600 transcript:ORGLA07G0111600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCVDGERLSVPGGDGGGGLEIEWRKYMLSFFAEGERGSSGWVMHEYAITAPDDLASSPIRLYRVRFSGHGKKRKREPERLGARVHDDDVDGGQRAAPRRAVAETALFVQPSAVDCAESAGQSFSGAIEPVFHDLPDMMPEQADAGDTTETTAAVVNLTDAMSEQPVLPLAADGDDQSSYGVIDPAFRDLADLIVLPPEPDDGGMERATPCTPMSETALFEQQGPPLAPGNADCADHQSSYGVIDPAFCEQADAGEAETTVSAAVVNQNYSMALCDFNFPEVLSYVDFTAGMEPSWQQRWPPMSESAPFEQQEPPLAPVAMVDLPPGNADCADHQSCSGVIDPAFRDLPDMTVLPPEQADTGGGAETTTAMVSLTDKLKYSSSMDGEAAQAWCDFDFPESTDEALSYIDFTAGAHTDNDGGVSETAMFEQLGSPPQHDPLPMDADGADQSSSGPLIDTVFRDHAEPIVLPLEQADTGGGAAAAVNLMDKQKYSSSMDGEAAPAWCDSDFPESIDEVLSYIDVSTDDTSCIDFSMDDLFDLAD >ORGLA07G0111500.1 pep chromosome:AGI1.1:7:12450865:12451125:-1 gene:ORGLA07G0111500 transcript:ORGLA07G0111500.1 gene_biotype:protein_coding transcript_biotype:protein_coding CYMLCCTPALNFHVSLELQQVLQRSSSAFVVPPAFELEINFGGWLPCEGFCFLPFHALHLIEKETLSCTKKREEERELEEEEESLN >ORGLA07G0111400.1 pep chromosome:AGI1.1:7:12439126:12444314:1 gene:ORGLA07G0111400 transcript:ORGLA07G0111400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWGCLSSLCRPRRGGRAAAAPLPQPDPPRLESKEVEEHGASDKVVMENILSNNDFSEGLHLWHPNGCHGFVAVEGSGYHHGIRPHSGSNYAVLTRRTHNWQGLEQDITEKVTVGTEYIVAAHVRVHGELNEPVGIQATLKLEGDGSSTNYQSVARISASKDCWEKLEGSFELKTLPRRLVFYIEGPPPGVDLLIDSVTISYKKTERAASKLVSGTENIISNYDFSEGLHLWNPICCHAYVASQWSGFLDGIRGSSGENYAVVSKRTESWQGLEQDITDKVSAGTAYAVSAYVRVDGNIHTKVEVKATLRLHNTDDSTHYSPVGSLLASKEKWEKMEGSFCLTNMPKRVVFYLEGPPAGVDLIIDSVNITCSGYQQLKEVKVPSGVDTIVKNPHFDEGLNNWSGRGCNICRHELTAYGNVKPLNGSYFASATGRVHNWNGIQQDITGRVQRKVLYEISSAVRIFGSANDTEVRVTLWVQEYGRERYVSLAKNPASDKQWTHLKGKFLLHAPFSKAVIFVEGPPAGIDILVDGLVLSPARKLHAAPRPRIENVSYGANVIHNSAFSHGLSGWSPMGSCRLSIHTESPHMLSAILKDPSAKQHIRGSYILATNRTDVWMGPSQLITDKLRLHTTYRVSAWVRAGSGGHGRYHVNVCLAVDHQWVNGGQVEADGDQWYELKGAFKLEKKPSKVTAYVQGPPPGVDLRVMGFQIYAVDRKARFEYLKEKTDKVRKRDVILKFQGSDAANLFGSSIKIQQTENSFPFGSCIGRSNIENEDLADFFVKNFNWAVFENELKWYWTEAEQGRLNYKDSDELLEFCRKHNIQVRGHCLFWEVEDSVQPWIRSLHGHHLMAAIQNRLQSLLSRYKGQFKHHDVNNEMLHGSFYQDRLGNDIRAHMFREAHKLDPSAVLFVNDYNVEDRCDSKSTPEKLIEQIVDLQERGAPVGGIGLQGHITHPVGDIICDSLDKLSILGLPIWITELDVTAENEHIRADDLEVYLREAFAHPSVEGIILWGFWELFMFREHAHLVDVDGTINEAGKRYIALKQEWLTSITGNVDHHGELKFRGYHGSYTVEVATPSGKVTRSFVVDKDNAVQVVTLNI >ORGLA07G0111300.1 pep chromosome:AGI1.1:7:12430627:12434336:-1 gene:ORGLA07G0111300 transcript:ORGLA07G0111300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSIDRLIRRNSKTKLSRNIVDGIYDQKEEQYVQSLRELLLANNQLPEKFDDYHVLLRFLKMRGFNIVKAKEMFLNMLKWREECAVDAIAKIEEYDAVKRCYPHGFHGVDRFGRPLYIERIGLVDLNKLMQVSSTDRYVKYHISEQEKTLSLRYPACSLVAKKHIGSTTAIFDVKGLGMNNFSKSGRDLFIEIQKIDSNYYPETLNQLYIINAGAGFRALWKVLKACMEARTLAKIQVLGTNYLSTILEAVDPSNLPDFLGGTCTCSATGGCLLQDKGPWTDQEISQASKGVFGKGQKSFDEISTTVACENFPGHQEPSVGKLHPISGWKRTLGMLLKDNQVGDTNENIQQNKVNEQISEKIQELENCSAQTQETLHALLQKQNELANHIEQLRKLLREAANADNKANVLILK >ORGLA07G0111200.1 pep chromosome:AGI1.1:7:12424537:12428426:-1 gene:ORGLA07G0111200 transcript:ORGLA07G0111200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGTARDLTEEERKAHKEVRWDDPDVCAAFMARFCPHDLFVNTKSNLGPCMKIHDLKLKESFESSPRRETHMRRFEAELAQQCEKLVIDLDRKIRRGRERLAQDVAVPPPVIGKTSEQLSIIEEKVKKLLEQIEELGEAGKVDEAEALMRKVELLNAEKTALTNQADNKVAMLPQEKKMELCEICGSFLVADDVLERTQSHVTGKQHIGYGLVRDFLAEHKAAKEKARDEERIAREKKAEERRKQREKEYDVGGRDGGSRREKSGDRDYDRDRYYDRNRGRERSHDHRDRGSEYRSSSYRNGRDSERDRHRYRSDDMRKDRSRVRSRSRSPSRHGPDQ >ORGLA07G0111100.1 pep chromosome:AGI1.1:7:12417876:12418097:1 gene:ORGLA07G0111100 transcript:ORGLA07G0111100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMVDGDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTLSMSLVHGFRLPTSCINRGGA >ORGLA07G0111000.1 pep chromosome:AGI1.1:7:12407852:12410140:1 gene:ORGLA07G0111000 transcript:ORGLA07G0111000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFELELGPPPNTTMDSMSVRYLLNQIGSDRTTHIQILATVGGALLGFQALLGHRRRRSSNKLFLVLLWAAYTVSSNVVSYTVGLVQSVAERDRYSVQQWWAVGLLLLLGSADTMSAFTRGDAEQSKGMMAQHAVQTVLVLWWTITLSVCWLYSIVKMGQRIKAMRMASSSHGLVRAAKVVADYMHDTVDAWGCERCGHGGGDGARDLDSVDMGPYKYLVHGEEGRSTPPSEQTDYRTRVPEDGTVVTIDKIWRSDGELLVSSGDGVGDERRARARALKDTCLSFALFKLLKRRFCGLELELSFAHDFFYTKYPALLPTSAVLHVARFVSLLAFLKLLYDFTYTASYTAKFFKDISAVGIFSSFNDFLFISMILGVEVMQQLSTGYSDWAVVHFVCDYVRRVDKNNKKRHGGGFGFRQAVIKRLAARRARTSRHWQNKLGQYSLLYHSSAGNCLSWLTGRLLEPKVVRLPREVKVAVLRSFKESGGRLAVGRSLDSRLRWACDRLLPPSTQLQSDTHWKTRAHTHTVLVWHIATTMCDHLDDAAAADQNGADRLVATRLSGYCAYLLAFVPEMLPDHSYTATLVLDAAVQEAREHLVDATAMPDKCKKLRDLGESNGGVRDGILMDGARLGSQLMAAASYDSRRRWKLLAEVWAELVLFLAPSDNADAHAESLARGGEFMTHIWALLTHAGILDRDPEAAAPPAGATAV >ORGLA07G0110900.1 pep chromosome:AGI1.1:7:12367967:12372275:1 gene:ORGLA07G0110900 transcript:ORGLA07G0110900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-galactosidase 1 [Source:Projected from Arabidopsis thaliana (AT5G08380) TAIR;Acc:AT5G08380] MGSYALPRRSLVLFLLAAAAVASTAAWAASSSSAGGGDDAARRSLLDNGLGRTPQMGWNSWNHFGCNINENTIRSTGYIAADPKKFPSGIKALADYVHSKGLKLGIYSSAGTRTCSKTMPGSLGYEDIDAKTFASWGVDYLKYDNCNSDGSSETVRFPKMSFALRKTGRPIFYSICEWGQRNVATWGGQYGNSWRTTGDINDSWASMLSNIDSNDASASYAKPGGWNDPDMLEVGNGGMTNDEYVVHISLWAIAKAPLIIGCDVRSISRETLEILSNPEVIAINQGPLSQQRTAVLLLNRGATGSRQITAAWQDIGVGPGVAVEAKNVWLHATAPGRFTGSLTAEVAAHLCKLFVLTPVGRAGEERS >ORGLA07G0110800.1 pep chromosome:AGI1.1:7:12356459:12357977:1 gene:ORGLA07G0110800 transcript:ORGLA07G0110800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLVSSSCLVVAASIAVLCYVNNDADERLPPGPRVRLPLIGNLFLHAPTMASLPSALRRLRRSHGPVVTLWAGNRPAVFVIGRDLAHRTLVRAGAALAHRPPSPFASSSRALSFNRHGVNAAEYGDRWRRLRSNICSCLAATEALRRRSVDRLIATLELEARAGAGATGVVAPTDAFRHGVFSFFAVVCFGEWVRDGDHDAALRDLRRAHADILALTVELGAFDLVPAVLMVPYLHRWY >ORGLA07G0110700.1 pep chromosome:AGI1.1:7:12351679:12353583:1 gene:ORGLA07G0110700 transcript:ORGLA07G0110700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >ORGLA07G0110600.1 pep chromosome:AGI1.1:7:12337660:12338960:1 gene:ORGLA07G0110600 transcript:ORGLA07G0110600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRVPAAVTGGCGCGVDGGGGCCRGGGKLADWEEGKDDEMKSVVVKGWTRMAQVVPLHDNASAEDDDDDDEEDDDEDDDDDDDEDDEEAAAPPYVMAVDDSSVDRAVITALLRRSKYRVTAVDSGKRALEILGSEPNVSMIITDYWMPEMTGYDLLKKIKESSELKQIPVVIMSSENVPTRISRCLEEGAEDFLLKPVRPADISRITSRMLQ >ORGLA07G0110500.1 pep chromosome:AGI1.1:7:12318222:12319595:-1 gene:ORGLA07G0110500 transcript:ORGLA07G0110500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:I1QAG3] MASKLQAFWNHPAGPKTIHFWAPTFKWGISIANVADFAKPPEKISFPQQVAVACTGVIWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRKDYFSDEKDAAASLEG >ORGLA07G0110400.1 pep chromosome:AGI1.1:7:12305478:12308365:1 gene:ORGLA07G0110400 transcript:ORGLA07G0110400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEVMESGGAAGEFAAKDYTDPPPAPLIDAAELGSWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDASASGADAACGGVGVLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYIVAQCLGAICGVGLVKAFQSAYFNRYGGGANTLAAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIFNNEKAWHNHWIFWVGPFVGAAIAAFYHQYILRAGAIKALGSFRSNA >ORGLA07G0110300.1 pep chromosome:AGI1.1:7:12286087:12286434:-1 gene:ORGLA07G0110300 transcript:ORGLA07G0110300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTTSAVAASNHSMTAVGEGKWEVMSIPIKAEDQGSKTELSQGARQEYIDALMSIVDRILFPELRERIHATMAGFGETVRTAQAEQANATKKVFXRTFIFFPDGYDFXSXMAVY >ORGLA07G0110200.1 pep chromosome:AGI1.1:7:12278261:12279339:1 gene:ORGLA07G0110200 transcript:ORGLA07G0110200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEADVEAGGVRDYEDPPPAPLVDIDELGRWSLYRAVIAEFVATLLFLYVTVATVIGYKHQTDASASGADAACGGVGVLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYIVAQCLGAVCGVALVKGFQSSFYDRYGGGANELAAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVVYNNSKAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGYGSFRSNA >ORGLA07G0110100.1 pep chromosome:AGI1.1:7:12277186:12277431:-1 gene:ORGLA07G0110100 transcript:ORGLA07G0110100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIWTGRRSTWAGGRRGVGKGWTRRCGGSRRTVVIYTRRGFARADRGREVALAAKDGDDRVVAAVIGQLVDENGLTWADC >ORGLA07G0110000.1 pep chromosome:AGI1.1:7:12267001:12268064:1 gene:ORGLA07G0110000 transcript:ORGLA07G0110000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTLESGGVRDYEDPPPVPLVDADELCRWSLYRAVIAEFVATLLFLYVTVATVSLVRAVLYMAAQCLGAICGVALVKGFQSGLYARHGGGANELAAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVMYNNSKAWSDQWIFWVGPFIGAAIAALYHQVILRASARGYGSFRSNA >ORGLA07G0109900.1 pep chromosome:AGI1.1:7:12265209:12265430:1 gene:ORGLA07G0109900 transcript:ORGLA07G0109900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTKGTFTGVHNVIGAHRPLMGRLAIAGPVALRLDGPERLPNPSRGRTCHGEVVFLRQIVFALHPSPETPLA >ORGLA07G0109800.1 pep chromosome:AGI1.1:7:12247998:12249089:1 gene:ORGLA07G0109800 transcript:ORGLA07G0109800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEVDVSTLEAGGARDYIDPPPAPLVDVDELGKWSLYRALIAEFVATLLFLYVTVATVIGYKHQTDAAVNGADAACGGVGVLGIAWAFGGMIFILVYCTAGVSGGHINPAVTLGLFLARKVSLVRALLYMAVQCLGAICGVALVKGFQSSLYDRYGGGANELAAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNNKAWNDQWIFWVGPFIGAAIAALYHQIILRASARGYGSFRSNA >ORGLA07G0109700.1 pep chromosome:AGI1.1:7:12216058:12231072:1 gene:ORGLA07G0109700 transcript:ORGLA07G0109700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucosamine mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G17530) TAIR;Acc:AT5G17530] MAALSGKIIQNAFLAQHRPQARHSTRYPSDFCALDTRSVHSFQERRLRLAGTSAKWLNTISTAWISSSKQAYISCNAAQGSSVVSSSAKVDFLKLQNGSDIRGVAVGGVEGEPVNLTEPVTEAIASAFAAWLLNKKKADGWRRLRISVGHDSRISAHKLQDAVTLGITTAGHDVLQFGLASTPAMFNSTLTEDEINHLPVDGAIMITASHLPYNRNGLKFFTSAGGLNKADIKDILERASRIYEDSSHGSTQELEQASKGEVSNVDYMSIYASDLVKAVRKSAGNKEKPLEGLHIVVDAGNGAGGFFVDKVLKPLGAITTGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVADNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGGKHHRFKRGYKNVIDEAIRLNTIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARILNPNVGSKVLTDLVEGLEEASVTVEIRLKIDQNHADLKGGSFRDYGEAVLKHLENSISKDEHLCKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSKDDAIKLGLAVLTAVSEFPALDITALNKFLQQ >ORGLA07G0109600.1 pep chromosome:AGI1.1:7:12160013:12160270:1 gene:ORGLA07G0109600 transcript:ORGLA07G0109600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKMIVWQASKDRAEERSQSGPPLWRLKLPWPNQQIKAALCRSDSDSEEKECRSCGVVAARKLNNQHNLFARETIELRAVYAEK >ORGLA07G0109500.1 pep chromosome:AGI1.1:7:12155729:12156335:-1 gene:ORGLA07G0109500 transcript:ORGLA07G0109500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGPNPSKACLWHGKDNVAVCEQRRRHHGASKDGVVCSGKKGWHCGACAARGIGSGATVTGYGVPDMGPSGGVVWGGGAARGVAMTRPGRPGVRMEGSSVERGEASMGIARGRRVRCQQATGASEETSGRGGDDRSWLPVGRHCGGDMTWQRFDWVMELGVATIDMGKGAANENLAWDLHRQ >ORGLA07G0109400.1 pep chromosome:AGI1.1:7:12150725:12152918:-1 gene:ORGLA07G0109400 transcript:ORGLA07G0109400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVHIHNQNPLHIGRRNSLSRAQQFINKWELQCMLLASFSLQIFLLFSSGFRKRHSSCVLSVLLWLAYLSADPVAVYVLGRLSLRASGSSDPRNQQQLVLFWAPFLLLHLGGQETMTAFSMEDNMLWKRHLLSLTTQMVTAIYVVSKQLQGNSRLVAPMVLVFVFGTAKYAERIWVLRRAGSVAPGTSSSTANLVSRASSNAVWDTQGYYSQLCYVIERKLERNFEFILAVANEGFRLSLSFFMDMTPSISLLPQDISEIKNSVEVFKSSEDIVHMAYKLAEINLSLIYDYLYTKFGTRHFHIVPVCNIFHLIIKIALISVALALFMRARAGQKAHDVVDVIISYILLVGAIVLEICSVFMSFISSCWAYKTIITLPLTCPLCQKFPGVIAALLSLVRHLHPDSRGEWSGKLAQNNMIEGCIREKQAGAGLLRRARRYIGIDDNKAIKRIGVSPEVKKLVLDKLLEIASTSRVLEWDLGVGRFRGQWAQWVVDAKEDHLCSAAQQVLQVSNIQGLEFVSSVLLWHIITDICLLVDEDEDGGAELRGPTRNLSEYTMYLIADCGVMAGSEGHFVLRKGCHEVLSWLREKGESGGDRRKVIEDIRNEDSSFFADNYYPVLDRARRVSSDLLVLEEPGDRWELIAAVWMEMLCHISYNCGAGFHAKQLTTGGEFVTHVKMLLFMLGVPFLRDVKEPLFYRAGNLYS >ORGLA07G0109300.1 pep chromosome:AGI1.1:7:12148238:12149092:-1 gene:ORGLA07G0109300 transcript:ORGLA07G0109300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNWVSRKIHLYNVTMGLYMLDWWERCLFNMMVLILLWFVCFNGSRFASDVFDSHLKARIIPGGNYGLGIEK >ORGLA07G0109200.1 pep chromosome:AGI1.1:7:12146095:12147591:1 gene:ORGLA07G0109200 transcript:ORGLA07G0109200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:I1QAF0] MAAAAVAADQKVVTMTSLREGCACAAPPAAAAPPMPKMAAAQRVVAELREACATPAARLAEVAAAMAGEMEAGLAVEGGSSEMKMIVSYVDTLPTGGVEGSFYALDLGGTNFRVLRVRLAGGGVAERVAREVPIPPGLMSGGGATSECLFGFIASALAEFVGEEEEEGGLDGGERELGFTFSFPVHQTSIASGTLIRWTKAFAVDDAIGEDVVAALQAAMSERGLDMRVSALINDTVGTLAAGSYYDEDVVAAVILGTGTNAAYVEDATAIAKLHPSQLPASNTMVINTEWGSFASPCLPLTEFDEALDQESLNPGEQTYEKLISGMYLGEIVRRVLLKISSRCPSLLGGAGELATPFVLRTPDVSAMHHDETPDLSIVGEKLERTLGIRGTSPEARRMVVEVCDIVATRAARLAAAGIVGILKKIGRVDGGEGRRRRSVVAVDGGLFEHYGKFRRCMESAVRELLGEAAAERVVVKLASDGSGLGAALVAAAHSQRA >ORGLA07G0109100.1 pep chromosome:AGI1.1:7:12134966:12135798:-1 gene:ORGLA07G0109100 transcript:ORGLA07G0109100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNWVSRKIHLYNVTMGLYMLDCCFPLTGVDIMVLILLWFICFNGSRFASDVFESPSFAITVGSWQSETLLNIKIVDVLELVVNELEVKG >ORGLA07G0109000.1 pep chromosome:AGI1.1:7:12130678:12131082:1 gene:ORGLA07G0109000 transcript:ORGLA07G0109000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAKETGLLNGVIPHLVDNGLSILQYADDTIIFLEHDLQQEFQCILGSFLIKIGKVLKKELRKSLVVGKASICLLELDWF >ORGLA07G0108900.1 pep chromosome:AGI1.1:7:12090474:12095505:-1 gene:ORGLA07G0108900 transcript:ORGLA07G0108900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSKEELVYQQVNYGNADGIRALRAQGAGLEWIDKEGKTPLMVASMRPDLINVVQVLIELGANVNAYRPGSYCGTALHHAAKKGLEQTVHLLLSHGANPFITNDDCHTALDLAREKGHVNVVRAIEGRISLFCGWMRENYGPGFLEAFAPQFLTRKIWAVILPREARNQTRPLKLELTIYPELQASKPQAVIKLWKCQLEEPKFNQANPSVTIFDKGTRTRYKLLPVCEGDRQQLQWFYSACCGIPQVASMVPAQPANAPLPNPSSASSLPSVISTPSKEDAELAMAINASIQSAIAEGVPDVQPITTTTATNDWGNPPSNSLNGWGPPDTSAPSKTSGQVPVVTSSSSTYNGWDVPGTSSGQSSSKHNKSQNSTFVVPQEALPSLPVPTAPPLAVGTFYDGPIQYPSIDSTPVDVTMPSADGGTAVSSAKPAENEGDAKPAESDANASNSGNTPPGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRAKINQIIRLYAV >ORGLA07G0108800.1 pep chromosome:AGI1.1:7:12084408:12085854:1 gene:ORGLA07G0108800 transcript:ORGLA07G0108800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKMKKGILRPFRYISNMMDGKEAAQDMQIGFPTDVKHVAHIGWDGPSVPNNNNTAGAPSWMKDYHSAPLDSASFRSDRGGSAAANPWASQEIVVDGGSLGDTSFSETRSEAGGSMDITAGDSPPSPDSRRSRRHRSRGSAATSSMDCTAADGGAAPEKKDKAKKSSRGKNRKKDKSDKSAAAGAGAGDDASGATCQDLPAVPKKSNRRKNKGGSEGTGAAAASKADGAGAGGEDAAAPEPPATEEAQDHD >ORGLA07G0108700.1 pep chromosome:AGI1.1:7:12074469:12076001:1 gene:ORGLA07G0108700 transcript:ORGLA07G0108700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFTPSLQGIKNVKSESGVILTKPFLEVCKHILPVLDKFGSAMSIVKNDIGGNITRLETKYASDPSKYEQLHSMVKVEISSKTAKSSSSCTNGLLWLTRTMDFLVALFHNLVQHPDWQMSQACSDAYSKTLKKWHGWLASSSFSVAIKLAPDRKKFMEIISGSGDINADIEKFCATFSPLLAENHRFLASVGMDDLKAS >ORGLA07G0108600.1 pep chromosome:AGI1.1:7:12058903:12064631:-1 gene:ORGLA07G0108600 transcript:ORGLA07G0108600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDARLDAAVFQLTPTRTRFDLVVIVNGRKEKIASGLLNPFLAHLKVAQDQIAKGGYSITLEPSSGVGAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQLEDAISIQSNDNLGLRSVEDHGGKLTESNEGTRANHSPDADKAIVIYQPGSQPTPAVHDETTTHEENSKVQLLRVLETRKNVLRKEQAMAFARAVAAGFDIDNLGYLIAFAERFGASRLMRACSQFIELWKRKHETGQWIEVEPEAMSTRSEFPPFNPSGIVFVGDNMKQNTETMSVSNGEANGEDASKAEHKSGQQMGYQAAYPPWAMHPPPYHMQGMPYYPGPYYPPYPPVDDPRYHYSGRKSSRKHSSDSKESEVLDEGSDGSSSERGSSHGHKSHKKGKQSGKKKPSVVVIKNVNVTSKKHGSSESESQSSSEDGSQDSDDSHSKKRHGKHKSSSSKKKEGAKTNFDSGDDYNNKDESSYGQDADQGNWNAFQSFLLRAEEKTRSNDADMFSGEKAPPSRKKNNVNTADPILLAGGDSGDVYEQRGAGFDPVNGRSRAIRLQSNDELMMSGEGGRYMDGEIKEIEAGGGRYRRGTGEDFMLYGQERSVDRRSALDPLAEARYRNPNQVDKNGYVAADESFIIPLRSGSQDSVGPEYRAAIDIDVELPTNTKKTSDGKAGTQLFYEPDELMPERGSEDASLGYDPAMDYESNMLVRAVKVEDSNDEDVSHSNDGDVKKPEKEKIRSTKDGSDKRKKDAILRRLSAPKTPLNDAQKRAQNMRAYKADLQKLKKEQEEEQMKRLERLKLERQKRIAARSNGKSDPPKASREHANGLSKSVPSLTGLKKEKSGSTESFSERLKRLAEPKSIGGADHLSNPKSVTTDHSRRRSMV >ORGLA07G0108500.1 pep chromosome:AGI1.1:7:12031488:12031951:-1 gene:ORGLA07G0108500 transcript:ORGLA07G0108500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGLRLWSYIREEASHGRKAPIDPFTRESDKPSASQGVPLGGMGSGSISRGFRGEFKHWQIIPGSCEMSPVIANQFSVTRETISLR >ORGLA07G0108400.1 pep chromosome:AGI1.1:7:12024390:12029048:-1 gene:ORGLA07G0108400 transcript:ORGLA07G0108400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTLSQDGCFDRDNFSAGPSMPSSLGDTVCAAVCASTWVEPHGRCTVVFSLAWSSPKVKFKKGNAYYRRYTKFYGTSPRSAINLVQDALMKYKHWEEEIDKWQNPILHDERFPEWYKVTLFNELYFLVAGGTVWIDSASLIADADEMLNSRLSEDNDLPLHHSSRNSAVPLIDFTPHIIDDRENVGKFLYLEGIEYFMWCTYDVHFYASFALLELFPKIELSIQRDFATAVLREDKSRVRFLADGTWGTRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATGDMSFGKDVWPAVCTAMEYMEQFDHDDDGMIENDGFPDQTYDAWTVRGVSAYCGCLWLAALQAAAALSRTLGHHDYAERCMLKFAKAKPVFEAKLWNGSYFNYDSGTSYNSRSIHADQLAGQWYTASSGLPPLFDEGRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMDNQAFATAEGIFIAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQYALSPPRTILEAPRVNTMDRTSYISPSTLQFLQDSVRKMTPKNSCFGSNPSNCEC >ORGLA07G0108300.1 pep chromosome:AGI1.1:7:11971876:11972175:1 gene:ORGLA07G0108300 transcript:ORGLA07G0108300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRESAWWYDDVRGGQISCGILRLELMHVVGEDSIWHTMEVLCAYGMRSRIWKEAKFGMIGYVKFVSYTRRFPRWFRTSSMSLVRGFRLPTSCINRGGV >ORGLA07G0108200.1 pep chromosome:AGI1.1:7:11927052:11928546:1 gene:ORGLA07G0108200 transcript:ORGLA07G0108200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1R1Y4] MASSPTMLVVICSSLAMAVILSSSSPATGQLDVGFYGKTCPKVEEIVREEMIRILAVAPTLAGPLLRLHFHDCFVRGCDGSVLIDSTASNTAEKDAPPNQTLRGFGSVQRIKARLDAACPGTVSCADVLALMARDAVALSGGPHWAVPLGRRDGRVSAANDTTTQLPPPTANITQLARMFTAKGLGLKDLVVLSGGHTLGTAHCSAFTDRLYNFTGANNAGDVDPALDRSYLARLRSRCTSLAGDNTTLAEMDPGSFLTFDAGYYRLVARRRGLFHSDSSLLADAFTAGYVRRQATGMYAAEFFRDFAESMVKMGGVGVLTGGEGEIRKKCYVIN >ORGLA07G0108100.1 pep chromosome:AGI1.1:7:11910615:11920264:-1 gene:ORGLA07G0108100 transcript:ORGLA07G0108100.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXLNQAEAAPASPTASVPGRIEEDSAATKSAGSGEDAAAKRDQGGDKAAVAVVESSRKKKEQQQQQQQQATPWAKLLSQSSQSPHLPISVPQFSVGQNKSCNLWLKDQPVSKILCRLRQLEQGTCELEVLGKKGTVQLNGRSITAGTKVPLKGGDEVVFSPCGKHAYIFQHPLNDKIPKMVPPSPVTLLEPPVAGVKRLRMENRTGDTSAVAGTELLASVSDQLKDLSAAPPASAGENNQRLVRPMASSASDKSKGNGIIPDKECENGENANEVNSNVEDSPLDVAAAPVISPDAVPNDISQHNGFGSDAHLGAEIALEDQRDLIRDLNSSASLPPSRCQAFKDGMKQGIISPNDIDVTFENFPYYLSDNTKNVLLSCAFIHLEKKEFIKQFSEISSINQRILLSGPAGSEIYQETLIKALAKHFGARLLVVDSLLLPGAPSKDPESQKDAAKSDKSGDKAGGEKLAILHKHRSSLADTMHFRRPAVQPSSVHADIVGTSTLHSASLPKQESSTATSKSYTFREGDRVRYVGPAQQSSLSQRGPSYGYRGRVMLAFEENGSSKIGVRFDKQIPDGNDLGGLCEEDHGFFCSADLLRPDFSGGEEVERLAMAELIEVISEEHKAGPMIVLLKDVEKSFTGITESLSSLRNKLEALPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGSRLHERNKESPKAMKHLNKLFPNKISIQLPQDETLLTDWKQQLDRDVETLKAKSNVGSIRTFLSRNGIECSDLEELFIKDQSLTNENVDKIVGYAVSYHLKHNKVEISKDGKLVLASESLKHGLNMLQNMQSDNKSSKKSLKDVVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELAPGIDMDSLATMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNVAKAEGRPEPALYGSEDIRPLTLDDFKSAHEQVCASVSSDSANMNELLQWNDLYGEGGSRKKKALSYFM >ORGLA07G0108000.1 pep chromosome:AGI1.1:7:11907157:11909134:1 gene:ORGLA07G0108000 transcript:ORGLA07G0108000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAAGDGKGKRRLVGMPPARAAAADFAMGGAAAVVAKTGAAPVERVKLLLQNQAEMLRRGSLTRPYRGIADAFGRVLREEGVAALWRGNQANVIRYFPTQAFNFAFKGYFKSIFGYDKEKDGKWKWLAGNVASGSAAGATTSSLLYHLDYARTRLATDAIESQGSKRQFSGLLDVYKKTLKTDGIRGLYRGFSVSIVGITLYRGLYFGIYDTMKPLILVGPLQENFFASFALGWAITTFSGACAYPFDTLRRRMMLTSGQPLKYKNAFHAAKQIVSTEGFFTLFRGVGANILSGMAGAGVLAGYDQLHRFAGQHGYNFESKMKGALK >ORGLA07G0107900.1 pep chromosome:AGI1.1:7:11900193:11905188:1 gene:ORGLA07G0107900 transcript:ORGLA07G0107900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRASRDSWRTSACGRRGRPRPWPPPAPAPPPPPDPPGPTLDPPQRPRRPTTGSSARPPPRPPPPPPPPRSTRCSMALLLPPPPRRRRRRGPRCTTTTSSARSRGXGRAPIRRPPPRRGTTATTCLGVAGARRRPPRLMTCSPATARRRRLRTTTYXVGSGXSRRRGRGRGRWWLRTTTCSGGSGGSHIRRRRRSRWWWRRLMGGNGFDYLIPGFSGSGPQRSRKIIDDNKDEPAVRTSKSTASVLDDPFVVLETNSASGSTYPSPSSFTDPLEHLNNSASSKGKNVDNTTDNDSLPDDSSAFNQVPKSDPLFTSEFNGDTKYMNPPSKARDSNPLHGSMNGNSARGSSTEDLGDAKTKSQSARYSDIYVDGSSSDRYATNGVGDQSPRSTESEDDIWLTVSEIPLFTQPTNAPPPSRSPPLLKQRPLQAKANGNYDGYVRQSNQNHNQYRDMPDQAEVSSLDEMEGFAKDKSQMPSYDDNFFGEAEQSERTSSDREEKERQARLEQEQEMKLMEEKKREQRRLEKERELEQQKERERQAMERATKEARERASAEARAKAEREATQRAQRAAVQRAQQEARERAAAEAKEKAARIAAEARERAASETKERERAAAERAAAERVQQEARKRAERAAVERAAAEARERQAAAAAAAAREKQSSADDLESFFGAGARANSAPKQRTPTVDSMFDSQPQSRATTNGSQRSASTSASMRKAPSATNIGDDLSDLFGAPASSDVFQEVEGESEERRRARLERHQRTRERAAKALAEKNERDMQVQREQAERDRIGDTLDFEIRRWAAGKEGNLRALLSTLQYILWPECGWQAVSLTDLITGAAVKKQYRKATLCIHPDKVQQKGANLQQKYTAEKVFDILKVCIVSLHRNKY >ORGLA07G0107800.1 pep chromosome:AGI1.1:7:11884849:11887023:-1 gene:ORGLA07G0107800 transcript:ORGLA07G0107800.1 gene_biotype:protein_coding transcript_biotype:protein_coding HKLNSNDPLWPCGLVGGERRRIIDQSRLRYWGGRHEAVLCMLSPAATAVAAVRATAGSPAAVRGMHARILKEGLAHHPPNPAALVSAYAKSHLLPDALHLFDETPRRDIYIYSSLLTAVSHSASPELALPILRRMLSADALHPDHFVISSVASVFARLRSRRLGRQLHAHFVASPYNGDDVVKSSLVDMYCKCGYPDDGRKVFDSMSAKNSVVWTALVSGYASNGHSEEALQLFRSMPGRNLFAWTALISGLVKTGESVGAVELFVEMRRDGVRIDDAFVLSIVIGSSADLAAFVLGRQLHGSAMRLGFLSSMIVGNALIDMYSKCSDILSAREVFEGITFRDVISWTTMVVGEAQHGRAEEALALYDRMVLAGAKPNEVTFVGLIYACSHAGLVQKGRQLFESMKNEYGITPGLQHYTCYLDLLSRSGHLLEAEELMTTMPYEPDEATWGALLSACTKYKDAEMCIRISNKLLELRPKDSSTYILLSNVYAVNGKWDSVAKVRKCMIGLEIRKEPGYSWIEAGREFRLFHAGEVPLDIREEIMVFLEEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRVCVDCHTVMKLISEITHRKIVVRDSSRFHHFEGGKCSCSEFW >ORGLA07G0107700.1 pep chromosome:AGI1.1:7:11874570:11874977:-1 gene:ORGLA07G0107700 transcript:ORGLA07G0107700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIPLSRPANPPPPPPPRSATATSILHPRRLDLHPLHPDPRTAEETGVWRRGVPPRRSTLPLPRSVAAASIPISCASIHRHQGGERRRCLQGRELELERGKRADELGGARLVKWDGVGRGLGCRWGNEGEEILY >ORGLA07G0107600.1 pep chromosome:AGI1.1:7:11870582:11872434:-1 gene:ORGLA07G0107600 transcript:ORGLA07G0107600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYREIENGILWEVDGKWVVQGAIDVDIGANPSAEGGGDDEGVDDQAVKVVDIVDTFRLQEQPPFDKKQFVTFMKRYIKNLSAKLDAEKQEEFKKNIEGATKYLLGKLKDLQFFVGESMHDDGGLVFAYYKDGATDPTFLYFSHGLKEVKC >ORGLA07G0107500.1 pep chromosome:AGI1.1:7:11829653:11833176:-1 gene:ORGLA07G0107500 transcript:ORGLA07G0107500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GXKETVEHSTXQLSPWKWRGKXQRTIIATSRASSTIXRXGDSCXAARXXAPQHACTRXRGEEAQGDNLAICLGSSTWKRIVVKCNRLGQPIGDEGGLLGQFLGTLARNGAYCPLDKMTWRKIKADEGDLTILQFVQTKFLYPPSCVQWILKSIGRDWRRYKAALKDKYFNPKKKRSALYKLCPDDVEKDQWIPLIKYWKSKKGKALSAKNKRSHSMLQNPHSAGTKSYARWSEDLVTLENLIDEQPELAQNDQGRVAWEGDALNKVLGKEKPSQVHGMGLLPVPKQVYGRTSHHLKNINITTVNDSSSDEETHVRGEVGELKKLVKTLGQRIEKLENKGTSNGNSEPTMATSQRTFDDGIEEGVVRTNRKNKRRCEEQQNMHHDNILDLCGKKHQEADNNIGSPCQDDSSSQPHLAHDLRREMNKKKHRNLEKFAKTTEKQDTQKKTAHHMAQNRVHSSSMKVGTTIILVTAKYPNKETVAYATYLSSNPRDKVDGVEIGNEFTKVVVNHPLKEDEELVQKING >ORGLA07G0107400.1 pep chromosome:AGI1.1:7:11810476:11810703:1 gene:ORGLA07G0107400 transcript:ORGLA07G0107400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEWSKEENKLFEQAIAYYGEGAPDLWHKVSRAMGGTKTADEVRRHFEILVDDIKLIEARRVPFPKYNTQGAWN >ORGLA07G0107300.1 pep chromosome:AGI1.1:7:11788948:11790545:1 gene:ORGLA07G0107300 transcript:ORGLA07G0107300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1QAD1] MATVDGTTAPSSGGKTATVASESGGGRYGGPAPAKCSGANLALRALLFAVSLSALVVLVTAKQTVMVPFVIRPPQFILAPVPAKYTHSPALIYLLAALCATCFYSLITAISSVRLLSSSACSAKTLFYLILLDVFYAAVMASATGTAGAVAWVGLKGNSHTRWNKICNVYGKFCRHIGSSTFLALIAAIVLVLLAFLNAYSLYRRSR >ORGLA07G0107200.1 pep chromosome:AGI1.1:7:11783591:11785512:-1 gene:ORGLA07G0107200 transcript:ORGLA07G0107200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCNKAFXKLRPNTGFPHETTFPHTDKKVPMADLNSYHLATWADSAKTRNPTGPGSSHENMWLHIWLRSMTYEVKSLIDQGNLPNYNSTQLNSRLTQRPYPATITQIHYHLTRTGGSSAVASAAFVGDGARRLRQIAAEAEEVEANAVAXHRAPGWRGDGARRREADAGVEVERETAARKGERAQPRGFTSGGGTGRRWQRGGGGR >ORGLA07G0107100.1 pep chromosome:AGI1.1:7:11768753:11769235:-1 gene:ORGLA07G0107100 transcript:ORGLA07G0107100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPEGLVWKNRRGVAMRGRQIGKRNFFNLSDPAQVWWRCPLPASGQHALAALSPDTTTHPLRLRPLPLQMLGRRRCLLGWRQLSDSRTAGNKIFGSLCFSRMLRWCWSDWCLHDLLVDGLGMIVAHQGIAAGHQVNFSSSSFVELQAEVERQQINQCHPSS >ORGLA07G0107000.1 pep chromosome:AGI1.1:7:11738214:11738791:1 gene:ORGLA07G0107000 transcript:ORGLA07G0107000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVVARSAPCSPYLAGGWPAAGADGGGGSAGGGCGGGGAGGGYGGEGDGDDDGGPRRVSRRWLGLSRTSPPRDLRRGALIDAPSTTPTTQTARCSSRSEPPRPDLAGWRLATVADGGSLWKWGRSLAVGKETMCGRDVDDGKICGSGLGRIAEGTM >ORGLA07G0106900.1 pep chromosome:AGI1.1:7:11721009:11723203:1 gene:ORGLA07G0106900 transcript:ORGLA07G0106900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKTLLNHCFLLVGFTGTTISARRAHMDGICLVNTKRRLTLRPCVEVDHSSKRVRSRCVKFESLPKDIVSRIISQLTLKEAVVMSSTSTKLRRAWIYHPNLYLDTSIVFGSSDRHKRVPSTETFIDTVNFILRTHSGLGVNKLAVMFELRKEHAHDIDGWVSFAVTSKARVVTLNFSPYHGSHDRSYNFPCHLFNGKSGSHLQVLQLDTVTLGPSPPGFCGFANLTMLTLENVLVLRDLQFLVKCPALEWLTIRMCSQLHNLYAPELLPRLTFLCVQDCAIDKIDVHAPNLTTFKYRGCLKVIIALRECLKLKTASIVSPIEDNLYYIFTELPNELPHVERLHVNVFVKTQIPGFTQAPHKFINLRHLTMRITYEIAKRFGRNTVLQLAYFLEAAPFLVDLHLNMLCLDFYESRPARDVIMNRPHYSLKRACITGFNGNGEQVALVKFILKNAVKLEEMDIDPKGRITNQMMGEHKGRRMIKEKLVPKDKNGLLVIL >ORGLA07G0106800.1 pep chromosome:AGI1.1:7:11692876:11693515:1 gene:ORGLA07G0106800 transcript:ORGLA07G0106800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIADEKAHPGHGLGGSGEGSSGDGVLLRRRVASAGGLLXRWNLWKVKGESLVVVLGVWWREGLSYSSSWQRIDGEGGGGGVLADTKNVGEAALPGGVSSEDKGKMGSVRDAKKDDVNGFSRGSPEGNQWQRGLAGTDAGARVPAVPRDFLPWARSMVSSLSLRSRAAWRWNRTSGRMKEEI >ORGLA07G0106700.1 pep chromosome:AGI1.1:7:11675052:11675459:-1 gene:ORGLA07G0106700 transcript:ORGLA07G0106700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNILKYVDLAQYNSFIEEADPEKASKAMDAVKAQYELEYPGKHSPGWMAKQVLGVAAHMYWEKKKAKIMGEDGLWAADKTTVLTRLKKQSKKMQRLANKRSLIGAALAGKPLPLPLLLYLDLFTTHSAIIYGN >ORGLA07G0106600.1 pep chromosome:AGI1.1:7:11672111:11673564:1 gene:ORGLA07G0106600 transcript:ORGLA07G0106600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTTMTEGQHGGVAPAEGRLLNQARNWLVLSRSATHKLVLSLVPKEQDGENFNDALARVCCCVGGGTETGNADSDSDIEVVADSVSVNLRFPMTGSRIKITGQFKPCVHMGCFELEAFVELNQRSRWWQCPTCLKNYSLDNIIIDPS >ORGLA07G0106500.1 pep chromosome:AGI1.1:7:11668955:11669262:1 gene:ORGLA07G0106500 transcript:ORGLA07G0106500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNXIINXANFAGAGNMTLYGHTMLYDEHQMMSDSIRIKNSDTNRELGN >ORGLA07G0106400.1 pep chromosome:AGI1.1:7:11653963:11655750:-1 gene:ORGLA07G0106400 transcript:ORGLA07G0106400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGNAPTPPTPATPEAETGAASPKFVSPPSNLTDVLDADHDDDVPLRFRTVENILGHTATPGLVHLELDSANEHAVYTHVKGDAKLIIGMYVDDLIITGADQASIGAFKREMCNIFNMSDLRLLSYYLGLEVKQREIGISLCQLAYAGKLLDKSGMGDCNACATPMETRLKLSKTSMSPLVNATNYHSIIGGLCYLVNTRPDIAHAVGYLSRFMEELHEDHQAAVKHVLRYIAGTRHHGVHYARKQDGKPALHGFSDSDMAGDLDTRRSTSSVLFFLGSRPVLWQSTKQKVVALSLCEAEYITATAAACQGVWLARLLSDLLNSEPGAPEIKVDNKSAIVNYVRTEEQLADVLTKPLGRVQFQELCNGIGIVEFDGDDGKN >ORGLA07G0106300.1 pep chromosome:AGI1.1:7:11644795:11645297:1 gene:ORGLA07G0106300 transcript:ORGLA07G0106300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGGHVSRQPLPPIAGVSVPDPAIAMPSVVPFPPIARPSVLDPIVVIRTAVEEEGMRCTVEKEEPRHAVATPVLMPDLAVAIDSSHCEPVDAGSNHHEDANRGGGGDEAHVMEEPRHVVPVLITGSSRLLPAPPWATSGGFK >ORGLA07G0106200.1 pep chromosome:AGI1.1:7:11641578:11642759:-1 gene:ORGLA07G0106200 transcript:ORGLA07G0106200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRARGAALVLLLCLSGTAVGVWARPVAAKGDDNAAGEEKSLWLKKQFGKGLGAGLGGGYGKGGGFGGGGGGGGGGGFGGGGGFGGGGGGGLGGGGGGGLGGGGGFGKGGGVGGGFGKGSGFGKGGGFGGGFGKGGGIGGGIGHGAGGGFGKGGGLGGGIGPGIGGGYGKGGGLGGGIGKGGGLGSGFGKGGGLGGGGGLGGGGGLGGGIGKGGGLGGGFGKGGGLGGGGGLGGGSGLGGSIGKGGALGGGIGKGGDLGGGIGKGGGIGGGFEKGGGLGGGGGLGGGGGLGGGIGKGGGLGGGFGKGGGLGGGFGKGGGIGGGFGKGGGLGGGGGLGGGGGGGGGGFGGGGGSGIGGGFGKGGGFGFGVGAGGFGGGGGGGGGGGGGIGGKH >ORGLA07G0106100.1 pep chromosome:AGI1.1:7:11638431:11639771:1 gene:ORGLA07G0106100 transcript:ORGLA07G0106100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATASRKGDHDCPELPPDTLRLILASLPIKSRVRARAVCAAWSSAVPDKIDPFPWLLRLPPAHGDAAAAAASSPAVFFPSTGTSAGFELPFHRPGTRCVGMHDGWIAAVDVDLGVRILDPLSGARVDLPPLTACPGVGFGRGRASRRLHEQVEYRQSPTAVTEFFPVDTFLDSVLVKIAFSAPGGADDGDGEVGAFAVAVFWDRVVYTAAGLGECRQLTTPNAGTRCHPEKVVDVVHAGGGRFFGLTATDETHVTYLAPTALFDIQVFDLSACGGGGGGGCPVEASKLPVARLRPRQALRRQKFPSADVFCARLFLLDGTPHVVLRWWDVLARADEMAVLASDPGDPLGWRAAGDLRGRALLVGNGCAAPVRAPGGAIGGDRVYFADKVSCFARESNRRLTGVGTFDVKSGSLEMLWKDGAGDDPLEACRAPTWFAPPSFFR >ORGLA07G0106000.1 pep chromosome:AGI1.1:7:11632850:11635809:1 gene:ORGLA07G0106000 transcript:ORGLA07G0106000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLTGRSASSSSTPANRRRRRILFLRLKAVAAQITFTRCSGDKTTIYGFAMESSAFSLLVGSAENAPSAVGIAVNLAGRRGLLLLLPLFSPPSKRQHVLGTTRPIPRILKDLSAATTTDSAAAPRHCHIPRSRCRYQLHLQHHPRHLRHGDGTQTWSSPTPSSAATAVPTSFQTATSSGRRAAMKPHNAGASMRPVAAHPPERAPDVGGRGLRGLGLRNGHGERRLSLGEARGDGSLAGLERREGLGGGCVHGG >ORGLA07G0105900.1 pep chromosome:AGI1.1:7:11628428:11628934:-1 gene:ORGLA07G0105900 transcript:ORGLA07G0105900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMKSRSLLLVLFVVLLAMPARIYGKHMVYTASQTKNEGRYKVSINGLEPVKCTISPDGYCCYDKKSKDYKTCYPNASECWSNCCKLEARV >ORGLA07G0105800.1 pep chromosome:AGI1.1:7:11625956:11626276:1 gene:ORGLA07G0105800 transcript:ORGLA07G0105800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSQPGEVNAAMWAEAAPSLPVAEKVDVAADEEHVESSDAPEIMGARQRPRSDGDVALDSTTACRQQGGLLARDRQPPGDSEATAAVWNERRLEPWRRMGTGDE >ORGLA07G0105700.1 pep chromosome:AGI1.1:7:11599741:11603746:-1 gene:ORGLA07G0105700 transcript:ORGLA07G0105700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTTRTKMKILEETAVPACASQYELVLQITGEPMNVRDALSLVCEKLRNHCFSSEKTTYGNGHVPSSAIDELTTSSQNEIDSVQNSISAFDLGRLGSPQIQKPTIGCGTEINNPINEVEKPANGNGTGINNLNTEMQNENGIDVSNHGATSLEEKKLLRGIKTATITRITYEVAVCGDNGNDFTMIREMSGADVTAHYPLPETSDGMIVISGTPDEAQSALAMFLDLVKEGQ >ORGLA07G0105600.1 pep chromosome:AGI1.1:7:11589178:11589390:1 gene:ORGLA07G0105600 transcript:ORGLA07G0105600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWMQRVQARQPSVASAHRGILVYYCYSIQVAVHLSPPPYQVMLSSCGAGFGVGSLFQLLPLVEFGAQV >ORGLA07G0105500.1 pep chromosome:AGI1.1:7:11579587:11583834:1 gene:ORGLA07G0105500 transcript:ORGLA07G0105500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERISTNQLYNSGIPVTVPSPLPAIPATLDENIPRIPDGQNVPRERELRSTPMPPHQNQSTVAPLHGHFQSSTGSVGPLRSSQAIRFSSVSSNEQYTNANPYNSQPPSSGSSSTLNYGSQYGGFEPSLTDFPRDAGPTWCPDPVDGLLGYTDDVPAGNNLTENSSIAAGDELAKQSEWWNDFMNYDWKDIDNTACTETQPQVGPAAQSSVAVHQSAAQQSVSSQSGEPSAVAIPSPSGASNTSNSKTRMRWTPELHERFVDAVNLLGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSEKKAASKEDIPSIDLKGGNFDLTEALRLQLELQKRLHEQLEIQRSLQLRIEEQGKCLQMMLEQQCIPGTDKAVDASTSAEGTKPSSDLPESSAVKDVPENSQNGIAKQTGMRIH >ORGLA07G0105400.1 pep chromosome:AGI1.1:7:11566364:11569748:1 gene:ORGLA07G0105400 transcript:ORGLA07G0105400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein [Source:Projected from Arabidopsis thaliana (AT2G05590) TAIR;Acc:AT2G05590] MLAWKAKVADRLARLLADSPASPSSAAATPPATPFPVEHFTSPKKGSLSSYVMSLLPTSNPGHERTSPSSQHMKPLPPESLPKRWRGNDFLWHDPPLALSEESGSESERDERNGNSNNEQILQSHRPIDNSNGNEETSTSDCTDSLYYLTEKSTFISPKLFGFFQSSLPGTLKGCHWVLLYSTWKHGTSLRTLFRRSENLQGPCLLIVGDMRGAVFGGLLNGPLRPTEKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYLCLNDALAFGGGGSFALCLDEDLLHGTSGSCQTFGNSCLAHSPDFELKNVENFNFCYFWDSI >ORGLA07G0105300.1 pep chromosome:AGI1.1:7:11557905:11559142:1 gene:ORGLA07G0105300 transcript:ORGLA07G0105300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPSSAATATVLLLLALLDVAAGGGEDGQKRAAGVYIVIVQPPADGADTVAYHTCILAAALGSEGRAKEALLYSYRAVASGFAAKLTPPELAALQKHPAVLQVRPDQMYHVVDNLN >ORGLA07G0105200.1 pep chromosome:AGI1.1:7:11549107:11555787:1 gene:ORGLA07G0105200 transcript:ORGLA07G0105200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRDDVRSAAQSTIHGSSSSAPTSSPVPDYPISGSVKPVLNYSIQTGEEFALEFMRDRAIPKKHLVSGMSHDQNVASGAGLKDPRGLLGAHRTGAESRFDAAIFLTTDIQQTEGIERKSFAENENRSRHVSTSSVPRIPSRSGSSQRLSHGYASSESSDSSRRIKILCSFGGKILPRPSDGKLRYVGGETHIIRISRNISWQELKQKTTAIYNQPHVIKYQLPGEDLDALISVSNDEDLRNMMEECGFLDNGEGSQKLRIFLVSSIDFDDMSFSLGSMDSDSGIQYVVAINGMDVGTTKPSSGHGLGNTSINELDQFINLNNDSNQPNSSRDGSNLYSMSASTAVPPALISVPLPVTLSSDSTANLYPYHSHGMQHVQGSDYSLPASSERFYDIEGQTSIPLSVPSGYRYTSQCTPYSGTTSLQSFDQQSYHDSMMEGSMKEEKQPSVRVPLQKNGLDYFQSLENMSVPVIHHDSSSTNYMNSDVPVTTSIQEGLKSSLQPSDSAKSLETYTASKAMSAAQDSECNEDDHHSSGAFASGCSDFQVEMMDHSNKNPPPRSGRVFHSERIPREQAGSLNRLSKSDDSLNSQFLILQSQSDVAKESIAEASDPAIEGTEKSNLDARAINLNDPATVDSVTPEKECANTVQQTSTFSEQLLGEKRSSTDMSTRNVEKNMHAAENAVAKCNLNDATSDGTKIVNQQADHSAVPHHVSWDTPNPAIPTDVGCDPFVPSTSSLDDSHKEPIIPKKDNKDIVGGMSERTSPDILSDFFANTAAQSLSPFNEPVLSLNMHNYEPQRWSFFRNLAQNEFEHKNKEQDLAKIEEGVYPLVHAEHDAVNVKNVAPQNDVHLETYPVSSGINLDSSILPPGFISSQDNPPMTKNVEGFQVDNPYTNMHEMMPSVPEFEEPKFEEGKAVGPVMDASFKDNNFEYLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLANEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPASCDPEWRRLMEQCWAPDPSQRPAFTEIAGRLRAMSVAANQAKAASK >ORGLA07G0105100.1 pep chromosome:AGI1.1:7:11544195:11544923:1 gene:ORGLA07G0105100 transcript:ORGLA07G0105100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTSTTTTTLLRLRSSEGKVLVAPAWDGRPSATAAAAAAPPLETGVPLRALEKAVLFWVGRALAEAIGGESGDGDWEAQFLRCLQQDGLAAENVAAAVEKLRGIDALAGVVPDFTLAAAAAAHRHPSSSAAPETSASCHSPSNSRADASPDRAAASRARGRQRREEEEEEAADRGHRKTRQAGAAASDDGVQSSGTSAAAAAATTGASLRGRRGLPELHALQVNNNSETQTLLAWELLLV >ORGLA07G0105000.1 pep chromosome:AGI1.1:7:11530776:11537136:-1 gene:ORGLA07G0105000 transcript:ORGLA07G0105000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARRRVARQNLPDVKIHDMNARCRSRRQNVTPGERSTHLTRRNARYATRRDKPCTESIALECPKGCSSSLLSLTPCLETTGDVPSTSSLQTEPVADHHARSCTFCDGIIYICFHSYSQFVPFHILTSHCTKDDMDSFMDDESDDEYYMFAGLGNDEDDKMVQSDDDTQSPNSSVLDPFDYVYSNIPQSTNVLKPEPDCKHCGAKRFQYEPPSFCFRDGKIKIVQNETPLELMRLWTSSDPDAKHFRDNIRYFNSHFSFTTLGGNERRKFEPSVTIYGNDRTRKSIQPFYGCYDPLSYPLFFPRGESGWHQGLPKDKITMEDANARNGDDPNCNSRIRVSVRDYYCYKFQMRCGIFNSILHGGRLFQQFAIDMYIKVESSRLDYKYGKPDVFLTMTSNPKWDEITRELELGHTPQDHPDLVKSGLPGDGSLLLETKLGKSLVLVRCLNLNAELFGSMWYKWITYTGNGRLVVWKGHLHENFYGDLQIWLILIDELNMMIFFFGQCTILXXVLQIIFIRVWDQQHTRNLTRWRSAWTHFDCVVTTLMRVDKRANYELTNEDVLAQFSVEYSTENCTLVDMGDFYVQKNHLTCLLSLKMNLXMTIKHEAWEICLTPVQVQSSPSNVXACQLIXSC >ORGLA07G0104900.1 pep chromosome:AGI1.1:7:11520208:11520432:1 gene:ORGLA07G0104900 transcript:ORGLA07G0104900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPPLSPSSASPSTVKEKIQKLGLTDVNEGNVVPINPEKFTPEQKKDFEAMLQQAQDQFLNSFIQTRKGTLV >ORGLA07G0104800.1 pep chromosome:AGI1.1:7:11510568:11511016:1 gene:ORGLA07G0104800 transcript:ORGLA07G0104800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDRKRGWGPHEFVACAPDLAAGHELGRYLGRKWAAAEVAVLRRPWHGSIGLWHPQEKKQGKLLLICNQCGP >ORGLA07G0104700.1 pep chromosome:AGI1.1:7:11508627:11509252:-1 gene:ORGLA07G0104700 transcript:ORGLA07G0104700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVWVQSSSPHFISLSLKHLQSVLKKDEPLESECFNMAICKFVYEKIQTIHKTKEAISNHCLDLQFWNATGFGKDPVHHDNVDLAKTISSWSKIHYKLSQCKSYAMLEALSWS >ORGLA07G0104600.1 pep chromosome:AGI1.1:7:11508109:11508501:-1 gene:ORGLA07G0104600 transcript:ORGLA07G0104600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKACPGSRWNEDINLWRQIFVNNPVLDRSLSGFLVHLFMCTWKNEELHLPAINDGDELRNFFLSKSTDVPTK >ORGLA07G0104500.1 pep chromosome:AGI1.1:7:11506219:11506656:1 gene:ORGLA07G0104500 transcript:ORGLA07G0104500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPATASLRLLVLLVAGGPEPVWSSHAVTAACLPDSLQPCRHGHRRRVLRRQLRGWATGADVGGRADGRRRQTPAGEWKDNESGGRAGEQWRRLRGRATGADASGRTDGRRKQQPSGEAAATTARLREVARNLAELLPEQGAHQP >ORGLA07G0104400.1 pep chromosome:AGI1.1:7:11496953:11505115:1 gene:ORGLA07G0104400 transcript:ORGLA07G0104400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPREGVGGGGGGEEWLRPMDAEQLRECGHRMVDFVADYYKSIEAFPVLSQVQPGYLKEVLPDSAPRQPDTLDSLFDDIQQKIIPGVTHWQSPNYFAYYPSNSSTAGFLGEMLSAAFNIVGFSWITSPAATELEVIVLDWFAKMLQLPSQFLSTALGGGVIQGTASEAVLVALLAARDRALKKHGKHSLEKLVVYASDQTHSALQKACQIAGIFSENVRVVIADCNKNYAVAPEAVSEALSIDLSSGLIPFFICATVGTTSSSAVDPLPELGQIAKSNDMWFHIDAAYAGSACICPEYRHHLNGVEEADSFNMNAHKWFLTNFDCSLLWVKDRSFLIQSLSTNPEFLKNKASQANSVVDFKDWQIPLGRRFRSLKLWMVLRLYGVDNLQSYIRKHIHLAEHFEQLLLSDSRFEVVTPRTFSLVCFRLVPPTSDHENGRKLNYDMMDGVNSSGKIFLSHTVLSGKFVLRFAVGAPLTEERHVDAAWKLLQDEATKVLGKMV >ORGLA07G0104300.1 pep chromosome:AGI1.1:7:11469425:11471510:1 gene:ORGLA07G0104300 transcript:ORGLA07G0104300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVADAGGDADVAVAAAATETRQPFTALSRLTPAITLARVLQVSEGNNYGSSDVGSYEYDYEDEEDYKEELRVPGNKSGSNMGARGSSHPTPLHPHREEKEGREKKRGAEEEEDVPPSPPFLEPPLHGTNIINMSKRTLLTYYSSSSNTDPSPSIENLSQPKRPRAEFSHSDIIGDPGLHKPIEAYPPEIRDQVRRAYALSGPTQPDITIFSCK >ORGLA07G0104200.1 pep chromosome:AGI1.1:7:11446210:11448401:-1 gene:ORGLA07G0104200 transcript:ORGLA07G0104200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1QAA0] MAARVVWVNGPIVVGAGPAGLSVAACLRERGVPSVLLERADCIASLWQRRTYDRLRLHLPKHFCELPGMPFPDGYPEYPDRRQFVDYLQAYAARAGVEPRFNQSVTSARYDDAAGLWRVRAEDVSVDAAGDVTEYIGRWLVVATGENAERVVPEIDGADDFEGPVSHVAEYKSGAAYRGKRVLVVGCGNSGMEVCLDLCHHNALPAMVVRDSKVHVLPREMLGVATFSVAVFLLRFLPLWVVDRILVVLAWLFLGDLAKIGITRPSRGPLELKNTRGRTPVLDIGALARIRSGDIEVVPGIRRLLRGGAELVDGRRVPADAVILATGYQSNVPQWLKGSDFFTQEGYPRVPFPDGWKGESGLYSVGFTRRGLSGVSSDAVKVAQDIAMAWNHQTATTR >ORGLA07G0104100.1 pep chromosome:AGI1.1:7:11437673:11437975:1 gene:ORGLA07G0104100 transcript:ORGLA07G0104100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSNSLSPVPHCARLPWLHCPTGLSPGCSRSGLAHSEAQDDRLWLQIRWPNHGRPASTSVACGRPASELASTMAVVGNQHMGFKVKEKIEDRVESEKIG >ORGLA07G0104000.1 pep chromosome:AGI1.1:7:11436733:11437231:-1 gene:ORGLA07G0104000 transcript:ORGLA07G0104000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSEGCDGKSGKPFKTKVKCLKCRGEDCEQIHNPYGPGPKEYIATGVGKDVGFDLEEAKETKESVKINMHMGVTMKXKRLALQMIIRVDLEKF >ORGLA07G0103900.1 pep chromosome:AGI1.1:7:11433712:11435259:1 gene:ORGLA07G0103900 transcript:ORGLA07G0103900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPPAVAARLAAVRADPAAVPLPFFNSLLSALASSHAHLPLHLFRRLLLPRRRPDAFTLSSLAASLLPPAHSPSASVTAAAAAAAWCLHAFSLRLGLLRADPVLANSFLLLYLRAASPGLARRLFDEMPARTASTYNTLISHSPPGVDVWPVVRHMVEDGCVPDRFTVSSILPACESERRGRELHCFALKSGMCGAGDFHVGSSLVSMYFRVGQPGHARRVFDGMEQRNVVSWTAMVGGFTESGMFEDAVDAFRAMWVIGAVLPNRIALISVLSAVEALTDLAAGKQVHGFAVRMGLSGEVSLNNALIVMYVKCGVLWYARQIFDDGRWCKDVISWCSMIQGYGLHGKGAEAVALFDQMHISGVKPDSITGLGALSACSRAGLVFKGLEIYNSLVKDYGVHPTEEMSACIVDLLGRSGMINQALDFIKSMSIEPGPSVWGALLDASIVHNNKEIQDLSCRYLLRLEEGNPSNLVSVSNLHAFEGSWNIVEHVRAKIKQGALKKIPGFSWINPT >ORGLA07G0103800.1 pep chromosome:AGI1.1:7:11402215:11407641:-1 gene:ORGLA07G0103800 transcript:ORGLA07G0103800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDAARYAHSEAHHAVATRDHAALRRVLDALPRARRPEEIRTEADSVAEEARAEAASAVIDRRDVPGRETPLHLAVRLGDAAAAEMLMAAGADWSLQNEQGWSALQEAICAREEALARVIVRHYQPLAWAKWCRRLPRVVAAMRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSDDGKVPPGSLCMINHKDKEVMNALEGAGAPASEAEVQQEVTAMSQTNIFRPGIDVTQAVLLPQLTWRRQERTESVGPWKAKVYDMHHVMVSVKSRRVPGAMTDEEFFSACNENDTESEGFDDVLTEEEKKQLEAALKMDSPDGAGGEGQSDTFVGPRHSCVEPREREIPIEDLSISGNGDSKHDKKGWFGHWGKRVQSSKLEGTKKMAPPRSSLCVDEKVSDILIESPSNVQTRPGRHSVDVVRGDESRRGKERDYRRPAASSECGHRRKEGSKESEYKKGLRPVLWLSPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFTTPPSSPDNSKSPVAQSSSSSWIQWIKAPYHQNFSTAPGPSSRVEDIQDPFVIPADYVWTTPEEKKKKTQENKSKSKKGRNAAA >ORGLA07G0103700.1 pep chromosome:AGI1.1:7:11393146:11393697:1 gene:ORGLA07G0103700 transcript:ORGLA07G0103700.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDRKGDLSVDFSTINPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYRIIRGALDAVAVKNRQQGRSKQVRVK >ORGLA07G0103600.1 pep chromosome:AGI1.1:7:11383951:11384911:1 gene:ORGLA07G0103600 transcript:ORGLA07G0103600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPAALVASSLASIVVVLSSLLLVVMFVPPSSRSCPSSAFRQVSRCSPVVVFVLGSASSSLVPAASRLRPRITAEVVPSPSSPSFPFVSAARSPSSFPRLVAWWLTCGVRMSTAQPSCLFQACSFACVLRVASVVPEVPETWFAVVAEGSEGRSL >ORGLA07G0103500.1 pep chromosome:AGI1.1:7:11360307:11367057:-1 gene:ORGLA07G0103500 transcript:ORGLA07G0103500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40360) TAIR;Acc:AT2G40360] MGHSDGDHGSDLSADDSPWSEGSWSDDDDEGSLSFEDSGEGSDAESNEPDAPAVEESDSSEDEVAPRNTIGDVPLEWYKNEEHIGYDITGSKIKKRDREGRIEAYLRNADDAKNWRKIYDEYNDEEVQITKEEAKIISRLLKGKTPHTNVDPYPDYVDWFEYDGKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRKGWIKFDKPKEEPNFYLLWGDETDTADNKRQGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPRKFDCLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKDLRPYPRTCYLEFKGHNGPVKSLSVEATGQWIASGSSDGTIRVWEVETGRCIKVWNVGGVVHRIAWNPSPDRHILAAVVDHDLLLLNAEVGDEDAQMKTKGLLQIEELAQEEDNGDKKPAVKWVKHEKFDGIMLIHHKAVSTVEWHFKGDYFTTVVPSGDSRAVLLHQLSKKHSHHPFRKLPGLPIAAVFHPSQKMFFVATKKFVQVYDLQKAQLVKKLESGVREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKTLKNHSKDITNVTFHRKYPLFASSSEDCTAYVFHGMVYSDLNQNPLIVPLEILRGHSSSDGRGVLDCKFHPRQPWLFTAGADSVVRLYCD >ORGLA07G0103400.1 pep chromosome:AGI1.1:7:11358818:11359370:1 gene:ORGLA07G0103400 transcript:ORGLA07G0103400.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLLPLHLEFEIHTSAAAEEKPAEAEAAVATKEPAAAKPPPIGPKRGTKVKILRRESYWYNGTGSVVTVDQDPNTRYPVVVRFAKVNYAGVSTNNYALDEIQEVK >ORGLA07G0103300.1 pep chromosome:AGI1.1:7:11351822:11354432:-1 gene:ORGLA07G0103300 transcript:ORGLA07G0103300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLVEVTQLFSRFKAAFARNDFDTCVDLLSQLKVRLTKFPSLPPSFQQTPNAVEELKIARDIYEHAVVLSVKIEDQDAFERDFCQLKPYYMDTCGIIPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLPVTALENPCIKHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDELAGCSEKGYDYMSIAEARQVLMFSSDKELHQYIAEEHPEWEIKDGSVFFQKAKETQPCKEIPSLQVINQTLSYARELERIV >ORGLA07G0103200.1 pep chromosome:AGI1.1:7:11342101:11344306:-1 gene:ORGLA07G0103200 transcript:ORGLA07G0103200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:I1QA90] MALSVSLELSHSPPLWHLPSLCHHRPGIPDPRKSWTVKQPKRICSRRAAEIQWPEQPRRRTREPLRRGTVSPRLPVPDHIPLPPYAGTNRLPDVDPNRQLHDCESIARMRAACELAARVLEYAGTLVKPWVTTDEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRVLQNGDIINIDVTVYLNGYHGDTSRTYLCGEVDESTMQLVKVTEECMLRGISACKHGASFKTIGQRISEYVDEYGYSIDPFVGHGIGKIFHSEPIICHTYDYEPGYMVAGQTFTIGRAYSVHGRHPVHTVGRRVDGCHGGRQPHRAVRAHHLGHRRRRGDPHHAPAVR >ORGLA07G0103100.1 pep chromosome:AGI1.1:7:11327761:11333809:-1 gene:ORGLA07G0103100 transcript:ORGLA07G0103100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRDPLATPSSRMYYRRQRKASSEVNANVFVPGGQNGISFPASNRAHDWGYGGVREEWEASYARKLQLINFLSSLHQRTANSLITTRMDANMDTPLEQKQKDSSAIVVLDSDDEDEAERCEQLASENNKQQAPSGPTSPCTTWIVSSAKDQVNGTLHVDGVQSTQIVPYGQNAPLINQSPLQTSWQPSIQYERVILQRRPEEQRVQDLVAASHAEKIAETQVFLTLPTLPNERKRRKSEPDLQQNVVPLQQNDVPSQSYRTMIEEEKPVKESDGLEDLWKDFSLAAECTKLDTNEDMSNEKDVDDENEMDDDCNHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKASRTRTNYYESRSKDADDIDTGAVKVSEDFIVSDIAIHPRHAKQMRPHQLEGFSFLVKNLVGDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVVLPKGILGTWKREFQRWQVEDIPLYDFYSVKADKRTEQLEVLKSWEARMSILFLGYKQFSRIICGDGDGNIAAACRDRLLMVPNLLILDEGHTPRNRETDVLASLKRVQTPRKVVLSGTLFQNHVSEVFNILDLVRPKFLKMESSRPIARRIMSQVAISGIRSLKGVHDSAFTESVEDTLLNDDNFTRKAHVIRSLRELTKDVLHYYKGDILDELPGLVDFSVFLKLSTKQKEIVHKIEAYEKFKRSAVGTALYIHPCLSEISEGDAADRATNLTDATVDSLIESIIIKDGVKAKFFFNILSLANSAGEKLLAFSQYILPMKFLERLLVKRLGWHVGKEIFMISGDTSADDREVAMDQFNNSADAKVLFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSPEVKFHETAFKKEVIPKLWFEWSELCTTEDFKLNQVHIDDSEDELLEANAIRQDIKALYRR >ORGLA07G0103000.1 pep chromosome:AGI1.1:7:11324573:11324644:-1 gene:ORGLA07G0103000 transcript:ORGLA07G0103000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLPPPPRARCVVRLKLPPA >ORGLA07G0102900.1 pep chromosome:AGI1.1:7:11265306:11265922:-1 gene:ORGLA07G0102900 transcript:ORGLA07G0102900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGSQQESSDLHVHHLPIVSVIGVEDHTEEADDAAVDYHDDGSEGQDEVSNKRMKRHTDDQIKHLESVFERCTYLGGNQRVELAKKLGMEERQVKFWFQNRRTRKKVATWVDKRQLSVRNGGSP >ORGLA07G0102800.1 pep chromosome:AGI1.1:7:11264670:11264942:-1 gene:ORGLA07G0102800 transcript:ORGLA07G0102800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHDERQEGMWLQEENDVLHAENKVLKEAIWANICFTCGSPVVPAIPTVHHRYLSFQNMRLADELQHATAVFNMVAQDADVGLPPVFPLT >ORGLA07G0102700.1 pep chromosome:AGI1.1:7:11259075:11262155:-1 gene:ORGLA07G0102700 transcript:ORGLA07G0102700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVSPTTPGPCAARRPHRPSCTATTCIGSPRRRWRLARFQDSAAAAPPAGRLTRPPPPPSPSSSQPLPVPAPRTTAERLGSLREMRRVWWVCGLGYWVQGFRCFPWLALNFHLTRGLGLTPAALQLVQNAGNLPLVAKPLFGVLSDAVYVGRAHRLPYISIGALLQLMAWGTLAVIPVTGDTFPTQMACILIGNLGASVTEVVSDAVVTEFSRTQKAGVLQSYAFIVLAAGSLLGNLSGGYVLLRTQEPKTMFSAFSILLGLQLALSLSTKETLPSSHRNWNIRHVRTSLSDNLRKQFSNLRTAISEEQIFYPLMWIMTSFAVVPILSGTMFCFQTQHLKLDPSVIGLSKVVGQVMVLSLTVLYNKYLKKIPLRQLVAGVQTMYALAVLSDLVLVKQVNLMLGIPNEIHVLCFSALAEAIAQFKVLPFSVLLSSQCPPGCEGSLFAFFTSGLVFSAIVSGVFGVGLSSLIGVSGGDYTSFPLCILLQSLAALLPLGWISFLPEKWTADDKILKPR >ORGLA07G0102600.1 pep chromosome:AGI1.1:7:11250322:11250567:-1 gene:ORGLA07G0102600 transcript:ORGLA07G0102600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKAALRVLRKPAVAPRAPADAVGPAGGTPMLMASELVPGALNTPCETSMPGGRGGSPVMHVPGRRNCGQWRRGWRAPAE >ORGLA07G0102500.1 pep chromosome:AGI1.1:7:11225441:11230160:-1 gene:ORGLA07G0102500 transcript:ORGLA07G0102500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:I1QA83] MEASAGLVAGSHNRNELVVIRRDGDPGPKPLRQQNGQVCQICGDDVGLNPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLRGCARVPGDEEEDGVDDLENEFNWRDRNDSQYVAESMLHAHMSYGRGGVDVNGVPQPFQPNPNVPLLTDGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDDGDLPLMDEARQPLSRKVPIPSSQINPYRMVIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQTSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKYSIEPRAPEWYFQQKIDYLKDKVAPYFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDIEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGDRKSKKKTTKPKTEKKKRSFFKRAENQSPAYALGEIEEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKLPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPFTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >ORGLA07G0102400.1 pep chromosome:AGI1.1:7:11212021:11212908:1 gene:ORGLA07G0102400 transcript:ORGLA07G0102400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQANSALQVISGNPGALRDMLRSATGSCPPANYRDSVVLEDGAAVLQSRLAGARRVDADVRLLRAGPQGPADQPPARPPGPRQGLHRRTAHHGGLHRRRARPRDRRRRRRAARDGGAGVRRRGAAVRPHVRLLRLRHVLPSLRRRRGVWPIQGEQQRGARRRRRQGQADEGFHLPLAGAAAGISRPRRRPPRRRSRHDRSVLRPRRQGGRQERVTGREPYNEAFSEISSNDVRLESNYGAMYLLIFFFFFLSFWGIRLLCNDDDQLCESNFGLIYLLPRFAFLGDFSLTRMITV >ORGLA07G0102300.1 pep chromosome:AGI1.1:7:11210424:11210783:-1 gene:ORGLA07G0102300 transcript:ORGLA07G0102300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPLCEAAYSAGGRDSGAPPGCERLRSYALRRPSCPEPPTPLLSSLCLPSSIRRLAISATGFSMASRXEGTEAARCTH >ORGLA07G0102200.1 pep chromosome:AGI1.1:7:11194929:11195645:1 gene:ORGLA07G0102200 transcript:ORGLA07G0102200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQANSALQVISGNPGALRDKLRAASGSCPPANYRDGAVVLENAVLLSLLAELGASTPTCVYFGQAPRGQQTNLLLGRLGLARGSVAARHITEAFTDGELNLVIGDGGDGRHGMEVPVFDAEGRRYGLTCGYSDYAMCYRLFGGAVEFRRFRANNSEVRDVAVGKGKLMKVFTFRSPALRPVEVDHDDGHPDGALGMIVLFYDLDAKEAVKNELLDTDTLTVNQIMKHYPKLAQMMLN >ORGLA07G0102100.1 pep chromosome:AGI1.1:7:11176830:11177179:-1 gene:ORGLA07G0102100 transcript:ORGLA07G0102100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRAAVLGKYNKRLTPEDIITTPRRMGYVEDDKGYIEEESAHAQPAAVYANKKMIYATKAQLPRLVRPSQSRYRLHGINGWKEGHV >ORGLA07G0102000.1 pep chromosome:AGI1.1:7:11164236:11164703:-1 gene:ORGLA07G0102000 transcript:ORGLA07G0102000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTQLRGAASLGRRLSYCLVPHSINISSALNFGALANVTVPGAASTPLVAGDMETYYTMVLDSVEVGNKTVASVASSRIVVDSGTTLTFLDPALMGPLVDELSHRCSHRTSCCSCATSCPGGRWKPEREXQITSINISRSPDTPSWNLVQIITVLM >ORGLA07G0101900.1 pep chromosome:AGI1.1:7:11139089:11140315:-1 gene:ORGLA07G0101900 transcript:ORGLA07G0101900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRCYHHHLKVRALLCGFGGGCFPTRTPPSPSPWSPPLTHFIKHLLGSPAALSGTATGAACEPCSLTLHFLRNTCGLSEDEAAAAAARVRLRSTKKAHAIVALFRGIGFSAADIARLVTSNPSLLSYRADATLMPKIEFFRRELGLTDAEIRRLVLANPYRVLGYSLKRCIRPNYLILRDLLGSDKNVTAAVLQSTDLIHGDVRGILLPKIKILQDYGATNDVIVKLVTTHPRALMHRASRFEESLAAMKELGVRPSSGMFPYSFGLFARLHPRKWKGRMDNFLSLGWTKEQVIEAFVRHPYCMSVSNDKVKLIWQFLAKKLRWTTDYVARSPMVLSFSYDKRILPRCTVLNLLASRGIFNRDIKTSHLVLGEKKFKEKYVTPYQDEIPEVLEAYSSVAESRVPVYK >ORGLA07G0101800.1 pep chromosome:AGI1.1:7:11112255:11112503:1 gene:ORGLA07G0101800 transcript:ORGLA07G0101800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLERRGHRKLKKWILLIKEIQFLALKTEIDEVDEGISKGELEEALLRRRNAHGIKTRQKRHGRQTGLQRIGHVRGEVGLV >ORGLA07G0101700.1 pep chromosome:AGI1.1:7:11095232:11095848:1 gene:ORGLA07G0101700 transcript:ORGLA07G0101700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRNMLAPLLVLNLIMYLIVIGFASWNLNHFINGQTNYPGVAGNGATFYFLVFAILAGVVGAASKLAGVHHVRAWRHDSLATNAASSLIAWAITALAFGLACKEIHIGGHRGWRLRVLEAFVIILAFTQLLYVLMLHTGLFGGGDGAYRDHDYGVGAGAAAGEPKGTARV >ORGLA07G0101600.1 pep chromosome:AGI1.1:7:11076840:11090244:1 gene:ORGLA07G0101600 transcript:ORGLA07G0101600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01320) TAIR;Acc:AT1G01320] MAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSHVILKGISTDRIIDVRRLLCVNTATCAITNYSLSHELRDGRLKDGADIATLKPFTLTLVEEEYDEESAVAHVRRLLDIVACTASFGPSPPSPKDAAADPAKEPSGSKAGSAAATGGRRTGSPPPSPVPVAKDAATKDDAAAAAAAAAAKESSASAELEAEMSGACPRLGAFYEFFSLANLTPPLHFIRRVAQPRQEEQPSDDHLFFLEAYEDLMKAFLERNKFGNFPYGFRANTWLVPPIAAQSPSTFPPLPSEDETWGGNGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAVRHVMEIKDVSASANIDEVLHSETVGNFSITVTRDSSDASCKLDTKIDGSRATGMDFKHLAERNLLKGITADENTAAHDVESLGIVNLRYCGYVAVAKVNNIEKAKVNTSIKPIDITDQPEGGAHALNINSLRMLLNDANSTGEKKTLNLPQNNKQEELIAAHSFVENLLKESLQKLEEEESEKQSFMRWELGACWVQHLQDQKNSDKDKKQGGEKEKKKVVDKSVKETKIEGLGKPLKALKHSKNNVDVADKGSSLGEKSMCDGTSSAESQKFKPSAVQLPQGESNASENESLLKDLLSDSAFTRLKDSETGLHQKSPPELIEMALKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMHSLGQVVKLSEKLSHVQSLCVHEMIVRAFKHIVRSAIAATSDMRQLALAIAAALNLLLGVPEPEVFTSSDGVRPLVWKWLVAFLKKRYEFELTEQHYHDVRKYALLRGLCHKVGIELAPRDFVMDSAFPFQKQDIISLVPVHKQVACSSADGRQLLESSKTALDKGKLEDAVNYGTKALAKLITVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNEESKGRDSESSKRRYSSIKVLSNSNGGSNVASPEVSPRDSTSANADEDKQIIEPSQDDTVNFVAEAEIKQNLKSVEYSASSEQPVERAEVINVPREVVQEELVEPEDGWQPVQRPKSAAGSGKQMKHFNPTTRKMYDPDNHDPQYTSQYKARNSYPNSRYYFLKKRTVVPATYTDPHQHMKVQTSSARFGRKIYKAVTYRIKPGSTSTEAQDASAEQMSGKAESQMAYSQVHSTTSVDHKESEPHGTLVTSSGNAPSYKDVALARPGTIAKAQIQKSRDDVVQNQPSLGQIIAQEMKDSLVDTHQVEQGSVSANINNPKEVGNIPEEIQHSEDIKVSDRELDTGDIDTDGSPNDEKSLNGSNLANDHTSQEPVSCSNENAAVEFAESSNSAKDEQSRKSDMEIFEEALPTSIGPIAVSASTANTEGLAGAGNEKSKPNLLLNSIDLREMPNKKLSAAAPPFNPSPPAILSPLAVSVGLPPPGAIPGVAPWPVNVPMHPGHSTMVPSGPPLCTSPHHLYPPAPRSPNLLHPVPFIYPPYSQPQVIPSSTFPMNTNIFRPNHYGWQPYMNAPSSEFVPGSAWPSNHPVDFTPTPHVVNPISQSLADTHIQSDAAVVSIGPSLDSNTMAVKEEMEATMVGSGNLISNKRPASDQDKQLKDPVRIELNPDMPGDNAHGICATDHLRSTVKNEDEGSFRIYVKGKSRRKQTLRIPISLLNKTYGSRSFKLVYNRVVRENDIFRPSTVSFAEVVSSGN >ORGLA07G0101500.1 pep chromosome:AGI1.1:7:11059584:11060033:1 gene:ORGLA07G0101500 transcript:ORGLA07G0101500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPAVYYCVILPPPLHSLLHLLECISRGCALPAALLFSGGDADADEQLAAPPPGAAATAARAQANGIKSRLPVVRFSGSGADGEEEDGASSADAAAEASPRCAVCLAAVEEGAEVRQLGNCSHAFHLPCIDRWVDMGHFTCPLCRSLL >ORGLA07G0101400.1 pep chromosome:AGI1.1:7:11024985:11027239:1 gene:ORGLA07G0101400 transcript:ORGLA07G0101400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATFTARRSSPELVTPARPTPRETKLLSDLDDQWTLRYYETVVGFFRVCPKMAGGLPGGDNIAAKVIKAAVAEALVYYYPVAGRLRADLVPGANNKLAVDCTAEGVTFVEATADVRLEELGEPLLPPYPCVEEFLGDAGDTRHILDKPLLFLQVTQLKCGGFVIGLHMCHCIFDAFGLLQFIKTIAGFAGGEPIPSTMPVWGRESFFAARTPPSFTHVYPAYKPILDGSSAGDGDGDNDVMLATPPETMVMKYFSFGPKEISALRSLIPAHLTRSTTAFELLTAVMWRCRTSALGYEPDRRVRLMFTLNLRGRWWKREEAAVPPGYYGNAHLSPMVMATVGELARQPLADTVELMCRAKADTTRERVESMVDLLATWRERPASAFAMDRTYEVSDTKWVGGGGGALRCGVAEMVGGGTPFAGDLASKLISYHMKCKNENGEDSIVVSMLLPEPAMERFTKEMSFWLKSY >ORGLA07G0101300.1 pep chromosome:AGI1.1:7:10993980:11012369:1 gene:ORGLA07G0101300 transcript:ORGLA07G0101300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEKEAMVWVRILEEGVFRFDASEAARAAAGPSLSFAVPRRREEPRAGGDRPAIVPVCEVVGDVQRVVVELPSGTSFYGTGESSGPLERTGKLVIAWNTDAWDYGPGTTSLYQSHPWVLAVLPDGKALGVLADTTCRCEIDLRQESTMKFSASCTYPVIVFGPFNTPSEVTTSLSHAIGTVSMPPKWSLGYHQCRYSYDSSEKVLQVVKTFRERGIPCDVVWMDIDYMDGFRCFTFSHRFPDPKCMVNDLHSIGCKAIWMLDPGIKNESGYFVFDSGSESDVWVQKEDKQPFVGEVWPGYCVFPDFTCERARSWWSGLVRQFVSNGVDGLWNDMNEPAVFNTATKTMPESNIHRGDANIGGHQNHPYYHNVYGMLMAKSTYEGMKLANSAKRPFVLTRAGFIGQQRYAAMWTGDNVSNWEHLHMSIAMVLQLGLSGQPFAGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHSDKGSLDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYLSHTNGTPVTAPVYFADPQDLELRKIETSFLLGSLLVCASTCPDKGAHESAQKLPKGIWLPFDFGDSHPDLPMMYLRGGAVLPIGLPLKHVGEAKLDDDLSLIIALDENGKAEGVLFEDDGDGYEFLQGNYLLTYYVAELHSSVVTVKVARTEGSWKRPNRNLKINILLGGGAMVSTHGIDGEDIHLTMPTESEVSSLVATSELELKKRFEMIQPIPDIDKPLGKEVAELSEIPIDLNSEDWLVKVVPQIGGRIISMTHLPSDSQWLHSTNRINGYEEYNAAEDTAGCTEEYKVIRRYREQSGKEESICLEGDIGGGLVLQRQISICKENPKIVKIDSSIRAKQGADHSGGFSGLACLRVRPSFILQYPTEVSVVFTASNGIKREILPDSRELTFEGDLRPNGEWMLVDKRTNLSLVNCFNLSQVSICKLHWGTDHLNMELWSEQRSVSKDKPLRICHHYEVRKIN >ORGLA07G0101200.1 pep chromosome:AGI1.1:7:10985377:10987057:-1 gene:ORGLA07G0101200 transcript:ORGLA07G0101200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAIAALPPELVSEILLRLRPDEPEHLFRASLVCKAWLRAICDPVFLRRYRAFHGSPPLLGVLHRLRVIDGDPAPRIARTTAAPLSPDPAFLRALDCRHGRVLLHASNHGLIVWDPVTGEQHRLPEAGIPWLIYTAAVFCAVGGCDHLDCHGGPFRVVFVATDDDDELVKGSVYSSETGVWSTPATLDDGYQSWEERWQAARSRGEYYRTPYVHPKRCALVGDEIYFTLRNGNTIIEYNWGKNRLSMFDPPTSDMYYIALTVMENGLLGFAGIEGSSLYVWSRKVNPQGAAEWVICRVIELEKTIPVTDLSDGACVVGSAEGLGVIFVSSGAGLFTIELKSKRVKKVEEPGVYFSVLPYMSFYTPDHGTLLSLARTD >ORGLA07G0101100.1 pep chromosome:AGI1.1:7:10980842:10982113:1 gene:ORGLA07G0101100 transcript:ORGLA07G0101100.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDMATTFRRWSDDLTPDLVSRVADCCPVKDYASCRAVCRAWRSALPSLASRPLAPVAAADAGVAVSLGVCSQNARRWSRLVGLHQPSGLDAETCCCVGGTRDGWLALVGAAAGKPASGAVLLFNPLTGAEIPLHASLYDPECERAPKVVFSPSPTARDFAAVSMCRPNRLAVQRATEGYSSSLVVDIEALMDGAVVADIAYSEEGKAKVVYCLTTHGAVHVLHLDRRRRRRGRLRAVEVEPLAAGAFSTPYDTIARHTDAKSVALCGGALYQVWRRPGGAGSAVAPAGMLDQRLLRVSESEVFVLRYDPGARGPLWVEVKDLGGHAVFLGANDAAVRVVVDSSELVGDCLYYWDNTAAPEGGYEAFVFNVASRGSARRLPVAGGVSSPLWYFLPAWEKTNLKKPVQYDDSLPVQYDDEPDIGA >ORGLA07G0101000.1 pep chromosome:AGI1.1:7:10956791:10966530:1 gene:ORGLA07G0101000 transcript:ORGLA07G0101000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVRVLEEGVFRFDASGAARAAAAPSFSFAEPRRREAAREGADAPAVVPACHVVGDAQKVLIKLPAGTSFYGTGEASGPLERTGKRVFTWNTDAWGFGPGTTSLYQSHPWVLAVLPDGKALGVLADTTQRCEIDLREVSTIKFSAPSAYPIITFGPFNTPSEVMTSLSHAIGTVSMPPKWSLGYQQCRWSYDSSEKVLKVVRTFREKGIPCDVVWMDIDYMDGFRCFTFDSSRFPDPKSMVDDLHSIGCKAIWMLDPGIKKEEGYFVYETGSENEVWIQKADGSPFIGEVWPGDCVFPDFTCKRTRTWWASLVKDFISNGVDGIWNDMNEPAVFKSTTKTMPVSNIHRGDDDIGGVQNHSYYHNVYGMLMARSTYEGMAKANTEKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLHMSVPMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGLGALFPFSRGHTETGSIDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYLSHMKGTPVAAPVFFADPQDPELRKIETSFLLGPLLVCASTVPDKGAHECSHKLPKGNWLPFDFGDSHPDLPVLFLQGGAILPIGRPIKHVGEASLEDDLSLIISLDENGKAEGVLFEDAGDGYGFTQGNYLLTYYVAEFHSSVVSVKVLKTEGSWRRPKRNLNISILLGGGAMISSRGIDGEEVHLTMPSDSEVSSLVATSELEQKKRLEMIKPIPDMDEPAGQEGAELSKTPVDMKSGDWMLKVVPWIGGRIISMTHLPSDSQWLHSRIEINGYEEYSGTEYRSAGCTEEYNVMRRYLEQSGEEESVCLEGDIGGGLLLQRHISILKDNPKIFQIDSSIQARNVGAGSGGFSRLVCLRVHPTFTLLHPTEVVVAFTAINGSKQEISPESGEVVLEGDMRPDGEWMLVDNCAGLSLVNRFDPSQVSKCLVHWGTGDVNMELWSEERPVSKETPLRICHQYEVRQTN >ORGLA07G0100900.1 pep chromosome:AGI1.1:7:10937493:10939517:-1 gene:ORGLA07G0100900 transcript:ORGLA07G0100900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGKGDWRXSSPPSSFLLLVTTQFHSLLLVRTEKSVLATSVQQQGKFLSSSCMLGHGEQICGRSKDNITYQGRSSIHEFNKDYLSYLKLRDQLKEFGLKENDSLYYLKPGYFAPSGLVLLMDDNQCIQLLTDYEGKSSCSLYIVLCPARLVMNDEILVNGPGRREGTAAIRDIVATSNSDNSVEDETYSALNEIDDYSSFVEIVPDHEVENLLWMLVIIYLMVMVEMMSCTLGRSSTSGQNKMVKYLLMVAVMMMAITISRGVDDNWFDEGTMRHPYIQEVCYSLMLVNSGRPXRIXXLEKGGRLKGRMILKRFCXVFGTRLCMDPDVNLVFVRMFISFKAQIDGFIAGCRPFIGVDGTRVKLPNRAQILAATGRDANNNMYPSTSICNS >ORGLA07G0100800.1 pep chromosome:AGI1.1:7:10934412:10935317:1 gene:ORGLA07G0100800 transcript:ORGLA07G0100800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLALPAAIFCVVVVAASLGGAAATGKTGRITVYWGQTSSEGGLREACGTGLYSTVIISFLTDFGGGNYKLNLAGHAWSAVGPDVKYCQSKSVLVLLSIGGGVGRYSLASQADAKAVADHLWNFYLGGTSTKSRPFGDAVLDGVDFDIELGSNAHYGDLARYLKAYSGRKPGGRKVWLTAAPQCPFPDRMLGEALRTGLFDRVHVQFYNNPVCNYRASNVAAFTSAWNKWAASLPGSSVYLGLPAASGAANNGYVAPATLKQKVLPIVQKSKNYGGIMLWSRYWDNQTGYSKSVKSAV >ORGLA07G0100700.1 pep chromosome:AGI1.1:7:10931328:10932847:1 gene:ORGLA07G0100700 transcript:ORGLA07G0100700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIMATRVPKKVLQFAGIEDIFTSSRGSKTLGNFVKIGTGLRGSSFAGLPLDALFEILLLCGLVARQQPKGDRSPASAAQRRLPWPWSSTRTRPGQARRSSLHRLPGLRRITGSPPIPR >ORGLA07G0100600.1 pep chromosome:AGI1.1:7:10926701:10927708:-1 gene:ORGLA07G0100600 transcript:ORGLA07G0100600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDSKVRASHSTTSVISNSNNRTVERSSHYSMIPRHLQSPRLGRHVNNANNQEPANSTLPVEDSILMEECHDAMQSSADLKCPLCRGSVSGWIPAGEVRKYLNEKLRTCSHDSCKFVGTYEQLREHARTAHLLAKPAHVDLSRKRTWDRLEREQEVGDVISAIRSQNPGAIIVGDYVIETRDAMSPDENTGDESNDEWWRDSVESPDNRYNSPRLLPNEAPESPIIWADERHGLPRFQPQNNRVLPRFSFTNRSSSRSDWHRIRRPSRQSLARRGLLNRPYRNNSDYHGFRPQLYDQPNGSSHRSGINRSLDDPSFVPRRQRLRYTHRSHHIRD >ORGLA07G0100500.1 pep chromosome:AGI1.1:7:10917513:10918010:1 gene:ORGLA07G0100500 transcript:ORGLA07G0100500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNAFTLPISKSPIICSICTPSPPLSLPTKLFQPGDWDKVEEIKVATPAGAECRRCILPPIVCSSCYAPPAPSSCSSHGCSCSPSNSSPPAAEACNGSRQQAHGIAAAPPHPEEKKPVKSNLKKALPAAVAAQEEKNRVSLVVSRKVTWPDAHGKDLAHVLEFHPR >ORGLA07G0100400.1 pep chromosome:AGI1.1:7:10890354:10909134:1 gene:ORGLA07G0100400 transcript:ORGLA07G0100400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASAGGGGGEGFGGASSVSNNISLPNEGPSPRGTDNAECSETSSDRSNSESIKPEESAMPSSIFDKKISIKKKLRLLSRMAILKDDGTVEVDIPTNAEAASLDLSSNDYCNEAFSGEPLASSDFQHRPPMQIVMLIVGTRGDVQPFIAIGKRLQIYGHRVRLATHANFKDFVVTAGLDFYPLGGDPKLLAGYMVKNKGFLPATPSEIPIQRKEIKEIIFSLLPACKDPDTDTGAPFNVDAIIANPAAYGHVHVAEALKVPIHIIFTMPWTPTCEFPHPFSRVKQPAGYRLSYQIVDSFVWLGIRDIINDFRKRKLKLRPVTYLSSTHAYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNYKPPEPLLKWIESGEKPIYIGFGSLPIPEPDKLTRIIVEALEITGQRGIINKGWGGLGNLEEPKEFVYVIDNIPHDWLFLQCKAVVHHGGAGTTAASLKAACPTTIVPFFGDQFFWGNMVHARGLGAPPVPVEQLQLHLLVDAIKFMMDPKVKERAVELAKAIESEDGVDGAVKAFLKHLPQPRSLEKPQPAPPSSTFMHPFLLPVKRCFGIAT >ORGLA07G0100300.1 pep chromosome:AGI1.1:7:10881810:10883255:1 gene:ORGLA07G0100300 transcript:ORGLA07G0100300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain with WD40/YVTN repeat-like protein [Source:Projected from Arabidopsis thaliana (AT5G41330) TAIR;Acc:AT5G41330] MASSVVTLNVGGEVFQTTAATLSRAGASSPLASLAPTPASAPHFLDRDPRLFATLLSFLRRGRLAPTSPDSDPPSPALLAEARHFGVEGALLASLSPASAFSPLALRPSALLPLAGRVPPSAVAVPPSPHPASVFAAHGGVVTRFDAALASRGSVLTPLPAVDSLVAVSPTLALAGARDFAGVHLCRYPDDAPATAREVLSWPGSPSATVLSMAATSATEVSSPWLFTSFESARRNSSAVVTFDMNSLSPVAEIGRKEVYGADVEAAIPASRLSWLGRHNLLLAAGSHSGPAGVVGDICLWDVRASATVPVWELREKEDCFADIAASEALSSLFKVGAASGEVFMADLRMLGGGGISIEPWVCIGDGQRAAAAASAGRKEGNGCRIECYLNWVFVARGGEVEVWTQVELAQEAGGKKLMRRNWVGNGPSFVIAGGSGHESVKEKTKIVSWAFGGSRMALARDDKRSIEVWDSAPAAISFNP >ORGLA07G0100200.1 pep chromosome:AGI1.1:7:10880151:10881735:-1 gene:ORGLA07G0100200 transcript:ORGLA07G0100200.1 gene_biotype:protein_coding transcript_biotype:protein_coding YESILGFTGXMSSACXERWXHGILSDRTGIYPKNLTNSHFVRLLGKGLVLTDGDEWKRHRKVVHPAFNMDKLKMMMMTMSDCSRSMMSEWESELGAKGGLAEIELSRRFEELTADVISHVTFGSSYKEGKQVFLAQRELQFLAFSTFLTVQIPGFSYLLTMKNFKTWSLDKKVRGMLMDIIMTRHANKDVVGYGNDLLGLLLEACAPEHGESRPQLSMDEIIDECKTFFFAGHDTTSHLLTWTMFLLSTHPDWQEKLREDIAMECGDEVPTGDMLNKLKMVNMFLLETLRLYSPRHSYGGRLALILSSAASSCLRVHYXRSRSPXFTVTRKCGGRMRMSLGWRGLRMGXRGQRSTPTRYSPSPADRGHALGRTLQXSRPRLSLPXSYRGSSLCPPSTSMHPLMLSRCVPSTGSLXSSRASSC >ORGLA07G0100100.1 pep chromosome:AGI1.1:7:10854784:10855132:-1 gene:ORGLA07G0100100 transcript:ORGLA07G0100100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQENWRRRLGAGCRPASEDGMEMTNGQTIKKVADGRGVEDLTASKADTKVEEKGEKKRSVRAAICVDRHLREVLPSKTRDVPCDVRYNYDE >ORGLA07G0100000.1 pep chromosome:AGI1.1:7:10836803:10837546:-1 gene:ORGLA07G0100000 transcript:ORGLA07G0100000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSILSLCFHLALAIALAANVPDIANGRVIEAKSDPKPADPKPKPDPTPKPQRETKPSPQPNPQPNPQPDPKPSPQLDPKPTLQPEPKQDPQPNLQPDPKPSPQPDPKTTPQSDPKQDPQPNPQPDPKPTPQPNPKQDPQPNPQPDPKPTPQPDPKQDPQPNPQPSPKADPKPNPKPKPQPEPSPNPKPEPKPEPKPEPSPNPKPNPNPKPEPQPDPKPEPKPQPERSLPKPPPLSPAIAVIVPGN >ORGLA07G0099900.1 pep chromosome:AGI1.1:7:10831669:10835447:-1 gene:ORGLA07G0099900 transcript:ORGLA07G0099900.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPLDIIDLEWITTADHDSVEYFRGVTALVAVATSTNSIDALKYIWCPCHDCHGHDADVGCEEEEDQTCVDQMLRDGERFDTDDREYHKFTTRVKDSKTPVYNGCKAEHSKLQVVLSLLHLKARYLEDEKPLKSYAHPMLENLDKEGLLEQLLHCGLGAGEVTARVEGVGGAGQQCPSCAVDKCKELSMLGMRRSRGGLNLMDKILAVWEAFGIWQAPEFVVNSLPCKSYKKQTAQCSDDM >ORGLA07G0099800.1 pep chromosome:AGI1.1:7:10818085:10818750:1 gene:ORGLA07G0099800 transcript:ORGLA07G0099800.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTEEDNISHLDLGFKFYDLTDKVHRVLGQTHCFDYVNNLSVSANMPVTGGTLWYAISDIFSTNCAIARFGRHAGISMHEHTKYMDRNFLVAVLLVLYTLDQQENWRRRLGAGSMPANEDGMEMTNGQTIEKVGDGHGVEDLTASKADTKGVAIDGRRNSYTHAWQ >ORGLA07G0099700.1 pep chromosome:AGI1.1:7:10788902:10790918:1 gene:ORGLA07G0099700 transcript:ORGLA07G0099700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHGIISVDGGSGRGGGAGVVGVQRGGAPGVEAPRHQQAPPGTGRGRAGYRFFSSNLGEIKRFRGDGAGVVLNVSSHDFLPIVQPHFRKWISLYGRTFLYWFGAQPNICLADVSMVRQVLSNRTGIYPKNLTNPHFVRLLGKGLVLTDGDEWKRHRKVVHPAFNMDKLKMMTMTISDCSRSMMSEWESELAAKGGLVEIELSRRFEELTADVISRTAFGSSYKEGKLVFLAQRELQFLAFSTFLTVQIPGFSYLPTMKNFKTWSLDKKVRGMLLDIIKTRHANKNVAWYGNDLLGLMLEACAPEHGESCPQLSMDEIIDECKTFFFAGHDTTSHLLTWTMFLLSTHPDWQEKLREEIAMECGDKVPAGDMLNKLKMVNMFLLETLRLYSPVSLIRRKVGTDIELGGSKLPEGALLTIPIATIHRDKEMWGEDADEFRPERFENGVTRAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVIAMILQRFSFTLSPKYVHAPTDVITLRPKYGLPMILKSLKL >ORGLA07G0099600.1 pep chromosome:AGI1.1:7:10787018:10787888:1 gene:ORGLA07G0099600 transcript:ORGLA07G0099600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIVMVEATKRRRRQPSGLDAARAFLAGAGRDGLVCVVKTMSWLPETGGSDALCVVSFLEASSQRSPVPFL >ORGLA07G0099500.1 pep chromosome:AGI1.1:7:10779700:10781437:1 gene:ORGLA07G0099500 transcript:ORGLA07G0099500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENMKFAEGSYVANELDMLSLASSVYTAPLFQTEFDSVYVPEYGDVGKSQEGLFPGLFISDGFVFPPSEHENLPIESDLDGSNNNNNGQESSCAGNIYEGCNEPAKEVDGRSLSVSGDLHSANETTIPNLEPPEIHAEQVKDNATIKCDLPCEGWLKRKSNCLSHRMKGVTTVCTIVAAGALMGFVIIGQRWQQDKLHLHHFQFNIGTEGVNRIVGIFSRCKDALPSSQQLKSLLPTRVLPQEPLSA >ORGLA07G0099400.1 pep chromosome:AGI1.1:7:10756603:10763287:-1 gene:ORGLA07G0099400 transcript:ORGLA07G0099400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVFCDSCGESSLSAVKAAKVRWPWVFEQVDAAMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIRIPSARRSSVADGLSIQEILENWLKLKPTIMSEWNEDRDSLVDLFGSIRDDWIENDLSGWIGANRFYPGTADALKFSSSEVYIVTTKQGRFAEALLKELAGIEFPSERIYGLGTGPKVKVLQQLQQMPQHQGLTLHFVEDRLATLKNVIKEPALDQWNLYLVNWGYNTPKEREDAEGISRIQVIDLPGFSQKLK >ORGLA07G0099300.1 pep chromosome:AGI1.1:7:10753223:10753621:-1 gene:ORGLA07G0099300 transcript:ORGLA07G0099300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSCIHSLPPPPPPTPHLLVAFAATNVASPHCLHRHWXHRISSSPPPPTMTPHLPIAFAFSNISPLPAFTVTSKSHPSNATVACSGSPSSKASASTATSRLMLKASSRERGVEREREEREKTEGERERWSGEG >ORGLA07G0099200.1 pep chromosome:AGI1.1:7:10744773:10746389:-1 gene:ORGLA07G0099200 transcript:ORGLA07G0099200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMRGASPVVVLVLVASVLAPGAMSAKFVFTNNCHEVLYPGVLTPATAQAFPTTGFELQPGASAAYDGVPDNWSGNIWARRLCSTDASGRFSCESGDCGTGRVECDGRGNGPPSTLSEFTLRGGSAHDTDFYDISNVDGFNVPVQVAPSAAGCSAVACATDIDASCPAELAVKGAGGAVVGCKSGCLAFDRDDLCCRGAYGTPDKCPPSQYSKFFKDKCPQAYSYAYDDKSSTFTCTSGASYQITFCP >ORGLA07G0099100.1 pep chromosome:AGI1.1:7:10733952:10734263:1 gene:ORGLA07G0099100 transcript:ORGLA07G0099100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGAPARRAPAASYYECTFCKRGFTNAQALGGHMNIHRKDRSAGGKSQGGGQHHEGGGSGSGGGGGQQHGRDVHLGLTLGRNEEERDGVDLELRLGHAHYP >ORGLA07G0099000.1 pep chromosome:AGI1.1:7:10717679:10722588:1 gene:ORGLA07G0099000 transcript:ORGLA07G0099000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGCTCSLRASQPRTSPLSSGPTAGPSRTATILCLSSSGVQAADAAELTILCFFLSKMLLPTTYVQEQLRTVINRNTGTSGRRTTTVVEGKKQELLLRRSGSSAAMQRSPVYKPPFTLGDIKKAIPPHCFHRSVIKSFSYLLHDLAIAAGLLYFALVGIPALPSILRLVAWPLYWAAQGSVLTGVWVIGHECGHHAFSDYLLLDNLVGLVLHSALLTPFFSWKYSHRRHHANTGSMEKDEVYVAKKKSALPWYTPYVFGNPVGRLVYIALQLTLAWPLYLAFNLSGQPYPRLVTCHYDPYSPLFSDQERVQVLVSDAAILAVLLALHRLTAAYGLWWVVRVYGVPVMIVGALFVLITYLHHTHRALPHYDSSEWEWLRGSLATVDRDYGVLNRVLHNVTDTHVLHHLFPSMPHYHAMEATRAARPVLGEYYKFDRTPIIEATWREAKECMYVEPRERDGIYWYNNKF >ORGLA07G0098900.1 pep chromosome:AGI1.1:7:10699384:10700556:-1 gene:ORGLA07G0098900 transcript:ORGLA07G0098900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSRPTTVKEGKKLEAPRRAGSHAAVQRSPVDKPPFTLGDIRKAIPPHCFHRSVIKSFSYLLHDLAIAAGLLYFALVVIPALPGVLRLVAWPFYWAAQGCFLFGVWIIAHECGHHAFSGHALLDDTLGLVLHSWLLAPYFSWKYTHQRHHSNTSSQERDEVFVPRFKSDLPWYSPYVYKYNNPVARLLLLVVQLTVGWPMYLVFNTWGRQYPRFASHFDPSGPIYKGRERVFIAISDIGMLAVSLALYRLAEGYGFWWVVRVYGVPLLVVNAWLVVVTYLHHTHRAIPHYDSSEWDWLRGALATVDRDYSFLNRVFHNITDTHVAHHLFPTIPHYHAVEATKAIRPVLGEYYQFDPTPIVKAIWREAKECIYIQSEDHKGVFWYSNKF >ORGLA07G0098800.1 pep chromosome:AGI1.1:7:10679127:10679687:-1 gene:ORGLA07G0098800 transcript:ORGLA07G0098800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRDVASHCRGPPQLCAGRHPCPPAGVLRPPRRLAVLLGRAGLLPVRGVDHRARVRAPRGPRRHPRSGPALVASGTTLLVEIQPPAAPLQHQLTGARRGVRPQVQVRSAVELPVRVQVQQRPVARLLLLGMQLTVGWPMYLVFNTWGRWYPRFASHFDPSGAIYMRRERVFIAISDIGMLAVSLAL >ORGLA07G0098700.1 pep chromosome:AGI1.1:7:10674179:10674492:-1 gene:ORGLA07G0098700 transcript:ORGLA07G0098700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:I1QA45] MELAGVAERFHSRTVLITGATGFIAKLLVEKILRLQPGVKRLYLLVRAADQVSANRRVESEVCLLFWTTLCS >ORGLA07G0098600.1 pep chromosome:AGI1.1:7:10658536:10660304:-1 gene:ORGLA07G0098600 transcript:ORGLA07G0098600.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISAYVNLMKQGIMMEKPLQQWRSYDGRSDLDISEEMAFKDEKLKELVYNNASERTIRHTMKKIGAQRAIDTWITNYGKGTLKFFPTDVATVIDIVPADIVVNAMLCIISYHPQGTADFIYQIGSSMSNPIKLGQMSQTTYKYFSQIPFVGAKGDVVKVKQPNFLATMASFYETMDKHYKMPLQDMLRRGLSTTEDRHIYNHLKREYDFTVAVAEVYWPFTISKTRFDDLKMQNLMGMVTERDRELIPCNIKFINWDKYFMETHIPGVMDYESRELTRARL >ORGLA07G0098500.1 pep chromosome:AGI1.1:7:10638052:10639475:-1 gene:ORGLA07G0098500 transcript:ORGLA07G0098500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWTSDEVPKEYEYGKPFLPFNLMCELPWPMRLMHEWYLRASELGLGMITVHVPEGAFKDGPNANFAFSFKDLHAFFKMDKMDINLVGAWCLEHYILFLVYPTDQTVVVLDPTDYDKDAYMEFLCLLNLAHGRYKKRGLYVKNPSREKLYIRGHWPCYKQPSFTNLCGYYVCEMLRINGRYRTEFTDLPSIPYSASRFDQKTLINLCVDLCRFIRRDICNHLGEFHDPHSELATDPKFKNLREWERQHAMD >ORGLA07G0098400.1 pep chromosome:AGI1.1:7:10636235:10637271:1 gene:ORGLA07G0098400 transcript:ORGLA07G0098400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TALCGGSGLCFLKVSNFVSGYRSVALPSTSFIKVGGARRGVRAGAVRVGAVVGVVPAQPEVRRRVPQRAGEPDDGGGEAAGGDREGKKQFRMEVATISSTHHLNLVRLISFCSEGRHRLLAYEFMKNGSLDAFLFTDAPGCKMSWPTRFAVAVGTARGITYLHEKCRDCIVHCNIKPENILLDEHHNAKVFDSAATASHASTLLKSARRSSLGGGGEMRRSPGTLMAAAPPSTKERKMRRGGEREEQRANESDENFLHSDLMPLLPNTTKVLRGEENSVAYAMDRKWNFFCAIFWYHFLSCAMDRIFSLLLEIIQLESC >ORGLA07G0098300.1 pep chromosome:AGI1.1:7:10618366:10623041:1 gene:ORGLA07G0098300 transcript:ORGLA07G0098300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23/L15e family protein [Source:Projected from Arabidopsis thaliana (AT4G39880) TAIR;Acc:AT4G39880] MGSRLGRRVIHFANLPLKLMLPPAPLSSVQEFAVKTVPSASKVDIRRCLESMYGFSVAEVRTLNMEGKKLRRGPFLAAKPDYKKAYVTLRAPLPVSPDLFPIGLVLGERERKASAAAARRKAVEGAEVEGEGKGKHWMEDEKVGFSRAGRGKVVYSNPGRLGKKRNGGTKVKDRAGEEVGKFPWSGTRLATEKKPARKQQYAPKKKGIVLKQKSWKGSVHRRPKKKVEA >ORGLA07G0098200.1 pep chromosome:AGI1.1:7:10610369:10613974:-1 gene:ORGLA07G0098200 transcript:ORGLA07G0098200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunits of heterodimeric actin filament capping protein Capz superfamily [Source:Projected from Arabidopsis thaliana (AT3G05520) TAIR;Acc:AT3G05520] MSDGEEKREIAVWFLSNAPAGEIHYVAKDVRALLGDEAVYEAAAAEAFPEHNKAHLVALELPDRSGDIIITTYGELDKNNYLDPRTAQVATVDHIKQKCTKLRPAADEELPSAYIEDFRSALDVELSKYVGEAYPKGVCAVYCTSGKDIEGPGADFRFAAVISAAKRSPQNFCNGNWRSIWTLEFIDGLQLVEIKGKIQVGAHYFEEGNVQLDTNIDCKDSTILQSPEECAVSITNIIRHHESEYLSSLEESYMNLSDATFKDLRRKLPVTRTLFPWHNTLALSLTRDLTKELAIGK >ORGLA07G0098100.1 pep chromosome:AGI1.1:7:10596441:10597304:-1 gene:ORGLA07G0098100 transcript:ORGLA07G0098100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64980) TAIR;Acc:AT1G64980] MSDTPTDDVVMTGPVAGAGDVQGAAAETFRVFVGYDSREDIAYRVCRRSLLRRSSVPVAVIPIVQQELRSAGLYWRERGPTESTEFSFTRFLTPHLAGYRGWALFVDCDFLFVADVAELARMADPRYAVLCVHHAYAPKEATKMDGAVQTVYPRKNWSSMVLFNCAHPKNRAALTPEAVSTQSGAYLHRFMWLDDADIGEVPFVWNFLVGHNRFDHADTAGTAPRAIHYTSGGPWFEQYKNCEFAELWVQERDAYEAEAEEEEEKEEHEAKAILHAPAAPSAVSVDA >ORGLA07G0098000.1 pep chromosome:AGI1.1:7:10584874:10595113:1 gene:ORGLA07G0098000 transcript:ORGLA07G0098000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:poly(ADP-ribose) polymerase 2 [Source:Projected from Arabidopsis thaliana (AT2G31320) TAIR;Acc:AT2G31320] MAAPPKAWKAEYAKSGRSSCKSCRSPIGKDQLRLGKMVQATQFDGFMPMWNHASCILSKKNQIKSVDDVEGIDTLRWDDQEKIRNYVGSAPATASSAAAISDKCTIEVAKSARTSCRRCGEKITKGTVRVSSKLEGQGWYHASCFLEMSPAAPVENFSGWEILSHEDKGAVLDLVKKDAPSSGQTSSKGSKRKNNQNDIHDCKAPKIIRSISEGTAEDKGKAVASHDSNASSTDLQEKLKEQSDTLWKLKDELKKHVSTAELRNMLEANGQDTSGPERHLLDRCADGMLFGELGTCPVCSSFLYYHGGQYHCSGYVSEWSKCTYSTTEPVRSKKKWKIPDEMDNGYLTKWFKSQKVKKPERVLPPMSPEKSLCQSTQQNWSFLSEGLDKLRVSLVGQSKDVVDGWKQKLKDAGANFNATVTKDSSCLVLCGELESENAEVKKARRLKIPILRQGYLGECIRKNRVLPFDLYKVETALESSKGGTMTVKVKGRSAVHESSGLQDTGHILEDGKSIYNTTLNMSDLTRGVNSYYILQVIEEDNGSDCYVFRKWGRVGNEKIGGTKLEEMPKIDAIQEFRRLFLEKTGNPWEAWEQKTNFQKQPGKFYPLDIDYGVRQGPKRKDIDKMKSSLAPQLLELMNMLFNIETYRAAMLEFKINMSEMPLGKLSKENIQKGFEALTEIQNLLGNTDNQELAVRESLIVAASNRFFTLIPSIHPHIIQDEDDLMVKVKMLEALQDIEIASKLVGFDSDNDESLDDKYKKLRCAISPLPHDCEDYKLVEKYLLNTHAPTHKEWSLELEEVFSLDRDGEFNKYSRYKNNLHNKMLLWHGSRLTNYVGILSQGLRIAPPEAPVTGYMFGKGLYFADLVSKSAQYCYVDRKNPVGLMLLSEVALGDMYELKKATSMDKPPRGKHSTKGLGKTVPLESEFAKWRDDVVVPCGKPVPASIKTSELMYNEYIVYNTSQVKMQYLLKVRFHHKR >ORGLA07G0097900.1 pep chromosome:AGI1.1:7:10568883:10573244:1 gene:ORGLA07G0097900 transcript:ORGLA07G0097900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60340) TAIR;Acc:AT5G60340] MASRGGGARRTRPNVLVTGTPGTGKTTTCSLLADAVDLRHINIGDLVREKSLHDGWDEELECHIINEDLVCDELEDMMEEGGILVDYHGCDFFPERWFDLVVVLQTDNSILHDRLISRGYMGAKLTNNIECEIFQMLLEEARESYKEEIVMPLRSDNVEDISRNVGTLTEWINNWRPSRS >ORGLA07G0097800.1 pep chromosome:AGI1.1:7:10557492:10561434:-1 gene:ORGLA07G0097800 transcript:ORGLA07G0097800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G32900) TAIR;Acc:AT1G32900] MARTMGSTPTYCSYQTNGVGALKQSPHMQFQQSYNYGVRFLKRDTLSVRINKHMAKRIATSTGICTKPRRSHMPIVCSAGMTIIFIATECHPWCKTGGLGDVLGGLPPALAAMGHRVMTIVPRYDQYKDAWDTNVLVEVNIGDRTETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGPKLYGPTTGDDYRDNQLRFCLLCLAALEAPRVLNLNNSEYFSGPYGENVVFVANDWHTGVLPCYLKSIYQAKGMYVNAKVAFCIHNIAYQGRFAREDFELLNLPDSFLPSFDFIDGHFKPVVGRKINWMKAGITECDLVMTVSPHYVKELASGPDKGVELDGVLRTKPLETGIVNGMDVYEWNPATDKYISVKYDATMVTEARALNKEMLQAEVGLPVDSSIPLIVFVGRLEEQKGSDILIAAIPEFVEGNVQIIVLGTGKKKMEEELILLEVKYPNNARGIAKFNVPLAHMMFAGADFIIVPSRFEPCGLIQLQGMRYGVVPICSSTGGLVDTVKEGVTGFHMGSFNVECETVDPVDVTAVASTVKRALKQYNTPAFQEMVQNCMAQDLSWKGPAKKWEEVLLGLGVEGSQPGIEGEELAPLAKENVATP >ORGLA07G0097700.1 pep chromosome:AGI1.1:7:10546567:10548696:1 gene:ORGLA07G0097700 transcript:ORGLA07G0097700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGICLVNKKRRLTLRPCVGEVDHSSKRVRSRCVKFESLPELLLKCPALEWLTIRMCSQLHNLYAPEPLPRLAFLCVQDCAIDKIDVHAPNLTTFKYRGRFKVIIALRECLKLKTASIASPIEDNLHYIFTELPNGLPHVERLHVNVFVKTQIPGFTQAPHKFINLRHLTXGXPMKLLNALVEMQFCNXHIFWKLLRFWXTFIWICCI >ORGLA07G0097600.1 pep chromosome:AGI1.1:7:10545595:10546059:-1 gene:ORGLA07G0097600 transcript:ORGLA07G0097600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSPFPSILFLSVSIFFAASRRDGGGGADFGTQRKEGVAVQASRGREAATALADMRRERMAVTTPTREGRGRRRCRRKEGGDGAWKEQGRRGSQAAVLTRRAEGAVALGDWAAAPAPALRGRMGRQLGERGQRRCRQLARGVGADARREETAR >ORGLA07G0097500.1 pep chromosome:AGI1.1:7:10542315:10544176:1 gene:ORGLA07G0097500 transcript:ORGLA07G0097500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVCAFTTYVSIVPDLRPSPRSHLCVNHGSISCSKTQKLHISVEASAGAPRPMEVEEPVAVRDGDMATGSCGNASEGVRGGASGWVDLWTKNEREREEAAGDFDFSAVFSG >ORGLA07G0097400.1 pep chromosome:AGI1.1:7:10538623:10539968:-1 gene:ORGLA07G0097400 transcript:ORGLA07G0097400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone and stilbene synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G34850) TAIR;Acc:AT4G34850] MVSTNAGGTASKQASSMAPNPGKATILALGHAFPQQLVMQDYVVDGFMRNTNCDDPELKEKLTRLCTVPDPNLIICSYKYIYSTIIELACKTTTVKTRYVVMSEEILKSYPELAQEGQPTMKQRLDISNKAVTQMATEASLACVRSWGGALSEITHLVYVSSSEARFPGGDLHLARALGLSPDVRRVMLAFTGCSGGVAGLRVAKGLAESCPGARVLLATSETTIVGFRPPSPDRPYDLVGVALFGDGAGAAVVGADPTPVERPLFELHSALQRFLPDTDKTIDGRLTEEGIKFQLGRELPHIIEANVEAFCQKLMQEHPQAADKLTYGDMFWAVHPGGPAILTKMEGRLGLDGGKLRASRSALRDFGNASSNTIVYVLENMVEETRQRREEAAEEEDCEWGLILAFGPGITFEGILARNLQARARARD >ORGLA07G0097300.1 pep chromosome:AGI1.1:7:10537048:10537302:1 gene:ORGLA07G0097300 transcript:ORGLA07G0097300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKILQWHGVASWTWNAQDETCGICRMAFDGCCPDCKFPGDDCPLIWGSCNHAFHLHCILKWVNSQTSTPLCPMCRREWQFKG >ORGLA07G0097200.1 pep chromosome:AGI1.1:7:10527210:10527431:1 gene:ORGLA07G0097200 transcript:ORGLA07G0097200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTKGTFTGVHDDIGAHGPLMGRLAIAGPIAPRLDGPERLPRPSRGRTCQGEVVLLRQIVFALHPSPETPLA >ORGLA07G0097100.1 pep chromosome:AGI1.1:7:10489786:10490505:-1 gene:ORGLA07G0097100 transcript:ORGLA07G0097100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHFSHAGMYIGYTADAAASSSSSSSSSSSSSSEMLRFDTGWPDETPAPSSVAGRRRSAGGDHRQGRGQTEAAAAFIGVRRRPWGRFAAEIRDSTRNGARVWIGTFDSAEAAAMAYDQAALSARGAAAALNFPVERVRESLHALSLGAAGGSPVLALKRRHSKRKRRKKAELLAAAAATAATANATPQTRRISKSTELTTATTDEQKRFVVELEDLGAEYLEELLWLSEINGGSDHAD >ORGLA07G0097000.1 pep chromosome:AGI1.1:7:10471572:10472237:-1 gene:ORGLA07G0097000 transcript:ORGLA07G0097000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHSHCWYYGGGMAVTAAAGAGAASSTSSESQPSGYDYETAGSAEVSAPGGGAGRERAARKEEGGAGAAAAAAGFIGVRKRPWGRFAAEIRDSTRNGVRVWLGTFETAEAAAMAYDQAALSARGAAAALNFPVERVRESLRALALGAAGGSPVLALKGRHSKRKRRKRSELAGATATANKTTTTTSATTGGEHKQIVLELEDLGADYLEELLWMSEQTSH >ORGLA07G0096900.1 pep chromosome:AGI1.1:7:10455620:10462615:-1 gene:ORGLA07G0096900 transcript:ORGLA07G0096900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAHLLRHSRKQFPVTFQSALLQLRSLHNALDCERSGLVRYFSTASGSFPTKGNVLLVSTGAEKRIGGARFPQRKQPGKELETSKVSLGLNGSYTCRRSPNNFIPNTITGLNGSLSCGQIASARSFSSSADLPPHQEIGMPSLSPTMTEKGGRKEALAAPGLSYTDVPNAQIRKVTANRLLSSKQTIPHYYLTVDTRVDNLIKLRGELNPLQESSGGKKISINDLVIKAAALALRKVPQCNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLGMIAEEVKQLAQRARDNSLKPDDYEGGTFTISNLGGPFGIKQFCAIINPPQSAILAIGSAERRVIPGSANGQYEFGSFMSATMSCDHRVIDGAIGAEFLKAFKGYIENPTSMLL >ORGLA07G0096800.1 pep chromosome:AGI1.1:7:10438850:10447061:-1 gene:ORGLA07G0096800 transcript:ORGLA07G0096800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSSSSARRDAGTPGGGNGAGNKDNAGRKGIVACGKRTDFGYDKDFEARYALGKLLGHGQFGYTFAAVDRRSSERVAVKRIDKNKMVLPVAVEDVKREVKILKALQGHENVVHFYNAFEDDNYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIRPGKHFRDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWPNITPCAKDFVQKLLVKDPRARLTAAQALSHEWVREGGQASDIPLDISVLHNMRQFVKYSRFKQFALRALASTLNAEELSDLRDQFNAIDVDKNGTISLEELKQALAKDVPWRLKGPRVLEIVEAIDSNTDGLVDFEEFVAATLHVHQLVEHDTEKWKSLSQAAFDKFDVDGDGYITSDELRMQTGLKGSIDPLLEEADIDRDGKISLDEFRRLLKTASMSSRNVQTPRSVHRS >ORGLA07G0096700.1 pep chromosome:AGI1.1:7:10429719:10434836:-1 gene:ORGLA07G0096700 transcript:ORGLA07G0096700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALLRALRRPSSEAALRLAASVRVQGVTGYRHLNNRNLSVFNEFSKQLKGEAKSNPEFQKSMKEFSEKLSGVKEDLKVRTKQTAETIYKSVDDVLTEAEATSKKVTANVKEKMSAATEEVKESFRLGKEDTSSCKDGSPETSKHEYSETSSHSDDKSQAGTSGYTLFNKLRSTLSSASPVLSGAFAKLRDTRVSTYAKLGYEIFKDELSSSSSRKKRNHARHASAGTVEKSTRTELVIVPTKKSVLGEKWEAFKNKMRGHPAYKRVNEYTKPVVNIGQEVAEDVRERWETSDNPVVQKIQDLNESIFEETATAVSFREIRQRDPSFSLPDFAGDVQEMIKPVLTAYSKGDVKTLKKYCTKEVIERCKGERDAYASQGIFFDHKILHISDADVRETKMMGSTPIIIVGFQTQQIYCVRDREGQVTEGGQDTIQTVFYAWAMQLMDSDEVPEEESYYPVWRLREIQQVGIKALI >ORGLA07G0096600.1 pep chromosome:AGI1.1:7:10414520:10415083:1 gene:ORGLA07G0096600 transcript:ORGLA07G0096600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADKSGEGEKAGGKTISFRCSDGQAFHMPVAAAMLSTAIRKMFDKYPSIDHGGVIELPHQVSFGIFPKIKEYCTKHAKVDDKGNPTVSTNTGAAAASSSSTDDEDLKNWDKELVNMEVKPLHDLLLVAHLLDIKGLFDITCRKVADMLKGKTSEEMRRSSTSATTSPRKKTRQSKEQNPWVFPDPE >ORGLA07G0096500.1 pep chromosome:AGI1.1:7:10409918:10411645:-1 gene:ORGLA07G0096500 transcript:ORGLA07G0096500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT3G60400) TAIR;Acc:AT3G60400] MPLFRAAALRRLLSTAAASSAPPKLWNLPYRLRRAAVPAARAAVSEYLHATRCLPSSHADTIAARSPRSLHAFLAGLPAVPSSLRTSAFPSLLRRHLAFHPLNELPFFLESIGLPPTTRSDLMFLADHPSLLPAVAALAHFGFPWSRLGLLFPTVLLRLPPDLITSRLASLEACLGPLPRAAIIAACLAFPSLLENDLSSSDRLVDDLGKVFGRLGPGLGTSNDIDAFLGVCRRTWMFYDAGSEVGGIGDLVGCNNQRVFLELEEERIGKMLKFFKGLGMAGEEVGRFLLTNPMVFYLEFGDVVISVPEYLRRVGLAVDEVNAAVEKHPYVVGKNLLQNLPGVLRAMELDHWFLEKISDGGESLRYLFPDFVLEDVSYDVEIERAFLGGMIKMKADKRAQHIDGKLEFLKSIGYGENEIATKIIAVLHSNRDTLQERFDCLLERGLEYKMLCQIVSVFPKILNQGKKMLNDKLNYMTKELGYSVEYLELFPAFLCFDLENRVKPRYTMLRWLRENGLLRRTLAPATVLANSEKRFISNLYNVHPAAPKLWLECFSSRKHMEYYLRNIYHQHADTK >ORGLA07G0096400.1 pep chromosome:AGI1.1:7:10406489:10407241:-1 gene:ORGLA07G0096400 transcript:ORGLA07G0096400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLISFPDSLCAQILKAKYFPNCDLIDAVFPSDSSRTWKAVEYGLQLLKKGLIWRIGDGKKVKIWRDQWILRETSLKLAGQKGRCRMRWVSEPINQEDRSWDVGLIYQVCQPCDVPEILRIKLPQYQSEDFLAWHYEKSGVFSVWSVYKVALKSQLPSNMGASSCSASGERSIWRRFGTQFQIRLRFLLGVWLKVATMCNRITRKMENNAICRICGLEEEDEFHAFISCTRAQELRDRLRLEWLLPAENLLV >ORGLA07G0096300.1 pep chromosome:AGI1.1:7:10400646:10403964:1 gene:ORGLA07G0096300 transcript:ORGLA07G0096300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSDWLLWLLHGEYGVSDYNNTLKVGYDPEIDSYPSWLMSQPYAYMLPSVRAPGAPIGSIKEDVRAQFGFPKNCVVCTGTTDSIAAFLAARTTEPGKAVTSLGSTLAIKLLSNARVDDARFGVYSHRLDDMWLVGGASNTGGAVLRQLFTDDQLVALSHEIDPSVPSLLDYYPLPKRGERFPVSDPNMMPRLQPRPESDTAYLHGILESIARIEAKGYNLLKELGASMVEEVLTAGGGARNDKWTAIRGRVLGVPVRKAEQTEAAYGTALLALKGANTSH >ORGLA07G0096200.1 pep chromosome:AGI1.1:7:10384306:10397125:1 gene:ORGLA07G0096200 transcript:ORGLA07G0096200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMVMGMVVGVALMAGWSRVMQRRSRKRIAKAADIKVLGSLGRDDLKKLCGDNFPEWISFPQYEQVKWLNKHLSKLWPFVDQAATAVVKESVEPLLDDYRPPGIKSLKFSKFSLGTVSPKIEGIRIQNIQPGQIIMDIDLRWGGDPSIILAVDAVVASLPIQLKDLQVYTIVRVVFQLSEEIPCISAVVVALLAEPEPKIQYTLKAIGGSLTAVPGLSDMIDDTVNSIVSDMLKWPHRLVVPLGVNVDTSELELKPQGRLTVTVVKATSLKNKELIGKSDPYVILYVRPIFKVKTKVIDDNLNPEWNETFPLIVEDKETQSVIFEVYDEDRLQQDKKLGVAKLAVNSLQPEATSEITLKLQQSLDSLKIKDTKDRGTLHLQVTYHPFSKEEQMEALESEKRAIEERKRLKEAGVIGSTMDALGGAASLVGSGVGLVGTGIVGGVGLVGSGIGAGVGLVGSGVGLVGSGIGAVGSGLGKAGKFMGKTVAGPFSMSRKNGSSSTAPQAEQPSA >ORGLA07G0096100.1 pep chromosome:AGI1.1:7:10383866:10384216:-1 gene:ORGLA07G0096100 transcript:ORGLA07G0096100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDLRLRSGGDEGEGYASLACVGGLQLWLGSGECGGLRRIGAWERGLITGISAQLMPQGKHQRIDVLLACWNSDEEVNSRRSGEETELIFRTNGKSAASEGQGLSAGGGVGRST >ORGLA07G0096000.1 pep chromosome:AGI1.1:7:10360196:10365890:-1 gene:ORGLA07G0096000 transcript:ORGLA07G0096000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAAKRARESGDAAAAGAGEQAGISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKQFFPHLAVGFEDPRVSLHIGDGVAFLKNAPEGTYDAVIVDSSDPIGPAQELFEKPFFQSVARALRPGGVVCTQAESIWLHMHIIEDIVANCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPTVDFQHPIFNIEDNEFSTKSKGPLKFYNSEIHSASFCLPSFAKRVIGSKAN >ORGLA07G0095900.1 pep chromosome:AGI1.1:7:10353976:10355771:1 gene:ORGLA07G0095900 transcript:ORGLA07G0095900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTTETEERAESKTLKFCSFYSCQETRSTRESKLSRRCSSKQFNALKISKTAEAKRISSVVVSDEKFWDNVELAINVFRSLVKLLRFVDGDKRPAIGFIHGGLMDARIELAQLLRNELELCIPVINAIDFYMDGKLDSELHLMAYYLNPYYFYSNRNGFISSEKISGSVHKFIQRFYPDDQIQDKITGAEMLAYSEASGTFGNPGAKRQREKNNDSFNPAHWWNVWGSKAPYLQGFATKILNLTCSSSGCERNWSAFEWTQTKKRNKLIVQRLNDIVFVQFNSRMKKKDGSAQKEDATMEACEDNALVMLKVGFKMNLKAHKMWQELKLGVIQLKHQSWHKEIT >ORGLA07G0095800.1 pep chromosome:AGI1.1:7:10343126:10343746:1 gene:ORGLA07G0095800 transcript:ORGLA07G0095800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYCAIALFFSVFALLNFVLHRHGVLLVLASSSFRVSSSGHVLYHSRRCHRVSVVVGTYENGIGSLPRFYFCSFQKFLSVSFGVLELLLEFADPFVCLFWPCLCRAREEEGELPYLILEVVKFVLERYSRLDWLKISFLFIPYTKFGCHPLHLLAESHSPLWPSPTPSLCFTVPSCVKHLGEFLFGVGFXXXXXXXXXXXXXXXXXXX >ORGLA07G0095700.1 pep chromosome:AGI1.1:7:10327593:10335033:-1 gene:ORGLA07G0095700 transcript:ORGLA07G0095700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49180) TAIR;Acc:AT3G49180] MAPPQQLVLAASSTDAGVAAWDLRTGAEAIRHRTCASRPRALTVVAGRFLAASQAPAGNSAPIHYYHWDKPQVAVKSFPVEPIRALIADPEGSYLIGGGISGDIFFWEVASGELLVQWHAHYRAVRCLALYDFLLISGSEDGSIKVWDLLTMLDEQSRLEAKTQHIYSFNQHALPVTDVACCHGAIAVSSSEDHTCKIWSLSEGRMLRSISFPAITDSVALDPRSHIFYAGGRDGKIYVTAMGIDVTSPSSDDSTIIGALDDHSKAVTSLASSTDGLILISGSEDGNVRVWDTRTQQVIRKFKHSQGPVTNVLLVTPKRVNLPPLQSLRKVCSANGGSESRAVIVPQPENDVQIFGNFSSDFLERCLDALQQPGSSSRLFESGASALYGAPKQQGVEWRSKYLELQDLFVREVLDQMPSPKNT >ORGLA07G0095600.1 pep chromosome:AGI1.1:7:10316127:10316912:1 gene:ORGLA07G0095600 transcript:ORGLA07G0095600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATTTTGGDVQIPIGQQATVAATATATSDGIRDSPGTSSPFRGGGGSTTPTPQRPVKAGSSSPPPPPTTAMDKTLSSVANLAKLLPTGTALAFQSLSPSFTNRGACLTSNRYLTAALLYLCVLSCIFFSFTDSFVGGDGKLYYGVATAKGFLVFNYDAGSSSDGDDDDQRRRREVFKDLRRLRIRWVDYVHAVFTAVVFMTVAFSSTAVQSCYFPEAGDNVKQLLTNLPLGAGFLSTTVFLVFPTTRKGIGYGGQSTN >ORGLA07G0095500.1 pep chromosome:AGI1.1:7:10302986:10311890:-1 gene:ORGLA07G0095500 transcript:ORGLA07G0095500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPLARRSNGATRLPAMSPGAARSSATSPMAAAPVRRWPGAALPSSSLHWLMKKLGEEGPGQDVHASCLSMNQVEIKFLFYLYDAIPFLEDCFHEPPSTTQLKSYGKIGAMFQEVIPKLQCLFQY >ORGLA07G0095400.1 pep chromosome:AGI1.1:7:10279421:10279999:1 gene:ORGLA07G0095400 transcript:ORGLA07G0095400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMLQRRNPELSGEIDGRQRRLFMPRRRTTAMVDGATSTGLHVITNIENRAISMWYTRSRVSCELWFVGVAGDFIEQLRAPTGGREDGGISGGWRQSLMGEVRVVEEESGESCGQGLGRFGWVYDISWMGMSRARIHVHGLTAQRPVLATSSRTDGTTRMGSLQGSNGCQRWSKGEGFGRGVEDLAGTAR >ORGLA07G0095300.1 pep chromosome:AGI1.1:7:10273283:10273860:-1 gene:ORGLA07G0095300 transcript:ORGLA07G0095300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQTPVATAEAELVSSAAVPVKPEEAAAKAQPEDDAPIVEDAKDDDDGDEDDDDDGDEDDGEHGAVVNKGSKQSRSEKKSRKAMMKLGMKPVTGVSRITIKRAKNVRNCTTAANNCTRQG >ORGLA07G0095200.1 pep chromosome:AGI1.1:7:10247240:10250941:-1 gene:ORGLA07G0095200 transcript:ORGLA07G0095200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase, large subunit family [Source:Projected from Arabidopsis thaliana (AT1G67320) TAIR;Acc:AT1G67320] MEIVRSHRQIAAEAAAGVGCGGGSGGLPTYRVAPQLEVRLEEFELFAIDRLRVLKGIADGLSRGKRPEEMEKLIKELWKAHMRHQDPTETLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRLESPESQRMLMSEFQLPYKALPHSEFEAVKDKLSQVARTIGQSAAVESVFFKVPFEEVPDLVASRRVFLSKGYAYVAMSQVVSLVVTQFRCNISKALVLTNRKWTATIKEQEKDRLTPIVEALSNAYFGPDYSQPKDAVEISLKDIDQLAKTSFPLCMRHMLEKLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEDNLRAALNKMGISGHPLEEIMDKVKNRHYQLACTMTFEAEHGVSCDTGINHPNQYFSESQKVLKAKNQAVQSQGTT >ORGLA07G0095100.1 pep chromosome:AGI1.1:7:10238161:10239815:1 gene:ORGLA07G0095100 transcript:ORGLA07G0095100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPALAPPTIAQADANVNGAGFNGATPLLLACSHTGSIRFVNCLVESGADPNIPDEVKHFLPSRRQLQLLKGYFSTGVNKMWILAIGRVLDVTVTPREIEPLVCEYYISKCPVIVPYHRGGKRIHVGVQELTISLKILLHGLKLLLASSSH >ORGLA07G0095000.1 pep chromosome:AGI1.1:7:10231475:10231720:1 gene:ORGLA07G0095000 transcript:ORGLA07G0095000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I iron-sulfur center [Source:UniProtKB/TrEMBL;Acc:I1Q6F0] MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLGPETTRSMALSY >ORGLA07G0094900.1 pep chromosome:AGI1.1:7:10230689:10230994:1 gene:ORGLA07G0094900 transcript:ORGLA07G0094900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEHVLFLSVYLFSIGIYGLITSRNMVRALICLELILNSINLNLVTFSDLFDSRQLKGDIFAIFVIALAAAEAAIGLSIPSSIHRNRKSTRINQSNFLNN >ORGLA07G0094800.1 pep chromosome:AGI1.1:7:10229949:10230479:1 gene:ORGLA07G0094800 transcript:ORGLA07G0094800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 6 [Source:UniProtKB/TrEMBL;Acc:I1PHU7] MDLPGPIHEILVLFGGFVLLLGGLGVVLLTNPTFSAFSLGLVLVCISLFYILLNSYFVAVAQLLIYVGAINVLIIFAVMFVNGSEWSKDKNFWTIGDGFTSLVCITIPFSLMTTIPDTSWYGILWTTRSNQIVEQGLINNVQQIGIHLATDFYLPFELISIILLVSLIGAITMARQ >ORGLA07G0094700.1 pep chromosome:AGI1.1:7:10229180:10229695:1 gene:ORGLA07G0094700 transcript:ORGLA07G0094700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit I, chloroplastic [Source:UniProtKB/TrEMBL;Acc:I1QA05] MFPMVTGFMSYGQQTIRAARYIGQSFIITLSHTNRLPITIHYPYEKSITSERFRGRIHFEFDKCIACEVCVRVCPIDLPLVDWRFEKDIKRKQLLNYSIDFGVCIFCGNCVEYCPTNCLSMTEEYELSTYDRHELNYNQIALSRLPISIMGDYTIQTIRNSTKKNLGIQERL >ORGLA07G0094600.1 pep chromosome:AGI1.1:7:10227011:10229085:1 gene:ORGLA07G0094600 transcript:ORGLA07G0094600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit H [Source:UniProtKB/TrEMBL;Acc:I1QWL7] MIIDRVQVEAINSFSNLELLKEVYGLIWILPILTLLLGITIEVLVIVWLEREISASIQQRIGPEYAGPLGLLQAIADGTKLLFKEDILPSRGDIPLFSIGPSIAVISILLSFLVIPLGYRFVLADLSIGVFLWIAISSIAPIGLLMAGYSSNNKYSFSGGLRAAAQSISYEIPLTFCVLAISLLSNSSSTVDIVEAQSKYGFFGWNLWRQPIGFLVFLISSLAECERLPFDLPEAEEELVAGYQTEYSGIKYGLFYLVSYLNLLVSSLFVTVLYLGGWNLSIPYISFFGFFQMNKMVGILEMTMSIFITLTKAYLFLFISITIRWTLPRMRMDQLLNLGWKFLLPISLGNLLLTTSSQLVSL >ORGLA07G0094500.1 pep chromosome:AGI1.1:7:10225828:10227009:1 gene:ORGLA07G0094500 transcript:ORGLA07G0094500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLTRKDLMIVNMGPQHPSMHGVLRLIVTLDSEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAIMVNAPEFLENIQIPQRASYIRVIMLELSRIASHLLWLGPFMADLGAQTPFFYIFRERELIYDLFEAATGMQMMHNYFRIGGVAADLPYGWIDKCLDFCDYFLRGVIEYQQLITQNPIFLERVEGVGFISGEEAVNWGLSGPMLRASGIQWDLRKVDLYESYNQFDWKVQWQKEGDSLARYLVRIGEMRESIKIIQQAVEKIPGGPYENLEVRRFKKAKNSEWNDFEYRFLGKKPSPNFELSKQELYARVEAPKGELGIYLVGDDSLFPWRWKIRPPGFINLQILPQLVKKMKLADIMTILGSIDIIMGEVDR >ORGLA07G0094400.1 pep chromosome:AGI1.1:7:10225417:10225689:1 gene:ORGLA07G0094400 transcript:ORGLA07G0094400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S15 [Source:UniProtKB/TrEMBL;Acc:I1R2H1] MKKKGGRKIFGFMVKEEKEENWGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRQRLLAYLAKKNRVRYKKLISQLDIRER >ORGLA07G0094300.1 pep chromosome:AGI1.1:7:10213604:10214170:1 gene:ORGLA07G0094300 transcript:ORGLA07G0094300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEVGAHDQSQGGDGYDGGGLELACPRRALAIGGKVRVPSADLARRGDRDDPGGGLDLTPENEVSCRRFRPPCYHRLGLCVEKPGRATTATPVEPKGRMQMDLAPLCQIRPESGHAGRWGSAKMVWWLGGARLSSPITTTRWPTTSKMSVASVIDDKEGHPHAGCLVASCLATRLLMAWIIGGGVV >ORGLA07G0094200.1 pep chromosome:AGI1.1:7:10206229:10211607:-1 gene:ORGLA07G0094200 transcript:ORGLA07G0094200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1QA00] MALSCMRCSPAAGAVRRGLASAAPPAALSFARCGLRRAAALGWRVAAVTTTGVQGAKDAGLEKAARSASQSKVENGSPSEIILDDFEDLSPLSENDDSTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIFGYARSKMTDAELRNMVSKTLTCRIDKRENCNEKMEEFLKRCFYHSGQYDSEEHFMDLDKKLKQHEGSRVSNRLFYLSIPPNIFLDVVKCASKSASSGNGWTRVIVEKPFGRDSDSSSALTRGLKQYLVEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDRYGIIRDIMQNHLLQILALFAMETPVSLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTTYPGYTEDKTVPKDSVTPTFAAAALFINNARWDGVPFLMKAGKALHTKGAEIRVQFRHVPGNLYKRSFGTDLDTATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWELFTPLLKELEEKRIAPELYPYGSRGPVGAHYLAAKYNVRWGDLTTEQKA >ORGLA07G0094100.1 pep chromosome:AGI1.1:7:10129364:10131025:-1 gene:ORGLA07G0094100 transcript:ORGLA07G0094100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLTSAAXRRSXRWXIDPSRXRQDDGGGAKLRATAAQSHGDGGGGELKRIFYGLWEYLGGDPKERSKGKYLMEDKNGFGGSMVSSREIDISYAQEMVEWELKGYWFEVFGNGFQGNII >ORGLA07G0094000.1 pep chromosome:AGI1.1:7:10126628:10126900:-1 gene:ORGLA07G0094000 transcript:ORGLA07G0094000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSNTTGDWKKGLDTVIERLDAIRGQISDVDNQQQSHHVAIQHLEHAHRDADGDHGDDGCHSNCAPRYHKLDFPIFPNSMAKVNPSFS >ORGLA07G0093900.1 pep chromosome:AGI1.1:7:10100518:10116468:1 gene:ORGLA07G0093900 transcript:ORGLA07G0093900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G67320) TAIR;Acc:AT5G67320] MGAITSAELNFLIFRYLQESGFIHAAFTLGYEAGIHKGGIDGNLVPPGALITIVQKGLQYIELEANTDENDEDLAKDFALLEPLEIITKNVEELQQIVKKRKREKTQSDRDKDKGKEKERMEEHERRPGGERERERHDQEKELEKEKDRAERDRDQDKEKEKLHTERIDRVKAEEDSLAGGGPTPMDVSTTAHEISSADVTVLEGHSSEVFACAWSPAGSLLASGSGDSTARIWTIPDGPCGSITQSSPPGVHVLKHFKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWNSDGELKQTLFKHKGPIFSLKWNKKGDFLLSGSVDKTAIVWDTKTWECKQQFEFHSAPTLDVDWRNNNSFATCSTDNMIYVCKIGDQRPVKSFSGHQSEVNAIKWDPTGSLLASCSDDWTAKIWSMKQDKCVYDFKEHTKEIYTIRWSPTGPGTNNPNQQLLLASASFDSTIKLWEVEQGRLLYSLAGHRQPVYSVAFSPGGEYLASGSLDQCLHIWSVKEGRILKTYRGSGGIFEVCWNKEGSKIAACFSNNTVCLMDFRM >ORGLA07G0093800.1 pep chromosome:AGI1.1:7:10041545:10042668:1 gene:ORGLA07G0093800 transcript:ORGLA07G0093800.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGFNKSIFPSSSPTSSRQITVALENPNPSQSNMLSENLAMAKGMFGIAPAPAPSLLDLELNQTVSAPPKLGVELGYPLDFRSTSYISRAMGLFGKLDYLQEIPNHGGQGESWTFGVLNLNNEFANPQPQDEDLPPIGVPPPPPGPPQHQGPAWDDINQQQEDVGGWDN >ORGLA07G0093700.1 pep chromosome:AGI1.1:7:9997184:10009126:-1 gene:ORGLA07G0093700 transcript:ORGLA07G0093700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (Ran-binding) family protein [Source:Projected from Arabidopsis thaliana (AT1G55040) TAIR;Acc:AT1G55040] MSVAEVLRREVELVHPWPEWIELMDRLAQQKYFDLGGTGGADEGCVAAAVPMDLAEVTQETGFDFSRDWTTVKNACMNFGRDRFDIVKSLPRKDLQVLVGHGCPSMDPKVVFSAKLIRKLVHLDEGDVCSSCNLRNLCSRGYILTRKEDEARTLDVMRILLFYGFDHVKETVENKPLLKLKSVKTVVRKLIHDIAKLSAVPIDPNLPPPIIRKPPPKVKQPSPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNTICLQCDAKRPKRQLLPGEWECPRCNFLNYRRNMSCFHCEHNRPPDEYTNSQMEANQSVPRKRLERPAHKSEVCNAWNFDFDDNESDGADVAAFEFADSSKARNSLSVDNTSSRGGSKFSEDNEFGINETWREGTERRFSERERVGFDDFDDEEDDIDSYELDLSKGGQTDGMSRMSYLDFEDACDSADLNGRAKIRNSKHGEEEDIIGSPEDEEFDHPSLRSSHLAASWRKPVSCNGSNNHRRESFGSESDDGIISDLDGDINEGLKCKGGHNKHYPRRVVVRHNELDDVPFSDMDCDIGGCVQSDRRNRFSTMFSDEFHARASNSNRKKMNERFKSSDMRDGHPPFDRTRTRGTTELHDGSRDLQSNARRNWVKSGGFDDNNRPLRRLNRR >ORGLA07G0093600.1 pep chromosome:AGI1.1:7:9958868:9965713:-1 gene:ORGLA07G0093600 transcript:ORGLA07G0093600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G31970) TAIR;Acc:AT1G31970] MGRSMLPEQQEDVSRKSKKEKKSKKDKKRKLEAEAEVVVVEAAAATSTDEATKSSKKKRAKGDLGQGEEAENGGGKVVAVTGKGSADAKYAPLSSFAATALPPQVLDCCKGFERPSPIQAYAWPYLLDGRDFIGIAATGSGKTIAFGVPALMHVRRKMGEKSAKKGVPRVLVLSPTRELAQQIADVLCEAGAPCGISSVCLYGGTSKGPQISALKSGVDIVIGTPGRMKDLIEMGICRLNDVSFVVLDEADRMLDMGFEPEVRAILSQTASVRQTVMFSATWPPAVHQLAQEFMDPNPIKVVIGSEDLAANHDVMQIVEVLDDRSRDSRLVALLDKYHKAQRNRVLVFVLYKREATRVETMLQRRGWSAVSVHGDKAQHDRTKALSLFKEGSCPLMIATDVASRGLDIPDVEVVINYSYPLTTEDYVHRIGRTGRAGKKGVAHTFFTQENKGLAGELVNVLREAGQVVPPALTKFGTHVKKKESQIYGSHFKEIKADAPKSTKITFGDSDED >ORGLA07G0093500.1 pep chromosome:AGI1.1:7:9931812:9940232:-1 gene:ORGLA07G0093500 transcript:ORGLA07G0093500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRCNPRPSAAVALRGAAAAPQVGNEAAFELLVGATEPCLRGRRRGAGIRCQRSAAASAVVVEKKGRAVEPAREGANAGHTESELTVVMKFGGSSVALAERMREVADLILSFPEERPVIVLSAMGKTTNKLLMAGEKAVGCGATNVSELDELTFIKELHFGTIDQLGLDRSIVSGLSDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKLGVKARQYDAFEIGFITTDDFTNADILEATYPAIAKRLHGDWVTGPAIPIVTGFLGKGWKTGAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPNAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRGAPGTLITKARDMSKTVLTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIKQANELDHVIEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRTNGVNVQMISQGASKVNISLVVHDSEAKQCVQALHSAFFESGFLPEVNDILQDDSVAHSNGTVYRH >ORGLA07G0093400.1 pep chromosome:AGI1.1:7:9912548:9918322:1 gene:ORGLA07G0093400 transcript:ORGLA07G0093400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAARGRRHPFAAARLLPIPLSSGPSFASSTTTTTSNGACSSSAADPDAVAAEVATLLSRCSGDWRLAVSSSDLPSRLSPAAISSLVRRRPSPSSPRLHPKLLLDFFYWSSPQLAPSAPAPDAFAHLAMSLCAGSLFNLANGLLIKMIRAYPSPPVVLASIHRALSDSGHRSPAVLDVLVDTYKKSGRVQDAAEVVLMMRDLGLAPSIRCCNALLKDLLRADAMALLWKVREFMVGAGISPDVYTYSTLIEAYCKVREFDTAKKVLVEMRERGCGLNTVTYNVLIAGLCRSGAVEEAFGFKKDMEDYGLVPDGFTYGALINGLCKSRRSNEAKALLDEMSCAELKPNVVVYANLIDGFMREGNADEAFKMIKEMVAAGVQPNKITYDNLVRGLCKMGQMDRASLLLKQMVRDSHRPDTITYNLIIEGHFRHHSKKDAFRLLSEMENAGISPNVYTYSIMIHGLCQSGEPEKASDLLEEMTTKGLKPNAFVYAPLISGYCREGNVSLACEVFDKMTKVNVLPDLYCYNSLIFGLSKVGRVEESTKYFAQMQERGLLPNEFTYSGLIHGYLKNGDLESAEQLVQRMLDTGLKPNDVIYIDLLESYFKSDDIEKVSSTFKSMLDQGVMLDNRIYGILIHNLSSSGNMEAAFRVLSEIEKNGSVPDVHVYSSLISGLRKTADREKAFGILDEMSKKGVDPNIVCYNALIDGLCKSGDISYARNVFNSILAKGLVPNCVTYTSLIDGSCKVGDISNAFYLYNEMLATGITPDAFVYSVLTTGCSSAGDLEQAMFLIEEMFLRGHASISSFNNLVDGFCKRGKMQETLKLLHVIMGRGLVPNALTIENIISGLSEAGKLSEVHTIFVELQQKTSESAARHFSSLFMDMINQGKIPLDVVDDMIRDHCKEGNLDKALMLRDVIVAKSAPMGCSSYLAIVDNLCRKGKLSEALNLLKEMAKRGNLQPTLVALLGIFWFRRHHHILNKLGGLYIWTHTYSLMKKKRGQMYHQPNSIQGLDDSNEEHHAKKFKANGEAACADEEATLPVSAKLAEHNEENQMILGFVFGLVPWLKSLVIGDGAPLRVIQDSIQLMGAKEVGVQAYGDHRDRLHPLHDPSSSGDCSSSWCILGRILATRPLYRYVLMMQFALPPAMTIGTMAQLFDVAQEECSVIFLWTYLVSSISLTTWSMIFMSIPSLGQSAMISAFQLTQREEVEDNNTPLEKSRSN >ORGLA07G0093300.1 pep chromosome:AGI1.1:7:9883534:9886730:-1 gene:ORGLA07G0093300 transcript:ORGLA07G0093300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26782) TAIR;Acc:AT3G26782] MAGASVMTSLPNPLPTPPAHPAPAFNPQRQRERSVPSPTTTASSLRALFLRAVDPSRPASWSAAVADLLSSGDAVAALATFAAAVRANPAALRPALPPALRAAAAARSLAAGRQLHLLALRSGLFPSDPYSASALLHMYHHCSRPMDARRAFDEIPDPNPVIVTAMASGYVRNNLVYHSLELFRAMIASDSASVVDEAAALVAFSASARVPDRGVTASLHALIAKIGFERNAGVVNTMLDSYAKGGSRDLEVARKVFDTMERDVVSWNSMIALYAQNGMSAEAIGLYSKMLNVGGGIKCNAVALSAVLLACAHAGAIQTGKRIHNQVVRMGLEENVYVGTSVVDMYSKCGRVEMASRAFRKIKEKNILSWSAMITGYGMHGRGQEALEIFTEMKRSGLRPNYITFISVLAACSHAGLLDEGRYWYNAMKQEFGIEAGVEHYGCMVDLLGRAGCLDEAYSLIKEMKVKPDAAIWGALLSACRIHKNVELAEMSVKRLFELDASNSGYYVLLSNIYAEAGMWKDVERIRLLVKTRRIEKPPGYSSFELKGKIYLFYVGDKSHPQHIEIYSYLEKLLERMQEAGYVPNTGSVLHDLDEEEKESALRIHSEKLAVAFALMNSVPRSVIHIIKNLRVCSDCHTAMKFITKITEREIIIRDLQRFHHFKDGLCSCRDYW >ORGLA07G0093200.1 pep chromosome:AGI1.1:7:9879963:9880578:1 gene:ORGLA07G0093200 transcript:ORGLA07G0093200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSSNEISSAEAVLVGALSSGVNAPTWFVLKITFLLLAFCFTAMLSLAFFSSDFMIIAHVLLLVTIGTVLFVLLNRFLAETGLVPVEQQMKEMGIHKIEATEKDKGN >ORGLA07G0093100.1 pep chromosome:AGI1.1:7:9834402:9836566:-1 gene:ORGLA07G0093100 transcript:ORGLA07G0093100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSPAIYRCMQWRSWMPATRAGGSGGGANDGGGDGEDKKKSGEVAEAVISVPVHCDGCARKLRRSVQRLDGVEEVTVDCRTNTVIVRGPKAAVDPAGIVEVVDRRTGKKALLLSSLPSANLKPPLSPEKRSSETAKKDAAEQDMGKEMSEEDMEMVVVMRIDLHCEACCEEIKRRILKIKGVEEVTPHMKSSQVMVRGKVEPATLVGLIHKWTGRRAAIFRAEPQHPLPPPSESPPKVDDDNEPPKVAGSTEPAEEETKQGGDPSPSDDAQEKKEGEEADQMKDQKEEPEEKEKKEEPDEKNEGGEADDLKPLTEDDASYNGVAEESHSTKDHLFRVALPRSAVAVAPPESEKMAMNSLCYSYYYYPAYPYPCHQYYQYPQQNIYAAGNYPAMYAYYPHHVPEDFSDANPNVCTVM >ORGLA07G0093000.1 pep chromosome:AGI1.1:7:9827876:9828298:1 gene:ORGLA07G0093000 transcript:ORGLA07G0093000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACGGVFLLHRRSRCQLTPPSPLTAPPPSPPGSGAVDLPPTALPGLGRLDRAAAVPGQLEDGMRLHRRAQPLADSKPRRPRHPRWRRRRRRARPAPVLILFPHHCSTLSLSLSLQLHLLSLAQLNSLSLSLFLCSSTFS >ORGLA07G0092900.1 pep chromosome:AGI1.1:7:9811044:9811280:1 gene:ORGLA07G0092900 transcript:ORGLA07G0092900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTDWGPIIAAVVLFILLSPGFLFQLPARARVVELGNMGTSGLSILVHAILYFCILTIVVVAIGVHVYSTKPDPID >ORGLA07G0092800.1 pep chromosome:AGI1.1:7:9791272:9792586:-1 gene:ORGLA07G0092800 transcript:ORGLA07G0092800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKQEGNNSEQMSTTPAPPGETSRQIPSRDDAKHEKEAANSSSSSSSEEIDEDDFFQIEGPILSTQYSLSPPPAEGGNRDAKQSDEPHDPKRIPSAVFARSKSSTPTDWSITSNESLFSINVGNASFSKDHMFLYGKSGELGANDPLPPLPKQSPSSSPLKGEVATPEKPSTSKEKGDGRGLTDRNGDDNTDYTHSSSHRSDGSTTSFAFPILTGSAKTSASLKDSHPELARQSTAQLTHPSEMRDENENKETPFPAVVMEAPKVEATPAAAATAPAPPAPPATTKWFPCCSCCPFCC >ORGLA07G0092700.1 pep chromosome:AGI1.1:7:9747866:9751636:-1 gene:ORGLA07G0092700 transcript:ORGLA07G0092700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases;copper ion binding [Source:Projected from Arabidopsis thaliana (AT1G64600) TAIR;Acc:AT1G64600] MAAALLPETAPRLLTPETIRAAAKQSQGIQLVPLSLRRAIKRYLRDQDKAHMNRKVLQLSASFERAKGTGTELAAAAMRGAIIDDPRAPSGAEQRAARWKVRSAYGDIGLRYRKDETVAYVASRMPAIYAACHRVLREVRRRLPDFAPAKVLDFGAGPSSALWAMRAVWPKSIEKVNLVEPSKEMQRAGKNLLDNLKGLPLIHSYDSIQELNRNIEKHERRHDLVISSYALGEIPSLNDRITIVRQLWDLTGDVLVLLEPGTPQGAKIISQMRSYILWMEKRKCRKIEKSTHAAPSEMKSIICQEASLKNGAFVVAPCPHDGRCPLENTDKYCHFVQRLERTSSQRAYKRSNGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGMKFETLKERHAKRNPEDLIIDYDEQFPSEEDEEAPVNAEDSLVPYDSDAQELGLFHETEEEFKEQSVRADLGGGWGRIIYSPIRRGSNTYL >ORGLA07G0092600.1 pep chromosome:AGI1.1:7:9731285:9731953:1 gene:ORGLA07G0092600 transcript:ORGLA07G0092600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEAGVTATAPTVSAIVLTATVGCHLLKISGYSQTRLVDNGERVESAKFKAAGHTWRIVFYPNGKYSMDHGAFSFYLKLIDRSKGVDAEIQFSLLPRHGADSGTLPYSKPEIMHTFGSARRNSKCGFNWFISRDEMETLQNKYVGEDDDSIILRCDIKVVNKPAIHRIGLNDLGVFCPCDDDTCKRLHKRSLQAPSGIAMESQPCLGIKGGFKRLFSYFLA >ORGLA07G0092500.1 pep chromosome:AGI1.1:7:9713823:9719219:1 gene:ORGLA07G0092500 transcript:ORGLA07G0092500.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLGPPPPPRHSGAPSSTPAFGTPSTTPAFGTPSSTPAFGAPSSTPSFGTPSTAPAFGTPSSTPAFGAPSSTPAFGAPSSTPAFGTPSSTPAFGVAPSPSPSPFGFQQQMTPSPSPFGFAGGGGGQITTQMAPVAPLPLSPSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTEPSQRVKPVAASDIMWAEAMGKLEGMDSSDRERLWPQLVQGFKDLSYRLKLQDGVLVSDSDRLSMTRDNVKKLQRHFQADTYPWIQRLKQQELVIERRLLRIMRIVEALENRGYRIPLTKEEADLYERLAVIAKQLKGPTGDLHKRVYNLLSTSRLLASAGGTAGPIYIPSSTKVDEQSVAELLEALQQQTEAVAKLGNVMKRDTRDLEIILSEDTDMAEDSVGRRALKMXN >ORGLA07G0092400.1 pep chromosome:AGI1.1:7:9711072:9713208:-1 gene:ORGLA07G0092400 transcript:ORGLA07G0092400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRLRRFRPAAAAFQAALEKLEAVAAASPIVAFPFTALPPPHSHPPAACCCGSDQRRRWLSLSSAASTCCIFVSDFCVLCVLFQGCLIGVVVPTSSIDGAVXGLSALCLSSNPIKSNSSXIMALSLFCHXAISRSIVNLQNGLCKRXTQRFVSLGSVARLSCLISCXYRRLLGXMMAIYLLSLVMPTVKLXKRSGPCTIHILSAIDLVTGMCEKLLLSLHDEEKFLRTFILYLLATILCPATGNYVNLDNLHSLVDVKMWSQYDWCTHVASCLMREIRKYQGFSTEQRDSIFQIGECLPLLVIAYMDHLQMPTTGLHLRIIDYSTPRFCHVTNEDFEYVAVVDRCRMNLGYVTYGSRPFRPRNEIPYLAQVHAVVGGSEAENAGAV >ORGLA07G0092300.1 pep chromosome:AGI1.1:7:9705349:9705588:1 gene:ORGLA07G0092300 transcript:ORGLA07G0092300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDRGRDNRARRLSRRVSFLETTMLHVFDYNDDMAIPKKEHVASSPSQGKPAEEEEEEFVNVDVDSSYPVSAIRSVVS >ORGLA07G0092200.1 pep chromosome:AGI1.1:7:9702600:9702860:1 gene:ORGLA07G0092200 transcript:ORGLA07G0092200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRSHGTFLLFGLQSSHLNICYYHQDLHQRPLRPGSRPGFCGGRRALLLIGAWRSPRWPGIGRALQRHPFSGLVDSAGELLHTT >ORGLA07G0092100.1 pep chromosome:AGI1.1:7:9700428:9700714:1 gene:ORGLA07G0092100 transcript:ORGLA07G0092100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVWGGSVVTIGHKVSPEHVSAADGMDGDEVGQDVDILYRRRLASFHGEGSGGLGEWCRRETSDLAAQ >ORGLA07G0092000.1 pep chromosome:AGI1.1:7:9681015:9681951:-1 gene:ORGLA07G0092000 transcript:ORGLA07G0092000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFMSRGPPNGSVYVCNLPPGTDETMLAEYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSTIQVHIAESKNKDMYDSSATASLNNSAELGGQDELDNGEGRGRGRGDGPGKAWQQDGDWLCPNTSCGNVNFAFRGVCNLCGAARPAGVSGSSAGGGGRGRGRGSDDARGGSRAAAAAAVGGPPGLFGPNDWPCPMYEHPQKLAVS >ORGLA07G0091900.1 pep chromosome:AGI1.1:7:9679506:9680377:-1 gene:ORGLA07G0091900 transcript:ORGLA07G0091900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITSLCRGGRGGGYKELDEEELEEVKRRRKEAEEDDGEMYDEFGNLKKKFRAKTQQTENAPTLPGSGRAGWEVEQRGSTGREGKERSRDQGRDHDYDERDSRNRDRGSHGRERRRSRDREKERGRDTGRDHSYERSWERGAERDHDRYR >ORGLA07G0091800.1 pep chromosome:AGI1.1:7:9665250:9666734:1 gene:ORGLA07G0091800 transcript:ORGLA07G0091800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAAVEQMVLRDIRDLLHSMGKDITEYGLPEVIDIGECCNDVMTDIIEELNVPVDQDHLDIYISLNDEQRAGFDEIIDHVTNKKSHVFFIDGPGGTGKTFLYKALLARVWSEGLIAIATTTSSIAASILPGGRTMHSRIKIPIKIAHNSMCNFTKQSGTTELLRMASLIIWDEAAMTKRQAVETLDRSLQDIMGCSLPFGGKIIVFGGDFRQVLPVVTRGIRAQITDATLQRSYLWENIRKIRLSHNMRAQFDPWFSEYLLRIGNGTENTIRDDYIRLPDEIVIACGDSEDSVHELINHVFPSLDDEKNASSASYMSTRAILSTKNDYVDKLNANMIDGFPGQAKVYHSFDSVDDNPHNSYPLDYLNSITPNGLPPHELIVKINCPMILLRNLDPNNGLCNGTRLMVRAFQDNAIDAEIIGGQHASKRVFIPRIPFSLRRYISTFQIQEEAIPNTSQFRNDH >ORGLA07G0091700.1 pep chromosome:AGI1.1:7:9662407:9662877:1 gene:ORGLA07G0091700 transcript:ORGLA07G0091700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATKKHHVLKKVPDCKNCQAIRFQFESLGFCCREGKINVKIPTVPDELIRLFTSQVHNDTKYFRKHIRYFNSHLSFTSLGVTLDQRVSIAAGTGVYTFRVHGALYHRLDNLVPGSQGPRHMQLYFYDTEDANALAHRVRRSPDLDINLVRVILRILA >ORGLA07G0091600.1 pep chromosome:AGI1.1:7:9640126:9655567:-1 gene:ORGLA07G0091600 transcript:ORGLA07G0091600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G67420) TAIR;Acc:AT1G67420] MVDPAPRRRRPAAGGGGGGAVEAALVLLALAALYGAMSLVAYRVIHMHHVAPLGADAPLGDFSEGRVLHHLRRLSVDIPGRQEGSPGLEAAARYIKGQLEELAARAGPEYRIEVEESLVSGSFSMRFLRHRVTLTYRNHKNIVMRISSNVSEDQDLAFLVNGHFDSPLGSPGAADCGSCVASMLELSRLIIDSGWVPSQPVIFLFNGAEELFLLGSHGFIKTHKWNNTIGAFINIEASGSGGADLVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDITNIPGLDIIFVLGGYFYHTSYDTVENLLPGSIQARGENLFNLVKAFTNSPMLLKENKLSNEAAMPIKDDLRAIFFDYLTWFMVIYPRGVSLVLHSLPVAIFLLAPLFLTSPNITLMSWSLTVLDLMRGMLLHAFGAILAIVIPAVAAAVRLLFMKNAMNWFAHPYLAFLMFVPTSLAGLFLPRIVWGLSEQAHFWGAFGLYSLITLVYMLAGLSGGFLTFFISMSMLLGRFICSISRKHWNKQSPKLLVGYVVPMIPCLLYCLYYGGFLIQFLIEKMGMMGSLPKPYGYFVADVIVGSVVGLVVGWCFGPVTPIASRWLAKTSILHGLLQVTVVGLAISSQLFPYSTGAPKRVVLQHTFVTDANSIVESHYGFSVVDANSLEFLFNNAPEAAKWLKDNSLLSFEEKYHSDRSSWLVHIVPVIFLFWAQLSSQTLKQAHTCDDIF >ORGLA07G0091500.1 pep chromosome:AGI1.1:7:9638916:9639704:1 gene:ORGLA07G0091500 transcript:ORGLA07G0091500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLIHQVERDFPPSSSSANGGTTPMHNATNLIDVQAPSSSGGFLDVLLSKSSRHETDLVVDVESVDNTDVAVVTVEIDEQLDEDASENDEEEKDVVCSPPIVPYIGMEFDTVEEARNVYNAYAYKLGFGTRIASSRNSQASSGGKSIKEM >ORGLA07G0091400.1 pep chromosome:AGI1.1:7:9617475:9621155:-1 gene:ORGLA07G0091400 transcript:ORGLA07G0091400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF167) [Source:Projected from Arabidopsis thaliana (AT5G63440) TAIR;Acc:AT5G63440] MPKRTTHTYSSEDALPEGPESDLFVYYCKHCASHVLITDTQLQKMPKRKTDRAHVLDKKKHLSRLNVKEAGKVLLKRGEGKLEKQFRMSCLGCGLFVCYRSEEELELAPFIYVVDGALSSVAAETNPHDAPVPPCITQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLIVEDLSARQVYEKLLEAVQP >ORGLA07G0091300.1 pep chromosome:AGI1.1:7:9610125:9613417:-1 gene:ORGLA07G0091300 transcript:ORGLA07G0091300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGDGRSFNFLQVLFEGVIAGGAAGVVVETALYPIDTIKTRLQAAKGGSKIQWKGLYAGLGGNIAGVLPASAIFIGVYEPTKRKLLEMFPENLSAVAHLTAGAIGGAASSLIRVPTEVVKQRMQMSQFKTAPDAVRLIIRKEGIKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAAKRDLKDRENALIGAFAGAITGAITTPLDVLKTRLMVQGQAKQYRGIISCAQTILREEGAGAFLKGIEPRILWIGIGGSIFFGVLEKTKSILAERNSRKVRKL >ORGLA07G0091200.1 pep chromosome:AGI1.1:7:9604096:9608695:1 gene:ORGLA07G0091200 transcript:ORGLA07G0091200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSATSLLLGAALATVFFLLYTSVCRDLGDGPPKSSPPRWAQEQGTAAVTPATRVAAAEQGTVRPARQEEEVVAPREEKQTKDEAASRSGHGGGAVEQQQNQQRIVMPTSQQKETPSSPPQRQQQDLGELLRRAATPDKTVLMTAINEAWAAPGSFLDLFLESFRHGEGTEHLVRHLLVVAMDGRAFERCNAVHQFCYWFRVDGMDFAAEQSYMKGDYLEMMWRRNRFQQTILELGFSFLFTDVDILWFRSPFPHLSPDAQVVMSSDFFVGDPTSPGNYPNGGLLYVRSSASTVRFYEHWQSSRARFPGKHEQFVFDRIVKEGVPPHVGATVRFLDTGHFGGFCQHGKDLGRVVTMHANCCVGLQNKLFDLRNVLEDWKTYKERVAAGNMDYFSWRVPGRCIH >ORGLA07G0091100.1 pep chromosome:AGI1.1:7:9592184:9594289:1 gene:ORGLA07G0091100 transcript:ORGLA07G0091100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSAAAVLVPRPPPPPPAEAPAEPNDDDGSLHSLLSSLSSFSALRLLPFPLLAFSRLRRHLPPAAGTSHLLLRPVAALLHHHRSHLRLGVQLHALSLSLGLSRHPILLPRLLSVYTSHPSLLPSAASVAADSTLPLPYNVLISSCLCHGLPLQALAAYQEMGKNGVLPDVFTYPSVLRACAEARDLVLGRAVHMHAAGAGMDGNLFFQNALMSMYAKCGYLASARKVFDGMVQRDVVSWNSMISSYAAVGQWAEAMELFRRMRDEGTEVNSVTWNTIAGGYIQMRDHRAAVGLIREMVRGGAEVDYVTLVIGLNACSRVGWLRLGKEIHGLAVRMCCDQVESVSNALITMYARCKDMECARMLFRMLECPGVVTWNTMLSSFALSDCAEEASSIFREMICRGVKPNYVTVVTYLALCARVANLQHGQELHGHIVKHGFKGYRLLWNSLIDMYSKSGRLSVAQNVFDTMDDRDMISYTSMIAGYGMQGKGTVALRLFEQMIDSGIKPDHIIMVTVLSACSHSGLVLEGEELFDKMVISYGIKPQMEHYSCMIDLYARAGLLEKAEEMLDHTPFPPTSTMWAALVGACHDRGNIEIGERAARKLLEMRTENAGHYVLIANMYAAAGCWDELATVRKLMRDLGVTKAPGLAWADLGNGFTPFLVGDRSNPLAPEIYVVLDELSEQMRNINNCSDLDILAENIE >ORGLA07G0091000.1 pep chromosome:AGI1.1:7:9582976:9583917:-1 gene:ORGLA07G0091000 transcript:ORGLA07G0091000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRRAPPRVYGGDVDVTPAAQQLASCGWHLDRAVDLFYSSIESGGRPSSSSARHDGEASTSASTSVRAPISARSDTLYGVPYPSAGGATRRRRPTRWESQEDAALRRQREGEASTSTSGYGGGRDDSDDERPPLASKKMKPSTLAELYRAPRELTYRGGFHSAKVHAARLSRWLLVNVQAEYGGREFASHLLNRDVWADETVAMYVRDNFVFWQADEGDSGGEGSKVCCYHKLDRAKLPAVLFVDPVTGQLMEKLHHITDPTDFLMAAEKFIDSKRPAIPTTSRANRITAPLSPPYRNHQKTPAATAAAKVCKL >ORGLA07G0090900.1 pep chromosome:AGI1.1:7:9549763:9550228:-1 gene:ORGLA07G0090900 transcript:ORGLA07G0090900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIFNQYGMQLAKCMEVIDNLAARFEARKTQPGTDGMVKRSSYTCEIIRIVLWSALNYCEPNSKVLDLGIGIFKTDSVPYILCADNIIPTPSVLLLSRRWNSN >ORGLA07G0090800.1 pep chromosome:AGI1.1:7:9533135:9537032:-1 gene:ORGLA07G0090800 transcript:ORGLA07G0090800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIPKLSKLLMEEYGLQNSVKEGITFINSELESMQAEVDKISKVPLDQLGSQIKIWARDVRELSYDIEDNVDTFMLCVDDLEARKKHDFTWLIDKYCKSLSELKIRHKIANDIKHDMIPVKVVVERHDRYNADDVDSKLPSIIDPRILKLYDNVTKPVGVDKAIGDLIKKLSMETDESSQKLKMISVVGFGGLGKTTLAKEVFSMLRVQFSYACFVSVGRKPDIKKVLKRILIEVNKQKHMSNLAKISERHLIDEIREYLENRRYLVVMDDIWEISTWDIIKCAIVDSNCGSRVITTTRISQVAEEVGDIYNMEPLSDDNSKRLFNRRIFGADCIGTTNNQSIDAMEKVLKKCGGVPLSIITIASLLVDKPLEDWSNVYDSIGFWLEDNEAVQNTRKILSFSYNDMPSYLKNCLLHLRIFPEDCWIEKESLIWKWIAEGFVHVEQGKGLFEVGERYFTELINKSMIQPMDLINYEGTLDGCRIHDMVLDLIRIISTEENSTLVFDKMHEEHNTSLLSRNVRRLALHISWNQDIDNNLPVDMARLRSFNAFECPTSMMPPLLDFHALRVLALEDCDITGGFFLKHLGNLQQLRYLGMRNTGKVELPQEIGNLRHLQTLDVRDSFLDALPVTVYELSKLLCLCMHSFTEVPAGLGNLKSLQELWVYVSDDSCPNFAAELRKLTDLKILHINWYWEVDDVSLKDLVESLRSLRGIEDLDFFSCSDAEMSGWEGWEPPRQMRKFSIDNVRVTLPRLPSWVNSTCVPHLSHLDLRVKAMEMQDLEALARISDLRFLSVNVEAGFSWTVPGGSGLFPNLRRCRTDIALTFLHGAMPMLMEIELCVVASGGSATSYDVGLGNLLLLKTVEVWIACEGATSSQWLIKEDKEDGDDEDISATDQELCDEGGKEDTAFRLIGENKHTLAPARGGAPFSGEPFSGPASRMGAPSRPAR >ORGLA07G0090700.1 pep chromosome:AGI1.1:7:9457680:9460473:-1 gene:ORGLA07G0090700 transcript:ORGLA07G0090700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYSYLDVAFAPYSDYWREMRKLFVVELTSVSRVHSFAYARAAEVARLVDTLAASPPGVPVDLSCALYQLLDGIIGTVAFGKVYGTAQWSPERAVFQDVLSELLLVLGSFSFEDFFPSSALARWADALAGVERRRRRIFRQIDGFLDSVIDKHLEPERLSTGVQEDMVDALVKMWREQQDRPSGVLTREHIKAILMNTFAGGIDTTAITAIWIMSELMRNPRVMQKAQAEVRNTVKNKPLVDEEDIQNLKXLEMIIKENFRLHPPGTLLVPRQTMQPCLIGGYNVPSGTGVFINIWAMGRDPMIWDNPEKFYPERFEDRNINFRGSNFELVPFGSGRRICPDVAMAVASLELVVANLLYCFDWKLPKGMKEEDIDMEEIGQLSFRRKVELFIVPVKHEQYQLMGPIN >ORGLA07G0090600.1 pep chromosome:AGI1.1:7:9435957:9438463:1 gene:ORGLA07G0090600 transcript:ORGLA07G0090600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTEQTLKAIPLITKIRHERQKKHITERQKQGKTKQRERDAKELEQDIQMLPKKVTLSTQKTKVVVKVSQQQTEENLMEE >ORGLA07G0090500.1 pep chromosome:AGI1.1:7:9419037:9420924:1 gene:ORGLA07G0090500 transcript:ORGLA07G0090500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHRLVAWCHHPQSLLCRQRGSPVTSVPLSSPVSTHWERRWERKPKKQWVRRLAVRKVGKGDRVQFWDDVWCGEVPLSVIFPKLHNISRNQGVSVVYRTISLMQRWRIMLKGDMLVMANKWMEEVMIKLLQLKLINLPEAF >ORGLA07G0090400.1 pep chromosome:AGI1.1:7:9413733:9415586:-1 gene:ORGLA07G0090400 transcript:ORGLA07G0090400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELLASQLLPWQPLVQLLAAVLFLLPLVYLLFFKGDGNGGVMDSASAPSPPGPPRQLPVLGNLLQIGSRPHRYFQAVARRRSKPIFAHGWAIANNQSPHLHARCQAIHRRGLPLLLSSRGYLAIIIPLLAQGVAGGRTSEDWTPFCRPAAIPPSQLLGESGEERVREMDENR >ORGLA07G0090300.1 pep chromosome:AGI1.1:7:9385057:9386419:-1 gene:ORGLA07G0090300 transcript:ORGLA07G0090300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDLNAEKQCRVASSNWPTMAVLLVGDAWRRRIGAGEHLDNAGDEALVCRQEWYRVASKVEVTSKCVVHGSGAMATGSACRSEVELVIDARTVGTPGGRRSSRGGSHQARRRVPVTEPPEEGADKDDEGKAKDVRELERMHVNVERENEAKRDPVFGVALRGSLWATTIRRGALLQLRQRDGEEER >ORGLA07G0090200.1 pep chromosome:AGI1.1:7:9379497:9382871:-1 gene:ORGLA07G0090200 transcript:ORGLA07G0090200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGAAALLLMVKIGPLRELAMRGVEQAKDGKGPAAVKTLACTLLVIFMSSVASILRIQNRGIKLGTVSPMDQVLWRTHLLEASLIGYILFLAFVIDRLHHYHRKLTILKKTANTSREEVEKLQIELQGKEDKSKEVKKLRAELASLSEKMKKLKSESEEHERQRLEAEAHVNALQKQSEELLLEYDRLLEDNQILQTQVLSLRS >ORGLA07G0090100.1 pep chromosome:AGI1.1:7:9372075:9376628:-1 gene:ORGLA07G0090100 transcript:ORGLA07G0090100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVAESCVDGVVMQMVAAYCGGFYAAKPELAARRIEAIGFQVGHQLTERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNHFRWLTHVSVDPSVESMDATENDSTTLGDSAAQTTSMLLYFPCGIIRGALTNLGISCSVTADMSNLPACSFVVRIKT >ORGLA07G0090000.1 pep chromosome:AGI1.1:7:9368050:9369533:1 gene:ORGLA07G0090000 transcript:ORGLA07G0090000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTNKTNNPLITDKIYIDLNVFGRVRVRYYIALATRAPSVKDNLEFVSTREYVLTKKKARGVPTHTNPKKVMQTTEIIHGKLQLKGGNGLAQKGN >ORGLA07G0089900.1 pep chromosome:AGI1.1:7:9365427:9366320:-1 gene:ORGLA07G0089900 transcript:ORGLA07G0089900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSSLLQLLLIAAVASQFVSSQAGSIAIYWGQNNGEGTLADTCATGNYKFVNIAFLAAFGNGQPPVFNLAGHCDPTNGGCASQSSDIKSCQSRGVKIMLSIGGGAGSYYLSSSEDAKNVATYLWNNFLGGQSSSRPLGDAVLDGIDFDIEGGTNQHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWIGDALNTGLFDYVWVQFYNNPPCQYSSGSTSNLADAWKQWLSVPAKQIFLGLPASPQAAGSGFIPADDLKSQVLPVIKSSGKYGGIMLWSKYYDDQDDYSSSVKSDV >ORGLA07G0089800.1 pep chromosome:AGI1.1:7:9360816:9364786:1 gene:ORGLA07G0089800 transcript:ORGLA07G0089800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like family protein [Source:Projected from Arabidopsis thaliana (AT3G23710) TAIR;Acc:AT3G23710] MPFHFQFPWLPNNSTSSSSSPTKPPSPAIPNPFLPIQAGLASFLSSFPLPRAAFPPPPWARISSASASAASASALPVAEIEERLAGVPVYALANSSQEFVLVSSARGGGGGGGGARAAVPPPALGLLCFRREDADALLAQMDGDMAAGSTVVPVALNKVIQLKSDGVAFRFVPDSSQVANAMKLMENEGQYVNDGFPGVPVFQSRSLVLMSDNKRYRPVFFRKEDLDNSLHRASRDQQKPNPAVKMGDIQVSSLENIIKSMKDSSSSKWDDAVFIPPGFDLATSSKQSNHDN >ORGLA07G0089700.1 pep chromosome:AGI1.1:7:9341018:9341329:1 gene:ORGLA07G0089700 transcript:ORGLA07G0089700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAQAVLLLSLVASLAAARGAQGICNMSNGDFKLCQPAAAVSDPTDGPSAECCAALGEADLACICRYKGVAGFWMRIYHIDAARAMALPGKCGLTMPTNCS >ORGLA07G0089600.1 pep chromosome:AGI1.1:7:9330630:9330944:1 gene:ORGLA07G0089600 transcript:ORGLA07G0089600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQATAAVLAVALVLAASAGLAHGICNLSDAGLQACKPAAAVRNPADTPSSECCDALAAADLPCLCRYKGSAGARVWVRFYGIDLNRAMTLPGKCGLTLPAHC >ORGLA07G0089500.1 pep chromosome:AGI1.1:7:9323208:9323654:1 gene:ORGLA07G0089500 transcript:ORGLA07G0089500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGRAPVGVGLLKVGRSSRQGVGAGLGRAARCAAAQRRGRAVRRRGAEARRGGGQPGRGRQAQAQCRAQADGSERLRARHRQGGEQSKKMDRKRKGKALASQKRFEEFLEPGLCKRNYS >ORGLA07G0089400.1 pep chromosome:AGI1.1:7:9321708:9322118:1 gene:ORGLA07G0089400 transcript:ORGLA07G0089400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPWSSSPRRCNTSKSPRAAAAAVKREADITDGGGAIVKAEAVHDGVDVAEDQVRLSVSARHGPPQNASAAVDGTRSAPSGPSLYCPWNPLYIFTQPPMQEHTHPGLQNFRKRFLLELFFSFSFLAEAVSSIAQV >ORGLA07G0089300.1 pep chromosome:AGI1.1:7:9318436:9318780:-1 gene:ORGLA07G0089300 transcript:ORGLA07G0089300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGVGSVDDTPVELPKKLYIGPPSAKTIQLSDGRHLAYKEQXVTADRARFSLTAPHSFLSSRLPRIPGIKPSIPPGGIWGTTYDH >ORGLA07G0089200.1 pep chromosome:AGI1.1:7:9317587:9317829:-1 gene:ORGLA07G0089200 transcript:ORGLA07G0089200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYIILCSYEICIYGMWDTILFVGYRIVYLRNGSQLCSNLKFTVNFILYEYYVVLRICIGSQCVIIILAKGDICRYTKS >ORGLA07G0089100.1 pep chromosome:AGI1.1:7:9313217:9315771:1 gene:ORGLA07G0089100 transcript:ORGLA07G0089100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDPHRAFRIQCSAVRRARAAVQIRSGPPMVTACVSCVNDGKLSPSSRQIGCRRRLASRQVIASIDRVLGWQIVLILCLLDQLGCKVAVLDVVMFVDMDFQNKLIDSLSREPQGFGTAGAKYSLQVICSIINYTTE >ORGLA07G0089000.1 pep chromosome:AGI1.1:7:9246080:9257930:1 gene:ORGLA07G0089000 transcript:ORGLA07G0089000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLPRWAPTPSPTRPLRTPGTPSAGAGAASSSSSSSSSWRSCVPLLTTFSSVFGSSAARHGGGLSGGEPPPALPDAGRHDIVGGIAPLDGVEAAVERRRKDEMMMSATTACSRGVFLTWDDLSVTAPAAAAGCGGHGRRAVILDGLSGYARPGEVLALMGPSGCGKTTLLDALAGRLSPNMKMRGDILINGLREKLSFGTSAYVTQENVLMATLTVTEAVHYSAQLQLPDSMPAEDKRARADRAIRQMGLGAVAGVRIGGRVCKGISGGQRKRVSICVELLASPALLFLDEPTSGLDSAASYHVMSRIAGLARRDGMTVVAAVHQPSTEMFELFHGLCLLATGRTVYFGAASDAIEFFESNGFPCPLRRNPSDHFLRMINKDFEESEEGSTIISPRAAEVIQKLMGSFKSCGTLRTEKEACAMINQGASPIPQRQATFLTKTYVLTKRSIVNMHRDAGYYWLRFVIYIAICLSIGTIFFNVGSNFASIQARASMLMFTSTLLTMMAIGGFPSFVEDMKIFRKERMSGHYGATEFVISNTLSSIPYLGLISIIPGAIAYYLTGLQRGIDHFIYFAAVLWACTMLVEGLMMIVAAVVPDFLLGIITGSGVQGVLMLNAGFFRLPSDLPKPVWKYPTYFISYHKYATQGLYKNELLGLVFEDIGGGGLTISGEYILKNYLQVELSYSKWVDLAILVAMIIIYRLLFLVIIKISEIVKPRILHFLCASKV >ORGLA07G0088900.1 pep chromosome:AGI1.1:7:9237017:9241693:-1 gene:ORGLA07G0088900 transcript:ORGLA07G0088900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAKDSDEIFVDSTSSDSESSENDDVISDSEDSKTSCDEISKEELLRSLKLKLNKKKVSVDCNLKRKRSETAEVDFKNQLDSALLEIFRDNVLIGMKRKLHYHKSKKKSVMTDATEETKLTRFSVKYFSEVLEKLSKRHRDIISKSCFKTLLLFEKCSVPYRLALWIAQKVDVNSCDIIVRDKVIPLSKESVHIVLGLPVGGLPISSNSEIGKQKILDTFGLSSLPTIKFFGDKLIRNKSMSDDQVLISFMMVSLNCFLFPNSSLQPSTKYLSAFADLTSIDKLDWSNLVFEWLMKHLSKLEKSKSFGGCLYYLVVNYLDFLNFGMRKVLQDTPRIKVWKGTMIRKFSKFDKISKGVYGKRPIKDFSESCYKMIETGTAKATFLQRLDSAIGVDLPQEIKKDINELLLHHLGPDENCIDDRVKNLLIDIFVLLSNASKPSVPDNTEFNPSEDDKNKLNDGSIINEANICETPKIHSTCDDNAILNEQSPMLCKDTKTPERSCSKKDKNSDVDGIMRKLCKPGMISSPPKITKARFVGFNERKPIYFDHEKPQFQIWDSDDNLRSEVTPRHGLKSSKIVPDSYSPACPTELNKTKILKLYSNNFSFLLKFYFCFLTSQDQLIMVSLEDLETQSQHNEKENLPVQQQYTKSTENKKVCMSWLDSLEAVFLGERQSTENCLDITSKTNVLYNKINTFIVNPDKKLKMCTASPKRVLLCNVDRNVGHYSSSQKPQHDLRRILQPARYSTDPYSPERQSFCVTAYDRQVYNAVCKISKISFQDKVAVDIDGVHCKFFTFGDSFKPGGELSNFVTLVFCRYMFRLSHPSKSKKHYFFSSIGDDLLKERSTTNFSVVKKCFDGASLARPVHSCDLLFFPIVKNRHWSVFAIDLKAQRFVFLDSMYDEDSICHQQIRPKLV >ORGLA07G0088800.1 pep chromosome:AGI1.1:7:9228421:9230964:1 gene:ORGLA07G0088800 transcript:ORGLA07G0088800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINEKIDDREANLQYAQKGITSLSDLEKGKGEEQEKSTGTKEKKLKISNVLKMADEKQFEVGKTSNSGKKSQGLKIMKQLAGKIEAGTSTDSVNSKSGTEEKILKISNDLKKADEKQLQEVKTSRGFETDTDKKAQGFKTMKQLPGIFEDGTCTALVQSNSGLAFLEKNYNTTQSYKMQENRDNIKSGN >ORGLA07G0088700.1 pep chromosome:AGI1.1:7:9203210:9203653:1 gene:ORGLA07G0088700 transcript:ORGLA07G0088700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSGVGGAKCLGGARVDLVEKPQPLSTSVSITPSAYLAIVGAAPLPTSSPPTSPFPDCLPVRIPAATGVTAVGSGHHSCCDGLGAGSGERRTVSWEQRIERQAKHLTGFDICFLFSLLLFLFCVTDSSYINILCTAISSNIFLLL >ORGLA07G0088600.1 pep chromosome:AGI1.1:7:9194366:9194680:1 gene:ORGLA07G0088600 transcript:ORGLA07G0088600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVALAVLVVAVAAALLAVAPAPARAVCNMSNDEFMKCQPAAAATSNPTTNPSAGCCSALSHADLNCLCSYKNSPWLSIYNIDPNRAMQLPAKCGLTMPANC >ORGLA07G0088500.1 pep chromosome:AGI1.1:7:9178471:9183640:1 gene:ORGLA07G0088500 transcript:ORGLA07G0088500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVDVILSCRQKLNEKSQTQVANLAAANDDGAPKSQDIDLIQVDLLLGKAYSDWGHISDAVAVYENLITEHPEDFRGYLAKGIILKENGKSGEAERMFIQAKFFAPDAAKALVDRYAQR >ORGLA07G0088400.1 pep chromosome:AGI1.1:7:9167204:9168636:1 gene:ORGLA07G0088400 transcript:ORGLA07G0088400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLPYAAAATAATGEDPDQIGRLPDCLLTTILSLLPLDAAARTTALSRRWRSLWPSAPLRLHDSDLPSRSQYLSAAISGILASHRGDAVSFRLSSGRPSSADLDTWLRILAGKRLQELLLQPPSEPLPLPPSLLACHSLRSADLTNCRLPAAAAATASFPHLHELTLRYCFASSPALHGLLAGCPALAALSLDRVFGCRSLRVRSRTLRSLTVSVSLRRRDEVGDELQDLAVEDGPLLERLLGHDVNWGPSIHVLHAPRLEMLGYLGVGIPSLQIGAALFHSMRAVRLAAEFRCLKTLALEMVDPQVKPVVDFLRCFPCLEALYITSHMFEPRSMETLKCDNMDYPIECLNRHLKKVVLAGYEGRRRELQLARFLVSNARVLQVMKFLCANDCKPTWLASQKRQLCWESRLSLGPQVIFEVYRKSHTRFRKHASNITLVDPFDVKT >ORGLA07G0088300.1 pep chromosome:AGI1.1:7:9146799:9147546:1 gene:ORGLA07G0088300 transcript:ORGLA07G0088300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESSQPAKKRRTYRCRRCGFPKKGHVCVAAAAAGGVLPLLPLPEEEEKIDGISALPDDVLHTIISLLPTIGGAKTQLAEGLFVPDIHNGLVDDAAAIECLDLHLKEIVRAQGDDISSRCEIEQKVAVESAQATSLEGKASPNARFEFSRDDYFMDYYYNHSQRSHQLSVGDPIDD >ORGLA07G0088200.1 pep chromosome:AGI1.1:7:9143936:9145610:1 gene:ORGLA07G0088200 transcript:ORGLA07G0088200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESSQPAKKRRTYRCRCCGFPKKGHVCAAAAAAPGDLPLLPSPEEEEKVDGISALPDDVLHTIISLLPTMGGAKTQVLSSRWLPLWRSAPLNLDDAEIPDLWEDFLLNVITEIITDHRGPTWRLSITKLARVNEFRGDLVATLDDLLRSGTLDGLEELRFHYRPNMTAPDPLPPAATRFSCLRVASFGFCSFPGAGVLGGVAFPNLQELTLLAITNSEDTLHAMISACPVLRSLLLRDNDAFRRVRISSPTLVSLGLCSRTSDMEELIIDNTPSLERLLMFRSSDKLPRVVSVFSAPKLEVLGCLSDGISDEHYGVVVWPQQLRVNSMAMLRTVKILAFRIEENSLDATVHILRCFPCVQKLHITLAEGLFVPDIHNGLVDDAAAIECLDLHLKEIVVRNYRGQKSHAAFAKFFVLNASVLKVMTFRACVRLSKKWLSNQRRLLRLREKASPNARFEFSCDGYFMDYYYNHSQRSHQLSVGDPFDD >ORGLA07G0088100.1 pep chromosome:AGI1.1:7:9135208:9136363:-1 gene:ORGLA07G0088100 transcript:ORGLA07G0088100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTAPVAKKRRHDEPDCQERSEGGDADAGGIDLISLLPDEILGSIISLLPTKDAARTTVLSPRWRHLWRSAPLNLDADGGLSGQERKRISIVSRILEAHRGPARRLSLRSVRLRGIYARFDRWFCSAALNNLEHLDFGYARDGRYYGIGVDPDPRPPRPLPPSALRFAPTLRTAYIGGCDFPAVAPAAAPCFPRLTRLTLYGVAISEDALHRVLAGCAVLETLGLEASSGFGAVRINSPTLRSVGFAVSAETELVIEDAPCLERLMLLDPHSGPKNVRVVRAPQLKVLGYLSDKITKLDLGTVIIQETMVVSSTASLRTVKVLVLESAGPNLDTIIGFL >ORGLA07G0088000.1 pep chromosome:AGI1.1:7:9130640:9131260:-1 gene:ORGLA07G0088000 transcript:ORGLA07G0088000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLINSVTLPEPSGAAGDDHCHGAVVNMVVPPREPRASPVHHRAALEGVDGGAEEGDIGDKEAVEAQRALPGVPRGCQRRALAAMPPLLHRRRYAGQCCGVAAQPLEAPRQADDHRGGWRRRPRRQRDQHQYQGRGASQRSRRRSRRWSSSSGTARTAAGRMPWSACTGYSRARPTRARPSPQAPCLPSPPCSPPTAMTSPVTALS >ORGLA07G0087900.1 pep chromosome:AGI1.1:7:9125940:9127307:-1 gene:ORGLA07G0087900 transcript:ORGLA07G0087900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVASALVQETVSGVFSYLSSNRTEKASKRHNMERLEMAHSELDLALERSSKLPITDASLLRQRKIYKRAYDECGNVLHRCKLQILEGEDSHMVKGGFTKQIFRAVKSSISSLIGMDKDEASYSDDAVRRFEWFADKAGKFVRDVETGCSLAHYRFFSPLIKHLLEGKRLCYELVQRSQTLRLEIDPVRSEERGVEAEIRLCNDNVTMLTRSFNLRLILRLSESTDIVGIIISCLQSFGPHFKSLVENAKNTVAELPTQDVLNSSARIFFALPSDVLYEGSATTYRPDPLCCRTHGHGVGSLELSYRFPEQVSNFHFNGYVVASDCNYRSANSTNEVIDRNIMRDWPPLQLTIAFAPHQPHHEDVQGSYEIIGGNNERIDTSMHQMEEMVVSKAIGCFNSQPEVATYSIFSWSVHGCAYFAVQKSIVPVALPLSPGPTNSTPRPEEFSREEPY >ORGLA07G0087800.1 pep chromosome:AGI1.1:7:9121026:9122658:-1 gene:ORGLA07G0087800 transcript:ORGLA07G0087800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAKKRRFGRSTGKGSLGSDGLDHISCLPEAILGEIISLLPTKDAARTQAVSRRWRPLWRSTPLNLDVDSLSTQERKRTMFVSRILASHPGPARRLSLPFFRLRDRYAKLDGWLRSPALADLQELDFSYDIEDEEALYPLPPSALRFAPTLRVVELRTCHFPNGMAPALHFPRLARLTLYRVTISEDTLHGLLSRCSALENLLLVGNFGIRRLRINSPFLRSLGFSASSWEGYRDANFQEVVIEDAPCLERLMPLYPNHGPATIRVIAAPKLEVLGVLSDGISQLHLGTTFFQKMIAVNLTTSIRTVKVLVLDSNGPNLDVVVDFLKCFPCLERLYVVSRPHKVIKNIRSYDPLHPIECMELHLRKVVIRYYEGKRPDVDFAKFFVLNAKVLREMDFCSPSNRNLKWQDNQHRRLSLENKASQVAQFTFKTTSRTRNELTRNRHTHELSMSDPFDFSSCLCSSCRFFFQL >ORGLA07G0087700.1 pep chromosome:AGI1.1:7:9113971:9114782:-1 gene:ORGLA07G0087700 transcript:ORGLA07G0087700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGWLRSGALTALDGVAQPHPLPLPALRFAPTLRVVKLGWCDFPSGMAPRPHFPRLKQITLSDVSISEDAIHGALSCCPALESLLLEGKSFGVRRLRIASQTLRSLGLCYSWNARDDGRLQEVVIVDAPCLQRLLTPYLNNGPATIRVIAAPKMEALGWISDGISELHLGTTYFPKTTAVNMPSSMPTVKVLALVSDGPNLDAVVDFLKCVPCLETLYITVSISLFVSLTRCRIE >ORGLA07G0087600.1 pep chromosome:AGI1.1:7:9113298:9113519:1 gene:ORGLA07G0087600 transcript:ORGLA07G0087600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVNGDSIWRTMELLCAYGMRSRIWKKSKFGTIGYVKFLSCTRGFPKVFRTTSMSLVRGFRLPTSGINRGGA >ORGLA07G0087500.1 pep chromosome:AGI1.1:7:9100948:9102689:-1 gene:ORGLA07G0087500 transcript:ORGLA07G0087500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFTVPAAEGLAYKPTPETRVHGAQLRADCAKVQVDSVKPEYELFPLKYPPNDEVLSLGNARGTFIQWPKDLIEIRGGKVQASLLAPRKLDLGKGQEETKGKEVKKKYVAPQEFQLGMPLVGDDVLAAMGTACKDLHLYYMEKSNARKPSKATDILGQHDGKPFLGPTNYIVVDFKDLFDLYRLRAVDTSLLKCYSFAHWILLVITPKWSTCHYLNSRIDKNAYDWTPIQLAIDEAWAQYVQRGGLRKTGHDTLIHKKDFPVKQQIGDQCGFHVCHNMRLLYREKVKTLAEFEGTITKFLTTSFEEAMMNTYYATVVM >ORGLA07G0087400.1 pep chromosome:AGI1.1:7:9096138:9097731:-1 gene:ORGLA07G0087400 transcript:ORGLA07G0087400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPTGKKRRFERSSSQEPPGSGGLDLISGLPDAILGEIISLLPTKYGARTQLVSRRWRPLWRSAPLNLDVYDLSGQERKRVALASKILAEHPGPARRFFLHCFRLRGRHAKLDGWLRSRALADLRELSFSYEVEREAQAQAYPLPPSALRFAPTLVVLYLSSCGFPDEMPPTLHFPRLKQLTLCSVAISEDAIHGVLSRCPALESLLLQGNFGVRRLRINSASLRSFGFYSKSWGFSSASWNGFAGAELQEVVIEDAPCLERLLPLCLNDGVAAIRVIAAPKLEIMGPLSDGISQLHLGTTIFQEMTAVSLTTSMRSVKVLVLDSDGPNLDAVVDFLSCFPCLERLYIASQPFKVIKNTRRYDPLNPIECIQFHLKKVVIRNYGGRRPDVDFAKFFVLNAKALREMELAGLNNCNQKWLANQHRRLQLEKKASQNAQFTFKTTHTSDFSMNKHTHDLSISDPFDRSL >ORGLA07G0087300.1 pep chromosome:AGI1.1:7:9076358:9076718:1 gene:ORGLA07G0087300 transcript:ORGLA07G0087300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLFELADTVHKYDDARSNGNGLQQRGRSSIEPLISNEEVDPNKVVTVSARPKWQVDK >ORGLA07G0087200.1 pep chromosome:AGI1.1:7:9066016:9066867:1 gene:ORGLA07G0087200 transcript:ORGLA07G0087200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISPYSPQFPQNNLERKIQIRRMLQVQGSLRVHTFSSAAIVHAVETSDEDSDPSHFCAVPQNGKKASRKEIKRRIKKLLSSLGQKHHISKVFFRSRSEAANSNAVIDNRGGGQSDMETFVSAKSSELCSFHTDDDDSESRSFRLSPLPIFPTGGIEFQPPASPVKIIKKLPFGYIIGRQLDGAPAAAAPSTKLSLSFKKLMHRLVDIQLKSKSKMIKKKVLRALKGRFGGGERRGRDGHVREGKESSDYGDGDGDGDDEDVFWRKDVRGLRCRRVEDNDLPY >ORGLA07G0087100.1 pep chromosome:AGI1.1:7:9005067:9010468:-1 gene:ORGLA07G0087100 transcript:ORGLA07G0087100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARTCAAGEMNNVVTAVVMENNRRRASISELAYNRRGSGKAPHNGGGDRAVMEEAEQRRTDSSQRAVMATSSNNSDFVHVEGKGVRCSPRLNKFKQSDIGLSKKTCSSVPKKSCASTSGNKKRKRAENGKEGCSFPKDMALWLLNHVNTELGTLEFNGLSIPIRPLIKKVIGIPEGHMRLKLTEDTDHRLKEKFTEGGRGQSLNKAISRMLLEHNEDEFIVSFMMVALGVYLVPGSNLTVHREYLTAISDVKNIKNLNWCNHVADYLFEAIHDFRINTSINLNVRGCVHILNVIFLDFVAGINVPQGTPRIAHITTAHIDEVKTIATSRSKHADYDSIQIKDIESTVYRDGESPLHESPQHMLSIGYRQDVEEGVHDDATCVDEGQHTPDPQGHITAGVDEEHMNIGQHTPDPQGAPAAAGDEEQMNMQDGQVGQDPKSTGCDANPNNVCDEPPVISELLMKMKEKLKIRRTEIISTCMEQLEFILDKSDNDILSEFSTELKKLARVKGMASTSEGDAAVLGTPNFNHGPDKHTEAETRSNYKAEEIGRHSGNVDATDFAEVVAIGAVAAHEEDDGKQDEDDEDKGDEKVEDSVDDEYGEDGAGGSHSAGSQGGADENNDTDDSSGDSKQGQQPIPSEEQYPGASMMDSVTDDTSLGTPVYHDVVVIEDSSQESLRANTMVPELTEPIASGERFPDGGSVPPINKQRAKRCKTNHQSVEAIATLRKGIHLDHFVNDTYEKHVVDNFDGDGGATKVNRAWITEQDFRSTLRRKGEVSNNFMWLCCSAIMKDWDSKSKVILDLATVDQLVSPLEKCCDAKVRRIFKDXFETNXAVVFTSPKGTSLVPYRHQLAQPHCADIRLNPESKCSNLQVALDTRTNSSPFGFGDLFTVEYPETPYQVEL >ORGLA07G0087000.1 pep chromosome:AGI1.1:7:8995382:8996425:1 gene:ORGLA07G0087000 transcript:ORGLA07G0087000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRAPSPDENPGHAIPRRQVLLLSTRAWPGGACNNNEQKCSLPPNLALLRVIPHRRQHVHLSAAIPGKNPTQAPTSIAGFRPASRTQLCRTYTVHRKQNYSNDASSVFSQNMVLTLLLTCRMNSSVGSAGRIMEEVPNEGSDVLGERSMKEQQSDKDDVCVEREMEQVSSHDDDVLREASHDDDMLREALLETGLFTGAMSIDQD >ORGLA07G0086900.1 pep chromosome:AGI1.1:7:8988153:8994962:-1 gene:ORGLA07G0086900 transcript:ORGLA07G0086900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: membrane; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF6, transmembra /.../terPro:IPR000620); BEST Arabidopsis thaliana protein match is: Cation efflux family protein (TAIR:AT2G04620.1); Has 123 Blast hits to 121 proteins in 39 species: Archae - 0; Bacteria - 0; Metazoa - 69; Fungi - 0; Plants - 45; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G38380) TAIR;Acc:AT5G38380] MMSPRPSASAAPEGGGGASTSRSVPTARSTPLQVIHILGNFARIWSVYSLYNYLSSSGDSMVGFIFSCLVPASVIFLALQKPWKGRPLPNTQVVPTVINGGILALYFVLWGKGLLACGPLVALLAEYAGAVLGVLSAALYGRKVNIWKKIGGLAAMLVAYYLLGNGWATRTHSPLYSFGSESLEKGTQVIGMKEMVVPLTAGILSALRRVLARRVSLKNQLKRRLHAINVASATCFLFPFAMWDTILGSASDSIVKLQFPSWVYLSTVLFGMVLIFYVDNVAEEKLHLVFSSPRHLMVSTGCIIVLEILYKMDFSLLGFLLCSVILGFGIFEATSLERSKKSPLEAHELSNGSFHNQLPISALPS >ORGLA07G0086800.1 pep chromosome:AGI1.1:7:8980234:8983290:-1 gene:ORGLA07G0086800 transcript:ORGLA07G0086800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLVLLQLLYLISLILPAFSANDDNQFAYSGFSGANLTMDGATITSGGLLELTNGTVQQKGHAFYPVPLRFVRSPNGSVLSFSASFAFAILSVYADLSAHGMAFVIVPSMNFSAALLGQFLGLANIQSNGNSSNHFFAVELDTIKNKEFGDINANHAGVNLNGLRSEQSYYAGYYDDKDGNFHNLSLISREAMQVWVDYDSNNTQITVAMAPIKVARPMKPLFTASYNLTSVITDVAYVGFSSATGTINVRHCVLGWSFAMNSPASAINLGKLPKLPRMGPKPQSKVLEIVLPVATESFVLTVGIIGLVLIRRHMRYAELREDWEVEFGPHRFSYKDLYHATEGFKNENLLGVGGFGRVYKGTLPVSKLEIAVKRVCHESRQGMKEFVTEIVSIGRLQHHNLVQLLGYCRRRGELFLVYDYMPNGSVDKYIHSIEGKTILTWAQRWHIIKGIASCLVYLHEEWEKAVIHRDIKASNVLLNGDMNGRLGDFGLARLYDHDDDPQTTHVVGTIGYLAPELGHTSKATPLTDVFAFGMFVLEVACGQRPINQSSLDSQTMLVDWVLEQWNKGSLVSTVDSRLEGNYNVREAVLAIKLGLLCSHPFANARPSMRQVIHYLDGSIPLPEMSPTDLSYHMMTIMQNEGFDEYIMTSSSMSEMLRHSSSASAGLRRKWLKRSGRNGNGATGLGGYCSRAPFFV >ORGLA07G0086700.1 pep chromosome:AGI1.1:7:8975798:8977519:-1 gene:ORGLA07G0086700 transcript:ORGLA07G0086700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTKPMSSLLCTILSLVLILEAFTTSHGEFVYHGFSGVNLTLDGNAMVTPDGILELTNDTINLGHTFYPTPQNFRKFSNSTVQSFSLSFVFAILSVHDDISADGMAFFVAPSKNLSNTWAQYIGLLNSRNDGNRSNHMFAVELDTTQNDEFKDIDNNHVGININSLISLQAHHTGYYDDKSGFFNNLTLISGKAMQVWADYDGESAQINVTLAHLGAPKSVRPLLSSSYNLSDVLRDQSYIGFSATTGAISTRHCVLGWSFAMNSPAPAIDISRLPKLPRLGPKPRSKTLDITLPIATAIFVLAAGTVVVLLVHRRLRYMELRVDWEVDFGPHRFSFKDMYHATEGFNKNNLLGVGGFGKVYKGVLQKSKVPVAIKRVSHESTQGMKEFIAEVVSIGKLRHRNLVPLLGYCRRKGQLLLVYDYMSNGSLNKYLYPEDGKPSLIWAERFHVIKGVAFGLLYLHEKWEKVVIHRDIKPSNVLLDSEMNGRLGDFGLSRLYDHGTDPQTTHMVGTMGYLAPELVRTGRASTSTDVLHLAYFFLRSPVGKDLLRKTHRETSIVCSIGFFSFCIIAH >ORGLA07G0086600.1 pep chromosome:AGI1.1:7:8930907:8950106:1 gene:ORGLA07G0086600 transcript:ORGLA07G0086600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKTTTTKVVAPVERVVFALNGERQEVAAADVEPSTTLLEFIRTRTPFRGPKLGCGEGGCGACVILIAKYNPKTDEVTEFNASSCLTLLYSIHFCSIITTEGLGNTKDGFHSIQKRMSGFHASQCGFCTPGMCMSIFSSLVNADKSKKPAPPKGFSKLSVSEAERSFSGNMCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKHPDPTKLPSYTLGGGICTFPDFLKSEIKSSLDFNDASISGPREGWYCPKSIKQYYKLVNSGLFSESSVKVVVGNTSTGVYKDQDLYDKYIDIAGIPELSAIVRKDKGIEIGAATSISRTIEILNQESESTSSPNGSVVFRKLAEHMSKVASPFVRNTASIGGNIILAHKYPFRSDIATILLGAAATVNLQVSSKTLHVNLEQFLEQPPLDHSTLLLSIFIPHWASDCKKEHTLVFETYRAAPRPLGNAVSYVNSAFLGHVSLDKSSGDNILSNLHLAFGAYGTKHAIRARKVEEYLTGKILSASVVLEAIRLLRETIVPVEGTTHPEYRVSVAVGFLFSFLSPLCKGVIEPGKTLSISEDLVDTDNVHNKPLSSRRETLSGDEYTPVGDPIKKYKVEVQASGEAIYVDDIPAPKNCLYGEFIYSTQPLANVKSIKFKPSLASKKIITVVSAKDIPTGGRNIGSTFWFGDEEPLFGDPIAEFAGQALGVVIAETQRYADMAAKQAVVEYTTDGLKAPILIVEQAVQSNSYFQVPPERAPKQVGDFSNGMAEADHKIMSEEVKLASQYYFYMETQTALAIPDEDNTMTVYSSSQFPELAQNVISKCLGIPFNNVRVITRRVGGGFGGKAVRSLHIATAAALCAHTLRRPVRMYLNRNTDMIMVGGRHPMKARYSVGFKSDGKITALHLDLLINAGISADASPIIPGTIISGLKKYNWGALSFDVKLCKTNNTSKSVMRAPGETQGSLIAEAIIEHVAAVLSLDANTVRQKNFHSYDSLVLFYPESAGESSTYTLHSIFDRLASTSSYLKRAESIKKFNSCNKWRKRGISSVPLILKVRVRPAPGRVSVLSDGSIVIEVGGIELGQGLWTKVQQMAVYALGQLWPNGCEGLLDRIRVLQSDTLNLIQGGVTAGSTTSESSCAAALQACNMLVERLKPVLDRLQLQSGIVSWDTLISQASQENVNLSASAYWVPDQDSKFYLNYGAGTSEVEIDLLTGAITILRSDLIYDSGKSLNPAVDLGQMSNVIYDLYSLIMQIEGSFIQGIGFFIYEEHQTNSDGLVISNSTWDYKIPSVDTIPKQFNVEVLNTGYHKNRVLSSKASGEPAVVLGASVHCAVREAIQAARIEFAGGSESTSSLLTFQLDVPAPMTLVKELCGLDIVEKYLEDLSSHGVGNCN >ORGLA07G0086500.1 pep chromosome:AGI1.1:7:8906603:8919451:1 gene:ORGLA07G0086500 transcript:ORGLA07G0086500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKKKVVAPVERVVFALNGERQEVAAADVDPSTTLLEFIRTRTPFKGPKLGCGEGGCGACVILIAKYNPKTDEVTEFNASSCLTLLYSIHFCSIITTEGLGNTKDGFHAIQKRMSGFHASQCGFCTPGMCMSIFSSLVNADKSKKPDPPKGFSKLSVSEAERSFSGNICRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKQPDPTKLPSYTLGGGICTFPDFLKSEIKSSIDFNDASISSPREGWYCPKNIKQYYKLVNSGLFSESSVKVVVGNTSTGVYKDQDLYDKYINIAGIPELSAIVRKDKGIEIGAATSISRTIEILKQESESTSSPNGSVVFRKLAEHMSKVASPFVRNTASIGGNIILAHKYPFRSDIATILLGAAATINLQVSSKTLHVTLEQFLEQPPLGHTTLLLSIFIPHWASDCKKEHTLVFETYRAAPRPLGNAVSYVNSAFLGHVSLDKSSGDNILSNLHLAFGAYGTEHAIRARKVEEYLTGKILSASVVLEAIRLLRETIVPVEGTTHPEYRVSVAVGFLFSFLSPLCKGVIEPGKTLSISEDLVHTDNVHNMPLSSRRETLSGDEYKPVGDPIKKYKVELQASGEAIYVDDIPAPKNCLYGEFIYSTQPLANVKSIKFKPSLASKKILTVVSAKDIPTGGRNIGSTFLFGDEEPLFGDPIAEFAGQALGVVIAETQRYADMAAKQAVVEYTTDGLKAPILTVEQAVQNNSYFQVPPERAPKQVGDFSKGMAEADHKIMSEEVKLASQYYFYMETQTTLAIPDEDNTMTVYSSSQFPELAQNVISKCLGIPFNNVRVITRRAGGGFGGKAVRSLHIATAAALCAHMLRRPVRMYLNRNTDMIMVGGRHPMKARYSVGFKSDGKITALHLELLINAGISADASPVIPGTIISGLKKYNWGALSFDVKLCKTNNTSKSVMRAPGDTQGSFIAEAIIEHVAAILSLDANTVRQKNFHTYDSLVLFYPDSGGESSTYTLHSIFDRLASTSRYLQRVESIKKFNSTNKWRKRGISSVPLIFKVEPRPAPGRVSVLNDGSIVVEVGGVELGQGLWTKVQQMTAFALGQLWPKGCEGLLDRIRVLQSDTLNLIQGGLTAGSTTSESSCAATLQACNMLIERLKPVMERLQLQSDTVSWDTLISQASQENINLSASAYWVPEQDSNFYLNYGAGTSEVEVDLLTGAITIIRSDLIYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEHQTNSDGLVISNSTWDYKIPSVDTIPKQFNAEVLNTGYHKHRVLSSKASGEPAVVLGASVHCAVREAIRAARIEFAGNNGSGSSLLTFQLDVPAPMTVVKELCGLDIVEKYLEDLSNRGAASGN >ORGLA07G0086400.1 pep chromosome:AGI1.1:7:8890446:8895822:-1 gene:ORGLA07G0086400 transcript:ORGLA07G0086400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGQEVEAAPPSDGRRIRPPETRPGVHIIMRSFGPNYDPFKIKYNTQKEEWTIQEVILHSVEEEERQKAEKQKIKDRLNLTNAFDKGKKVYQGESYNKNSEPEGEQKQEGIKASTSAVPLSTSPYCHFCASDGHXQRNCTRFTAWKLAPSKR >ORGLA07G0086300.1 pep chromosome:AGI1.1:7:8884927:8885277:-1 gene:ORGLA07G0086300 transcript:ORGLA07G0086300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLLLVLLLASVLAAAVSASSSEESSSKPSILIPVADTPLGSYEGADGPIADDALEDMEAAPLGSPIGTTMTKPEPELPANAPPSSAGATASSTPTTLLAAAVMAAVAGVFAF >ORGLA07G0086200.1 pep chromosome:AGI1.1:7:8878026:8882216:-1 gene:ORGLA07G0086200 transcript:ORGLA07G0086200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPRSDVNREYFAEEHDRRARAGIDYDSSNGKARANDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLSKAGEMPSLTPPDDESIRTLYIGGLDSRVTEQDLRDQFYAHGEIETIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGKPQAPKPEEDEAGRQGHVAHGGMLPRAVISQQQSGDQPQPPGMEGQQQPASASYYFNIPAPPAAERTLYPSMDPQRMGALVESQEGDGKPGPQQAGQGQASSSSGQSYPEPPPPYYHGGQYPPYYPPYGGYMPLPRMPYQQPPQYPAYQPMLAPPAQSQASSSQQPAPATQQLGQGPQQQTTQNGMT >ORGLA07G0086100.1 pep chromosome:AGI1.1:7:8840887:8847270:1 gene:ORGLA07G0086100 transcript:ORGLA07G0086100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSMANADGESTRTGYCAATKSFRSLRPPVPLPPPDVPLSFPEFAFSLLPRSSSSSSSSSSLLPANPALVDAATGEAVSFQAFLSRVRALAGALRSRVGLRGGDVAFVLAPAGLDVPVLYFALLSIGAVVSPANPALTPAEVSRLVSLSGASVAFAVSSTATKLPAGLTTVVLLDSPHFRSLLMDCGQAQGQEPLPVVVVRQSETAAIQYSSGTTGRVKAAALPHRSFIAMVAGFHALRAKAREVRTLLGAPMFHSMGFLFVLQGVALGATTVVVTDAVARAGIRGLVEAAERWAVMDMTASPPVVLGMTKQRCRLPALERITCGGAPLPAAAIERFCRRFPHVDLCMGYGSTEAGGISRMISQEECNHIGSAGRVTENVEVKIVDHVTGKPLPAGQQGELWVRGPAVMTGYVGDNEANATTFDSEGWLKTGDLCYIDQDGFLFVVDRLKELIKYKAYQVPPAELELVLHSLPQIVDAAVMPYPHEEAGQIPVALVVKQPGSKLTEAEVMYNVAKQVAPYKKIRKVLFVDSIPKSPSGKILRRELVNHLRLCELSRL >ORGLA07G0086000.1 pep chromosome:AGI1.1:7:8772962:8773177:1 gene:ORGLA07G0086000 transcript:ORGLA07G0086000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRAGVDGVGGGGGDLVRRREGVNARGFRQGSQCEGVQARLAAWLAPWRLLSSGGKVLGEEEKAAENIYIKV >ORGLA07G0085900.1 pep chromosome:AGI1.1:7:8763440:8766607:1 gene:ORGLA07G0085900 transcript:ORGLA07G0085900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRWGQLPRNSARRSRGAGREALRQRMEARRGGPAGARAGRRGGRRPRGQRGGGEERRLRRPASKRAASRIRRHNGVNLVHGGNLLQIPCFLSHFHVLYLREICLVNGDFFLLKGIFGISPEDQIGKVSFPPVQAAQSFSSSFPHLFHDNDDHLPCLIPCAIDQDPYFRMTCDVAPKLGFQKPSLIESRFFPALQGESTKMSASDPNSAIYVTDNSKQIKAKVNKYAFSGGQDTVELHRELGANLDVDVSIKYLNFFLQDDDELEHIKKEYKAGRMLTGEVKQRLIEVLSELVARHQRARAQVTEEMVDAFMAIRPLPNMFG >ORGLA07G0085800.1 pep chromosome:AGI1.1:7:8752048:8752278:1 gene:ORGLA07G0085800 transcript:ORGLA07G0085800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPPCKEVEQVVTPWEVSAPGGGRHHRLRKNGGPLRLQPPRRRAHRPHRPPHLPPTASLPPPRDLLRSPVFFCS >ORGLA07G0085700.1 pep chromosome:AGI1.1:7:8718621:8719747:1 gene:ORGLA07G0085700 transcript:ORGLA07G0085700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRPPPASIFRFSSGLRVATIGKCHLQDAIVQMLHFPKLEHLGLDDVVISEGSLHSIIAACPVLECLLLVRAIGFRCLRINSASLTSIGVDILYFPAEQIELGELIIEHAPLLEKLLNFGVRNELDVSIISAPKLVTVGCLCQQFCHRHTRFTFGTTVIKGVKNESLPEVVLNVKTLAVSVLLLDVDKVVDILRCFPCLENLYFKPQKLWRKKYRNLTKSLDIRLKTVVLEDYRGIWAEVHFAQFFVLNARTLEAMKFFVTCKDYYKGFAAEQRKVLQLDKRASSGARFIFTTKGCFHDAA >ORGLA07G0085600.1 pep chromosome:AGI1.1:7:8695635:8695955:-1 gene:ORGLA07G0085600 transcript:ORGLA07G0085600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLDLGGGETTGGWRRSSTSLPSPPARSIRRHRYRRGSGLVFVLILGGLSMASTTKGKEDGGGDREEEEAAACRSSVGPKTMCGMHRERRSGAGDTLDLQCEFDM >ORGLA07G0085500.1 pep chromosome:AGI1.1:7:8693812:8694556:1 gene:ORGLA07G0085500 transcript:ORGLA07G0085500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKYITLAEVALHAIIIACPLLETLLLEHIYGFHCFRISSPRLRASRNSGYSVANESANPGCPLSQMIAISCPCLSHFSGVASKLEIMGWLGISMLKLGIIEIQLYSEVYAIMKIRIEDRRSV >ORGLA07G0085400.1 pep chromosome:AGI1.1:7:8657866:8658909:-1 gene:ORGLA07G0085400 transcript:ORGLA07G0085400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPADPPIPPPRPLPPMPSRKRGRGPTKGDDPAPDEAAPPRKPSPPLGSGSRRARNHVPPSLGVGGDGREEGVDFVSHLPDAVLRIIISLLPSKDGAGDLAPGANGAALAVLLTQILLAHAGPVRRFCIPAQQIHERPAMVEGWLTSPRFNNLEELEFTEDLCYMRQLLPLPPSIFRFSNTLRVAAFSQCRVPDCTDLMLQFPHLKLLSLRQVKISETSLHSIIAGCPALEGLLLRNSYGFRCLRINSPTIRSVAFHSPYCWSHGDGEVCYHLEDVIVEFAPCLEKLLHIEQSVGLGVWLWHPNWRHWASLTMLKTAIPYSISAR >ORGLA07G0085300.1 pep chromosome:AGI1.1:7:8642091:8642486:-1 gene:ORGLA07G0085300 transcript:ORGLA07G0085300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDAVLDSATKIEWRRIDGDLIVKAAARRRADATVVLAPTTAVRGEGDSATKTGCWRRIDGDLQGGGREENQKMMEAWMRVSALAARSRKARSASATGGLRVAGIGQGRAGVMETVRRAAMETGSRG >ORGLA07G0085200.1 pep chromosome:AGI1.1:7:8636663:8638397:-1 gene:ORGLA07G0085200 transcript:ORGLA07G0085200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATDPPPPPPPPPLTRKRGREARKSKEAAPPREPRRARSRSHVPPPPGAGDGRGEDGEEDVGFDLISRLPDAVLGDIISRLPTKDGGKTRALSKRWRPVWRTAPLNLDAGDLAPDANGAALAVLVTQILLVHAGPVRRFCIPAQQIHERPAMVEGWLGSRRFKNLEELEFTVPEDPFYGRSFLLLPPPPSTFRFSATLRIAAISQCSLPDCTATLALRFPQLRLLSLQEVIVSEHSLHSIIAGCPALEGLLLKRSFGFRCLRINSPTIRSVAFHSPCCGDHCVWKVGFHLEEVVIEDAPCLERLIHIERAMGLGVNVTVIAAPKLEACVLDDLDDGYYRLDFGKVVFKGFAVINYTTPVSSIKILALIRDNLRLDRVIELMRCFQCLEKLYITVKYFFC >ORGLA07G0085100.1 pep chromosome:AGI1.1:7:8636210:8636587:-1 gene:ORGLA07G0085100 transcript:ORGLA07G0085100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLFFFQASHYGATNCWRRKHWRKHKSLDICLKTLVLDNYRGLKSQINFATFFIRNATKLENMIFTGGRSNGNAYFIARQQKLLEFEKRASKTAHFHFTTKKCYYDWVHIKDVYDLSIADPFECTC >ORGLA07G0085000.1 pep chromosome:AGI1.1:7:8603834:8604196:1 gene:ORGLA07G0085000 transcript:ORGLA07G0085000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTVGAPPPSSLVPHTHDCKSSSDLPVFGRGRVRPDRSDLGRCRIRTAQRSGKAVHRRPRGDAGDLA >ORGLA07G0084900.1 pep chromosome:AGI1.1:7:8595454:8598713:1 gene:ORGLA07G0084900 transcript:ORGLA07G0084900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERSELGRQLPLRGPLKALEADIHHANTMANAIQRNYGGACVQMRLSCSSLAPFFLYLIQWLDCGCCYALPSYLGLFHILICKVYADGDSSVSTYERRASLREFYAIIYPILQQLEGSLIERDLKGKGRCKDIVSRKRLEDWRKLCNKDVEREDECGICMETCTKMVLPNCSHAMCIKCYRDCQRMYVCMYVCMYRRSESCPFCRGSLKRIRSRDLWVLTNYNDVVDPVTLERENVRHFYSYIDSLPLILPDNIFFFYYDYLL >ORGLA07G0084800.1 pep chromosome:AGI1.1:7:8585218:8588901:1 gene:ORGLA07G0084800 transcript:ORGLA07G0084800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAITGGGRRPPCRRGPAAVAPPARFSCRCGTHPVPRRNVLSTMLSTSTVILFGSKQITLAEITGATFREYMTHLMATPSSTLKAGSRSEEPVPTYSSETLLSLMRTCQSRYRLLRRQSMXRLKILAPLRRLQRECCNSTXQSSCRPGWAFDEPRTSYQHHRRLLTMASSTMKLRXTXSLLPATRAGGDAARQGAAAGVGPALPVGARCREQAAVRAPAAVARAGVQGGRRXPEESHGLFQGQQDVE >ORGLA07G0084700.1 pep chromosome:AGI1.1:7:8581437:8581868:1 gene:ORGLA07G0084700 transcript:ORGLA07G0084700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TCPSAFRQQTNVSSYTSPTDLVLGNHVNGSDWVNSSLSVFLEQHRLQLDRALQTHISLHNATLSAIVDSMITTALKEKDEEIARLHIMLNQLQELIINIE >ORGLA07G0084600.1 pep chromosome:AGI1.1:7:8572737:8576125:1 gene:ORGLA07G0084600 transcript:ORGLA07G0084600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQIFGRRKNAKSADKDFFSGTSPSVLDQVSGLGVADRATSNLGSQPPIISSTGLSYGSGNRVENPNTRTNGNLYSSSFQPLPSFKDVPNSEKQNLLIRKLKLCCIVFDFTDPTKNIQEKEMKSQTLLEIVDYVVSATVKFPEIVMLEITRMISANLFRTLISPPREKKVLQAFDLEEDEAVMDPAWSHLQIVYELLLKFIQSPETDAKLAKRYIDHSFILRLLDIFDSEDPREREYLKMTLHRIYGKFMVYRPFIRKAINNIFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRTLIPLHKPKCIALYHQQLSYSITQFVEKDCKLADTVIRGLIKYWPITNSTKEVMFLGELEEILDATQPAEFQKCMVPLFRQIACCLNSSHFQVAERALFLWNNDHIENLIRQNSKVILPIIFSALEKNVIEHWNQAVKSLSLNVQKLFSDRDPELYKECLRKYEENKAKEKEHKLKQESVWKRLEEVASAKATSGEAVLISPSLARTSSLV >ORGLA07G0084500.1 pep chromosome:AGI1.1:7:8566405:8566980:1 gene:ORGLA07G0084500 transcript:ORGLA07G0084500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSAAWKRWIRPEVYPLFLATGVAVSICVGQLVRNITGNPEVRVLKEKRAAGVLENFDEGKRYSQHGFRKFIDGKRPEIMPGINSFFSDPPKY >ORGLA07G0084400.1 pep chromosome:AGI1.1:7:8557436:8559880:1 gene:ORGLA07G0084400 transcript:ORGLA07G0084400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWIRPEVYPLFATTGVAVGICAMQLVRNITTNPEVRVTKENRAAGVLENFDEGKRYSQHGVRRFWLSKRRDYMQALDNPTNPSTKK >ORGLA07G0084300.1 pep chromosome:AGI1.1:7:8552626:8554703:-1 gene:ORGLA07G0084300 transcript:ORGLA07G0084300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITAFWLWLEGNYDHTDYLERIDSFDDDHFQAIAFVAKSFVETLNLDHCDLSNTRSPFQQEAIEGIAFYLNNVCYKALKDLHGHEETEEFPYQICRDNEGNLNDQVPLSTDDLLSKIKSLYANNQENHGESSSYRSIQYPRNRILQDTKVAIDEYASSSCLVSFLDNLSLREKHCDPVIQQPSDVSNDERTLFVTFSNGYPLSKDELYDFFMRHYGDIEDITIEEPPEPRPPLFAQVTFYSQLTLLRVLDGNKRVKFMTRGKHLWARQFVPKKKKSKNDEANLID >ORGLA07G0084200.1 pep chromosome:AGI1.1:7:8519871:8523069:-1 gene:ORGLA07G0084200 transcript:ORGLA07G0084200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPPRLRAYPLALFFLPVALLGVLAGAAPVRGDLRRVVEVEGEPRSVVWAVQLSDLHLSAFHPERAADFRRHVGGALAMVNPSLVLITGDLTDAKSKDLLSSRQEKSEWLEYEELIDEVVGLSGLNKEIFYDLRGNHDSYGVPEVGGMFDLYEKHSINARLGRTGNVQSITLQNSGWKHLFVGFDSAASIGLRSPANVFGQPTDQLLVELDAALSQWDNYSSTSAVTKVTFGHFPMSFSSSTTSGGSLRDVFLKHSLSAYLCGHLHTNFGRNLKRHHRSDRNHLSAKQYYQTNIHEGTSTSIGSNNCSTTTESVAEFWEWEMGDWRSARSMRILAIDSGHVSYTDIDFRFGSMDVIIVPTFPLDSRFMQRLSTPHDLNCQANSTSHFGMVRTLVFSKYKIISVSVKIYDSFSGSHHLVLEKDMEMTSGEGARGAMYTVPWNWKAYVDESPDRYWLQIEAKDMTGKIYYSQLRPFSVNGLTAKVRWTWKEFRVMGCQWGQLYQPIMWSTLAFLCLLILIPRTLLMLYENHMLKCRSSKTAAGSSGRHLLISFEYFAAELSKMYSVWSGMLIYLLYLVFFPWFAGNAVTENHNKMYLYYKGWSTSNLANVSTAAPYIGLPDVMVIVLPHLLFVVLPAFLIIAAIAANRAAYLVHISHKAKKDDDHYEERKCIQHVWIFRCFRKFLILLCLPIAWRHWKHCRAIVRAYEANPFMDAPIYCFGVPLLVCLAIYRASAI >ORGLA07G0084100.1 pep chromosome:AGI1.1:7:8513480:8516455:-1 gene:ORGLA07G0084100 transcript:ORGLA07G0084100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIQELAIQNYPGNSFPNWIKDSGLCMLVSITIDNSQDCNEIPYLGDLPCLKFLFIQKMYAVENFGQRSNSLTTDGKHAPGFPSLEILNLWEMYSLQFWNGTRYGDFPQLRGLSISRCPKLTVIHRK >ORGLA07G0084000.1 pep chromosome:AGI1.1:7:8493731:8494636:-1 gene:ORGLA07G0084000 transcript:ORGLA07G0084000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAYRSYLFLSSEMDLLDAESELDELINIRGDLHIIGLSNLDAAQAANVNLWKKEGLQKLTLEWCDILQNSDVTLRDLQPNEANRVPDCRCVPQQNDRAAQVLQCLRPNSNLEELIIKGYNGSSFPSWVGSLPLDRLASIELKDCQNCEELPPLGCLPSLKHVVIQSLPSVQLVGPEFLGDVGDIPYNNRKKAYFAFPALESLKFRDMGAWEEWSGVKDEHFPELKYLSIVSCGKLKVLPNFTSGPKQRIRNCEKLLQPLCQVCSSSSSQMNTINFSCIDFYIRDFFICKFRCSSALGSFLL >ORGLA07G0083900.1 pep chromosome:AGI1.1:7:8470115:8488357:-1 gene:ORGLA07G0083900 transcript:ORGLA07G0083900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDEAKESAMDGLASETASRIPDVSKKQPKRKRTLVDEEVASAGLQGEIDALFDYYKEVSGYQLKPEEIGCSTNDSIVACLLEESSLPYDKLVDEIYRRMELRDGVTKSFISSAVNNIGQRMSYGISDIHDQVLVDESKSKLWCWEVYYSYLYCIVIGLNVDCMLLNLKSVTILMQYVTVDASLKVRKEKELKHIKEKAEKEAKRAEREKAEQKKRSKKHQEEVEREQKRRERQQAELKRQASIQKQANFMQHFLRGKKGGNMESLGNHHSMRSPHPNVFSKIEDSSATSAMDCTLSEENQLRSDEIWKLQIARWRKLYHQKELCRWGDRKNPKIELFKELKLQKCPATAPSEYVSTPSKEQSSQMEHQGSLNFSKLLDQSYDENADTSKTTNANTSSSVWLVKKLLQFDKSHRPAYYGTWTKKSSTVSARHPFKVDPLLDYDVDSDEEWEEEEPGENLSDFDNDDEEAMGEKDSKHDAEEETDNSFVVPNDYLSEDEGVQFEPLSGKLDDTCRLLSIPRVAIEELDVVLQQQKALRSFTEHALKKDRPLVIYNLDHGKAYLLDAEAITGILKVEQLCLQALCMKEYLGAPIIDVPVDINFPIKDLEIGRLNKKGPSTPVASKSISGSDLPEFVKIISSCPYGIGKLVESLRVQFPCVPKLQLKNKIREIADFTNNRWQVKKDILDWCGLSLPPDRGIQQMQPDESGDSVQPSPQPGAKLEIHKHQIDA >ORGLA07G0083800.1 pep chromosome:AGI1.1:7:8462434:8462796:-1 gene:ORGLA07G0083800 transcript:ORGLA07G0083800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPPAFSTAATTTSPGRPPRHHLAMPGSMPAAATQHRAGGGGARGERVSVRSSNRVATSPCRSPHHVVLEEEKGRGARSLGREVAAVTATSPRLPLRRPPPHPRCREERKARGARLL >ORGLA07G0083700.1 pep chromosome:AGI1.1:7:8450394:8450639:-1 gene:ORGLA07G0083700 transcript:ORGLA07G0083700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGWSQGVMLTPRYGSCVATERSSYYVLTPATGAVYNLPVNPAEEHVYHVQLITCTDLTLICIWACCFHGRVQGDPYLQA >ORGLA07G0083600.1 pep chromosome:AGI1.1:7:8446772:8448595:1 gene:ORGLA07G0083600 transcript:ORGLA07G0083600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGADGLPPGLRFDPTDGELVSRFLLRRLQGKPLPLNGVILEADPLSVPPWKLLAEHGRGDEGFFFAEARAKNGKGSRQKRTVEGGGLWQGQRVCADGEKLLVPDGGGGGVEVEIVWRKYLLSFFAEGERGSSGWVMHEYAVTSPAELAASPIRLYRVRFSGHGKKRKREPQSGEDGVGRARAAPQSAGTETALLEERVMPPQPAPQSVGTEDALVEERIPPPQPVPIPPIAGTEDALDVGTEDVRGRAAPQSAGTESALLEECVLPPQTAPQITGTGVALLDEVVPPPQTVSISPPAALVDAVDDADCANQGCSGVMDDSTMVFSHLPDMITLPAEEGDAAGGAALASMDYSWADFEFPEINMDELPSCIDFTTTDPSCLDIELSMGDLHEPQSTGIESDLLEEFVPQPQPVLVPPLAALVEVADSSEGPDQGCSVVMHDSSAVFTPLSDPIVLPEEEEADRPDAPAGTMSLDYQNYSLSDFEFPEYPLLDVAGDADGADQCSSNVMDDSSMVFSHLEDLITLPAEEAEADACSAAPAPSLDNQKYSSQGIIDSEAPALSDFEFPETIDEVLNSINFTMADPSCLDMEFSMDDLLDFDLPAD >ORGLA07G0083500.1 pep chromosome:AGI1.1:7:8435745:8436161:-1 gene:ORGLA07G0083500 transcript:ORGLA07G0083500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVEAPNSVSLLIVLIILFAPENPTIWKEFNRKESTRQLELLRVGIKNGGMVISDRVDGGASGSSPRQGHLV >ORGLA07G0083400.1 pep chromosome:AGI1.1:7:8428466:8430640:1 gene:ORGLA07G0083400 transcript:ORGLA07G0083400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKKSVMTKEEQQLSPAASPAAAVMTAEADAINEEQDKAAAATTADHTAPPPPPPPPAAAVADHAGEMDMASGSGVAHLAPPAVAPAPRSSLAMAVSGLADHVLERMVKVLMRKCHPPQALYPLIGKSPLRPPWWPTGREQWWPELGAGAVVPPYRPAPLLSKAEKEVVVVAMVKNLVPDFERLFMAVRMAPSVTSRITDAEARAWDDGVAGERETYMARHPHRTTPTRAWKLMDSLKPEAVRMKLKAPKPKPQVTIKVEDAAPFLTVSAAADPAAVEAAMGAIEAMRNSSKDPDAPYYPMPSPLHGHNEVGPNDYPENPAIWKEFNRKEGQLNLLRVGKKNDRMAISDRVDGGASGSGPRKGYLVMKTYKKAQEYYRELRNKGAMASGAGVKIEDDSETESDNEDEKAYEKAKAKAKARAVYQQNKGIQENYW >ORGLA07G0083300.1 pep chromosome:AGI1.1:7:8413674:8416486:1 gene:ORGLA07G0083300 transcript:ORGLA07G0083300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMATSQEVFKYHPLPTPGVENSFKDEIQSKVLGTIGDVMNSFDPKSFPRHVEGALGTAGNIINSFESKLAEHNQFDFGGKTNFYGYDCVDDGWGSAPLKADKPVNLRNLLGGLIAIISRGGKNSEIQPPKDTKSSVAFLGSGSNGETFLHASVYVPSAPPLLDEEALNYNVYRVVIEAEPPEWLPDSYANSCMQCAASFTVVTRGRHHCRFCGGIFCRTCSKGRCLLPAKFRERNPQRVCDACYDRLDPLQNLFINSISNATQTAKHDVMDWTSTRGWLNLPIGLTMEHEIYKAANSVRSYSQIARLNPERSIPHAVFSGASGLAILTVVKAGALLTYKLGTGLVVARRSDGSWSPPSAIVSVGLGWGAQVGAELMDFIIVLRGLEAVRTFSSQMHFSVGAGLSAAAGPVGRVLEADLRAGDKGSGVCYTYSCSKGAFIGVSLEGNFVATRRDANLRFYGDPYLTTSDILMGDMQRPNAAKFLYTALDGLYSGLSR >ORGLA07G0083200.1 pep chromosome:AGI1.1:7:8405516:8409343:-1 gene:ORGLA07G0083200 transcript:ORGLA07G0083200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSPKVTERKADKDHDDNNDGEGGGFFDKVKGFIEDIGEKIEDAVSFGKPTADVTGIHIPHISLEKVELIADVLITNPNPVPIPLVDIEYLIESEERKLMSGTIPDSGTIHAHGSETVKIPLLLIYDDIKSTYGDIKPGSIIPYKIRVVLHIDIPVIGRISIPLEKNGEIPVPYRPDVNVSKIKFEQFSFEEATATLHLNLDNKNDFDLGLNSMDYEVWLSNVSIASAEMKETTNIKKQEATTMNLPISFRPKDFGSAMWDMIRGKGTGYTIKGHIDVNTPFGHMKIPICKEGGTTRLKKGDDDDDDDDQE >ORGLA07G0083100.1 pep chromosome:AGI1.1:7:8339690:8342513:-1 gene:ORGLA07G0083100 transcript:ORGLA07G0083100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAK16 homolog [Source:UniProtKB/TrEMBL;Acc:I1Q9N9] MSDDVIWHCIRHNHCSFMAKITTGMFCRNPYNATGICNRSSCPLANSRYATIRDHDGIFYLYMKTAERAHLPNKLWERVKLPRNYEKAIEVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLRLKREAEDNAIQRELKERLCGDDGMIYSYPIKDFIRVLDMEKGDVDPEEDEEEEVEEYVEGDYMDDMEDMEDYEGLPGGDYGETNEDDLLDERIAKKPKVLGSDLRSNIGKKSKKPTEVELDEDIIYGYQAKDVNVSCAKHWL >ORGLA07G0083000.1 pep chromosome:AGI1.1:7:8320851:8322400:1 gene:ORGLA07G0083000 transcript:ORGLA07G0083000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTMGSALYPLGEMRQSQRADGLAAVLAIGTANPPNCVTQEEFPDFYFRATNSDHLTALKDKFKRICQEMGVQRRYLHHTEEMLSAHPEFVDRDAPSLDARLDIAAEAVPELAARAAGRAIAEWGRPAADITHLVVTTNSGAHIPGVDFRLVPLLGLRPSVRRTMLHLNGCFAGCAALRLAKDLAENSRGARVLVVAAELTLMNFCGPDEGCFRTLLVQGLFGDGAAAVIVGADAERPLFEIVSAAQTIIPESDHALNMRFTERRLDGVLGRQVPGLIGDNVERCLLDMFGPLLGGGGGEWNNLFWAVHPGSSTIMDQVDAALGLEPGKLAASRRVLSDYGNMSGATVIFALDELRRQRKEAAAAGEWPELGVMMAFGPGMTVDAMLLHATSHVN >ORGLA07G0082900.1 pep chromosome:AGI1.1:7:8304156:8304737:1 gene:ORGLA07G0082900 transcript:ORGLA07G0082900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTELGVNSVPVTKRAREVAAKEEHATANGSGSEAGRYSGEEQWPGRWLEARELARARIQRASGREMARPVRRLTSRCWGRNRQRLTAHERGGRGCRSLGGAVETGRRLGIWEVAKWSEGREEAPFYMSGRESRPRXGVDWQRLQWRRKEGRSERGTVGQRRKTTGGWAGRHRGPSTDRGRRCRWAGEERWPEGG >ORGLA07G0082800.1 pep chromosome:AGI1.1:7:8290226:8295841:-1 gene:ORGLA07G0082800 transcript:ORGLA07G0082800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor [Source:UniProtKB/TrEMBL;Acc:I1Q9N6] MDVEYDVIVLGTGLKECILSGLLSVDRLKVLHMDRNDYYGGDSTSLNLNQLWKRFKGEGTPPAQIGASRDYNVDMVPKFMMANGTLVRVLIHTGVTKYLSFKAVDGSYVFNKGKIHKVPSTDMEALKSPLMGLFEKRRAGKFFLFVQDYKENDPSTHKGYDLNKMTTKELISKYGLDDNTIDFIGHAVALHKEDNYLTEPAIDTVKRMKLYAESVGRFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKIEFNDEGKVCGVTSEGETAKCKKVVCDPSYIPDKVRKVGKVFRAIAIMSHPIPNTADSHSVQIIIPQKQLGRKSDMYVFCCSYSHNVASKGKFIAFVSAQAESENPAAELKPGIDLLGPVDELFIDTYDRFEPTNDPSSDNCFISTSYDATTHFESTVMDVLSIYTKITGKTVDLSVDLSAASAAEDDL >ORGLA07G0082700.1 pep chromosome:AGI1.1:7:8284633:8287390:-1 gene:ORGLA07G0082700 transcript:ORGLA07G0082700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45100) TAIR;Acc:AT3G45100] MENVTGEGISDQIERFQTQLQMDERGRKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYVTGGLKVYYVPWKPFLMQNTLPTLFLTFPIVRTILIREKISVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLADIDQAICVSHTSKENTVLRSGISPEKVFMVPNAVDTAMFTPSPDRLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRIEEMREKFSLQDRVEMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPAPEDMVRAVRKAIDMLPGIDPQVMHLRMKKLYSWDDVAKRTEIVYDRAMQSPQTDLLERLPRYLRCGAWAGKLFCLVMIINYLLWCLLEYLQPTELIEEVPDIRPVHARLESVDDTCETQGKGT >ORGLA07G0082600.1 pep chromosome:AGI1.1:7:8280444:8283530:1 gene:ORGLA07G0082600 transcript:ORGLA07G0082600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAK16 homolog [Source:UniProtKB/TrEMBL;Acc:I1Q9N4] MSDDVIWHCIRHNHCSFMAKITTGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGIFYLYMKTAERAHLPNKLWERVKLPRNYEKAMEVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKVMTMPRKETQRHLRRMDKAEKAAQLEKNIESELKERLKKGVYGDIYNYPFKEFDTILEMEKDDVAPAEEEEEEEVEYVEGDDEMDDMEDIEDFGGLPDDEDDDDNDGETDEDDLSDEPVAKKPKGRGSDLRSNIGKKSKKLITEVERDDDMGTRRRTRM >ORGLA07G0082500.1 pep chromosome:AGI1.1:7:8258540:8261251:1 gene:ORGLA07G0082500 transcript:ORGLA07G0082500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMSCKRRKVSPASGTPVVLPEEMVIEVLQWLPVESVLRFRAVCRSWATALSSDQFCGFHTAKNKIKPLPPKLFFVSQTVGFGSTSVHASSPLSRSVPGGDDHHELLFTLDNVRGDFMAMTRTPCHGLTLLHDAMELEYYILNAATRFDQPSATLPNRAIWICWTGFRCPDRGVQGGXAVSXDNFWRTAYQVSDIHSRGQAWXLMEASXXRCTFQIPHCWNLFYFNFTTTXTSSSVCGWVSSLAYWLFVLFLEATCCHLILLCDRRDIQIGQVTALSGIRSALGGFLWYPVMVRDLHRISSTLEIWKLNDLYSSDWSLEHCIDLSTEHVARDLMKPDFIRVIGSASSSGMSGKKNVIIATSNRKAIAYDPTSETLETILEIKGAPLRYQTARSALGLISLFEDSLAPVCKTNEEIALSSPLAKVIKEALLRLPGDYAVQFKLVSKQWHRFIESGGFVCGYDMYNNRERRPKIRLVGKGTGGSSGFSFANIEKLLQESPSKDTWLDAKVVCSKPCHGMYLISTELEDYLYNPCTGYRYVRGTRGALVYIPNRIPSDRFRHDHAFTTGNKNVGLGFDPLMQEHPPLPVSDMPPAYMAGFLYWMSEPRLSQSKTRAILSFEIATKTFDVIQCPSCAPTRHNRSPCESFVVELEGMLCVVLANPFEEELDIWKREHGQWDRAYRVCLKGWPAYSLGANVVVPIAVDPKDGRILLNTGRKLGLYDPTKRVIENLYDLDEVLRVKQTDETLHVKDKEKTLQIQVHDGSQLKCQHSVRKFRIWLSPLEHDRFSYYEPAPASSRKNSACSNDTEIMPFVPILYEDSLASYPLAIKPRCLFSISYHTFKCNQVSSVAAFTSAQFCFYFLTFVPEC >ORGLA07G0082400.1 pep chromosome:AGI1.1:7:8213886:8214308:1 gene:ORGLA07G0082400 transcript:ORGLA07G0082400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRLVASHLNGNPDSLDDQLRFVAAAADASVADGLAYYSTVTTRLLARANSVTISSDDNVWSFAQSTALPPPSAPVFPSHMATGASRTWAPAATAFDLRDGVVDGKAEWSDGGGGVHAWENAKWRHGETAPTGSGWKTR >ORGLA07G0082300.1 pep chromosome:AGI1.1:7:8208341:8211416:-1 gene:ORGLA07G0082300 transcript:ORGLA07G0082300.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVNPSATAHSGNSVTSSGRRARGPTRMPSGMYTITAVDEDGNPTSPKSALTPYSNAIGVIARDDIPIKYRHWKKADVDELEWTVPNRYKDLAWERLKRTFQFPEGSEQRAKDRALQRMSVSFKKWKSDLASKFIRKGLTPNFEDNNYRRICLFWDDFVAYRASEEAKEISERNQANSAKNLYPHRLGPSGYAKNVDKWREREDELLEKGIEIETKDWSQRAKNYQYARGATLSDIGELVHKGPREQEVTQRLHDAHQKSSEGSFVPNREKDELTLALQNDEHPGRTRGVGLVPWREGFTEDGHRYRSRRYKNSGDQSEEIRQLKDQMALIMARLDSQDRGDAGRVISPGGRKSSCASTKVPQEPDMARYPVDDITVRTACRLHVPLQNITQEVARGMAHPVMEGGKIHSNLIPSGYSRVEVGDVPSRYRAVQLDFAPEKGINTLGEAVHNIILWRKRFIVFPSGDSDDEPSNDGSSSEGLSVSPQRMPTPPPLPHKSQSPPPAQRKSPSPPPAEHHRLASSDPPKKRGRLCKTSQMFEPPSLKKTKKAPLPNMSEPTLKEKKKEAANVKVPVAIQDHFIRMARSPAPLVPISNFRRTIRKKKLQEFSKEEFETSKTMQDFLEGARLNSLFDVRNIANAPLAAQYKLGHSLTTDEYRNIVGNCTQMRRVEEWYLQMAKEGKEMFPVFYRDEDFHHCDGIFWVPFKELFQLYNLMELDLSLIQLWTLMAALECRTTHGKLGFLDPQIINSRNIDQLGDKSEQAVVDYVPHWILLVIHLNDSKIVVFDGLRTPQAKFQSIIDTLNKALVRYKKKCIRHAPRANTFRVWAHPYCLRQDPGTSTCGFYLMRFMSIFMEDNNWNIMDAKKLKLPTSKLLPHACFGLAEQLCGFIFNHIISSDGAYNISKAPTGLVGFIEAGCPSDTLDSRSTTKEM >ORGLA07G0082200.1 pep chromosome:AGI1.1:7:8178603:8178885:-1 gene:ORGLA07G0082200 transcript:ORGLA07G0082200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYNQFSLKISGTPELLSLLITLRSERMGTRVHGTGKAYIYCSMNIPKTIRIAVLAVIGHWDSLWHLG >ORGLA07G0082100.1 pep chromosome:AGI1.1:7:8162690:8163071:-1 gene:ORGLA07G0082100 transcript:ORGLA07G0082100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSELHGPSRRPSCLLSARSSRRNIDRXRRHPAAAATPTESLTADKCRFKWNRYLFHLGRFILSPTAMYM >ORGLA07G0082000.1 pep chromosome:AGI1.1:7:8159412:8159825:1 gene:ORGLA07G0082000 transcript:ORGLA07G0082000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSIVHVLVEQSDEVADIFHLVDIGTICTTSDATRSTDGASGSVDNDDGLHIVEAGSPGRWPLLVGSGTFHVYILCYLVQNIFTTDFLCVLFPNGALTHLLCHFRGLTVQEVLAPLAAVSHVGLEGSSPPLSESV >ORGLA07G0081900.1 pep chromosome:AGI1.1:7:8141063:8152074:-1 gene:ORGLA07G0081900 transcript:ORGLA07G0081900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVFYQYSVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKFVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKIIGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIELCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCVPNRGRWNYNNKRLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQSRRCTPVVRVESMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENAPDNVPPGTVVDSGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLLDEIGFLPDEVQKLVLALSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >ORGLA07G0081800.1 pep chromosome:AGI1.1:7:8105868:8107009:1 gene:ORGLA07G0081800 transcript:ORGLA07G0081800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SADAMETASDPPPLRRRRQRQRRLVFDRRYGWIFDEWTDPADAALAGGRGMFCVLPMARSLVDVAVSSVTYAADSVSQALEHCGTSSPIAYLPPLSLHRKQQTWFRELEHVGVIADTKLIPCRTMCSLGCISTDGH >ORGLA07G0081700.1 pep chromosome:AGI1.1:7:8099654:8103468:1 gene:ORGLA07G0081700 transcript:ORGLA07G0081700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEATTSPQASVEDKANRVFLDFMTKVAQYDELVDAGKRALMMFHQELEHFRRPKLLTESGAISEIVKSNLSDRMRSYLEAGCTHHNENIQNMNKQYIFLVAVHSCQEKLNDHISKAKLLLEELHILEEDVYSTTLKACLSSLRHMDDCPDDNSLTNIFSEDEQQSGDLLDKAVSCASVMVLVHNMLKLDYTMQEKIVKALCIKTTSSELEGYCQMWDLRPYIDDNVIQLAWQFVS >ORGLA07G0081600.1 pep chromosome:AGI1.1:7:8095395:8097329:1 gene:ORGLA07G0081600 transcript:ORGLA07G0081600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72030) TAIR;Acc:AT1G72030] XVRQQPWPSFSDHPRSSPPPNPRRPPDSDSPSLHRFLAAAMAAPARRAASWSQEEGGGETGSPAFPRRRRRRRRRRSAWRETVGRRMGTRTGTWRGRARWGVRRMGRVGEEMRRVALVQAEAFHVPVALFNDFFFDFFKAEVLSALIYRVRNSPPDRYACLVAEEVDATSQISEAPFEKIVGVVDCTVQNEADILKNLQGVDEYLYVSGIAVLPSFRRRKVGTALLKACEALALQWRHRFMALRAYEDDDGARGLYSKAGYRVVAKDPGWVTWVGRRRRVLMIKELPIHEHHLEQQ >ORGLA07G0081500.1 pep chromosome:AGI1.1:7:8060237:8064629:1 gene:ORGLA07G0081500 transcript:ORGLA07G0081500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erythronate-4-phosphate+dehydrogenase+domain+containing+protein [Source:UniProtKB/TrEMBL;Acc:G8JBD1] MAAAARLVSTTLARSSSLAAAARRPDLLASSPRGFSSMADSIQRSGSGDITRVLFCGPYWPASTNFTKEYLQSYPFIQVDEVGLEEVPDVIQNYHLCVVKNRRLDSDTIAKASQMKIIMQYGVGLEGVDVNAATEHKIKVARIPGSTTGNAVSCAEMAIYLTLGVLRKQKVMDTAVKRKDLGIPVGDTIFGKSVLILGFGAIGVEIAKRLRPFGVKILATKRNWSSDTLPCDIDELVDKKGGPEDMYEFAGEADIVITCLLLTNETVGIVDHKFLSAMKKGSYLVNIARGRLLDYDAVFNHLKSGHLGGLGIDVAWTEPYDPEDPILKFSNVIITPHIAGVTEYSYRTMAKVVGDVALKLHSGEPITEVEFVN >ORGLA07G0081400.1 pep chromosome:AGI1.1:7:8052518:8052787:1 gene:ORGLA07G0081400 transcript:ORGLA07G0081400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERREQTTSPLRCFSPHRAPAMPYPRPLPPPLHSAPAQRAVLSTPCASAVLPAPAPPLPFQHHPAGSPSPPRAVAGSPLSGRRRYIVV >ORGLA07G0081300.1 pep chromosome:AGI1.1:7:8043011:8043408:-1 gene:ORGLA07G0081300 transcript:ORGLA07G0081300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGDKRNCNPQSSHTTYLQAISVVTAPKSSTVYVSVQGKSATDDLSYFKSTQTHTVSYMKNKIPHIDVFLTEQDFKNNEI >ORGLA07G0081200.1 pep chromosome:AGI1.1:7:8026986:8029750:1 gene:ORGLA07G0081200 transcript:ORGLA07G0081200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVGGGGWPAGGSATPALRSNGSVAFIYKDMFFTYPINLYELNILLKILVDEVGLEEVPDVIQNYHLCIVKNRLIDSDIIAKASQMKVIMQYGVGIEGIDVNAATEHKIKVARINGSTTGNAVSCAEMAIYLTLGILRKQKMMDTAVKRKDLGSPVGDTIFGKRVLILGFGAIGVEIAKRIRPFGVKILATKRNWSAETLPCDIDELVDKKGGPEDMYEFTGEADIVITCLLLSNETGSYLVNIARGHILDYDAVFDHLKSGHLGGLGIDVAWTEPYDPEDPILKFSNVIITPHTAGVTEYSFRAAAKIVLTYS >ORGLA07G0081100.1 pep chromosome:AGI1.1:7:7995917:7996891:-1 gene:ORGLA07G0081100 transcript:ORGLA07G0081100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRPCRPERPWHGVRRGRQPGLLQRAAQRVPGPPQRHQRRRHGQSPARRRARHHADDEFRDINDSHVGIDINSLHSLRSYSAGYYNDDDNNNGFRNLTLISGKAMQVWVDYDRETTRIDVTMAPLAVAKPKRPLVSARYNLSKLLKDVAYIGFSAATGGTLRSRHYVLGWSFGLGRPAPAIDITKLPKLPRTVSKDRSRILQITLPLSTAAFLLTVGAAVFMLVRRHRRYSELLEDWEIEFGPHRVLYKDLFHATEGFKNSCILGIGGFGRVYRGVLPTSKSEIAVKRVSHGSRQGMKQFIAEIVSLGRLQHHNLVQLLSYCRRSG >ORGLA07G0081000.1 pep chromosome:AGI1.1:7:7995491:7995892:-1 gene:ORGLA07G0081000 transcript:ORGLA07G0081000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSLDRYLHDEEGQCSLDWVKRIHIIKGVASGLLYLHEEWEKVVIHRDIKTSNVLLDSEMNGRLGDFGLARLYDHGSDPKTTHVVGTIGYIAPELGRSGKATPLTDIFAFGIFILEVICGQKPIKQSREGH >ORGLA07G0080900.1 pep chromosome:AGI1.1:7:7995155:7995490:-1 gene:ORGLA07G0080900 transcript:ORGLA07G0080900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILLVDWVIHHWKNGTLIETVDKRLEGNHDTDEAILVLKLGLLCAHPFSNARPSMRQIVQYLDGDMALPELMPTDQISNQTEGLDQYIQTGPQSTIPVNASYGTMSSLSGGR >ORGLA07G0080800.1 pep chromosome:AGI1.1:7:7984580:7985026:1 gene:ORGLA07G0080800 transcript:ORGLA07G0080800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCRGHPPLPLPLSQWRGRCRTVLWQAHTSATSLRHFPIQWALEVVIVAVVHTERAVDWWQRSTHWRGPWPPPLPSRPRAPPSTEQAACASGSATAAEQAARAPGTATEQAAAAVEQTTATEQATAVRAAAAEQATTAEQAAATAAAE >ORGLA07G0080700.1 pep chromosome:AGI1.1:7:7956504:7961848:-1 gene:ORGLA07G0080700 transcript:ORGLA07G0080700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial+prohibitin+complex+protein+2 [Source:UniProtKB/TrEMBL;Acc:G8JBC6] MNIKGGGRVPVPPAGAGTLVKLVVLGGTAVYAAVNSLYNVEGGHRAIVFNRIQGIKDKVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPMPEKLPTIYRTLGENFNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRKILTERARNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREISHTMSSSANKVFLDSNDLLLNLQQLTVANKSKK >ORGLA07G0080600.1 pep chromosome:AGI1.1:7:7952871:7954062:1 gene:ORGLA07G0080600 transcript:ORGLA07G0080600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNRHWGVIWSIHWRAELSCRCQSSAEPGPAQQASSFGQVTVRSRTPSRTTSILPHPPFVLSIRPLLGIIVKIDQEHYTPTSASLPASGDSGGNPSRSRQSKWPPKPATCEVGGGLHRSGVADSKVEDGRRDAGVEAGMQEKVVQAWSGRRWRGTGGRVQRWGTGGDNGGDGEPPTRQTXPDPRWTSLYLEPSGGGDRRRGSDVMWWDCSDGGNVEAVAAAVGAKSGTLWPNPVVGDSDRPV >ORGLA07G0080500.1 pep chromosome:AGI1.1:7:7899901:7902686:-1 gene:ORGLA07G0080500 transcript:ORGLA07G0080500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMANEESPNYQVKKGGRIPPPRSSLIYPFMSMGPAAGEGCGLCGADGGGCCCRHRHDDDGFPFVFPRSACQGIGAPAPPVHEFQFFGNDGGGDDGESVAWLFDDYPPPSPVAPAAGMHHRQPPYDGVVAPPSLFRRNTGAGGLTFDVSLGGRPDLDAGLGLGGGGGRHAEAAASATIMSYCGSTFTDAASSMPKEMVATMADVGESLNPNTVVGAMMEREAKLMRYKEKRKKRCYEKQIRYASRKAYAEMRPRVRGRFAKEPDQEAVAPPSTYVDPSRLELGQWFR >ORGLA07G0080400.1 pep chromosome:AGI1.1:7:7874516:7880991:-1 gene:ORGLA07G0080400 transcript:ORGLA07G0080400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:I1Q9L2] MGKHSAESGTSMLLHGDLDIQIVEAKCLPNMDLMTERMRKCFTGYGACSTECGKSDPHTDVRKIITSDPYVSVCLSGATVAQTRVIANSENPKWDEHFYVQVAHSVSRVEFHVKDNDVFGAELIGVASVPVENITPGDTVSGWFPISGQYSNPMKASPELHLSIQYKPIEQNPLYKDGVGSDGCQSIGVPNAYFPLRKGGMVTLYQDAHIPDDFCPKIEIDGGRVYEQNKCWEDICHAIAEAHHLIYIIGWSLYHPVKLVRESTKPVPNGSPPTLGGLLKSKVQEGVRVIVLLWDDKTSHDKFLLKTDGLMHTHDEEARKFFRHSGVHCVLAPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQVIGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFKDDFHNPTFQVNKSGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKSAKWKVSVRRAVSWHHDTLVKINRMSWIVSPSADELNARVCEQDDPENWHVQIFRSIDSGSVKGFPKLVQEAESQNLVCAKNLQIDKSIHNAYVKAIRSAQHYIYIENQYFIGSSYYWSSNRSAGAENLIPIELAIKIARKIKARERFAAYIVIPMWPEGNPTTAAMQEILFWQGQTMSMMYKIVAEALQKEGLHDTHPQDYLNFYCLGKREVSNDVSTTSQSNENSPQRLVQKFKRFMIYVHSKGMIVDDEYVLIGSANINQRSMDGSRDTEIAMGAYQPHYSWAGRKKAPRGQVYGYRMSLWAEHLGTVEECFRWPHSVECVRQVNEMAEENWARYVSPEMVNMRGHLMRYPINVERDGRVGPVHGYECFPDVGGKVLGTHSSLPNALTT >ORGLA07G0080300.1 pep chromosome:AGI1.1:7:7867831:7871173:1 gene:ORGLA07G0080300 transcript:ORGLA07G0080300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G21350) TAIR;Acc:AT1G21350] MSLATAAAGAQPFVRSSSSAAAASSSRPLHAVAAARHRRPHGSLAAAAAAARRRRRRPLLQVRAARTESTGVSVGFRAPQFELPEPLTGKLWTLDDFEGNPALLVMFVCNHCPFVKHLKKDIAKLTSFYMEKGLAAVAISSNSIVTHPQDGPDYIAEEAKLYKYSFPYLYDESQEVAKAFRAVCTPEFYLFKKDGRRPFELFYHGQFDDSRPSNNVPVTGRDLSRVIDCALSGQELPFVPKPSVGCSIKWHP >ORGLA07G0080200.1 pep chromosome:AGI1.1:7:7860219:7860501:1 gene:ORGLA07G0080200 transcript:ORGLA07G0080200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYNQFSLKISGTPELLSLLITLRSERMGTRVHGTGKAYIYCSMNIPKTIRIAVLVVIGHWDSLWHLG >ORGLA07G0080100.1 pep chromosome:AGI1.1:7:7822839:7823659:-1 gene:ORGLA07G0080100 transcript:ORGLA07G0080100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPSAVTTAAAAARGGGRVLQEGGGEGGGDGGGGHGRWRAAGVQPVVAGYNCYTPPSLLDPMERREGKEKKMSHLQVIMSLATLFGGCRFIGHRASHSPSGDSGGYR >ORGLA07G0080000.1 pep chromosome:AGI1.1:7:7812913:7819205:-1 gene:ORGLA07G0080000 transcript:ORGLA07G0080000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALLRILRTLGSTAHLTQAHARLLAAGLAASPRLLPALVAAAFSAHSPRYAAAALRAAGPAASTVSHNTLVERLAGARPRGRRPAPAPAPADALAAYAAMRAQGVPPNGFTFTFLLRACALLGLPRPCGCIHGQIVRCGFGSDVFVQNALMDVYHRCGGGGGGGGGVGAARQVFDEMVDRDVVSWNSIVGVYMSSGDATGAMGFFEAMPERNVVSWNTVVAGFARMGDMVTAWAVFDRMPSRNAVSWNLMISGYATSGDVEAARSVFDRMDQKDVVSWTAMVSAYAKIGDLDTANELFDHMPVKNLVSWNAMITGYNHNSRYDEALRTFQLMMLEGRFRPDEATLVSVVSACAQLGSVEYCNWISSFIGKSNIHLTVALGNALIDMFAKCGDVGRAQSIFYKMETRCIITWTTMISGFAFNGLCRDALLVYNNMCREGVQLDDTVFIAALAACAHGGLLQEGWSIFNEMVERYNIQPRMEHYGCMVDLLGRAELIEYVSKKITELEPFNSSYQVLVSNCSALEGRWDGVIDARTSMRNWGIEKVPGSSSIQVGSEVHEFLAKDTRHKRRKEIYETVDGLMALMRHTEQAHWDLFVASTGAIQCLIGQQLLTWVKGTFLLFYNCIRKIIEVLITRLLSEDXNXSVLQIRASAGSKDNHWTNWWSQLTRAIIILGFIETRDLHTKHXSEVYWPTGIPETHGTIFTLCIQRPVLPCLPCSPKLEQGE >ORGLA07G0079900.1 pep chromosome:AGI1.1:7:7789851:7792662:-1 gene:ORGLA07G0079900 transcript:ORGLA07G0079900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAQRHHRGRRRGAAGSAARTPRGWCCSFAGVPQSPDLRPFPPSLAPPATAASSSPAPGGGAGRNKLPPKSPSISSFHSSPTSSRLAGLGGLIDPRRILSPGRVSPIDLDDSATPLPLPLPLPPPPVTPAAETVVVPAETSAAVAPLVVASAEADAAGDEALDLRLFLRGRDGSTCVVMELDSGVLCDSSAFFAAMAPPRGPAGDGGGSGRRIEVDGVDNVEAFRAAVELMYQPDPLRWLAAAGVSRSIDVLEVSSSIMFERGVKLCLSYIEAVPWNENEEEKLKNLFARCTFDEAISQDVLARLRPHSWSSSEDLTVHLIQSVTSSTNSGARKDMQSLVNGLLSKSSVYQKDMAGLNRESLYNICYACLNSLVDLYDEATEATNHTAQALVIKGSKPFIERISQQTENLNWLLDILVNIDMAEEFVELWAKQDRLIRIHEQASPMMRYELSRISASVFIALGKGKVQCRGELRSLLFYGWFSPMLLDFGWLQRCSKGLDVRSLEENLGQALLTLPLKQQQCLFEEWFQCFASKGSECPNLTRAFQVWWRRSFVRSSVEGQ >ORGLA07G0079800.1 pep chromosome:AGI1.1:7:7785302:7787988:-1 gene:ORGLA07G0079800 transcript:ORGLA07G0079800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLSAALLRLLPCSRRRYAGGGRHEQTAFGGFYIIDEQSGAGSESLIELQPALCAGMCGAGTSRRLNYSRTYQGFNREVHFTLESLENNPPPRSQELLYGFNSSALNRAFLVGF >ORGLA07G0079700.1 pep chromosome:AGI1.1:7:7775843:7777405:1 gene:ORGLA07G0079700 transcript:ORGLA07G0079700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAALLRLLRSGASAGVRGRPACAAVHPLAVKSGSGSDARVATALADAYAKSGLVDRARRVFDETPLRDQVLWNVMVSCYSSHGLVRECWDVFGSMRRSGFPGDGFTFSALLSVRASSSSCYDHANLLLVLGSSVHGIVIRLGLHLDVVVATALLDMYAKCGQVAEASRVFDAMVLRNTVSWNAIIVCYGKHDRGKEAFDLFVSMMRHGFCPDELTLASLLSSCADMAAANEATQLHAYTVRRGLQDFLQVGNALIMAYGKNGFVQEAKRTFGMIHNPDLVTWSSMVSSFAYLGLAKSAIDLFDRMLQQGIRADGIAFLGVFSACSHAGLIEDGFKYFLLMTRDYKIDPTPQHLACLVDLLGRAGRIRDAYEFLVNMSCDANVDVIGAFLGACRMRGNIESAKWAASRLFSLKPDDPINYLLISNTYAAAGDWNELAKVRSVMRNMCGNKVPGCSWIEIGGIVQTFVSNDMMLHQSREMQRMMELLVSLVEQDCNGDDTICNDPSSILKWQDFYLAFD >ORGLA07G0079600.1 pep chromosome:AGI1.1:7:7765756:7765908:-1 gene:ORGLA07G0079600 transcript:ORGLA07G0079600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSILHVIGGLPIASYDRVALLAFVITVVAAPFCLLVSLTMVVSTGT >ORGLA07G0079500.1 pep chromosome:AGI1.1:7:7758906:7764166:1 gene:ORGLA07G0079500 transcript:ORGLA07G0079500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKNASSISFEDIEKSISNWKIPKVNIKEIYHVDTNIHKVLTLNLQTSGYELEPGSENISVTYRVYYKAMTTLAPCAKHYTPKGLTTLLQTNPNNRCTTPKTLKWDEITLPEKWVLSQAVEPKSMDQSEVKSLIETPDGDVEITFASKQKAFLQSRPSVSLDSRPRTKPQNVVYATYEDNFYEPSISDFNINVIELDVGFVIALEEEEFEIDKELLRREIRLPKNRTKTKRYLEEVDKSFRMKIREVWHNKMREQRRNIFFFDWYENSQIIYFEEFFKTQKNGKGDIRGKNCFPKSTYEKSIENNFLGYLEEQQKTQDLSPQQQDSKTIPMEPIILRSHEEPSSHSQFKIYIQPNPNKTLCYHSQTKNSFERKSQNQISAEALCTNNEILVHKQDLFGGIFVISDTNQFGTFNPEEERTERKLKINDLFQEQNYTKKELRDLKGKIRSLELQNNSCMGQDEEVVNSINSYVKQKWYAEFLGYKIQYDRVQPVARVIEFAEKFPDEIKDKTQLQRFLGCLNYISDFYKDLAKDRKILTERLKKKPPAWTAKHTQAVKKIKGKVKTLPCLYILDQDAFKIIESDASDHGYGGILKQKKDSREQLVRAMAPKRDLSARGRTTESSPNKHKYNELGNIIPRTPTVQEKYGNNSSYILNIEEVILPLEFGDSDLNIIKIMGKYFPQHQYFIPECPGKDQNYYETILCETRSAQIFHTRNGDELGFTKLLIQKIISIDDWDKSSNPYVARTIYSTSCANKRYNYWDYQKAWERVLLVQNSQMKHSWFIRFKEGCEEIPLWFFSNWWLKAGAIPEILPQEIIKVITQESKKDLKEYPFILMQFCAETGMPWILKWDLNIQRMEFPATLKRNYYARWWDKFAITPVIEGRKFRAKNKKSHVAQLKEDITRELLKARPELTKGELQLQVYETMFKRLEESSKSSSTCRSLDEDMIQCSQIKPSSPIPPYYSIKQDNDSDEGISYFNPTHI >ORGLA07G0079400.1 pep chromosome:AGI1.1:7:7751709:7754647:-1 gene:ORGLA07G0079400 transcript:ORGLA07G0079400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTARQFLASAAELGSGRRRCGGGGACDMREDGGVEALMQCQRVSDLLIAASFLSIPLELFYFATCADLSEVKCAVLHFCAFIVLCGATHLLAAFTHAHPHSAPLLRALTAAKVLAAVASSAAAVSLLTFIPKLLRIKVRESLLRDKASRLHRDLGLVRRREEATSRAVRELTGRIRASPPDAHAILRTTALQLADALGLHACAVWMPAAGRPHDLVLVHHLTSRPDDAADLLLEVGDACTVAADDPDVVDVRASKVAKVLEPDSALAMASSVGAAPAGAVAAIRIPILRVSIYDGGGTPEVTEASYAILVLLLPPHDAAGGWSSHDLEIVQVVADQAAVALSHAAVLEESRSMRDRFAEQHRALMQAKHRAAMATRAFSSIQSAMCHAMRRPVHSIVGLVSMLQHPEADTMRPEQRLAVDAIARTSNLLSALMDEVTVNRQHLSVQRKPFSLHALIKEAISVAGCLSHCGGAGFLHQPECALPEWVVGDERRVFHLLLDMVGTLLNRCSTESGACRLSFSVRICNVGEERYSLDWIPMRPTFSGCNVCVKFKVGIGRSRSCAIERSLPCELPRRSAATTSSQMGHIFSGYFNKIVQMMNGNMWSASDSEGVGESVTLILQFKLQQGHVEASPPYIPHLNGLRVLLADDDAMNRGVTKKILERLGCQVMSAPSGAHCLSLLASAEASFQLVVLDLDDRAMPSAAMDGFEVALRIRELRNSCWLLIVVAVAAGVVATDDGGAVQELCQRAGINGLVQKPVTLPALGAQLCRVLQDN >ORGLA07G0079300.1 pep chromosome:AGI1.1:7:7750186:7750431:-1 gene:ORGLA07G0079300 transcript:ORGLA07G0079300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKVRMSCRLGTMVALVLVGVVLAAILQEATVDAASSSSDSPAAKSGTGYLDYGNLKAKLPPPGVAVTKRPCIAKEKCRG >ORGLA07G0079200.1 pep chromosome:AGI1.1:7:7737954:7740961:-1 gene:ORGLA07G0079200 transcript:ORGLA07G0079200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPASDAAAAAGEEEEDAAWADALDFDDSGFLRRGPASSPSHLRSPRPDDPAPAASLAQGAARGLRSPPHLPSSGPAARGHGHGHATDPDFSLAPWLHALGSLGEGGVEAVIGSDIPCLVLRFRLLIVAWVVGVVTSCAPNRLGDLFLSLKDPSGTVGASVHQKVFTKEDNMVVSVGSVIVLKNVAVFRPSHKGCYLNITKENLEMLVPKDFCFPSKQVFSSSPSESQHPVKCQETWGSSCQGDNRIRKTGVETYGQTTANAVRDSTLRMDKGSAQGVGNHLDIRMKEKDINPSNNNTPSCNANQQFQKTSCDSASSDEKLSQPLEGERVHPNSKKQRGDAVLPDNVMSSTNIETYGLANNLNIGLDDVAHLVEHASIKKPNEHQQKDFITGTLGIVLPTQENSSVSNSDATTVSASLHSQPNKMASVTEWTDDQLSELFADY >ORGLA07G0079100.1 pep chromosome:AGI1.1:7:7733831:7736741:1 gene:ORGLA07G0079100 transcript:ORGLA07G0079100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G08455) TAIR;Acc:AT4G08455] MWCQSCKEEYEEEDAGTCKECYEEASETEEELKREIDDLRSRLHFLRLPSPSLDASSLSHSDLLLHAIPADAPARPDTPAVPAHRVILASRSPVFRAMLENEMEESRSGIIKIYDVSYDVLRAFVHYMYTAEALLDEQMASDLLVLAEKYEVKNLKAYCEKFLTSKVSNDNAITHYAFAHRHSAKQLLETSLAAIMDNMSTLADREEYKELVEKDPRLVVEIYEAYLNRQVNTAAGKETDSSSRKG >ORGLA07G0079000.1 pep chromosome:AGI1.1:7:7721831:7726131:1 gene:ORGLA07G0079000 transcript:ORGLA07G0079000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal+transporter+Nramp6 [Source:UniProtKB/TrEMBL;Acc:G8JBB4] MGVTKAEAVAGDGDGGKVVDDIEALADLRKEPAWKRFLSHIGPGFMVCLAYLDPGNMETDLQAGANHKYELLWVILIGLIFALIIQSLSANLGVVTGRHLAELCKTEYPVWVKTCLWLLAELAVIASDIPEVIGTGFAFNLLFHIPVWTGVLIAGSSTLLLLGLQRYGVRKLEVVVALLVFVMAGCFFVEMSIVKPPVNEVLQGLFIPRLSGPGATGDSIALLGALVMPHNLFLHSALVLSRNTPASAKGMKDACRFFLFESGIALFVALLVNIAIISVSGTVCNATNLSPEDAVKCSDLTLDSSSFLLRNVLGKSSATVYGVALLASGQSSTITGTYAGQYVMQGFLDIKMKQWLRNLMTRSIAIVPSLIVSIIGGSSGAGHLIVIASMILSFELPFALIPLLKFSSSSNKMGENKNSIYIVGFSWVLGFIIIGINVYFLSTKLVGWILHNALPTFANVLIGIVLFPLMLLYVAAVIYLTFRKDTVKFVSRRELQAGDDTEKAQVATGVADEDSKEPPV >ORGLA07G0078900.1 pep chromosome:AGI1.1:7:7683901:7696836:-1 gene:ORGLA07G0078900 transcript:ORGLA07G0078900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPARVVRETFLSYFESKAHTRCSPSTILAAKDSPLLFADAEMNQFMPVLLGTAAQGSQLGCPGRACNSLRCIPVAVDDRHLTFTEVLGNWSFGDYFKEAIGFAWELLTKVYELEVDRIFVTYFGGDEKSGLAPDLESKHIWLKYLPSDKVLPLVNKGNFYQNGDTGPCGPCSQIYFDQIGHHDVASLLNNDDPGCIEIWNLVFFQFNKEAGGILMPSSAKHVGTGLNFEQLTSILQKKKSKFDTDILMLTIDSIRQCTGNEIQPYSGKFGPDDINEVDLAYRIVADHIRTSSFAIADGSQPGIEIARNMLRRAIYFGHQNLKAKQRFLTILVSAFIESMSGDFPELLHNEKKIKDIIAEEEITFAKDKKKFKKKDSKRQKKEKNNTEQNVVVTRPPKVSYKTRTIDFFGRPTHIIHQHENGPCGLIAICNVLLLRSEIGLFLNKTEVMEDDLLSRIISRLKRCSTNGFVFSPEYALFDYLEIPVFHRWLVDQDSELASAIATSSYDELNLEVGEYISQKEAMGIKGRVEQISYFLQGPQLTAYGLSCLHKDLEEKKPCVLFWNNHWSTVIKFEEELYIFSFRFRFLIKXIRCCLAKVGRCMSLFTTNFCLIEMHVLMSKSWHIRXMGVVHLWIAPSHQLNMPVKEQASTLIRLVKFSNQESADPQWWPQGLGGKNEWDHTGRNAPAGKENTINPDIPIPYHEDLSAVEVVTEEQLPPAEITDVILPQKVHSSSSTPEVARSDQLKDAAASQTPECSIQAVPYSTPISSPQPLGRQIIAEEERAQLLFGSFGCYDLKYWPSYPTVVCNSDVMAKSVPTVSNKRGFSSFVDKPLYISKASSEHRAQQSAFTNRFLEFLRGFGLGNTEEPYYKGTAASMVFLDLPMMDVKFDHIKIFDNELALMICHDFERSRLDLNYAAKSFIMDFRSQLEGMFMKKFESFDNIIVRIDGLPKIDSLMSLEAFVKLPGNHFVEPRTLFATGSSLTVASGTRVGRIIATGVLQEILKAHASRNSWNGSFKRKNILVRNGCYSEISMPFHAEFSRDSMLNDYVAYFDEVISLFELKGVGCPAFFPWMRKSLLRFMPAPSPSFCDEFRHFQCFAMAQFALKRPVVRIGFLSNLYRLRRCANRQVRKTLLAILRSLSLRSDWRVIVLLHSHPILVKVYLFNKKKDKEGNGGGKTKEGNGENSKDQKGGEKTNDADYKMAKLTKYDNSANHLVIYTRHVIEHGMDPAQLESDDEVLEDEMYQLDDESIEMLSELDLLYSHYLDSQLNDMLEELFYSGMMTKELWQVFEEILDVRNMVEPL >ORGLA07G0078800.1 pep chromosome:AGI1.1:7:7679419:7681845:1 gene:ORGLA07G0078800 transcript:ORGLA07G0078800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed+protein [Source:UniProtKB/TrEMBL;Acc:G8JBB2] MSSSLIPPPLADSDGASPPPDWVLIDIWCYIGDLPNATTAESTTSTDLPIKVTFRTARPPLLSHLCVHCPGLDLLRVTPKIIASHADLLLLVVPFDPLTALSSGTWDYFVYRVADPPLLHLIPPPPRSMRFNDSEVAIVSHGDGEYAVAALAFAGTFLSVNKDFHLHLYHGGKQQQGEWVSKLLTLEDRLRDKLVPLPKAAAEYRFYQETRKTIVIGGERGTVGWVDLWRGIIFCDVLDDHPVLRDMPLPLPASGNWDRLLKQTDPNYIRDVTVSLCRDSIKYIELEIVGTGETHTTVQPTESYQQWVRRKPRYTSSVVLRCGWKATIWTMPIPVVSWEHWCRDCHLNVKDLGINVRDPSHLKLLSKLSGCGHSKAALRSVAMVFPTISMDDDHVYFFSIAGSTDKLEAVVTVDLRNKKIQGVAELDVRKYYFGMPTYIASEMSTYLKKVTTGTGEVAHGQTESAAVEVRRM >ORGLA07G0078700.1 pep chromosome:AGI1.1:7:7638393:7638892:-1 gene:ORGLA07G0078700 transcript:ORGLA07G0078700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVLCKRLTPLFLAAHASSSSVTCAGAAAAARTGMALMKNNPMKPPFSDSINGAKRPFSSTSTKNTDPL >ORGLA07G0078600.1 pep chromosome:AGI1.1:7:7621788:7625888:1 gene:ORGLA07G0078600 transcript:ORGLA07G0078600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WVIEKDQKWIFLVNLTVAMIVVXVLIIMMVMFIYLIMMKVLIIMTNILRKMILCFIXLKKSLXRNXKXSLVKGHLHMTIKKKRQKVESDSIIDDALLEIHNDLVLHSLKMKLSMLGEQSENKRKTFEKDNKCEEVFTRFNVKYFSKVINNLSVHDKEVIGRICFKSLLNFQSSFVPNQFASWIANHVDVSSSNIVVDDKVIPLIEDCVHIILGLPVGGIEISFNFELGKNKILETFGKSAMPSVKFFGDKFIKGEHMIDDQILISFMLVSLNCFLCPNSSLVPSNKYLSAFENIELIDNLNWSKLIFDWLMKHIRKLEKSKSLGGCFYCLAVNYLDYVNFGLRKLPLDIPRINVWKGNMIKEFSKFDKKSKGVYGRRPLKDISSTCYKMIDTVASSDDVPKKHNNTSFFEMVNSSIPNMLPVDIKNKIHGLLVHYFGNEDDMADERPKKLLVDVLALLADASKSNADTNLSCEVDKLSKSCNPTDQNNDEKNDVTSAHSPKDNMDNDIDDNRNANNKEEIDNVDVAKIMKLTKEGQEFVTPVNCNPDSNIPSCLAPNKAKSRIVGFNNREPVLSDDDFPKFQIWDPADDIDILNNEVTPVFDHNKKYIVPDSFSPIPAKQAIXKLISTKNLSXTLEDNIEDSVNCSDNEDKENVNTAQQFIKQNSIKKESPDCVILGERKFSENCVDLSNQADIMYNRLNRVVKIAPEICNESYRSPKRVLLCNMSKSIGQYSQSKSQKDLRRIINPAKYCTDPYTPERQSFQVSQYQKDIYTAVCELSTSKIHEYVLFPFVSYYFLSXNXVICTVKCVSVMSTCHFIYIHVXMFDISFS >ORGLA07G0078500.1 pep chromosome:AGI1.1:7:7601244:7607887:-1 gene:ORGLA07G0078500 transcript:ORGLA07G0078500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERESSERGSISWRASAAHDQDAKKLDADDQLLMKEPAWKRFLAHVGPGFMVSLAYLDPGNLETDLQAGANHRYEVFLLWVILIGLIFALIIQSLAANLGVVTGRHLAEICKSEYPKFVKIFLWLLAELAVIAADIPEVIGTAFAFNILFHIPVWVGVLITGTSTLLLLGLQKYGVRKLEFLISMLVFVMAACFFGELSIVKPPAKEVMKGLFIPRLNGDGATADAIALLGALVMPHNLFLHSALVLSRKTPASVRGIKDGCRFFLYESGFALFVALLINIAVVSVSGTACSSGNLSQEDADKCANLSLDTSSFLLKNVLGKSSAIVYGVALLASGQSSTITGTYAGQYIMQGFLDIRMRKWLRNLMTRTIAIAPSLIVSIIGGSRGAGRLIIIIIVFSWFLGLLIIGINMYFLSTSFVGWLIHNDLPKYANVLVGAAVFPFMLVYIVAVVYLTIRKDSVVTFVADSSLAAVVDAEKADAGD >ORGLA07G0078400.1 pep chromosome:AGI1.1:7:7594849:7597276:1 gene:ORGLA07G0078400 transcript:ORGLA07G0078400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLRHRPQPQSEGSSRQTGSASSAQAGNGGSSPTNVEDDESNTCARTGCGDRLEEADRPRIVPAGDAFEVYPYISSRRPSTVQGALLRKFYPGAFGLVECRTPALTWRDYKRSTNERIMSPADRVLKEFWYRFKCDPTDKVEADKVLEQNFKKKVPQQHTKGGQQGLAAAWQHTHRMQQGKNEQLCNQRAAEAWGCLSRGMEREYGPNWQVEKPDLDANVIYNSTGRMPHGRLAIANEEISNKDKDAIKSRKRAVTPPPSRVSAREIYQQKKIKCLERDNASYRGLECVVRALAAKGGLDYETLVRQYAPELASSTKDVGSAPDHHEAEYQHDQ >ORGLA07G0078300.1 pep chromosome:AGI1.1:7:7558727:7558984:-1 gene:ORGLA07G0078300 transcript:ORGLA07G0078300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYVRLVLTASLWILSALAVGGARLHADESGGAGKLWSVVTVVFGWSGQPDYIDAQPAAPEEEWSMVVVAAAASECNFGSKFWDP >ORGLA07G0078200.1 pep chromosome:AGI1.1:7:7553683:7555558:1 gene:ORGLA07G0078200 transcript:ORGLA07G0078200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYQAVLDPDNQKPSSKNPGYFLSKHTCAWLASGFVLLALLHLLCCAPAGTRPAAAFSPLLQYINNTYSFVSTVPGVGKSCNYSDGKWVWAPGHVLRYNATRCNVKATHDCIRNGRPDTGYLDWRWQPAAAGCTLPAFDAGSFLAAVRGKHVAFIGDSMARNQAQSLICLLTAAFPYRLQYRATGDPGKYNLWRYAFPSHAVTVSYYWAPFLVRAEGKSVDDSVPHNYVHLDEPGERWSADAATIDVAVLAAGHWLMNGAIYYNGSEVFGVHNAPEEFANRTKVGYAWPLRLAYRTAMERLVGASRGTPRDMVLATFSPSHFEGRPVQSPTACTRMEPYREGEKELEWVFREIRDVVYDVAAEARRGGGGGETTVRIEVLDVTKLASMRPDGHPGVYMNRDPFANGVDENMFSDCLHFCLPGPVDTFNEILVQLLKKWR >ORGLA07G0078100.1 pep chromosome:AGI1.1:7:7536889:7539918:-1 gene:ORGLA07G0078100 transcript:ORGLA07G0078100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAASPGGGRRRGTAGEAPRSEKRPRESQGLESESGSDGGSGSDSDGDFVRNTFLGYELLGEFCFLIEVLPGGLDCSDLREIVCLLRLIKGGVDREGQKMCEQIIASVAADIQTMLEDTKLKFEKQRQNLLKVLSNTSKEQCDNSLSKEFIKFQESYEIFCKEKDVHVQAFRDLFSKVEVEKKALLEQFENHRKEETATLSELDNTFSEKITRAEQSLRKTEVDNTFSAKITHAEQYLRRTQFNNMFSGKKTHEEQSLRRKEFDNTFSEKVTHAEPLRRMKQDDKSFIILRKSVGSFLEFGSDDDFDLDDD >ORGLA07G0078000.1 pep chromosome:AGI1.1:7:7535354:7535858:1 gene:ORGLA07G0078000 transcript:ORGLA07G0078000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVRATRATAEMFNDRPRRPGNKLEFRWVGPSDADYHIVKKLKLMSRRHELDNLALVKHELEEEHFLAKHQEEILNCNQRKLEVMDSIMLTGKFTHLQHIYSVKVDEVFCNKWLV >ORGLA07G0077900.1 pep chromosome:AGI1.1:7:7474806:7475131:-1 gene:ORGLA07G0077900 transcript:ORGLA07G0077900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNFGASRPTSLTGSAGDPPLGVLSDITNLSAAELRRKRARERYALLSVDEKEARNKKAREKRRQKKEECQGGN >ORGLA07G0077800.1 pep chromosome:AGI1.1:7:7472798:7473605:-1 gene:ORGLA07G0077800 transcript:ORGLA07G0077800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVTLTTEQKQAKVDRQRSRRQSLTKEQRLDMNARRRVARQNMPDVEIHDMNVCLRSIRQSVTSGERSALLARRNALYAARRDKPCAESIALECPEGSSPSLLDPTPCLETTGDVPATSNLQAEHAADHLARSSTFEDDMDSFMDDDTDDEYYMFARLGDDEDDEMVQSDDDDTQAPTSSIPDPFDCVYSNIPQSTNVLKPEPDCKHCGAKRF >ORGLA07G0077700.1 pep chromosome:AGI1.1:7:7468857:7470155:-1 gene:ORGLA07G0077700 transcript:ORGLA07G0077700.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDSTRGDPREIIEESSIGVETDDMNLSDQLNDEQRSAFNKIMNAVGSAQGGVFFVDGPRGTGKTFLYRALLATVRGKGDIAVATATSGVAASIMPGGRTAHSRFKIPLNIEEGSYCSFTKQSGTAKLLQMASLIIWDESSMTKRQAVEALDMSMRDIMGCPRSPFGWKTIVFGGDFRQVLPVIRKGTRSQITDATLRRNIDPSNGLCNGTRLVVRQFGKNAIDTEIVVGKQAGKRVFLPRIPLCPSDDEMFSFRFKRKQFPVRLSFAFTINKAQG >ORGLA07G0077600.1 pep chromosome:AGI1.1:7:7468608:7468856:-1 gene:ORGLA07G0077600 transcript:ORGLA07G0077600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIPNAGVYLPEPVFSHGQLYVALSRATSRTNIKILSMPVEDKKQNKKSKRTGVKGNEKKGKELSKQAATYTKNIVFREVLTD >ORGLA07G0077500.1 pep chromosome:AGI1.1:7:7465456:7465950:-1 gene:ORGLA07G0077500 transcript:ORGLA07G0077500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVLDPNPLMPEYKNNPNMRYTRKLITICDHFNKGMRKACPSSRWNEDINLWRQVFVNNPVYNRSLSGFLVHLFMCTWNNKEPHLPAINDGDELRKLFFDKSTDVPTK >ORGLA07G0077400.1 pep chromosome:AGI1.1:7:7454976:7455167:1 gene:ORGLA07G0077400 transcript:ORGLA07G0077400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEVEAGTGDGGDGEAAEEVGKRVESTAAMGRRWAEEPIAEAGMQVESEVGRRGGFEWSQS >ORGLA07G0077300.1 pep chromosome:AGI1.1:7:7395892:7396319:-1 gene:ORGLA07G0077300 transcript:ORGLA07G0077300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITYIAFVFSFQHTIRCYRDASLLRLSERYEEKILKDVVHSVQLLELKQFFSLDKDSDMEMFGSIKKLKKPD >ORGLA07G0077200.1 pep chromosome:AGI1.1:7:7353746:7354611:-1 gene:ORGLA07G0077200 transcript:ORGLA07G0077200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMAELTEGTCEGAQGCRMYMRLRWSPNLRRCVRSMSRLSRESDQGRCRSWQASEKPRSCGIRILYAQLRWRLLGRGDTLRHGFHRIATTKAIDRANHKARARISWAAWFVDMNLGSGLRLRRAAVQAMEELDAGRLQLVVAAAVVASGSRRTHLQMGALSSAGWGDQGGAAAWLWCW >ORGLA07G0077100.1 pep chromosome:AGI1.1:7:7346555:7346824:1 gene:ORGLA07G0077100 transcript:ORGLA07G0077100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQYITRYMYLVDFNFRMMWCSKFFFLFFISGLTFGLHLRLLHGLRLVIIFRIFTFPVTNTYLKSRMSLYFGMVQTLASSEINIHES >ORGLA07G0077000.1 pep chromosome:AGI1.1:7:7334609:7336903:1 gene:ORGLA07G0077000 transcript:ORGLA07G0077000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKRSCLAISLILLLLLVPSIHGARHVAAAIKGTGADSEMVVMERTAGGGGGHGRGYTSHRSHNPNNPNDGGSGTPVVDPHNVATRGHHHRGAATRTAAGGDPRLAACMLRLGATFFLLVLG >ORGLA07G0076900.1 pep chromosome:AGI1.1:7:7330946:7331508:1 gene:ORGLA07G0076900 transcript:ORGLA07G0076900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAGSVTRRPPPVLLACRSRPNNRRLIRLLPLLFAVVVLLALLPPCVHGARALNDAKEAKVAEAGDQTTTTTHAAAAAVARWSVTVREGGGGGGHGSGHAGAGHGHGSGHGRPEPAEHHTGRRSAAAGSVRPPMAASCAALLVAAVVALLRF >ORGLA07G0076800.1 pep chromosome:AGI1.1:7:7320025:7320762:-1 gene:ORGLA07G0076800 transcript:ORGLA07G0076800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTTSYSTTSAEGEIQPNQIGTIIVIDNLVYRDHPSLKLRGVPKDVRSHDIERVRNKEQLSTRVGCGRVHRIIENDLDKCRVVVPNQPTKTDDLSHSTGHGWTTREKVVNG >ORGLA07G0076700.1 pep chromosome:AGI1.1:7:7315970:7316447:-1 gene:ORGLA07G0076700 transcript:ORGLA07G0076700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTELVLLFVHGRDIDGAAQGLMLHKDTGIVILSPATADATTNKKRNHEVASSLYLHGQGTWQLICSWWPSAMATPTALNGDNHNA >ORGLA07G0076600.1 pep chromosome:AGI1.1:7:7307927:7312814:1 gene:ORGLA07G0076600 transcript:ORGLA07G0076600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:I1Q9H4] MEASAGLVAGSHNRNELVVIRRDGGGGGGVGGRRAAEAKAACQICGDDVGEGPDGEPFVACNECAFPVCRNCYDYERREGSQACPQCKTRFKRLKGCPRVAGDEEEDGVDDLEGEFGLDGREDDPQYIAESMLRANMSYGRGGDLQPFQPIPNVPLLTNGQMVDDIPPEQHALVPSYMGGGGGGGKRIHPLPFADPSVPVQPRSMDPSKDLAAYGYGSVAWKERMEGWKQKQERMQQLRSEGGGDWDGDGDADLPLMDEARQPLSRKVPISSSRINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFDKEGQPSQLAPVDFFVSTVDPSKEPPLVTANTVLSILSVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKRDYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGRDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLSNAPYLLNLDCDHYINNSKAIREAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCCCCCCGNRHTKKKTTKPKPEKKKRLFFKKAENQSPAYALGEIEEGAPGAETDKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSITEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSKHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFVTGILEMRWSGVAIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGVDTSFTVTSKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNNGPLLEECGLDCN >ORGLA07G0076500.1 pep chromosome:AGI1.1:7:7298553:7299157:1 gene:ORGLA07G0076500 transcript:ORGLA07G0076500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQVTAAPLVVTDGSEQTPSGTLTDAFHLSKADLEWFCVEVVAVLVVVITQADNAEGGGVRLGCGTGIDGDNVRGLAGDRPGCESHGAASSVGASVAGQAPQTALTTALTFSFPASTTLSPWWSPPSPHPRATSSPSTSPRCSPRAEAARQGAAHEAAKLQPVAAHPLQLSTYCGDLSRHPP >ORGLA07G0076400.1 pep chromosome:AGI1.1:7:7292380:7297148:1 gene:ORGLA07G0076400 transcript:ORGLA07G0076400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cytosolic iron-sulfur protein assembly protein CIAO1 homolog [Source:UniProtKB/TrEMBL;Acc:I1Q9H2] MAMDGGAAAVLREAHRLTGHTDRVWSLAWNPSPGAGAGPVLASCGGDKAVRIWKRAADGAWQCSDVLEDTHNRTVRSCAWSPDGKLLATASFDSTTAIWEYSGGDFECVATLEGHENEVKSVSWSASGSLLATCSRDKSVWIWEMQPGNEYECVSVQQGHTQDVKMVQWHPILDVLVSVSYDNSIRVWADDGDDEWHCVQTLTEANNCGHSSTVWALSFNQKGDRMVTCSDDHTLKIWDTSADLSQPKTSDNQESWRHLSTLTGYHNRTIFSAHWSSEDIIASGAGDDAICLFAEDKSSMVEGPSYRLILKKEKAHDMDINCVRWCPQDPRMLASASDDGTVKLWELRGNALD >ORGLA07G0076300.1 pep chromosome:AGI1.1:7:7281557:7285208:1 gene:ORGLA07G0076300 transcript:ORGLA07G0076300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKVTTATAHFLLVFLASTISHSVICSALGNETDQLSSLLEFKNAMSLDPEQSLISWNSSNHLCSWEGVSCSSKNPPRVTAIDLSHQGLVGRISPSLGNLTFLRNLSLATNRFTGQIPASLGRLRRLRSLYLSNNTLQGTIPSFANCSELRALFLDGNELAGGLPGAGDLPVGIEALVLSSNRLAGTIPPSLGNVTTLRKIACMNNGVGGGIPGELAALRGMEVLAVDGNRLSGGFPVAVMNMSGLAVLGLSTNGFTGELPSGIGGFLPKLRQLTIGGNFFQGNIPSSLANASNLFKLGMSDNNFTGVVPASIGKLAKLTLLNLEMNQLHARSKQEWEFMDNLANCTELQVLSLEKNQMEGQVPSSLGNISVQLQYLYLGLNRLSGSFPSGIANLPNLIILALDDNWFTGSVPQWLGGLKTLQSLTVSYNNFTGCENLQHIELDHNNLSGGIPPSFGKLISLKFLNLSHNKLTGSIPMLLGDLQLLEQIDLSFNHLRGEVPTKAQRLNIVVDVSDALAYLHHNHQGPIIHCDLKPSNILLDDSMTAHVGDFGLARFKIDSKTSLGNSVSTSSFAINGTIGYVAPECAIGGQVSTAADVYSFGVVLLEIFIRKRPTDDMFKDGLSIAKYADINIPDRLLQIVDPQLVQELSLNQEDPVATDENAAHCLLSVLNIGLCCTKSSPNERISMQEGSMLLDMEQRVLMEEIVTLLPVERAVATTRFVLGLLRTDMILHTGVACRDALEMRASKQLKEATHEDLLTPTPATLWRRSMTWTAWSGCWSSSSSQFTNSSVYVHRSVSPQI >ORGLA07G0076200.1 pep chromosome:AGI1.1:7:7257344:7259624:-1 gene:ORGLA07G0076200 transcript:ORGLA07G0076200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGLKFLNFRKYGKFRTEISGLTEPSCRLFSSYFSATFPPILGVSSTIIAAAAAAAAAAAGGSPHPFSGQPVDLSVSFSAPCPTRWGPSKLQDDNMQIHHFLRTGKAQHRNAASHGCATKPFHPRDQLPRSPFRDPDPESRPEEALRPCGGSLQGEARLAERGGARVRRPG >ORGLA07G0076100.1 pep chromosome:AGI1.1:7:7250474:7250791:-1 gene:ORGLA07G0076100 transcript:ORGLA07G0076100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVAAYHASPTKDDVRAILGAVGADVDEDKLGYLFDQVAGKDLSEILAAGSEMLAFGGVGAAPAAAATAGGGAAAAGEKEKEEEKVEEKEEEDDGIVCSLFDDE >ORGLA07G0076000.1 pep chromosome:AGI1.1:7:7230984:7231625:-1 gene:ORGLA07G0076000 transcript:ORGLA07G0076000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFDDGCGECCSSWKDFCWCLLCIAILLAIALIVVLVVAFGFVVQPSITVDDASLTRLALAATPTTALAYNLSLALTFRNRNWAMSMKNVEPLEAAYRFDGQQFDRIQLADKGAKQGPKKTVVYRLSSGSDAAAAPGLGNAGVAEFKKENATGTFEVEVGVTGKVSYTARITKCKIEATCKLKLQLAPPGQEPAAVVFQKVKCKLAKAEKNC >ORGLA07G0075900.1 pep chromosome:AGI1.1:7:7206467:7207150:-1 gene:ORGLA07G0075900 transcript:ORGLA07G0075900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCCECGCYECYDACCDRCCCGCVSYDTRETIFYCAVCLLLVAAVVLLAVLLAAYGFIRHVSITVESASLTRFNLSSPSEATALAYNLSLTLAVRNKNWAMSIKNTKDLEAGYSFDGQRFERVKLAGEGEKHPAGKTRVYHLDSGSDNAYAALGNAGVAEFKKENATGVFEVEVAVTGEVRYQAHYTKCKLAATCPLKLQLAPPGTPAVVFQKVKCKLAAADKNC >ORGLA07G0075800.1 pep chromosome:AGI1.1:7:7185562:7186179:-1 gene:ORGLA07G0075800 transcript:ORGLA07G0075800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDECCCTCSNNCRDGLIVCGIVFGTLLLAVLISAFGFVRQPTFVVDDASLTRFNLSAAASSIAYNLTLTLVVHNRNWAMSVKNTKPMDAEYKFDGQPFERIQLADKGDKLGPGKTVVHRLSSGSEGAIVPALGNAGAQEYRKESAKGTFEVEVAIAGEVRYTARLTKCKIEATCPLKLQLAPPGTTSVAFQKVKCKLTKPEKNC >ORGLA07G0075700.1 pep chromosome:AGI1.1:7:7179404:7181570:1 gene:ORGLA07G0075700 transcript:ORGLA07G0075700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRPVVLVFLLLVLVITSQFEWKQQIGDAAADPADARRRHQGLAKEDAVKEKIILSQEKNIQQLNELIESLQRQLLHCRGSNNTVHTTTVSHTEVSEVDGQESIDD >ORGLA07G0075600.1 pep chromosome:AGI1.1:7:7177244:7177933:1 gene:ORGLA07G0075600 transcript:ORGLA07G0075600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIAASEVGIDTMAEGIDVAATDPRDSTRARASLFSSRARERGEGGVTGVEEGSRLLSWSRGLIDNGLMNPSMGLWDMVLVRSFFLEAAISERRLVMLSQPCSSEWCGRTATGGSMKEVLAIVRPCGRVEPSLWATGGLAAGAPGAHGGGRWASTVRWRRRFYERNFASDGEGIRLG >ORGLA07G0075500.1 pep chromosome:AGI1.1:7:7169770:7172877:-1 gene:ORGLA07G0075500 transcript:ORGLA07G0075500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNHDYALLRQALLDVAGAGACVVPWAPQRDVLRHRAVGCFLTHSGWNSTAEGVAEGVPMVCWPFFADQQINSRLVGAVWGNRVDMKDACERGVVERSVKEAMESGEIRRSARRLAEQVKRDTGDGGSSALEFERLVGFIRELSKGLQREQWRGGGASVCRGEARERGRGGGGVSRGLSSXXXXXXXXXXX >ORGLA07G0075400.1 pep chromosome:AGI1.1:7:7163665:7163865:1 gene:ORGLA07G0075400 transcript:ORGLA07G0075400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCGPQSPPPLLRPSCDGLLRCVVVLPCLSRAAGWLLGRAAICPRHRRINRRLCLRVRRTRHPRR >ORGLA07G0075300.1 pep chromosome:AGI1.1:7:7157072:7160254:-1 gene:ORGLA07G0075300 transcript:ORGLA07G0075300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSTTRLIPLLLVLTFCLALASASAWAAAAGDDDLLAAAREPGMAEWLRGVRRRIHRHPELAFEEVRTSELVRAELDAIGVPYQWPVARTGVVATIAGGGGGDGPVVALRADMDALPVQELVDWEHKSQENGKMHACGHDAHTAMLLGAAKLLQKRKNELKGTVKLVFQPAEEGSAGAYYVLQEGVLDDVSAMFGMHVDPALPVGVVAARPGPFAATSGRFLATITGKGGHAAFPHDAIDPVVAASNAILSLQQIVAREIDPLQGAVVSITFVKGGEAYNVIPQSVEFGGTMRSMTDEGLAYLMKRIKEIVEGQAAVNRCGGGVDFMEESMRPYPAVVNDEGMYAHARASAERLLGAGGVRVAPQLMGAEDFGFYAARMPSAFFTIGVGNATTSSARAAHTTHSPHFVVDEAALPVGAAVHAAVAIDYLSKHALSM >ORGLA07G0075200.1 pep chromosome:AGI1.1:7:7142937:7147843:-1 gene:ORGLA07G0075200 transcript:ORGLA07G0075200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNASARLLLVAAAAAAVVLFAHLPTTTTAASPALKALGEDLLAAAGAAGFAGWLSGLRRRIHQRPELAFQEVRTSELVRAELDAIGVPYAWPVARTGVVATIDGGAGAGPVVALRADMDALPLQELVDWEFKSQEKGKMHACGHDAHVTMLLGAAKLLQSRKDELKGTIKLVFQPAEEGHAGAYHVLETGLLDDVSAIFGLHVIPNLPVGVVASRPGPFMSAAARFAATFTGKGGHAGVPHDAVDPVVAVSSAVLSLQQLVSRETDPLEAAVVSITILKGGDAYNVIPESASLGGTFRSMTDEGLAYLMKRIREIIEAQAGVNRCAAAVDFLEEELRPYPATVNDDGMYGHAKAVAEAMLGEANVRVAARSMGGEDFAFYARRSPGAFFFIGVGNETTMGPAAAVRPVHSPHFVLDERALPVGAALHAAVAIEYLNKHDCS >ORGLA07G0075100.1 pep chromosome:AGI1.1:7:7137901:7141130:-1 gene:ORGLA07G0075100 transcript:ORGLA07G0075100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPWPPPHPRLPSSRPPPLLLLLVLFASHPSPHAAAAAADAAPAGGGGGGSGGELLSAARAPGFAAWLRGLRRSIHRHPELAFEEVRTSELVRAELDAIGVPYEWPVARTGVVATIAGGDGAGAGTVFALRADMDALPLQELVDWEHKSEESGKMHACGHDAHTTMLLGAAKLLQSRKDDLKGTVKLVFQPAEEGYAGARYVLQEGVLDDVSAIFGLHVDPRIQVGTVTSRPGPFLAASGRFLATITGKGGHAAGPHNAVDPILTASSAIVSLQQIVARETDPLEAAVISVTFMKGGDAYNVIPESVSFGGTFRSLTSEGLSYLKKRIKEIVEAHATVHRCTATVDFMEEERIPYPATVNDEGMYHHARAVAVDVLGEDGVKVGTPFMGGEDFAFYAQRFPAAFFMIGVGNETTMRKVYPLHSPHFVVDEDVLPVGAAFHAAVAMEYLNKHASTATF >ORGLA07G0075000.1 pep chromosome:AGI1.1:7:7132579:7137159:1 gene:ORGLA07G0075000 transcript:ORGLA07G0075000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGGGGGRAKVTPNLAMDEEGTRVLNITVLQRLDPAVEDILITAGHVTLYDFDTNLNQWSRKDVEGSLFVVKRNAQPRFQFVVMNRRNTDNLVEDLLGDFEYQLQVPYIMYRNAAQEVIGIWFYNSQECEEVANLFSRILNAFSKATPKPKAPSIKSEFEELEAAPTLVEGPLEPQTSNIIPATTHVQEDPLSAFFSGAINVGSASGLSVAGQLNQSFGSTPLSSHAPTSISISQPPAVHHLLPSQTSSVISPDVHGGTGAVVNRSASLLNPSLFSPLTSSQTTMARTNPVAPTAPPQHPRITQQPHSAPLLQPFPLPTASPSPPYGTPLLQPFPPPNPSPSLASAPVYSPVLSREKVRDALLRLVENDDFIDLVYREIVKGQN >ORGLA07G0074900.1 pep chromosome:AGI1.1:7:7108482:7111365:1 gene:ORGLA07G0074900 transcript:ORGLA07G0074900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vesicle-associated membrane protein 724 [Source:Projected from Arabidopsis thaliana (AT4G15780) TAIR;Acc:AT4G15780] MASPPGKKGEGGGDGGGGKAEWLIYAFVARGTAVLAEYTEFTGNFPALAAQCLQRLPASGGGGSGGGAPARFSYACDGHTFNFLLHRGYAYCVVAKESVPKNVSVAFLERLKDDFMKRYGGGKADTALAKSLNKEYGPVIKQHMQYVLDHSEEIEKTLKVQAQVSEVKNIMLENIEKTLGRGEKLSELQDKTSDLQSQAQEFKKKGVKIRRKTWLQNMKIKLVVLGILLLLVIIVWVSVCQGFDCTKH >ORGLA07G0074800.1 pep chromosome:AGI1.1:7:7103939:7106587:-1 gene:ORGLA07G0074800 transcript:ORGLA07G0074800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06920) TAIR;Acc:AT3G06920] MAAAALRTPATRRPLLAPLSILRTPTPRHLSSSSPPSQPPSSPAGELLRLLSAAPTWTPDLACAVSSTFSASPTADVVISVLRSIRNPSLAAPFFLLASSSSASAPHPLPADAYHAVLPFLHHDLAALEKVLEEMAVLGYGLPNQACADLAAALVRARRLDDAVLAVAVMRRLKFRPAFSAYTVLIGALAEARRPERALELLRQMQEVGYEVGVHLFTTLVRALAREGQVADALALVDEVKGSCLEPDIVLYNVCIDCFGKAGNVDMAWKFFHELKAQGLKPDDVSYTSMIWVLCKAGRLGEAEELFAQMEAERSVPCAYAYNTMIMGYGSAGQFEDAYKLLERLRERGCIPSVVSFNSILTCLGKKRKVDEALSLFEVMKKDAEPNCSTYNIIIDMLCLGGRVEEAYRILDEMEHASLFPNLLTVNIMVDRLCKARKLEEAYKIFESASQRGCNPDCVTYCSLIDGLGKKGQVDEAYRLFEKMLDAGHNANPVVYTSLIRNFFIHGRKEDGHKIFKELIRRGCKPDLTLLNTYMDCVFKAGEVEKGRMIFEDIRSYGFLPDVRSYSILIHGLTKAGQARETSNIFHAMKQQGFALDARAYNAVVDGFCKSGKVHKAYEILEEMKEKCVQPTVATYGAIVDGLAKIDRLDEAYMLFEEAKSKGIELNVVLYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLLDALVKAEEINEALVCFQSMKEMKCPPNTYTYSILINGLCRVQKYNKAFVFWQDMQKQGLVPNVVTYTTMISGLAKVGNITDAYSLFERFKANGGIPDAASFNALIEGMSNANRAMEAYQVFEETRLRGCRINIKSCISLLDALNKSECLEQAAIVGAVLREIAKSQHASRSL >ORGLA07G0074700.1 pep chromosome:AGI1.1:7:7080320:7080535:1 gene:ORGLA07G0074700 transcript:ORGLA07G0074700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPIAFLAVLAIAVKKATKAHTRTYPLEAVMLPSTEQLLQPAPSPSPPPPPPQCDGQRLVGGDAAVEIDS >ORGLA07G0074600.1 pep chromosome:AGI1.1:7:7072015:7077601:1 gene:ORGLA07G0074600 transcript:ORGLA07G0074600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02405) TAIR;Acc:AT4G02405] MPVLPWLAAAATTPVRRSPPLPATPRALLRPPASSFPPWSNCAKSGLPPRGPFATAADTPLGGSLPEPEEERDTLLDGALRAARFRDEESRRPDPLFIDPYAAVLLSLDVASEDKDLLALHLMPSAEHYRLVTRYIDDKLQHFISNSDDLRQIVLLTDGMDTRPYRLSWPRLSVVYDVSPRRVFITASQQLRGAGAKISRNCVVLHTSSESPDLQAGLNKNGFNGNRPSLWVLQGLPLFTFKSLEDLLLVIGNLAMKGSIFIGEVPRFTQWGAATDMASEQDRLENLFFTQGFRVSFVHYEEVAKDVGLGLDSPPEIHGRALFIAEQLRFSDAQMESFRMHFERIEDDADEDGFEEL >ORGLA07G0074500.1 pep chromosome:AGI1.1:7:7068957:7070184:1 gene:ORGLA07G0074500 transcript:ORGLA07G0074500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARSQLAAVFLAVLVSVAPLAGAADNLQDACNRTLFPKVCIQALTTNPESRTANARRLAELSVYVAAEVGTAVAAFAHHELNGVKEDALFKCVDSCSDDIEEAVAHLSALTRELTDAKFLEVKAWLSATLGGSSTCEDTCKDAPISEIKNAVVTKSLEFEKLLRVTLDLITEASGSMSADVALPPATGAAGGGYGYESSSAAAAPAPSESDSDVGSGSGSAASAPGPSPSDDTGYGGSSGSSSGSPSSSPSSSPSGSPSGSPSSSPAGSPAGGPTAGGPASGPSSYGAASGPAEGXXXXXXXXXXXXXPSPSGAAGPAEGPSSYGSTEGPSPSPSSSGSADAPGPGASAPDSEEY >ORGLA07G0074400.1 pep chromosome:AGI1.1:7:7057506:7057955:1 gene:ORGLA07G0074400 transcript:ORGLA07G0074400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIMSPSPPLRAPLLAVAAAIVAGLLLLAPAAAQQPPPLVQQFYYYSPPPPSSPVGGGGTGGGGPSPPTNPAPPAVPCNCGTTTAPAAPSPPRVYNYSAPSGGGGGGGQLAFLSGSARSTSHLPGGGGWRHARLLASAAAPLLLIVWW >ORGLA07G0074300.1 pep chromosome:AGI1.1:7:7039009:7043071:-1 gene:ORGLA07G0074300 transcript:ORGLA07G0074300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSGAAAASSSSSSTSSTTSSSSQSSSTRGGYMLSDRFYSPPHVRRQQMLLLQQQQLLQGQRPPSPSPSPATAPRAARQKPLPSPSPPPPPPAPAEAARQKEVERRVDAVVQSKPSVSPLPSSADVKRPPAAESAPEPARAAEEEAAGNLERFLSSTTPSVPVQYLPKTSMRGWRSGDAMNSSPYFCLGDLWEAFNEWSFYGAGVPLVLNGKDSVIQYYVPYLSAIQLYADPSKHSTRIRHPWEESDEESMDTSSESSSGTDADQLRGLENGGFQREDSESHFPSTRPLFEYLEKDPPYGREPLTDKVSILASKFPELMSIRSCDLLPTSWMSVAWYPIYRIPTGPTLKDLDACFLTFHYLSTVTRSRDADPSTPACPPSGGFNSCMNAAGKLTLPVFGLAPYKLRSSVWSSNGPHEQQLAASLMQAADDWLRDRQVHHPDFRFFLTHYNTVWR >ORGLA07G0074200.1 pep chromosome:AGI1.1:7:7011013:7011957:-1 gene:ORGLA07G0074200 transcript:ORGLA07G0074200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKIELDHKDMVHDSAIDYYGKRLATASSDSTVKISSIGGKSAPSQLLATLSGHYGPVWRVAWAHPKYGTILASCSYDGRVIIWKEGAGGHWSQAHVFTDHKSSVNSIAWAPYEVGLCLACGSSDGTISVMTMRADGGWDTARIERAHPVGVTAISWAPATALGSLAGSGELVYKLVSGGFDSVVKVWGFVNGGWKLESALPSDVHTDCVRDVAWAPVLGLAKATIASASQDGKVIIWSRGKVGDKWEGKVMHDFGSPVWRVSWSLTGNILSVAAGENNITLWKQASDGQWEEVMKVEPTKPESSEEVKAEQ >ORGLA07G0074100.1 pep chromosome:AGI1.1:7:7004253:7008034:1 gene:ORGLA07G0074100 transcript:ORGLA07G0074100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRARSSSYAAAAVALALALASVAAVAGEVFFQEKFEDGWESRWVKSEWKKDENMAGEWNHTSGKWNGDPEDKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHTIFTKNDKNHLIKKDVPCETDQLSHVYTLIIRPDATYTILIDNVEKQSGSIYEHWDILPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKIKNPNYQGKWKAPMIDNPDFKDDPYIYAFDSLKYIGIELWQVKSGTLFDNFLITDDPELAKTFAEETWGKHKDAEKAAFDEAEKKKEEEEAAKAGEDDDDLDDEDAEDEDKADEKADSDAEDGKDSDDEKHDEL >ORGLA07G0074000.1 pep chromosome:AGI1.1:7:6964388:6967480:-1 gene:ORGLA07G0074000 transcript:ORGLA07G0074000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSASALQALLVFATVFAATQWATSSAMYCNDLTASVHRPHSVSITEFGAVNDGVTLNTKAFKNAIFYLSSFADKGGAELFVPAGRWLTGSFNLISHLTVSLDADAVIIGSQCSNMKIPYTRTNSARSITIAIVIRILLQDSSDWPVIDPLPSYGRGRELPGKRHQSLIFGSNLTDVIITGANGTIDGQGELWWNWFHNHTLNYTRPPLLELMYSDRVVISNLTFMNAPFWNIHPVYCSQVLVQHLTILAPISSPNTDGIDPDSSSNVCIEDCYIRNGDDIVVIKSGWDEYGISFAHPSSNISIRNITGQTRNSAGIAFGSEMSGGISDVRAEGLRFINSVHGIRIKTAPGRGGYVKNIYIADVSMDNVSIAIRITGNYGEHPDDNYDKNALPVISNITIKNVVGVNIGTAGMLLGIQGDIFSNICLSNVSLSSKSADPWNCSLVEGFSNSVAPEICEQLRPSPGPGQVCYDGNSYPVPAAQQPYKSGATRLQNPFLKFISLYS >ORGLA07G0073900.1 pep chromosome:AGI1.1:7:6961145:6963163:1 gene:ORGLA07G0073900 transcript:ORGLA07G0073900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWVSWGRAPLGCVIWCLRGRVVESKDGTISVASAFAGHHEAVQDRDHKFLTKAVEEAYRGVDCGDGGPFGAVVVRNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANMEIKKADGNGALIAEQVFEKTKEKFQMY >ORGLA07G0073800.1 pep chromosome:AGI1.1:7:6950329:6951339:-1 gene:ORGLA07G0073800 transcript:ORGLA07G0073800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRLAVFFLLAVLAVMSPAAASSAAAAPAPETCVRTMQRMLSCLDFIEHRTDAVPRPCCAQLNATVAKQPCCLMHVLRRDVARLVGPGFDTARAMVNVTAACLGDASVLMSIARSCAGKPLPPLTPEYPFTTGVPPAPPQTSGATRLEGTSNTALLFALGAVAIAMLRI >ORGLA07G0073700.1 pep chromosome:AGI1.1:7:6946820:6948171:1 gene:ORGLA07G0073700 transcript:ORGLA07G0073700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEAAAPPAGEKMAIRVVSRRLVKASDASIQPHLLRFACGSFSVVWGNNNLLHDGQVSTMIVRMWSELARTGRISDGLPINHDRSVFRPRSPPSYGAAIDAMFTAYDDGGRLVNALTAHDSFVERLYYIEAGNIARLRDAASAGAEQQRASRVQAVSAYLWKALAGVVAASCVPEERCCMGWWVPGWTRGGGWRRRRWSRRCAATAATXRRTLSATRPWGRSWRGRWRRWRPWCGRASRRSTTTSTCRSWWTGWWGTRRRRRCSRRSRSTPTSGSARRRSPCPSGTTARWAAGRWPSECAREATGRGS >ORGLA07G0073600.1 pep chromosome:AGI1.1:7:6941590:6943367:1 gene:ORGLA07G0073600 transcript:ORGLA07G0073600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WQPTGCRIVTLWASSNGGACYQNTHGTPITMGVPNLGCLVASIGIAPSSSLMPERGLATANYNLVANFPEDAAVVPQQQQLQAASSNSNSGLIKGGWTREEDEVLRQMVRHHGDRKWAEIAKSLPGRIGKQCRERWTNHLHPDIKKGIWTEEEDRKVIRAHQTYGNRWSAIARSLPGRSENTVKNRWNTTSEAXTQSADXGRKTVSKQCQGSLPSSKSTSVAASTHCPMRRHHLHHSISVGTAPVGRSVQVQPHPQCMRWVDPLHWGWXCSLTYLTRLHRTHHSQTXTCXTXHRWCHISTLVDTAYSSMRGATVVWPAAGATPRDQRPG >ORGLA07G0073500.1 pep chromosome:AGI1.1:7:6931599:6932906:-1 gene:ORGLA07G0073500 transcript:ORGLA07G0073500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSVAKPFAATATAAAPRLSPRRRRRRLLAANATTARGALPLPALRKPTKPPPPPPLHPRPSLPVPTTSSDDDGDIRRKPATGATASLCSSGAGDVLRLLDALRLPPDEDVYVSLLRDCADAAEVASVHAHIAGKFAVSGLPLPLANRLVLAYAACGDIGAARQVFDEMPVKNGITWATMVSAYSDGCFHHEALQLFAQMCHQVRGITGDHYTHAIVAVLRSCARVNELQFGEQVHAFVVKKNGVCGDVGSSLLQLYCDSGQLSSAWHVLEMMRFSCQEPVPEAAWTSLITAYHRDGILDDAIDVFRAMASSGIARSSFSLSSILAVCAEAKNKGCYGQQVHADAIKRGLDMNQFVGSGLLHMYAKEGQLADAARAFEAIDGKPDAVCWNAMAMAYARGGMYREATRVVYQMKAAGMNPSKLTMNEVKLACFR >ORGLA07G0073400.1 pep chromosome:AGI1.1:7:6925444:6930289:1 gene:ORGLA07G0073400 transcript:ORGLA07G0073400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWVATVAYTGTALACAAAATVVALRLVYRHLLHYAEPTHQRFIVRIILMVPVYAVMSFLSLVLPGSAIYFNSIREIYDAWVIYNFFSLCLAWVGGPGAVVVSLTGRSLKPSWFMMTCCFSAVPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYEDGNFSVNQSYLYITIIYTISYSMALFALALFYVACRDLLQPYNPVPKFIIIKSVVFLTYWQGVLVFLAAKSRFIKNAEEAAYLQNFVLCVEMLIAAIGHQFAFSYKEYAGSNARPFGGFRGSLFHALKFNDFYHDTVHQFAPTYHEYVLYSNEEEDEPTKYSSASVVSTVQDIQLVEVSVVDSKAPLASVILTHEADKTMPSHGMEETVAPSEPYDLSNLVDVELSNYSAEVPAIPDVGKQ >ORGLA07G0073300.1 pep chromosome:AGI1.1:7:6913236:6914714:-1 gene:ORGLA07G0073300 transcript:ORGLA07G0073300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFAVRRRAPELVAPAAPTPRETKRLSDVDDPESLRWQVPVVFVYRARRWRARRPSAAAADPVDTIRRALAAALVPYYPFAGRLREVEGRKLVVDCTVEGVMFVEADADVRVADLEAAGLRAPFPCMDQLLFDVDGSAAVLGTPLLLIQVTRLLCGGFVLGIRLNHAMCDASGIVQFMDAVADLARGAREPAVSPAWSRELLDARKPPKPAFHLREYNDFTAAPPAAPSVGALGDMVMRTFSFSPGDVAALKGALPPHLRGRATSFDVLASFVWRARARALETPAGEDARLAIIVGFRNNGELRLPRGYYGNVCVPVTVAMPAEALRRRGSLGDVVEQVREAKKTVTAEYVRSVADTLVMRGRPAIDTANLLLLSDVRLAGFHRVDFGWGEPVYGGPSHAWFGVSYLIAVKNGAGEDGVAVPVVLPAAAMERFTSEIERLRKGQQRVHFRVQTTSRI >ORGLA07G0073200.1 pep chromosome:AGI1.1:7:6906006:6906536:1 gene:ORGLA07G0073200 transcript:ORGLA07G0073200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPKKGAAFALAVAIATIVVATTTTMAVDLSDAEKAQFVKLHNDARAAVGVKAQVSWSEAVAAKAREHASTCRTDHIQGPYGENLXWGWSSAAGWVGKPADAMGSWVGEKPYYDRSSNSCVGGKVCGHYTQVVWSRTTQIGCARVTGCNINGRSSTPIACNYNPRGNINGERPY >ORGLA07G0073100.1 pep chromosome:AGI1.1:7:6895772:6896302:-1 gene:ORGLA07G0073100 transcript:ORGLA07G0073100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPKKGAAFALAVAIATIVVATTTTMAADLSDAEKAQFVKLHNDARAAVGVKAQVSWSEAVAAKAREHASTCRTDHIQGPYGENLWWGWSSTAGWVGKPADAMGSWVGEKPYYDHSSNSCVGGKVCGHYTQVVWSRTTQIGCARVTGCNINGRSSTLIACNYNPRGNINGERPY >ORGLA07G0073000.1 pep chromosome:AGI1.1:7:6872607:6877182:-1 gene:ORGLA07G0073000 transcript:ORGLA07G0073000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q9D8] XXXXXXXXXXXXXXXXXXXXXCRSRVAALRRTAAASAAAASCVLAEAPKGLKVEQADAVEPAAAAAARRDVGPDTVASIILGGGAGTRLFPLTRTRAKPAVPVGGCYRLIDIPMSNCINSKINKIYVLTQFNSQSLNRHIARTYNIGEGVGFGDGFVEVLAATQTTGESGKRWFQGTADAVRQFLWLFEDARLKRIENILILSGDHLYRMDYMDFVQKHVDKGADISVACVPVDESRASDFGLMKTDKNGRITDFLEKPKDESLKSMQLDMGTFGLRPEVADTCKYMASMGIYVFRTDILLRLLRGHYPTANDFGSEVIPMAAKDYNVQAYLFDGYWEDIGTIKSFFEANLALTDQSPNFYFYDPVKPIFTSPRFLPPTKVENCKVLNSIVSHGCFLTECSVDRSVIGVRSRLEPGVQLKDTMMMGADYYQTEAERFSELSDGKVPVGVGENTIIRNCIIDKNARIGKNVMIMNSQNVQEAERPLEGFYIRSGITVVLKNAVIPDGTVI >ORGLA07G0072900.1 pep chromosome:AGI1.1:7:6870580:6870933:1 gene:ORGLA07G0072900 transcript:ORGLA07G0072900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGFGTTVAPAVAAGRRLSHRRAAAAPPPPARVARPSATKSVTAAAATEEKGLFDAIFGALYKEEQLLETDPILNKVEEKAPAAASRATKAGGAPAKKAAGDGGFSFGGLFSKKE >ORGLA07G0072800.1 pep chromosome:AGI1.1:7:6861222:6863719:-1 gene:ORGLA07G0072800 transcript:ORGLA07G0072800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGQPVNVQKEQVNMQKEQMFGLAEKEMEYRVDLFNRLTQTCFSKCIEKRHKEAELNMGENSCIDRCASKYWQVTNLVGQLLGNQPQM >ORGLA07G0072700.1 pep chromosome:AGI1.1:7:6856932:6858396:-1 gene:ORGLA07G0072700 transcript:ORGLA07G0072700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGARRHRRRVVMFPFPFRSHIAPMLQLAELLRGRGLAVTVVHTTFNAPYAARHPELTFVPIHERLPDAATDPGTDLVEQMLALNAACEAPFREALRRVWYWYAALTAAAEVGVAALALRTDNAAALHCMLSYSRLRYSGYLPIKGKLFPESRDEVLPPVEPLRGRDLIRVDGGDAERVREFIARVDNAMRTAAMGVVINTFRAIEKPVLRNIRRHLPRIPAFAIGPMHRLLGAPEEHGLRAPDSGCVAWLHAHSPRSVLYVSLGSVARIDREVFDEMALGLAGSGVPFLWVIRPGFVTGIVSDALPLPEPLTAVVDNGMGKPCFGDQTVNARYVTHQWGVGLELGEVFDRDRVAEAVRKLMVGEEGAAMRDKARGLKAKASKSVEDDGASNAAIDRLVRYIGVIL >ORGLA07G0072600.1 pep chromosome:AGI1.1:7:6854445:6855811:1 gene:ORGLA07G0072600 transcript:ORGLA07G0072600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSRIGGGARRRRRRSPPPTASPRCRTASSPGSSPCSLTGTSSSSPRCAPRGAASAYTAPRPSSTSTSASSCCSAPSSPGTSCSATAPRSAGCAAPWTSSGXPTSPPTAAXTRRPTPSSGPSPRARSASPSATAPAALALPARAACARRLDEWDVDVPSTATDLDVRGSGYRAPAVYADCLRVLTLYHLELHDAPRLPSLRSLTLQSVLVAAAVPFAPGNWCPQLESLEMESCTVEYRQVDIRLQLLKLLVMDDVSVGPPCRKNDDEPFGHVTVDAPALDELVVVCSTGWAVEYASFTLRAPALRRLCWWEQFAGRVAIDVGMPGSVTEGTIEFKSNGELEEMSCREMRFYRAQLMQMLRGILPERAAGEDRRRRTAFHDGEDDHRDGRRRDDPGGEAHLRPSTPHLVAPRLISAMIAGSISTNI >ORGLA07G0072500.1 pep chromosome:AGI1.1:7:6847955:6849082:-1 gene:ORGLA07G0072500 transcript:ORGLA07G0072500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGADGLPPGLRFDPSDDELVGRYLLRRLQGQPLPLDGVVLDADPLSAQPWRLLADHGRGGDEAFFLAEAHAKNAKGKRQKRTVEGGGFWQGQRMCVDGKKLLVPGDDDGGGGGGEVLEIAWRKYVLSFFAEGERGSSGWVMHEYSVTAPADLASSPLRLYRIRFSGYGKKRKREPEDDGRAHGAPRWAEAETALFDLEVGPPPPPLLVPPPAAAAADHGTDQSSSGVTDMVFRDLPDLIADAGAALPDQNQQDWSEVADQSSFCVMGDDSSLLLPDLPGMIDDNEHQQFVREFDMPHLFVPQAEEAIAGGGAASAPSADNQNCEFNDGEDMALSDFEFPESIDEVLSYIDFSTSDTSCRDFTMDELFDLPVD >ORGLA07G0072400.1 pep chromosome:AGI1.1:7:6842549:6844084:1 gene:ORGLA07G0072400 transcript:ORGLA07G0072400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTTRARGKRRKLQGHQTPPPPPPCGADQISLLPDDALREIVTRLPTNDAARTQLLSSRWRHLWRSAPLNLDLRDAGDISRVLATHPGPARRFAVPDLGSSFPNRRATLDVWFAAPALDNLQELELMGSYRPLPPSAARLFPTLRVAVFSRCSFPDDPAAAAFCFPRLEQLTLEYVAVSEATLHGVLAGCAALDCLLLRGVRGCRRLSISSPTIRVVGVCVTRALKELIVEDAPRLERLLMPEVWQLLRVSVISAPKLEALGWLSNHCTLEIGTIAIKCSIGEFHFDSLTTVARGVKVLALDIDNLSLDMAIDFMRCFPSLEKLYIRKFSHKCNNVWRQKMRHKMLDPIECLDLNLKKVEVSGYCGNKSHIDFAMFFVLNGRVLELMRLECGTRRNDSKWIEKQKMCLKLDNMVSRDAEFHFTRRTSWNYFTNVRRAHELLIADPFCT >ORGLA07G0072300.1 pep chromosome:AGI1.1:7:6835820:6837013:1 gene:ORGLA07G0072300 transcript:ORGLA07G0072300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGRSSQLTERVRRMPASSNSGILPLEVLFDVLVRLPAKELCRLRIVCQPWQSLTSDPLFMKTHVARHRETFFLASFKDDETHIHIMDFAGNVIKQIGIPAGHKVLCTRLDLVCVATNKNSCHVLNPVTGDVYNLPKSPAEEHTYHVNLRKPFTSFAFGHVASTGEYKVLRMFNRPGFTDLGIPQLCEVITVKGGTGQARWRGKQSREFFVECQKANSGVVVNGVVYFLIDSVYDSMIIGGDGAGIHPDFICSFDLEVEEWREDIQGPISRNFVYDMDFPDEYIAIWDQLSLAELKGYLVLVYHQSYRSSTIDLWYLIDYETRTWIKQYSIQIESFVPVRECKVKPLLVLDDGRIVVWLGSTGLLLIYDPRTSTFAEVEMRRLSEVGLYTGSVLSL >ORGLA07G0072200.1 pep chromosome:AGI1.1:7:6834016:6834860:-1 gene:ORGLA07G0072200 transcript:ORGLA07G0072200.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTELVESFRLAAASASPRRRDAAVVRCADDGENDAAVERVGDDDKVLKQRGIVLPVGCYGSGGDAARVRRATAPAPALTQDAASSKNGALLSCGDDDTPVSRNGSVVTSVDKPATAAASTPLVTIPKLPAPDSLVILPSVDRPQPEFVIPDAAYLGALAPPLSSPCRWPRVASTGAWQWQGCHAVWLSTVAPLSSSLSAAVALLLLVGGRWARSSCGVFAIGFF >ORGLA07G0072100.1 pep chromosome:AGI1.1:7:6832381:6833562:-1 gene:ORGLA07G0072100 transcript:ORGLA07G0072100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PCAAAAAAAAAPGSGFGAVDGVLPPELLLEVLLRLPAKPICRLRAVCRSWLSFTTDRLFLAAYAAVHPHPLLAVLVDSFPSRCCVDLVDLSGNVVEEILGVGGECRVLTASYDRVLVAGEHHRVSVLDPATGSVSALPFGFAEDMARRNGMRPAWFAFGQTNSTGEYKLLRILEDLEDGYEADPVCEVFAIGDMNGRWRKMESPPGYLDPSCTNGVVFEGAAYFFLDLWQMDPSYYFATGCIPCFDLATEQWSTALQGPVNRILEEANGTLNYADLTDRLMLAQLEGTLCTAHFNDRISAVDLWFLVDFENGMWSKEYRINVEFAFDGFGDGVQPLLVTDEGNVVLWVQIGSKGMVWIYNPVTNTSSEIVQTKASIFTGVGVYTGNQLCPQSM >ORGLA07G0072000.1 pep chromosome:AGI1.1:7:6814601:6815532:1 gene:ORGLA07G0072000 transcript:ORGLA07G0072000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVPPPLTENPRFEGGENGDNDYPLVATSDGEAVSSPSPSAAASTPTAASAPTGGSWWTSASWTCPPARSSGRCPPGVTFIYPFLVPILCMLGLACWPIVPGWPDRAQCAGGEAQARPSGRAGPKSHVVGRAFGPRALWPSIVSTATTTAHRGVALLVGSSDRFVRRRLFVPDWVNHPTNCSFTLGRTVSTGGDQYKLLRIRTDRVLQVCSVLALGGDGINSGSFSRWRKVPSPPQNVFTGRRSVAVVDGVAYFVLSTAFIHRGTPGHYTGQGLL >ORGLA07G0071900.1 pep chromosome:AGI1.1:7:6808325:6809797:1 gene:ORGLA07G0071900 transcript:ORGLA07G0071900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEMGKRKRPVDDGGDSSEPELPADIIAHITGRLTSQIDFLNCRNVCPSWERALRGEARRLAAAVERVPWLLLAAKADGSYLGRRQAGDVAAVQLPGRHVRLGRREICLGCSSGWLVVANDFGYARLVNPLTAATAPLPPLWRLPYLDAAHGYDGCVGSFLYVDEHHRGGPGVAFSFDGLCDLVLLKAVVIDISDGGATVAVLYRREREFAMARTGQRSWRLVNNKLDGIVDMARHGDGKLYTVHLSGKVARWKFDCNVRRSPEILESVLVIDSPYHYVVKADNNVNAITMSREYEHDHRDRAGECCYLVGAPRGTLYLLKRVYKHKQVGSDGGGGGRTQRTTATFHVWHLTWASNGGMEWPATMDGAAIYHNLATFVSYTGVVCVGKRDADAVLAGGAVYFTEDAAGYAGAAMAEDFGVRRINIRRQKSRRITRMTRLDDESMKRIKDKLEDEESEEVKPLGRCMNWPPPFWFIPSLDDSLGAAPPGK >ORGLA07G0071800.1 pep chromosome:AGI1.1:7:6800931:6802400:1 gene:ORGLA07G0071800 transcript:ORGLA07G0071800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQEREPERQPHAGRRVALFPLPFQGHLSPMLQLADLLRARGLAVTVLHTRSNAPDPARHRHGPDLAFLPIHEAALPEEATSPGADIVAQLLALNAACEAPFRDALASLLPGVACAVVDGQWYAALGAAARLGVPALALRTDSAATFRSMLAFPRLRDAGFIPIQGERLDEAVPELEPLRVRDLIRVDGCETEALCGFIARVADAMRDSASGVVVNTFDAIEASELGKIEAELSKPTFAVGPLHKLTTARTAAEQYRHFVRLYGPDRACLAWLDAHPPRSVLYVSLGSVACIDHDMFDEMAWGLAASGVPFLWVNRPGSVRGCMPALPYGVDVSRGKIVPWAPQRDVLAHPAIGGFWTHCGWNSTLESVCEGVPMLARPCFADQTVNARYVTHQWGVGLELGEVFDRDRVAVAVRKLMVGEEGAAMRETARRLKIQANQCVAATLAIDNLVKYICSL >ORGLA07G0071700.1 pep chromosome:AGI1.1:7:6796556:6798406:1 gene:ORGLA07G0071700 transcript:ORGLA07G0071700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARDRGDGRAPRGHVVLFPLPFQGHLSPMLQLAGALHARGLAATVLHTAYNAPDAAAHPELAFVAVPSADAIARALAAAPRDGIAKIMALNAAIEASGCARDALASLMSGPERPACLVIDAALPGAQKAAAELGLPTIVLHTGSAAAFRLFRSYAMLREKGYLPAKESELNRPVEEMPPLRVSDLFDPSKYFNEEMANKILALSTETTTNSSGTVVNTFEALETPELRSVRDELGATIPVFAIGPLHKLTSNGDRSSLLDQDRSCIEWLDTKEPGSVLYVSFGSVVMVSQDEFKEVAWGLANSGRPFLWVVRPGLVIGVSGKPELPEGFVEAVEGRCKVVDWAPQTEVLAHHAVGGFWTHNGWNSTLESIYEGVPMLSRPTFGDQLVTARYVQETWQIGFRVEGKLERGKIEEAIRRLMEGEEGAEVKQRADELKKKILICLKNGGSTQQAIDKLVDHMLSL >ORGLA07G0071600.1 pep chromosome:AGI1.1:7:6793729:6794115:-1 gene:ORGLA07G0071600 transcript:ORGLA07G0071600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLQPKCDLHELVGVHGCQAPKAHVEGVAPSVHGGEPVEWASGEHGGYAIVTKLAVDPLELHGFQLFECVDHHAIGVPHRFGDPSKHFKNQFIIGAEKVPYSQWW >ORGLA07G0071500.1 pep chromosome:AGI1.1:7:6792794:6793345:1 gene:ORGLA07G0071500 transcript:ORGLA07G0071500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVVGGGARHGGERRRRVLVFPLPFQGHTNPMLQLAGALHGRGGLCVTVLHTRFNALDPSRHPELAFVEVADGIPPDVAARGRVAEIILAMNAAMEATEDESGAASPSNIREVLASVVAAGEGQPRVACLVIDSHLLAVQKAAAGLGIPTLVLRTGSAACLRCYLAYDMLLQKGYLPPKG >ORGLA07G0071400.1 pep chromosome:AGI1.1:7:6789613:6791283:1 gene:ORGLA07G0071400 transcript:ORGLA07G0071400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDGATRRGGGDGSSRRRRVLVFPLPFQGHINPMLQLAGALHGRRGGGGGELSVTVLHTRFNAIDPSRYPELAFAEVPDGIPPDVAANGNIVDIIVALNVAMDGGESSPSFRDVLASVVAADDEGRKPRASCLIIDGNLMAAQKAAAELGLPTLVLRTGSAACLRCYLAYPALLQKGYLPPKESQLYEPVEELPPLRVRDLYYTSNANQELVRKVLGWIAETARNSNGVVINTFDELEPAELERIQRELDGDGVAIVLAAGPLHKLSPMNAGGSLHLRPDRSCIEWLDTQATGSVLYVSFGSLASLDSNEFLEVAWGLESSGQPFLWVVRPDLVKGLDKPSLPDGFERAVEGRGKVIKWAPQQEVLAHHAVGGFWTHSGWNSMLESVSEGVPMICKPQFADQMLNTRYLEAVWAVGFELVGKLERGEIKKAIKRLMVEKEGAEIRERAKELKKKMDQCLESSGSSQIAINRLVNYIISL >ORGLA07G0071300.1 pep chromosome:AGI1.1:7:6749910:6752399:1 gene:ORGLA07G0071300 transcript:ORGLA07G0071300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAVHAAVAAGAGHRRRRVLLLPLPLQGHINPMFHLASVLHARGFAVTVFHLQPAGVNAPDASLHPAFDFVPVPADGDGDGAGGDYLEATLAGILDVNRRCEAPFRERLAALLEEAAPAGGGDVACLVADAHLLTLMDVARRLGVPTLALRTGSAASFRVFAAHRMLRDMGYLPARESELDAPVTVLPPAPYRVRDVMLTAGFGGHVQDQIYELVSRAVEAVRTSSGLILNTFDALEHDELAALRRDLDVPVFDVGPLHKLSPTAPPSSLLRQDRGCLEWLDSQAPASVLYVSFGSIASVSASELVEAAWGIANSGHPFLWVLRPGLVRGAAAAAALPDGFDAATRGRGAVVSWAPQEEVLAHPATAAFWTHCGWNSTLESVCAGVPMLLRPCFGDQPGNARYAERVWRAGLALDGGGGELERGKVEAAIRRLMEEDDGAGMRRRAGELKSRAAECITKAGSSCLIIDKLGAARSXADALTWFFWLLSASKMPVMELAPLTMA >ORGLA07G0071200.1 pep chromosome:AGI1.1:7:6739650:6743316:1 gene:ORGLA07G0071200 transcript:ORGLA07G0071200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISPASDGVGRRRRRVLMFPIPFQGHVTPMLQLADVLRSRPGLAVTVFHAPVNAPAAAEQSAAEEDYRFVTVGAGVAGEAAALMPTGGSGSDFAGALMRLDALLRAPFDDALRQALLADDEEEAAATCLVVDSNLRGVQEVAERRGVRTLALRTGGACCLVAYMAFPELCGKGVLPPLSRDQLQLDMPLDELPPLRLRDMMFSATTTHGTMATCLERLLDSARCSSGVILNTFDDLENSDLRKIANGLSVPVYAIGPLHKISIGQESSLLTQDQSCLEWLDKQEAESVLYVSFGSLASMDSQELLETAWGLVDSEIPFLWVIRPNSVQGSEQTCLPDGFEEATRGRGMVVSWAPQQDVLKHRAVGGFWTHNGWNSTLESICDGVPMICRPQFADQMINARYVQEVWKIGFELEGKLERRMIERAVRRLLCSEEGKEMRHRAKDLKNKATTCIEKGGSSNTAIDMLVNLIMSF >ORGLA07G0071100.1 pep chromosome:AGI1.1:7:6726649:6727239:-1 gene:ORGLA07G0071100 transcript:ORGLA07G0071100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGGGGGGGGGGTSIHITALDGIVNVNSLFTLAAFIGLAWRPSADGPELAGGADRLGSACAAGDRVESDLVLFHVLAFACFLFSSIVALCLKQIVRTHPHYRLRSGGGGGSAVSRTAMINRVALRVGILASAVGSVCGCGFLMMALVNVVQVKLGRLGCGAGGAAAWGAVVPLVSLVPTAMLIYIGIVFYAFTR >ORGLA07G0071000.1 pep chromosome:AGI1.1:7:6720849:6724840:1 gene:ORGLA07G0071000 transcript:ORGLA07G0071000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFSCAGARLQQGRVGVGKCRGGGGGGGGAAVVRRSGCCLYPGGRRGLGVRGIRAELPPRACADGGGGATTSGWTVAVPDAGEVADHVKEVGAVAPPSVLPKGERGEVADVDGSGGNGKLPSGGGGGDGDNGGGGGGGDGGDGGDEGDDEFGPILSFDQVVQEVEKRGVSLPSLPADMIEAAKSVGIQKLLLLRYLDMQASAWPLGPAIRSCSLLRNRMLVDPSFLFKIGTEIVIDTCCATFAEVQKRGEEFWSEFELYAADMLVGVVVNVALVGMLAPYARFGGGSASPGLLGRVRHAYDSLPSSVFEAERPGYSFSIQQRIGTYFFKGILYGTVGFFCGLVGQGIANLIMTAKRSVKKSDDDVPVPPLLKTSALWGAFLGVSSNTRYQIINGLERVVEASPVAKRVPAVSLAFTVGVRFANNIYGGMQFVDWARMTGCQ >ORGLA07G0070900.1 pep chromosome:AGI1.1:7:6717165:6719110:1 gene:ORGLA07G0070900 transcript:ORGLA07G0070900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRHVDAVAAVLPVLLTILLPSAAAIGVNYGTKGDNLPPPATVAKFLANRTRIDRVKLFDTNPDIVKAFAGTGITVMVTAGNGDIPTLGTKDGAAAWVAANIAPYYPATDISLVAVGNEIINTADNALIGGLVPAMRTLRAALVAAGFRRIRVSTPHSLGILSVSSPPSASRFLDVLDRTFFAPMLEFLRKTKSPFVVNPYPYFGYNGDTIPYALARRPNPGVLDPGTGITYTSMLEAQLDSVFSAMKKLGFEDVDITVGETGWPTKAEPGQAGVSVAEAAEYNRYLIGEASSGSGTPLMPKRTFETYIFALFNENLKPGPIAERNFGLFKPDLTPMYDVGLMKDTGKSSASAPAPAKGGNASGAAVTKRDSESEAAAPADEASAPAPSSVGKKASTKATAPAPSDDGSASPEPSEGESADEKNPEEEEEEEGDDAAATTTPEGDGDSPETEAAGDDAKESEGKNNPHGHGDSSEAISVMFSVPSMLTIALSAILLHL >ORGLA07G0070800.1 pep chromosome:AGI1.1:7:6688443:6694977:1 gene:ORGLA07G0070800 transcript:ORGLA07G0070800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYGSVILQIWTPFGWTTPAPLKLNLGARAPPNRPSTSDSNIINPCFFQLLHNHHRHLRHVRVFYAICINITNVRLSITNIIDANAFCQSANDNSGKGLRKWKATEDIQ >ORGLA07G0070700.1 pep chromosome:AGI1.1:7:6680566:6682728:-1 gene:ORGLA07G0070700 transcript:ORGLA07G0070700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAARKAAARLLSPRASTHPFSAALLLRGRAARGGSLEARLATVPHERASVLRFWVRRRRFHDARGVFDERPTRTAPVWTLTISGCARRGRYADGMRAFAEMLAEGEATPNAFVLAAVVRCCAGMGDVESGKRVHGWMLRNGVHLDVVLCNAVLDMYAKCGQFERARRVFGAMAERDAVSWNIAIGACIQSGDILGSMQLFDESPLRDTTSWNTIISGLMRSGHAADALSHLRRMAQAGVVFNHYTYSTAFVLAGMLLLPDLGRQLHGRVLIAALEGDAFVRSSLMDMYCKCGLLEAAASVFDHWSPLTRDMNFAWSTMVAGYVQNGREEEALDLFRRMLREGVAADRFTLTSVAAACANVGMVEQGRQVHGCVEKLWYKLDAPLASAIVDMYAKCGNLEDARSIFDRACTKNIAVWTSMLCSYASHGQGRIAIELFERMTAKKMTPNEITLVGVLSACSHVGLVSEGELYFKQMQEEYGIVPSIEHYNCIVDLYGRSGLLDKAKNFIEENNINHEAIVWKTLLSACRLHQHNEYAKLASEKLVQLEQCDAGSYVMLSNIYATNNKWHDTFELRVSMQERKVRKQPGRSWIHLKNTVHTFVAGDASHPQSAEIYAYLEKLVERLKEIGYTSRTDLVVHDVEDEQRETALKFHSEKLAIAFGIISTPSGTPLRIFKNLRVCEDCHEAIKYISLATGREIVVRDLYRFHHFKDASCSCEDFW >ORGLA07G0070600.1 pep chromosome:AGI1.1:7:6674594:6674758:-1 gene:ORGLA07G0070600 transcript:ORGLA07G0070600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRTSFTLMFGMGCGVYVAQNYDVPNVKKLFNTYMFLAKHIEETYRKPKRDD >ORGLA07G0070500.1 pep chromosome:AGI1.1:7:6667674:6671537:-1 gene:ORGLA07G0070500 transcript:ORGLA07G0070500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDRTIKINKPEGDAEATTSQKSACCGS >ORGLA07G0070400.1 pep chromosome:AGI1.1:7:6663881:6666768:-1 gene:ORGLA07G0070400 transcript:ORGLA07G0070400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GARFSKRPGRPHHRTGSWARGLRGGPPEAQRRRALATAAPPRVARSLPREQPGGRGSGRGAEGSASASPVAVGDREGKKTSTATQGGGHASSSPRRLGEKLARDHPGGGGRGAEGLASAAFPVVDRKGKRKVCAASKGAASSSSPPFERLSSEELGAGAGGRGTEASTSASAAAVVDQDEREVREWPRFALLSNSHLLEIGARCEGHKDLSADAQESTYTVVSSVVRNFSADFSWWSIMGNPMKQTIPNTCTILACAVCIEALHRLEWERLHGPGTFLCRAAAPRKLRRACIRDDILHPEEGVESKKMVLLLKKIKGMGGIRTTNAPPPVPFLLPLKSWRMYRQKGSLTRERAVHLLRTGGPYIGIIRVSLLYHFIDASVNDELVYRSVPPELRTAADVWLIDAFVAGRATDNDICDLISETNGNHVIVCYGYRHRGGELQILILDNHAQTGPSRWIGFEELEKVYVLRVDPLPLDLDQLNPLPVYPISGC >ORGLA07G0070300.1 pep chromosome:AGI1.1:7:6657329:6657739:-1 gene:ORGLA07G0070300 transcript:ORGLA07G0070300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLTLLFFFSVVATVGADDVRQVLHELFFPIEWTPSPSLDFSSDPPTPATPVDNSGPRLLPAPLPNTIAADVLSSRSRPDPQASCGGSGGMPKAAIVVASAAVAAVLALLAIVVAFLLTSQLARHPAAARPRAC >ORGLA07G0070200.1 pep chromosome:AGI1.1:7:6653693:6656306:-1 gene:ORGLA07G0070200 transcript:ORGLA07G0070200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVMGSESGWTSPAFEELLPQLPRGEQLRLETHLRDRDRRWRRMRYNNAPPPPSSTKIRRQEKERDTWMIPHVQNALRHYNARHPGGEFDVVKPLMQARVVFKGQHWFHINFWARSRSSNKIKRFFAELHYKPLITISGFVSWEQLLPDPLPAPVASVETCTIIEEPLDQYKRSCAFCPAGFGILHPKGDRKFVCGNDKDRFYQKLIPCKQLQFGLPFM >ORGLA07G0070100.1 pep chromosome:AGI1.1:7:6648587:6649559:-1 gene:ORGLA07G0070100 transcript:ORGLA07G0070100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIVLAYVDGSIQNGITGPEYTIPPKITFPASNRSTFEDVKNEIFRGLGYTEDDYIISIQARFDIGAPGPHYFQLIPIYEERGWKMIFEKTQTRASWHIIELYVDCKPAQVVLSQITESSRQTERNDTNVYLQHRTIHPAQVASQEDDYVGEETDLAEDRIEQDDDSEHDADGSTDHSTDDEHPEPQPVVHSINSFPFMHATGKNPIKAFSDIYVLKETIADESFFGHKKQFDSPLARGKTFDSKEHLKIAIGEFHIEKNAEVKYIPVANPKLWLNARTIVAHGGSMQHRQELIVSYFIGASFR >ORGLA07G0070000.1 pep chromosome:AGI1.1:7:6646006:6648077:1 gene:ORGLA07G0070000 transcript:ORGLA07G0070000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNDRFSDLLWMPHCQHKVSKIWDSPTNCINIRLHRVGIPYHSLCQTALENLGFFQIARMKEINIDKYSISALVERWRPETNTFHLPVGEMTITLQDVSCLWGLPIHGRPITGQADGSWVDMIERLLGIPMEEQHMKQKKRKKEDDMTMVSYSRYSISLSKLRDRFRVMPKNATEREINWYTRALVLDIIGSMVFTDTSGDGVPAMYLQFMVNLSEQTEYNWGAAALSMLYRQLSIASEKERAEISRPLLLLQLWSWSRLPLGRPVKAMKITKEREEEDEQEELDYCPVFGAKWCLSHEFRAPHNAGTISILIISYVPIMCLGGLENPIPYPRDIFEWTGYMPSGPPLARISLRVIKNAAWGIKCAITNGCKKLGKSILKTCLGNLRDLNLEPRLQNMLTDAGLPINIEDIPSDDDLSAPVHHPSPPKDSNSDIFDEWVYSGRGFERYLHAGGIAATQDLGQVTQIDE >ORGLA07G0069900.1 pep chromosome:AGI1.1:7:6641486:6641907:-1 gene:ORGLA07G0069900 transcript:ORGLA07G0069900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARVNLTVLGLSSLVALLVLIIAVEDVAVVAQVIDYSSMNRDHIPGTPQLNHPGDIANKYTRGCEKEQLWQNLP >ORGLA07G0069800.1 pep chromosome:AGI1.1:7:6639434:6639658:-1 gene:ORGLA07G0069800 transcript:ORGLA07G0069800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARVNLTVVVGLSLVALLVLTAVEDVGVSADNEIGYTTMNHDDIPGTPKLLHPGGPANTYTRGCEKEQDCRD >ORGLA07G0069700.1 pep chromosome:AGI1.1:7:6620161:6620400:-1 gene:ORGLA07G0069700 transcript:ORGLA07G0069700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARVNLAILCLSLIALLVLTTVPEAAGGRPGGYINYGAMSKNCIHGSPQYNHQGSSANHYTRGCEKQLHCRGKRRGF >ORGLA07G0069600.1 pep chromosome:AGI1.1:7:6618505:6618819:-1 gene:ORGLA07G0069600 transcript:ORGLA07G0069600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNRLLDTFLCLADAHGSFQETVATLKQDVAEALVTIRRRDDARLASAVRLQRKAGKELARLAAAARDGARPSRLGLGRNSTEVEVTGLLSESAAPSPSPEKI >ORGLA07G0069500.1 pep chromosome:AGI1.1:7:6596104:6596385:-1 gene:ORGLA07G0069500 transcript:ORGLA07G0069500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFPFEAHCHHGCPHHHLCAKTVEPPRSFRWGDQIRPPLALGWPDLVASDFRVARSGAPKVAVIDPDAKWAKTTPMMEVEARRGDPMNGIAT >ORGLA07G0069400.1 pep chromosome:AGI1.1:7:6585766:6587793:-1 gene:ORGLA07G0069400 transcript:ORGLA07G0069400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAWVNLVILGLSLVALLVLTTIPEVAGGRPGGYIDYGAMNKDRIPGTPKFNHLGDSANQHTRGCEKQLHCRAIPTLEAKDGGSNADVRGEEAATPTLGKCSGRKEDGRRS >ORGLA07G0069300.1 pep chromosome:AGI1.1:7:6577971:6585047:-1 gene:ORGLA07G0069300 transcript:ORGLA07G0069300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G59950) TAIR;Acc:AT5G59950] MSSGLDMSLDDLIKQSKTKPKGGAPSSSGPTRRAAPPAARAAPYPPAGPKAAGGASPYGVYSEHVAAMAGVVPRPRPPPAAAAAAARSLETGTKLHISNLDPGVTVDDVQELFSEIGELKRYSVNYDKDGKSQGTAEVVFARKVDALEAIKRYDGVILDGNPMKIDLIGNNSETSPMPPTAPLLYNPPFPNYPNSVPRRGGQRGQFHQGNGRPGNSQGIGGGPRGFQGSGRPGSGSQGGGGRSQGKTRGNERSRIQKSAADLDAELDQYHAEAVKEK >ORGLA07G0069200.1 pep chromosome:AGI1.1:7:6571950:6576787:1 gene:ORGLA07G0069200 transcript:ORGLA07G0069200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT2G06530) TAIR;Acc:AT2G06530] MSFLFGKRKTPAELLRENKRMLDRSIREIERERQGLQAQEKKLITEIKKTAKEGQMGAVKVMAKDLIRTRHQITKFYQLKSQLQGVSLRVQTLKSTQAMGDAMKGVTKAMGQMNRQLNLPGLQRIMMEFERQNERMEMTSEVMGDAIDDALEGDEDQEEETEELVNQVLDEIGIDINQELVKAPSAAVAQPAAAGKVAQAESAGGNGDGGIDADLQARLDNLRRM >ORGLA07G0069100.1 pep chromosome:AGI1.1:7:6551545:6552546:-1 gene:ORGLA07G0069100 transcript:ORGLA07G0069100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASLLLAPRPPDMAAAGILPVSGGGGASSARPASMAERARMAKIPQPEPGLKCPRCDSTNTKFCYFNNYSLTQPRHFCKACRRYWTRGGALRNVPVGGGFRRNKRGTKPSNSKKPAATVAGGVMAPPHAQLQLPFGFDGGGGGGHGSIIGGGGGGGASRLGFPELSSLHAAAAVDYQLGGGGGGGDGLGLERQRLPHFPFLARSNAAVHPPPLMSTAAGISYPFGDVAAGGLGGDMPANAASVAGSAGLITQMASVKMDDIDNHPPPSAATTTASSPIEFLGLRGSLQFWGGGGGHRGGGDGAGGSAAPGGGGGGWSNLPAFDLSTSGNIL >ORGLA07G0069000.1 pep chromosome:AGI1.1:7:6541465:6542181:1 gene:ORGLA07G0069000 transcript:ORGLA07G0069000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEDDGWYPMIGPNRDNSSDVSACTIKYDFTMPYKTIIKASNVALESEAKVEDVKYKSKPRTALFQGREGDEPMTHQDVHGDMTSDNSIIVTGNSLISSGLXFRTIYFDEKYGKIMEKFTSAGLSSNIFFRGVNFHKKREEKKQRKYIQIGCIQVDVT >ORGLA07G0068900.1 pep chromosome:AGI1.1:7:6528824:6529593:-1 gene:ORGLA07G0068900 transcript:ORGLA07G0068900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGLPSPTAAGGGLGDGLRRRIRRRHPWEGRIRRPTEELGTRRARRRPAVATLPPPQCRSHIPDPRRSSRITGLPSIDGWRRRGRAFSSGAAGRSGGSASASXSPAASVTQQRRRGEPLGRRVLKWLPVERRVTTQIRWRSSPELRDRSIAWKRGRTEGKRRRKEDETELLQSPSERRS >ORGLA07G0068800.1 pep chromosome:AGI1.1:7:6517291:6518067:1 gene:ORGLA07G0068800 transcript:ORGLA07G0068800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYIVIKNRPCKVVDVSTSKTSKHGHAECHFVAKDIVPSSHNCDVPHVNRTEYKLIFVSLLTRSGNNKDDLRLPTYDNLLGQIKAGFGQGKDVVVTVMSAMGRSRSDFTDKGLMPVFEDRTSPTKEIEISILLMALRADRVHVANILITGKYAEDLYVISANNSAPMKD >ORGLA07G0068700.1 pep chromosome:AGI1.1:7:6498682:6501522:-1 gene:ORGLA07G0068700 transcript:ORGLA07G0068700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMDLAVAVLKTTGFASTAAKERDGGGEGGGSGSGGVRGRRVAGGNTGCQRKPILAFSKILLLAVYLNTDSIVFLILLQKHSSGGDEFVTNAIAGRWNYDLHYIRAFAMKLSVLTGKQDAKANGPSPADGKASIRLANRQMDHQYFPQYTAS >ORGLA07G0068600.1 pep chromosome:AGI1.1:7:6493937:6497622:1 gene:ORGLA07G0068600 transcript:ORGLA07G0068600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPGGSAATSSSSTPPPPPDGGGGGYFRFDLLGGSPDEDGCSPPVMTRQLFPSPSAVVALAGDGSSTPPPTMPTPAAAGEGPWPRRAADLGVAQSQRSPAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLNDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGREAVTNFDPSSYDGDVLPETDNEVVDGDIIDLNLRISQPNVHELKSDGTLTGFQLNCDSPEASSSVVTQPISPQWPVLPQGTSMSQHPHLYASPCPGFFVNLREVPMEKRPELGPQSFPTSWSWQMQGSPLPLLPTAASSGFSTGTVADAARAPSSRPHPFPGHHQFYFPPTA >ORGLA07G0068500.1 pep chromosome:AGI1.1:7:6450812:6452837:-1 gene:ORGLA07G0068500 transcript:ORGLA07G0068500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVLGVEKATVTAVAAGGGGMGVEEEVVTLFVGYRTWYSGTTAAHFARRQRRGVCVLSGADAVTDVALRQPAAPGAVVALRGRFEILSLTGTFLPGPGPPGSTRLTVYLAGGQGQVVGTLTAAGPVMVIASTFANATYERLPLDQEEEEAAAGGGGHMMAPPPLMAGAADPVLFGGGMHDAGLATPAWHHARPPPPPPY >ORGLA07G0068400.1 pep chromosome:AGI1.1:7:6433987:6434218:-1 gene:ORGLA07G0068400 transcript:ORGLA07G0068400.1 gene_biotype:protein_coding transcript_biotype:protein_coding HKCEHNLMDDVERCKGCACWLTGSMKVYLDYSETVDDWRDHGETVQIGRGDTFLMY >ORGLA07G0068300.1 pep chromosome:AGI1.1:7:6427266:6430766:-1 gene:ORGLA07G0068300 transcript:ORGLA07G0068300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPETLPRLPEPPLLRLQRPTVSDLPQFTAFGSSILTMGQHTEYGFVPVANPTWVSDLATGTRRAAGLGAYMGFQFERARAWISIWMEREETLVEMVTSVDKDTVSTVRMAANTAPLTADAADIGSTMGTAAADVGSTTETTAAAGSQAAIRRMRLRPPSS >ORGLA07G0068200.1 pep chromosome:AGI1.1:7:6425372:6426826:1 gene:ORGLA07G0068200 transcript:ORGLA07G0068200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNGVVQLITNVRNPRASAKAMWRPILLQPRRTPISHLRRRGHDATDDDKAVLPLRRLIGSRGYASSSDATNAAAAAAANIAAPRADSRRRRRPPAAKAKAKQHLYVVLNDRKDACEIHKLDIDGGGGGRLMMNAGDMASLKTLRRLPEPPLLRLQSPTVHPCSKFTTVGSSIVNMGEYFSDHYCGDWFREARGNTLVYDTKTAALTVVRHLPEGLLGVYDFVAAIAVGANRLYVLDEGTMDDYRGRIVGGMHCFRLTDDDDDGSRKKKERWSWWQPDESTRISWSDHPSRLPFDTITGQIEAYAVHPKGRTFFVSVRQVDDEGTFSYSVESGKWTRRGDWMLPFVGHGHYDGELGSWVGLHHSDDDGRLSACRVVSARQRRALPEVKVSKEKVFVQVPGWARVQAELVYMGGRSEYCLVEWLETEGSSDEEKCDECVLRLTKMRVVYDGDGELTVAAHRLSGCYKVSRSEKYRRHMAAFWM >ORGLA07G0068100.1 pep chromosome:AGI1.1:7:6422735:6423046:-1 gene:ORGLA07G0068100 transcript:ORGLA07G0068100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGGQEANSAHVPSINKVFADENEAFDFYNGYAYMVGFSTCKASNYHSRKTDVVTRHTFKCNRWRKPSDPKEKGLPEVDEVENCLQTNTTNPLVKKRKQNKVVYT >ORGLA07G0068000.1 pep chromosome:AGI1.1:7:6379841:6380357:1 gene:ORGLA07G0068000 transcript:ORGLA07G0068000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPRAPLLMLCLLLLLCFALATSSGVGHGQRRTRPSATSLAWSVVEENAAAGDELWRGMWMAEDVAAPVMISVMGCRAATTELQHVE >ORGLA07G0067900.1 pep chromosome:AGI1.1:7:6372834:6373676:1 gene:ORGLA07G0067900 transcript:ORGLA07G0067900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHLFSFLVLFQPVLPHRAARQRVQRLSPPPFRLHVQGDVPQLKEICSSLATSKELVKALVGIWGPDDGLNPSTASLLSALCAELDLACTHVRHLATEDRRHGDETARMRAQLVEEAREWRSRQREKVAAMVRVAAAELDGEQRSRRRAERVNAKLGKALADAERELAASRRELERERRSRERLEKVCDKLVRGGLACGVDDVRGGEEEVRREAQRGAGGAGEREGDAVPRRRAPQAFRCAATKAFPPAAPLTATLSSRSRPQCCHLRRHGGTADXLR >ORGLA07G0067800.1 pep chromosome:AGI1.1:7:6368910:6371973:-1 gene:ORGLA07G0067800 transcript:ORGLA07G0067800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAPVSPAPRTVEDIFKDFSGRRAGLVRALTVDVDEFYGFCDPEKENLCLYGHPNGRWEVALPAEEVPPELPEPALGINFARDGMHRRDWLSLVAVHSDSWLLSVAFFFGARLNGNERKRLFSLINDHPTVLEALSDRKHGRDNKSGADNGSKSRHSGKRANDVQTKTSRPAVVDDGYDEEEHSETLCGTCGGRYNANEFWIGCDICERWFHGKCVRITPAKADHIKHYKCPDCSSSKKSRQ >ORGLA07G0067700.1 pep chromosome:AGI1.1:7:6359733:6363541:-1 gene:ORGLA07G0067700 transcript:ORGLA07G0067700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transporter 3 [Source:UniProtKB/TrEMBL;Acc:I1Q985] MAGKDEAEGLEARLLLLPPEAAAEEPTRCGGGDGGSGGRKRKKTYLDVLGVCCSAEVALVERLLAPLDGVRVVSVVVASRTVVVEHDPAAAPESAIVKALNKAGLEASVRAYGSSGVVSRWPSPYIVASGVLLTASFFEWLFPPLQCLAVAAVVAGAPPMVRRGFAAASRLSLDINVLMLIAVAGALCLGDYTEAGAIVFLFTTAEWLETLACTKASAGMSSLMGMLPVKAVIATTGEVVSVRDVRVGDVVAVRAGEIVPVDGVVVDGQSEVDERSLTGESFPVPKQPHSEVWAGTMNLDGYIAVRTTALAENSTVAKMERLVEAAQNSRSKTQRLIDSCAKYYTPAVVVVAAGVALIPALLGADGLEQWWKLALVMLVSACPCALVLSTPVASFCAMLRAARMGIFIKGGDVLESLGEIRAVAFDKTGTITRGEFSIDSFHLVGDHKVEMDHLLYWIASIESKSSHPMAAALVEYAQSKSIQPNPENVGDFRTYPGEGIYGEIHGKHIYIGNRRTLARASSPQSTQEMGEMIKGVSIGYVICDGELAGVFSLSDDCRTGAAEAIRELGSLGIKSVMLTGDSSAAATHAQGQLGGVMEELHSELLPEDKVRLVGGLKARFGPTMMVGDGMNDAAALAAADVGVSMGISGSAAAMETSHATLMSSDVLRVPEAVRLGRRARRTIAVNVAGSVAVKAAVLALAAAWRPVLWAAVLADVGTCLLVVLNSMTLLREEWKGGAKEDGACRATARSLAMRSQLAADSQAPNAADAGAAGREQTNGCRCCPKPSMSPEHSVVIDIRADGERQEERPAEAAVVAKCCGGGGGEGIRCGASKKPTATVVVAKCCGGGGGGEGTRCGASKNPATAAVVAKCCGGGGGEGIGCGASKKPTATAVVAKCCGGGGEGTRCAASKKPATAAVVAKCCGGDGGEGTGCGASKRSPPAEGSCSGGEGGTNGVGRCCTSVKRPTCCDMGAAEVSDSSPETAKDCRNGRCCAKTMNSGEVKG >ORGLA07G0067600.1 pep chromosome:AGI1.1:7:6348553:6351465:1 gene:ORGLA07G0067600 transcript:ORGLA07G0067600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNTTTTATVLLAAAVALLLATAARGDGGDGGCGKEDAAAGRDQARARGLKIAAFFSILVCGALGCGLPSLGRHVPALRPDGDVFFLVKAFAAGVILATGFIHILPDAFDNLTDDCLPAGGPWKEFPFAGFGAMVGAIGTLVVDTLATGYFTRAQSKKDAAAAVADEEKQSAAATTQQHNHHYVVGDGGGGEEHEGQVHVHTHATHGHAHGSSALLAAVGEDDKETTLRHRVISQVLELGIVVHSVIIGISLGASQNPETIKPLVVALSFHQMFEGMGLGGCIVQAKFKVRSIVTMVLFFCLTTPVGIAVGVGISSVYNESSPTALVVEGILNSVAAGILIYMALVDLLAEDFMNPRVQSRGKLQLGINLAMLAGAGLMSMLAKWA >ORGLA07G0067500.1 pep chromosome:AGI1.1:7:6303369:6306473:1 gene:ORGLA07G0067500 transcript:ORGLA07G0067500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MADEESSDFTFCKIDFEGDGGLEFPKAIPVASTPGDAGADNLKTKKIEGNMQTNNSIKDQTSNSISSSINRVSLEDSNGKESVLSRENTQSNLSSQPKSSKKPAARAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNRRLISLEEVKQHKTGDSIWTVLKGRVYNIAPYMKFHPGGVDMLMKAAGKDSTALFNKYHAWVNFEFLLEKCLVGFLDPNE >ORGLA07G0067400.1 pep chromosome:AGI1.1:7:6288363:6289297:-1 gene:ORGLA07G0067400 transcript:ORGLA07G0067400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKTASQDYLPIPVAVTKASRLKHGRTLKLMTAHGLKIRVKVAEARDKLYMTIGWKEFIQETGLKMGESRSVVFRTLSKSRLNVIIFNKEGYSRCPIPDKAAKALINNQSSSAPSFSTKSTAPRHPSSTNVEANTKRIVKDMCCYNKRMKLSSEVKNYVRDIAQFLDYSSKFYIVTIKNIHEVRQGGKIFHLLSIYSLEK >ORGLA07G0067300.1 pep chromosome:AGI1.1:7:6279899:6280488:-1 gene:ORGLA07G0067300 transcript:ORGLA07G0067300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWELELLLFLLALRVGFYHAKFPVAEDVVLGEMRMILEEDPTLAPSLHRMYYHDCFVQGCDVSIMLRSRSGKGERDATPNRSMRGYDAINRIKARLESICPLTAVATAPHCRPSRCSDQEKGRGERDKGEREGRKKEKESHNNLFF >ORGLA07G0067200.1 pep chromosome:AGI1.1:7:6275406:6279454:-1 gene:ORGLA07G0067200 transcript:ORGLA07G0067200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSRSSVPLPLPEPSSRSETSAGGTSPRIGSVRSEGDAAPHRSEEKSEKQRPGRRKRKRGKGGPRRPTIALLAEEAKQRLLRVVTPTSPGTTVSPFAPAPRRPQYPPFPEDGNVEDVRKWNDECHEVSKIIKKIEKERDKDIPIKTEPKDPYTTEAIQSSREKVVVLHAAHAIVSISHIMDDGQRRPQCTGIIIKQWSDDTGHHHATIVTYSRIVCEAGRKRDPLPKLSVCLPNKKTVLDAELIYFNDHYDIALLHINLEVTMELPSFGRGPEYGQEVFVLARDGEASLRARRGDIQWLEESDILGRDHYMFLSCDIPEGGNGGMVIDNDGVVRGMAIYCSPYPAVTSISTIVKCIDMFMQFNQVARPLFGIGVRTIVLLDVQLQEDISDFGIKGGFLVDRVYNPVAEDLGIKRGNVITSINGKGALTLPELEDYLLSLGWNYLEDKLNCIKDIKLRVCDLKSGVEIDVTLPVRFYDKSEGKLRFCHDED >ORGLA07G0067100.1 pep chromosome:AGI1.1:7:6272136:6273698:1 gene:ORGLA07G0067100 transcript:ORGLA07G0067100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAPDIWHWTRSLPNPKHWRGESYSLQICNSPSTNQSLNLIISWHSETQSFNLSYSICAEHHDPVSLWSSHYSRLKSVNGSDVAVHFLHDIICGVLGYGPYSNKMSPFRLPNLQVSEDSGKIFNLAALTLALMVCIYEAPSTLRRDLIGTISAQLIRGDMWGAAKKLMLAMGSDMEEQWMRSLNLAITNWIMETRRSGGTPVSPFTVFSYAVSASRLWKVELYCPVVAMIMEHPAHQTKDEKLQFSLNYQHLEAVIQFIYRVTFRENWIDVTVNVDNIRCDLIQLVSETLMAKQGYGSDEKHFPSRISLQLTPLVQTDILSLTVSRSTDNPAQEVDTEMGLDASLSAAPATIGITVSAHETVTRTLRPWKFEHSVHGNTAALNWFLHGGAEGREVFSSEPHKRELLQPRSWFRNRYTNPGRPFTRGGGVIFAGDEYGESVCWRMPAAAAGKTVEWEMKGRIWVTYWPNKKRTLHVETRRVEFRELLRLTIRE >ORGLA07G0067000.1 pep chromosome:AGI1.1:7:6268133:6268507:1 gene:ORGLA07G0067000 transcript:ORGLA07G0067000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKTTRAEDAGAEVDAQLPARRVAAAEPKRALAPTPTPPPGTGCSGTTSWRPPSRWPCASARWSTPSSTATTRRSSLGTSCLCSSCAVQPRKSFATMSRCTGGLSPWELPGSGCDEFIGRKS >ORGLA07G0066900.1 pep chromosome:AGI1.1:7:6264534:6265283:1 gene:ORGLA07G0066900 transcript:ORGLA07G0066900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTVEVSDDGDHPVLRDDERGIPRSLSLLAAIVEADAARHAAASAATRPAESDLVRAFRGGATPTVAIGEFLERIHAFVRLESVRHDIQLQATCYVLAGIYLTRFLGSAAAVEAGIRVDPSTAHRLVAAAVFVGAKFGNTSDMLPTRWTSVFETSSDAAIRAGEMAGLERRFLRAVDYRLFVRSDRFGWFCGAMEQALHRSVSRSRKRTAAEAVGGEEGEDERRRRRRHSIVGAFLPPLPAVVAN >ORGLA07G0066800.1 pep chromosome:AGI1.1:7:6250909:6251523:1 gene:ORGLA07G0066800 transcript:ORGLA07G0066800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTTVEVSDDGDHPVLRDDERGIPRSLSLLAAIVEADAARHAAAATRPAESDLVRAFRGGATPTVAIGEFLERIHAFVRLESVRHDIRLQATCYVLAGIYLTRFLGSAAAVEAGIRVDPSTAHRLVAAAVFVGAKFGNTSDMLPTRWTSVFETSSDAAIRAGEMAGPERRFLRAVDYRLSSAATGSGGSAAPWSRRCIGA >ORGLA07G0066700.1 pep chromosome:AGI1.1:7:6247704:6250002:1 gene:ORGLA07G0066700 transcript:ORGLA07G0066700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAPLLAARGEEEVVEGRRRGGGGGATSAQTLGNVVVSIVGTGVLGLPYAFRTAGWVAGSLGVAAAGCATLYCMLLLVDCRDKLEEKESEETYHGHYTYGDLGEKCFGTIGRCLTEILILVSQAGGSVAYLIFIGQNLHSVFSQLMSPAAFIFAILLPVQIALSFIRSLSSLSPFSIFADVCNVLAMAIVIKEDLQLFDHPVANRSAFNGLWAIPFTFGVAVFCFEGFSMTLALESSMAERRKFRWVLSQAVVGIIIVYACFGVCGYLAYGEATKDIITLNLPNSWSSAAVKVGLCIALVFTFPVMMHPIHEIVEERFQSSGCFQKLSHKVRGAEWVGLHSSRIVMVTILSVVASFIPAFGSFISFVGSTVCALLSFVLPTIFHLSIVGSSMSRWRRWGDYGFLLFGLGFAGYGLITALFSH >ORGLA07G0066600.1 pep chromosome:AGI1.1:7:6229074:6229385:-1 gene:ORGLA07G0066600 transcript:ORGLA07G0066600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVAGVRGLVAAADPFGVELMHVLINDTNIDDNVRRSENTVPGAWAMVESCDVTELLLASLNAGVPFSXRVNSSCFAIARERIKQQFACRDGEITKKRRGHF >ORGLA07G0066500.1 pep chromosome:AGI1.1:7:6214562:6216221:-1 gene:ORGLA07G0066500 transcript:ORGLA07G0066500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S12 [Source:UniProtKB/TrEMBL;Acc:I1Q973] MAEETPVEAPPAPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEESEGLNIVQDYVKSH >ORGLA07G0066400.1 pep chromosome:AGI1.1:7:6206098:6209384:-1 gene:ORGLA07G0066400 transcript:ORGLA07G0066400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGAMERELLEAFEAARKAADAVGEAAAAAGAGAGEGESPEAARCVDALRRLRGARVTTAALVSTQIGRRIRYLTKHPHSSIKATASDLLGHWKKVVIEEDKKNGALQNGKSSSTVVKVEKVEPMKVEKASPRATVNNNNMDTRVVNHKGGKVEKFSNAELRTQSIKVEKVQKVVHKVSSVENPSPVQGGPPRLTSVVKCGDASRDRIRAILGDAFSRVSEETRKDDREEVRNIIDEVAACDPFRIAVMVECALFQKLGNFNGPNKQRYRSLMFNLKDDHNTDFRRRVLLGQVQPERIADLTPTEMASDTRKLENKKIEEKALFECERGGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >ORGLA07G0066300.1 pep chromosome:AGI1.1:7:6188752:6191675:-1 gene:ORGLA07G0066300 transcript:ORGLA07G0066300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKVDEMSKAYQELVAAAQAILEARRQPGGENTAAMDAAREAFKQRRKLFAVACDDADALVQSARQSIVSDPGRFVDVVTTPDEDSVRSPTRSSAESSSSSGFGALPDEVLRHILLRLPSAAAAARTSLISRRWRNLWSTLLPKLRFPGVTDLARVGAALRLRVAPVRLLRIESSDRAPDKIVAVLHLAAPLLEGKLCFDVITPAAAAAGAAGTGGAIQIPCFEKATEITIRLRGRLGIQLPPSGVFAKLTALSLSHCRFDDQGQRDLGDAVSSEGCPSLRELRIRDADVVSNLAIRSDSLRLVQLSRLEGIRQLTISAPALTELDLYACLLWGMPMVDIFAPALETLHWVDFFSSSSVRFDEKPNVHRLSVYGMVYGRRNTPGSLQLVQHFTEARDVHLSLVYPSIMDMSCELLVQAVKKLPAVEILSLRLLTIGHTFGPCVYHLLKMSTGIRELKLKLEDHIADGEVPCSSGCVCYEPQAWKKNNISLNFLQKVEINNLSGAERQIYFVKRLLRWTMPELKTITLSFDPSVTVSEKVSRKLLSFSTPGICMGIYLHRNGTRVKYSAAN >ORGLA07G0066200.1 pep chromosome:AGI1.1:7:6174972:6175256:-1 gene:ORGLA07G0066200 transcript:ORGLA07G0066200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICLNFLQKVEINNLSGAEYEICFVKRLLTWAPVLKMITVMFGPSVTVSEEVCQELLSFSRRCSPGICMEIYLHGNRAKVMYRAVNLKRPRDD >ORGLA07G0066100.1 pep chromosome:AGI1.1:7:6173207:6173595:1 gene:ORGLA07G0066100 transcript:ORGLA07G0066100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRCRLRCSGGDRGGDAAEGGRATSRVAQCGKGKDAAPWKEVMQMLRKQIDWKYRWTFDFDPTVGRKKGDVEAPKLREFLLVGMICIGIYDNSAICDYEVEQREVIG >ORGLA07G0066000.1 pep chromosome:AGI1.1:7:6162413:6162631:-1 gene:ORGLA07G0066000 transcript:ORGLA07G0066000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSAVAVMKWESLLPNDTFLIVASSDGAFEKMTMQDVCDLMLYVKLGVKQELGSFAVTQQNLADYVVDLFL >ORGLA07G0065900.1 pep chromosome:AGI1.1:7:6160316:6161206:-1 gene:ORGLA07G0065900 transcript:ORGLA07G0065900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDRLSALPNDVLHLILLRLRSAEAAARTSVLARRWRHVWATLPELRFRMDVSLAAHAAPALRRLEVSTDADDPAASTAALRLAAPRVAGELSFCIWPRWDDAPEEDDGPAPVRRPGGVKLPCFEKATELWLILGLLGVSLPKSGVFAQLTALAFRDVPFTGRCDLGAVVSSKRCPVLQKLQVHDSQDLYNLTIFSESLLHIELSDLHGGMGRLMIVAPLLRVLDVRHCFYWRTYRSHSLVRDQPYAAVFAPVLEDLIWVDAYDPTTVQFGGVERLRKLVTQLQCMDSLAALIT >ORGLA07G0065800.1 pep chromosome:AGI1.1:7:6156692:6158861:-1 gene:ORGLA07G0065800 transcript:ORGLA07G0065800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSVREGKRSPDLPLLSLPTADPRERETKRRWDPGVGGGSTRAASTAWNRCMRRPXCCPQSATALLHLLAVKLLHLLPHQGEEAPLAAAAADPAPVTRREVVLCIGYDDDDLALVDVTYPKRDVDNMQWFREITLLYRGHRHSAPFVLGLIVLCGHAPPNNWCPWGREGRARPSLGPWHPDPLRRDLHRRLPCLVYQPDCNQSKYTVSVLPFHEDGGRMARLALAHNKLGLARLSSVRETS >ORGLA07G0065700.1 pep chromosome:AGI1.1:7:6154453:6155786:-1 gene:ORGLA07G0065700 transcript:ORGLA07G0065700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSQYLMEAITMLPAIEVMSLELSKRGHAFGQCVFHLLRMSTGIRKLKLALRGGLKDSEERISVLSTWFQGHQADARCSASCICNRPQAWKTEDLFLDSLQEVEISGFRGSEHELAFVKRLFGWAAILKTFTMHLHLDLTVSDDLCKELLSLATPETDVKIYFYRDDDVMFTLGQLGCCIHQKSKAPIG >ORGLA07G0065600.1 pep chromosome:AGI1.1:7:6146577:6151415:-1 gene:ORGLA07G0065600 transcript:ORGLA07G0065600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G05200) TAIR;Acc:AT5G05200] MAAAAARGAAAARSPLVLHRHPHPAHHRRLRLLPLVAGGGGGSPPRVGRRIRASREKGRRIGVRVFARYSQAQDFSTRLQDRAGELPKLVEDLLQTSISTGPRGAFRFAQGIQAVLGVGGEWLNDFSKTANTSAGIPAQMQLGLLSPLYLRRLFERMGATYIKLGQFVASAPTLFPAEYVEEFQNCFDRAPPVPYSEIESILREELQQPLDSIYEYIDSVPIASASIAQVHGARLKSSQKDVVIKVLKPGIEDTLVADLNFIYVVARILEFLNPELQRTSLVGIVKDIKESMLEEVDFRKEATNIEAFQRYIDAMGFDRQAKAPFVYRHCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRVGFLDFGIVGRISPRTWAAMEVFLASFATDDYNAMASALSEMGATGNDINVNEFAKDLEKIFSSIQDLDTEVIVATARTPDATAVSANVVVDERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDERVNISSRQQARRVDRFQ >ORGLA07G0065500.1 pep chromosome:AGI1.1:7:6139956:6143025:1 gene:ORGLA07G0065500 transcript:ORGLA07G0065500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT4G13970) TAIR;Acc:AT4G13970] MARWDEILTLPVQNPPTPEFSASDIMWSRVEGWKDSMDRLALIPFSRVNDFVRGESNNKECPTRFHVEARRRRPPTMNCKPKVDGILEYILYWCSFGPDDYRKGGSVRPSRNSSTKRKTPAGRPHTKRGCICHFIVKRLIAEPSVALVIYNHDKHVDKIGKPCHGPMDNMAIGTKAMFAPYISDELRLQIMSLLCVGIPVETIMQRHTEMIEKQGGPSNRDGLLTHRYVRRLERKIRRSVYELDDDDAISINIWVENHQNHIFLYEDFSDKDTFIVGVQTDWQLQQMIQYGNRSLLASDSKFGTNKLKYPVHSLLVFDEQKNAIPVAWIITPNFSHGEAYRWMGALYDRVRTKDPTWQLGGFIIDDPFADVRTIREVFQCPVLISPWRIRHAWHKNLMKKCPDIEKRPMMAKRLVELICNICRGNGGMELFEAFLEDFVDCAGFLDYFRALWFPRLGSWITMLRTTPLATTEVASAIESYHHLLKLRLLNEANERVYQRADWLVHKLGTKVHSYYWLDEYSGKDNFSRYWRSEWKSGPNPWQQGLQIPDSDVIVEGNCARVVCQKNKERSHVIVNPGSDLALCDCSWSRKGNICKHAIKSTKVFRQRGLAPPSLALYRYYQALANVVHCPPSDTLISDHAVAVAIFVRTQLDSLLDATNGNSSNRSTSKDPQSVIEPRESDVREGSIENDVCASQSQAECGDEVSDEQNDDLDRFVFKKRKSREASDEEGSPTATQITQPSETESSQATDLQEPLDRQENRAPNKSKSKEASDGGKANARQAKQPSETESRPAIDQQEPLHHRQENPAHKKTKSGEASDGEETMAMQVMEPSETE >ORGLA07G0065400.1 pep chromosome:AGI1.1:7:6133535:6134383:-1 gene:ORGLA07G0065400 transcript:ORGLA07G0065400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGEVEGGGRRRVVRIIFRDEDATDSSSSEEEGEGEVVAARRVVVKRTRLRCQEVERRFTGVRRRPWGRWAAEIRDPKENGRRVWLGTFDTAEAAAAAYDDACLRLRGPGAALNLPSRRCVAPAPPPLPPPPPPTAEEKKPLLFPPPLPPRKKAMLFPLPLPPRKKPLLYPPPLPPKKKPLPPPSPPPQPPLPEKENTPLPPLLLPPKKKPLPPPSPTAAAKEEPIELEHAAPPPPFVPRPVWPLLASGGGGKRKKQSGCGGRIPALNTAAAAVEETGRA >ORGLA07G0065300.1 pep chromosome:AGI1.1:7:6122045:6127180:1 gene:ORGLA07G0065300 transcript:ORGLA07G0065300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLYNHQGFSPARTLSPQIRSNPEADSQYLSELLAEHHKLGPFMQVLPICSRLLNQEIMRVSSMVNDHGFNDFDRRRYRSPSPMSSPIMRPNLHGNGFGPWNGIHQERLGFPPPPPPGTSMDWQGAPPSHGSYIVKKIVRMEVPVDAYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDADKEEKLKGKPGYEHLNDPLHILIEAELPANIIDTRLRQAQEIMDELLKPVDESQDYYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKPSH >ORGLA07G0065200.1 pep chromosome:AGI1.1:7:6112255:6114237:-1 gene:ORGLA07G0065200 transcript:ORGLA07G0065200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAVFLLPLAVAAASPAPARGPTACPYNLATATRMIPRECYANATAGQAATGCCWYVFAAYIFAAADHANRTGAAFLPTEPAAACSGAFAARLLSSGLVSPSLLANNGSCDLTGDPGKLAAGSRPCQLATIEAVRAMAPRALPNATRLCAAPGAARAPGVGPGDPGCAACRGAVIATTYEMLASARTKEFVPCGMAATVAVWSRAPPPLERFRAYALCMLQVLENVNSLGTSDLVPSPPPPPASPTTASRPLPSSSSRRNTVAIAVGSASAVVVAVVAVASAALAIVTIRRRRRSTTTAGDVSDDESVASLPPLPREGLYIFTKSELKQATNGYDEKLLLGSGGAGKVYLGRLPSGQRVAIKKIYRSKKVSEFYAEVAVLAKLRHRNLTTLVGYCLGGDHHALVYEYLGGGNLWRALFQGELAWRRRLEVAVDVAEGLAYLHGFREGAVVHRDVKPTNVLLSESGAAKLSDFGVSRIVPEGGTHVSTEVRGTRGYVDPESFSAGHVSEAGDVYSFGVVLLELATGMRAVVPTLSGGAESIVHAAHWAVAQAGGEAGAAAESMVDERLGADWDRPTVRAVFALACRCVRPYKHERPAMGEVLAELKAMVADYTARGGGADRLEASTSSSTATPDPASLPSTSSSVANTEAMATPPRRDS >ORGLA07G0065100.1 pep chromosome:AGI1.1:7:6108468:6109139:1 gene:ORGLA07G0065100 transcript:ORGLA07G0065100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRRRRGADTSLRRLGLRPRRRWPDGAASPHGEPHRLRLRLGVGFPPSLWEKENISPRFDRDEPSHSDSDSNSNSNVGGFYPSTIKRAPHPPPEITKSLNSQNQFAHQTSQIPLPPVLGFAEELLSFHPWRRGGARRPPPPRPSWWPTPLSSRSTSSSASSRARVAPRRARRCCGSSPTGRPWPSASPPTPTSSTASPPPAAAPTSHRGCRPLPRRWISARV >ORGLA07G0065000.1 pep chromosome:AGI1.1:7:6107681:6107992:1 gene:ORGLA07G0065000 transcript:ORGLA07G0065000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAKVGVPEVVGDVLGQPERGEGVLVTFATDGEEHGDILGVLAVLDLGADAGDGVAGEVGPVAAMAEDAEEGDDDSVVEAGVANLSERALVLVPRTICSRG >ORGLA07G0064900.1 pep chromosome:AGI1.1:7:6098325:6098714:-1 gene:ORGLA07G0064900 transcript:ORGLA07G0064900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEIAAVPNISEGLAEISKKMMDLAAQLRALAAQLANPTAFLEEAEPLYRHAVTLHSRGRVFKQHGWGQHSVVTLQPCGDGVTRGEALMFPLPAVPSPGVAVQLRPRRWDWRWRRRHWRPQRWRRRRW >ORGLA07G0064800.1 pep chromosome:AGI1.1:7:6092464:6093772:-1 gene:ORGLA07G0064800 transcript:ORGLA07G0064800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPSPSLLFLSQIRFSPSVVVLPVLTGGENPVPFGMSIDSILDVVPLLEALLRRPTYIHNKNNDPLGNIRFQFVFASINR >ORGLA07G0064700.1 pep chromosome:AGI1.1:7:6086759:6086980:1 gene:ORGLA07G0064700 transcript:ORGLA07G0064700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA07G0064600.1 pep chromosome:AGI1.1:7:6084330:6084794:1 gene:ORGLA07G0064600 transcript:ORGLA07G0064600.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFAETMRREFEMSMMGELSYFLGLQIKQTPQGTFVHQTKYTKDLLRRFKMENCKPISTPIGSTAVLDPDEDGEAVDQKEYRSMIGSLLYLTASRPDIQFVVCLCARFQASPRASHRQAVKRIMRYLNHTLEFGIWYSTSSSICLSGYSNADFGGC >ORGLA07G0064500.1 pep chromosome:AGI1.1:7:6039555:6043486:-1 gene:ORGLA07G0064500 transcript:ORGLA07G0064500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDELASEARLDGSEWCRRQREGCVEVADDAXGGLRLTDEGHPAGNEGRLARRRWRQWFRKANAGCSRSWPAAVGDGGLGRIWTPAAVGDDSHGVVMAAAASVGNGGGGRGCGGCRVNWRRRRKTWRRAASCSVVEGDGAAAVCGGSGDGGSGDGGSGDGGCGCVSEVVATLAAGKESGASLYAVKFMELWRLRVRGLNGLSNGDESGSSSEGYA >ORGLA07G0064400.1 pep chromosome:AGI1.1:7:6006757:6007587:1 gene:ORGLA07G0064400 transcript:ORGLA07G0064400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKRRVRDAEADLNLPPGFRFHPTDEELVAHYLCPRAAGRAAPVPIIAELDLYRHDPWDLPHRALFGRREWYFFTPRDRKYPNGSRPNRAAASGYWKATGADKPVLHNGRTAGIKKALVFYHGKPPRGVKTEWIMHEYRLAKKGGAAAAAGAGALRLDDWVLCRLYNKKNEWEKMQSRKEEEEAMAAAQSWGETRTPESEVVDSDAFPEMDYSLPAASFDDALLPKEEARDDDWLMGMSLDDLQGLGSLLQADDLSMLAPPPAAKTEPLGAPFF >ORGLA07G0064300.1 pep chromosome:AGI1.1:7:5993953:5996941:1 gene:ORGLA07G0064300 transcript:ORGLA07G0064300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease [Source:Projected from Arabidopsis thaliana (AT2G33260) TAIR;Acc:AT2G33260] MLLSSRLHLATPSSPATCPSPLRRRRRLGLPEPRTRRPPPLASKNPAPPQPQPLPLSWLSPRRQWRWRSGYGDADAAEEESPAPLVEDGVSGGGEKKSFWAAVSLIVGTAVGPGMLGLPSATIRSGPVPSTAAIVLSWVYVVSSIVLVAELSFAAMEDGGVDEVSFTGLASSTLGATLGAVVAVVYAALSFSLLVACVAGIGSLVSQLFPAVDPALANAIFPCFAGTLIAFFPFKAVDGANRALCGLMLASITALVVTGVSVGRSSMLRSLGYACWRPATILPAIPVTVLTLGFHVITPFICKIVGDSVYDARRAILIGGAVPLAMVLSWNAVILGLASSSGGARFDDPIKLLLSVNPAALPAVRGFAFAALATSLIGYAVSFPKQLADTVELIGQRFSPKRGIGQLSESSGGHGRNGAILTWIVLIIPIVIASFFSAAFSKALDFAGVYANCFLFGILPPVMAWIHRSQKRKRSSGSCEDILPGGNVALLILFSIAVVLAFWH >ORGLA07G0064200.1 pep chromosome:AGI1.1:7:5989151:5990752:1 gene:ORGLA07G0064200 transcript:ORGLA07G0064200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G47990) TAIR;Acc:AT2G47990] MAADTSKPFFPAAPNPALLPSGRKPSRLSPEASYWRSFRASELTPANEFNVTHLAFSPSSAPTLAASWSTSVLLFSGDPLSPLPRIPASQDVAFSPSFRSDGSLLAVGDKKGVVRVFRADKKQSSGALRTLTAHTAETRVVRYPVAGGDKVHLFTAGDDALLAYWDVPSETPVFAAPAAHRDYIRAGAASPADHNIFATGSYDRIVKLWDARMGKTSTLSFSHGELVESVLFLPFGGLLATAGGNVVRIWDVIGGGRLLHSVESHVKTVMALALAKMTNTGETRLLSAGSDGYVKSFDYGKLKLTHSMRYPKELLSLACSPCGTVLVAGSSKGTIYMGRRKKKSTGEDEEEGKGVGGELDWAPTKPEKRRLAPSNYRYFLRGQNAKAKEGDLVIEKPKKVKVAEHDKLLRKFRHKDALVSALARNNPRSIVAVMEELVSRRKLVRCIENLDTEELVLLLLFLHRNATLPRYARFLMGVANKVLEMRADDIRSDENLRGCVRNLKRMAAEEIQIQHTLQGIQGMISPMLALASR >ORGLA07G0064100.1 pep chromosome:AGI1.1:7:5973737:5974154:1 gene:ORGLA07G0064100 transcript:ORGLA07G0064100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHSLECSLVGEKAPFHQCRSMGSIHEATKQNQGRRPVVPAVATPTSIKRAGRRMSGRKEIALRSSSATCHNTRRGLQR >ORGLA07G0064000.1 pep chromosome:AGI1.1:7:5959882:5960319:1 gene:ORGLA07G0064000 transcript:ORGLA07G0064000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGAGATAAAVSGDGALGAGAVANVNIDGGSSASKSSGGPFSGYVSLLLSFIPLFVLLVALRSWYVHDAYGTLYQPL >ORGLA07G0063900.1 pep chromosome:AGI1.1:7:5939572:5939775:-1 gene:ORGLA07G0063900 transcript:ORGLA07G0063900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLEAEGRGIGAWRALEPEAEAAEGRGGGGIAVGVNSTGRRGWGWEGLGGWEDAAGGWLDKVVDG >ORGLA07G0063800.1 pep chromosome:AGI1.1:7:5937451:5937672:1 gene:ORGLA07G0063800 transcript:ORGLA07G0063800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCVYGMWSRIWKESKFGMIGYVEFHSCTRGYPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA07G0063700.1 pep chromosome:AGI1.1:7:5902385:5903678:1 gene:ORGLA07G0063700 transcript:ORGLA07G0063700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFIRADSQVFLFSNSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKVEVAKSQKASGKGNAPKPGKGPKLGGGGGKR >ORGLA07G0063600.1 pep chromosome:AGI1.1:7:5893978:5894547:1 gene:ORGLA07G0063600 transcript:ORGLA07G0063600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDHNILIPLVSSLMMLVLGPLIIDVISLLGGGVSCFDAMTVTTRLGLRWQRSGEAAMECQGCDIPMDATVDELLDRKMASEGELKDAFYVFDRNEDGFICASELWSVMRRLGFKEGQRYEDCMRMIHTFDEDRDGRISYLEFRRMMEDAV >ORGLA07G0063500.1 pep chromosome:AGI1.1:7:5890066:5890530:1 gene:ORGLA07G0063500 transcript:ORGLA07G0063500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TATVRSLRPLRHPRIVDEAARRDGSPPVDTVMVEGAGTSGEDAHWPSGHHDVDADRAELRLILLGIPDHQRANPTHHRRLLLRSANTLERNTLKKKPKEAVTTEEKVTEPGEQQLSPNPPNRVTSNRESGTTKGKKRLPGGEQHTPTPKVQQQRM >ORGLA07G0063400.1 pep chromosome:AGI1.1:7:5878858:5881986:1 gene:ORGLA07G0063400 transcript:ORGLA07G0063400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCCCCCPCPAALGIGIGPRLRSFLRDYDALQSLALALIYLQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQTLGRTYAVLLFFAIVLDVAWFILFSHAIWNITPEEKYGQLFVLSLKLALWMQIIGFSVRFLSSFIWIQMYRLGVSSSTPTYHEVNYDGRNSFLSPRSSSVRRNSMADDILGGSIYDPAYYSSLFEDVRNNTCTHQGDKQSGSNDSGSTSVGQSPRLKSFASRSFVANDVSLCLIFNRNVIEEHFLSHLSWV >ORGLA07G0063300.1 pep chromosome:AGI1.1:7:5871671:5872756:1 gene:ORGLA07G0063300 transcript:ORGLA07G0063300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGKRVSSSSTSSHQQHAKRRRRAPGDELSQTHGVARNAVAAMPMVGVAPLMLSPVTMQAQLLCYVAMPMAPVAGGGGGGVPLAAALCRLRNGTLERMVREMAQECTPPLVARRDRKSGATPPPPPPWWPKAEEPWWGTEVAAHLRGRRMATPVPFASPRRLAKAEKVAVLVAVVRHVAPDFGRLAAAAGRSRLTELESSIWESALRGERKRRYVVMPSFILLPPPPPPPPPPQHAHSAESAAAHAGPEPESADQTAVDFSVSGEDTVTTGPELEQLVGDGNGATVEGEGQKTEDSPVPPLLEQHGGEDHDHHRQHGGFSGEVSGAAPEDVDWFDYDEVLRGLDELEIPSFFGGYYI >ORGLA07G0063200.1 pep chromosome:AGI1.1:7:5847200:5862904:1 gene:ORGLA07G0063200 transcript:ORGLA07G0063200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >ORGLA07G0063100.1 pep chromosome:AGI1.1:7:5841218:5843719:1 gene:ORGLA07G0063100 transcript:ORGLA07G0063100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAAAHSPASTALPRRRRRAAAPPSRKTPESQALRSILHSRVIACLRAQDGETAMQAARAAVRGGVSVLEIVMSTPGALEVIGDLRRSYPSLTFGVGTVLNPEDARKAITAGAQFLMSPGTVMEILHALKESEVLCIPGVLTPTEVISASNAGAEVVKVYPVSVMGGEVYMLALKKPFPFLPMVASQGISIDSIKGYLEAGASAVVLSDAIFDKELMRERKFDEISELANLATLRASQSGT >ORGLA07G0063000.1 pep chromosome:AGI1.1:7:5836830:5837301:1 gene:ORGLA07G0063000 transcript:ORGLA07G0063000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:I1Q938] MAAAALRPAILRRIRLSPSPAAAAGAAAASQPHALARWLARPMSSHDAHLTRDEVVDRLLDVLKCHPKVDPSKVSPEAHFEKDLGLDSLDTVEVVMAIEEEFKLEIPDQEADKIDSLPLAIEYVANHPMAA >ORGLA07G0062900.1 pep chromosome:AGI1.1:7:5829474:5833867:1 gene:ORGLA07G0062900 transcript:ORGLA07G0062900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQILSGMCSISGLKMVTSLKETTLCWFCFTSRNNICIGRLCRNEVLYETLIVNCVLAGDIQKAEEVFKEIKDLCLRLTVTLCNQMILLYKRIAPGKVASVLMLMEKENVKPSAFTYRLLIDLKGRSNDLAGIEVVLNEMKAYGIEPSTSTQTMVARFYIHGGLTEKAEAVVKEMEAQLSNSKDGRHVIKSLLHLYAALNKPNDVARIWEMCTEPKLEDFLSAIKVWGELGLIEKAEETFEAMANAPEKLSSKYYNAMLNVYAQNKLLSKGKQFVERMCRDGCPNGPLTWDALINLYVNSGEVEKADSFLLNVAEENPDRKPLFTSYFFLMKGYAKRGDIHNTEKIFDRLKNVGYAPRPLHYAVLLEAYVNAKVPAHGFLERMRGDNVRPTKKIVTSLDTLQKGWIAGLD >ORGLA07G0062800.1 pep chromosome:AGI1.1:7:5816746:5818900:-1 gene:ORGLA07G0062800 transcript:ORGLA07G0062800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASNSFLNQELVKVADGVSDWHLPSSSHGGTCHTNTRGAPITVGVPDLGCPVSSIGMATSSSLMPKEGLTTASYNSVVAFPVGATMVPQQQQTQAAGSNDNPGLVKGGWTREEDEVLRQMVRHHGDRKWAEIAKSLPGRVGKQCRERWTNHLHPDIKKDIWTEEEDRMLIEAHQTYGNSWSAIAKRLPGRSENTIKNHWNATKRSLNSKRRLRKKNSEQTVPGQPSLLENYIRSCQHMLPSETVPPPPAPPAPFDISRYGNSGVIGASPTLPVVQEPGTSTPPGLVMFLDLLNQAIPHPPQPETMDLFNMTPEVSHLNTSGYCLQLDAGGNLYYGRLPAPAPVQPHGISTQDLQDTPHLSLYYPLLSFAGSHTDGTVEFDHQLSNPDGGHYGEEAGLSSVTAGGSANGMDDNDVVQMASNQFMMPSEDEGILDLARWIN >ORGLA07G0062700.1 pep chromosome:AGI1.1:7:5801236:5804566:-1 gene:ORGLA07G0062700 transcript:ORGLA07G0062700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit E [Source:UniProtKB/TrEMBL;Acc:I1Q935] MAEHDLTARMAGQMDCHLVFPLLEFLQERALYANKEILEAKLRLLSGTSMVDYAMDIHKSLHDTDEVPDDMVRRRTDVVSRLRALDEATAPIVSFLQNQQLVQELRPDKQYNLHMLQDRFQIGPEQIETLYQYAKFQFDCGNYSDAAVYLYQYRALCTNSERSLSALWGKLAAEILMQNWDVALDELNRLKEIIDSKNFSSPLNQLQNRIWLMHWSIFIFFNHENGRNGIIDLFFQDRYLNAIQTNAPHLLRYLATAVVVNKRRRNMLKELIKVIQQEQHSYKDPITEFLECLYVNYDFDGAQQKLIECEQVILNDPFLGKRIEEGNFVTVPLRDEFLENARLFIFETYCRIHRCIDIGMLSQKLNMSYDEAELWIMNLVRNSKLDAKIDSVSGTLIMTTNHVNIHEQVIESLKNLNMRTFLLAKNIVEPAQAAQQAAR >ORGLA07G0062600.1 pep chromosome:AGI1.1:7:5789153:5796252:-1 gene:ORGLA07G0062600 transcript:ORGLA07G0062600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSREAQRWRMPADVGEEAVRGRRRPAPRLARRGGCNGGGGGVGLDLAGLLSPGGPRPLPFSSSSMAWHGDRRGEALQVSSSINSIATAYSYRSMNDTYGTGAPAPAPTSCTPSSHDYTKPALVLVVVVMATVSGAMSVVGVERLIRGRFTLFSMVRFLLRSTFVLILPLLSSMSRDTVHRPSVLFVLLWMLLVELMRKKVSSMARSSGADGGAFSRATGGRFRLMGHFDEATKLAWIGWLIFQNTYYSDSKCGDDKVLAMFAVLWSLVVAKLLQRVFNEWKAQESLTAAGNTHLIAGYMQLVVDKEATAAAAAGGTALARCKYVVMGEEKLVVHAVKKKKHDVVTTTITTPHCGYGVGTYPQHQSEQKHVNLLVDMAKCDDVVTVQKIKRKIKLPRWRCCCCFTVTGSRFTDYIHQLCFSFALFKLLRRRFEHYPMVEAGSRTSRQLLLEELLVGGAKKTFRVMRQELDFLDSYYDAGSPVAMSSPWLFIVNYFFSLVFVSTYLAAIIVVLVDVEYNMGTFKSHLPSPGLYIAVSILLVVTLVAVEFTDLLTNYILSNWFMVHLFCLQARDGGGRVWRWVCKPAIWMFIAGRFLLFYSFRCMLRLSCRGVNVDKIKLKQVSILRVCEPVHKVLTWSPQVKLATEGQTAIVNFLEDVVRDSLKDDGNVAIVSMPKLSGLQPKKGVDDTATQVVLACHLATELLEMKHVVMVDKEAKKEKKKMKREDRRAHDLHRGVATALSRYCMYLVARSPELLPDNERWVADRYGDMRAFLDEAASRRRRRCCCCLRRRLWKCGCWRTFLMDDMVVDAAADPAAQAGVALFRELHARTTTTEGGAVVVSAWKELADFWVRMVVYLAPSSDVEGHAVALADNGGDLITYLWAFCTHAGIIRDPNPSDKSPE >ORGLA07G0062500.1 pep chromosome:AGI1.1:7:5787249:5787488:-1 gene:ORGLA07G0062500 transcript:ORGLA07G0062500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARCCRELAAVQPRCRCEALRLFMDGVGELRGCPREAQRAAAAALMAAGECDLRGGSGETERCYWPWLVGDGDVPVY >ORGLA07G0062400.1 pep chromosome:AGI1.1:7:5786169:5786615:-1 gene:ORGLA07G0062400 transcript:ORGLA07G0062400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNLLLSAAVLLSVLAIAVAAAAASAATTSCQPGMAIPHDPLRGCRRYVLRRACGLAAGGRLYDWSLKERCCRELAAVPAYCRCAALAYFMDGASEGRLLEDLPGCPRETQRGLAAMLTTPGECNLETIHGGPYCLELTDREMPKY >ORGLA07G0062300.1 pep chromosome:AGI1.1:7:5769399:5770080:-1 gene:ORGLA07G0062300 transcript:ORGLA07G0062300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSGEGWGVRRPGLWPFPRDLIHGGWLAVGALKRFWMVVAGGSNGDVAWDGKSFSRPKPNLFXGWQHQYFQGPLYLIGGGAAVFSSLPRKPLGENSSLIPRVGSGGAYEIATSLEALFEGPIYSLYSLSSYGGASVYHSGTTQGRVDPSFSLAHSLS >ORGLA07G0062200.1 pep chromosome:AGI1.1:7:5765224:5766645:-1 gene:ORGLA07G0062200 transcript:ORGLA07G0062200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHNQQPDALTDEDAAVVAEERCTVPVKLIKRDINFSVAGVVLRVIFSHIKLGLVRSEWFLKMDCNMNNTICQDSFMMPAVLSMPCFPKVICTMVIAGGGKLCQRPKASEGVPFSPAADNRQHINIFAGGRHKVAVIKETNPLRLPFKGYQ >ORGLA07G0062100.1 pep chromosome:AGI1.1:7:5759700:5760187:-1 gene:ORGLA07G0062100 transcript:ORGLA07G0062100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQTERDSIGLNANVRGTSCQAYGGNLLGTMLHDVVTEGTYLCNSSPQLSAERKTSIDLGAILHKLGSRCAYGDIGLLVNLGVLLAMXWSCYRHPREVPNXHM >ORGLA07G0062000.1 pep chromosome:AGI1.1:7:5694877:5697771:-1 gene:ORGLA07G0062000 transcript:ORGLA07G0062000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMVLLATRPGVVCHRHRLPVISCAANTKPPSRLKLPPGPSTLPLIGSIHHFVPSSESVHGAMRRLAREHGPVMQLWFGEVPTVVASSPEAAQEVLRSKDLAFADRHMTSATAAFSFGGRDVALAPYGERWRHLRRLLTQELLTAARVRSFRRVREEEVARLVRDVSAAAASGGTTVNLTEMAAKLINDIVLRCSVGSRSKYSDEYLAALHAMVVQSFSLSVADLFPSSKLASMVAMAPRRALANRKKMERIIEQIIQERKDQMETDTGDQAAAAERKSCSLDDLLRLQKEGGGTMPITNDVIIVLLMDMFAAGTDTSSTTLIWTMAELIRSPRVMAKAQAEVRQAFEGKNTITEDDLTQLSYLKMVIKESLRLHCPVPLLAPRKCRETCTIMGYDVPKGTSVFVNVWAICRDSKYWEDAEEFKPERFENNNIEYKGSNFEFLPFGSGHRICPGINLGLANMEFALANLLYHFDWKLSNGMLHKDLDMREAPGLIAAKHTSLNVCPVTHIAPSCS >ORGLA07G0061900.1 pep chromosome:AGI1.1:7:5681645:5682100:-1 gene:ORGLA07G0061900 transcript:ORGLA07G0061900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLAIAACSATAQFDVLGQNIRQYQVQSPLLLQQQVLSPYNEFVRQHYSIAASPFLQSAAFQLRNNQVLQQLRLVAQQSHYQDINVVQAIAQQLHLQQFGDLYIDRNLVQAQALLAFNLPSTYGIYPRYYSAPGSITTLGGVLY >ORGLA07G0061800.1 pep chromosome:AGI1.1:7:5673030:5673485:-1 gene:ORGLA07G0061800 transcript:ORGLA07G0061800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLAIAACSATAQFDVLGQNIRQYQVQSPLLLQQQVLSPYNEFVRQQYSIAASPFLQSTAFQLRNNQVLQQLRLVAQQSHYQDINVVQAIAQQLHLQQFGDLYIDRNLAQAQALLAFYLPSTYGIYPRYYSAPGSITTLGGVLY >ORGLA07G0061700.1 pep chromosome:AGI1.1:7:5630947:5631426:-1 gene:ORGLA07G0061700 transcript:ORGLA07G0061700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASDKLVLSAIVLAVLTVAAAAAGYGGYGDVGEYCRVGKAVSRNPVPSCRNYIAQWCAVAGGRLDSGKQPPRQLLEPCCRELAAVPMQCRCDALSVLVRGVVTEEGDRVAGMISQHAAPGCDAPTIAGMASALTDYGRCNLQHTGFFACPMFGGGMD >ORGLA07G0061600.1 pep chromosome:AGI1.1:7:5548989:5549234:1 gene:ORGLA07G0061600 transcript:ORGLA07G0061600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEGAATAARLARGGGAPVTGDGKGRVAELPLTTAHPTVVMATADDDGDGGAAAPDMAGGDGLLGGGGDGATEHGKAWE >ORGLA07G0061500.1 pep chromosome:AGI1.1:7:5529943:5530422:-1 gene:ORGLA07G0061500 transcript:ORGLA07G0061500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKVVFSVLLIVVVSMLVATATMAEYHHQDQVVYTPGQLCQPGMGYPMYPLPRCRALVKRQCVGRGTAAAEQVRRDCCRQLAAIDDSWCRCEAISHMLGGIYRELGAPDVGHPMAEVFRGCRRGDIEHAAASLPAFCNVDIPNGVGGVCYWLARSGY >ORGLA07G0061400.1 pep chromosome:AGI1.1:7:5482525:5484213:-1 gene:ORGLA07G0061400 transcript:ORGLA07G0061400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDINSEVGGGXEDAKSGGDGGGASDRDGNAGXLRVPDRLPGLLLQGHQQRAPNQPEGEVPEDVXKLADTEEVHASYXGDPTGEPXHVRVHGAVAGREAGHGGGGGAQAGEGGSRGGDQRVGGQPMSRITHLVFCTTNGVDMPGADYQVAKILGLPTSVKRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEIMAMAFRGPSESHLDSLVGHALFGDGAAAVIVGSDPDEAADERPLFQIVSASQTILPGTEDAIVGHLREVGLTFHLLKDVPEFISDSVEGALTDAFMPLGVHDWNSIFWVVHPGGPAILDQVEEKVALHKARMRASRNVLSEYGNMASATVLFVLDEMRKLSADDGHATTGEGMDWGVLFGFGPGLTVETIVLHSVPITAAAPLIMQ >ORGLA07G0061300.1 pep chromosome:AGI1.1:7:5463763:5464236:-1 gene:ORGLA07G0061300 transcript:ORGLA07G0061300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKVVISALLVVVVSVLAATTTMADHHQEQVVYTPGQLCQPGIGYPTYPLPRCRAFVKRQCVAPGTVDEQVRRGCCRQLAAIDSSWCRCDALNHMLRIIYRESGAADAGHPMAEVFRGCRRGDIERAAASLPAFCNVDIPNGVGGVCYWLPGTGY >ORGLA07G0061200.1 pep chromosome:AGI1.1:7:5461489:5461992:-1 gene:ORGLA07G0061200 transcript:ORGLA07G0061200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKVVFSALLLAIVSVLAATATMADHHKDQVVYSPGEHCQPGMGYPMYSLPRCRAVVKRQCVGHGAPAGGAVDEQLRQDCCRQLAAVDDSWCRCSALNHMVGGIYRELGATDVGHPMAEVFPGCRRGDLERAAASLPAFCNVDIPNGTGGVCYWLGYPRTPRTGH >ORGLA07G0061100.1 pep chromosome:AGI1.1:7:5458612:5459094:-1 gene:ORGLA07G0061100 transcript:ORGLA07G0061100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKIVFSVLLPVVVSMLVATTTMADHHGQVVYTPGQLCTAGRGYPMYPLPRCRALAKRQCAGGAVDEQVRQDCCRQLAAIDDSFCRCPALSHMLVGMYKELGAPADGKPMDEVFPGCRRGDMKRAAASLPAFCNVDIPIGIGGVCYWLSYPMNPMTGH >ORGLA07G0061000.1 pep chromosome:AGI1.1:7:5454367:5454588:-1 gene:ORGLA07G0061000 transcript:ORGLA07G0061000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFGTFGYVKFLSCTSGFPKVFRTPSMSLVCGFRLPTSCINRGGA >ORGLA07G0060900.1 pep chromosome:AGI1.1:7:5429437:5429904:-1 gene:ORGLA07G0060900 transcript:ORGLA07G0060900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKSKLSVSVVLALVALVVVVGAVVAAAAGGEDQYYGGGARAADGCEPGQGVVPKDPLPGCRAYLLRRCGGGDPPGVRARCCHQLREVAPRCRCDALRAMVEVLVEEEEAPPACKKGAMAAIAEGLPGRDECDLDTRAGADDGGSRRCHLVIN >ORGLA07G0060800.1 pep chromosome:AGI1.1:7:5423097:5424412:1 gene:ORGLA07G0060800 transcript:ORGLA07G0060800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPETMDLETENRLASLLLEEARRLQAEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVNEMWRAREKELELESKMKRSRGLGDARGEKCKSDLRNQSSSPRVEEEGIAYNSSYSDQEDGLGDDDIEKFLHSRVKRGRGAVGSRMDEPGPYLNVASRSQENEPNADTRVEEKWERRVQGPEKPLSLRSSSLDDYWRREALDGEPSSPELHKKKEKKEKSSEKKDRKERRKKKDKKKSKHRHHHHHKSRQRE >ORGLA07G0060700.1 pep chromosome:AGI1.1:7:5415606:5421227:-1 gene:ORGLA07G0060700 transcript:ORGLA07G0060700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41720) TAIR;Acc:AT2G41720] MKNQDKYCARNDIYGMMIRLYARHNQVDQARGLFFEMQEWRCKPDADIYNSLIHAHSRAGQWRWAINIMEDMLRAAIPPTRTTYNNVINACGAAGNWKKALELCKKMTENGVGPDLVTHNIVLSALKNGAQYSKAISYFEIMKGANVTSDTFTLNIIIHCLVKIGQCGEAIKLFNSMRERRTKCPPDVVTYTSIMHSYCIYGQVENCKAIFDLMVAEGVKPNIVAYNSLLGAYASRGMHREALAIFNLIKKNGLRPDIVSYTSLLNAYGRSAQPEKAREVFNKMKKNSCKPNKVSYNALIDAYGSAGMLKEAVGLLHEMEKDGIQPDVVSISTLLAACGRCRQITRIETILEAARSRGIDLNTVAYNSGIKSYLSFGDYEKALELYTSMRESNVKPDAVTYNILISGSSKLGKYTESLRFFEDMVDSKVSSTKEVYSSLIYSYIKQGKLSEAESTFSSMKKSGCFPDVLTYTTLIQAYNAGGRGWKRAWDLFKEMEVNGIPPDAIICSSLMEAFNKGGEPERVLQLMEFMKKKSIPLNQKSYFEIIASCTMIRDWKTASEMIEYLDSSLSSISVGTLNHVLNFLGKCGKTENMMKLFYKMVTSCSTVGLSTYAVVLRNLLVVGKWRKYIEVLQWMEDSGVHPTLYMFQNVLPYIWRENGIDFAATMQEKISSLRDKQT >ORGLA07G0060600.1 pep chromosome:AGI1.1:7:5350689:5355121:-1 gene:ORGLA07G0060600 transcript:ORGLA07G0060600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRRRRIVQSNEEYLSEEQTEEENTLPQLATPSEQETVCDDSGEGNEDTDDDFDINDGEGNEDTNDDVDIDDEGNEDTDVDINVRVENGAPETRGKTKLKDVWNLPKGLRIVVQCNDLNQAVGEEAGILGKFLGMIARNGSLCSLGYTDWRYVIGKREKNTNELKVKKDILKQVKERWRQYKAKLKDLYFDVNETKEANCNNVPEGVLSDQWIALVNHWMNEKSKNKKNCQKKKAIHTAGTKSYARTREEMRQKDPAKKNPHRAVVYVHTHKRKSDKNINGHVDNLKRLIAEQPALADASKGKTAWKGDALNQILGDDKPGRVHGLGLVPKPKQVLDVPTSRRLQNINLTTVEDNSSEDVMAIRLQMEKLERHVENNDAELLQLKEKATKLEKAQKNQRVYGDNPSQEICMFEEGNIMDQQDNSLMNTQSHVHDENLQPPTKHSTVYKNTQSLGQNHSGKQRKITSCANKRKGNLDQNELMQPGKSISSAYKNNKPDNQDNYMVNAHKVTSTHKNKENLTHKLVRPSLNKYSTASKKRQCNTMDFLTDNSTVRSLQEICHVEQENIVMNSQSHAQDEDKTPPTKHATAHKNKRTFGLNDHGKQMEIICAKKQQCSKMDFITDNSMEVDKING >ORGLA07G0060500.1 pep chromosome:AGI1.1:7:5334697:5338024:-1 gene:ORGLA07G0060500 transcript:ORGLA07G0060500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEHGRRLVAGCIPFRYKDNNDETSDDGHKKLVEVLMINSQSGSGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVQLLGFYDFKSKTHQDKFCPEGMCRAAVFALRVKEELASWPEQSTRKRTWLTLSEAVERSRYPWVREALTTGFTTWHENWSNGDDHVDPSSR >ORGLA07G0060400.1 pep chromosome:AGI1.1:7:5331294:5333674:1 gene:ORGLA07G0060400 transcript:ORGLA07G0060400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSTAAAASTAFVSGHRRRSGACHLLSSSFLIAFPRAAAARRCGAAAAAPRRVGAVTVRAQAAAGAGKKSVLIVNTNGGGHAVIGFYLAKDLLAAGHAVTVLTVGDEGSDKMKKPPFSRFSELTSAGATTVWGDPADVGAAVGGGASFDVVLDNNGKDLDAVKPVVDWAKAAGVAQFLFVSSAGIYTPSDEPPHVEGDAVKESAGHVGVEKYIAEQFGSWASFRPQYMIGSGNNKDCEEWFFDRIVRGRPVPIPGSGMQVTNISHVRDLASMVALAVESPGAAAGRIFNCVSDRAVTFNGLVKMCAAAAGAQPEILHYDPAAVGVDAKKAFPFRNMHFYAEPRAAKEVLGWRSSTNLPEDLKERFAEYASSGRGQKEMSFDLDDKIIAAA >ORGLA07G0060300.1 pep chromosome:AGI1.1:7:5315251:5318818:-1 gene:ORGLA07G0060300 transcript:ORGLA07G0060300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSKEDGEGPVARCRERKHLLRDAVAARHALAGAHAGHAAALKNVGAALSDYASGEGEAHAGGALRSGSADSSAAAAALVTASSDGKPVLAILPPPPPELPPPPPPPPPPHGDVDSAPLARSMSAPDLHLQQPIKKKPSGEAPIMEEEDDEGGDGGDAGGRRGDDDAELKPPPPLPSQRPPPSRSPPPLPPENDHKVDTPGGFISSLFDSMPPPTLDTAAAEPSSSASAERREPPPPAPDEHQPSAAAREVAEGKRPAAAEAATTRRAMTQKAARKGKAKAVMLVAPPQPQPAKLGVGDILRALDEHFLKASQSAHEVSKLLEAARMHYHSNFAETRGFVDHSARVMQVITWNRSFKGIPQPENVRNEMDDDEWETHATTLDKLLAWEKKLYHEVKDFEVIKREYQQKLAVLNKKKQRGVTSSSLEKTKSVVSHLHTKYVVDLQTMESTVAEINRLRDQQLYPKLLELVKGMWHMWDAMYLHHKTQLKIILELKSLDISVAPRETSEQHHDRTVQLWNVVHEWHTQFDKFMTYQKQYVGSLYTWIKLNVIPIDTNLKPNSSQPHETTPPIKRVLHAWHEILGKLPDEAAKKAINTFAEIVKTILVHQEDELKLRMKIEDTRRDYGKKRRQFDDWAQKYMHQTAGILPEDRNPDGARPDPMAERKAAMEKLELSMKELEEMYVKQCRVVREKSLSLLRTNLPELFRVVSDFSLQSAGMFKGVWSIAHTNDQLDE >ORGLA07G0060200.1 pep chromosome:AGI1.1:7:5305512:5312557:-1 gene:ORGLA07G0060200 transcript:ORGLA07G0060200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19050) TAIR;Acc:AT5G19050] MTSSPSWFSGIARASSAMPPGGVASAAAPLSDGAGGRGGGGGGGGVVAAVVAAGPGAGAGVGAGGKRRQVQGALFKYGPKSAQVAFKTGDFNHQVIFIGGLTDGFLATDYLEPLSLALEVEKWSLVQPLLSSSYTGYGISSLEQDALELDQLISYLINKENSDGVILLGHSTGCQDIVHYMRTNFACSKAVSGVILQAPVSDREYRATLPETAEMIDLAAKMLSEGRGMDLMPREANPDAPITAYRYHSLCAYMGDDDMFSSDLSEDQLRQRLGHMSTTQCQVIFSMGDEYVPEYVDKEALVDRLCRALGNAEKVEIEWGNHALSNRVQEAVRAIVDFVKREGPKGWDDPWS >ORGLA07G0060100.1 pep chromosome:AGI1.1:7:5280827:5281870:1 gene:ORGLA07G0060100 transcript:ORGLA07G0060100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSLAPKPAAVAVAAAAIPRLVPPPSIDMSALSPPPPLVSVSRSMVAKHKAVVVMGATGTGKTRLAVDLALQFGGEVINADKLQLHRGLDVATNKATADERAGVPHHLIGVAHPDEEFTAADFRRAASRAAAAVAARGALPIIAGGSNSYIEELVDGDRRAFRDRYDCCFLWVDVQLPVLHGFVGRRVDDMCGRGMVAEIEAAFDPDRTDYSRGVWRAIGVPELDAYLRSCAAAGGEEERARLLANAIEDIKANTRRLSCRQRAKIVRLDRLWRIRRVDATEAFRRRGGAANEAWERHVAAPSIDTVRSFLHGEFTTADETTAAPVPPPPLLPMFALAAAGAGV >ORGLA07G0060000.1 pep chromosome:AGI1.1:7:5268358:5270384:1 gene:ORGLA07G0060000 transcript:ORGLA07G0060000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIWLPGRAFARRGHVWLTGANEVDSKVFLRAILAKTVVCIPVVDGVLEIGTTEKVEEDMGLIQYARGIFMDQHGIHMKPTLSQHSTSNPVTHCTHQHPIQVQMQLGITSQTKFDYSDELNADEENDDTEEEGMSGSDTNNTDTERNSGQLQLQMQDQLNMVSNDHQTMPNNAVSSELMQCEMSEVVRDGCSNNILEDEIQMLMDCQNSNCQFNLQGPDEPCHSWHFLCEELQNDYQPATEDQVASPENTHYPKTLMTILHYNTLRQQEMNIKNYLPVSEKSSFSRWTTPEGSDDNKTMISPGTTQRMLKSILMIVPSSHCSYRGAETPESRGGKGASGTRKVGAIQG >ORGLA07G0059900.1 pep chromosome:AGI1.1:7:5265185:5266147:1 gene:ORGLA07G0059900 transcript:ORGLA07G0059900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEAQAALQAVAQSLRWTYSLLWQLCPHQGSSLVWGEGHYNGAVKTRKSTVMQPPPAEEEDDADHAARHRSRQLRELYDWLQQAGENSSGGVQTSSTTASRRPGAALSPEDLTETEWFFLMSASYSFPPGIGLIS >ORGLA07G0059800.1 pep chromosome:AGI1.1:7:5238584:5241515:-1 gene:ORGLA07G0059800 transcript:ORGLA07G0059800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPQITVSDGRLAVRGRTVLTGVPENVTAAHASGAGLVDGAFVGADAGEAKSHHVFTFGTLQECRFMCLFRFKLWWMTQRMGSSGRDVPLETQFMLIEVPATAAGAGHDGGGDGEPVYVVMLPLLEGKFRAALQGNEHDELQICIESGDKTVQTEQGVNMVYIHAGTNPFDTITQAIKAVEKRMQTFHHRDKKKMPSFLDWFGWCTWDAFYTDVTADGVKQGLRSLANGGAPPRFLIIDDGWQQIGTEDDDTDEHPAVAVQEGAQFASRLTGIKENVKFQSKNGGAGEDTPGLRMLVEEVKGEHGVRQVYVWHAMAGYWGGVAPAPAMERYEAALAYPVQSPGVTANQPDIVMDSLSVLGLGLVHPRKVLDFYDELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVALTRAYHRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTIHVASVAYNTVFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFDLLRKLVLPDGSVLRARLPGRPTRDCLFSDPARDGESLLKIWNLNKCGGVVGVFNCQGAGWCRVAKKTRVHDAAPGTLTGAVRADDVDAIAQVAGGDGGGWDGEAVVYAHRARELVRLPRGAALPVTLGALEYEVFHVCPVRAIAAAPGGAGVEFAPVGLLDMFNAGGAVEECAVDAAAAVALRVRGCGRFGAYFSRRPARCALDGADVGFTYDGDTGLVAVDLPVPEQEMYRWNLEIHV >ORGLA07G0059700.1 pep chromosome:AGI1.1:7:5233104:5237237:1 gene:ORGLA07G0059700 transcript:ORGLA07G0059700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dolichyl-diphosphooligosaccharide-protein glycosyltransferase 48kDa subunit family protein [Source:Projected from Arabidopsis thaliana (AT5G66680) TAIR;Acc:AT5G66680] MAAPRHHHLALAVALALLVVTAAAADEGGPRGRRVLVLVDDLAVRSSHSAFFASLQGRGFDLDFRLADDPKLSLHRYGQYLYDGLVLFAPSTPRFGGSVDQNSILEFIDAGHDMILAADSSASDLIRGIATECGVDFDEDPEAMVIDHINYAATDAEGDHTLIAGDDLIQSDVILGSKKIEAPVLFRGIGHGVNPSNSLVLKVLSASPSAYSANPKSKLASPPSLTGSAISLVSVMQARNNARVLISGSLDLFSNRFLKSGVQKAGSKIRHEKAGNEQFVTETSKWVFHERGHLKAVNVKHNKVGETNEPGMYRINDDLEYSVEIYEWSGTSWKPYVADDVQVQFYMMSPYVLKTLSTDKKGVFSTSFKVPDVYGVFQFKVEYQRLGYTGLSLSKQIPVRPYRHNEYERFITSAYPYYAASFSTMGAFFIFSFVYLYHK >ORGLA07G0059600.1 pep chromosome:AGI1.1:7:5227966:5231679:1 gene:ORGLA07G0059600 transcript:ORGLA07G0059600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRHRARSAPSSPLTPSSTTRAKNIFGFSVSLILINLASIMERADENLLPAVYKEVSAAFNAGPADLGYLTFLMNFLKSIASPLAGILALHYDRPTVLAIGTVFWALSTGAVGVSQHFRQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGNDYWGLAGWRVAFIMVALVSLIIGILVYLYATDPRKIPGNHLLDDDDYERLHLASKDVLPPPSIWRDSLVATRSVMKVRTFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNNSSAALNSLFAIGCATGAFLGGVIADRLSRHFPDSARVMCAQFSAFMGIPFSWILLTVIPQSVDYWSAYAVTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDSKTVNLANGSAEGAYALSRGLLTMMIVPFGVCVLFYSPLYLVFKRDRENAKLSSFKDQELV >ORGLA07G0059500.1 pep chromosome:AGI1.1:7:5215692:5216575:1 gene:ORGLA07G0059500 transcript:ORGLA07G0059500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSDQLEHRPAPSAPRAEPDDVADDVEVEAFRDIHPEPSPPHLPPPPLRQPSWDAASHRSLSSSGAGGGSGGGGDVELFATMSREFTAMVAAGSSSAPSPDVPGDAPAAAADLNLLQLARIGENEPAAEANALAIVPTAADSGPAPVEQVKKEEVEAKVAAWQAEEVAKINNKFKREEVVINGWESQQVDKATAWLAKIERKLQEERAKATEKARNEAAAARRKAEERRASAEARRGRKTAEVLDRANFCKAAGRVPSKRSFFSF >ORGLA07G0059400.1 pep chromosome:AGI1.1:7:5201160:5206277:-1 gene:ORGLA07G0059400 transcript:ORGLA07G0059400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:I1Q902] MDGDADAVKSGRHGSGQACQICGDGVGTTAEGDVFAACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPAIRGEEGEDTDADDVSDYNYPASGSADQKQKIADRMRSWRMNAGGGGDVGRPKYDSGEIGLTKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRVPFPYVNHSPNPSREFSGSIGNVAWKERVDGWKLKQDKGAIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPLPSSRINPYRMVIVLRLVVLSIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKKYNIEPRAPEWYFSQKIDYLKDKVHPSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKQKKKGSFLSSLCGGRKKASKSKKKSSDKKKSNKHVDSAVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSIPLLIYCVLPAICLLTGKFIIPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQTCGINC >ORGLA07G0059300.1 pep chromosome:AGI1.1:7:5181850:5183580:1 gene:ORGLA07G0059300 transcript:ORGLA07G0059300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNVLLFSAYVVVMSFFLCSVHAKVNMNASSLTNGDDSLRGKSSLESKKAVFDVRKHGAYGDGQHDDTKALSKAWAAACSSLQPSIVLVPKGKRYLTKHITLSGPCKSSITFMIEGTLVAPPRRSDWSKETIRHWIMFNGVIGLTVAGGGTVDGNGKIWWQNSCKTNAKLACTESPTALTFYSCSNLKVENLKLLNSQQIHMSVEDCTNVRISGLTITAPGTSPNTDGIHITRSKNVQVTGCTIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTIGTVRLYGTTNGARIKTWQGGRGYAKYIVFQNMIMENVWNPVIIDQNYCDSATPCKKQNNMEAYNLVFLSQTSAVQISNVVFKNIRGTSASKEAIKLDCSRNVPCQGITLNDVKLTVKGGGGDAKSTCRNAKWKKSGTVVPQPCASTTTV >ORGLA07G0059200.1 pep chromosome:AGI1.1:7:5176244:5177417:1 gene:ORGLA07G0059200 transcript:ORGLA07G0059200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKENIGKHFILKVENLKVVNSQQIQISVEDCTDVKMSRLSITAPETAPNTDGIHITCSRDVQVTDCTIKTGDDCMSIEDGTKNLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTVDNVRLYGTTNGARIKTWQGGKGSAKNIVFQNMVMDNVWNPIIIDQNYCDSSTPCKQQKSAVEVSNLLFKNIRGTSASEEAIVLHCSNSVPCHGITLENVNLTVKGGSSNAKSTCQNAEWKKSGVSVHCPVVSKIDLELVGTSD >ORGLA07G0059100.1 pep chromosome:AGI1.1:7:5173426:5174081:-1 gene:ORGLA07G0059100 transcript:ORGLA07G0059100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKTMYNAEKRGKRQVLIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >ORGLA07G0059000.1 pep chromosome:AGI1.1:7:5170697:5171905:1 gene:ORGLA07G0059000 transcript:ORGLA07G0059000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GATAAEKAASXRRRRKWWQLSSRRHPQRRRHASGPAQRRPPPPPGEAGVPPPRRVPPVARRAVRPAVRRRARRQAPGPAPRRRRLRPPRRRRDRAGGRLPRGRFRRRRQAGARREVRHGRGVVGARRRGSPRRQRPAAPRARRGGVAAVSLVPDGEHHPINCSFTLGRAASSSGEHKVLRIGTVVHGEPQVCAVLTLAVAGGRGQNARWREAPSPPLVVRTRRGDVAVAGGVAYFLLRRAYLADWIAAFDLEAEQWRPALVGGPPLAAWRPTRPDRPRVTLAELGGSLVVAIDDHRAATLDLWFLLAAGDGEQHWSKQYTVTMPYHRRPWRCDGESAEPVVVLDDGRIVFWVWASGSSGTRHGGGVMRVYDPITGGHTDVATAARCAHVGVYTGNLLSLVSE >ORGLA07G0058900.1 pep chromosome:AGI1.1:7:5165292:5167006:-1 gene:ORGLA07G0058900 transcript:ORGLA07G0058900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLIVSASLLALFFFLHSADADVGSNVFSIQSYGAHGDGRHDDTKALGDTWAAACSSAKPAVLLIPKGKKYLIKHTTLSGPCKSSISLMVKGSLVASPERSDWSKETIRHWILISGVTGLTVTGGGTIDGNGKIWWQNSCKTNSKLPCTEAPTALTFYSCKNLKVEYLKVVNSQQIQISVEDCTDVMVSRLSITAPETAPNTDGIHITRSRDVEVTDCMIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTVDNVRLYGTTNGARIKTWQGGKGSAKNIVFQNMVMDNVWNPIIIDQNYCDSSTPCKQQKSAVEVSNVLFKNIRGTSASEEAIMLHCSSSVPCHGITLENVNLTVKGGIDLKHEHPWTLEDVEK >ORGLA07G0058800.1 pep chromosome:AGI1.1:7:5159667:5164183:1 gene:ORGLA07G0058800 transcript:ORGLA07G0058800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRKKGATKNALGDPASTKTSRQPRRAAQAAASEKKVNDLITSSAKKKKSVGAPSKKNRASKGGRKLISACDAANSENEVSQVVSGIPHDQKQQSDDNVDGRPCNSIFSPAYHLQKECGASNFAKGLEHKGDTLGSVSSVEERTTHAQGRKEVTTSTSESTNHAVKTCVGSDHHILNAQSAFCNTPLEEDEFSELGNLSSEVSAIYLAMQQSKLECIDEHSQDSISTEGYVDPEDTEEYDDFDPYAFIKDLPDLSLVVPKFRPVLLPKQTRSCPTTTLVLDLDETLVHSTLEPCEDADFAFPVYFNFREHTIYVRCRPYLKEFLERVANLFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRDSCVYVEGNYLKDLTVLGRDLTRIMIVDNSPQIPINYYCYGYGDMNNVLMSCLQAFGFQLDNGIPIESWFDDPNDQELLKLLPFLESLVGVEDVRPYIARKFNLREKVATASSLSMDLQM >ORGLA07G0058700.1 pep chromosome:AGI1.1:7:5153613:5155340:-1 gene:ORGLA07G0058700 transcript:ORGLA07G0058700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNVLIFSAYVVVMSFFLCSVHAKVNMNASFHLTSGDDSLRGRSLESKKVVFDVRKHGAYGDGQHDDTKALAKAWAAACSSSQPSIVLIPKGKRYLTKHITLSGPCKSSITFMIEGTLVAPPKRSDWSKETSRHWIMFNGVSGLTVAGGGTVDGNGKIWWQNSCKTNAKLPCTEAPTALTFYSCSNLKVENLKLLNSQQIHMSVEDCTDVRISSLTITAPGTSPNTDGIHITRSKNVQVTGCIIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTVDTVRLYGTTNGARIKTWQGGWGYAKNIVFQNMIMENVWNPIIIDQNYCDSATPCKEQTSAVQVSNVVFKNIRGTSASKEAIKLDCSRNVPCQGITLKDVKLTIKGGGSDAKSTCGNAKWKKSGIALCFQ >ORGLA07G0058600.1 pep chromosome:AGI1.1:7:5148198:5148821:-1 gene:ORGLA07G0058600 transcript:ORGLA07G0058600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAPMPLGMTVTVEETPYDLDDPTTSKLDADHQGFTTTRPCRTLKDVLFSIFTVAMVITYVCLVIHDDLSLIQTLFFLVVWVIQWLVIFVMTYSTFDDANQEYRKRHNLVVVNARFC >ORGLA07G0058500.1 pep chromosome:AGI1.1:7:5140001:5141639:1 gene:ORGLA07G0058500 transcript:ORGLA07G0058500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGGGERGGERGGFGRGFGRGGRGDRGRGGRGGRRGPRQEEEKWVPVTKLGRLVKENKIHKIEEIYLHSLPVKEHQIVEQLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGDGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWRETRFIKTPFQEYTDLLARPKGLVIEAPAEKIEA >ORGLA07G0058400.1 pep chromosome:AGI1.1:7:5139126:5139422:1 gene:ORGLA07G0058400 transcript:ORGLA07G0058400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVEGSKADEDGDEVGEEGHAGSLCSIVADVKEPEADEVDNDGIEAGRAQGDGVAEDSNEVSGESDDENNGGDNEGVLTTKAGECVRDAEVLDIGGR >ORGLA07G0058300.1 pep chromosome:AGI1.1:7:5130396:5133197:-1 gene:ORGLA07G0058300 transcript:ORGLA07G0058300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G41820) TAIR;Acc:AT2G41820] MPPPAAFLFLACVLGASVAVAAAVAADGDGDAMRELRRALAPPDWGAAGEDGKGSYYCAWRGVTCAGGGGGAVVAIDLPRRGLRGDFSAVAGLRALARLDLSFNALRGGVPGEALGGLPGLEFLDLSMNHLSGGVPPSLAGAVGLRFLNLSNNALSGGIPDELRSLRALTELQISGNNLTGAIPPWLAALPALRILSAYENSLSGPIPSGLGLSSKLQVLNLHSNALEGAIPSSLFDLGNLQVLILTVNRLNGTIPDTIGRCSALSNVRIGNNRLAGAIPASIGDATSLTYFEADSNELTGGIPAQLARCANLTLLNLAYNRLAGEVPDVLGELRSLQELIVSSNGLSGEFPRSILRCRNLSKLDLSYNAFRGGLPESVCNGSRLQFLLLDHNEFSGGIPVGIGGCGRLLELQLGNNNLTGEIPAEIGRVKSLQIALNLSFNHLVGPLPRELGRLDKLVALDLSSNEISGEIPGDMRGMLSLIEVNLSNNRLSGAIPVFAPFQKSAASSFSGNTKLCGNPLVVDCGPIYGSSYGMDHRKISYRVALAVVGSCVLIFSVVSLVVALFMWRERQEKEAEAKMAEAGEVVVAAPQVMASNMFIDSLQQAIDFQSCVKATFKDANVVSNGTFSITYKAVMPSGMVVCVKKLKSVDRAVIHHQTKMIRELECLSHINHPNLVRPIGYVIYEDVALLLHHHMPNGTLLQLLHNVDNPDGDNQKPDWPRLLSIAIDVAEGLAFLHHVATIHLDISSGNVFLDSHYNALLGEVEISKLLDPLKGTASISAVAGSFGYIPPEYAYTMQVTVPGNVYSFGVVLLEILTSKLPVDEEFGEGMDLVKWVHSAPARGETPEQIMDPKLSTVSFAWRKQMLAVLKVAMLCTERAPAKRPKMKKVVEMLQEAKNS >ORGLA07G0058200.1 pep chromosome:AGI1.1:7:5125574:5127169:1 gene:ORGLA07G0058200 transcript:ORGLA07G0058200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMARVFLLLLLPLSPSAATAVAAPPPPRSQAQTADGNGVLVNGNFAMSPRKMNATVIVGRDSLPGWALRGRVEYVSGGPQPGGMYFAAAPGAHALRLGARASAAQAVAVRPGAAYALTFAATRACARDGEREEALRVAVSPSFSAPGDVPVRTLYGAGAADAWAWGFRAAERNAQVEFSNPAAADDHDGDDSLNCGPLLAAVAFKELPAPMPSKDNLIRNGDFEAGPAAIPNSTAGVLLPPKRKDATSPLPGWIVESLRPVRLVDAPHFAVPQGQRAVELVAGREGAVAQVIRTAPGRAYNLSFAVGDARDGCEGAMLVHAVVVAGGGGGNATAKAAAAAVPYASRGGGGARQASLRFVASGRRARVTFYSSYYHTSAGDGVSPCGPVLDQVKVQPLMTKA >ORGLA07G0058100.1 pep chromosome:AGI1.1:7:5120373:5124499:-1 gene:ORGLA07G0058100 transcript:ORGLA07G0058100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGSAAYGDGDGEKPSAQLGQPLLPPPNQPYYAFPAAAYAPPPPPPPPPTLVFVPVTSPVLVRLRRLRPRRVPCLRAFSARTLPLLLFLALLAGLAFLLYPSAPVARVEGLRLDRFRVNPPPLPAVDLHLALRLRVRNPGLLLPLRYRAVSAAVSYRGHLLGSAAARPGSGELGARGTTYADAEVWVDAGRVVDDVIDLIGDLAAGSLPLEIVTEVVGAVRVFRFDIPVKGLITCSVNVSPDTQKIISQDCY >ORGLA07G0058000.1 pep chromosome:AGI1.1:7:5107184:5111875:-1 gene:ORGLA07G0058000 transcript:ORGLA07G0058000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sterol methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G13710) TAIR;Acc:AT5G13710] MSRSGAMDLASGLGGKITKDEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGVKPGMKVLDVGCGIGGPLREIAKFSLASVTGLNNNEYQITRGKELNRVAGVSGTCDFVKADFMKMPFSDNTFDAVYAIEATCHAPDPVGCYKEIYRVLKPGQCFAVYEWCITDHYEPNNATHKRIKDEIELGNGLPDIRSTQQCLQAAKDAGFEVIWEKDLAEDSPVPWYLPLDPSRFSLSSFRLTTVGRAITRTMVKALEYVGLAPQGSERVSNFLEKAAEGLVEGGKKEIFTPMYFFLVRKPISE >ORGLA07G0057900.1 pep chromosome:AGI1.1:7:5102927:5105589:-1 gene:ORGLA07G0057900 transcript:ORGLA07G0057900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAVEAKVAGGGERREFKGKITWYVWLCGIIAATSGLMFGYDVGISGGVTAMDGFLIKFFPSVYARKHRARENNYCKFDDQRLQLFTSSLYLAALAASFAASRLCTRLGRRRTMQLASVFFLGGTALCAGAANLAMLIVGRICLGVGVGFGNQAAPLFLSEIAPAHIRGALNILFQLDVTIGILIANVVNYFTSSAHPSTGWRYSLGGAGVPAAVLFLGSLVITETPTSLVERGRRDAGRATLERIRGTRDVGDELDEIARACEAAAALSAEESAYRRLRRRESRPPLVIAVAMQVFQQFTGINAIMFYAPVLFQTMGFKSNGSLLSAVVTGGVNVVSTLVSIVAVDKIGRRRLLLQACGQMLIAQTAVGAIMWEHVKANGNPGEKWAVAIVVLICVYVSSFAWSWGPLGWLIPSETFPLATRTTGFSFAVSSNMLFTFLIAQAFLSMMCRMKAFIFFFFAIWIVIMAAFVFWLLPETKGVPIDEMVDTVWRRHWFWKRFFADAGDGRIGNC >ORGLA07G0057800.1 pep chromosome:AGI1.1:7:5096821:5097291:1 gene:ORGLA07G0057800 transcript:ORGLA07G0057800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLAIVACNASARFDALSQSYRQYQLQSHLLLQQQVLSPCSEFVRQQHNIVATPFWQPATFQLINNQVMQQQCCQQLRLVAQQSHYQAISSVQAIVQQLQLQQVGVVYFDQTQAQAQALLALNLPSICGIYPNYYIAPRSIPTVGGVWY >ORGLA07G0057700.1 pep chromosome:AGI1.1:7:5093656:5094445:1 gene:ORGLA07G0057700 transcript:ORGLA07G0057700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLTAKRPASLSSRGRNAVLQLWCGSEKWRILQSHRRLPGGVRCSSGSPDSGGGGAPPLVRAAVSTVTELLRVLTPKNPRHAKCSSSSDVAKGDEGGGGAELDSPRSVDDVVAVLEADYQRAYFLTGNFTPDIYTEDCLFEDPTIKFRGLR >ORGLA07G0057600.1 pep chromosome:AGI1.1:7:5087453:5092331:1 gene:ORGLA07G0057600 transcript:ORGLA07G0057600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zeta-carotene desaturase [Source:Projected from Arabidopsis thaliana (AT3G04870) TAIR;Acc:AT3G04870] MAMAATSRAPSTLAPASFSAAGGSRRRRRCPNPRVRVGVGVRCSLDSNVSDMAVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDLYESRPFIGGKVGSFVDRKGNHIEMGLHVFFGCYSNLFRLMKKVGADNNLLVKEHTHTFVNKGGTIGELDFRFPVGAPLHGIQAFLRTNQLKVYDKARNAVALALSPVVRALVDPDGALQQVRDLDDVSFSDWFLSKGGTRESITRMWDPVAYALGFIDCDNISARCMLTIFTLFATKTEASLLRMLKGSPDVYLSGPIKKYITDRGGRFHLRWGCREVLYDKSPDGETYVKGLLLSKATSREIIKADAYVAACDVPGIKRLLPSEWRQWDTFDNIYKLDGVPVVTVQLRYNGWVTELQDLEKSRQLKKAVGLDNLLYTPDADFSCFSDLALSSPADYYIEGQGSLIQAVLTPGDPYMPLPNEEIISKVQKQVLELFPSSRGLELTWSSVVKIGQSLYRESPGNDPFRPDQKTPVKNFFLSGSYTKQDYIDSMEGATLSGRRTAAYICGAGEELLALRKKLIVDDSEKARGKVEALQTS >ORGLA07G0057500.1 pep chromosome:AGI1.1:7:5083042:5083786:1 gene:ORGLA07G0057500 transcript:ORGLA07G0057500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKVIGKYLIRTRYQVTNIYQLKSRPQGVPLRVRKMLKSAQAIDEFNQDGV >ORGLA07G0057400.1 pep chromosome:AGI1.1:7:5064241:5069591:-1 gene:ORGLA07G0057400 transcript:ORGLA07G0057400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Survival protein SurE-like phosphatase/nucleotidase [Source:Projected from Arabidopsis thaliana (AT4G14930) TAIR;Acc:AT4G14930] MDSSAASAAAAAAAPVVLVTNDDGIDAPGLRFLVGQLVATRRFRVLVCAPDTDRSGVSHSITWRPALRCKRVDIDGATAFAASGTPADCASLGISGKLFDGLVPDLVVSGINVGNNCGCHVIYSGTVGGAREAFLYGIPSLAMSYDWVASQSSVNDLKVAAEVVMPLINTVMAEIKNGTYPQGSFLNIDIPTDAAHHKGYKITKQGRYMARIGWEQTVYKKPAVESYQTANMDVDSEKDSEVDTSSENDLLFKRVLVRRSYDEEEGDDIDHKCLVDGYITVTPLGALSRAEADVIPYYKACLSRDQDTFVAH >ORGLA07G0057300.1 pep chromosome:AGI1.1:7:5062828:5063142:1 gene:ORGLA07G0057300 transcript:ORGLA07G0057300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTSELLCVETKGRVFLRSHSRVWFLALMMMILIGHCPCIHALQKRNERKKYRGLFIFFLGVRFASCISECEIRSCASLVGAEARSFASIVEKKRKKNTQCG >ORGLA07G0057200.1 pep chromosome:AGI1.1:7:5062437:5062811:1 gene:ORGLA07G0057200 transcript:ORGLA07G0057200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSLFSPLRRLWVRAHSERRNRRGMYILYKDVQSCQDEDVHVLWSILIDSHRHPALMKLKL >ORGLA07G0057100.1 pep chromosome:AGI1.1:7:5049152:5050714:1 gene:ORGLA07G0057100 transcript:ORGLA07G0057100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGASVSMDAAASMDMWDWEVLPDQLSSSSHGGGGHGRRVLGAQETEESNLDAAAADMAVDDECKDIGVDVAVPAETKTSQEETMAAKVTEEEEEEAFQGSDAKVVDGDDDGGGEEEEEEEEEGKKAGAECVVFRVGKLRVNGIGALCSFGVAAAATVCVFLVGGRLQHHHRQQQQHKIQLQLYGDDKRMQQVVQQTSRLNQAMSSVMGGGGSTRANISFGGYYEGF >ORGLA07G0057000.1 pep chromosome:AGI1.1:7:5044183:5044983:1 gene:ORGLA07G0057000 transcript:ORGLA07G0057000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQFQKPTQGQSQQQQCHYQVPTVTVAKNKAKTKCSSSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFATQAAPDSPLASRIRTLLTHKKLKKSMPQPTITFSTAVYHHARSTVPAAATSTSTSGVSPSRSNSSSLNFATNLSNFAMSGGEELQLASSEQQYDQSWALNTSLLPIGDGCDMSGSNACPVASDQDKMKAEKQGSHGMNGIQEQETFDMGNDLCDSLWDLPPICQLSCKY >ORGLA07G0056900.1 pep chromosome:AGI1.1:7:5033105:5037831:1 gene:ORGLA07G0056900 transcript:ORGLA07G0056900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFLRHADARTPRAFVQLLAAQPPRPSAAAADQCHAAATKLGFLASNLFATTALLAFYCRSRRLPEAQHLFDQMAARTAVTWNTLIHGHARSAAPGLAVAAFARMARAGVSPTASSVSSVLVACVRLEDAAAGATLHSVGLMRGFCASVVVGTALVDMYAKCHHLGAAQQVFREMEEKNVATFTALVTGFVLSRRPHDAMLLVREMERSGVAPNLMTYSSLLSSFASPEDIDHGKQVHCAVLKKGLEHDQFVLSALVTMYSKCGILEDFVKVQMSVSCQDQVSFNSVISGLSCLGRGKEAFQHFLEMRRHGTDMDVFTFASVLKAIGSSSSSLEGRQVHTLILKIGYDSVVDVQNSLISMYARHGAIGESNGVFISMEAPNLVSWNSLMSGCAQHGHGKEVVEMFEQMRRLHVQPDHITFLSVLTACSHVGLVDKGLEYFNLMKDKGYLVGARTEHYACMVDLLGRAGYLNEAEYLINGMPIKPGASVYRALLSACQIHGNLEIAIRVSKRLIELNPHDSSVHVQLSNAFAGDGRWGNAAEIREAMSEYMSGGSLYDFLHKQHNVLDLPTLLKFAVDVCRGMCYLHQMGIIHRDLKSANLLMDKDHVVKVADFGVAHFQDQGGNMTAETGTYRWMAPEIQLCCWSKVINHQPYDNKADVFSFAIVLWELITSKIPYNTMTPLQAAVYKGLRPGLPENAHSQLLPPFQVIRLSSIAHIHIDVNESRHTYMSRFINIYSLRPKI >ORGLA07G0056800.1 pep chromosome:AGI1.1:7:5018775:5027385:-1 gene:ORGLA07G0056800 transcript:ORGLA07G0056800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor (CPSF) A subunit protein [Source:Projected from Arabidopsis thaliana (AT3G11960) TAIR;Acc:AT3G11960] MMRDDSYMDIDGTDNKAVVKSRIVCSWNWEPNAMQGHPRLIFCLDDGEFHLLEFSLDMEGVKVLPECVHRGLPCKPLLWMDKGMVVGFVEMGDGMILQLENNRLVHKSAIQNVAPILDLAIADHHGEKQDQMFACCGMCPEGSLRVIRNGVNVEKLLRTDPIYHGVTGLWTLRMKRTDAYHSFLVLSFVEETRILSVGLSFNDICDAVGFQTDVCTLACGLVADGLLVQIHSKCVKLCLPTACAHPEGTLLPSPVCADWYPDVTISVGAVGHNVVVVATSNPCCLYILGVRSLSSFQYELYEIQHVQLHYEVSCISIPQEDWRLDNSSSSCATSGDFRKDFAANIRKFAVIGTHEPSVHIISLEPGEAFQQLAVGHISVNNALGTPISGCIPENVRFVVAARFYILAGLRNGMLLRFESQTSKGHCFPGSFYKESSTPCDDTSLMLIAVRRIGITPVVLVPLHDRANADVIVLGDRPWLLHSARHSLAYSSISFLPASHVTPVSSTDCPNGLLFVSENCLHLVEMVHGKRLNAQKFSIGGTPRKVLYHSDSRTLLVLRTGLTSVSCSSDIVQIDPSNGALLSRFKCEPGETAKCMQIAKIGNDQVLIVGTSKSNGRPMMPNGEAESIKGRLILLSLETIESPRESGSFTAASNLNSSHAGSPFPEFVGYAAEELSSNSMCSSPDEVCCNQIQPELMAGHLRSLVQHTFNGAVLAVHPYLDRYVLAAAGNVLFVFGFLNESPHRIKKYTTSRTRFTITCLKTYASRIAVGDCRDGVLFYSYHENLRKLELIYSDPAQRLVGDVALLSCETAVVSDRRGSISVLSCPRLEVSESPEKNLAVHCSFYMGETAMSIQKVAFKHWLPIDDLTEPVLESVYNCVVASTLLGSIFVMIPLTSEEHQMLQDVQERLSVHPLTAPLLGNDHAEFRRRGIPSGVPPILDGDMLVQFLELTSEQQHDVLNIVSPGKKRQHDISVFQVMRALERVHYALN >ORGLA07G0056700.1 pep chromosome:AGI1.1:7:5017333:5017800:1 gene:ORGLA07G0056700 transcript:ORGLA07G0056700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSAAAAASLPEYVQFDGHLLPVAWLEGEVLAEFLAFLDDAAAAAEDAAEPYEVEFEEEEPYEVEFEEEPEEVEFAADDDDDGGLMEDGGRGSEVDDDDSDLFKAYEEEAEQEMALLLPHIMAIPAVMARAAAPATEQETKRHQFVSDQRGWM >ORGLA07G0056600.1 pep chromosome:AGI1.1:7:5016181:5016672:-1 gene:ORGLA07G0056600 transcript:ORGLA07G0056600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLPEYVQFDGHVLPVAWLEGEVRAEFLAFLDDAAAAAEDEAEPYEVEFAADDGIVDDGGVMEDGVDYVYDDVELVDADFEDGLVSDADLADDDAARAAEPPAGNARMRVKPVKQFGGDYEAINEMIREYLQADKKRRRARRVAAAMSRLRRQRGQPTGM >ORGLA07G0056500.1 pep chromosome:AGI1.1:7:5009777:5010334:1 gene:ORGLA07G0056500 transcript:ORGLA07G0056500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPASASPSLPEGEVLADFLVFLDDAAAAAAAAEPYEVEMEEEEEEEPQEVEFAADDGSDDDVGGDLVGNGGLMEDEVDYAVDELVDADSEDGSLDIMADDGDDEAATEEEHATRAAEPPAATARNARMSVKPVKQFGGDYEAINEMIREYLQADKKRRRARRVAAAMLRLRRQRRRPAVHRRGR >ORGLA07G0056400.1 pep chromosome:AGI1.1:7:4988861:5007436:1 gene:ORGLA07G0056400 transcript:ORGLA07G0056400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding;RNA binding [Source:Projected from Arabidopsis thaliana (AT3G11964) TAIR;Acc:AT3G11964] QERPAAPDSAAVLAAAAADDGDFPRGGRSLLSRDEVAEARAEADADFEREERRGKRKRKGASSSGAGGDDDLGSLFGGATTGKLPRFANRVTLKNISPNMKLWGVVIEVNQKDIVVSLPGGMRGFVRSEEVHDITSQETRKDSEGSICADVVHVGQLVPCIVLRVDDDNKEGKVLTAQVKSIEDHGYILHFGVSSFSGFMPKADRESAKIESGQLIQCVVKAIDKAREIVHLSSDEDLLSKSIIKDLKGLSIDHLIPGMMVNARVHSVLENGVMLSFLTYFTGTADIFNLSNSFPSGSWKDDYIKNKKVNARILFVDPSTRAVGLTLNQQLLRLKVPSINVKAGEIYDKARVLRMDKRAGLFLEIPSPTPSPGFVSIHDVSDKDVKNVEKKFKEGSMARVRVLGVRHLEGVAIGTLKESAFEGSVFTHADVKPGMVVRAKVVTVEPFGAIVQFSSGVKALCPLPHMSELEHVVKPPKKFKVGVELTFRVLGCKSKRITVTFKKSLVKSKLDVLASYADAKIGLLTHGWITKIEKHGCFVKFYNGVQGFVSRSELGLEPGTEAENVYHVGQVVKCRVVSVVPASRKINVTFLISTNRVIQADTPKVGSIVSGVVERLTPAAVVVSVNGFCKGSILNEHLADHRDVEGQNLVLSAKQSLINCASDIPSEISQMHAGSVFHGYVCNIIEAGCFVRFLGHLTGFSPKDKAVDRSVEKLSNAFYVGQSVRSHILNVNAESARVKLSLQQSMCSSADCSFVQGYFLLDQKITELKYSDPSSSFHDWLNTFAIGNLVEGEVGAIEEYGVILNFQSHPDVVGLIEHHQLGDSSVEVGSSVKGLVIDLSDGVVNISLKSELVRSVSKVGKKKKRHRAAVMDLELHEEVNAIVEIVKESHVVLSIPEYNYAIGFAPLMDYNSQLLPCCNYENGQRITVVVGSMPSSGPTGRLLLLPKASGKNSSVSSSKRAKKKSDFKVGSLVEAEIIDIKPLELLLKFGSNLHGRIHITEVFDDDSNDFPFSELQIGRSVQARIVAEAEHSGKGGKNSKWELSIRPSLLQGGLEDFTPPKAELRHSIGGIVHAYVVKVDREWIWLTVSRDVMAHLFILDSSAEPGELEKFQQRYSVGQAVKGRIIGVNREKRLLRLKALDSQSLPENLGETQKPLSATVEHTKQGDIIGGRIQKILPGVGGLVIQIGPHLHGRVHYTEIVDSWVQEPISGFHEGQFVKCKVLDVSRSSEGSVRVDLSLRSSMCANSNQSRRLFDDSRIRTSRFEKINDLCPGTEVKGYVKSVNSKGCFIMVSRTIEARIILSNLSDEYVENPQNDFPVGLLVHGRVLSSEPQSGKVEVSLRKNTGSKSQKSDDISYSDLHVGDIIAGQVKRVESFGLFVTIQGSELVALCHVSELSDEPVLDIHSCHKAGDKVKAKILKIDEERHRVSIGMKKSYIGPDSTGDTSDDEDDEIVPEEISRNPVMGRDRNHALVLPKPESRASVLPLQVSLDESEGSDQENDNKGQEIANGTEVDDKKSNKRLKEKARKQRELEISALEERALQRDIPQTPDEFEKLVRSSPNSSFVWINYMAFLLDLADVDKARAVAERALRTINIREEEEKLNVWVAYFNLENEYGSPREDAVKKIFQRALQYCDPKKVHLALLAMYERTEQYTLADELLDRMTKRFKTSCKIWLRCIQLSLKQSKDVECIKLIVKRALLSLPQSKRIKFLSQTAILEFKCGVPEEGRSRFELILREYPKRTDLWSVYLDQEIRLGDTEIIRALFERVTCLSLPPKKMKFLFKKYLEYEKSQGDEERIEHVKQKALEYVQSSLA >ORGLA07G0056300.1 pep chromosome:AGI1.1:7:4987768:4987986:-1 gene:ORGLA07G0056300 transcript:ORGLA07G0056300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTAEGGLGIREGRVTDGAASRRTMNARMSVKPMSLGIDYTILERADPQTRRGAAATGGVATVPRLAEM >ORGLA07G0056200.1 pep chromosome:AGI1.1:7:4985567:4986202:-1 gene:ORGLA07G0056200 transcript:ORGLA07G0056200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSASLPEYVQFDGDLLPVAWLEGEVLAEFLAFLDDAAAAAAEDEAEPYEVEFEEEEEEEPQEVEFAADDDSNDDVGGDLVDDGGVMEEDGLDYVYDDDDVELVDADFVDGSFDDGLVSDADADGGAATATAEEHAARAAEPPARNARMSVGPVKQFGGDYEAINEMIREYLQADNKRRRARRVAAAMSRLRRQRRRPAEDGGAPTWP >ORGLA07G0056100.1 pep chromosome:AGI1.1:7:4981516:4981965:1 gene:ORGLA07G0056100 transcript:ORGLA07G0056100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIAIVEMKSGRGRRVVAAIIRSTPARATSDKSAATITVGSILAGSTANGSATINYVVFFSPPLFVVVTLRLPSLSLLDPPHPDPSPRSTAGSAPIGPVADGSAIDNSAVLLGLLRHRGCPLPRHSILLCSPVAADPMPVVSSPHAGH >ORGLA07G0056000.1 pep chromosome:AGI1.1:7:4972203:4976939:1 gene:ORGLA07G0056000 transcript:ORGLA07G0056000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial 28S ribosomal protein S29-related [Source:Projected from Arabidopsis thaliana (AT1G16870) TAIR;Acc:AT1G16870] MLLRSLLRRAAVAATATAAGGGVGVGARATTGRADPPAALASLLVASRSYAKAKGGGKPAGATSNRGKVRAKDPRGVASEEGAAGEFEGGGGGAGGGDDLDVEFELPTDPMPPTYDPALDVGPGGRPLFAFTDTFASFSRRDANAYVDFTLDEWKAMLPEGLPAGMMKEFQETRRCAVMVRESFLDLRDNFRRIVDPAIAAKRKDAKRQIVLDGPRSCGKSIALAMLVHWARTEGWLVFYVPQGKDWSHGGFFYRNTYNDLFDTPIQAAKILQDFLKYNENRLQQLPCQIFEPIPLGEGAGVGMMKGADTVEMPEGSTLYDLIQTGITHSHASVGVVVRLRKELSLVKDVPVLFAIDQYNSWFTFSEFQEPVTVRSCRPIHAKELTMVNAYRPMLHNDMMVGAFSHSTAVGKLRQDLPDVPSDARVMFPRYTVNEAETVCHYYMRQKIIKRENFSEEKWKKIYYLSNGNGSEMRWLAAFI >ORGLA07G0055900.1 pep chromosome:AGI1.1:7:4971172:4971720:1 gene:ORGLA07G0055900 transcript:ORGLA07G0055900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSVPGVYAYELLLWARKELENLEVVTAGDGGGGGGNTITVHGDKAPVDGKEEAATPAKRADVSGGQECGVVRVEDVQRVETPAAERSRMPXRTRRSXRIRTRREEAARGKKSRPSPWPARGAGRKHCWFSSIQHGNRGTSLPVGVGDGGCACTNSWPRRVRKAGDAAGRWAAAADGVASSV >ORGLA07G0055800.1 pep chromosome:AGI1.1:7:4970485:4970922:-1 gene:ORGLA07G0055800 transcript:ORGLA07G0055800.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPATNTQYASAAEERRRASAAVGKAAEGERGDGGAVGERGRGQSSGGRARPKQKTVDGMGSSPVLGRHHCRALAGAPRRRQRRLRGRRSRRELGGQGLDEEDEVVGPAEDALGGREKHRGVVLDDEELPVREGQRGAHQISLKTV >ORGLA07G0055700.1 pep chromosome:AGI1.1:7:4963847:4965912:-1 gene:ORGLA07G0055700 transcript:ORGLA07G0055700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA splicing factor, thioredoxin-like U5 snRNP [Source:Projected from Arabidopsis thaliana (AT5G08290) TAIR;Acc:AT5G08290] MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >ORGLA07G0055600.1 pep chromosome:AGI1.1:7:4955233:4960132:-1 gene:ORGLA07G0055600 transcript:ORGLA07G0055600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADSAANAEESAPAAAANHGNSRLPRSSYVPPHLRGQAAPAAPAQAGALPSAAAPAAQPSVGQPGVVGGPRWAGIVNGGGGGGSVGGSRQGFGVGGRGGGGGGGGGAWNSRPGGWDRRDREPDPFANSEAAEVDFEGENTGINFEAYEDIPVETSGHDVPPPANTFAEIDLGDALNENIRRCKYVKPTPVQRYAIPISIAGRDLMACAQTGSGKTAAFCFPIISGIMRSRPPPRSRGSRTAYPLALILSPTRELSVQIHEEARKFAYQTGVKVVVAYGGAPITQQLRELERGVEILVATPGRLMDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGERQTMLFSATFPKEIQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNESNTPLARPLSELMQEANQEVPQWLERYAARSSFGGGGGRNRRSGGGARFGGRDFRRDRGSGGGGSYGGGGQGFSSAWD >ORGLA07G0055500.1 pep chromosome:AGI1.1:7:4952058:4953551:1 gene:ORGLA07G0055500 transcript:ORGLA07G0055500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINGVAGAGAGDVDVDVDASAPPPPLHLVMFPWLAFGHLIPFLELAKRLAARGHAAVTFLATPRNASRLAALPPELAAYVRVVSLPLPVVDGLPEGAESTADVPPEKVELLKKAFDGLAAPFAAFLADACAAGDREGRPDPFSRRPDWVVVDFAHGWLPPIADEHRVPCAFFSIYSAAALAFLGPKAAHDAHPRTEPEDFMSPPPWITFPSTIAFRRHEAAWVAAAAYRPNASGVSDIDRMWQLHQRCHLIVYRSCPDVEGAQLCGLLDELYHKPVVPAGLLLPPDAAGDDDDGHRPDLMRWLDEQPARSVVYVALGTEAPVTADNVRELALGLELAGARFLWALRDAGERLPEGYKARVAGRSVVEAGWVPQVRVLAHAAVGAFLTHCGWGSTVENLRFGGLPLVMLPFIADQGLIARAMADRGLGVEVARDDDGDGSFRGEDVAAAVRRVMAEEEGKVFARNAREMQEALGDGERQDRYVDELAERLRRRRSLG >ORGLA07G0055400.1 pep chromosome:AGI1.1:7:4943945:4944433:-1 gene:ORGLA07G0055400 transcript:ORGLA07G0055400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPLLFPSFSLPVDFAWNGTAASKARWGDTGGHLLLTLHAAAAGIDNDDEDGDGAAAFAAVAGSLGASVRARPPPPSVPPQPRPHPRHLPLHATTAGTDDDEEDGGGAAAFAVVAGSLGGSARARALHLPSLPNHVRIRAICCSTPPPPAPTRRRRMVAA >ORGLA07G0055300.1 pep chromosome:AGI1.1:7:4939513:4940637:-1 gene:ORGLA07G0055300 transcript:ORGLA07G0055300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRQGGLPESDAGERRRHHSEDRQSETVVVAVLSFRQLRTETMGHGGSDLGYEGGSSEPASGFCRRLANGRPMRACGLPTGRCDPLFSFTLFFSNPITWMELSAPDVTLLLDAGQWRGTGVVHVGVGGKEDYGRKSSLFGPTTVTLVGAASPLGRSRGISLSMMDVSLGENHVLILENGRNDALGIVSS >ORGLA07G0055200.1 pep chromosome:AGI1.1:7:4924517:4936152:1 gene:ORGLA07G0055200 transcript:ORGLA07G0055200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q8W0] MAATSDSTPAAAAAASSSSSPLHIVVFPWLAFGHMIPFLELSKRLARRGHAITFVSTPRNAARLGAIPPALSSSARLRVVPLDLPAVDGLPEGAESTADVPPEKVGLLKKAFDGLAAPFARFVAEACAAGDGEAAAATAAAGFLRKPDWIIPDFAHSWIWPIAEEHKIPYATFLIVPAALVAILGPRRENLTHLRTTAEDYMVQPPWIPFPSNIAYRRRHEAEWMVAAFRANASGVSDMERFWESEQHPNCRLIIYRSCPEVEPRLFPLLTELYTKPAIPSGLLVPPALDDNDIGVYNRSDRSFVAVMQWLDKQPNKSVIYVSLGTEAPITADHMHELAFGLELAGVRFLWALRRPSGINCHDDMLLPSGFKTRVAARGLVCTEWVPQVRVLAHGAVGAFLTHCGWGSTVESFHYGQPLVMLPFIADQGLIAQAVAATGVGVEVARNYDDGSFYRNNVAAAIQRVMVEEEGKELAHKAIELCGILGDRVRQEMYLYELIGYLQCYK >ORGLA07G0055100.1 pep chromosome:AGI1.1:7:4906959:4908636:1 gene:ORGLA07G0055100 transcript:ORGLA07G0055100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q8V9] MAASASSSSPLHIVMFPWLAFGHMIPFLELAKRLARRGLAVTFVSTPRNAARLGAIPPALSAHLRVVPLDLPAVDGLPEGAESTADAPPEKVGLLKKAFDGLAAPFAGFVTEACAAGHGESTPTAAGFSRKPDWIILDFAQNWVWPIAEEHKIPCAMFSIFPAAMVAFVGPRQENLAHPRTKTEHFMVQPPWIPFPSNVAYRRRHGAEWIAAVFRPNASGVSDADRFWEMEHACCRLIIHRSCPEAEPRLFPLLTELFAKPSVPAGLLMPPPPPAAGVDDDDDDVSMDDQHIAMAMRWLDEQPERSVIYVALGSEAPLTVGHVRELALGLELAGVRFLWALRAPPSASSVNRDKCAADADLLLPDGFRSRVAAARGGLVCARWVPQLRILAHRATGGFLTHCGWSSIFESLRFALPLVMLPLFADQGLGVQALPAREIGVEVACNDDGSFRRDAIAAAVRQVMVEEKGKALSRKAEELRDVLGDEGRQEMYLDELVGYLQRYK >ORGLA07G0055000.1 pep chromosome:AGI1.1:7:4896237:4903087:1 gene:ORGLA07G0055000 transcript:ORGLA07G0055000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit gamma [Source:UniProtKB/TrEMBL;Acc:I1Q8V8] MAQPLVVKKDDDLDEEEYYSPFLGIEKGAVLQEARVFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESSMNSQGGDRPFFDFLESCLRNKAEMVILEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGALVDSLKPRIFVLLRRCLFDGDDEVRDRATLYLKLLGREATVGETEKDVNEFLFGSFDIPLVNLETSLRNYEPSEAPFDISSVSLETKSQPLAEKKTTGKKPTGPASALSGPVPTVDASYEKLLSSIPEFAGFGKLFKSSAPVELTEAETEYSVNVVKHIYDGHVVLQYNCTNTIPEQLLEEVVVFVDASEADEFSEVATKSLRSLPYDSPGQTFVAFEKLEGVLATGKFSNILKFIVKEVDPSTGEADDDGVEDEYQLEDLEITSADYMLKVGVSNFRNAWESMDPESERVDEYGLGARESLAEAVSAVIGILGMQPCEGTDVVPSNSRSHTCLLSGVFIGNVKVLVRLSFGLSGPKEVAMKLAVRSDDPEISDKIHEIVANG >ORGLA07G0054900.1 pep chromosome:AGI1.1:7:4893443:4893700:1 gene:ORGLA07G0054900 transcript:ORGLA07G0054900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLGFLWRRATATACASSERRGWPALAAGDGLAGVTSARVQQRWPSPALIQHRRWEFETELTSTAVGRPDWSPAMEAWWRIVRP >ORGLA07G0054800.1 pep chromosome:AGI1.1:7:4884390:4889537:1 gene:ORGLA07G0054800 transcript:ORGLA07G0054800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGALLGPEAEALVRLRAAAWRLRREVAAATDDDEHWAFAYSMLHRVSRSFAIVIQQLGPDLRNAVCVFYLVLRALDTVEDDTSIPTEIKVPILQEFHRHIYNRDWHFSCGTKDCKILMDKFHYVLTAFLELGSGYQEAIEEITRRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGIGLSRLFHAAGLEDLAPEPLSNSMGLFLQKVNITRDYLEDINEIPKSRMFWPREIWSKYVDKLEDLKYENNSVKAVKCLNEMVTNGLIHTEDCLRYMSALKDITILRFCAIPQVMALATYALCYNNVNVFRGVVKLRRGLTARIINETNSMADVYTAFYEFSSLLAEKIDDNDPNASLTRKRVNAIKETCKSSGLLKIRGYDLDRPKRNPAMIMMLLLLLVAIFLGALYRR >ORGLA07G0054700.1 pep chromosome:AGI1.1:7:4876591:4878837:1 gene:ORGLA07G0054700 transcript:ORGLA07G0054700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNIVRAYGCSGNLAAAAAPPEYRCVTRLRHRRLLTFLWLHGFRSTFEAMAEETKVLVSLPRLERLITSSMWDDAIGYICRFLPPSSGSTGQRRRRGSHLSEEAQTLLLFLHMHKSFADVVAGNKAGAAWSDKHRRLYAQSSGLSPYSHAARIRRSILSFVLSDRTRESLDWGRVREQVAQIVRSLLHSTPELVGFVDLPGGMVKPHNVLPIGFGFRSKRHVRQQSHPQASTIAKLYLEMKRCLPSSGQPQGLSLEGLSNKARSWMADILDLSLRAGCKRSEHHQGYPLQSSEKKGKLLLR >ORGLA07G0054600.1 pep chromosome:AGI1.1:7:4863686:4870951:-1 gene:ORGLA07G0054600 transcript:ORGLA07G0054600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSRSRPAGHSGVFPVSGAVGGGGGGGGGGGGGGGGGDGGVQLADKLKIFKTDNFDPDAYVQSKCQTMNEKEIRHLCSYLQDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSIRNLLNTQAALIHGLSEGVQIDSLTSNTEGSAEDDISNVEDQEPSEIQKWSADFPDMLDVLLAERRVDEALDALDEAERLASDAKLKQTLTATEIAALRRAVSDNRQKLADQLAEAACQSSTRGIELRAAASALKRLGDGPRAHSLLLNAHNQRLQCNMQTIHPSSTSYGGAYTAALAQQVFSVVAQALSDSVEVFGDESCYASELVTWATKQVMSFALLVKRHVLSSCAAAGGLRAAAECVQISLGHCSLLEARGLSVAAVLLRQFRPSLEQALYSNIRRIEESTAALAAADDWILTYPPTGIRPLARSSAANLALQPKLSNSAHRFNSMVQEFFEDVAPLLSLQLGGSTMDDITKIFNAYVNLLISALPGSMEDEANIDGLGNKIVRMAESEEQQLALLANASLLAEELLPRAAMKLSSMNHSSMDDLRKRGSDKQNRMPEQREWKRKLQRMVDRLRDSFCRQHALELIFTDEGETHLSADMYISMDNTVEEPEWAPSLIFQELYAKLNRMASIAADMFVGRERFATLLMMRLTETVILWLSEDQAFWEEIEQGPKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDIIDRAMAAFSATGMNPDSVLPGDDWFMDVSQEVVSMISGKGRAANGDREINSPTASVSAHSMSSFRSHGSS >ORGLA07G0054500.1 pep chromosome:AGI1.1:7:4850239:4853274:-1 gene:ORGLA07G0054500 transcript:ORGLA07G0054500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVWRRGGSCPSHDDQVEADGWIDYDGAARDFCCTDEDQGGDGGRAADVGDVQDDAGAAPAYLGLGVPDGVQGDPSHIHPAAVDPVLVPAGVQAAAAPSNAQQAAYPGLLPGLGSGGTQVVVAGASLGADNAFSSDVIDNMVEGGMWFELDGYLQRFTPRSFSSNDPAILHKILYLICRKGCLKMLLDAKLFEQADSFFASSILPLAAAPTSPYARDDDVQARIHVLQQAVELRDGRLLELPADETAVPKLQQKINDYLRFYLPREIGYTPEPGLRSTMWEFAIKCDNRPYKRGNKPDIRCLLCQKLFLHADITQCMKGHLSKHCPMSTQSSLERFHIALKKEGKKKKPVTDDFEKNKRIKIGGTMPNQQGQLALINHIDSSDIDKQIDAGRKVLDKFRSCENEMCKSALEEMEQVLNNISRSARQQTHENRQVAIGMPPLAPVLPSDAAAGHPQLPAAAAAGPQDLPEAGDVLPQPHQPEPHHLQDAPDLPAAGNPLQPEPHLLQVQAPLENPPFAGPPHQPEA >ORGLA07G0054400.1 pep chromosome:AGI1.1:7:4794852:4798368:1 gene:ORGLA07G0054400 transcript:ORGLA07G0054400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHRHRGAVVLVVAAAAMAMAMSAVRGDFAADRAECADKLMALSTCLTFVQDGASGGAAAPTPDCCSGLKAVLAASRKCLCVLIKDRDDPNLGLKINVTKALSLPQLCNAPANISDCPRLLNLPPNSKDAQIFEQFAKQQAAMQGSPSASPGGSSAPAAGAQKSGAAVLRWLGVDGVGGGGARAVALLLFLLSSAVAVAAPLLLVF >ORGLA07G0054300.1 pep chromosome:AGI1.1:7:4788306:4788701:-1 gene:ORGLA07G0054300 transcript:ORGLA07G0054300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPAAAAAATTGVGEGDFWNVGDGVVYVLDQSGPLLRMDLLLGVLRRLRGGAAVARARDACRSWRAVLSDDLSDPIVDAARRLQRRQEEPRPPRTRKRSGRRAKGDGIPPPRHGRRPRRKPAEFRMVCH >ORGLA07G0054200.1 pep chromosome:AGI1.1:7:4784471:4785730:-1 gene:ORGLA07G0054200 transcript:ORGLA07G0054200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTRQPRSGGRRKRRMAAAGDGGGCVAALMPEEMVREVLLRLPAKAAARFRAVCRPWRATLSDQRFVAAHAARRGALLVATGAPCRTSRGSGGHVDLVGLAGDVVRRTGAEEGVLELSTCGDLACVVGTDRRARVLHPVTGAGADDPLPHDLAEENKPWAGWRLEERFHAFTHAFGRASSTGEYKVLRVASLSPDLRVEQLVEVLALDRAGRAHAGARWRGMPRPPFHLAGASNAGMAVVAGVVHFLAVDIPLPFLPFEHDDDDIHHGAIARFDLDTEQWRPLLRGPLNIHQIQQDNDLSPPLLTLTELKGFLVTVHRDRSHQSSSMDLWFLIDSEEETWVKEYKIQIHLRPREFYAHPLLVLDERMIVFCVRPKGRVMVYDLETGKCKDLGVGDCVEVGVYKGCLLSSGSVVVDNDK >ORGLA07G0054100.1 pep chromosome:AGI1.1:7:4774531:4775496:-1 gene:ORGLA07G0054100 transcript:ORGLA07G0054100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVASYAEGVGKAIVGKIGQLVSDEFRLLSGVRGEIVYLRDDVAIMNALLRMLSEADEGTVVHFVREWMKQVRELAYDAEDCIDLFLLRISFAPPRAGALGRAWRRLVTIGPRHRLAVDIQNLHARALAISERRVRYEVDGQALRPSVWFVPAATAATMSSAAHTLRLHPANEYPSKFLGIGDQVQRLSDLVKSNRLTSDNNEPDVSLKVFSIVGFGGLGKTTLAMEVCRNLEEEFPCQAMVSVSQAFDSRKDLSGLLKRMLQQIVRVRRDLQLQEEKPLANIDDGDADWLAMKLREHLADRRYGRTLALLICPATLVW >ORGLA07G0054000.1 pep chromosome:AGI1.1:7:4766500:4768407:-1 gene:ORGLA07G0054000 transcript:ORGLA07G0054000.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAILTRIPDNKCSSRIIVTTRIEHVARACSPASPGEEYIHRIKPLGSEDAKELFVNRVFGPQKDCPEQFEEIMYKILTKCSGLPLAIVCIASLLGSYTSPGGVEVWTRVCNSTGSLMENNPTLDGMRQILTLSYNHLPHHLKACMMYLSTFPEDYAISRGRLVQKWMAEGLVPEMRGLTSAEVAEAYFDELLSRNMTTAVSYSNDGRPDSCSVHDMMLEVIVSKALESNFVSLVGGQCGSMPYGSVRRLSIQNDDIGSGIDNTNLRHVRSLTVFRPEGHRKLLDRLAEFSLLRMLDLEGCKDLRNKHMKHICRLFLLKFLSLSNTDITKLPSQINKLQHLQTLWLYDTLLDKVPKSLVDLENLKQVGFSNRQDWRKLLRLPQHISKMKAIEELTRFELLSEDAQLAMEIGDLVHLRFLNVVLNCFECSDGQLLTELAKSIGRCSLYELKVEDMEPDSNNMNFLLNLPSPPKLLRYLCIGGNIDRIPSWVKSLTHLVHVEFWWIYLPSDEIYGALYKLPSLTKISLDRWCCSEDELVARTDFKFPLLKVLSFVPDEGTPQVVRFEEGTMPKLETLVMYFHDEKRSLEGVEHLTSLKDVRVRGSRDNHEMGTAVIQLKEENARRHSSNQFKVIVEYE >ORGLA07G0053900.1 pep chromosome:AGI1.1:7:4740601:4743742:-1 gene:ORGLA07G0053900 transcript:ORGLA07G0053900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVHATSSMHANSIFKCPFYPYVFIGNKEKLLRKNKNKRYLIVIDDVWSISAWEAILSRLPDNKCNSRIIVTTRIEHVARACSSASLEEEYYIHRVKPLQFEDAKKLFINAVFGPQQDCPEHLKDIIHKILTRCSGLPLAIVCIGRLLAGYRSPEGAVEMWTRVCNSTGSLMENNPTLDGMRHIITLSYNHLPHHLRACMMYLSLFPEDYVVDKRRLLYRWIAEGLVSEQRGLTPMEVAESYFAELVNRHMIQPSCTETLGTLMGCRVHDMMLDIIVCKALESNFVSFVGGQCRDPSYGSVRRLAIQSDDLGSSIENTNLRHVRSLTTFRPQGHRKLLDRLAEFTLLRVLDLQDCKDLQNKHMKHVCQLFLLRFLSLNGTDITKLPSQINKLQHLQALWLIGTLLIKVPESLVDLEKLEHLGFKNKHDRTILLRLPRHIRKMKALQSLYRFEFREDDAQLAEEIGDLVQLRVLNVVLNCSNCSEAKVLTELAKSMGRCSQNLCKLFLEDMHFNANNMNFLLELPSPPKFLRVLYIGGTIDRTPDWVQSLTQLVEIVFWWTNLPSDEIYGVLYKLPNLSKIILGKRCCSEDKLVASGAFKFPQLKELILGPNDGKPRVFGFEEGAMPKLETLEMNFHKEDMILDGVQHLTSLKEVRLRGWKHNSALHRAVDQLKAHSMSRHRSEQLKIIVTYF >ORGLA07G0053800.1 pep chromosome:AGI1.1:7:4733581:4736582:1 gene:ORGLA07G0053800 transcript:ORGLA07G0053800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hexokinase 3 [Source:Projected from Arabidopsis thaliana (AT1G47840) TAIR;Acc:AT1G47840] MSAAAAIASPIPAAIAVVQQQRRGRSRGGGSGAAAVRCSAVAPTSAIAPILADLRLRCAAPLPVLRRVADAMASGMRAGLADDGAGELKMIPSHVYSLPTGNETGLFYALDLGGTNFRVLRVQLGGKDKRIIDTEFEQVSIPREIMHGITEDLFDFIASGLSRFVATEGDKFHLPQGRKRELGFTFSFPVNQTSIDSGILIKWTKGFAVSGTAGKDVVACLNAAMERQGLDMRVSALVNDTVGTLAGARYWDDDVMVAVILGTGTNACYIQRTEAIPKLQHLKLETGNTIINTEWGAFSDGLPLTEFDREMDDESINPGEQIFEKTISGMYLGEIVRRVLVKMAEVSDLFGHSFPKKLAEPFVLRTPHLCAMQQDTSDNLGEVESILSDVIGVSQASLLARRVTVEVSDCIIRRGGRLAGAGIVGILEKMENDSRGHIFGRRTVVAMDGGLYEKYPQYRRYMKEAVAELLGPERSNRIAIEHTKDGSGIGAALLAAANSKYAAAQISTR >ORGLA07G0053700.1 pep chromosome:AGI1.1:7:4724742:4726444:-1 gene:ORGLA07G0053700 transcript:ORGLA07G0053700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPLCLWALNPATGVTVALPKNHSDEIAAGRGMMMYHGKVESHAFGKISSTGVYKALRIIRFYQRQLCEVIAVDGNNQDMWRKMQGPPATICCSKQMRCVVVDGVVYFMMEFYTSYFEIVVLPVEPGSIASFNLETEKWMTVQGPEVVHRHVQDGDSTYSELNLQLSLADSGGCLVTVHNIPHELDSETGMWVKKFSLPSQFIIVTVHPLLVLDDGRVYTRSVNKEFRSEDPGTGTCATVFEASRSSYKHFERCYGTAVGTGTARYQNPGRWIWPDLTAGRVWYRAVPILSLCSTTRRTEVKRQKRNFACNNRIGRDGRAARTLEALGFSIDRRRRRLLDLRRRLLEVNSAVAAAAAGNDDIDAAASGKDDIDAATAAGNDEFAAAIACFNIATATAAGKDDIDAAAAGKDDIDAAATRNDEFATVAACFNAAATGKDEFDAAAAACFNACRNPPLAAT >ORGLA07G0053600.1 pep chromosome:AGI1.1:7:4720755:4721903:1 gene:ORGLA07G0053600 transcript:ORGLA07G0053600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAGWGLGAVDGVLPPELLLDVLLRLPAGPICRLRAVCRSWLAFTTDPHFIAAHAARHPAPLLAVGVQGFPRLCVDLVDLSGNLVKQILRVGKGRVVSGSSADRVLVAGEDHSVRVLNPTTGSISILPSHRCGGADPSTIAAWFAFGQTASTGECKLVRILLNIDNSRHLSEVITIGDTDGEWRETANPPGYLGWNCTNGVVFKGAAYFILDYCFSDPSFLERGCMPSFDFATEKWSVALQGPLNRILEESNGTLSYHDLANQLMLSGLKGTLCTSHWNDQFYTVDLWFLTDSEKGTWSKDHRINVDAVFHGIGDYLKVQPLLVTDEGKIVLSMQMGSKGVVQIYDPVTDTSSDIIQISIYTGASVFTGSLLCPQSV >ORGLA07G0053500.1 pep chromosome:AGI1.1:7:4716874:4718910:-1 gene:ORGLA07G0053500 transcript:ORGLA07G0053500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDGLPPGLRFEPKDDELVARFLLARIQGKPLPLHGVILDADPLCAPPWRLLADHSRGDDAFFFAEARAKNGKGSRQKRTVEGGGYWQGQRMCVDGERLVVPDGGGGGGVEIAWRKYVLSYFADGEKGSSGWVMHEYAITSPADLASSAMRLYRIRFSGHGKKRKREPDSQSAHDEHGRARCAPQIAMPETALPEDSAPPPQPVHPPAAVVDCVCDVTDQGSSLVFPDQPGSIYEDELQSFVPEFAARNLFVSLPEGSRDVVAEAALIEDLALSPQPVPPPAEVVNQADDSDGADQGCSSVFAALPDLIVLPPEEACGSGGAAPAPSWASSLDNQNDDAPAFFEFPESMDDMVGCFDFASMDNQSCTSAVSEIAVLEEPFLPPPTMVNHDNNSDSDGADQSCFGVGDNSTLVFSDLTGSIDEDELQSFVPEFVSLPQGSCEADAEADSGGGVAPAQFAEFGGPESMDDPLNFPAEASGGGDRAAPASSWVSSQDNQNDEAPMFFELPESLDDMVGCFDFAAMDGQSCTSAVSGTALIEELVLPPAAMVNHHDDSVSDIADHGCSGAVPPPNSEVVDLPNDSVGADQSCSGMVDDSLSGYYEAELKDASGGAGSMMSSPDKQKEHSSSGVMDVEATGFGVPDSMDGLSCIDFAETMDDLSCIDFTIDDELFDLWS >ORGLA07G0053400.1 pep chromosome:AGI1.1:7:4709171:4712868:-1 gene:ORGLA07G0053400 transcript:ORGLA07G0053400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFSPREVEDQFHIYRLKDEIAAVVATIDKIYSHLQLDKGSLEKKNGFCFGLLDPVTNILINGAISELSPATAAQAVVGGGGEKAKDLNNNAAPRVEAGGGSRKRRRRGDNAADLSQRSLDGLTAFLTCLFPYLPDAEARLYLDAADADPIVASLLIIRRRGIREFDLSSQPTEAAVEVALRCAAVVAKHPDPRSLVLGWKQLSPVVEALFGSAPSSPRETTMHVARRVLRRLHKDNAAADPVLRLEGSWELAKRRLTRERLMGIYAGPKWLPPARAHMKRVLLATIHGFYLQAMGRLPTSELCDSYHRSMLMGGHCYGPLDPVSNIIVNTIWYEHNFPASKQFPVAMISTTMLSCIVARSLYGLVSFLCTRYRGLTPDLAMQRLLVTGVNLKAADPNLSPTPSATSRKKRLDFSDCAQVLDNPDTSHIQHSVVEESTPSAGVDESYIAAATAGFHGYPLAQQEFLASPTGLLSKLELVSEVLHIQVCVPGSQSASDGPLSPQKLSLLRTILQRCPSSTGKLHQQQNVACRKEDHPFELHFICGVNELVSGPVRSLGEKVGDYNPWTRDKYYHTHINFLAVCKARLYDPPTLFFAECGKDGADTCWCVPVIPQKPEAGQVRCIYCEYQGNRILHPAMESFHGRDEFEKLFYGSNGSYTNDKLITNSDLEVDWVHGVQDGAIYRDCCPDSDDDEDDWINIF >ORGLA07G0053300.1 pep chromosome:AGI1.1:7:4704931:4706130:1 gene:ORGLA07G0053300 transcript:ORGLA07G0053300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRNRTTEEKAHVDNDALQRFCGAWRDMQCSRRGGGGDAFVVGAVYHIAEDLTDITLMDAYSGEVVRRMDGLSRPGLKVCAGGDMICVVSPGDGLLRVVHVATGDLTDLSMGCSAATGRNVSSGYTLGKVPATGEHKLLHVYAAANGSQSSEVLTITTGGGGGGCQWRATRSHPPMRIEHGISRSSATVGGVVHFLSPATTSAPRDRGVECDTIAAFDLATELWRPALMDGPLPADQRHRGQRPNLGLAALDGRLVAVHHDYPGRTINLWSLTPNDTTWTKLHSLPIKNVLRGWEEEPTSHQAAAAEAKRGGRSKKRKKKKEEEVRWLCREKVAQPLAMVGDGRMAVWARGCEGAVRLHDPRTGACEEVAQVEKCGCVLGFYSGALSPSHAAEMEE >ORGLA07G0053200.1 pep chromosome:AGI1.1:7:4695284:4696510:1 gene:ORGLA07G0053200 transcript:ORGLA07G0053200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGTSRRRGRRGRRAAGRCAGLPLDALFEIMLRLPARDVCRLRAVCRSWRAVASDRAFVDAHASRHPGPYVAASFSDDDGGDESCGVDIVDLSSGDIVKTIYTDVSGSRVQRTRLDLVCLVEGPSPLDATVLDPVTGATYSPAKRISADNEDLLSSGRLIMESCAFGKVPSTGEYKVLRLLGSGNPCELYECEIMTVNSAGALQWRAIQGPQLPVCSSNNMSVWSSNNMRSVVINGVAYFLLDYSRLYCSNDGLLIRPGNIVPFNLETEEWMGILNGPKPVARGRDMIVISSTLEIMEQLSLADLNGSLVMVHAVYGSPMDLWFLSDLEQGLWVKKYSIDFEYYNNNAYPLLLLDDEKIVFLLRGTNVLQSYDLKDDTYTDILVLPDFRSVGIYTGNLLSLEGGLN >ORGLA07G0053100.1 pep chromosome:AGI1.1:7:4691469:4692209:-1 gene:ORGLA07G0053100 transcript:ORGLA07G0053100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTPASFLRPPPLPNHHHHPRIVRLPPPSATFRVADLLGGRGLCNGEVGIRKELASDPPAAPPSTTASSDEPAESPPPAASGVDPDAFDKEMMGLTGGFPGGEVGLKDFVAKNPPPPKPAHRKGLAGGAAATTAERPRAPELPLFLPGMVVLVKNPDNAYHMYCGIVQRVTDGKVGVLFEGGIWDRLITFDLDELEGREKGPPMVNPKSVLLESLAAEMEDDVAKEEEGEEARKKEEEGTAAAA >ORGLA07G0053000.1 pep chromosome:AGI1.1:7:4684642:4687645:-1 gene:ORGLA07G0053000 transcript:ORGLA07G0053000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSACMPEFIFLSYCHLIVIFDXCETGLWSDVRIXTAILLQKLELHQAVATPSDVSSVTGGIIRDLSMMVIPIEHHMVHRGHGIFDFQQGLKISSCSAQPCNCVGRCCNGSTLEHPWCGLKPVRDKAIDDCFRTATPTRIKLGDGGTSFWVDDWFPDSGAVANLVPIVSTSVKPEKWTVASI >ORGLA07G0052900.1 pep chromosome:AGI1.1:7:4682139:4683221:1 gene:ORGLA07G0052900 transcript:ORGLA07G0052900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDVSMMEQGKTTTLPQKVSVTPEHERPEALLDANLKILLMIIVTGVSLGVFVTCLVLAFVLDLTVEGRAMVVFTALVGVVYGVAGYNIIRAC >ORGLA07G0052800.1 pep chromosome:AGI1.1:7:4672869:4675273:-1 gene:ORGLA07G0052800 transcript:ORGLA07G0052800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRPGFTDLELPQLYEVFIVKGGTGQGHARWRGKQGRQFFVEMQKANSGVVVNGVVYFLMDALYDAMIISGLGAGIHPDFIFSFDLETEEWREDIQGPISSSFVFDGDFDPQECFSIWHQLCLAELKGYLVLVYHQRFCSTMDLWFLTDYATRAWVKEYSIQTESFIPVLEYDVKPLLVLDDGRILIWLGSTGLLLIYDPRTSSFAEVKMRHLAEVGIPISTGYFRYLEIRLRAVQWTSISHLDNLIFYEFRKIAAEFAIKDICGLSYFLSIEANKLSGDELMLAQINEKRYLIDLPHQTFRSYTRLLLVLVCYNT >ORGLA07G0052700.1 pep chromosome:AGI1.1:7:4667334:4668515:-1 gene:ORGLA07G0052700 transcript:ORGLA07G0052700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRNRTTEKAHVDDEALQRYCGAWRDMQCSRRGGGGGGDAFVVGAVYHIAEDLTDITLMDAYSGEVVRRMDGLSRPGLRVCAGGDMICVVSPGDGLLRVVDVATGDLTDLSMGCSAATGRNVSSGYTLGKVPATGEHKLLHVYAAANGSQSSEVLTITTGAGGGCQWRATWSHPPMRIEHGISRSSATVGGVVHFLSPATTSAPRDRGVECDTIAAFDLATEQWRPALMDGPLPADQRHRGQRPNLSLAALGGRLVAVHHDYPGRTINLWSLTPNTTTWTKLHSLPIKNVLRGWEEEPTSHPAAEAKRGGRSKKRKKKKEEEVRWLCREKVAQPLAMVGDGRMAVWARGCEGAVRLHDPRTGACEEVAQVEKGGCVLGFYSGALAQGEEF >ORGLA07G0052600.1 pep chromosome:AGI1.1:7:4655389:4658739:-1 gene:ORGLA07G0052600 transcript:ORGLA07G0052600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucosamine mutase-related [Source:Projected from Arabidopsis thaliana (AT5G18070) TAIR;Acc:AT5G18070] MAELAAGGDQRAALLAAATLFPPPPDGARFSYGTAGFRAEGAAMGPAVCRAGVVAALRSAKLGGAAVGVVITASHNPVRDNGVKIVDADGGMLSQDWEPFADALANAPNPDALLQIVLQFAKDEDIKLGGSQSAQVLLARDTRPTGEYLLDVAVKGVNAVIGAVAVDMGILTTPQLHWMVRSKNKGLKSSETDYFSQVIDSFRCLLELVPKDKEADAINNRLIVDGANGIGGLKLEEIKAKISGLDIHVRNSGKGEGILNESCGADFVQKEKVVPLGFGPEDVGFRCASFDGDADRLVYFRIVSSSDTRIDLVDGDKILSLFVLFIREQLDIINGKDNKGNEVLPTRFGVIQTAYANGASTDFLKNIGLEVVFTPTGVKYLHKEALKYDIGIYFEANGHGTVLFSDHFVSQLESLTSEFSSKAAGSSQHQAAMRLLATSQLINQAVGDALSGMLLVEAVLQYKGWSFQNWCDLYTDLPSRQLKVKVQDRNSIVTTDAERRVCQPNGLQELIDGEISNYSHGRCFVRPSGTEDVVRVYAEASSEEAADSLAKRVAQHVERILG >ORGLA07G0052500.1 pep chromosome:AGI1.1:7:4646642:4647955:-1 gene:ORGLA07G0052500 transcript:ORGLA07G0052500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSQCAKVSGEAGTTASPSAAGGGGGGAALPADVLFEVLLRLGPKDVCRLRGVCRSWRALTTDATFVTAHAARHRGPLLAGGVLDFDAFPSVDVLLMDLSGSVVKRIRHAATHLVLPTTNLDLLCVTEVYTCRATLLNPVTGAAVHLPEPLSTPHSLRGRSLSDFDGSFKYGRDSNGDYKVLRVLTDCHNKQCPEQLFEILSLDESSRYHMRWRAKKALPMCVRRDAIGGVVINGVVYFLLDGRPNGVKNAHRESYEMDHMALFDLCSERWISYLEGPMATHPEMNNIDEILPEPLEMSVYQNLSLSELSGALVVAQYTDYRSAEIKSYVDLWYLMDSEKQIWEKKYRIVLEMDTWDTEHIFPHAHPSLILDDGRILIYITVHLANFEGQYARRVMRLYDPETDTLGSDLVDVKNIHSIGFFSGSLLSIQNGQGL >ORGLA07G0052400.1 pep chromosome:AGI1.1:7:4633604:4637053:-1 gene:ORGLA07G0052400 transcript:ORGLA07G0052400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSSASAAAGASCRRRAIEVLAAVLVLYAVLVFVLESPLVSTSLSGGGGGGGGGGGGARYLRLAGGGVRAAPARPAKEPRLAASASVSGPSARGRRLSGMVSGLDLGLLNSSRAGPLRRSIAGAVETGARVFAELEDLDTAAFESPSAEGEAEAAKCPQSVMRSADEFHGRGRVVELPCGLTLGSHITVVATPRPAHAEGDPKIAVLKEGEQPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGTPLRCEGWKSNSDEETVDGFVKCENWILNADERSKESTTTWLNRLIGQKKEMNFDWPYPFVEGRLFVLTISAGLEGYHVNVDGRHVTSFPYRPGFVLEDATGLSLSGDLDVQSVFAGSLPTTHPSFSPQSYLDMSTVWQSSPLPNEPVDIFIGILSSGNHFAERMGVRKTWMSAVRNSPNVVARFFVALHGRKEVNVELKKEAEFFGDIVFVPFLDNYDLVVLKTLAICEYGVHVVSARYVMKCDDDTFVRLDSIITEVNKVQSGRSFYIGNINFHHRPLRHGKWAVTYEEWPEEVYPPYANGPGYVISSDIAGAIVSEFRDQKLRLFKMEDVSMGLWVEQFNRTRPVEFVHSTKFCQFGCVDDYYTAHYQSPRLMLCLWQKLLDGKPQCCNMR >ORGLA07G0052300.1 pep chromosome:AGI1.1:7:4626893:4630002:-1 gene:ORGLA07G0052300 transcript:ORGLA07G0052300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPSRSRGDFDHLIKLLLIGDSGVGKSCLLLRFSDDTFTTSFITTIGIDFKVRTVELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKVDMDTKRVVSTAQGQKLADEYGMKFFETSAKTNQNVEQVFFTIARDIKQRLTETVAAAAEPPTIQISRQEPDQAAASSRWSACCNT >ORGLA07G0052200.1 pep chromosome:AGI1.1:7:4610395:4614269:-1 gene:ORGLA07G0052200 transcript:ORGLA07G0052200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRKRLGRAALLLAAAAYLAFLLLFELPSLDLFPSSDAAAGAAMPTHRPRRRELEASSSSSAFASPVLRRPATAVSPAPASAAAAAAGALPIFSSLLLLPRPNATATPFDGTAAEAFAAARPHLDHLRTAAAAAAEEASSSSTAPTCPTSISVHADGLPGDGVRTVELPCGLAVGSHVTVVARPRAARPEYDPKIAERKSGQEPLMVSQFMVELVGTKAVDGEAPPRILHFNPRIRGDYSGKPVIEMNSCYRMQWGQSQRCEGYASRPADETVDGQLKCEKWIRDDDKKSEESKMKWWVKRLIGRPKDVHISWPYPFAEGKLFVLTLTAGLEGYHVNVDGLHVTSFPYRTGYTLEDATGLSLNGDIDIESIFASSLPNSHPSFAPERYLEMSEQWRAPPLPTEPVELFIGILSAASHFAERMAVRKSWMMYTRKSTNIVARFFVALNGKKEVNAELKREAEFFQDIVIVPFMDSYDLVVLKTIAIAEYGVRVIPAKYIMKCDDDTFVRIDSVLDQVKKVRSDKSVYVGSMNYFHRPLRSGKWAVTYEEWPEEAYPNYANGPGYVISADIARYIVSEFDNQTLRLFKMEDVNMGMWVEKFNNTRRPVEYRHDVRFYQSGCFDGYFTAHYQSPQHMICLWRKLQSGSSRCCNVR >ORGLA07G0052100.1 pep chromosome:AGI1.1:7:4607268:4607669:1 gene:ORGLA07G0052100 transcript:ORGLA07G0052100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRRGSGARRRQWRERRERRQRERRERHTPSTVTHLHHHRRVRSWQRTAGAAAAALTVEDGDDVEAGALVRDSGGEVGPLLRGQHGGCPLHLPHGSPMAGARSPRRWPVLVAAACWCWSGVVRPRRSHRRR >ORGLA07G0052000.1 pep chromosome:AGI1.1:7:4601936:4602343:-1 gene:ORGLA07G0052000 transcript:ORGLA07G0052000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASSSAGAAFPRQIGHEAWDESHISMHSWWSCLTISPSSTALRQTAHSTDVAVSETSPLSWARTYRNDGRHAMVAASRPNLGGISCCCSCSMTSGRSRRRRAMRRDRGQMKMVMRKKLRANDTAKAPNNIVVL >ORGLA07G0051900.1 pep chromosome:AGI1.1:7:4597761:4600069:-1 gene:ORGLA07G0051900 transcript:ORGLA07G0051900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVMMAMRKRMRGALLALALLLTATAVVPLLLLGEAGDDGVGAVAAAPPFNASRVRAVSWRPRVFVYKGFLSDDECDHLVKLGKRKMQRSMVADNKSGKSVMSEVRTSSGMFLDKRQDPVVSRIEKRIAAWTFLPEENAENIQILRYEHGQKYEPHFDYFHDKVNQALGGHRYATVLMYLSTVEKGGETVFPNAEGWENQPKDDTFSECAQKGLAVKPVKGDAVLFFSLHIDGVPDPLSLHGSCPVIEGEKWSAPKWIRIRSYEHPPVSKVTEGCSDNSARCAKWAEAGECEKNPVYMVGAEGLPGNCRKSCGVCDS >ORGLA07G0051800.1 pep chromosome:AGI1.1:7:4596216:4596488:-1 gene:ORGLA07G0051800 transcript:ORGLA07G0051800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFARSARRVSATTLAILFGGLILVSLLVETSAKPPSPGSSVLGVGGRRMMINGGLVHSHRSLEDFNAGDAFSSMKRRVPNGPDPIHNR >ORGLA07G0051700.1 pep chromosome:AGI1.1:7:4590006:4590653:-1 gene:ORGLA07G0051700 transcript:ORGLA07G0051700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAVVLLLLFGLAAVAVTAARIMPDDDCGDTANAAGAAGVGEAKTAFGGSDGRGGLFGAGGGPFGGFGGGVGLGGGGGGFRPGKIHVADYLGPPVSQWKG >ORGLA07G0051600.1 pep chromosome:AGI1.1:7:4583445:4588047:1 gene:ORGLA07G0051600 transcript:ORGLA07G0051600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1Q8S4] MQGGGAAASAAATQQHRELLERYELVRVRGRGSFAQVWEARHRRTGLSVAVKILNLAGLLASGIPIRKVEREIAVMRLLNHPHIVRFHEAIAGGDGGGHVYIVMELATQGQLYDYVTQLGRLREDDARRIFQQIISGAEYCHHNMVVHRDLKLENILMDSEMNVKIVDFGFSKFFRHNKVLSASCGSREYAAPELLAGRKYVGPPVDVWSCGVILYILFCGRLPFDSADVSELHRIIKRAEFSIPPYVPDDARDLISSMLIVRPDKRLTITEVRTHRWLQHSIPRYLAMPPLNARTQITRIDAETVDKVVGHGFERRYLVESLENRVENEATVAYNLILNKKFDAPTRYVWTIDVYQEAGQSNTTGAAEATGSSAAGEPPVAVAGEDDGRNNGWALGGVEFHECPREAMRAIAAALRETGVVYAHDDDDRGRYGKLLCARFAGAAGVRRIIRSYLAATDDAPSSSSSAASAGGGSGRGEAGHGGGAPVDDAVLESLSAAVFFEIQLYKSEGEGNYLMDLKRLSGPQLQYLNICSELSSKLRSIN >ORGLA07G0051500.1 pep chromosome:AGI1.1:7:4576443:4579680:1 gene:ORGLA07G0051500 transcript:ORGLA07G0051500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKEDFTKRYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCK >ORGLA07G0051400.1 pep chromosome:AGI1.1:7:4563674:4566348:-1 gene:ORGLA07G0051400 transcript:ORGLA07G0051400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPSLAAELWRPHHHRHHLEASSVVTDQGSGSRGGGGSGRRRPRRDAGPEDDDSSKVVSTSAASGGGGGGGGDSVAPEAKRLKPMKSSDKNDSLRTEAGTDSGNSSKAADKNATPPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKASVLDEIINYIQSLQHQVEFLSMKLEAVNSHMNNGIVAFPSKDFGAQPYNTAAGLTFDPQTTREFAQGSTSEWLHMQIGNAYERVT >ORGLA07G0051300.1 pep chromosome:AGI1.1:7:4553271:4559210:-1 gene:ORGLA07G0051300 transcript:ORGLA07G0051300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSSSSPPASPSHPNPSPPPHADPRSAPPMPSSADADAPSSPPPPQQEAAAEGEEEEEKKQQREEEEEAPAPAPAPAPEPAPRKARLPRACNSKPKPPPPPPPERPRRRAAAGGGAGGAEESPQCRVVTPLVSEPEAPAEMPRWRLRCMWELASVLNFLHVYRPLLNISGEFTAEDLEEALITPNSTLDDVHMPLLKSIPPVTRMAMGRGTWVTVLCRKLRDWWHWVAEGDIPIVASHGTEIEAYKVLEPATRLIILKAICDIRVEQEDIRNFIDSSLKHGYDLSTFRKERIGGDAYGISYWYEDDPILGHRLYREIRRVEQLKKEPGKRSRGKGGSIILPVVSYQWETVASNFDEFDDVAEKLFSSRNRTEANLGKKLKIEYLPDIEKIHKKKERLLKKQQREALLIDSFLAPDGFTTGRSLRDRKPVTYTFDEYDRSISEAIKITKKGENSAEPAAPANRRILTPRPEASSNGKVNGLSPTTNEYDGNSSKSDDYRDSDGEEESETLDRSNRRRRRSQRYTRDFVEAVSDIDPNFDSDDEIMGEAVYDEEYLRTRKQQKTSSASEEDEEFRLEEDAEDDDEEEEEYSLSTSEDLEEPQQRKKLQTRGRRGAKLRSVDEIQTGLRRSKRSSRQRINYQQYDYSDTDTEGGKEGKSDASDPDAGFDAENDTELSTSSQEQEEDEDDGPEEQKDNSDDNKMDEDHVMVENKEEQEEQPQPPPLPPQQPVEKMEAPSREIETVGRTFLDLNELAPGGGFDDGPSLTMKDEDMDNS >ORGLA07G0051200.1 pep chromosome:AGI1.1:7:4546281:4546775:-1 gene:ORGLA07G0051200 transcript:ORGLA07G0051200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMQWITTVVRSCPLCVLLLVQVTHWPNGDKELLHRRDVKYSLTKALVAFYPFAGQLGVDGAGHIQIDYTGHVPPRLRCGGVVLSLASIARASLLPPTETPHLYRDSDVLRPQAAHHMCHVTTTKPLF >ORGLA07G0051100.1 pep chromosome:AGI1.1:7:4542588:4543640:1 gene:ORGLA07G0051100 transcript:ORGLA07G0051100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMLLDLKPELASRPNDRQQSALHVAAVNGSIAAATEILQHSPDAAESKDKDGRNAVHVAVSNVDTLRGLLKVIGPAEVINQGDSAGNTPLHLAAKMAHVQSTLTLLKDPRVNPCLLNRDGHTARSLVEERLAVGEMDAYVVYLWEKLKKQEESRCKNLQHLPPVATYQSLRRRSHRSAGSGNGDYFELGVGTYTLVATLIATVTFAATFTMPGGYNQTSGLAIHADRAAFDIFLVSNTVAMCSSITVVFCFIWAWRDPVKFNLEHLRWVHMLTVIACLAMIVSLMTSVYLTVLPTKRWPAYLVITIGACTPVVVILILGKEAFYIPFVQKTVLPVDVKSHNSNGDIQI >ORGLA07G0051000.1 pep chromosome:AGI1.1:7:4536808:4537246:1 gene:ORGLA07G0051000 transcript:ORGLA07G0051000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNSECNTPLHEAVKQRRSAVALRLLEAEPKCGHTPNVDMQTPLHIAAREGLTDVVEKILDIPWVPEKFVATANVRGTALHQAVLGGHTPNI >ORGLA07G0050900.1 pep chromosome:AGI1.1:7:4517419:4517661:1 gene:ORGLA07G0050900 transcript:ORGLA07G0050900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPLFPNAPAPPPPHQPLPPTGCTGAATLLSTSDAGPTLSPPTPLTRSSAVAAAGLPRQPSPPPSYAGAAHWAAPRRLLL >ORGLA07G0050800.1 pep chromosome:AGI1.1:7:4506626:4513231:1 gene:ORGLA07G0050800 transcript:ORGLA07G0050800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLHKAAVQGNTASLAALLGEKQLGAKILNSTTPQGNTALHIAAGLGRVAFAEAAAAEHGDLLVARNDQGDTPLHLAARAGKMAVADMLITFITMAGACWPEEEPLMMMNKTRNTPLHEAVKQRRSAVALRLLAAEPNCGHTPNVDMQTPLHIAAREGLADVVDKILDQPWVPEKFVTADNVSGTALHQAVLGGHTRVVEILLMKTAPGLIDLTDAVGNTALHFAAQKNDKRMVRMLLDHRPDLAHRRNERQQSALHVAAYYGSTAAAAELLRHSPDAAEMLDREGRNAVHVAVSSGKVDALRCLLGRVRPAEVVNRGDNSGDTPLHLAAKMARIKSALMLLRDPRVDPCLLNRDGHSARSLVEERVAGGEMDAYVVYLWEKLKKYESRRCKNQQLPPVATYQSLRSRRPGSGSNDEYFELSVGTYTLVATLIATVTFAATFTMPGGYNQNTGLAIHADRAPFKIFVVSNTVAMCSAIVVVFCFIWAWRDPVKFKLDQLTWGHRLTVVACLAMIVSLMTSVYLTVLPTERWLAYLVIAIGACTPAVVILILRWEVFYVPL >ORGLA07G0050700.1 pep chromosome:AGI1.1:7:4499683:4503459:1 gene:ORGLA07G0050700 transcript:ORGLA07G0050700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSASSTGAVLLFAIAAVLLLAVRDGHCAQLCMDSTFPRTVNGSLTFCGYNGTACCNSTDDAAVQRQFAAMNISGTPCGELVKSILCARCNPYAGELFTVTTSPRTVPRLCNSTGVASRLSGGKAAAAAVTDYCTTVWDTCKAVRIPGSPFQPPRGGAAAPTLTDVWQSSGDFCTALGGAPGGGGAPCFDGESAAFDASRVAPPASGMCLERLGNGSYLNMAPHPDGSNRVFLNNQAGKVFVATVPAQGSGKPLQVDAAMPFLDITDEVHFDNEFGLLGLAFHPEFAKNGRFFVSYSCDKTQSASCSGRCACNSDVGCDPSKLTADNGAQPCQFQTVIAEYTANASSGSPATATAANPAEVRRIMTMGLPFTTHHGGQILFSKADGYLYLMMGDGGSVGDPWNFAQNKKSLLGKIIRIDVNALPTGNSTAGWGNYGIPKDNPFSTDSKFAPEVFALGFKNPWRCSFDSGKPSDLYCADVGQSSYEEVDLVIKGGNYGWRVLEGTTAYLPLASPGGNTSAADIDAIPPVMGYAHSAVNNNVGSASITGGYVYRSGTDPCLAGRYLYADLYAQSAWAGLESPPGSGAYDVTPLPFACSGRSPIPCDAAAARSTLPSLGYIFSFGEDNAGDVYLLTSKGVYRVVDPAECGYACPIKSSAPGTSPPPGSSPSGGAAAAVVPAAAATMAALLLMGALLAL >ORGLA07G0050600.1 pep chromosome:AGI1.1:7:4489997:4499279:1 gene:ORGLA07G0050600 transcript:ORGLA07G0050600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G62640) TAIR;Acc:AT5G62640] MKTTKGGKVMNPTDAFRKEQRKKELKRNKKERKKVREVGILKKDPEAILEQIEKLEKMKADGALDKARKHKKRQLEDTYNLIVKKRKEYEEKMKEKGEQPIMFSHLGPPKRRPAAEEDDRAKNPKPEDSVYYHPTLNPSGAPPPGKPPMYKSSIGPRIPLPSSSAGASSSMPGTEEAGPSTLPPPPPPPPLPASSEPVDPSAASLPPLPPPPPPPPKPANIAGAPGLPLPPPPPPPPGPPPREIVPGQTLLPPPPPPRPLQPSPLAGTNEFANKQTIGEGASLTDSAQAKGALPPPPPGLIRNSSEMQNANEVPGLKEDDKVTRILPPPPPQPSHLPPLPPRPPTMPSMQPDMLAPGVPRFPPPPPPPDTRPPFMAPGVNARPLPPPPPGLPPAQMQMAPFGVPPGPPPMLPPPFYPGPPIQTGDFAAFGPRPNVPQQPSYVKSAAPTVVKRPLAQHTPELTAMVPASVRVKRESALPKPKPKVQQSATTSSSALKPSVAPIRSEPRPSSSVSKPQSIDDSYMAFLEDMKELGALDEFKSENIQLIGALGICSEQIL >ORGLA07G0050500.1 pep chromosome:AGI1.1:7:4485686:4487185:-1 gene:ORGLA07G0050500 transcript:ORGLA07G0050500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARRMRRAAAAAGVVERFAGMGSALAGVMFVWSMLSPLLPRQLFEHFVGRFLRRHARRLAGLVDPYLTVTISEHCGERMKLGDVYEQAKAYLSHRCARRARSLRAERAARDGGGDRFLLTMGDGEEVYDVFQGATVWWNSVSSGGGRRYESPWFGGGGVVYDDDRRAYRLLFHRRHRDLVVDSYLPHVCREGRAIMLRNRRRKLFTNAGGDRYRKSAWSYVAFEHPSTFDTLAMDPAKKKDIMDDLDAFRDGKDYYARIGKAWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDVELTSVATNTDLRRLFIETKGKSIIVIEDIDCSVDLTGKRKKRSPHAAAAAAEPVDAAKDESASKVTLSGLLNVIDGLWSACGGERIVVFTTNHVGKLDPALIRRGRMDKHIEMSYCCFETFKILAKNYLAIDAHHLFDDVRSLLQDARIKITPADVAEHLMRKCATAAADEAAACLASLVKALEKKAKGKETVEEEETVVDE >ORGLA07G0050400.1 pep chromosome:AGI1.1:7:4480014:4481615:1 gene:ORGLA07G0050400 transcript:ORGLA07G0050400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAETAAAATATAGGCRVVRWRRWTFASLGALLSNLGPVWFLVAPLLAAYAPRRLLLTYFNLVLRRRARRLLAAVDPYVTVDIPDPGAADAHQQYYHHRSRLGGRRAGDNAYEEVKAYLSAACSSEARELRAEAAAEGRGLVVSMRDGQDVADEFRGATMWWSSVDEEQQGGGARRRSQRLTFHQLHRRLVVDEYLPHVRRRGRELLFHNRRRRLYTNNKSLSYSSVYHKAWSYVNFDHPTTFETLAMEPAKKAAIMDDLDAFRRSGEFYRRAGKPWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDVELTMVSDNNDLRKLLIETTSKSIVIIEDIDCSLDLTGDRATRRPGEIRGGGSMVTLSGLLNFIDGLWSASGGERVVVFTTNHVEKLDPALIRRGRMDMHIEMSYCRAAAFRTLAKNYLDVDAHHLFDAVDDILDKEDITPADVAECLMAAKRSSDSDVTSSLEFLVDELNKRAMENAKAVAEAKARAEAEAEAKAMADDDSEEDDDNYSDDYTDDDDYDDD >ORGLA07G0050300.1 pep chromosome:AGI1.1:7:4474944:4476665:1 gene:ORGLA07G0050300 transcript:ORGLA07G0050300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAVTTTTGARWRSWAFANMGTLLAHFGSLSFFLGPLLAAYAPRRLLLTYFNLFLRRRARRLLNAVDPYITVDISECPAAARYYSRYDPVDARDTTYDEAKAYLSATCSSEARELHAEGAEEGDGLVISMRDGQDVADEFGGATMWWSSVAAEQQAAPPPPQGAAERRCLRLTFHMRHRRLVVDEYLPHVRREGREVLFSSRRRRLYTNNKMSEYASYSDEKAWSYVDFDHPTTFETLAMEPAKKKAIMDDLDAFRRSREFYRRTGKPWKRGYLLHGPPGTGKSTMVAAMANYLDYDIYDVELTVVGNNNNLRKLLIETTSKSIIVIEDIDCSLDITGDRAARRPRPPPSYRDGHDRRSSDVTLSGLLNFIDGLWSACGGERIVVFTTNHLDKLDPALIRRGRMDMHIEMSYCGFEAFKTLAKNYLDVDAHHLFDAVEELLRDVNLTPADVAECLMTARRSGSDDTSCLEICVDELKKRAEERAKEEAEAKAREEAEAKAMAEFEEKAKEKALAKAKAVVDAAAAAAAAAATTATAKPNATKEEEEEEEEEEEEEDSQEESSADTGQFTE >ORGLA07G0050200.1 pep chromosome:AGI1.1:7:4454325:4456758:-1 gene:ORGLA07G0050200 transcript:ORGLA07G0050200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQHDKATPLIAGLTVAAAALAGRYSIQAWNAYKARPVVPRMRKFYEGGFQPTMTRREAGLILGVRENAHPEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDILLGKTKGGGSAF >ORGLA07G0050100.1 pep chromosome:AGI1.1:7:4451825:4452166:1 gene:ORGLA07G0050100 transcript:ORGLA07G0050100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKDAGVVDDGGNGVRLQDRVAMAEFCWIVLGFFRCTAEGALVRVVAVFYVVVLLPMLCLEVFPRAPPPVSLLNAAMGVLLMYRLYLVLRFEYFEEKKKQEEEDQAAAAAAS >ORGLA07G0050000.1 pep chromosome:AGI1.1:7:4445265:4446812:1 gene:ORGLA07G0050000 transcript:ORGLA07G0050000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPAASAWSSVNSGIVLSLAAVLWTVVWNSLQSLQLHHLVGRHLARHARRLAAVVDPYLTVTVAEHDGGRMKRSDAYREVQAYLHRATCDASAGVRHLRAEPAKNPDAFVLSMADREEVADVFRGGVTVWWLAYSTPLREDDAGGGFYWGGRAARADRRFYRLSFLERDRDVVLGEYLPHVRREGRAAMVRNRQRKLFTNLAGDTWGDDGGWCESVWSHVVFEHPKTFDTLAMDPARKKDIMDDLDAFRNGKEYYARVGRAWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDIELTSVRTNTDLRKLFIETTSKSIIVIEDIDCSLDLTGKRKNKKKKDAAAAKNDTDGDKKESPPSEEEEKDKEGSKVTLSGVLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHIEMSYCGFEAFKFLAKVYLGIDAHHLFDAVRALLRDVDMTPADVAENLTPKAAGDNADTCLAELVKELEKAKADKAQAKGKAAAAAAEEEVDGDDDEE >ORGLA07G0049900.1 pep chromosome:AGI1.1:7:4425224:4438598:1 gene:ORGLA07G0049900 transcript:ORGLA07G0049900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MSLSSTSTSSLLLLLSPRGNPRRLLPLLLPLRLSSSSSSAAAAAAAMPPRRDRHHGKQPQQQWKPKATDPAAAADFAGGVERMTISPSPQGGASQVWVPRGYATSASSSSSSSSAAAAEQRIDAEKLSRVFKAAPNFEVDNNTFIQSQIRATFYPKFENEKSDQETRTRMIEMVSHGLATLEVTLKHSGSLFMYAGHHGGAYAKNSFGNIYTAVGVFVLGRLFREAWGKEAPRMQEEFNVFLEKNCISISMELVTAVLGDHGQRPKDDYAVITAVTELGHGKPKFYSTPEVIEFCRKWRLPTNHVWLFSTRKSASSFFAAYDTLCEEGTATSVCKALDEIADVAVPGSKDHVKVQGEILEGLVARIVSRESSVQIEEVLRNYPLPPLDGVGSDLGPSLREICAANRSDEKQQIKALLENVGPSMCPDHSDWFGCSGLDDHQSPSANRSVVTKFLQAHPTDYTTKKLQEMIRVMKQRNFPAAFKCYWNYHKIDSLSNDSLYYKMVIHVLSDSVFRRYQQEMRRNQGLWPLYRGEISLLLLNYLPSKXXGHISYYSYIYYDTIDXPMFHCXVSLLMXTCSRLIIXNLPYLWKTLTPHXKTINGALDSNSSAKDGLADEDSNLMVKLKFLTYKLRTFLIRNGLSTLFKDGPSAYKTYYLRQMKNWGTSASKQKELSKLLDEWAVYIRRKYGNKPLSSSTYLSEAEPFLEQYAKRSPENQALIGAAGDLVQTENFLAILEAKRDEEGDLQAERGTAPPSPTSTSLDVVPKAEGLIVFFPGIPGCAKSALCKEILTTPGGLGDNRPLHSLMGDLIKGRYWQKVADERKKKPFRITLADKNAPNEEVWRQIEDMCRTTKAAAVPVIPDSEGTDSNPFSLDALAVFMFRVLQRVNHPGNLDKASPNAGYVLLMFYNLYDGKSRREFESELYERFGSLVKMPLLKPDRAPLPDEVRAILDEGISLFRLHQSRHGRAEPSKGAYAKEWAQWEKRLRQVLFANTDYLNSIQVPFDFAVKEVLEQLKSVAKGDLKTPDTAKRKFGNIVFAAVTLPPADILGALPKLAEDTDANKFLSNTKLADNLTKAHVTLAHKRVHGVAAVSSYGVYQNHQVPVIFNAFLFSDKMAALEVELGTVNGEKIASRNDWPHATLWTAPGVAPKEANTLPQLVTEGKAKRVAIDPPITISGVLDFY >ORGLA07G0049800.1 pep chromosome:AGI1.1:7:4423034:4423532:1 gene:ORGLA07G0049800 transcript:ORGLA07G0049800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRYAYPYPSQGYYNQGPPVMAPPHAQYQYQYAQPPPPPRQPGFLEGCLAALCCCCLLDECCCDPSVIFVT >ORGLA07G0049700.1 pep chromosome:AGI1.1:7:4416279:4417984:1 gene:ORGLA07G0049700 transcript:ORGLA07G0049700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFERARTVRLRGHHDKYLYAEEDESRVSQDRSASSPNARWSVEPVPHAPGVLRLRSCYGRYLSASNEPFLLGVTGRKVLQALPHRLDSSVEWIPVRDGAHARLRTRYGNYLRANGGLPPWRNSVTHDVPHRHAGWILWTVEVVEVLPESLVPASIAADDDPAAPHYKTPSRGPSPVPTPALAPASPPRHLPASPPSYRARPPPPPPGYIEPPQGYIEPPPPEPTLARIESTESFSLPLHKVDGRAIHYHIGDDKGDIGDDQEGHSFTFNGTSLEELLERLQEETGLNDVIICSRSPINGKLMPLRLQLPPNNAAMHIVLVRESSKVAKSFP >ORGLA07G0049600.1 pep chromosome:AGI1.1:7:4413015:4414667:1 gene:ORGLA07G0049600 transcript:ORGLA07G0049600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G59720) TAIR;Acc:AT1G59720] MLSLAASSLPSTRTHRPDAASHSSSSSVRLLRSLARSRRADLAHRALLLFRSLQSTPSPPPPHVSLPAVLSAAAFLSALPEGRQLHALAAKLGLAPSHTVVANSLLHLYSSCGLPGAALDLFRRIPDRSLVSWNTAVDALVGNGDHLAALDLFREMQRDTELAPDAYTVQSVLGACAGAGALSLGVYAHALLLRELGGDGDGEAVSRDMLINNSLVDLYGKCGALELAQQVFDRMPARDLASWNVMILTLANHGRVCESVELFDRMTQVEKMAPNAITFVAVLSACNHGGLVEEGRRYFAMMVDQYRIKPRIEHYGCMVDLLARAGFIEEALDIVAGMNCRPDAIIWRSLLDACCKKNAGLELTEAMAKLALEVPDDAVSGVYVLLSRVYASAQRWNDVGMVRRLMVEEGVKKEPGFSSIEMDGLVHQFVAGDTSHPQSEAIYEKLDEIQLKLTSAGYKPDLSEAPLVASIENAKGAALRLHSERLAISFGLLNATPGAPIRILKNLRVCKDCHTISKLISKLYGVEIIVRDRIRFHHFKDGSCSCKDYW >ORGLA07G0049500.1 pep chromosome:AGI1.1:7:4401181:4406800:1 gene:ORGLA07G0049500 transcript:ORGLA07G0049500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:I1Q8Q3] MAEKGDNLEAVLNESVDLENIPLEEVFEHLRCNREGLTSANAEQRLNLFGPNRLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRNGRWSEEEAAILVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAIGMVVEIIVMYPIQHRDYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFQRGVDQDTVILMAARASRTENQDAIDATIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDGEGKMHRVSKGAPEQILNLAHNKTEIERRVRAVIDKFAERGLRSLGVAYQQVPDGRKESPGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETARRLGMGTNMYPSSALLGQDKDESIVALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVDDSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLSEIFATGVVLGSYLAMMTVIFFWVAYKTDFFPRVFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFFVAQLIATLIAVYANWGFASIKGIGWGWAGVIWLYNIVFYLPLDIIKFLIRYALSGRAWDLVLEQRIAFTRKKDFGTQENQLKWATAQRTIHGLQPAATAAVFRDMTSYNDLNQLAEEARRRAEIARLRELTTLKGRMESVVKQKGLDLETIQQSYTV >ORGLA07G0049400.1 pep chromosome:AGI1.1:7:4396357:4396545:-1 gene:ORGLA07G0049400 transcript:ORGLA07G0049400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSLELRRRWPQALSLVLPTPISSLHTTILGSSCAPSATSPLSVLVGGGGGLARDRPRR >ORGLA07G0049300.1 pep chromosome:AGI1.1:7:4376268:4378908:1 gene:ORGLA07G0049300 transcript:ORGLA07G0049300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPATSTVPPTSPLPHSRAAPRRHLRAGLLHGSVATAAVVRACGVTTAHRRRMGSVQASPGAGGWEVAMADKEGVGVERLVEVAQRAADAAGEVLRKYFRQRVEIIDKEDQSPVTIADREAEEAMVSVILKSFPSHAVFGEENGWRCVEKSADYVWVLDPIDGTKSFITGKPLFGTLISLLYKGKPVIGIIDQPILRERWVGVDGMKTTLNGQEISVRPCNVLAQAYLYTTSPHLFAGEAEDAFIRVRDKVKVPLYGCDCYAYALLASGFVDLVVESGLKPYDFLSLVPVIEGAGGSITDWKGNKLHWPVSAESRPESFNVVASGDARVHKQALDALQWH >ORGLA07G0049200.1 pep chromosome:AGI1.1:7:4368545:4375402:-1 gene:ORGLA07G0049200 transcript:ORGLA07G0049200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMSAAASWTAEDDVLLKNAVEAGASLESLAKGAVCFSHKFTLQELQDRWSSLLYDSETSGQASALIVKYETELSTSNPTKAHKLFYVRRKHLSLRKRKIESVKNQYYAMRKRICHDPCLAADFGYVITPCSCPVGSDCVCDGLFNLLEDNHLIHNVNQAPDVVNGYGHIGESYADGQDVHAKDNGHYISHRRHDKAAGTVASDGSTNCESANGCSDVGKLYGYNFMPKNIQSSERNIASPKDLSDVQDCVQPQQPILCEESANGMTGLKALLNTDQDCIKQNQFSGNSNEILQEPGSLKAMSEHWCSQAPSAPTRKKFQGVNAPDMLTDVHHKEQEILAFSDDKKKETTNIDTFSCKVNVENGMSGSGLDDATEGEVMHSCLMDASQGEDFELLNSENILDSSLDPNLEGLGDRHANVILKDISKEHFLDIPHVSSACGNNTDPIHEKHDVADISGVDMIYTTEVPFPCAGIVCILNTEDPEIPCNDDIFTPGPVASTSTCDQNSQHNMHLVSAKPIPPLNAADLNHTDLVSDVQPLLLTMKLEPYTLEQKETLVGLNESCTVRSKSPVMPVDASNANACTSTFHSAAEFVKKSTCGLVQHECFDNLGSVALDECIGVLDEMNSKVPDESGISCDATTQNSISAHALPDVEFLNPITTTSSPEGGGSDSEDGIPNYFDIEALILDQDLIPWDQESDFIQPEVSRFQSLESRKDLIRLERGARSNTNRSIMSHGAFAVLYGQHLKYYIKDPEVTLGRETSEEHVDIDLGKEGKANTISRQQAIIKMDKGGSFHITNIGKAPIFVNSKEVPCNECTHLISDALLQIRHMKFIFHINQDAVRQHIVRSRRGTSQGKYAVFNWDEKP >ORGLA07G0049100.1 pep chromosome:AGI1.1:7:4366244:4367475:-1 gene:ORGLA07G0049100 transcript:ORGLA07G0049100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAFSTSKPKPAAGEEGGESAVVAVHSKAKWDELWDAHKNTTKLVVIDFSASWCGPCKMMEPVFKEMAGRFTDVAFLKVDVDELAEVARTWRVEAMPTFVLARGGEEVGRIVGADKDELEKTINTLRSSSSSTATTT >ORGLA07G0049000.1 pep chromosome:AGI1.1:7:4348976:4351707:1 gene:ORGLA07G0049000 transcript:ORGLA07G0049000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQASSSPSLFRAIPTNTNASCRRKFQVRASAAAAAANGGGDGKVMMRKEAASGAWKIDYSGEKPATPLLDTVNYPVHMKNLSTPELEQLAAELRAEIVHTVSKTGGHLSSSLGVVELAVALHHVFDTPEDKIIWDVGHQAYPHKILTGRRSRMHTIRQTSGLAGFPKRDESAHDAFGAGHSSTSISAALGMAVARDLLGKKNHVISVIGDGAMTAGQAYEAMNNSGYLDSNMIVVLNDNKQVSLPTATLDGPATPVGALSKALTKLQSSTKLRRLREAAKTVTKQIGGQAHEVAAKVDEYARGMVSASGSTLFEELGLYYIGPVDGHNVDDLVAIFNKVKSMPAPGPVLVHIVTEKGKGYPPAEAAADRMHGVVKFDPTTGRQFKSKCSTLSYTQYFAEALIREAEADDKVVGIHAAMGGGTGLNYFHKRFPERCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQRLPVRFAMDRAGLVGADGPTHCGAFDVAYMACLPNMVVMAPADEAELMHMVATAAAIDDRPSCFRFPRGNGIGAVLPPNHKGTPLEVGKGRVLVGGNRVALLGYGTMVQACMKAAEALKEHGIYVTVADARFCKPLDTGLIRELAAEHEVLVTVEEGSIGGFGSHVAHYLSLSGLLDGPLKLRSMFLPDRYIDHGAPVDQLEEAGLTPRHIAATVLSLLGRPLEALQLS >ORGLA07G0048900.1 pep chromosome:AGI1.1:7:4312526:4313804:-1 gene:ORGLA07G0048900 transcript:ORGLA07G0048900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLLLAAAVLASAAAALADPPPPPSPTPWPERFHAVLFTNLTQTSGGGKLQMTDLYYDWPGGRNLNLVAGQLSGDPVYDVEWTNGTSYYFDSASCRTLLFPVGILPPDWLAAGAAYLGREAVDGFDCHVWTKVDFIWYYEDVVTHRPVRWNFYTGMQQHVMSFEVGGALEDSKWQAPAYCFNDQEAATNSDTANDNEDGEVDAMSSLLKFFRAQQTAVAAV >ORGLA07G0048800.1 pep chromosome:AGI1.1:7:4310346:4311702:1 gene:ORGLA07G0048800 transcript:ORGLA07G0048800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRLLPLLLLLAAAAAALDPRTPTPWPEQFHAVVFTNLTGSGGRLQLIDLYYDWPRGRNLDLIRGQLSGDPTYDVEWTNGTSYFFNATSCRTKLFPVGLLPPDWLAAGAVXLGRETVAGFDCHLWTKVDFVWYYEDVVTHRPVRWNFFNGMQQHVMSFEVGGVLEDSKWQAPARCFSDQHTVIADPGAATVTDDRVEENVKFGSSSDCKANPETFDLQRM >ORGLA07G0048700.1 pep chromosome:AGI1.1:7:4307114:4307825:-1 gene:ORGLA07G0048700 transcript:ORGLA07G0048700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHILLAAGSASLYPGSKSDPCGEPYKYTLQCLSSNDWKVGNCRSLMDTLAKCRANNEKIYLLDDICPILH >ORGLA07G0048600.1 pep chromosome:AGI1.1:7:4300721:4302382:-1 gene:ORGLA07G0048600 transcript:ORGLA07G0048600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWWRRRSYAAAADDDDTAGEELRSYVVAVEVAADNRAESPPVPARVTAELIKADAAGIPNYSKGDKDDPCCDTYSLVMKCLENTKNDFKKCKTLIDKYEECSNPPKEPRLCPAHELAFEKCLQKNVGEIKVCQFWMDMMSKCLRRNKQWV >ORGLA07G0048500.1 pep chromosome:AGI1.1:7:4297191:4297622:-1 gene:ORGLA07G0048500 transcript:ORGLA07G0048500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDDVLAAVLRRLPPRGIAASRCVCKEWRSLVDGRRLLRADLLPLSLAGILLNYDSTWFTQFLSRPTAAAAVSCRLDYTVPPPPAYIYVKDHCNGLLLLLREECRLVVVNPATRQWELLPPRPTTPPHHHHHPLPPAMGCNE >ORGLA07G0048400.1 pep chromosome:AGI1.1:7:4295677:4296442:-1 gene:ORGLA07G0048400 transcript:ORGLA07G0048400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEDPGDTQFYLGKSNNGIYCASLASFLRPQILVWFLNERYCGQTEWVLKHDMDISHILPNLNYDEQQRDGPWVLQHYNYRPFNFNYDDDDDEDDVELEPIVEEKFEKFEWNSDNDNVLETGSMRENCYIYFLGFHPYKDIVFLGDEFDRVLAYNWSSSKLQDLGKVFTEFYIRLTTYRLHCSLKNKIHISPL >ORGLA07G0048300.1 pep chromosome:AGI1.1:7:4293096:4294135:1 gene:ORGLA07G0048300 transcript:ORGLA07G0048300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHDCSGYLRLAPKNRSPEPPSASSSSPVAATAAPSMTSSASSPARPLPSLAPAAALEANAPASSASVGRPRWPVSLAAWSKSPPEDAVPGGTTTSLAVPGSPPLAPPRRGAVDEAFNRSSQTVTGSMGTRRSSFLSKCETLCPRGRQRPSRGYCWGSIFRSQKVANRSVGLRGSRIRDSARGKKGKAHASFGQRPVSSRRAPGDRTTQGTSSPRRLPGA >ORGLA07G0048200.1 pep chromosome:AGI1.1:7:4290554:4291968:-1 gene:ORGLA07G0048200 transcript:ORGLA07G0048200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHSTANVGTGAAADGIFSTSAGQDRGSAVFVATSEGSRESAWTIALPGSGGSLAGPKAEETLTHTTVVAPSASGAELELHLGAAAALEKPEAAIHPATAPETSSGRAGLVADPFSTRDTHAGADAVMVARAAAVERRDRDTADLHTEWLRVRDLQEQAAATLAEAEAARDSSRLAVARADSARRQAERDLKLVRAELARERDRAGRLSDELAATKAALASREEEVQASQGRFEQARLILEELNVRAIYAAQALVRAFGSIGVQGPSPPPEDSSIAEKLRWVEKAGKFVAKASAGYRIWCSWATTCMLPLLLRGKGCAHIGPSARAAPSEVTALLASGSRVNSSRRDADDFTRMVWPTLGHDAAVAAMDS >ORGLA07G0048100.1 pep chromosome:AGI1.1:7:4274345:4274809:-1 gene:ORGLA07G0048100 transcript:ORGLA07G0048100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEEKIASAXLMRQEAQEAQMDIAHARTLHVHALRMRLRAQAELVHCCNTDDGKGDNGEKAGDAGIREGSVVDSLAGADRFTDSDNIGYVVDSLANNTFVADSLEETDAEEKTT >ORGLA07G0048000.1 pep chromosome:AGI1.1:7:4260486:4266972:1 gene:ORGLA07G0048000 transcript:ORGLA07G0048000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAALLRSGSGLRRPPMAAPLSTAAAASWLSDSASSPPRVRLLIGGEFVESRADEHVDVTNPATQEVVSRIPLTTADEFRAAVDAARTAFPGWRNTPVTTRQRIMLKYQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDVVNNICDDEDIKAVSFVGSNIAGMHIYSRASAKGKRVQSNMGAKNHAIILPDADRDATLNALIAAGFGAAGQRCMALSTAVFVGGSEPWEDELVKRASSLVVNSGMASDADLGPVISKQAKERICKLIQSGADNGARVLLDGRDIVVPNFENGNFVGPTLLADVKSEMECYKEEIFGPVLLLMKAESLDDAIQIVNRNKYGNGASIFTTSGVSARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTVTQQWKESPAQRVSLSMPTSQK >ORGLA07G0047900.1 pep chromosome:AGI1.1:7:4255466:4258941:1 gene:ORGLA07G0047900 transcript:ORGLA07G0047900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSFSSLLLPCSHGHGGGRATASTCAAAAAACLALVALVILVVSMDPRAQASSWFFLSSSSLSSSSSTLVRPAASSHAASLRKPSSWGGGNGGGRGGEHLLVTSSSFGSGGGARGSWSRNSTSKEVLFQGGGGGGGDEMTSTAAAPTPALIIGSSSGDGVSPSRVAVTAAAAEPTPALAPAPAPEWGVGDAASGDDIIQVMPQAQRRRDVKLERLELGLAKARATIREAIQNKDNKPPLTDKDYVPVGPVYRNAYAFHRSYLEMEKVFKVFVYEEGEPPVFHDGPCRSIYSTEGRFIYAMEMENRMRTRDPDQAHVFFLPFSVVKMVKMIYEPNSHDMDPLRRTISDYINVVSTKYPHWNRSLGADHFMLSCHDWGPYVSSANGHLFSNSIRVLCNANTSEGFDPSRDVSLPEINLRSDAVDCQVGGPSASHRPILAFFAGGDHGPVRPLLLQHWGKGQDADIQVSEYLPRRHGMSYTDMMRRSRFCLCPSGYEVASPRVVEAIYLECVPVVIGNDYALPFADVLNWAAFSVRLAVGDIPRLKEILAAVSPRQYIRMQRRVRAVRRHFMVSDGAPRRFDVFHMILHSIWLRRLNVRVIARED >ORGLA07G0047800.1 pep chromosome:AGI1.1:7:4247358:4252450:1 gene:ORGLA07G0047800 transcript:ORGLA07G0047800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1Q8N6] MELSLSARLALPLSPAAGTARPRRPAAFACRCCSGGASHDGTTRRRWLASLLAATAVAVGIGVAGGDADAVSTSRRALRSAKIPESEFTTLPNGLKFCYRVTGRQTILCYFPFSECRVTSEALSRYYDITVGSGLKAVKGSRVAVHYVAKWKGITFMTSRQGLGVGGGTPYGFDIGNSERGNVLKGLDLGVEGMKVGGQRLIIVPPELAYGKKGVQEIPPNATIEMVAQASDSSSEVSVNRVQDDQRMMMEDVDSLKRMVSALEEQAASIESQFHDYCDMKEQESTYQKMQIMCLGMKLEQLESQNQRLEAAAAEIRASAEEFATMRARFDAMQSKSKKIWKKNKQDLDAIDERVLALDAREAEMATRCQGFEQFMEEMKQLTLQLQKEKGTNNENVEVIVERSMRKLGSSGRDVLDGLEALRDRWAADMEEMIYLGWITAWLQHDLLVLDGGEVGTPAAIIGDETPAQPRHKGEKVVVAVAPSNEVELCKAASASSSSSSEMRGAAEPSCMMGFVAGGCRSIGRPRLLRRLRGWAGGKGGNSRRQCKIEFPTSPM >ORGLA07G0047700.1 pep chromosome:AGI1.1:7:4243726:4244040:1 gene:ORGLA07G0047700 transcript:ORGLA07G0047700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRVRLICSAVSLALYTAFLAFYLLCATGRSFRGVVLGPGAGGVPPHVELAWKLANWVAVLLCCVVYAYLVSSIVVSCRRSGKPAAAPAGLPPPPVQMDIC >ORGLA07G0047600.1 pep chromosome:AGI1.1:7:4228110:4237675:-1 gene:ORGLA07G0047600 transcript:ORGLA07G0047600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGDGLVGGGRGPLGGRDGRGRGPAGGRGGGRGGGHPQQQQQQQPGYGRGDGGGRGPAPAAGGVVGRGSGGGGGGGRGDGGRGRGRGGGGGDGVRPAMAAAPAASTPGPVAVAARSTPTPAVQIPAVASSSSAQPAAAAQPPPAAAAVSALARDVGRQLAVVAGGGRPAAPPAPIPVSSKGVAPPSRPGFGTVGERIVVRANHFLVRVSDNDMIYLYDVSLSPPPKTRRINRVVMSELARLHRESHLGGISFAYDGSKALYTAGKLPFDSMDFKIKLGKELREIEYKVTIRRAGQADLHHLHEFIAGRQRDSQQQTIQALDVVLRESPSLNYVIVSRSFYSTMFGRQDIGDGLECWKGYYQSLRPTQMGLSLNIDISSTPFFKPISVVEYVKNCLGTPTNANGPDPRRPLSDIDRLKVKKALRGVRVETTHQGKSSKYKITTITSEPLSQLNFSMDGTTQTVIQYFSQRYKYRLQYTSWPCLQSGNPSNPIYLPMEVCTIVEGQRYSKKLNDKQVTGLLRATCQPPQKREQKIIEMVQHNNYPADKVVSDFRINISNQMATMPARVLPAPTLRYHDSGKEKTCNPRVGQWNMINKKMVGGAVVQKWTCVNFSRMHIDAVHRLCGELVYTCNAIGMVFNEMPEIEVGSAAPNNIEAALSNIHTRAPQLQLLIVILPDVNGYYGRIKRVCETELGIVSQCLKPGRKLLSLDRQFLENVSLKINVKAGGRNSVLQRPLVPGGLENTTIIFGADVTHPASGEDSSASIAAVVASMDWPEITKYKALVSAQPPRQEIIQDLFTMTEVAQNADAPAQKTEGSKKNFICGGMFRELLMSFYSKNAKRKPQRIIFYRDGVSDGQFLHVLLYEMDAIKKAIASLDPAYRPLVTFVVVQKRHHTRLFPEVHGRQDLTDRSGNVRPGTVVDTNICHPSEFDFYLCSHAGIQGTSRPTHYHVLHDENRFSADQLQMLTYNLCYTYARCTRSVSVVPPAYYAHLAAFRARYYDEPPAMDGASSVGSGGNQAAAGGQPPAVRRLPQIKENVKDVMFYC >ORGLA07G0047500.1 pep chromosome:AGI1.1:7:4222197:4224071:1 gene:ORGLA07G0047500 transcript:ORGLA07G0047500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDAGTVSSGGASPAFFPPPPRQNPLRVILTAAFARQVAVGRWFTVFASLLILTASGATYIFGIYSPALKASLGYDQHTLNTVSFFKDLGANLGVLSGLINEVTPPWVVLAIGAAMNLSGYLMVYLAVAGRTAAPPVWLVCLYVFVGANSQSFANTGALVTCVKNFPESRGVVLGILKGFVGLSGAVYTQLYLAFYGDDAKSLILLIAWLPAAVSVVFVHTVRIMPYPRRRGGQETSVDPFFCFLYISIGLAAYLLVMIVVQRQFAFSRTAYSCAAAALLIVLFLPLCVVIKQEFKIHRERLELAAAAPPPHTITVLEMSNTSKKETERPSSPAPAEPSWVKGVFRPPARGEDYTILQALVSVDMAVLFVATICGVGGTLTAIDNMGQIGQSLGYPARSTNTFVSLISIWNYAGRVAAGFASEAFVERWRLPRPLVLTGVLLLACAGHLLIALGVPRALYAASVIIGFCFGAQWPLVFAIISEVFGLKYYSTLYNFGGMASPVGSYILNVLVAGRLYDAEAGRQPGGGLAAGAGRDKVCLGVDCFKKSFLIITAATVLGALVSLVLVWRTWSFYKGDIYARFRDGGGAIAGDGGDGRLPVDQRRRPPPPPEEEESTAVNGRKE >ORGLA07G0047400.1 pep chromosome:AGI1.1:7:4208275:4212080:1 gene:ORGLA07G0047400 transcript:ORGLA07G0047400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGGGGGEGKVACAAWIRRREEKEKATRVFAAYGRAGSPPAVEVLGFDSKECSLSEPLARAELGEEPGDAPRGIAVHPSGDELVCATAKGCRLFKLIFEEFTVRLISRDAPPLESVGPQKCLAFSTDGSKFAIGGEDGHIRIFHWPSMNVLLDEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWKIDEGVPLVNLTRSADEKIECCRFSRDGMKPFLFCTVAKGNKVVTVVWNISDWSRIGYKRLLGKPISTLSVSMDGKYLALGSHDGDFCAVDVKKMDVSHWSKKVHLGSPVSSIEFCPTERAVISTSHQWGAELTKLNVPADWKEWQVWLILLSLFLVSAILFYMFYERSDSFWNFPMGQHQPAKPWSVLKESPPVPEDQNPW >ORGLA07G0047300.1 pep chromosome:AGI1.1:7:4198940:4202781:-1 gene:ORGLA07G0047300 transcript:ORGLA07G0047300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALNTPTPPLRLRPACRVASQCGGRPSTRRRGAGGGPRRAVPQPPVRRPSGDRACTPWRGGVGAAAPAPATPVATAGARDELEAFLEVVPARMRRGLARHPEVRELVEVVMDLGRRPLARFPSGDWVISEQAVTADDLHQAVSKVGDFSEDNRSGINHSLHRISAIRNRKAHIIGLTCRVGRAISGSAEMIRDLVVGGGSILVIGPPGVGKTTLIREIARILADEGKKRVIIVDTSNEIGGDGDVPHSGIGRSRRMQVPKVSMQHNVMIEAVENHMPEVIVIDEIGTELEAMAASTIAQRGVQLVGTAHGVTIESIIKNPCLQVLVGGIESVTLGDEEAKKRKVQKTILERKGPPTFSCAVEMISKTECRVHHKLEATVDAILAGKPPKFEARKMDYKATESGSSLVIPEREYETEPLPSYQEHLFAKAMPSEDNFDDDFNTRRTESKSVPSDDNFNDDFGSTRKTKGKTSVPGKSPVRVYTYQISEADILQVATVMGFDDELDVTDDIGAANVILASSSEMKQNHWIRNVAKYHKLPIFVVKTNTMAQIVKAVRMIVGRDKLNAPTRKQPKVVVGEIEIEDDAPKRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGTDSNSRLQILPVKITKKSSSKGSAGSMPKQGSSDLIVSDNGGGSSFSRLPFLPK >ORGLA07G0047200.1 pep chromosome:AGI1.1:7:4195175:4197811:-1 gene:ORGLA07G0047200 transcript:ORGLA07G0047200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEVGRIFVGGLSWDTTERTLERAFSEYGKVIETQVVLERDTGRSRGFGFVTFSEPRAVDAAIRGMHNGELDGRTISVNKAQPRMNTDDGYGYGGGGGGGGGTYSSGARGGYRGGGDAVPSANDDCFKCGRAGHWARECPYSGGGGGGRTGRYSPPSRYGGGTGGGRGDRFGGSDRFANRYVDDRYDGGRYVDDRYGGGGRDRYATDRYPPTADRFTGDRYGASDRYASSGFTRERSYERDGGRSGGSYYRDEPRGSGGYDRGGMRMGSGDRYGTGGPARFAGSYRDRPAPYDRPSRAAARTYDDRY >ORGLA07G0047100.1 pep chromosome:AGI1.1:7:4187256:4194090:1 gene:ORGLA07G0047100 transcript:ORGLA07G0047100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked oxidases family protein [Source:Projected from Arabidopsis thaliana (AT4G36400) TAIR;Acc:AT4G36400] MARRAAAGLLRRHLGPLAAGETLQARGMYPKQYGAANHAFSRFYSIQGQQRSLYGFRTNVETDDTQQSARMNFEVQKRSFSSAAAHVQRNPAYSVLNSDDVSYFKSILGESGVVQDEDRVAVANMDWMGKYKGSSQLLLLPKSTAEVSKILSYCNSRRLAVVPQGGNTGLVGGSVPVYDEVIISLGGMDKIITFDNVNGILTCEAGCVLENLSSYVENKGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGSVLGLEVVLADGTVLDMLTTLRKDNTGYDLKHLFIGSEGSLGIVTKIAILTPAKLPSTNVAFLSCNDYISCQKLLLAARRSLGEILSAFEFMDRHCINLAMKYLEGVHNPLPVSPYNFYVLIETTGSDESYDKAKLEAFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEEMRSRVGDMGQVLGYGHLGDGNLHLNILSTKYSDKMLAQIEPFVYEWTSKQRGSISAEHGLGLMKAEKIHYSKSSEAVQLMASIKKLLDPNSILNPYKVLPQSVL >ORGLA07G0047000.1 pep chromosome:AGI1.1:7:4180369:4180713:1 gene:ORGLA07G0047000 transcript:ORGLA07G0047000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPRLPAGGALYSVNLALICLGLLTSLALSIFSILAPAARKLAVTKVQKRGMVMAVAFVLVSFLLRISMMLPAASLEWAFLLIFLLFACAEAAYLSLVYMRHVAYEPKAHVRV >ORGLA07G0046900.1 pep chromosome:AGI1.1:7:4169286:4172003:1 gene:ORGLA07G0046900 transcript:ORGLA07G0046900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALVIAVVQKISSALAEEGSKILASKLKKQAPDLLEVTNKMRLLQSDFSMLQAFIAQVAVNRSNDMVLEAWMEQVRLAVHEAEDIVDEYTYLVGQTEGTGSFLKKAFNQAIEVKKWRKLSAQAKLVEDRLQKISEAKNRFDISFASSGRENTASYPSRHHHLSEYSYLNDDDLVGNAEEMKRLIEWLCDAKKDRSVISICGMGGLGKTTLASSIYKKEEIKRTFICRAWITVSQNHSVKNLLKKILVQLMSKTENIMDGADTMDCVSLVEQLRRYLKGRRYLIVLDDVWSREAWPLLDNAFVKNNNGSRVVITTRIKMVASLADANYELKLTLLPKQEAWTLFCQKAFSRLDDRSCPHNLKTVAERIVEKCQGLPLALVAIGSLLSYKEMDEHEWELFYNQLRWQLSNNPELSWVASVLNLSYNDLPSYLKNCFLYCGLFPEDYRIERKRLIRLWIAEGFVQDRGPETTLTDVAACYLKELASRSLLQVVDRNEHGRPKRLQVHDLVREISLTISKKEKFATTWDCPNSDGLTDGSRRVSLQKDGSLVQAAKCSSQLRSMLMFSEEISLSWFTDCYPSFRLLRVLCLRNCNVHKVPDAVSQLFNLHYLDLGYTKLKEIPRSIGKLNNLQTLYLNGSVLELPSEITMLTKLHHLLIDVGRFGKSASSKISCLEQLQTLRSVEANSCIVKNLGCLTRMRSLGIMKVLESHNTDLWTSISKMKSLNSLSVIAEDRDQYALDLGNLKPLSRLEKLMISGRLHKGAIPPVFASFTKLRSLRLCFSGLHEDPLASFAAMFQNLGHLNLYQCFDGAKLTFRAGWFPNLKHLYLSSMNELREVEVEDGAMRSLRRLELWSLKSLTSVPQGFVHLRSLQQLCIGSLMPEEFHRRLEGIDRWIVRHIPYTGDP >ORGLA07G0046800.1 pep chromosome:AGI1.1:7:4161094:4166501:1 gene:ORGLA07G0046800 transcript:ORGLA07G0046800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLAQISVDLIGSSSVRLPGDCVLMRASDTSKPPYVARVEAIEAAGSRGTNVRVRVRWYYRPEESMGGRRPFHGAKEVFLSDHYDVQSADTIEGKCNVHSFRSYTKLDSVNAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDDLMIQCEECSDWFHPSCIGMTIKDAKKLEHFFCQSCTAENGKMAENSHEATAQSEEKQVESKRRRR >ORGLA07G0046700.1 pep chromosome:AGI1.1:7:4144103:4145694:-1 gene:ORGLA07G0046700 transcript:ORGLA07G0046700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:I1Q8M5] MAAEEGVVIACHNKDEFDAQMTKAKEAGKVVIVDFTASWCGPCRFIAPVFAEYAKKFPGAVFLKVDVDELKEVAEKYNVEAMPTFLFIKDGAEADKVVGARKDDLQNTIVKHVGATAASASA >ORGLA07G0046600.1 pep chromosome:AGI1.1:7:4136762:4137001:-1 gene:ORGLA07G0046600 transcript:ORGLA07G0046600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVELLDMGVRIAARFHSHCPQTARMYYHPPPSSSSSPSSSAAGSADGGGGGCAGFAAKRMPRAAADTAEIILYAVV >ORGLA07G0046500.1 pep chromosome:AGI1.1:7:4126350:4128252:1 gene:ORGLA07G0046500 transcript:ORGLA07G0046500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREAKKEAFRKYLESSGVLDTLTKVLVALYEENDKPSSAVEFVQQKLGGPSISDYEKLKAEKLDLQLKYNELLETHKETCRQLDELKNSKNRSGNNTC >ORGLA07G0046400.1 pep chromosome:AGI1.1:7:4112423:4120906:1 gene:ORGLA07G0046400 transcript:ORGLA07G0046400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAELCDALAAAGFDGDGPLDLDSLEWPFLQGDDARRLLAWVSSRLRPANVLSATDLALYEQLELEGKLLEGEDLDFAFDSISAFSETGENQEDTFLTEESLEHIRDSKLTLRAEVSDLERQLASLEWQLDMLTAQATTITQGKKSRVSAKTNPNIQISRLDEKLAKRSLEMNSLLGKLAATTQELSYYHSEADIGIYLSYSDFKSYIIQNLACIKELNRWFSKKFEKGPLQFVAKEDMSRGEYEGSHHLSVELKRINSIFARSKRQYIEAQAEYAKEEAILSTLRTQLASQQSLVHQDDVHSLRRRNSEFAEELRDLSLQVKRCLSEIITSLCADLAQLEGANILQGDHNLKVLRQECYISQQKRFINYLVNQLAAHQFLKIACQIEGRAKISSAYSLLKAAAMELLGYFSVVDGRLDQYHLIGQAASVMLEEGSIDDRDTFLHAVRDILSTHSGAQAMTPSYVSAYSLVEQISDLQNELEYLHHELENVLPRERKRCIDELCRMIQTLEQILSVPFTYVQPTLTPWPVAQSLEELEIINQQVSACVNEVTMARDKKAKMLQQPSRNVQQERRVFVDFFCHPGRLENQVREMSSHVRALQE >ORGLA07G0046300.1 pep chromosome:AGI1.1:7:4107289:4107531:1 gene:ORGLA07G0046300 transcript:ORGLA07G0046300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTAVVAAIVAVILLVFLVSGGMAARPMVNIEKTTAVPVVRKSGVVVESWTMESSSLPSGCTNGNGAGGYCRPPAPAGH >ORGLA07G0046200.1 pep chromosome:AGI1.1:7:4098586:4102684:1 gene:ORGLA07G0046200 transcript:ORGLA07G0046200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDDESDCVVICPPNGKAGHTEVMSGRHDEDSSRGQETPSTIDSHMNGNVQDGVPADQDVLKLVDQQKSSLPSSPINHGIAEQEESNHTVPQPFAPATEREDSGEGDCTPVPHPTSNGEKHSDKSSTSLASMAKKSPSVTPRKPLQADSTSHSHEDDSYSVTSTVTSARTGKIKKTTVPVAPTFICGNRLEKRGEFYTKLEEKRKALEEEKLEAEARKKEEQEEALKQLRKNLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSCSDTPHTPEGKNGSAACCRLHRHSIGNSKEVNSRTQCSPKSAPKTGVAAKPRATKGVMKNVGKPGAANVAVQT >ORGLA07G0046100.1 pep chromosome:AGI1.1:7:4086309:4086578:1 gene:ORGLA07G0046100 transcript:ORGLA07G0046100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRGLEFESSTAAGGIAGRRRHGRAGRWWLTRSSGRDAHDESSRVAATAEAADRPSAAGACSCCCLRTGSRQPGACCCSRLRATILTAQLF >ORGLA07G0046000.1 pep chromosome:AGI1.1:7:4078475:4079002:-1 gene:ORGLA07G0046000 transcript:ORGLA07G0046000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVLTSPCLRPPPLLRRAFPSPATRLLPPQTLALRPLPLPRGLRSSPPPPRAAAEAAASAVGGLLAPLSTLEVGLRSVNLAPLRAPVAAAMSAVVRWLGVYREVLLVGVLFSWFPNIPWDRQPFSALRDLCDPFLALCREVMPPVFGRKLDLSPLIAFMAIDIIIMILRPQPRM >ORGLA07G0045900.1 pep chromosome:AGI1.1:7:4069243:4073095:-1 gene:ORGLA07G0045900 transcript:ORGLA07G0045900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWYEEAVGLLRRPAVAEMAVDVLLCAVPIWAAVMIGLVIGWAWRPRWTGLLYLGFRSRLRLLYVPPGLGARRLWLACTALSAFSVAPRLLSTAFGSRGKHQRKDAALADDDDAADASGDAGDCVDGRTFFEGGHHVVTEKDLEHLVQLLDNKESGDTTWQHLMERTTSNMTYKAWRREPEVGPIMYCSRTIFEDATPELVRDFFWDDEFRLKWDPMLAYFKILEEFPQNGTMIIHWIKKFPFFCSDREYIFGRRIWESGKIYYCVTKGVPYPALRKKEKPRRVELYFSSWRIRAVQSPKQDGQQSACEVTLVHYEDMGIPKDVAKVGVRHGMWGAVKKFQSGFRAYQQMRDTENTLSRSAIMARVTTKTSIASSSCPLDQEPSNAAKTIDESENSRAVQPGFDWKWVVFGGAVAAVCVLNTGLVGKALLIGAASRRQAKK >ORGLA07G0045800.1 pep chromosome:AGI1.1:7:4065100:4067313:-1 gene:ORGLA07G0045800 transcript:ORGLA07G0045800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGALQQQSNGHGHGVLLLAEAGYAEVDPTGRYGRFNEILGKGSSKIVYRGFDEWRGVEVAWNQVRLRDVVRGGGELERFYGEVHLLAALRHRGIVRLHAYWVDAPRRALNFVTELFVSGTLRQYRERHRRVSAAAVRRWCAQILDGLAYLHAHSPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAFRRGGGHARCVGTPEFMAPEVYDESYDELADVYSFGMCVLEMVTLDYPYSECSNPIQIYKRVISGIKPAALYRVSDPVVRQFIERCLAPAARRPAARELLDDPFLLPLEDDGFFSGDGGDGHGGVGVGYYNLMYNYLHQPACIDDHHACSNGGLSPSNSVGDNDVDAAVQPGDDDGDNWLRDIHMLFDEDDDDAAAADADERVGGVDITIKGRRTDDGGVYLGLRIADKNGTGRGRIICFRFDTEADTAMTVAAEMVAELDITDHEVTRIAQLIDGKVAALVPGWRPGPATDDDDDDDLVGGGDDPDAPGGAATACCKNCRPAASSSSSSCGSLVDFMSSAAAAERHGCRRCAELHGRFEEITFQADDDEEEQHLQGSSSDTGGSNHEQHAMGKDKEVMNINGIAQDGTVQGSEQP >ORGLA07G0045700.1 pep chromosome:AGI1.1:7:4051100:4061455:1 gene:ORGLA07G0045700 transcript:ORGLA07G0045700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 homolog [Source:Projected from Arabidopsis thaliana (AT1G16970) TAIR;Acc:AT1G16970] MDLDPEGLFRDDSDEDDDNVQEREANKEMVVYLIDASPKMFTPATKADEKEETHFHTIVNCITHALKTQIIGRSYDEVAICFFNTKEKKNLQELAGVYVYNVTEREPLDRPDARLIKEFSCIEDSFMSNIGSRYGITSGSRENTLYNALWVAQALLRKGSVKTVSKRIVIFTNEDDPFGGLTGAVKTDMIRTTIQRARDAQDLGLSIELLPLSRPDEEFNMSLFYADLIGLEGDEIVDYLPSSGEKLEDMTNQLKKRMMKKRKVKTLAFAITNDVCIEVNTYALIRPTTPGAITWLDSISNLPLKAERSFICNDTGALLQDPQKRFQVYNDKVVKFSTRELSDVKRVSSHHLRLLGFKPLDYLKDYHNLRPSTFIYPSDEQIFGSTRVFVALHSSMRRLGRFALAFYGNPTRPQLVALIAQEEVTSAGGQIEPPGMHMIYLPYSDDVRYPEEVHLTSDDAPRATDEQIKKASNLLRRIDLKNFSVCQFSNPALQRHYGILEALALGEDEMPDVKDETLPDEEGLARPGVVKAVEEFKASVYGENYDQEEAEAAAAKAGASKKRKALTDAAAEKSAAHNWAELADTGKLKDMTVVDLKSYLSAHGLPVSGKKEALVSRILTHLGK >ORGLA07G0045600.1 pep chromosome:AGI1.1:7:4049343:4050312:1 gene:ORGLA07G0045600 transcript:ORGLA07G0045600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVVPEVPVTEVEAAAAEEAVEETTAAEEKAAKPAKEKKKAGRPPKEKKEAKPAKEKKVKEAKAKKPRVAAAHPPYAEMIMEAIVALKERTGSSSQAIGKHIHANHGANLPPNFRKLLSGNLKKLTAAGKLAKVKNSFKLPSTRPAAPAAADAKPKAAPATKPKVKTTKAAKPAAKAKAPATTKAAKPATKTKIKVAAAPAAKPKASPKAKAKTATSPVKPRGRPAKSAKTSAKDSPAKKAAPVAAKKKAAATKKKASVAAAPAARKGAARKSMK >ORGLA07G0045500.1 pep chromosome:AGI1.1:7:4043360:4043731:1 gene:ORGLA07G0045500 transcript:ORGLA07G0045500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRNSNDRFFIVNLQPPLAFVRHFAASPTVVQQELNGWVLAARRMEDLAWAQITFQFVPYIKNLEIKTTVTPRCASETFWDSNRMQTHH >ORGLA07G0045400.1 pep chromosome:AGI1.1:7:4040003:4040314:1 gene:ORGLA07G0045400 transcript:ORGLA07G0045400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERFAKQCCGPGVRDXLGAQTVGVRNWLGHADQECGTESVSRGGCAHACGAQDRTDGCSVAQNGGTGPKWYCSLKARNRASPVGLRFHVSDVRERVTVKANH >ORGLA07G0045300.1 pep chromosome:AGI1.1:7:4036660:4038295:1 gene:ORGLA07G0045300 transcript:ORGLA07G0045300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILXSLGRSATTAGLFGRRCRLLSEAASDHQVLTTSPWGVEASVWFRSTCDAERARSVTNGRNIYDGCCLLDVQHVQPTIXPIVYTTIGYMTCNXSPXSSPIQSPXGXFDXANKPSLIDYNQIXLAVLXFVPFPWRQPKGIIGLSSGVHVKRGSRMQLSRQCRSTPSICSSLAAWFPXEVWFLFSVSIFVVKDGSVPQNICSAMYWDSFPXTLSLLIKVXVFKRKIXLAYLFMTDFDLHKKIAKNSGHMKKGSASAMMQQERDAAESGVQSIGGDDLAPSPRLLFWGRIIRLSIITYASFKLGQNSARNAYKRTKAELPCLEAVDSTTA >ORGLA07G0045200.1 pep chromosome:AGI1.1:7:4022964:4024319:1 gene:ORGLA07G0045200 transcript:ORGLA07G0045200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAKASDLYCEEPDRDDVGLAVILPDTWELPRRRVLRNVFRRLPRNPDFDGATFLSKPWLALAVDGHNVVAEPQRLMPGLLLPEEMALDPASRAFLSLADGRFHDITFPHARGARCVGSSRGWLVMLREDPEGVVGAAATATVHVVHPLLPHLEFRLPDEFSLFEIHAAADLEEHVVRLPLSKEARLRAGLPLAERLQRVYKPDEKNYPYITMVALSCSPAGSDDDDCVALCVYRCGRCLAIARPGDASWARVEVGWEYMEPTEYNRKFVSVVHLNGSFYAACYDGTVLRVTIPPAGSSASTLPRVEKFADRPYRSKWSMWRSRWWLAADGAGSLVFIGTERCLNPWDDERYLSVFRWDDELRFWRRPKSFGGRALFLSAGTAFFADARILPWCAGDCIYLTDDESVVTGENVTVRCYDMRSRKLYFVEDAGAKVALAPPVWVMPFHE >ORGLA07G0045100.1 pep chromosome:AGI1.1:7:4006966:4008423:1 gene:ORGLA07G0045100 transcript:ORGLA07G0045100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPPPPLADDGDGIVDRAMWLACAAPNSGRLPAVGSVVFYFVDGHAAQFCQFPVPLLEQLAVPGPRVFLCTVAGVRLRADALTNEAYADITLDPVADNDVPHLAPAPAPAPAAAAGGQQFRYFVKTLMISDFDFRIRFSAPMADAKGVFPPLVDAKAVQPLLVKDLQGSPMTFDYGRKGKRVTLAKVWKKFRDDMDFVDGDSVIFMRRRDDGELYVGVRRQRTLERPLRNTMRRSRSPTPPQAAVQEAVLAAAGQAAAGERFRVAYRSRQDGDEFVVPREAVDEGLRARLTSLAEVEFVWAVEDGAPPIVGPRGKVTAITTGQLWRNLEIVWDGNSEMDMSANFWQVRPVEEVDISPSTPPKRLKNCEIDDTASSSVSVDNGDEQVPTMRQRLEALFPDNI >ORGLA07G0045000.1 pep chromosome:AGI1.1:7:3999515:4000912:1 gene:ORGLA07G0045000 transcript:ORGLA07G0045000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPADLYVEVEESARDDDVDVDGRSRVPVPVPSPSGWELPPCPVLRNVFRRLPRNPDFDGATFLSKPWLELPCDGPMVIAEPQRLMPALLLPEGMALDPASRAFLSLADGRRHDIAFPHARGARCVGSTRGWLVMVRKGPEGVAGAAGTATIHVVHPLLPHLEFRLPDEFSLFEIQVTAPEERFLLRLTPSEKARIRAGLPVEETGAELLQRVFKTAEGLRPPEPYITDVTLSCSPASSDDDCVALCVYRHFRCLAIARPGDASWTRVEVGWEYMEPHEYRREFLSVVHHKGSFYAACYDGMVLRVSIPPPGRASPPRVDKFADAPRRESIRWARWWLAVDTASSSAGGGALVLVATERRWWKQKMYMCAFRWDDELRFWRRSKDLGGRAVFVGRGTAFVADARHLPWCAGNCIYFTRDERVRTGDDVPVRCCDVRRQKLYSVHNAGPKVAMAPPVWVMPFHE >ORGLA07G0044900.1 pep chromosome:AGI1.1:7:3988454:3994947:1 gene:ORGLA07G0044900 transcript:ORGLA07G0044900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q8K7] SGKKRCRAKPQKKDEDTTDKGKLDEGPLDATKEMNGVGKGDSRAACKRPRRAAACSDFKEKSVRLSDKSSVVATNGNKMEEEEMDAVKLTKLGPEVQRPCRKLIDFILHDADGKLQPFEMSEIDDFFITALIMPMDDDLEKDRQKGVRCEGFGRIEDWAISGYDEGTAVVWVSTEVADYECVKPAGNYKSYYDHFYEKAQVCVEVYRKLARSVGGNPNLGLEELLASVVRSINAIKGYSGTLSKDFVISNGEFVYNQLIGLDETANTDDEKFATLPVLLALRDGCKSRVEVSKLQPNISNGSLKINDAECKEVSEDDDEKLARLLQQEEEWKMMKQRGKRGTTSQKNVYIKISEAEIANDYPLPAYYKPSSQEMDEYIFDSEDSFYSDVPVRILNNWALYNADSRLIPLELIPMKAGAENDIVVFGSGFMREDDGSCCSTAESAKLSSSSSSNHQDAGVSIYLSPIKEWVIEFGGSMICITIRTDVAWYKLRQPTKQYAPWCEPVLKTARLSVSIITLLKEQSRASKLSFADVIKKVAEFDKGSPAFVSSNVALVERYIVVHGQIILQQFSDFPDETIRRSAFATGLLMKMEQRRHTKLVMKKKVQVMRGENLNPSATMGPASRRKVMRATTTRLINRIWSDYYAHHFPEDSKDADANEAKEIDDELEENEDEDAEEEAQIEEENVSKTPPSTRSRKLVSQTCKEIRWEGEAIGKTPSGEALYKCAYVRELRINVGRTVALEDDSGELVMCFVEYMFQKLNGAKMVHGRLFQKGSETVLGNAANERDLFLTNECLEFELEDIKELMSVNLQSLPWGHKYRKENAEADRIERAKAEDRKKKGLPMEYLCKSLYWPEKGAFFSLPHDKLGLGNGFCSSCQQKEPDCDELQILSKNSFIYRNITYNVNDYLYIRPEFFSQEEDRATFKGGRNVGLKPYVVCHLLDVHEPAGSRKIHPASTKISVRRFYRPDDISSAKAYVSDIREVYYSENIVKVPVDMIEGKCEVKKKIDISNSDVPVMVEHEFFCEHFYDPATGALKQLPPNVKLMSVQQKATGALKKNKGKQICESDQVDSDKCTKVSKENHLATLDIFAGCGGLSEGLQQAGVSFTKWAIEYEEPAGEAFTKNHPEAAVFVDNCNVILKAIMDKCGDADDCISTSEAAEQAAKFSQDNIMNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTVASLLEMGYQVRFGILEAGTFGVAQSRKRAFIWAAAPGETLPDWPEPMHVFASPELKINLPDGKYYAAAKSTAGGAPFRAITVRDTIGDLPKVENGASKLLLEYGGEPISWFQKKIRGNTIVLNDHISKEMNELNLIRCQRIPKRPGCDWHDLPDEKVKLSSGQLVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPDQDRIITVRECARSQGFPDNYRFAGNIQSKHRQIGNAVPPPLAFALGRKLKEAVDAKRQ >ORGLA07G0044800.1 pep chromosome:AGI1.1:7:3966996:3967223:1 gene:ORGLA07G0044800 transcript:ORGLA07G0044800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRCHCSQRREGESNDVVPSTIATRREDAEERLEVEEKCTCGCEVGQGSSAAVAEEEVTTLRGGGGIAVGQLWE >ORGLA07G0044700.1 pep chromosome:AGI1.1:7:3961469:3962330:-1 gene:ORGLA07G0044700 transcript:ORGLA07G0044700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1Q8K5] MASSSSLRSTSCLASAAETDADNLCLRLGPPGSSITTTTTTGGADPAAKRSLGAKRSLESTDSMASGTGTSAAGDEHDDDTAAPAKAQVVGWPPVRAYRRNTFHQAAAAAAAATKKGGDEKQKQQQQGVGLYVKVSMDGAPYLRKVDLKMCKGYRELREALDLLFTKCFSATASDGCSDGQFAIAYEDKDGDLMLVGDVPWEMFISSCKKLRIMKGSEAR >ORGLA07G0044600.1 pep chromosome:AGI1.1:7:3956347:3959699:-1 gene:ORGLA07G0044600 transcript:ORGLA07G0044600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNISWTVIESVKNITDSDGIGVYHLYSCTTNSALDVPRMELFRNTMNTKIYYSDQKWQSFTGIMSPGVLCLDQSGLQFPVPPEAEHVALLGLGPAASPLAIGTDDAGERRRGGGGGPPCLRVAERAAARTKKSGAREARGGRTPALRRLGGRRRRRLARAMRLRKWFVLDKNSYMLDLYKHQTHNISDKPTYKPVTVDLLPMTGVEFALVIGESPVIGCNATGQRGIVLPITGLPWQESYIEKHNSSSLREMHLFWVQGASSSLRELHLFWFEVHAVFGGGHQTY >ORGLA07G0044500.1 pep chromosome:AGI1.1:7:3943779:3946402:1 gene:ORGLA07G0044500 transcript:ORGLA07G0044500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASVAAGVTEFGFSQDRDPVQLAGLFAPVFGAAAGVQPPHLRAPPPPQVFHAQPKPGEGAMAAPQPQQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQDLVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPISVKGEASDSGSKQQIWEKWSTDGTEKQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQHSQDGHSVKPEPNTPS >ORGLA07G0044400.1 pep chromosome:AGI1.1:7:3936324:3939198:-1 gene:ORGLA07G0044400 transcript:ORGLA07G0044400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALKASTASAVAASTASASASSLSVAAAAPGRRGGAAGRVSFRGVPAPMVAIRAEAAAVGEDERVISGTFAKLKEQGKTAFIPFITAGDPDLATTAKALKILDACGSDLIELGVPYSDPLADGPVIQASATRALSKGTTFEDVISMVKEVIPELSCPVALFTYYNPILKRGIANFMTVVKEAGVHGLVVPDVPLEETNILRSEAAKNNLELVLLTTPTTPTERMEKITKASEGFIYLVSTVGVTGARANVSGKVQSLLQDIKQVTDKAVAVGFGISTPEHVKQIAGWGADGVIIGSAMVRQLGEAASPEEGLKKLEELAKSLKAALP >ORGLA07G0044300.1 pep chromosome:AGI1.1:7:3927716:3932098:-1 gene:ORGLA07G0044300 transcript:ORGLA07G0044300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVFAVDEIPDPLWAPPPPVQPAAAAGVDDVGAVSGGGLLERCPSGWNLERFLEELDGVPAPAASPDGAAIYPSPMPAAAAEAAARGSRGYGDREAVGVMPMPAAALPAAPASAAMDPVEYNAMLKRKLDEDLATVAMWRASGAIHSESPLGNKTSLSIVGSILSSQKCIEGNGILVQTKLSPGPNGGSGPYVNQNTDAHAKQATSGSSREPSPSEDDDMEGDAEAMGNMILDEEDKVKKRKESNRESARRSRSRKAARLKDLEEQVSLLRVENSSLLRRLADANQKYSAAAIDNRVLMADIEALRAKVRMAEESVKMVTGARQLHQAIPDMQSPLNVNSDASVPIQNNNPMNYFSNANNAGVNSFMHQVSPAFQIVDSVEKIDPTDPVQLQQQQMASLQHLQNGACGGGASSNGYTAWGSSLMDASELVNMELQ >ORGLA07G0044200.1 pep chromosome:AGI1.1:7:3924338:3925430:-1 gene:ORGLA07G0044200 transcript:ORGLA07G0044200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTSKGVLEIAKFGVYVSVPVALTYLVATDSKTLKKLMGLREYVVYPPEGPRPPPPEELRERAREIARKRQQQQ >ORGLA07G0044100.1 pep chromosome:AGI1.1:7:3918233:3923704:1 gene:ORGLA07G0044100 transcript:ORGLA07G0044100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G38840) TAIR;Acc:AT2G38840] MGWRSRASAVAVLWMLAAVVAVAALDPDQDELERAFPIVEPDHGHTKLRLAKEGLEAIKRIETPIAAVAVIGPYRSGKSFLLNQLLSLTCNKGFGVGHMRDTKTKGIWVWGTPIELDVNGSKVSVLYLDTEGFESIGKSNVYDDRIFALATVLSSILIYNLPETIREADISRLSFAVEIAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQQMVDEALQRVPNNNGDKYIDEVNRIRDSLAFMGDNSTAFSLPQPHLQRTKLCDMDDQELDPLYIERRDELKQIVTSMIKPKLLQGRTLNGKEFVSFLRQILEALNKGEIPSTGSLVEVFNKAILERCLKLYNERMERVGLPVSVDKLQLIHNLAEDEARKLFDKQHFGKHHTTRSILKLDEEMRKVFGNFGFANEYQSSKLCEAKFSECEDKMEHLQSLKLPSMAKFNAGFLRCNQSFEMECVGPAKESYERRMSKMLARSRALFIKEYNNKLFNWLVTFSLVMIVIARFVIKFFLLEVAAWVIFIFLETYTRLFWSSELLYYNPIWHMIVSSWETIVYNPVLDIDRWVIPIVVVLSFLAVYWRCLGVRKRIGRSLLPLYRGSYGSSSRPRTD >ORGLA07G0044000.1 pep chromosome:AGI1.1:7:3910660:3911384:1 gene:ORGLA07G0044000 transcript:ORGLA07G0044000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLPCAMETRPPPAAAAALAPARVSRFRRLLVRVSAAPERAGGGGGGEVREKEEKAAEMEVGSVGLDRMVLSFMEDSAAAAVERPPRGRCGSCFNGGGDGSDDEEFDFLPSDSSATAAASAAAAAGDALDALKGLVQSASMAERNLLADASRIAERCRKGGKKKADVRCAVADGLAALGYDAAVCKSRWDKTPSYPAGKLNLAPNSMLLNRRR >ORGLA07G0043900.1 pep chromosome:AGI1.1:7:3871078:3873518:1 gene:ORGLA07G0043900 transcript:ORGLA07G0043900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSIGKPDDGQSLLANVEASDDHAAFDAMATSSRRPPSLRPLRHPNVTSLAPLPPLHRCVPRQTEVIFPPLDSPDSSELKKVMSISLPATPTGFAAPVAGVSDSSGIDLRRQAMASNMTQRLQQRSPTSLSNNGRLTDETTAFQSPPPTPGGGRSSMSRDKRYDSFKTWSGRLERQISHLAGIGPDIPSPAGQVVDAAMDSHHHSHIVSTPEVGRFFAALEGPELDQLRSEEELVLPVDRTWPFLLRFPVSAFGICLGMGSQAILWKRIAESPPTTRYLHVAADVNLMLWWLSVALTCAVSAVYACKVVFFFEAVRREYLHPVRVNFFFAPLIACLFLAIGVPRAVAASTAALPAWLWYALMAPMLCLELKIYGQWMSSGQRRLSMVANPSNHLSVVGNFVGALLGASMGIREGAVFFFAIGVAHYVVLFVTLYQRLPTNEALPRELHPVFFLFVATPSVASVAWAAIAGEFALGARLAYFVAMFLYASLAARAVSLFGGVRFSLAWWAYTFPMTSAAAATIRYAAEVEDTRLARALCVALAAAATLTVGCLFATTVVHAVVLRSLFPNDVAIAITDHRKVKPKPKPKTTMEVHYKMDGNGDIECGALAMTPSPCMPMATAA >ORGLA07G0043800.1 pep chromosome:AGI1.1:7:3855302:3859527:1 gene:ORGLA07G0043800 transcript:ORGLA07G0043800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:I1Q8J6] ATATAAAAHTLLHLAAPRKPSAGPPLPPTTLRLPGRRLARLTASCSSGSGNNSAADFPNPNGILVAPPSAAAAASSHIDVDVATEADLRENGFRSTRRTKLVCTVGPATCGADELEALAVGGMNVARVNMCHGDREWHRGVIRAVRRLNEEKGFAVAVMMDTEGSEIHMGDLGGAAAAKAEDGEIWTFSVRSFEAPPPERTIHVNYEGFAEDVRVGDELLVDGGMARFEVVEKLGPDVKCRCTDPGLLLPRANLTFWRDGSIVRERNAMLPTISSKDWLDIDFGISEGVDFIAVSFVKSAEVINHLKSYIAARSRGSDIAVIAKIESIDSLKNLEEIIRASDGAMVARGDMGAQIPLEQVPSVQQKIVKLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALSVLRSVSLRIEKWWREEKRHEELELKDVSSSFSDKISEEICISAAKMANKLEVDAVFVYTNTGHMASLLSRCRPDCPIFAFTTSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMNVP >ORGLA07G0043700.1 pep chromosome:AGI1.1:7:3852048:3854186:1 gene:ORGLA07G0043700 transcript:ORGLA07G0043700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTRPLVSVKALEGDMATDNSSSLALAEVFRAPLRPDVVRFVHRLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKTWRKWHRRVNVHLRRVAVASALAATSVPSLVLARGHRIETVPELPLVISDSAESIEKTSQAIKILKQVGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGTFDAPSLKKKGFILPRPKMANADLGRIINSDEVQSVVKPLNKEVKRREKRKNPLKNVAAVLKLNPYFGTARKMATLAEAARIKARKEKLDSKRTKLSPEEAAKVKAAGKAWYKTMISDSDYAEFDNFSKWLGVTQ >ORGLA07G0043600.1 pep chromosome:AGI1.1:7:3842813:3847988:-1 gene:ORGLA07G0043600 transcript:ORGLA07G0043600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTRPCVPGHLATAAAAAASPPFPPPPPPPSSPSLPLPSALMPPKKRRLFTPAPRHAATPPQPPPPPPTLPIPPASTPPTPPQPSASTEPSTAPRPAVDDAAARSSSSSSPAAAAAARKVRKVVKKVIVKKVVPKGTFAARKAAAAAVAAAAAVGGAAASSEAGGEAPTDDPPSDQDGGVGNEQKLDESKPATDCNAVAVVEESVCKEEEVALVVGKGVEKEEEAGMSERRKKMTMEVFVGGLHRDAKEEDVRAVFAKAGEITEVRMIMNPLAGKNKGYCFVRYRHAAQAKKAIAEFGNVKICGKLCRAAVPVGNDRIFLGNINKKWKKEDVIKQLKKIGIENIDSVTLKSDSNNPVCNRGFAFLELETSRDARMAYKKLSQKNAFGKGLNIRVAWAEPLNDTDEKDMQVKSIFVDGIPTSWDHAQLKEIFKKHGKIESVVLSRDMPSAKRRDFAFINYITREAAISCLESFDKEEFRKNGSKVNIKVSLAKPAQQSKQTKEDHKSSISGEGKMKTSKIRYPVQDYTHIYSGEKRPFSTLNRVADSFSLNHFASSYVSYGALPPATAESSLPHYHDSNRYPPHLDEA >ORGLA07G0043500.1 pep chromosome:AGI1.1:7:3812253:3814471:-1 gene:ORGLA07G0043500 transcript:ORGLA07G0043500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRPPPPQNWIQLQIVEEEEEEEVRTPFWIPPPTHPLPDPDPPYPEWILLHGKAYLHHLTNATTAAASTKDGHRIHVTFWSAPPPSLSYFTVHCPDLNHEKFAHMPRIIATDGNLALIRVTICPMYFSEEAKFNEYFIYDAAKASPPSLEPLPSPSSCREVFPDRRVGLMRRSDGGFFVAALNQNKPYQRLSSSSGKHHLNLHLYDSTNGEWEIKVMDIVDSVASAAFTFASKVINIGGRSGSMGWVDLWKGILIYDMFVGNNVLRYIPLPLPPPWVHRVLLKGCAVAVRDVVAVNGSINYFEMYPHFSHGWIARTSTWKMDSCSSSNWQDRWNFKASELRMDNPLHLKLLHDLQGDEAEDQSPLLNLHAGHPALSLQHDDGDVVYILLRAVCMDEKGCLLVIDMRNKTVREVVDCSGARTGGFRDVYRQSRISKHLYRNKPTPAKVLCVELHKQSSNNPWLTAVTHGRKRSQGEHVMAGHDQCVWKETLDMRGCALVSEIFKRSEA >ORGLA07G0043400.1 pep chromosome:AGI1.1:7:3799775:3800659:1 gene:ORGLA07G0043400 transcript:ORGLA07G0043400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEPESYNDSQTHFSTWFIEGSNVCPDMRCPGFESVFSSEIVPGMVISPVSTTSGKKQYITVRVSKDQNSGDWQIYYGFNGDAKLAGYYPRSLFTSLSDKPVTILFGGYALRKDQKPSPPMGSGNAPFKNAASFRSIKFFDAGGNAHPIDFRLGFISNCYTISVIENDGFFYGGPGNIC >ORGLA07G0043300.1 pep chromosome:AGI1.1:7:3795512:3795906:1 gene:ORGLA07G0043300 transcript:ORGLA07G0043300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALGRDTAIYGSGHRGGYGFHRHYRDGWRGDHHGGHGQEHIISHIGFLPLPPPPHYPLSEFLPPPYFGAYHEPTIGYTPHSEYYGSMVSHAHPVTQSHV >ORGLA07G0043200.1 pep chromosome:AGI1.1:7:3790437:3792033:-1 gene:ORGLA07G0043200 transcript:ORGLA07G0043200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKGTRLHNFRDSNLNFAAEGMPAVKKDDVRTHRPVGMRKGRWVLGDITEVLDRNSWRLGKIAKVLKDDYFVIRVTGCMQMREFHISCLRFPHAYHGKQSAVIDKVREQSEKQTQHVDQTFHHSKMVMEEDHHSNEANDHITKRHKAINLCPSSSARNVKKKLELTRMPPDDSIPGASKKRRVDAHEVHRQTRKPQPLKVSAKNDIHRDLFCRPSSERYNDLAKNNLTKRKPDSIVRPPSQMPLQVREENECSVASCSVNFSEHSMNTDTQSVGVRNSFPDDAMSSCPSMLRQESDNVHGCDFKMDVHELELQAYQSTVRAFYALGPLTWEQESLLTNLRLSLNITNEEHLLQLRHLLSS >ORGLA07G0043100.1 pep chromosome:AGI1.1:7:3782929:3784957:-1 gene:ORGLA07G0043100 transcript:ORGLA07G0043100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPPVAGDTFAGAPPPPSQEEDAPPYGSVVLGGTFDRLHDGHRRLLKASADLARDRIVVGVCTGPMLAKKEYAELIEPVEKRMKAVEDYIKSVKPELVVQVEPIEDPYGPSIIDDKLDAIIVSKETLNGGFAVNRKREEKGLPLLKVEVVDLLSGGAEGEKLSSSALRKLEAEKANQQEGAASKVLWQRLQNRGSGVT >ORGLA07G0043000.1 pep chromosome:AGI1.1:7:3779335:3781338:-1 gene:ORGLA07G0043000 transcript:ORGLA07G0043000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63410) TAIR;Acc:AT3G63410] MKEMVSSSTFRAPGGLGFLGPSKIGLIPLRNRSGVRSRVKYIAPKCAVSSARPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPAELYHHGLKVVDVGGGTGFTTLGIVKHVDNENVTLLDQSPHQLEKARQKAALNGVNIIEGDAEDLPYPTDTFDRYVSAGSIEYWPDPQRGIREAYRVLKLGGVACLIGPVHPTFWLSRFFADMWMLFPKEEEYIEWFQKAGFQDVKIKRIGPKWYRGVRRHGLIMGCSVTGVKRSSGDSPLQLGPKAEDVEKPVNPFTFIFRFIMGTICASYYVLVPIYMWMKDQIVPKDQPI >ORGLA07G0042900.1 pep chromosome:AGI1.1:7:3773030:3778489:1 gene:ORGLA07G0042900 transcript:ORGLA07G0042900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G63400) TAIR;Acc:AT3G63400] MPKAKKNPHVFFDIAIGGRAAERITFELFADVVPKTAENFRALCTGERGLGVSTQKPLYFKGTNMHRILKGFMAQGGDFSRGDGRGGESIYGAKFKDENFKLKHDQPGVLSMANAGPDSNGSQFFITFVPTPHLDGKHVVFGKVVTGMPLLKKLEAVGSDTGKPTCEVKIVDCGEVSDSQNQLKGEKEKKLRRTEDSSAAEKRVKTQKPPTHDKQKKKRKHYSSDSYSSDYSDTQSSDSGSESESYSSSSLDTSSSSDRRHKTRKSSKKDKHRSAKGKSKHKKTKRKSRGTKRKSKRSYRSSSDDSDSSKTGGSSSDSESEGRRTTRTKHSSKKDPDNTKTISLEKDSTLEDADKGKQTATLDNISNEGSKPSNTDGNGAGIRDDPGARARSSPIRADASLTKVDGNNGADTAEAGISRAEPVPTNGKDLAMGSTDNGQPQRVRKGRGFTQQYAFARRYRTPSPERSPVRSRYNDGRNDRWNHFNRYGRNGPYGARSPVRRYRGSPRASSPSRYPRRDRSRSRSRSPLRYRERGGYRRPSPRRSRSRSPAEHQRRDVRNRLRSGRDGGGPDHRNSSPPVNRGRSRSRSKSRDPSKSRSPDAPPAKKWSSKYNRRRSSSSRSSSPAGSKGLVSY >ORGLA07G0042800.1 pep chromosome:AGI1.1:7:3753365:3755548:1 gene:ORGLA07G0042800 transcript:ORGLA07G0042800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20740) TAIR;Acc:AT4G20740] MASPPPERAPAAEARRRRTTVYHGHRRPSPHRPTVRGGVFSDLRATTAASSQPRPPSSSSSSAPFRLADWDPSSSSSSTPSPSATAAARRLSPLARFLLDALRRHQRWGPPVVAELTKLRRVAPELVAEVLSARPPPPPPLALPFFLWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLMRAHGKPVSHSQLNLLVRLHTGARRPLRALHALRRFRHEFSVQPEVHACNRVLGALAAAGHVDDTLKLFDEMSHCSVRPMPVTFAIMVRALARAGMTDKLLEMIGRMRAEVCRPDVFVYTALVKTMVRWGHMEGCTRVWEEMRRDHVDPDTMAYTTMIAGLCNAGMVEKAEELFGEMRRKGLLVDRMVYASLIDGYVSTGRVSDGCRVLKEMVDAGYRADLGIYNTLIGGLCEIEREDKAHKMFQIVVQEDLIPSSETVSPLLARYADKGEMVKFFGLVDKLVELSLPIVEILVDFLKLFACKSGNELKAVEVFNAFRRRGHYSVGIYNILIENLLKIKERKKALVLFEEMQSSDDCKPDSCTYSHMIPCFVDEGNIEEACSCYNLMMKAVWIPSISAYCALVKGLCKKGEINAAISLVKDCLGNVENGPMEFKYTLTILEACQSKSPEKVIKVVDEMIELGYSIEEIIYSAIIYGFCKYASSTEARKVLSTMRDRNIISEANYIVYEDMLNEHLKNVTADLVISGLKFLDLESKLKWRSRDD >ORGLA07G0042700.1 pep chromosome:AGI1.1:7:3748426:3753006:-1 gene:ORGLA07G0042700 transcript:ORGLA07G0042700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuvB-like helicase [Source:UniProtKB/TrEMBL;Acc:I1Q8I5] MRIEEVQSTTKKQRIATHTHIKGLGLDANGAAIGLAAGFVGQGAAREAAGLVVDMIRQKKMAGRALLLAGPPATGKTALALGISQELGSKVVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEAESTTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVSVGDVIYIEANSGAVKRVGRCDAFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITEKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIDIDEESLAFLGEIGQQTSLRHAIQLLSPASVVAKANGREKISKADLEEVSALYLDAKSSARLLQEQQERYIT >ORGLA07G0042600.1 pep chromosome:AGI1.1:7:3741061:3741767:1 gene:ORGLA07G0042600 transcript:ORGLA07G0042600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATMALSSSFAAAAAGSAPWRGVVAAGRAAVGFPPRRRAAALVVRAQAEPEVEPTKEEAATSSPPTPTPSPAAAAPRAKPAAAPRAKPAASTGLWDVLAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLEQAGSGGGLAWFAATAAVLSAASLVPLLRGESAEARSGGVMSADAELWNGRFAMLGLVALAFTEFLTGSPLVNV >ORGLA07G0042500.1 pep chromosome:AGI1.1:7:3737162:3739604:1 gene:ORGLA07G0042500 transcript:ORGLA07G0042500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPMLNAVKEEESHGDGGGLEVVAGEDGAAAVAAGVAPRPMEGLHDAGPPPFLTKTYDMVDDAGTDAAVSWSATSNSFVVWDPHAFATVLLPRFFKHNNFSSFVRQLNTYGFRKVDPDRWEFANENFLRGQRHLLKNIKRRKPPSHTASNQQSLGPYLEVGHFGYDAEIDRLKRDKQLLMAEVVKLRQEQQNTKANLKAMEDRLQGTEQRQQQMMAFLARVMKNPEFLKQLMSQNEMRKELQDAISKKRRRRIDQGPEVDDVGTSSSIEQESPALFDPQESVEFLIDGIPSDLENSAMDAGGLVEPQDFDVGASEEQQIGPQGELNDNFWEELLNEGLVGEENHNPVVEDDMNVLSEKMGYLNSNGPTAGE >ORGLA07G0042400.1 pep chromosome:AGI1.1:7:3719038:3723245:1 gene:ORGLA07G0042400 transcript:ORGLA07G0042400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFLSESPCDEQHIHGFNPQSWLQVERGKLPKSSYSPSSIESLIKIAEPPVVPLYKPLDYVEVLSRIHEELEQCAPSERPGLYLIQSQVFRGLGEAKLRQRSLHSAWRCATTVHEKIVFGAWLRYEKRGEDIISDVLASCRKCCKEFGPLDVASEMPEGDFEILGSCDIGISLKVSPVVTFQIRDGKVTCNRCKIASLSIPFWSMLNGPFTESQLDLVDLSENGISLEGMRAVSEFSCTYSLEDLPLETLLEILVFANTFCCDRLKDACDRKLASFVSSRQDAVELMALAFEENAPVLAASCLQVFLQELPDCLNDEHVVSLFLSATEQQQCIMVGHASFLLYCLLSEVAMNIDPRTEATVCLSEKLVQLAVTPTQKQIAFHQLGCIRLLRKEYNEAEHQFSVAFSAGHVYSIAGLARIAGIRGRKGLAYEKLSSVITSSVPLGWMYMERSLYSEGDKKLGDLDKATELDPTLTYPYMYRAASLMRKKDARLALEEINRVLGFKLALECLELRICLYLALEDYKSAICDIHAILTLSPEYRMLEGRVAASKIGTLLGAHVEQWNTAECWLQLYERWSSVDDIGSLSVIYRMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHAATEHERLVYEGWLLYDTGHCEEALQKAEESISIQRSFEAFFLKAYVLADSGVDPSYSATVISLLEDALKCPSDRLRKGQALNNLGGVYVDCEKLDAAADCYTSALKIRHTRAHQGLARVHFLRNNRDAAYEEMTKLIEKAKNNASAYEKRSEYCEREQTMTDLQIVTQLDPLRVYPYRYRAAVLMDSHKEKEAIAELTRAIAFKADLHLLHLRAAFHEHIGDVPSALRDCRAALSLDPNHQEMLELQKRVNSQEP >ORGLA07G0042300.1 pep chromosome:AGI1.1:7:3681987:3684652:-1 gene:ORGLA07G0042300 transcript:ORGLA07G0042300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYEKGGDVEAGTSGGARELYPGMTEPPEMRWALIRKIYVILSMQLLLTAAVAAVVVKVRAISHFFVSSHAGLGLYIFLIILPFIVLCPLYYYHQKHPVNLILLGLFTVAISFAVGMTCAFTSGKVILESAILTTVVVFSLTAYTFWAAKRGRDFSFLGPFLFASLIVLLVFAFIQILFPLGRISQMIYGGIASLIFSGYIVYDTDNIIKRYTYDQYVWAAVSLYLDVINLFLSLMTLFRAAD >ORGLA07G0042200.1 pep chromosome:AGI1.1:7:3680082:3681346:-1 gene:ORGLA07G0042200 transcript:ORGLA07G0042200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERPELRWAFIRKVYAIVATQLVVTVAIAAAVYSVPAIRRFFLARTPASLAAFVLVIVAPLIVMLPTMFLRKKHPINLILLALFTICMSCAIGLGCLSSKAGIAIIEAASLTFGVVFGLTLYTFWAAKRGHDFSFLRPFLVAAFLVLVLYGLIQMLVPTGKVATTVYGCVAALVFSGFIIYDTDNLIKRHAYDEYVTAAISLYLDTVNIFMAIFTALDASDS >ORGLA07G0042100.1 pep chromosome:AGI1.1:7:3669826:3675662:1 gene:ORGLA07G0042100 transcript:ORGLA07G0042100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAVGQWVPHVEAFVDVSRPPAQHSASVDALSALVNKDKLTLFDLVSKMEMYLTTTDHIVRSRGILLLGEILCRISFKQLDVNAISTLSDFFISRLSDWQALRGALVGCLALLHRKQTVGSIIIADVKRLLETFLQNVQVQSLAAADRKLCFQILNYILDHYPEAVKTMGDELLYGMCEAIDEEKDPECLKLSFNLVEAVMKLFPDPSGLAAQYASEVFEILSKYYPIYFTHGVGDDLDATRDDLSKALMHAFCSTPYFEPFAIHLLLDKLSSSLPLAKLDSLKYLDNCIRCYGADRMGRHVTTIWFKLKEVIFSLSIDQILSTSGAKDMEKNKNEIVSEALTCLKTAITQMGPSDEDRLINLILLDEDIVSSIHSVASEEASGLTSLQNPIQLHALGSVISILAESSAYFCTRVLQAHFARLVDSLEISAGRESQHLNNCSGPSSGAINYGALYLSVQMLSSCREVALTYKEEFSPIKSAKESWWLILEKKMDSLIHILQSLLTIDSQSVQSADRQEYVSCAVKGLIILATFPEPRLPLSASAYEDVLLTLTSVIMSKYENMHLWRLSLKALTTIGSSIVEFHASQKENIYNKVVVDKISSLDEPCRTSIPLNLRLEACFEVGTSGSNCMLRVAKSLEEAVVGNISEATEHSSCFHSSCMSHFYKQLNKIFC >ORGLA07G0042000.1 pep chromosome:AGI1.1:7:3667574:3668239:-1 gene:ORGLA07G0042000 transcript:ORGLA07G0042000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTPPVCFAPGSRSEAAAATASRRRERWRSKAAASAGRICRPSWRSSSPRGGGGGSSTDYVRFRAVCSPWRAAAPSPRGRGVLDPLLHPGARWMMFPEGFGRFPGHRALAGHAHFLDLSASAAAALIRVPLPLLRDHCVLDSPDGLLLLQRDGDTAIRLLHPFTGDIAEFPPPRFPRPPAPPPGLRPHRRSDVHLHRLDVQHLRYPILTPDPQDLRRRRCRR >ORGLA07G0041900.1 pep chromosome:AGI1.1:7:3660220:3662116:-1 gene:ORGLA07G0041900 transcript:ORGLA07G0041900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose 5-phosphate isomerase, type A protein [Source:Projected from Arabidopsis thaliana (AT3G04790) TAIR;Acc:AT3G04790] MAAATVSVRFHPTASAARCGGGSRRSRRLSGVIRAQSAPASAAAAALTQDDLKRLAAVRAVEQVESGMVLGLGTGSTAAFAVAEIGALLASGKLSGIVGVPTSKRTFEQAQSLGIPLSTLDDHPRIDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASDKFIVVVDETKLVTGLGGSGLAMPVEVVQFCWKYNQVRLQDLFNDEGCEAKLRLDEGGKPYVTDNSNYIVDLYFKTPIKDALAAGKEISALEGVVEHGLFLDMATSVIIAGTDGVSVKTK >ORGLA07G0041800.1 pep chromosome:AGI1.1:7:3642576:3646306:1 gene:ORGLA07G0041800 transcript:ORGLA07G0041800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKVEKKRYVLKKIRLARQTDRCRRSAHQEMELIAKVRNPYIVEYKDSWVEKGCYVCIVIGYCEGGDMSEAIKKANSNYFSEERLCMWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCLYEMTALKPAFKAFDMQTLINKISKSVLAPLPTIYSGAFRGLIKSMLRKSPDHRPSAAELLKHPHLQPFVLELQLKSSPARNLFPDTNKASCSDDENNWKAKYSKSHSFKVDRIVKVDKVAANNGHPSSTGTAKDYQELLKQPMDELLGQLTEKVVDEVIHGNHSRVTKSPAPTPRRASSTPRIRLEPSKTFHARAAETPPSKCSLERASQPTRRASTPVNMLQTPEKRQGADILTRLKSPDVSVNSPRIDRIAEFPIPSFDDEQLHPTTKLKLYPPSITDQSITKDKCTFQVFRSDSSKNHTGDSSDPSILGTDSNPLITSSSDWMKQRRFDTTSYRQRAEALEGLLEFSAQLLQQERFEELGILLKPFGPGKASPRETAIWLSKSFKGTGL >ORGLA07G0041700.1 pep chromosome:AGI1.1:7:3625204:3626331:-1 gene:ORGLA07G0041700 transcript:ORGLA07G0041700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAATTTTLPAAAALLLLLLSAAAQLCSACPPAASQTAENNPRLQRAYVALQALRRRVTDDPKNLTGGWCGPDVCRYFGVYCAAAPDDPCAATVAGIDLNHGDLAATLPDELGLLTDLAVLHLNSNRFSGALPDTLPKLSLLHELDVSNNRLAGGFPDHILCLPNVKYVDLRFNNFCGEVPPAIFDKKIDALFLNDNHFDFELPANLGNSPASVIVLANIKLRGCIPSSVGRMAATLNELVVLNSGVRSCIPPEIGHLGELTVLDVSNNQLQGTLPESMAWMRSLEQLDVARNELAGHIPEGICALPRLRNFTYSYNYFCGEPERCLRLRRVDDRQNCIAGRPDQRPADQCLAFLHRPPVHCDAHGCFAPPGHY >ORGLA07G0041600.1 pep chromosome:AGI1.1:7:3617766:3622709:1 gene:ORGLA07G0041600 transcript:ORGLA07G0041600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDRAAAAAPAADSPLFSFIDSLSPIEPLKSTYSASSIQAYQSLNITSISSIFTSPHDNAQKESKLSKSSFAEFSESEVCADESDKNKPSKSSNAVRLFACTSTLTQATHKITSSVSEGTVGPPEGSNDLPQPGQFDSGSPDHNTTPCHGVRSDLKQGKCRKLQAFQTAKTNTSEKRKCLFSTEVQLMDGCQPEKLNDEILGCDWDDLISATSGELIAYDEDHKGVQLAVSNSESCGFLLSKLTGDGDISDRTHPSSSTQTYYRELLMDEDQTENAQLVPDGEKNISTEEIQDNLYEANGSIPTGYKVETQQQRGMRRRCLVFEAAGYSNRIVQKESVMDLSVSTCKGKSPVQNHSNPGKTPSPRVLRGIGLHLNALALTSKDKMICQDPMSSLVLSSATQQEAHGKMLSAGENFIHPGGELLELQMDDDCSAGVFLGNDHDSSQSNSPQKKRRKSDNGDDGEACKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKVIRMSDAGQDITGEDPNNTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSSNCRCEGCKNTFGKRDAAVSTEAEEMKQGGEEAENCGKEKENDLQKANAQSEDHPFLELVPITPPFDVSSSLLKPPNFSSAKPPRPTKARSNSSRSSSKAPGAVHSQKFSKIANSGLNEEMPDILRDDASPGNCVKTSSPNGKRVSPPHNALSVSPSRKGGRKLILKSIPSFPSLIGDASSGSSMNSTESAFNTASPLALGPS >ORGLA07G0041500.1 pep chromosome:AGI1.1:7:3588262:3591915:-1 gene:ORGLA07G0041500 transcript:ORGLA07G0041500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREESAKKSKLSWSKSLVRKWFNIRTKAQDFHADSETTTQGRDGGGGAGGRASFSASSASTSSAKKSRTDRSSSKRSADRVRRGRNDFDLARLTEVQDYRIFAATWNVGGKSPPRGLNLDEWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNIPAKKWVSLIRRTLNKNPGASGSGVYHTPSPVLNPVVELEADFEASARRQENYSFFHRRSFHNLSRSLRMDADYMFPQPKLDRRFSVCDPVSLGGRPSDFDGNLRWLGSPDEENIDEELSNAAQCSPLPYSCNTMAPTEANDEQPNGSRYCLVASKQMVGIFLTVWVRNEIRDDVRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPRVRGANDVKSPETILEHDRIIWLGDLNYRIALSYCSARALVEMHNWKQLLEKDQLRIQQRYGRVFQGWKEGRIYFPPTYKYSFNSDRYAGEGMHPKEKRRTPAWCDRILWYGNGLNQLCYVRGESRFSDHRPVYSIFMAEVEIVHHRRKNMGYFSSRIEVEELLPHSQSYREINFY >ORGLA07G0041400.1 pep chromosome:AGI1.1:7:3569229:3569841:1 gene:ORGLA07G0041400 transcript:ORGLA07G0041400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAWIGAAAAVVMVALMAGGAAAQTPSSTSGCTQTLLSMSPCLNYLTGNETAPSASCCGKLGEVVKSQPECLCVALNADTAALGLSINRTRALGLPDACKVQTPPVSNCKSGAAAPPAGQTPTTPAGTGSKATPATPVGSGVAPLRISPVGILAGIVVAAVYAVSAV >ORGLA07G0041300.1 pep chromosome:AGI1.1:7:3565300:3566444:1 gene:ORGLA07G0041300 transcript:ORGLA07G0041300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNKAVAVAAAAMVAVVAMVAAPASGQAVAASCTASLITSFTPCFNFITSGGGGGGGNGTAAGGGAPTAECCQSVAAMINTSASCACLVLTGNVPLGIPINRTLAVTLPKACNSMSVPLQCKDTSAQIPAAGVPVAVSPAMPPLPPSPPESTAGAGSPTATATPPATSQTQTRPQVVPSSARRVATNAGFPAFLLLLAAMLF >ORGLA07G0041200.1 pep chromosome:AGI1.1:7:3559269:3564486:1 gene:ORGLA07G0041200 transcript:ORGLA07G0041200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTKCAVPLVSGAAGGGGSAELTRQLSSTQASPRFSFSSGVLPSLGSRGGGERHARLRRFVVSPYDRRYELWNNYLILLVVYSAWVTPFEFGFVPEPAGALAAADNAVNAFFAVDIVLTFFVAYTDPKTFLLQDDPRKIALRYITTWFVLDVVATIPTELARRILPPDLRSYGFFGILRLWRLHRVGILFARLEKDRKFSYFWVRCVKLVCVTLFAVHCSACFYYLLADRYPDPTNTWISAYMPNFHKASIWSRYVASMYWSITTLSTVGYGDMHAENTGEMVFTTTYMLFNLGLTAYIIGNMTNLVVHGTSRTRXXXXMIQAATSFAQRHQLPARLQEQMVSHLSLKFRTNSEGLHQQETFEALPKAIKSSISHHLFFGLVQNVYLFEGVSNDLIFQLVSEMNAEYFAPREDIILQNEAPADFYIIVSGSMLAGMAKSGDVVGEIGVLCYRPQLFTARTRSLCQLLRLDRAAFLRIIQSNIADGTIVMNNLIQYLREKKEIASIVAVAKEIDDMLARGQMDFPITLCFAASKGDSFLLHQLLKRGLDPNESDHYGRTALHIAASNGNEQCVRLLLENGADSSSRDPEGRVPLWEALCRRHQTVVQLLVDAGADLSGGDAAPYARVAVEQNDAALLGEIVRHGGDVSGACSGDGTTALHRAVLDGNVQMARLLLEHGADADAEDVNGLTPRAVAEQGGHADMQLAFASATRQEPRKARPPPPASAIVPVPLRDGVDSSPSSSSRRGRTSSTSAASARSTPQRMANFRNSLFGVISSSHAFHHEGGYRGGGGGGGAAAERERSSSSPPLVRVAISCPESRGGKDQSSKLVFMPETLRGLLELGAARFGVSPTRVVTSGGADVDDARLVRDGDHLLLVTDKWVPPQKS >ORGLA07G0041100.1 pep chromosome:AGI1.1:7:3544993:3546721:-1 gene:ORGLA07G0041100 transcript:ORGLA07G0041100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKRCDLQAPSPVSSELANGGGGLLSPRSSSSSSSSLQGFSPRSIFSVDDQAKNHPCASPRNPLSGGGQVTGLAGVLVDGEGERRCYGRTGRVLLGMMRLRVQLPQERVLAGGGGGGEMPPPPSSPIEFGVKNRDAQLALLSPVQRSPLSSAAARAAQGEAELAEDYTCVIARGPNPKMTHIFDDLVVESSAAGGDGGDACRLFMQHRDEKAFCSSQCRYHEVLFDKRIDEASDVSFKLKN >ORGLA07G0041000.1 pep chromosome:AGI1.1:7:3543930:3544145:1 gene:ORGLA07G0041000 transcript:ORGLA07G0041000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAEAEAGTGGGGGRDGTATKKKAAACDVAALRKCLEENKGDRSKCQDHIDAFRSSCSTNPPPPRRS >ORGLA07G0040900.1 pep chromosome:AGI1.1:7:3540010:3541446:-1 gene:ORGLA07G0040900 transcript:ORGLA07G0040900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Putative harbinger transposase-derived nuclease (InterPro:IPR006912); BEST Arabidopsis thaliana protein match is: PIF / Ping-Pong family of plant transposases (TAIR:AT3G55350.1); Has 30201 Blast hits to 17322 proteins in /.../ecies: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G63270) TAIR;Acc:AT3G63270] MEKKTKKKNPSKRGRKRGGRGEGREKKVEEISSSSSSRGRGRRRMAPVKKSKKGKRKSKDSGKLKIVKYGGGAPPLPPELRGLDTEWWYTFLHKHSELGLSAPSDEGEAFRYFFRTSRRTFDYICSIVREDLISRPPSGLINIEGRLLSVEKQVAIAMRRLASGDSQVSVGAAFGVGQSTVSQVTWRFIESMEERARHHLVWPGQERMEQIKARFEAESGLPNCCGAIDATHIIMTLPAVESSEDWCDPAKNYSMFLQGIVDDEMRFIDIVTGWPGSMTFSRLLKCSGFFKHCDAGTRLDGPVMVSAENGEIREYIVGNNCYPLLPWLMTPYEGESLSAPMASFNARQKAARTLGPRALSRLKGSWRILNKVMWRPDKNKLPSIILVCCLLHNIIIDCEDELLPDVQLPDHHDTGYSEEKCEQVDPNGKIMRDVITGYLQI >ORGLA07G0040800.1 pep chromosome:AGI1.1:7:3537377:3538149:1 gene:ORGLA07G0040800 transcript:ORGLA07G0040800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKSQTGVPRAPVVAVMVVVMTMLASRAASQNNGCSSVMMTLSPCLDYISGKSPIPEFTCCTTLAGVVQSDPRCLCMVLDGSAASFGISINHTRALELPGVCKVQAPPISQCTAVPTPPPAPDTPTLADEPAETNEDEPSPPPAGSAGSNKTSSATNSKKAASLMASVLIPVCLVLCLL >ORGLA07G0040700.1 pep chromosome:AGI1.1:7:3534729:3535861:-1 gene:ORGLA07G0040700 transcript:ORGLA07G0040700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNNGVAVMFAAVVVVAGALVAGAAAQSGCTSEMVSLAPCLDYMQGNASRPTASCCAALSSVVKSRPECLCAVLGGGASSLGVTVNTTRALELPAACSVKTPPPSECSKVGAPIPSPAPGGAAAPNAPPAAGTGSKTTPTTGASSAGESVGKAASVAMVIVSAAFAMLYA >ORGLA07G0040600.1 pep chromosome:AGI1.1:7:3528331:3529507:1 gene:ORGLA07G0040600 transcript:ORGLA07G0040600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATECDVNKSRRFDPGMSRRTRRSTSLIACYQDQHAPSLVQQLRQDDKLKTLFQCQGMELQPPYPYEDQELQSEGDEQETPNRYHDEQEEKPHHYLDEEQEKKPFQDQDGERKIPKQYLDEDQKTVQQCQYEDKMTPNQYKDEENTTGQYQDEEQKIAKQCKEEEEXXXXXXXXXXQDEEHKSLKAQHQCQDTERKAPGQCKTAKTKLITPPCADDVPRFSLQDLIQEKQLLIGEAKATRKLGNREKAIANHKLPPPPAASSATLAMVIKRPDGGKKSMGVIRRCVQALNQMVKAKHGSKKNKPPF >ORGLA07G0040500.1 pep chromosome:AGI1.1:7:3520454:3521090:1 gene:ORGLA07G0040500 transcript:ORGLA07G0040500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARGVALAVVLAAAAAILAASPGAAQGGGGSCMTEIISLASCLGYMSGNSSAPKPSCCTALSSVVTSKPACLCAVLGGGASSLGVTINNTRALELPAACNVKTPPASQCSTVGVPMPSPATPTTPATPAAPAVPSETPAGTSGSKATPTTATTTTGQSASGGSVGKAASMATVVVSVAFALIHV >ORGLA07G0040400.1 pep chromosome:AGI1.1:7:3507842:3509584:1 gene:ORGLA07G0040400 transcript:ORGLA07G0040400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALTSIKGVGRRFSNIACKKADIDMNKRAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >ORGLA07G0040300.1 pep chromosome:AGI1.1:7:3495247:3502547:1 gene:ORGLA07G0040300 transcript:ORGLA07G0040300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVASGSGRKRQLVLESSDSEADEFFVSTRRKEDGDDDAGNAGGGSGGGGDQGGEKVVTVSPEKVSGAKSTDEGGGSDKSKGSEVGKSVSQPDVKRIRTEAAHGGGGGSSGSVSKDGTGGKMLRPGFPKWRFEKPEVRAGRVLDEKDGVETKVSSSQKVKDHASSSVYERRRPEPLKPEKSTPSKTNQEVIRVQGKSGVLKIRPKNNKVASETGDGKNLPKNAKVDGDTGDGKVVPKKSTVEENGDGKILTKSGVLKLLPKNNKVAKETSDGNPRSKNTKVVGETSDAKILMKNKANRESGDDKAPKNCTVNLETSAGKILSRNTKEDLKTSDVCRQDKEKSDAIDVSQKQGADGEKRITEKLVSPILLRKSDPSVVGISLGQKMKQQNSKAQLKISSLGQRQPSLNLKDEKNKKKRLLDHKMSPENLSKKAKLNVIDQDTSRPSLEKHGIKKERKGPRYTMKQKLRGQIKDILLNNGWKIDLRRRKNKDYEDSVYVSPQGNGYWSITKAYAVFQEQSKSGKHTGKSSKHKAGVADAACNAISENDLAMLQRNVVKRRTKKELGASKKKYEDSSSRNSKDNNAGRSSGNKHQSSGVRGCALLVRGSTHSMEGNVDGYVPYRWKRTVLSWMIDMGVVSEDAKVKYMNKKGTRARLEGRITRDGIHCGCCSKILTVAKFELHAGSKEQQPYENIFLEDGGATLSQCLVDAWKKQSQSEKKGFYKVDPGDDPDDDTCGICGDGGDLLCCDNCPSTFHLACLGIKMPSGDWHCRSCICRFCGSTQEITTSSAELLSCLQCSRKYHQVCAPGTMKDSVKAESNSSTDCFCSPGCRKIYKHLRKLLGVKNAIEAGFSWSLVRCFPDKLAAPPKGKAHLIHCNSKTAVAFSVMDECFLPRIDERSGINIIHNVIYNCGSDFNRLNFSKFYTFILERGDEVISAAAVRIHGTDLAEMPFIGTRGIYRRQGMCHRLLNAIESALSSLNVRRLVIPAIPELQNTWTTVFGFKPVEPSKRQKIKSLNILIIHGTGLLEKRLLATGTINQENTTVNDKMDAQTHVEATGSRTPVHSSCELPVGGDPDIKHHDDSHPLVGNSKGLTLNLPCVPEEKTTELTSPVLDVVQGMPESENTQEMKNGETDATLTSEDIIAEQKYEDKSNSSLTDSSAIPMTVDPGSCSSNETVKGEHHTSSEPSVEAILVRDKPEPSISCNVTNQEDKNSSMVPVDTTVHLATIVGNHDIQNSVEIKGMEHNTTKDQTFVGAVANNVATTEDPSDSVADCEVPIVRSIQQKDEVIADKNACATIDQTAVDDVANNFVATTENDSDSTAELGVSMERCIQQKVEVIKDKSDSPLRTSISKVMLEKSDQMKSTESDSVKMKDMAIEVKVTVENFSEAGKPASALVMSNDINGEVMAKPNLTCGDDQLHGGDGTYKNSMEDDLASREPVNA >ORGLA07G0040200.1 pep chromosome:AGI1.1:7:3482092:3484925:-1 gene:ORGLA07G0040200 transcript:ORGLA07G0040200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:I1Q8G0] MASPVAEGDAVSAGFAELERQQQLLASCTRLYKQLEEHFASLERGLAARSDSLRHKRRAAEARASAAMDSLRRREASIDGSVSRALDHLDDLASASSVPSDAAAAAEGVAESLRAMCARMDSAGFFGFVVARRKEVDALRAEMPPALKCCVDPAKFVMDAVADVFPVDRREAKNPTDLAWACVLILEAAVPALADPDPEIGAARLLVPRAARERARGMAREWKEAAERKGGVEWTKPPDAHAFLQHVATFAVAEREDRGIYRRIVVSFSWRRQMPRLALTLGLEEDMADIIEELIAKGQQLDAVNFAYEAGLQEKFPPVPLLKSYLADSKKTSCTVSDNSSTSSGQSGSNANKKEQSVLRAVIKCIEDRKLEAEFPLEDLQRQLEELEKAKTEKKKATSSASSGGSSGPATKRIRASNGGPMPPAKAGRLTNNACVSSTPAATTFVHSPSHTSYAMASPYPYDRPVGHGLYCNQSPPAIREPYVYPAKEVTNFAPGLPYSSPPISYPHAYGGYNNGMGAYNNGMAPAFHQAYYR >ORGLA07G0040100.1 pep chromosome:AGI1.1:7:3478499:3479014:1 gene:ORGLA07G0040100 transcript:ORGLA07G0040100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPAPRKRTIAVQRRCGVAAAAAGMAGGKKLRRLPHIFAKVLELPFAADADVSVEEDAAALRFVAAADGFTPSGGASAHAVEIHPGVTKVVVRDLSAGLDGDDGAVFELDRWRFRLPPCTLPAMATATYADGELVVTVPKGAAPDDDGDGAAAAVLGGSGVVESVLLLV >ORGLA07G0040000.1 pep chromosome:AGI1.1:7:3468971:3474487:1 gene:ORGLA07G0040000 transcript:ORGLA07G0040000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G32040) TAIR;Acc:AT2G32040] MASGSSVGGDSYDEEAAAAAVPRRPPLELDGRGAASDHRSGFIPRYQVGSSKVDTSERYFDEWQKKLPNTDEIRKSKPRSRYFTISGVELSPDNMAVATVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLIKPLYGFISDSIPLFGYRRRSYLILSGFLGALSWGLMATLVDSKYSAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRLSSGERAMSHSGSGFIETSKQHIRQLWTSVKQPNIFLPTLFIFLWQATPKSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGLYNYFLKAVPLRKIFLATTIIGSALGMTQVLLVTGLNRQFGISDEWFSIGDSLIITVLSQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVSGGLVGAGLTQFFGVTKDSFKNLALLIVICNLSALLPLPLLGLLPEESGNA >ORGLA07G0039900.1 pep chromosome:AGI1.1:7:3465639:3467709:1 gene:ORGLA07G0039900 transcript:ORGLA07G0039900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHCESYCAPPLCNLPCLPKSKDDSTTDDAAAASSPTPATVVAAAFAEDKPPPLQKIEAAVTANKDHDDDGDGGGDEGSKEVVVTVVPKSSLKKTNCEDSKNVVKGNVKWMDLLGKDLTQVKEFEPSESGDSDDEDGNTCICVIQ >ORGLA07G0039800.1 pep chromosome:AGI1.1:7:3460148:3460552:-1 gene:ORGLA07G0039800 transcript:ORGLA07G0039800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSLPRPPTPDPPLLRAQVDGGVAMVDDDDSVARPWWXQLSARSEKXRWRRKANSPVVA >ORGLA07G0039700.1 pep chromosome:AGI1.1:7:3457777:3458943:-1 gene:ORGLA07G0039700 transcript:ORGLA07G0039700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDVPFHGCIQPXRSPTLPATEAPAGAAPHGRNYVERRRVPPHHLPHRPMTPACKNFVLFLVSTVVVLGVIARMVVVDSTSWGEALLMLPVMLLVIAIIVVIQATVYLSIIRDFSAAAAEGHDGGGDSQMLLDQMEQV >ORGLA07G0039600.1 pep chromosome:AGI1.1:7:3453167:3455785:-1 gene:ORGLA07G0039600 transcript:ORGLA07G0039600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G03800) TAIR;Acc:AT5G03800] MAISTSSAAPPPRLLPPQPPPTSRPLPPPPPPPPPAHGPSPPPPRTRLHTRALAAAASADPRAAHAVAVKSGAAASSGARAWNAVMCGYLRAGALADARGVFERMPARDAASYSALISGHARLGSPAAAGVELLGRMRLAGMAPTEYTFVGLLTACARRGNPRLGSQVHALAVKGNSPCGGGGGSLLVDNALLGMYVKGGRFDDALKVFDGMERRDVSSWNTVLSGLVELGRYDEAFELFGDMRDSGVGADRFSLSALLAAAAEGFGLHEGAAVHALSLKSGLEMDLSVGNALVGFYAEHGHSIEDVVDVFERMSAKDVISWTGLLNGYMEFGLVDMAMDVFDRMPVRNFVTYNAVLTGFNHNKEGVRVTFARKSGLRGLGLFKQMLEDGLEISDVTVTGVLNACAIAAERKMSEQVQAFAIKCGCGSTPWIDAALIDMCIKCGRSGDAHLLFEKWRHEESFHIAWNSLLAASFRDGEYEKALSTFLKMFRSNDVQFIDEFILTTVLGACGALGFAEFGKQMHCFAAKSGLLSAQGVGNAIISMYGKCGALETAVNVFKRMPCRDLVSWNALITSHLLHRQGDEILDLWSQMERLPIKPDSVTFLLVISSCSYTSSNSADKCRELFLSMSSIYGIEPAVEHYAAFVHVLGCWGHFEEAEQLIGKMPFKPSALVWRSLLDSCNRQPNMTMRRLAMRHLLALEPQDPSTYVLASNLYSESARWQCSESTRLKMREKGMRKIPARSWTFHGNSIHSFFARDRSHPQSKDIYAGLDVLILECMKAGYEPDTTFVLHDVEEYQKRHFLMYHSVKLAAMYGLLMSGHGETIRVVKNVRMCGDCHSFLEYTSAATGKEILVRDSAGFHIFRGGKCSCRG >ORGLA07G0039500.1 pep chromosome:AGI1.1:7:3449336:3452022:-1 gene:ORGLA07G0039500 transcript:ORGLA07G0039500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RBP11-like [Source:Projected from Arabidopsis thaliana (AT3G52090) TAIR;Acc:AT3G52090] MNAPDRYERFVVPEGTKKVSYERDTKIVNAGSFTIEREDHTIGNILRMQLHRDPNVLFAGYKLPHPLQYKILVRVHTANQSTPTQAYTQAINDLDKELENLKQAFEDEKIRYEERPKQGY >ORGLA07G0039400.1 pep chromosome:AGI1.1:7:3446632:3447549:-1 gene:ORGLA07G0039400 transcript:ORGLA07G0039400.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAEWDHLLCSLLEEGRSGVAREPPPTTITAAEVVAAVAQPKHGRVDTENRWKPTHALACMRVAVWFFNTVSLVLFGIVVVKVVPHCKTMEEVFACILAILTVLGILIMGYCMIKNTKEDIKAMEGSP >ORGLA07G0039300.1 pep chromosome:AGI1.1:7:3439198:3442206:1 gene:ORGLA07G0039300 transcript:ORGLA07G0039300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKEAGDAEADERRRLRSLAFSNGLLQRGEPAAPRSALAPSTAVSRLQGRDIVRRGGQRKSRFLFSFPGLLAPAAAASGGRVGELADLGTKNPLLYLDFPQGRMKLLGTHVYPKNKYLTLQMSRSTKGVVCEDVFESLIVFSEAWWIGTKEENPQELKLDFPKEFQNDGAVADSDFKGGAGASCDEAVTINKPPKETTTGSLSPKIESDIDSSEDSDLKDEDNTQSTSQAPSVRQSARTAGKALKYTEISSGNDSSDNDDEIDVPEDMDEKQVKSPAVKNESQSEDNKPADSSAQPISAKKEPLVQATLSSMFKKAEEKKRCTRSPKGSPATKGPAAKKQRASPEEKHPTGKKSAGRSQKKRKTQVEDDEIEVLSSSSQDNNVDDDSDEDWAE >ORGLA07G0039200.1 pep chromosome:AGI1.1:7:3428396:3428743:-1 gene:ORGLA07G0039200 transcript:ORGLA07G0039200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGDPDNAGDKADKEGETREHNVVFLSAPTPLSAATRRSRVCCCPQGRGPRSRDLAASRPPSSGAEGVRCLVPSPLDRPDLEAGHPPPREPGGPLLLGALVSSVWWTSLPGKILLR >ORGLA07G0039100.1 pep chromosome:AGI1.1:7:3416121:3421332:1 gene:ORGLA07G0039100 transcript:ORGLA07G0039100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSRKPDDQKPAEQAAKPALPAPQRAGKRVPPPAIRGRKGAAGRRGGAAPRGRRKAVEVVDLEAGQGRGDSPKPVVGQAVVGEAKNVKAPEVVANKGLRMDGESAEKLVAADDESSLPVPERIQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGTNRTGPDAYEVALKLEHRNSKGCNYGAPYEWQVYHNLNGCYGIPAVHYKGRQGDYYILVMDMLGPSLWDVWNSLGQTMSPHMGACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLYLIDLGLASKWRESSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLSCFCPPPFKQFLEVVTNMKFDEEPNYAKLISLFDSLIEVPASRPIRIDGALKVGQKRGRNHEEDEQPKKKVRLGSPAAQWISVYNARRAMKQRYHYNVADNRLQQHIEKGNEDGLYISCVASSANLWALIMDAGTGFLSQVHELSPVFLHKDWIMDQWEKNFYITAIAGSANGSSLVVMSKGTPYSQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWETGYRITSTAATNDQAAFILSIPKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICFGRTVC >ORGLA07G0039000.1 pep chromosome:AGI1.1:7:3405439:3409164:-1 gene:ORGLA07G0039000 transcript:ORGLA07G0039000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP:galactose-1-phosphate uridylyltransferases;ribose-5-phosphate adenylyltransferases [Source:Projected from Arabidopsis thaliana (AT5G18200) TAIR;Acc:AT5G18200] MAAEASRTSEARRDAVFGRWVVFSPARSRRPTDLKSHAPANPSPGAGAAAGAPKPSCPFCQGRESECAPEIFRVPAPPDASPWRIRVIENLYPALRRDAEPPAPEEAGEGEATPGERAVVGFGFHDVVIETPRHDVRLWDLDAAGVGDVLLAYARRVRQLMEHPAVKYVQVFKNHGASAGASMAHSHSQMLGTPFVPPSVTTRLNCMKEVFERLGKCSLCEFQSKDILVSETHNFSAIVPFAASYPFEIWIIPRQHSSYFHEIDKDMALDLGSLLRTMLAKLSKQLNDPPFNFMIHSAPFGVSSSCLPYTHWFLQIVPQLSLIGGFEIGSGCYINPVFPEDAAKILRELDC >ORGLA07G0038900.1 pep chromosome:AGI1.1:7:3402905:3404459:1 gene:ORGLA07G0038900 transcript:ORGLA07G0038900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein containing PDZ domain, a K-box domain, and a TPR region [Source:Projected from Arabidopsis thaliana (AT1G55480) TAIR;Acc:AT1G55480] MALAHQLVANRPLLPTPAPRVPRASISNARPQPLLGRDCRLTLLRAERRTLAVARASSSSSSSSQTEPKSEGGEAAAAEGEEQPYEEYEVEILKPYGLKFAKGRDGGTYIEAILPGAAADQTGKFEVGDKVLATSAVFGEEIWPAAGYGQTMYCIRQRVGPLYMKMEKRFGKWDGAAELSEKEIIRAERNSGVISNRVREIQLQNYQRKMEQKMQREEDLRMGLRLYKDGKYEEALEKFESVLGSKPEINESSIASYNVACCYSKLDRIQAGISALEDALKAGYEDFKRIRTDPDLENLRKTEEFNVLLNKYDESFINENAINAIKSLFGFNKK >ORGLA07G0038800.1 pep chromosome:AGI1.1:7:3397174:3397725:1 gene:ORGLA07G0038800 transcript:ORGLA07G0038800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEGRRGWIPWARRAMGEDAEMGSLRRAAAAGEDDEKGVPESETAAKGDGAASYGYIPVPQDADGDKCVVLVPTANAADWSVAEVKGGGGGGGGGEDATATTKMIQMRPVGLGIARSRPGGDRLDGIEDGIEDDIDEVKDPGEDQAVHQPMLPVLVRGSAPEKSDLVRLLVLFWEDLGWVY >ORGLA07G0038700.1 pep chromosome:AGI1.1:7:3387845:3392208:1 gene:ORGLA07G0038700 transcript:ORGLA07G0038700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRRRKREEEEEEEAEEWERWKRGRRKRRKRRRRRGGEEDPVDVLGEEVMGRVMELLDARSVARCTAVSRAWRGVAADDRLWAPKCAELMAGKAHIPRLTMIPTASKLSTYSMAIADGKRTRITKEDLCDHDWEFRFTIAAPEYWRNLDPSWKHTGPPMRRYFHPDGYHSADPHDAVWGGHECTYTIITSFAGNGCIRDHYVRINRWPPMKVSRKEDWSWELSNHLYRYNSIPDTDKKGCTGPLFPVW >ORGLA07G0038600.1 pep chromosome:AGI1.1:7:3379870:3380307:-1 gene:ORGLA07G0038600 transcript:ORGLA07G0038600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSRKASPLVRVDLADVNSGGATVFLVDVALVAAELDRRNADTRGLGDEARTALQLLWPQPHDGG >ORGLA07G0038500.1 pep chromosome:AGI1.1:7:3377308:3377935:-1 gene:ORGLA07G0038500 transcript:ORGLA07G0038500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVLSDVETSMMEQGKAAVAAMTKTTTQPSQHVRAMPGDPTVDERERFEAMDVIFKLVLTLFVTGVSFGGAVALIVVAFLNADERVVLVYLALTCVAFGVTGYNIIQRAC >ORGLA07G0038400.1 pep chromosome:AGI1.1:7:3369758:3372765:-1 gene:ORGLA07G0038400 transcript:ORGLA07G0038400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAKSVAVADGNPKTATETPRVEDYKDAAMYYGTYPAYLYGAYGGWGEYSTYLSHDGAETPTAGAYGDMYYGYSPYGYSTSGHDSQMYGSQHYQYQPTYNKQQNTTGKPSNNGKTENPAALPQGDVSANGVDSLKGQKKTNLLPKASQNTPGSNGSYGRPSGRFGNYQNQTNRTTYPCYSSQIFNGKQQKLPTGNRSLTTSNSKSKGQSRNQNTYPHLMGLQTPTSPLGPPSIYSASGMYGYNGSSYGSGLWYGSHLYGSGLYGGWNALSDGKYNPRGRGNGSYGYIHGNQDGFNELRRGPRSGLFNNQQGVGATVAPVKGQELSASDSSLSVMKDQYNRADFVETYSDAKFFIIKSYSEDDVHKSIKYNVWASTSNGNKKLDAAYQEAKEKSSDSSVFLLFSVNASGQFVGLAEMVGRVDFNKTLEHWQQDKWTGCFPVKWHIVKDVPNSLLKHIILENNENKPVTNCRDTHEVKLEPGLQVLKIFKDHVCKTSLLDDFDFYDNREKMMQERKAKHQQLKKVVDEKLLNAVDTENSLLTVKSKLQETAEVEIDVLNKEPHGKAGQVDGKENGVLAVSVNGVTPEDVQLTNEKLASLSVC >ORGLA07G0038300.1 pep chromosome:AGI1.1:7:3367218:3368949:1 gene:ORGLA07G0038300 transcript:ORGLA07G0038300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease [Source:Projected from Arabidopsis thaliana (AT2G23840) TAIR;Acc:AT2G23840] WPARWLRRSRSAATSSCVARSAAAGGAGCPGCGAMAAAGGGRLVAPPSAPWPARARGKNRSGGGGRSATKDDERAGKDEAAEAVVFVDGEDDEAAIDGDDLSGFRGLVLDLSYRPVNVVCWKRAICLEFMAKADVLEYYDQTVSSPSGSFYIPAVLRVPELLQVVKRRRVKHSLSRKNILYRDGFTCQYCSSVDNLTIDHVIPTARGGKWEWENLVTACSRCNSRKGQKTVEQANMKLLKVPKAPKEFDILAVPLTKAAFRTLKRSQGLPEEWLQYLARPSP >ORGLA07G0038200.1 pep chromosome:AGI1.1:7:3361567:3365231:-1 gene:ORGLA07G0038200 transcript:ORGLA07G0038200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLREIRIAAAAGIGRRRCFSGDAAAAAAAGVAEGKVGGGAGKEVNLFTAINQALHIALDTDPRSYVFGEDVGFGGVFRCTTGLADRFGRNRVFNTPLCEQGIAGFAVGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNEFNCGGLTIRSPYGAVGHGGHYHSQSPEAFFCHVPGLKVIIPRSPREAKGLLLASIRDPNPVVFFEPKWLYRLAVEEVPEEDYMLPLSEAEVIRKGSDITLIGWGAQLAVLEEACEDAAKDGISCELIDLRTLIPWDKETVEASVSKTGKLLVSHEAPITGGFGAEIAASITERCFQRLEAPVARVCGLDTPFPLVYETFYMPTKNKVLDAIKATVNY >ORGLA07G0038100.1 pep chromosome:AGI1.1:7:3359969:3360178:1 gene:ORGLA07G0038100 transcript:ORGLA07G0038100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMHRIAPAPRVFTEKVRSKSAAAVSSRQGGALLVDHAGVRYSEGDVSLDGHGAASEHAGLRSPGRAV >ORGLA07G0038000.1 pep chromosome:AGI1.1:7:3355977:3356771:-1 gene:ORGLA07G0038000 transcript:ORGLA07G0038000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVAAAASSQPASQPLAGRVAIVTGASRGIGRGIAAHLSALGASLVLGYASSSAEADALAAELPRAVAVKADVSDEAGVRALFDAAESAFGAGAHILVANAGVLDDRYPHLSNTPTADFDRTIAVNLRGAFLCLREAANRLPRGGRIVAITSSVVASLPPGYSAYTASKAAVEAMVRTMAKELKGTGITANCVAPGPVATDMFFAGKDEAWVKRTVDANPTGRLGDPGDIAAMVGFLCTDAAEWTNGQVIRVNGGYVS >ORGLA07G0037900.1 pep chromosome:AGI1.1:7:3354598:3355383:1 gene:ORGLA07G0037900 transcript:ORGLA07G0037900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSAPAQAQAAALPLSGRVAIVTGASRGIGRAIAIHLASLGASVVVGYASSSGPAEALAAELPSAVAVKADVSDEAGARSLFDAAEAAFGGGAAHIFVACAGLAVSTYPRLADTSAADFDAAFAVNARGAFLCLREAANRLRRGGGGRIVAVSSTLAATLLPGYAAYAASKAAVEAMVRVMAKEVGASRVTVNCVAPGPVATELFFAGKSEEAVERFKAGNPMGRLGEVGDIAPVVGFLCTDAAEWVNGQVIRVNGGIA >ORGLA07G0037800.1 pep chromosome:AGI1.1:7:3348996:3350111:-1 gene:ORGLA07G0037800 transcript:ORGLA07G0037800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMTLTMPGAPDVGSYYGEQWAPPAMSSLSSLFSYSPPGTAKYAAALASPSQQVSTTMPELETEGELKTLPAPMTIETTAAAAAAARSPEIVKVRSVWAHNLDEEANLIESLFPSFRLAAVDTEFPGTVHRPSAPAYTLTRKQKYALLKKNVDELHLVQLGLTLFDAGGRLPDLGTGGAARYVWEFNFREFDLRRHAHAPESIALLRSKGVDFDRTRRGGVDAAAFGPRLRRWLRAGLGRAGLVTFSGTYDLAYMLKMLYGGGGGGGGYRLPGDAATFEFVVRAVIGRTLYDVGKMARHCPGDMRGGLERVAGKLGVRRAVGEAHQAGSDSLLTSQMFMRMRERYFDDQDALTAVAGINFGYLNFTSCEYT >ORGLA07G0037700.1 pep chromosome:AGI1.1:7:3344544:3346846:1 gene:ORGLA07G0037700 transcript:ORGLA07G0037700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVFDAAILSKQEAIPAQFVWPADEAPAADDGVVEEIAIPVVDLAAFLAGGGIGRDVAEACERHGFFQVVNHGVDPALLAEAYRCCDAFYARPLAEKQRARRRPGENHGYASSFTGRFDCKLPWKETMSFNCSAAPGNARMVADYFVDALGEEYRHMGEVYQEYCDVMTRLALDVTEVLAVALGLGRGELRGFFADGDPVMRLNHYPPCRQPHLTLGTGPHRDPTSLTLLHQDDVGGLQVLPDDAAAAAGGWRAVRPRADAFVVNIGDTFAALTNGRHASCLHRAVVNGRVARRSLTFFLNPRLDRVVSPPPALVDAAHPRAFPDFTWREFLEFTQRHYRSDTNTMDAFVAWIKQRNGYESLDKY >ORGLA07G0037600.1 pep chromosome:AGI1.1:7:3341892:3343521:1 gene:ORGLA07G0037600 transcript:ORGLA07G0037600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLSSSGAAAAVASQGQLPDCFVFPADRRPPASTAAVSLPVIDLSGPRDAVRRAVLDAGKELGFFQVRKQQNPQACRYTHSFSLNRLTWRCRACKVVNHGVPPETMREMAAVCEEFFRLPAEDKAAFYSDAEENPNRLFSSTIYEVGDQRYWRDCLRLACGFPVADDTNTHWPDKPHHLRDVTEKFFVATRGLGIELLRLLCEGMGLRPDYFERDLTAGDVIINVNHYPPCPDPSLTLGLPPHCDRNLITLLLQGDVFGLQVSYNGDWINVDPVPDAFVVNFGHLLEIATNGVLKSIEHRAMTNSAVARTSVATFMMPPMDCLVGPAKELVGDGGQPQYRTVTFREFMRIYKTVGARRDSVEKAFKI >ORGLA07G0037500.1 pep chromosome:AGI1.1:7:3340872:3341330:-1 gene:ORGLA07G0037500 transcript:ORGLA07G0037500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGNEMQARNGGGAAMCAAGCGFFGSAATDGLCSKCYKQQQPQPRHLIGTAAGDSDKTSLKVVADLSTLVIKDNSGVGGEGTTVMAPPATVTKAKNRCEACRKKVGLLGFPCRCGGMFCGAHACAFDYKAAGREAIARHNPLVVAPKINKI >ORGLA07G0037400.1 pep chromosome:AGI1.1:7:3335803:3337973:1 gene:ORGLA07G0037400 transcript:ORGLA07G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDRKDFNRIDGEFEVVPVVLDGTIRNVYNXSAAIRNKTKYACRSSRRTPATARGTGATAPRATRATPYLDDGCTDINECLHPKEYGCYGNCMNTPGGYTCVCPPGTSGNPTEMNGCRSKDKFTFVVKKRKLIRTKXKFFEQNGGVILQQQMHSGGRARGFRIFSMEELKKATNIFAAGHVLGRGGHGVVYKGVLEDKTVVAIKKSKMMKEAQTKEFARETFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNDTLYHYIHGKNPQSXHTTXYSSSNSCRVCRGALLHALICFTANPLWRCQDGKYPAXXQAQRQSFXFWGIKASTNXXDRDRNVGAGNLRVLGPRIPYDTPIDRXERCIQFWCHRVGASNXEESIILGRARGRQEPSFMLHHSSESWSS >ORGLA07G0037300.1 pep chromosome:AGI1.1:7:3333945:3334157:1 gene:ORGLA07G0037300 transcript:ORGLA07G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISALRLLQAAAFAVLLVCLAPATAASVRRLPTTSCPDRCGNISIPYPFGIGADCARDEGFQLDTSTS >ORGLA07G0037200.1 pep chromosome:AGI1.1:7:3331049:3331264:-1 gene:ORGLA07G0037200 transcript:ORGLA07G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKMQAGDGGGAAMCAAGCGFFGSAATDGLCSKCYKEQQPQPRHHISSAPPPGTATKWWTRSSPTSRRS >ORGLA07G0037100.1 pep chromosome:AGI1.1:7:3321380:3321865:-1 gene:ORGLA07G0037100 transcript:ORGLA07G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESWKNESEETVHTPEAPILCVNNCGFFGSSMTNNMCSKCYRDFVKVTTMAAPVVEKKAFTPASSSKTPLEPAKPDEVPAAAVEDKQAAQEPPKPPSNRCLSCRKKVGLTGFQCRCGGTFCSTHRYTEAHDCTFDYKKAGRDQIAKQNPVVIAEKINKI >ORGLA07G0037000.1 pep chromosome:AGI1.1:7:3314401:3317055:1 gene:ORGLA07G0037000 transcript:ORGLA07G0037000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVVVIVAVAMAAWWAVAAVEGLGINWGTQATHPLPPKAVVQLLKDNGIAKVKLFDTDFAAMSALAGSGVEVMVAIPNKDLATMASDYGNAKDWVKKNVKRFDFDGGVTIKYVAVGNEPFLKAYNGSFINITLPALQNVQNALNDAGIGDRIKATVPLNADVYESTVPSAGRFRPEIAGLMTDIVKFLAKNNAPFTVNIYPFLSLYLDEHFPINFAFFDGGSTPVNDGGIMYTNVFDANFDTLVAALKAVGHGDMPIIVGEVGWPTDGDKNARVDLAQRFYAGLLKRLAANVGTPARPNQYIEMYLFGLVDEDMKSVAPGSFERHWGVLRYDGQPKFAMDLAGQGRNTMLVPAKGIEYLPKTWCVINTNAKDVSKLGDNINFACTYADCTPLGFGSSCNGMDTNGNASYAFNAYFQAQSQKEEACNFQGLAVPTETDPTTAQCNFTIQIKSSAAAAAAPVAAGVVVAALAQLLLLW >ORGLA07G0036900.1 pep chromosome:AGI1.1:7:3279823:3288209:1 gene:ORGLA07G0036900 transcript:ORGLA07G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carbon-nitrogen hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G55090) TAIR;Acc:AT1G55090] MRLLRVATCNLNQWAMDFDTNLRNVKESIARAKAAGAAVRVGPELELTGYGCEDHFLEQDTAAHAWECLKDILSGGYTDGILCSIGMPVIFKSVRYNCQVFCLNSKIVMIRPKISLANDGNYREFRWFSAWTFKDALVDFQLPLDISEVTSQDTVPFGYGFIQFLDVSLAAETCEELFTANAPRIDLALNGVEVFVNASGSHHQLRKLSLRIDSMRNATLACGGVYMYANQQGCDGGRLYYDGCCCIAVNGDVVAQGSQFSLKDVEVLDALVDLDAVSSYRASVSSFREQASHRTNVPFVKVPYKLCKPFQCGMVPTGPVEVMYHRPEEEIAFGPSCWLWDYLRRSRASGFLLPLSGGADSSSVAAIVGCMCQLVVKDIDNGDEQVKADAMRIGQYKDGEFPKDSRELAKRLFYTVYMGTENSSGGTRSRAKMLAEEIGSFHLDVPIDSIVSALLSLFERLTGKRPRYKVDGGSDTENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRAFLRWAAVHLHYSSLAEVEAAPPTAELEPIRADYNQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQVGLYEFEL >ORGLA07G0036800.1 pep chromosome:AGI1.1:7:3274742:3278170:1 gene:ORGLA07G0036800 transcript:ORGLA07G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit E [Source:UniProtKB/TrEMBL;Acc:I1Q8C6] MAEHDLTARMAGHLDCHLVLPLLEFLQERHLYPEEEILEAKIRLLRGTNMVDYAMDIHKSRYGTDDVPEDMVKRRAEVVSRLTSLGEAIDNIHQNQQIGPDQIETLYQYAKFQFDCGNYSFAAQYLHQYRALCTNIERSLSALWGKLAAEILMQNWDVALDELNRLKEIIDSKNFSSPLNQLQNRIWLMHWSIFIFYNHENGRNGIIDLFFQERYLNAIQTNAPHLLRYLATAVVVNKRRRNMLKELIKVIQQEQHSYKDPITEFLECLFVNYDFDGAQQKLIECEEVILNDPFLGKRIEEGNSITVPLRDEFLENARLFIFETYCRIHRSIDIGMLSQKLNMRYDEGELWIMNLVRNSKLDAKIDSVSGTLIMTTNHVNIHEQFIESLKNLNMRTSMLAKNIVEPAQATQQATR >ORGLA07G0036700.1 pep chromosome:AGI1.1:7:3268508:3272592:-1 gene:ORGLA07G0036700 transcript:ORGLA07G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >ORGLA07G0036600.1 pep chromosome:AGI1.1:7:3263182:3266946:1 gene:ORGLA07G0036600 transcript:ORGLA07G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKNLVVLFLVSVVMAMVPGSTQLQASQTWSLLKIQQMLGYPAVLGHWHNYTDFCYGGDYKTTSAFVECYGDSVTQLHIIGGGGGSPPPPPLPKTFSIDSFFTTLSRLPDLRVLTLTGLGLWGPLPGKVSRLAALEIVNVSGNYLYGELPLALSRLGNLQTFIADDNMLSGELPAWLGRLPVLAVLSLRNNSLEGTFPGSVSDMASLRSLSLASNNLSGNLPDMSGAKNLQVIDLANNSLGPEFPRLGRKVASVVLAGNRFSDGLPPELASFYLLERLDVSRNRFVGPFMPALLSLPSIEYLSVAGNRFTGMLSGNMSCGDNLKFVDVSSNLLTGSLPTCLAAGAVGKAADSDSDSSKTVLFSANCLATGDDTQHPSPFCKNQAIAVGIVPDQARRKPSGARSGLIAGVVAAAIAAAVLAGVAVFLAVRKASMRRAQARPPRRLVEHASSAYPSKLFADARYISQTVKLGALGIPAYRSFSLVELEAATNDFEVSNMMGQDSHGQMYRGRLSNGTPVTIRSLKVKRSQTSQSFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVTQLYLVFEYVQNGNLRGRISQGTEGRKLTWVQRISTAIGVAKGIQFLHGGIIPGLFANNLKITNILLDQNLVAKIGSYNIPILSETMKSEGGSGNKYPSDSVPNGDKLDIFDFGVILLEVISGRPITSIYEVEIMKEQLQSALTAEGTAKRRSFVDPAVSKGCSDESVKTVMEICLRCLAKEAVQRPSVEDVLWNLQFAAQVQDDWRGDSRSSEESPLSPSQIPRDLEDDQ >ORGLA07G0036500.1 pep chromosome:AGI1.1:7:3254139:3254924:1 gene:ORGLA07G0036500 transcript:ORGLA07G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein-associated protein [Source:UniProtKB/TrEMBL;Acc:I1Q8C3] MSNPKGSKMLQFVNYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPSKSSKTTGEREERRTLGLLLLRGEEVVSMTVEGPPPPDESRAKAAGAGAAMAGPGVGRAAGRGVPAGQMLQAQPGLAGPVRGVGGPAPGMMQPQISRPPMPNLSAPPVAYPQVVRPPPGQMPPPMRPPQMPIPFQRPPGVPPAFPGGPPPPPGPFMRGPPPMGPPQVRPGMPGGPPPGMRPGMPPPPFRPGMPPPPPGPQQPGQNPPQ >ORGLA07G0036400.1 pep chromosome:AGI1.1:7:3252792:3253392:-1 gene:ORGLA07G0036400 transcript:ORGLA07G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDDGHGLPRTLSHRELQAMCKRNDVHANMTNATMTDALQLLPSVDGIHKIDTTALCLPTPSRLTMKSALKAASAVGEEEQQQHGSPLPRGRRVSVKSLEAIQMDFEEGEDEMKRDREERNLGVALRSTSRRARATPTPIPTPCDH >ORGLA07G0036300.1 pep chromosome:AGI1.1:7:3240852:3246550:-1 gene:ORGLA07G0036300 transcript:ORGLA07G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAMLQSAAESAIQSIGLGYDIAHDIRLKYCKQRSSPDPLLIELDHGEVQDIVLPGGLTVAGVSKSIKCDKGERTRFRSDVLSFQQQMSEQFNQELSLSGKIPSGLFNTMFEFNGCWQKDAANTKSLAFDGWCITLYAVALSKAQIVLRDHVKQSVPSTWEPAALARFIRKFGTHVVVGIKMGGKDIIYLKQQHSSTLQAVDVQKRLKEMSDRRFLDANGQSDFSFKDSYGKNKIDTREHRLRFVDSSPLNSYSSKEDLVMMPKRRGGRDKDILSHSEWLNTVQAEPDVISMSFIPITSLLNGVPGCGFLNHAINLYLRYKPQIEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSTVSLPVNLIGPKLYVCTNMVDVGKRPVTGIRLFLEGKRSNKLAIHLQHLCSLPQILQLEDDPYNDQTPEAYDRKYYEPIGSWKRFSHVCTAPVESDDSSIVTGAQLEVVSHGFKKILFLRLHFSKVRNATSVRNPEWEGSPNLAQKSGLISTLISTHFSTAAQKPAPRPADVNINSAVYPGGPPVPVQTPKLLKFVDPTEMMRGPQDLPGYWVVSGAKLQLERGKISLRVKYSLLTAMLPDDDEFAFDEEF >ORGLA07G0036200.1 pep chromosome:AGI1.1:7:3235440:3237699:1 gene:ORGLA07G0036200 transcript:ORGLA07G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFQEIIVSFQQRYYTQKTQISLFEEWIMLDRALEEMQKKDSKIVDKLSFKEQMAYVLLKVGRFEEAEKTYRSMLFMNPDNYKYFIAIQKCLGLYSENGQYSTDDIDRLCTFYSSLKKEYGWSSVVKV >ORGLA07G0036100.1 pep chromosome:AGI1.1:7:3227417:3228506:1 gene:ORGLA07G0036100 transcript:ORGLA07G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQVLLLAIVSAVALLPAMVSATDYTVGDGHGWTLEYPSTNWADGKSFQIGDKLVFTYTKGKHTVTEVDGAAFHACNRQGNTLMTWNSGNDTVALDKAGKRWFFCNVDNHCELGMKLVVDVADPNAPAPASPPPPSSSSSAGRLNYRARGGAVAGAVAAAALVWF >ORGLA07G0036000.1 pep chromosome:AGI1.1:7:3224689:3225813:1 gene:ORGLA07G0036000 transcript:ORGLA07G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDGHPPEFIIHVIEDLAPPPPPPPARAAAPPRILPAAAAFQPRLRPSSEANKTIRTVLFIFKVICFALIALASSTTIYGKASLING >ORGLA07G0035900.1 pep chromosome:AGI1.1:7:3212957:3222044:-1 gene:ORGLA07G0035900 transcript:ORGLA07G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCSSVDESAAAIATLAESAAAGARETVADEFARAAGSGAGGRGDVAGSAAAGVASGAGGRDDAAGDEVVIAGVLSLANGQKAAAAGAISGVESMQSYMRGTRFFCFEERVCSDQMAALKMAAACGSAAGMRAVAMVAARARHAARIAANPDVENPLHDIPEDRPDYMLSAAATGARAGVLCARMKIQAAGGDSTSHAKYVPFLAPFIGGALPGSCAAYHLVKHSPEWVMGVVFGSISLGFFAACTGTVSGLLGTSSATFQYSRFAAITTFTAVWFLFSFAMTSVFSKTWRKILCGFICGVPGVTFVRAWLYSGW >ORGLA07G0035800.1 pep chromosome:AGI1.1:7:3200641:3202516:1 gene:ORGLA07G0035800 transcript:ORGLA07G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNAESVHNPPEIIIHVVDDLAPPPPNAIAVPPRILPPATAFRRRPPPPSEAVRAARGILFIFKCKYGQMAYSILKNGVSCFAAIAMASSVTIYFMVHPIKGDSIADERSGVRLMCGFILAVAVIWLLLSYFSCDDKCVILDDEEQQAGNPVAETRGHVQWPGGQPFYVFT >ORGLA07G0035700.1 pep chromosome:AGI1.1:7:3198269:3198553:1 gene:ORGLA07G0035700 transcript:ORGLA07G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLLDAKEKEAKLKEERWKETKEIQERKLLFAERKLAWDQQHKIMFFDVSTLAMRAQIVASNVAALNDGFDGSSGFGGEFGGGNGESLSTSME >ORGLA07G0035600.1 pep chromosome:AGI1.1:7:3159922:3165387:-1 gene:ORGLA07G0035600 transcript:ORGLA07G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein / regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT3G03790) TAIR;Acc:AT3G03790] MEASISPPGSSKQAGLRRPSPGNSLKDLCLVSKQGSIAEVESALALLKKSGGSIDGRNVFGLCALHLATWRNHLPIVRRLLDAGADPDARDGESGWSSLHRALHFGHLCVASVLLQFGASLALEDTKGRTPVDLLSGPVSQANGDSPDSVAMEVFSWGSGTNYQLGTGNAHIQKLPCKVDALHGSYIKTVAASKFHSVAVSSDGELYTWGFGRGGRLGHPDIHSGQTTAVITPRQVTVGLGRKRVNVVAAAKHHTVIATEAGELFTWGSNREGQLGYPSVDTQPTPRRVSSLKARIISVAAANKHSAAVADTGEVFTWGSNKEGQLGYGTSNSASNCIPRMVEYLKGKAFKCVSAAKYHTVALGTDGEVFTWGHRLVTPRRAVISRCLKKGGNTNLKFHRMERLQVNSVAAGVMHTTVLTADGAIFYWVSSDPDLRCRQIFSMCGRNVVNISAGKYWTALATAGGDVFMWDAKKHKDDLPMFTRVHGVKRATSVCVGETHMLVLSSIYHPEYPPKPKIQCKKAMLEWNGGMEELDEDIMFNDAQPDSGISGSDGVIKKGAPSLKSLCEKVAIEHILEPKNSIQLLEVAESLEAKELKKHCEDIAIRNLDYIFTVAAPSVMNASPEILANLERLLDEKSSEPWSHRRLPTVTATYPAVIDSDEEGDEAGGFLRLRDSQKSASKSYGISSYGNFLDKDSNAGQAASKQIRALRKKLQQIEMLEAKQLDGHQLDNQQLAKLESRAALEGELAELGIPTDLRTPVCVTEEKTNKKSSVSKKQKRKNKQAAHSDTPLVKREDRDQIYVKDLQEVLPVHISAEKEASVADSIKPSEHVTFINTKAISCPLENKASQPTSSKKKNRKGGLSLFLSGALDDTPKPSPPTPVVTVTPKHEGPAWGGAKVTKGSASLRDIQSEQRKTNEPITAKAKDRFEDSPDSAGRMRLSSFIPDARSTPITVTPARVVPASEGDKSTLSWSSSATSPNVSRPSLRDIQMQQEKRQTGISHSPKTRTSGFAIPSQGTSPEVGGIKDNVPNRWFKPEADAPSSIRSIQIEEQAMKDFKRFYSNVRIVKPQIQ >ORGLA07G0035500.1 pep chromosome:AGI1.1:7:3153646:3156759:-1 gene:ORGLA07G0035500 transcript:ORGLA07G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G17980) TAIR;Acc:AT5G17980] MAAAETVRKLVVEVVEARNLLPKDGTGTSSPYARVDFDGQRRKTHTVPRELNPAWNEALEFNFAGVAGDVVGGGEPLEVAVLHDVRVGPSRRSNFLGRVRLDARQFVRKGEEALIYFPLEKKGFFNWVRGEIGLRVYYLDEPVAPPPPPPEPPAAHPAPAEAAPDAPPADADAAPEAPEKAEEAPPGASGGDDGATEKPPETDAAAAAATSAPEEEAPVMASEAVAASAEAAPEEEQILTPPPPPTPTPTPMPRQVPVPARPPPPPPEAPVERSKHDLVDKMPYLFVRVVRARGLPAGAHPHVRVAAGGRHASTREARRGAFFEWDQTFAFVRDPGATDSPGPTLEVSVWDLPPDADVSDADDRHFLGGLCFDTADVHARDPPDGPLATQWYRLEGGRRLAGADLMVATWAGTQADEAFADAWKADSPASSVAAAAASRAKVYVSPKLWLLRLTVIEAQDTLTAPPPRDAGIAVRGTLGFQSLKTRTAPVARNGGPSWNEDLLFVAAEPHADGDDCLVISLEVRHGKDAFPVGSASISLATIERRVDDRKVASKWIDLLPSDEAMKKVGKKAAMHMHGGRLHVRVCLDGGYHVADEQPYASSDFRPSARQLWRPPIGVVELGIVGCKGLLPMRTADGKGCTDAYAVAKYGPKWARTRTISDSFDPAWNEQYTWPVYDPCTVLTVGVFDDPPPPSPSQLPDGAKDAAAFSRPMGKVRIRLSTLESGRVYRGVYPLIMMLPTGAKRMGDVELAIRFAASVSALDVLHMYGRPALPPMHHLRPIPAASRDALRLSAARISAAHLARSEPPLRREAATWMLDAAEPRGFSMRKLRANWTRAVAALSWVSDAARWAEDTRSWRNPTATALAHAVLVLLAWHPDLVVPTLTLHVAAVGVWKYRRRPRAPAPHPCVRASMAEAADREELDEEFDAIPSSRPPEVVRARYDRARMVGARLQAMVGDVATQAERLQALVSWRDPRATGVFVALCVFVAMALYVVPIKVVAVVAGFYYLRHPMFRDRMPAPAINFFRRLPSMSERIM >ORGLA07G0035400.1 pep chromosome:AGI1.1:7:3148614:3153116:1 gene:ORGLA07G0035400 transcript:ORGLA07G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aaRS and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G52520) TAIR;Acc:AT5G52520] MASLLRLPSLLKPSAAAARPSALLRRRCRAGTAASVSASRSHAAAATTGAAAPAPPETRGGGDREGQVTPRSVDFNAWYTDVIAAAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTKWIQSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEAMQMIDVYTKFAYEQAAIPVIPGRKSRVETFAGANRTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFMDENSQIEHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPNIAPIQVVIVPIWKKGDEKSAVMEAVSSVQNTLKEAGIRVKVDDSELRTPGWKFNFYEMKGVPIRLEIGPRDVTNKSVVISRRDIPGKQGKEFGVSMDPSILVDHIKGRLVEIQASLLQKAIAFRDSNIVDVSSYGELKEAIAEGKWARGPWSASDADELKVKEETSATIRCFPFEQPEGAKKCFMTGNPAEEVAIFAKSY >ORGLA07G0035300.1 pep chromosome:AGI1.1:7:3139592:3146335:-1 gene:ORGLA07G0035300 transcript:ORGLA07G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSHSPPPPQEEVVVFAVNGERFELRRDGGDPGESLLEFLRSRTRFTGAKLGCGEGGCGACVVVVSAYDAEADEVAHAAVSSCLTLARGLHHRAVTTTEGLGSSRRGLHAVHERLAGFHASQCGFCTPGVCMSLAGALVAAEGNGKKAASAVEGFSRLTAAEAERAVAGNLCRCTGYRPIADACKSFAGDVDLEDLGLNCYWKKGDDASVSKLPPYKEGSIAAFPEFLKDEIRSSLGIDHSISSASMVGSVSSWYQPKNVEEYYKLIGSLSSSSDKSRTKVVVGNTSSGVYRDAELYDRYIDLRAIPELNSVSKDAKGVGIGAAMSISQVIEILRGEGNSYKDVVFCKIADHMEKVASQFVRNMASLGGNLIMAQRDEFASDIATVLLAAGSSVCIQVSSERMNVTLERFLDMAPCDCKTLLLRIYIPHCTPSGISSSSESVNKTGDKPASSVLFETYRASPRPIGNAVSYLNSAFLAKLSSDETSGNCILEKLCLAFGAYGTQHAVRATNVESLLVGKPITASLLLEACMVLKKTIVPGEGTRHAAYRSSLAVAFLFSFLYPITKGTFKPVEAVHLNGHIISDNNGNMNRGPDTHVDVSPKEINNVKSDLHGNDRILESSKQVIEISEDYLPVGLPAKKVGAELQASGEAIYVDDIPSPKDCLHGAFVYSTKPLAHVKSIELNPSLEQLKTVAIVTAKDIPKGGSNVGANTIFGPEPLFGDPLTKWAGEPLGIVVAETQKTANIAASRALVNYSMENLDAPILSIEEAVRRSSYFEILPFLLPQKIGDFSKGMEEADQKIYSTEVNLHSQYYFYMETQTALAIPEEDNCMVVYSSSQCPEVAQETIAKCLGLPCHNVRVITRRVGGGFGGKAVRSLPVATACALSAFKLQRPVRIYLDRKTDMIMTGGRHPMKIRYSVGFKSDGNITALHIELLVNAGITQDVSPVIPHNFIEALKKYNWGAFSYDARICQTNIATRSAMRGPGEVQGSYVAEAIIEHVAAVLSTDVNLVRQRNLHTVESLSLYHSECMEDALGYTLPSICNQLITSANYQHQLEMIRSFNKSNRWKKRGLSVMPIVHKFASRPTPGKVSILNDGSVAVEVGGIELGQGLWTKVKQMAAFGLGQLWTDRRQELLERVRIIQADTLSVIQGGWTTGSTTSESSCEAVHRACNILVDRLKPLKEQLQEKQGTVSWDELISQAKMVGVDLSAKELYVPGASGSYLNYGAAASEVEIDLLTGATTILRSDLIYDCGRSLNPAVDLGQVEGAFVQGIGYFMNEEYVTNSDGLVVSDGTWTYKIPTVDTIPKQFNVKLLNSGVHKKRVLSSKASGEPPLLLAASVHCATREAIRAAREEYHCSRSGSSPPFFDLEVPAIMPTVKELCGLDNVEKYLESICSK >ORGLA07G0035200.1 pep chromosome:AGI1.1:7:3137650:3138480:1 gene:ORGLA07G0035200 transcript:ORGLA07G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKQCETVDAVGMVPMEEEKKSKEEIHLKIKSKDKSSGDEDEKKEIEIEVKAKIVDKEEVKLDSDDGAKSAVKSKDSKKDKEKKKSDKKDDEHDDEDEEGKKKDKELKEKKKDKSDKKEEGKKKKDGDEEEEGKKKEKKKDKDGDEKEGKKEKKKDKDGDEEEEGKKKEKKKKDKGDKEKTNDPAKLKAKLEKIDTKIQDLQAKKEDILRQLKEQLKEELEGGKSKNAIEEKPAQTLEKGIEHNKPIEEKPAETVEGSRECKNNEKEETHVAAA >ORGLA07G0035100.1 pep chromosome:AGI1.1:7:3131643:3133904:1 gene:ORGLA07G0035100 transcript:ORGLA07G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEARPAPPDPNDARQRFLLELEFIQCLANPTYIHYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKYIMYPHCLFFLELLQNANFRNAMAHPASKEIAHRQQYFFWKNYRNNRLKHILPRPPPEPTPMPATAPAAVPPAAPVPSTVVPPAAAPPSSLPPMSAAGASAMSPMQFAGTPGTNIPKNDMRNVMGGQGGRKRNSLCSDILPCELFSV >ORGLA07G0035000.1 pep chromosome:AGI1.1:7:3126263:3129072:1 gene:ORGLA07G0035000 transcript:ORGLA07G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALG6, ALG8 glycosyltransferase family [Source:Projected from Arabidopsis thaliana (AT5G38460) TAIR;Acc:AT5G38460] MAKTKKPRSSAPDPPAGAAHLPWHHPPAPPVSTALLISLAALLLRVLVSVGPYSGQGVAPKFGDYEAQRHWMELTLHLPSSDWYRNTSANDLAYWGLDYPPLSAYQSRLHGLLLNASLPDAVALRSSRGFESPESKLLMRWTVLSSDLMVFFPAALWFVWVYFKCGVGGTGEEGMSGWTWLLASCLINPCLVLIDHGHFQYNCISLGLTLGAIAGVLSGNELVAAALFSLSINHKQMSLYFAPAFFGHLLGKCIKRKYPIVEVMKLGFVVLGTFALVWWPFLHSYEAAMQVISRLAPFERGIYEDYVSNFWCSTSVLIKWKRLFAIKLLKLMSLSATILAFLPSLVQQVRSPSNLGFLYSLLNSSISFYLFSYQVHEKSILLPLLPASLLALQEPHLYGWLMYFGLFSMYPLICRDHLLLQYIAVLGLFILIYYSPGGSSKKGVSIPSGAKAVLSLALLCSLLLKVLYLQIERPKRYPFLFDALIMFICFSQFVILTLYTNYKQWMLNSHSRSVGRKKDL >ORGLA07G0034900.1 pep chromosome:AGI1.1:7:3119461:3123072:-1 gene:ORGLA07G0034900 transcript:ORGLA07G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFELPRGGSSRDGDIEMGMQADPSDNLKGFLKKVDAIESLIAKLTNLLHKLQTANEESKAVTKARDMKAIKQRMEKDIDEVGKIARMAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGAVKKKLKERMDDFQVLREAIRQEYRDVVERRVFTVTGSRPDEETVDNLIETGRSEQIFQEAIQQQGRGQILDTVAEIQERHDAVRDLERKLLELQQIFMDMAVLVDAQGDMINNIETHVSNATNHIQQGVSALQNAKKLQKNSRKWMCYAIILLLIIVVIIVVAVIQPWKKGA >ORGLA07G0034800.1 pep chromosome:AGI1.1:7:3118015:3119122:1 gene:ORGLA07G0034800 transcript:ORGLA07G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMIGPAPSPAAAAAAAVSPSCYASPAASSVRRRGVVGVVRCAPDSGRGGDGGGGGGKGKLRVGSPIVIVEAPVMLKTAASVPSLRHNAGQVKAGDVGRVMARKPKDVWAVRLAIGTYLLDGKYFKALDVDDDEDDTASPDE >ORGLA07G0034700.1 pep chromosome:AGI1.1:7:3109310:3116871:-1 gene:ORGLA07G0034700 transcript:ORGLA07G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone deacetylase 15 [Source:Projected from Arabidopsis thaliana (AT3G18520) TAIR;Acc:AT3G18520] MASDMRSLNSQKGQSCGVSDQACHSKSKSGNDGKPSHAKANGVSSLSGSHNDEKILKENSGACNLNSDHANPLSVDGTKVSTARSELIDSSGHDGCLHVKNESCMACDDLLQESDKEQPGGTLEDLFSFNDEEDDDSDWEPSARLALSRWFCLNCTVPNMEGFTHCQNCDELKGSVVVGYDAFKAHLAQAALLSADAALPSVSTAVGFDERMLLHSEIEIKPNPHPERPDRLRAIAASLASAGIFPSKCVMVPPREITKEELLRVHTSDHIDSVEQTKNMLYSYFTSDTYANGHSACAAKLAAGICADLANLIVSGRVRNGFAMVRPPGHHAGVKQAMGFCLHNNAAVAALAAQRAGAKKVLIVDWDVHHGNGTQEIFDGDNSVLYISLHRHEDGSFYPGTGAAHEVGVMDGQGFSVNIPWSRGGVGDNDYIFAFKHVVLPIAAEFAPDITIISAGFDAARGDPLGCCDVTPAGYSRMASMLTACSQGKLLVILEGGYNLRSISSSATEVVKVLLGDSPVYDTDATEPSEEGIQTVLQVLSIQQQFWPVLVPSFASVLALQRSVFSRYTTEVNKMKRKHAGGAGPFWWKWGSKRLLYEVLFEGRCLRKTKDTGKEKLNDEAEP >ORGLA07G0034600.1 pep chromosome:AGI1.1:7:3102551:3107425:-1 gene:ORGLA07G0034600 transcript:ORGLA07G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLRGGGGSKRGMPAAPTVTPKAVIHQKYGAKACYSVEEVREAVDGGCPGLALPQQTRSVYRCSLDLPGLTVVTPGTFVRKKDAEQAAAQIALDKLGIQPTANAPSTPEEAWDELIARISGFFADENFPSSSHPLIGHMCVTFRRTGDRFGMIPMSAIAACDVKVIGLCKLIDPKAEFDPLLVLSLIYNAAKKSPGVSVSDSNFWIRSQKPYSPEAVDLALQHWSGITNPIEVDGIFVPCVMEDEPKTIRLTLSHNEHYMGDIVSKLSASDSSHAVVSRTVGKASSEIRLYFSAPNVQFVSEISNNVVSSLGDGYMESLINKRASFISGQTIYGDAILANVGYTRRDSELHTEDVTLSNYYRILLGKSPDGNYKISRDSILVAELPSVYSRSSWKGLSPRDLLCSFCRLHRLAEPYFVVNRCASGGKNDKENPDMFKCDVKIYSKKQELLLEYSTADTWSKESDAIHNSSLKVLIWFCSYFKQPNKHVLKLSHSKSTDGFTICPDNFLHEFAMFLSIYGNTGGDDSSTCSTVGSLSMDTSKQKLENNAVLAHVDGPDSGVFPSHGSLTCISYTASLVVKDKTNRYMLESNNEFEFEIGTGAVKNQIESCVSQLSVNQSACFIAELPPRDLILAAANEFSHDLSKISRDNCFLEFSVKVLQVTEPLEDRMEKALFNPPLSKQRVEFAVRYINELHATTLVDFGCGSGSLLDSLLEHPTTLEEVVGVDISRKGLTRAAKSLHQKLSKKSLMQTSVPTAVLYDGSITDFDSRLYRFDIGTCLEVIEHVEEDQASLFGNVVLSSFCPTVLIVSTPNYEYNPILQRSAMPNKEEEPEENAGPCKFRNHDHKFEWTRSQFQHWATGLAEKHNYSVEFSGVGGSGDEPGFASQIAVFRRMASGQDEVCQEGELHQPYELLWEWPNASLPSH >ORGLA07G0034500.1 pep chromosome:AGI1.1:7:3099418:3101602:-1 gene:ORGLA07G0034500 transcript:ORGLA07G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGAPPPPPAAAAAVRRAPTFEARRAANARELLAALLPPSPTVRQVQQAHARLAVLGLAASRAMPHLLAVLPRLLPDKPRHRGDDGGGDGDHYAYPLALFRRANSTSAFASNNLLRVLPHPLPLALFSRFRRRNPHSFTFLLASISNHLNAAGHSASACSFLGSHVHALAVKAGAAGDLYVRNALVHFYGVSGDVGAMRRVFDELRRVRDVLTWNEVLAGYVRAGMMAVAREVFDEMPVRDEISWSTLVGGYVKEEELEVALGVFRNMVEQGKRPNQASVVTALSAAARLGLLEQGKFVHNVVQTSGMPVCMNVGAALVDMYAKCGCVAVAREVFDGMRRRDVFAWNAMICGLAAHGLGRDAVELFERFISEGLPPTNVTFVGVLNGCSRSGLVAEGRRYFKLMVEKYRIEPEMEHYGCMVDLLGRAGLVPDAIELIEGMHIAPDPVLWGTILSSCKTHGLVDLGVSVGNRLIELDPTHSGYYVLLSGIYAKANKWDEVREVRKLMSSRGTSKSAGWSLMEAHGKVHKFLVGDTYHKDSVQIYDTLDMINKRLTEAGYVPDVSSVLHDIGDEEKVHAVKVHSERLAIAYGFIVLEAGSPIRIVKNLRVCGDCHEFIAGNADELTGVKQVQRRIREAGCEVLRVDHAGGMEEGHAKHGRADWG >ORGLA07G0034400.1 pep chromosome:AGI1.1:7:3084888:3097206:1 gene:ORGLA07G0034400 transcript:ORGLA07G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRGGRGFMACDHKRQFLKAGVSNVLRASIVLHANALTTPIMIGTSCGVGRMGVFDKRATQKFLIRVKQEIGNLIDHFLQQQQQEEEEVLVPRQELPNGTQPMEVVPSEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEIFVVGGYKWRILIFPRGNNVEYLSMYLDVADSAVLPYGWTRYAQFSLSVVNQMHNKFTIRKETQHQFSARESDWGFTSFMPLGDLYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDMKSTRKESFYDLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPIQLDLDRDDGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLTTQIGKDIYFDLVDHDKVPSFRIQKQMPFTQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLTPQEETHTVGQLKEAANKAHNAELKLFLEVELGLDLKPLPLPDKTREDILLFFKLYDPEKEQLRYVGRLFVKASGKPQDILPKLRKMAGFSQDEEIELYEEIKFEPNVMCEYIDNRLLFRACQLEDGDIVCFQKSPKPDTADQYRYPDVPSFLVYIRNRQVVHFRSLEKPKEDDFCLEMSKAFTYDEVVEKVAQKLGVDDPTKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHGTKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKIFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDTVASRFQRNMYGAWEQYLGLEHPDTAPRKTHNANQNRHSFERPVKIYN >ORGLA07G0034300.1 pep chromosome:AGI1.1:7:3061398:3073050:1 gene:ORGLA07G0034300 transcript:ORGLA07G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding;valine-tRNA ligases;aminoacyl-tRNA ligases;nucleotide binding;ATP binding;aminoacyl-tRNA ligases [Source:Projected from Arabidopsis thaliana (AT5G16715) TAIR;Acc:AT5G16715] MALAGASSSACLRRLNPLLFSAHRRPAWTPRRAARRFCAAAVASERDVFTSPEVAKSFDFTNEERIYKWWESQGFFKPNFDRGGDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMIRYFRMKGRPALWLPGTDHAGIATQLVVEKMLAAEGIKRTDLTREEFTKRVWEWKEKYGSTITNQIKRLGASCDWSRERFTLDEQLSRAVIEAFVRLHEKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGNLYFIKYRVAGGSRDDFMTIATTRPETLFGDVAIAVNPEDERYAKYVGKLAIVPLTFGRHVPIIADRYVDPEFGTGVLKISPGHDHNDYHIARKLGLPILNVMNKDGTLNDVAGLYSGMDRFEAREKLWSDLVETNLAVKKEPYTLRVPRSQRGGEVIEPLISKQWFVTMDPLAEKALHAVEKGQLTILPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKKCEEDYIVARSAEEALAKAQEKYGKSVEIYQDPDVLDTWFSSALWPFSTLGWPDLSSEDFKHFYPATVLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSEGRKMSKTLGNVIDPLDTIKEYGTDALRFTLSMGTAGQDLNLSTERLTSNKAFTNKLWNAGKFLLQNLPDRSDATAWDVLLANKFDTEASLQKLPLPESWVVTGLHELIDRVSTSYDKFFFGDAAREIYDFFWGDFADWYIEASKTRLYHSGDDSASSMAQSVLLYVFENILKLLHPFMPFVTEELWQALPYRKQAIIVAHWPATDLPKNSLSIKRFQNLQSLIRGIRNVRAEYSVEPAKRISASVVAAADVLDYISKEKQVLALLSKLDVQSIHFSELPPGDANQSVHIVADEGLEAYLPLADMVDVSEEVKRLSKRLSKMQSEYDSLLARLNSGSFVEKAPEEIVRGVREKASEAEEKISLTKNRLAFLQSTVSS >ORGLA07G0034200.1 pep chromosome:AGI1.1:7:3059591:3060243:-1 gene:ORGLA07G0034200 transcript:ORGLA07G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFVFPKLASHLSSKSTRSLFPRTAEASRNFNTFPSAHPKLKINCPTTGLPSVDHTNHLIKPLGCARDANTTALYSTTVKDRLTPIVRE >ORGLA07G0034100.1 pep chromosome:AGI1.1:7:3046719:3049033:-1 gene:ORGLA07G0034100 transcript:ORGLA07G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKRIASKEDLHGQMMKRKKWRLQLSNLPEDILCTIVSKLPLREAARTSILSSQWNRTWCSHTNLNLSYRSIMSRRYIERDITPEGRKLNAEEFIRRVDAILQQHNGGGVEKIEVIGLLENENAYHINGWVNFAIKSKTKQLVLDFRSFHWPIDEPYNFAFQIFDAANMENLQSLKLGSISLKPPADFKGFQNLKRLKLLDVGITDEDLQLFLSNCNCLEFLGIYCCKLITSLRTTHLSTQLKHLYVYECPCLKEIELNSGLTTLEYIGPLIPLAPPGIYVLTNXRIKSWDISDSLQYIFTELPSTLPRLEMLTLQCRELERITLPDKPIKFIYLKHLRLELAFSGPRKWDADILDFACILEAAPLMEKLEFHMWMNCRDHLRYRKAHGKLRTLPPCPHYHLKEVNIAGFYGQKDQLELAHHILRNSVVLQAMNIDPRPIAACDRSRMAILEAFNFVDGSKVAMKYLCKADHRNVVHVSDLSRKDVENVPAYRLVSPFWIEFDKTKRSGLLIR >ORGLA07G0034000.1 pep chromosome:AGI1.1:7:3041764:3044076:-1 gene:ORGLA07G0034000 transcript:ORGLA07G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSASPAPAARSSPSSSLRLPPPAPYHHHSQTPPSSSSSSSHARLPAFLSFLAAAAAGGTTVALCGSGVDHRVGGKESTELVVRGERKRVPNEFIDELASFLGENLTVDYEERHYHGTPQNSFHKAVNVPDVVVFPRSQDEVQKIVMACNKYKVPIVPYGGATSIEGHTLAPHGGVCINMSLMKKIKSLHVEDMDVVVEPGVGWIELNEYLKPYGLFFPLDPGPGATIGGMCATRCSGSLAVSL >ORGLA07G0033900.1 pep chromosome:AGI1.1:7:3037607:3038681:-1 gene:ORGLA07G0033900 transcript:ORGLA07G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHICLFMQDVCVPLSRLAECISVSKEKLDASPLTCLVIAHAGDGNFHTIILFDPSQEDQRREAERLNHFMVHTALSMEGTCTGEHGVGTGKMKYLEKELGIESLRTMKRIKAALDPNNIMNPGKLIPPHVCI >ORGLA07G0033800.1 pep chromosome:AGI1.1:7:3034579:3035580:-1 gene:ORGLA07G0033800 transcript:ORGLA07G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERVVVDECRGVLFVYSDGAVERRAAPGFATPVRDDGSVEWKDAVFDAARGLGVRLYRPRERGGGRLPVFFYYHGGGFCIGSRTWPNCQNYCLRLAAELGAVVVAPDYRLAPEHRLPAAFEDAENALLWLASQARPGGDTWVAEAADFGRVFVSGDSAGGTIAHHLAVRFGSASGRAELAPARVAGYVQLMPFFGGVERTPSEAACPDDAFLNRDLNDRYWRLSLPAGGATADHPFSNPFGPASPDLAAAEFAPTLVVVGGRDLLRDRALDYAARLAAMGKPVEALEFEGQQHGFFTIDPWSAASGDLMRAVKRFVDTDGGGGGGVARLDG >ORGLA07G0033700.1 pep chromosome:AGI1.1:7:3025624:3026679:-1 gene:ORGLA07G0033700 transcript:ORGLA07G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMPAVVSAAGAAAPCSNVVEDLVGFLRVLSDGTILRSPGPVFCPSTFPGEHPSVEWKEAVYDKPKNLHVRMYKPSPASGGVGAGGGGKLAVLVYFHGGGFCLGSCTWANVHSFCLRLAADAGAVVLSAGYRLAPEHRLPAAVDDAAGFLHWLRERAVDGDGDGWWLAEAADFGRVFVTGDSAGGTIAHHLAVRAGSAAAAAAAPDDPVAIRGYVLLMPFFGGVSRTPSEAGCPAEVFLNLDLFDRFWRLSLPPGATRDHPMANPFGPDSPAMDGVELPPVLVVAGGLDMLRDRAVDYAERLSAMGKPVELAEFAGEHHGFFTLGPGSDAAGELIAAVARFVDVAAPPPK >ORGLA07G0033600.1 pep chromosome:AGI1.1:7:3018145:3019152:-1 gene:ORGLA07G0033600 transcript:ORGLA07G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPAPPHVVEDCLGIVQLLSDGTVTRSGDYSSISLMRDVPIDLPVQWKDVVYDAGRGLRLRMYAPANHGGEEGKLPVLVYFHGGGFCIASFELPNFHAGALRLAGELPAVVLSADYRLAPEHRLPAAYEDAVAVLSWLRGQAAAAADPWLAASADFERVFVCGDSCGGNIAHHLTVGCGSGDIALDAARLAGCVMLWPYFGGEARMPSEAPPPPPEGDASPSAMGITLFDQMWRLALPAGATRDHPAANPFGPESPPLDGVAFPPVLIVDPELDVLRDRVADYAARLEAMGKRVELVKFEGQGHGFFVLDPMSEASGELVRVVRRFVHAG >ORGLA07G0033500.1 pep chromosome:AGI1.1:7:3015153:3016139:-1 gene:ORGLA07G0033500 transcript:ORGLA07G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSDPNAPPPHVVEDCRGALQLLSDGTVVRAAAAPPPFHVRLDINDGRVEWKDAVYDAAHGLGVRMYRPAATGGAEEKLPVVVYFHGGGFCIGSCTWPNFHAGCLRLAAELPAVVLSFDYRLAPEHRLPAAHEDAAAALIWLRDQLLSDPWLADAADARKVFVSGESAGGNFAHHLAVRFGAAGLDPVRVAGYVLLMPAFISERPTPSELAAPATAFLTRDMCDRYCRLALPAGADKDHPLVNPFGPASRSLEAADVGRVLVVAADGDLLRDKNVEYAERMKAMGKDVELVVFAGEEHAFFGVKPMSAATGELVEVIRRFIAGAAA >ORGLA07G0033400.1 pep chromosome:AGI1.1:7:3012786:3013235:1 gene:ORGLA07G0033400 transcript:ORGLA07G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTTCSTXSCFLLLQNNSIHAGSIIRVEQSLLLRSNERLHRTNLLSPVIPTPKSTAQQQTSDLCRFRGDSCRSLPVCQAVCMSMEAKGFNRRGFAAELCRSVSLLLFCFIRKFASLEWLLSTLLEMVSWLKLYPFAMYWGISPKFCLVE >ORGLA07G0033300.1 pep chromosome:AGI1.1:7:3005680:3006663:-1 gene:ORGLA07G0033300 transcript:ORGLA07G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAEPYVVEDCRGAVQLMSDGTVRRSAEPAFHVDLPDDADAAVEWKDVTYDAEHDLNARLYRPRHLGAANDARVPVVAYFHGGGFCIGSGRWPNFHAWCLRLAAELPAVVLSFDYRLAPEHRLPAAQEDGATAMAWVRDSAARDPWLADAADFSRVFVAGDSAGGNITHHMAVRFGKAGLGPQVRLRGHVLLMPAMAGETRTRAELECRPGAFLTAEMSDRYARLILPGGATRDYPVLNPAGPEAPGLEAVAMAPSLVVAAEHDILRDRNEHYARRMREEWGKEVAFVEFAGEQHGFFEVDPWSERADELVRLIRSFVVEHMDSE >ORGLA07G0033200.1 pep chromosome:AGI1.1:7:2997297:3002158:1 gene:ORGLA07G0033200 transcript:ORGLA07G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase RIO1 [Source:UniProtKB/TrEMBL;Acc:I1Q890] MATAAAAAVVYLPEVDSRFADADDDEEEEATVRPVEVAESKDQEEVEEEEDEEEWSDSDVADALDWLDAAEGPDGSGRPAAAFTAAGGAAAARRPNAHGGVLSRPFQPISNRTQKLASHIRATPLEEWEGRMNVGMSNSVTTAIRDSIRETAIGKTRNTEKADRATVEQAIDPRTRMVLFKMLNRGVFNTINGCISTGKEANVYHASKADGQELAIKVYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRVGAAGIRCPKPLLLRLHVLVMEFIGKGGWAAPRLKDAALSDDKLRESYFELITTMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPSALEFLKEDCLHVTDFFKKRGVAVMSVTELFNFVIDQNIAHEDVDHYLEKIQQKMLENGDMVANDDEITPTVLVQTLDYVKQCEADIVNMSLMQRPSFANEPTADKLYNQPLLGFVRNKNEPTKNQQVQSEEPLDLQNKCSSEHSESCTSSDEDGSWHETLKVGPEERKAARKENKKKVKAEKREARKDKIPKAEKKKRKKMAKAKCKR >ORGLA07G0033100.1 pep chromosome:AGI1.1:7:2995278:2996144:-1 gene:ORGLA07G0033100 transcript:ORGLA07G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIATASWPSTSASSWPRSVRRRVPEAEEERELGRRVEEMEEAVERLRAEKEAAEAEERDLRAELDAERAAAETAASEAMLMIERLQREKAAALLEARHFRRLADGRADRDGELQDELASLSALAASYLSLLHAHGIDPDDDDGSNQQEQLQPPLEHLDAEADREGRSVVARAPSPPPSEKVFAYAAATAPAADCGAEVTENLYARVEALEVDWSAMRREVAALRAERAQAVLAREVTRRLCREAAVAGERGAVAVAAERPRFSVLAVCKVEFQLCAFVLDDQSCFA >ORGLA07G0033000.1 pep chromosome:AGI1.1:7:2990660:2992327:-1 gene:ORGLA07G0033000 transcript:ORGLA07G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G16010) TAIR;Acc:AT5G16010] MWWWPAFLYPPPAPAFVAAASVAQFALLANAGLGELRGEHMAYSKFWQVVAGKKKNGGGSGGGALLPSRQGMLVAYVPAFVAAAASFAVPGAVVGVRAQVLSAALTVHFLKRILEVLFIHQYSGSMPLNTAATISSSYLVITATMIYAQHLAAGLPDPPVDLLYPGVAAFAVGIAGNFYHHYLLSQLRNAAGGSGGGERQYRIPTGGLFGLAACPHYLFEIVGFFGFAMIAQTAHALAVASGTAAYLAGRSCATRRWYESKFEDFPDSIKALVPYIL >ORGLA07G0032900.1 pep chromosome:AGI1.1:7:2987654:2988187:1 gene:ORGLA07G0032900 transcript:ORGLA07G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPSKAKRPGGGSIQGPRPQPLIVSPAAAEASRPTKKPRVVAGGGDMGPVIVYELTPRVVHAQPEEFRAIVQKLTGKPLTATATAPSDPTATLPDLVAGGRAAAAADPLVLALGQQRQPAPPAIDDNDDDDDDHSAHPFLLPSPAAASLLSPSSLFFSPTTVQALQELGVLF >ORGLA07G0032800.1 pep chromosome:AGI1.1:7:2983753:2984289:1 gene:ORGLA07G0032800 transcript:ORGLA07G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSSSPPPSSKGKRRPGGIIRGPRPQPLIVSPPPPPQPPASRPTKKPRVVASGGDAGPVIVYELTPRVVHAEPEEFMAVVQKLTGNRKLSTAAAAVDSTVRSADDQMAAGGGAEITDTAAATVADDQLVLAFGQQQWPAPPAIDDDNSANLPSPSSFFLSPTTMHALQELAANLF >ORGLA07G0032700.1 pep chromosome:AGI1.1:7:2980296:2980832:1 gene:ORGLA07G0032700 transcript:ORGLA07G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSSPPPPSKAKGRGCIHGARPQPLIVSSAPAEASRPSKKPRVSGGGGGGGDTGPVIVYELTPRVVHVEQEEFMAVVQKLTGGKQQPAAASTLTTLPAADQVAGGDHAAAVAAAADPLVLALGQQRQPAPAPAIDGDHPAAPPHSPPADAFLLSPSSFFLSPTTMHALQELAALF >ORGLA07G0032600.1 pep chromosome:AGI1.1:7:2973521:2976645:-1 gene:ORGLA07G0032600 transcript:ORGLA07G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSSAADRHGFFHSVSLAVLWRPGGRAEPSQPPDCPPRESSHSSVTSSTAPERVTIANSDLSSSTPNKGGNKPKVRRVQSAGLLADSVLKRDSERLKDLYTLGKKLGQGQFGTTYQCVEKATGKVLACKSIAKRKLVSEEDVEDVRREIQIMHHLAGHPSVVSIVGAYEDAVAVHLVMELCAGGELFDRIVQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNHKEDSPLKTIDFGLSIFFKPGENYSDVVGSPYYVAPEVLMKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSDPWPAISDSAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELKTGLRRVGANLKDSEITTLMEAADIDNSGSIDYGEFIAATMHLNKVEREDNLFAAFSYFDKDSSGYITQDELQKACEEFGIGDAHLEDIIKDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGQGQLSFGLREALKLG >ORGLA07G0032500.1 pep chromosome:AGI1.1:7:2967915:2970152:1 gene:ORGLA07G0032500 transcript:ORGLA07G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKPEESLDCAAENRQGRRSGLQLNDLPIDVLGLIISRLPIGDAIRTGLISRQWKDLWRDHTMLTFSRATFPSCRMLNQQNFIRRVDSILQQHSGVGVERMEIKFLLRNARRDIDRWVKFAVASKTKELILDLSDLTRFFMLPVMCLQLTSMYLKPAADFTGFLNLKRLNLIGVNITDEGVQNLLCSPNVLEFLEISFCRMLIKIHAPHFLNRLKHLQVDCCPVLEKIEMNCDLATLDFTGSSMTPLIFATTSSLTNDSSVICRLFECSNFMLLNIRKTDILDYAYLLEIAPFMEKLELHMWIDAPHKPYSEEDGDLRSLPLHHHNHLKQVQITGFFGQKDQVELALHILCSSTVLKNMVINPEIAIVPHDAYRPPKRGAHNFVDGRDAAMEFVCKADHRNVVEVV >ORGLA07G0032400.1 pep chromosome:AGI1.1:7:2957932:2962838:-1 gene:ORGLA07G0032400 transcript:ORGLA07G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEMMRLAQEQMSKMSPADLARMQQQLLSNPNLVKLASESMKNMRADDFRRAAQQMNQTRPDEMLDMAEKLANANPEEVAAMKVQAEQQMSYVISGAKMLKQQGNELHRCEQYSEAAAKYKLAKDNLKSIPSQSAHSLQLVCTLNLMACYLKTRNFEECINEGSEVLTYDSSNVKAYYRRGQAYKELGNLEAAVGDLSKAHELSPDDETIAAVLRDAEEKLAVEGKGAKHPKGVVIEEVVDDASEPSSSQRSSSPGYTVSQPPEEGNSRPSGSSSIDANGLSKLGMQGMSPELVKTASDMIGTMKPEELQKMFEAASSLHGTSSSPPNLGPNMPEMSPEMFKMASDMIGNMSPDELQNMLNFASNMGGPSASPLRPENKLQSSSRATTSSTSQRSVDNSQPSSFQNVMENPHEILSNQRMGESSSPGAPSTADMQETMRNAMKDPAMRKMYASMMKNISPEMMSSMSEQFGMKMTKEDAAKAQEALSSLSPEALDRMMKWMDRAQQGVEAAKKTKNWLLGRKGLVLAIVMLILAFILRQLGFIGG >ORGLA07G0032300.1 pep chromosome:AGI1.1:7:2952172:2953305:-1 gene:ORGLA07G0032300 transcript:ORGLA07G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDGGGAPPAACDPNNLPAAIVLVFPVMVVALLCWRAARTRRNKDECRRLAQRVALLRDLRQLMAPAPPLAKAAAVSAEVRAVLAGQVDAWVKEAESVVLGCTSSRWPCRFVRCDRHGEQLSVVRMNLDEAYDRILPVVAQIDTAHRLHHLLQLQVIVQDGHKSATTTASCPPPA >ORGLA07G0032200.1 pep chromosome:AGI1.1:7:2945125:2948412:1 gene:ORGLA07G0032200 transcript:ORGLA07G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPALLLLPFLLLLLAAAAAAAPPEQPALSNNSSGGGAPSSGVNSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKGNVTIFAPRNEALERDLDPEFRRFLLEPRNLRSLQRLLLFHVLPARLHASDSSSPDFPSSHPTLSGEQVDLSASPMRVGAAAVTRPDAVVRPDGVIHGIERLLVPRSVQEDFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVPPGAPPVLPIWDAMAPGPSIAPAPAPGPGSGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPNKVTAREADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDAVLFPPKDTATGGEGSGSGSSGAAPARKAPAVTAHSKSKLRRGKLLEGACQVMGFLGRRSRFASCQ >ORGLA07G0032100.1 pep chromosome:AGI1.1:7:2919232:2919501:1 gene:ORGLA07G0032100 transcript:ORGLA07G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAMDSSYLLSMRLSAVSLNPPVDFKAFLNLKRLKLEHTNITDENMQILISNCNALEFLGIVDCGKLTRLSTSHLWNQLKHLHVESCHLLK >ORGLA07G0032000.1 pep chromosome:AGI1.1:7:2890311:2892651:-1 gene:ORGLA07G0032000 transcript:ORGLA07G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein [Source:Projected from Arabidopsis thaliana (AT5G57040) TAIR;Acc:AT5G57040] MATRCLSSLALLSPSPSSSGKVAAMASPPVPSSAAPRRRPGTRLSVATGGEQLVTAQEASQEPAYGVVSIHHVGILCENLERSMAFYKDLLGLKVNPARPTDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCMAIKDVLKLKEIFDKAGIKYTLSKSGRPAIFARDPDGNALEFTQV >ORGLA07G0031900.1 pep chromosome:AGI1.1:7:2888918:2889502:1 gene:ORGLA07G0031900 transcript:ORGLA07G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLQPGVPVTLQELEPSSESFRQGASLRVTGVLQSYDLNSAIAVIQDGGASLKVDTQNLREISFRTNSTYQFIGELLIKPDNDAVLQARVGRNVDGIDLNLYQQSLLIRRQYEAQLRSRRS >ORGLA07G0031800.1 pep chromosome:AGI1.1:7:2887363:2887983:1 gene:ORGLA07G0031800 transcript:ORGLA07G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKPVVVVAMKGHPGSGKSTVARAIATALCCPLLDKDDVRDCTLPLERVDGLAIGMLNELSYAVLWRMAERQVQLGLSVVVDSPLSRRAHLDALTSLPGALVIVVECRPGNEEEWRRRLEKRGAAVPEDGGDGWHKPKTWTELERLRDGYQGCTDYEFGDVPRIVVDTTDPTADSEAISVRVVEFIGSIRACESAIISYQA >ORGLA07G0031700.1 pep chromosome:AGI1.1:7:2859128:2865690:1 gene:ORGLA07G0031700 transcript:ORGLA07G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATAQASASSSTASTRGSPAASSSSHSAVCLVPFRWWARVREEAPPEGGVRYAATAAASPSSYYGLRLLHSFLHPDLVLRLERGGCRGTGAGAGGRSYALVPADELSRVLARQNSSLALHNKHSFAEDSAGAYPLVLRISVRETSILTVKISKKDNPVENYKRAYKIFNIDSQPVHVWDFSGQTNLILMNEWNRSNHDCCHSELENILEVQVYAMSDSLTSKIGGTSKEYTEQSSADVNDMDVDLSYGSFGRSSSHGLIGLENLGNTCFMNSSIQCLAHTSKLVDYFLGDYDRDINRTNPLGLNGELALAFGELLRRLWNTERKPVSPHHFKAKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDKEVADEYWSNHLARNDSVIVDTYHGQYKSTLTCPTCSKTSVTFDPFMYLSLPVPSTAKRTMTVTVFSTDGSIEPISYDVTVPQFGSLNDLVQALSSACSLGDDEILLITEVYNNCILRYLEEPSDSVSLLRDGDKLAAFRLPRKYEKSPVVVFTHQYFDERSSVDNITPQMKEFEAPLLAVLPERANGLTLKNIYLKLLEPLRFSKSTSSLNDSGRCNSGCAAVMMDATPDSDSKFQSAPSENAPESSQSETIECQMTEGPSESNIGDTTDSDREAHMEEFEFYLINGRGEFQQTRIQTDEVDLQTTPNRLLINVHWQQNAVGQYDTSMLKSLPEIHKLELIPKGNEDSVALHGCLEAFLKEEPLGPEDMWYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPISDLDLSSYIIDKSELSDCHYRLYAISNHYGNMGGGHYTASIYHEEGKGWYKFDDECVRPITEDSIKTPAAYVLFYRRE >ORGLA07G0031600.1 pep chromosome:AGI1.1:7:2855176:2855946:1 gene:ORGLA07G0031600 transcript:ORGLA07G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKTLKARILCALKSSLPAAASPPPSPTKPGRAAAAVVVVAGDAFSDDASFFDAHETPTKNGAEPIDDWELVDEEGRVGVAAAAAEEEEEQLREFPARCPPGGEGAVVLYTTTLRGIRKTFEDCNGVRALLENLDVAFQERDVSMDRGLRDELWSVTGEKAVPPRLFVRGRDVGGAAQVLALHEDGRLLALLSPGSNKNRSAAAAAAKCDACGGLRFVVCGECDGSRKVFDGERGRGVRCRGCNENGLVMCALCL >ORGLA07G0031500.1 pep chromosome:AGI1.1:7:2850874:2853551:1 gene:ORGLA07G0031500 transcript:ORGLA07G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQSLLLLLALLAAAAAAASAVTDVEYCNKGKKYPVKVSGVEIVPDPVARGEPATFKISASTDKTIGKGKLVIDVKYFFFYVHSETRELCDVTSCPASGDFLVAHQQTLPSYTPPGSYTITMKMLGDNDEELSCISFGFSIGFAASEATI >ORGLA07G0031400.1 pep chromosome:AGI1.1:7:2842567:2844315:-1 gene:ORGLA07G0031400 transcript:ORGLA07G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22050) TAIR;Acc:AT5G22050] MGCLSRHQLCLGGNRGLPFLRKFKHKEIEAATNGFSAILEAGPGGRAAYRARFADGLVATVRRAGGDGDQDREAFYRELQLLARLNHRHIVRLHGYSDGHSRFLVFDQMENRSLKECLHDPLRTPLNWRTRLQVAIDVAAALEYLYYFCDPPVFHVSVNSSNVMMDANFVAKLSDISVIGYDPKRTVESNAASFEDEIQQRRRDLVFQYGVLILELVTGQSPGGEGELVQWVQEPGFACTMYKMVDADLGNIYDSKELRNLVIIARLCTRPGNDAMVSIPLILRYLQGKVANLGCESENICE >ORGLA07G0031300.1 pep chromosome:AGI1.1:7:2841077:2841622:1 gene:ORGLA07G0031300 transcript:ORGLA07G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSPSPGGGDGGGGGGSIFGSGGIGGFGYGVGASVGVLLVVSTVALAIYFCSRTSMPVAAAAGRPPSPPRPRDDGDVEAGTGIDDATLEAFPEVVYGEARKARGGAAATQTCCPVCLENYGDGDVLRALPDCGHLFHRECVDPWLRQRPTCPVCRTSPLPSPMPTPLAEVTPLALVRPS >ORGLA07G0031200.1 pep chromosome:AGI1.1:7:2835472:2837061:-1 gene:ORGLA07G0031200 transcript:ORGLA07G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFFSSFLNESASSENLFGHPNVERCPFLRNINGATTYSFSSALPVAARGGNGPIFEDGPGFDSAFKLFHGRDGIVPLSGKSYLPDENNSESIDANPEPALPFNPLAARAATISLSAFGPFGFNFFNGKGKRQNKKPNNLNQSNKKPSNPNQNSMKQKGGNSSSHEAMSNEWMENGQCPLAWSYRAMSGILPLVAKALQPPAGVKLKCPPAVVAIRAALARTELVKSLRPQPLPAKMVAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIGMLRKSVNMPKTAMAFTIAASIIGQTIGSRAERIRLKALAAKGDADSTTVADMYPNKSGNCSDTEGKAWDPLAMKMAGRASGGVAAPTPSMCF >ORGLA07G0031100.1 pep chromosome:AGI1.1:7:2795164:2796873:-1 gene:ORGLA07G0031100 transcript:ORGLA07G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIELNLGLTKLGYKGTLIPLSPPGPLLLTNVCMKLQHARSSLGYIFTNLPSTLLHLETLSLQCSELERAILPENHIKFMYLKHLRLQLRHPVTEKKIDLLDFACLLEAAPLLQKFELHMWMPLHHQRYREEAHGELRSLPPQPHAHLRLVHISGFIGMKDQLELSLHILRNSAMIRAMKVDPKPLFALPCISMLSPLEGFQYLDGYEVAIEYLCREDHNNVVDVSEIRREEVETLSVCELVYPDCVRLTRKANSSS >ORGLA07G0031000.1 pep chromosome:AGI1.1:7:2792831:2793712:-1 gene:ORGLA07G0031000 transcript:ORGLA07G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPLVLTVLLFASLTGLVVLAPRSSSPPATATPSPPVVGDGVGGGGEDGDLALFRRATLDGGEGAAAMAVAEPKVAFLFLTNSELTFAPLWERFFEGHGERLNVYVHADPAARLMMPPTRSFKGRFVAAGPTKRADATLIAAARRLLAAALVDDAANAYFALLSQHCVPVHSFRHLHATLFPPPPRRPRRRAANAASRATSRCSTASRRWRRGTRRAARAPCFPRSRSTGSAWAPSSSHSRGATPRSLSASAASGTSSGSRAWTRTRATRRSTTSRRCSTWPTPPASRGTR >ORGLA07G0030900.1 pep chromosome:AGI1.1:7:2769579:2772144:-1 gene:ORGLA07G0030900 transcript:ORGLA07G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLQAALSFQDHGHGLQAITGRGGNGGAAAHALPWWAGAGAGAGSQTLLGTGGGEESFCQLSNTIMEDTRILQNHHHQILAAGRQLQQRHHFPAMPPERHHHPPPPAPGSPAMKFPIISGDSDLGKDLKFHESSAPTIAAYSPLQEYQGHFELALGHSMVFTNFCNSEQSYGVYSPYGAQTMAGRMLLPPAIATDVGPIYVNAKQFNGIIRRRLARAKAEREHRVSRSRKPYLHESRHRHAMRRARGSGGRFLNTKNASSAAAAAADAAPVSSGGGDHGASNKSSSASEATRVYDDDDDMGAGGGGDGGDFHHAMGHLRSPAFFPSLAAMMDGGGGGGGGEGKWATATPHHGCRVDLLKV >ORGLA07G0030800.1 pep chromosome:AGI1.1:7:2766614:2767189:1 gene:ORGLA07G0030800 transcript:ORGLA07G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASDPATAAPHLEAEEPAVVAVAAAAAEEAVVVAADVEKEGEGEDEEEEEEGECGFCLFMKGGGCKEAFVAWEECVEAAGKEEGSDMVERCFEVTANLKRCMDAHADYYAPVLRAEQAVNDHADAAIAFDKAKEGGEKKLDAVAQEAASAADEKKQQVEEKSSSSSSSPTTTIDERKEKEVVTEKADS >ORGLA07G0030700.1 pep chromosome:AGI1.1:7:2764608:2766225:-1 gene:ORGLA07G0030700 transcript:ORGLA07G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast RNA-binding protein 33 [Source:Projected from Arabidopsis thaliana (AT3G52380) TAIR;Acc:AT3G52380] MAAVAFRSLLHPAAAALTERVPPPPAHLRLQGLHRHRVGVLNLFVASGHRRRILLPLAAAGGEFSSEEEEYANEEEEEGEEYVEEEEEDGEEEEAAPRGYYPPRSRPALGQEPGRLFVGNLPYTMTSGEISQTFSEAGRVDNVQIIYDKVTDRSRGFAFVTMATAEEAATAIQMFNGALLGGRTARVNYPEVPRGGERAVGSAAATRGNRRDDGTFKIYAGNLGWGVRADALRAAFEGQPGLLDARVIFERDSGRSRGFGFVSFRTAEDAQAALEALDGVELEGRPLRLSMAEQNPTAGSPSTVQSQEEETASESSDAETEQSITSEPSEAETEESNLQTAASY >ORGLA07G0030600.1 pep chromosome:AGI1.1:7:2759074:2759826:-1 gene:ORGLA07G0030600 transcript:ORGLA07G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGIRILSKKFTPLLCRSSVARTGMALTKTNHMSTPFVDSLNGAKRPFSSSSIIEDRHLFRQSWYPWPPVPNIEEEFTRQWRIRFLANFLFLVYSSGFIAHKRRNLTHKMKLGATSFQALGAPACPPVLPRDTEKSL >ORGLA07G0030500.1 pep chromosome:AGI1.1:7:2749704:2751977:-1 gene:ORGLA07G0030500 transcript:ORGLA07G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RATDLKIQSTRGAAASPSLLRSPTMARAAAATAAAIAPHLVPVIRGATPFSSAVAAAAAAASTPARSSSALTQTLSFPIQATRSAATSTPPWASSALAQPRYFSTRATRGWARHASASGGAQGRVRXPVVSIFFIVVTILHLKETFARRRAGPPSVIS >ORGLA07G0030400.1 pep chromosome:AGI1.1:7:2749230:2749463:-1 gene:ORGLA07G0030400 transcript:ORGLA07G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVALKRFLWPPTNSFATCSSFAESLVDTLLIERTSVSGHLPVEVLSPPPPLKKAMRSSAAAAPRLANINGPDLESQ >ORGLA07G0030300.1 pep chromosome:AGI1.1:7:2743554:2744681:1 gene:ORGLA07G0030300 transcript:ORGLA07G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q861] MKLILMVAFQAMSLISISTASLQYNFYGSSCPNAEQTISNVVYGLIDADPSMAPALLRLHFHDCFVMGCDASILLDPTKANGSPEKTAIPLRGYDAVNKIKAAVEAVCPGKVSCADILAFAARDSVAKSGGFVYPVPAGRRDGNVSSAFSVFSSIPSPFFDAGELVQSFAAKGLTVDDLVALSGAHSIGTAHCSGAACPDGSAADDGVVNNSPVSPATLGNQYFKNALAGRVLFTSDAALLTGRNDTAEKVRENAGDLTAWMARFAASMVKMGGIEVLTGARGEVRRFCNVTNS >ORGLA07G0030200.1 pep chromosome:AGI1.1:7:2738545:2741262:1 gene:ORGLA07G0030200 transcript:ORGLA07G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q860] MATALLAAAPSSAEAGDGELKVGYYDNKCSGVEDIVRSHVIKAIIQDRGIGGSLIRLIFHDCFVRVLQGCDGSVLLNASDENPRPETAAPVSIGLEGFDILEEIKADLERRCPGVVSCADILIFAARDASSILSNGRVRFDVPAGRLDGVVSSADEAQAELPDPTFTIRQLIDNFARKNFTVEELVVLSGAHSVGDGHCSSFTARLAAPPDQITPSYRNLLNYRCSRGGGADPAVVNNARDEDLATVARFMPAFVGKLRPVSALDNTYYRNNLDKVVNFNSDWQLLTQDEARGHVREYADNAALWDHDFAASLLKLSKLPMPVGSKGEIRNKCGAINHSKS >ORGLA07G0030100.1 pep chromosome:AGI1.1:7:2710470:2716203:1 gene:ORGLA07G0030100 transcript:ORGLA07G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQLRSSESPASGGGGVTGGGAPHLFHALGPALLISIGYIDLGKWVAAVEAGSRFGLDLVLLALLFNFMAILCQYLAACIGTVTGRSLAEICHQEYSRPTCIFLGVQAGLSLLTSELTMIFGIALGFNLLFEYDDLITGICFATVVPNLLPYAISHLGKKMAGTLNACIAGFALLCYVLGLLVSQPQIPLTTNVIFPKLSGESAYSLMALLGANVMAHNFYIHSSVVQGQKRSAFAVGALFHDHLFSVLFIFTGIFLVNHVLMNSAAAESTNTLLLTFQDVVELMNQIFVNPMAPTIFLVVLLFSSHIISLTSAIGSQVISQHLFGINLPLSGHHLILKAFAIVPALYCAKVAGAEGIYQLLIICQIIQAMLLPSSVVPLFRVASSRLIMGAHRVSLHLEILTFLAFLLMLFSNIIFMAEMLFGDSGWLNTLKGNTGSPVVFPSTVLITVACVSVAFSLYMAVTPLKSGSREAELQQEWSVPSQKELLNTTQDREETCAGNVTYEEDQRSDVVPSPRIQPVDCLKSALDYIDSSDTAIESDHDSQHSTAHTSTAPESCHSPSFIPEESKSVVAVDWPEPLEPISNAIVAEESTVESVDSKSTGERDIEVEPALLMDNDKEAPNILESDNKPLGGNNLSCASDDGPPSLTFSRGKGSDAGNGSGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASSKRFDILLGLDVRTPSSTVRADNQANEIPKSPMVRDNLQGSAFLGSSRDLMSTKNEMSNLDLTYGLQMGNNIGSSAWSQGMQLPSTQLQSSSNSLLDQGARLNSNFSTPSYADNNQFYQPATIHGYQLASYLKQMNANRNPYSSMPLDPQRLPKSSASAVPTYVDSVMHARNQNLLASLGATPSQIAATSRIGTMMAERSYYDPSTLDGNENAGSSAYSKKYHSSPDISALIAASRSALLNESKLGGGTIGSQSYLSRLASERSQYTNSVARPAAPLAFDELSPPKLPGDIFSMQQSPNPSARSLWAKQPFEQLFGVSSAELTKSEFNPAGRSGGMTNDDFSYKESEAKLLQSLRFCISKILKLEGSGWLFKQNGGSDEDLIDQVAAVEKLLQQGTSDNQLLLGDTQQPPCDKADIQYMRVLPNCGDDCIWRASLVVSFGVWCIRRVLDLSLVESRPELWGKYTYVLNRLQGILDPAFSKPRSALSACACLHRDIRVLNSLRHSSLVATNSIPRQIRGSFTTASVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGQQ >ORGLA07G0030000.1 pep chromosome:AGI1.1:7:2680996:2683069:-1 gene:ORGLA07G0030000 transcript:ORGLA07G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family UPF0090 [Source:Projected from Arabidopsis thaliana (AT1G69210) TAIR;Acc:AT1G69210] MAHARAQAIRALLARCSTECPRRAAASSCIRRASLPYCSPGSYPRNLPPAVRAAGADWTRSLASRAQGGAGAGEAGAEEGEAQEWMAEWEEEEEEEEDVEPEIGDGGDGGGVALRGVEWGKRALAAAEEVLGEHFGDDVAMFAFKVSPKGYVYVRLDKLTNRYGCPGIEEIESFNKLYKQKLDELIEQGEIPLDLAIEVSSPGAERLLKVPKDLDRFKDMAMRVQYLVEGDDVVPKQILQKDGIFLLESVDIQAEHCIWKLADVKENRAAAGKGRPLNRKKRDWRLQTSFQAVKKATLYLD >ORGLA07G0029900.1 pep chromosome:AGI1.1:7:2679195:2680454:1 gene:ORGLA07G0029900 transcript:ORGLA07G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPAAAPPRRVVICGGGVVGACTAYFLSTHAASPTVPTLVEKSSPACAASGKAGGFLALDWCDKTPALSALARASFALHRRLAATLDGGSAYGFRPVHTLSICLPTDPDPAAAAASPLLPAWVDPAASAAPPRELGTTDTTAQVHPGFFTKAVLAASGAEVVIGEAERVVVRDGRVAGVVVRGRGEVDADAVVLALGPWSGRFEMVREVFDVSGLKAHSIVLRPREPENITPHALFLSYQPEPGAKMLDPEVYPRPTGEVYICGMTKDEEVPDDPETITGEPDSIAMLHKIAGRVSGQLKREEGAEVVAEQACYLPCTDDGLPVIGEMPGVKGCYVATGHSCWGILNAPATGAALAELILDGDAKIVDLAPFSPARFLKKKSKRGV >ORGLA07G0029800.1 pep chromosome:AGI1.1:7:2677332:2677571:1 gene:ORGLA07G0029800 transcript:ORGLA07G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEKRRNTCSARLESTSTRISHAFHKATTLAMDPSIFVFQSAACSTIPAFLLPGHCLILSETLISSSLGERISFLDGKL >ORGLA07G0029700.1 pep chromosome:AGI1.1:7:2674448:2676513:-1 gene:ORGLA07G0029700 transcript:ORGLA07G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGRCAADPAPVRDWRPANSSKAPATGASSLPAMVASRGCSNGGGRCAKVADLAEMNGRINMVEFELKREVQLMKFAVEKQRAMARVEMMEAEANRMQFRFRLMCGLVWGGSLVYIASHRY >ORGLA07G0029600.1 pep chromosome:AGI1.1:7:2650287:2657624:-1 gene:ORGLA07G0029600 transcript:ORGLA07G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQRKRPPPPPPPPSPPNPPPRAPQATRTATPDDASASAAVDDAAALLAEAGCTLLVPPHQPPALPSPLSFARALAAADAALRDRLLAGLAAFAESPARLRQLLLPTSAAHSPSLARALLSVPALQPGLLGLLLDKLPEHFDNVLDGMPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKDIIGSLPEIVGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIREQLKFVGTVDPRAARGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSLIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVSQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKLNAFSTQVATRVDNVSQRARDETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRYVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASLEKSTSDDKFKQSTILDAFKRAGVTISQETNRDSQPSPSGMMSRVMEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEMPLYVYLLRELQNKLDNLYPSSKPFFSSSQVKSTQTYCQKSMEEFLNKIQPLFSSLRKHLDGAVSMIKDGSDSCPDNWNSHSASAGNPDIPYVVVLKSSVATSVFKEVLGCYRKLLGIPDLLNQANISVLKELLQTFQP >ORGLA07G0029500.1 pep chromosome:AGI1.1:7:2645010:2646758:1 gene:ORGLA07G0029500 transcript:ORGLA07G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPSAPGLAPPPPPSKVKVATATVPTNGKIKRGARPMRVSAPAPVVEPRRRMNPLQRLAAAAIDAVEEGLVAGLLERGHALPRTADPAVQIAGNYAPVGERPPVRGLPVSGRLPACLDGVYVRNGANPLHAPRAGHHLFDGDGMLHAVRLAGGRAESYACRFTETARLRQERDMGRPVFPKAIGELHGHSGVARLLLFGARALCGVLDASRGIGVANAGLVYHDGRLLAMSEDDLPYHVRVTHDGDLETVGRYDFHGQLDADGTMIAHPKLDPVTGELFALSYNVVSKPYLKYFYFTADGRKSRDVDIPVGAPTMIHDFAVTENYAVVPDQQIVFKLQEMVRGGSPVVYDREKASRFGVLPKRAADASELRWVEVPGCFCFHLWNAWEDDATGEIVVIGSCMTPPDAVFNEPSSPEEESFRSVLSEIRLDPRTGVSRRRAVLRDAAEQVNLEAGMVNRQLLGRKTRYAYLAIAEPWPRVSGFAKVDLESGTAERFIYGEGRYGGEPCFVPRAGAAGEDDGHVLCFVHDEERGTSELVVVDAGGAGGEAMEEVAAVKLPGRVPYGLHGTFIGANELQRQA >ORGLA07G0029400.1 pep chromosome:AGI1.1:7:2618416:2618766:1 gene:ORGLA07G0029400 transcript:ORGLA07G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEAKRRFRGGLGGGALGDGGLVVAAVEGLKVEVAKWWWQRWWQRRWRCGSNAVASLEDLEVAAPNLHLASNSAPTIVGVVIAAAISNHPLLSSHLRCLLLSIQQRHRWWRRLA >ORGLA07G0029300.1 pep chromosome:AGI1.1:7:2613408:2614628:-1 gene:ORGLA07G0029300 transcript:ORGLA07G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G30090) TAIR;Acc:AT1G30090] MRRVRVSSHQSPVHKLGDSQMKLTPKFRLATTSALPSSMPASDLEQASWETPLIPGLPDDAALNCLLRLPVETHEACRLVCRRWHHLLADKARFFMQRKVMGFRSPLLFTLAFHRCTGKIQWKVLDLNYLTWHTIPAMPCRDRACPRGFGCVAIPSDGTLLVCGGLVSDMDCPLHLVLKYDVYKNRWTVMTRMLAARSFFAGGVIDGRVYVAGGYSTDQFELNSAEVLDPVKGVWQPVVSMGMNMASSDSAVISGRLYVTEGCAWPFFSSPRGQVYDPKIDRWEVMPVGMREGWTGLSVVIDEHLFVISEYERMKVKVYDPETDSWDSVKGPPMPERIMKPFSVSCLENKIVVVGRGLHVAIGHVKKQPGSHPDSRSSSYLIQWQDVDVPREFGDLTPSNSQILYA >ORGLA07G0029200.1 pep chromosome:AGI1.1:7:2607784:2611293:-1 gene:ORGLA07G0029200 transcript:ORGLA07G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYLVASLATTLLTSTFLSLLLLLRLLLTRRPPLAGGGDGGSAVRLYEGRVRHSRRRPAAHAFEYPVRYALVDLDRLPLPGHLSPDDARRVASTSGPVHLLTIPKSVGYEQNPLSIYYCYDSAEQGEDEKLKMCIAEVTNTPWGERVMFTFQPGSDLVAKPLHVSPFMDMLGNWSIRAESPGDSLYVVILVQHPTLGNYFTAALHAKLVEKTSSSLRLATFFWLMPHKVAAGIYWEAVRLWLKNVKFLDHPRYLNLNYRDEAQKRDLEIRSSCSFLQKQKLNDQRTGRADETAEITDHHDHNGEESVVKRWCVWTDAQXPWS >ORGLA07G0029100.1 pep chromosome:AGI1.1:7:2590602:2592638:-1 gene:ORGLA07G0029100 transcript:ORGLA07G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRRDDGGDVEVELSLRLRTGDDSTSADPAPATAAAEARRNLTIFYNGRMCAVNVTELQARTIISMASQGNFGKQQQQQIQGRDDHHYHQGESSSGGGVSTAAARHCDVAGSSSSHSGSGSGSATPPRPALVSPRAGLQAAAAAAPTMNQPPAASGLSMKRSLQRFLEKRKTRAAAPLYARR >ORGLA07G0029000.1 pep chromosome:AGI1.1:7:2586994:2589730:1 gene:ORGLA07G0029000 transcript:ORGLA07G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVTEYQAIAKQKLPKMIYDYYASGAEDEWTLQENREAFARILFRPRILIDVSKIDMATTVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRRVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPFLTLKNFEGLELGKMDQASDSGLASYVAGQIDRTLSWKDVKWLQTITTLPILVKGVITAEDTRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFSLAAAGEAGVRNVLQMLRDEFELTMALSGCTSLADITRNHVITEADKLGVMPSRL >ORGLA07G0028900.1 pep chromosome:AGI1.1:7:2582465:2585495:1 gene:ORGLA07G0028900 transcript:ORGLA07G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPPKPWERAGAEGTSGPAPFKPPSGGTTSDVVEASGTAKPGETVTATERNLSANVNNPVSRPMPQRPWQQTSGYGNTYGGYGSNMYSSYGGFGNTYGSGGLYGNSMYSSYGGGYGGSLYGGSGMYGGGMYNSGLGGSYGGYGMGGMGGMGGMGGMGMGPYGNQDPNSFGPPAPPPSVWVSFLRVMHGVVNFFGRVAFLVEQNTQAFYLFITAMLQLFDRSGMLYGELARFVLRMLGIRTKSKKGKVQGPDTPAFEGPVQQFIEAPKGNNSWDNVWGN >ORGLA07G0028800.1 pep chromosome:AGI1.1:7:2579577:2580786:1 gene:ORGLA07G0028800 transcript:ORGLA07G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTPAAAAVTGEHDDNELAACVVVVDFWANGFGMRARIALHVLQVGFGFVEEDLRIRERSDLVLRMNPVHRSVPILIHRGRPIYGSINILQYIDEVWAKRVGTRLLPPDPLKRASARFWADFVDHEVFSTQTRFLKSKGEEKEMAKAELLDQLRRLEGVLGDRSFFSGDEFGFLDIVLIPFSSMFHGYKWVKRCKERESMRQVLPDEGEMYELHKKWYGIE >ORGLA07G0028700.1 pep chromosome:AGI1.1:7:2563641:2567137:-1 gene:ORGLA07G0028700 transcript:ORGLA07G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G17230) TAIR;Acc:AT1G17230] MAASVARVLLAAAVFFAAVAAAAAASSSSAAAAALMEFKTKLDDVDGRLSSWGAAGGSGGGDPCGWPGIACSAAMEVIAVMLHGLNLHGELSAAVCALPRLAVLNVSKNALAGALPPGLAACRALEVLDLSTNSLHGCIPPSLCSLPSLRQLFLSENFLSGEIPAAIGNLTALEELEIYSNNLTGGIPTTIAALQRLRIIRAGLNDLSGPIPVEISACASLAVLGLAQNNLAGELPGELSRLKNLTTLILWQNALSGEIPPELGDIPSLEMLALNDNAFTGGVPRELGALPSLAKLYIYRNQLDGTIPRELGDLQSAVEIDLSENKLTGVIPGELGRIPTLRLLYLFENRLQGSIPPELGELTVIRRIDLSINNLTGTIPMEFQNLTDLEYLQLFDNQIHGVIPPMLGAGSNLSVLDLSDNRLTGSIPPHLCKFQKLIFLSLGSNRLIGNIPPGVKACRTLTQLQLGGNMLTGSLPVELSLLQNLSSLDMNRNRFSGPIPPEIGKFRSIERLILSENYFVGQIPPGIGNLTKLVAFNISSNQLTGPIPRELARCTKLQRLDLSKNSLTGVIPQELGTLVNLEQLKLSDNSLNGTIPSSFGGLSRLTELQMGGNRLSGQLPVELGQLTALQIALNVSYNMLSGEIPTQLGNLHMLEFLYLNNNELEGEVPSSFGELSSLLECNLSYNNLAGPLPSTTLFQHMDSSNFLGNNGLCGIKGKSCSGLSGSAYASREAAVQKKRLLREKIISISSIVIAFVSLVLIAVVCWSLKSKIPDLVSNEERKTGFSGPHYFLKERITFQELMKVTDSFSESAVIGRGACGTVYKAIMPDGRRVAVKKLKCQGEGSNVDRSFRAEITTLGNVRHRNIVKLYGFCSNQDCNLILYEYMANGSLGELLHGSKDVCLLDWDTRYRIALGAAEGLRYLHSDCKPKVIHRDIKSNNILLDEMMEAHVGDFGLAKLIDISNSRTMSAIAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELVTGQSPIQPLEQGGDLVNLVRRMTNSSTTNSEIFDSRLNLNSRRVLEEISLVLKIALFCTSESPLDRPSMREVISMLMDARASAYDSFSSRASEAPIEDDSSLKH >ORGLA07G0028600.1 pep chromosome:AGI1.1:7:2556852:2558192:1 gene:ORGLA07G0028600 transcript:ORGLA07G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGSNNKRGRVRGPNDDDDDAGEPDAKRHHHQLLLPWPQQQQQQHPASRIYRVSRASGGKDRHSKVYTAKGIRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAAAAAIDKLPSLDTASFPTHPASSAAVAAAAAPPLPHAEREQQQQLTKSGCSSTSETSKGSVLSLSRSESRVKARERARERSSAAAAAASKDAGDDAATPTAPTAAPASSQAASFTELLTGMAAANASPADHKQQQAWQPMTVAAATADYIGFAAAAAAPHTQPRKSAAGHHSAMPHTFASPAPHLANITPIAMAPAQHFTLTPAAAEHHAEMTHYSFDHFMPVHAAAAAAAAASTPAGGDYNLNFSMSSGLVGVHSRGTLQSNSQSHLSSHHHHHHQQQQQQQQLQRLSAPLDAPNIPFLFSPAAAPTAADTQFAAALQLWDGFRHADIKEKGKH >ORGLA07G0028500.1 pep chromosome:AGI1.1:7:2533287:2535134:-1 gene:ORGLA07G0028500 transcript:ORGLA07G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRYLACKLRAPAAALRRPRSLSANASQICSVFMPTFRFQKTPNFELPIRRDIEEAVRYNRFHRRCIMASIVVGVGLGGLSCVWYARSYRKALMEHVTGFEVISPYAPSTPE >ORGLA07G0028400.1 pep chromosome:AGI1.1:7:2528169:2529640:-1 gene:ORGLA07G0028400 transcript:ORGLA07G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRYVAGKMRAPAPAAAALRRPRSLSANASQGGRSNATTENVARNTDGDLGWLEEEIAKLEKLRLEIEETTRYNRLHKRCLIGSVFAGFGLGGLACAWYTHSYRKALKEHLDNRIVWMSPYSTSSPK >ORGLA07G0028300.1 pep chromosome:AGI1.1:7:2505111:2506853:1 gene:ORGLA07G0028300 transcript:ORGLA07G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: MAGE protein (InterPro:IPR002190); Has 1274 Blast hits to 1260 proteins in 85 species: Archae - 0; Bacteria - 0; Metazoa - 1104; Fungi - 45; Plants - 49; Viruses - 0; Other Eukaryotes - 76 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G34770) TAIR;Acc:AT1G34770] MATVSDDYAQVDISTEEKDKLVAEVMRHVLFKTHQTTGCPIKREELTQIVTKNYRQRALPALVIKEAGDRLAATFGYEMRELQRTRAPSTRSGRPSQQQVNVDAKSYVLVSKLDPEVYSKYVEHKEAAHVSGFAFVVISIVHLSGGKISEEDLWHQLRRLGLNESDENHPVLGNNKQALELLVQQRYLLKEKLSGPEGHSMMYELAERALDESISGKLKDYISQVVSTSTAAEVD >ORGLA07G0028200.1 pep chromosome:AGI1.1:7:2503023:2504134:1 gene:ORGLA07G0028200 transcript:ORGLA07G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHEFDGSTFRECFSLSWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFPSVDKNTWLQEMIVSMAVAGAIIGAAIGGWANDRYGRRTSILVADALFFAGAAVMASATGPAQLVVGRVFVGLGVGTASMTSPLYISEASPARIRGALVSTNGLLITGGQFL >ORGLA07G0028100.1 pep chromosome:AGI1.1:7:2494059:2494649:1 gene:ORGLA07G0028100 transcript:ORGLA07G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERVFAELATIHCQKSLPCRHSFDPPRTTPILHLYIIHLLLPPLIAIVCLCYIAIVPFEEEEERMRMQVVEAAAVDEEEAAAAAATAMMSVYERVARMASGNAVVVFSASGCCMCHVVKRLLLGLGVGPAVYELDQLAAAADIQAALSQLLPPGQPPVPVVFVGGRLLGGVEKVMACHINGTLVPLLKQAGALWL >ORGLA07G0028000.1 pep chromosome:AGI1.1:7:2477725:2478012:-1 gene:ORGLA07G0028000 transcript:ORGLA07G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRNGRADTIGVQGHSGVQEQGKQQAGHSEKLLLRHRRRRPAARRRRRRLRPVVLRAVAAGECRPPAGVAAAAIGAASPPCRLIKFRWSNAQSTRG >ORGLA07G0027900.1 pep chromosome:AGI1.1:7:2454302:2457995:-1 gene:ORGLA07G0027900 transcript:ORGLA07G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 23 [Source:Projected from Arabidopsis thaliana (AT1G30270) TAIR;Acc:AT1G30270] MSVSGGRTRVGRYELGRTLGEGTFAKVKFARNADSGENVAIKILDKDKVLKHKMIAQIKREISTMKLIRHPNVIRMHEVMASKTKIYIVMELVTGGELFDKIASRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGTLKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKADFSCPSWFSTSAKKLIKKILDPNPSTRITIAELINNEWFKKGYQPPRFETADVNLDDINSIFNESGDQTQLVVERREERPSVMNAFELISTSQGLNLGTLFEKQSQGSVKRETRFASRLPANEILSKIEAAAGPMGFNVQKRNYKLKLQGENPGRKGQLAIATEVFEVTPSLYMVELRKSNGDTLEFHKFYHNISNGLKDVMWKPESSIIEGDEIQHRRSP >ORGLA07G0027800.1 pep chromosome:AGI1.1:7:2445392:2450917:-1 gene:ORGLA07G0027800 transcript:ORGLA07G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVRSSSAAPPDPPPRSASPPATPVASSAGASSPPAQTNAASIDWLGGEPISKVESSSQIAPHAPRPSLSTNAAGAAVDFSQPSCRPWERGDLLRRLATFKSSTWASKPKAASSLACARRGWVNIEMDKIACESCGAHLIFTALTSWSPAEVANAGEAFAEQLDASHLGDCPWRGNSCADSLVQFHLTPSALVGGFKDRCDGLLQFISLPVIAKSAIESMKLTRSPQIDRVLSQAITILSGELGYKTDSTTGIDINHQDESCSYSQAQKLISLCGWEPRWLPNVQDWEENSTRSAKHTASADPDQIHKQNSYSASVKKDKGKGKIRVKDSGCSMRSPLLDCSLCGATVRIWDFRSVPRPSHLSINNIDAPDTRKGVLTRGISATSGINGWVAEGTERENVEGRGEAGTEEGKSLSNAQVDLNLTMAGGLPSTHSVMPSMHDHFNDGGMGRDLMIGQPTGSELGGFAASFESRGPSSRKRNVEEGGSTADKPLNRLHPADSIEGTVIDRDGDEVDDGAQDSDIRSNKRPRGFNLFDVNRPSSSGAGPSRNLSFDLDIDVNKFDTYKAEGPSALHNPSASMRASSVIAMDTVHSAEENSTESVEYHPCDVDDVHKPSSAVRSGGMSEALDLNYSNQAPQSSFVQPAAESNAREIGGSSMNGGEEVLNAETAPAFARDQLSLGVSGGSVGMGASHEAEIHGVDVSEHKTDSVVGDVEPAPELTENMGNTGESTPGPGMMDEFVPDDVGREEPQGDSQDVASRLVGRADSGSKICGSTKADSVESGEKMSHAIGHESNLQHSLSRNARVYSGIDLSKDEVTQIAKLPANDDYDPGDDLMHLLLQVNLNTPFLCYSICLLAAANGGNDYGAGLPEFDPISHHNNYCPWVNGHVAAACCINTGSSTSTGLSGWQLTVDALETIQSLAQAQNQIMPSDSAASLYKDDHVAPSRKLLKRASHSKC >ORGLA07G0027700.1 pep chromosome:AGI1.1:7:2434569:2435558:-1 gene:ORGLA07G0027700 transcript:ORGLA07G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQIIGRFGLSSAQKNAYCSSTSPQQRRHANTLLRRFRDKIIQAWRHEETREMILNVSAMLGLVAVVAVVGCIMKPHFEAQLEKLAQAFVLLFLLALLQAMVEMQKERRRKSLEDDHADDSEESKKKLKPTKT >ORGLA07G0027600.1 pep chromosome:AGI1.1:7:2423327:2425310:1 gene:ORGLA07G0027600 transcript:ORGLA07G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S12 [Source:UniProtKB/TrEMBL;Acc:I1Q834] MAEETPVETPAAPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQDYVKSH >ORGLA07G0027500.1 pep chromosome:AGI1.1:7:2411885:2416334:-1 gene:ORGLA07G0027500 transcript:ORGLA07G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration stress protein (ERD4) [Source:Projected from Arabidopsis thaliana (AT1G30360) TAIR;Acc:AT1G30360] MDTASFVTSLLTSFVIFVVLVLVFTWLSSRPGNAPVYYPSVLLRGLDPWEGRGRGTRSPVGWLRQAISASEGDVVAAGGVDAAVYLVFLSSVLSILVFSGIVLLPVLLPVAATDDNLNLERAIGLKNGKTPQNFTELEKLALGNVQEHSRRLWAFLLSVYWVSFVTYFVLWKSYKHVSNMRAAARSTPDVKPEEFAVLVRDVPKPPPDQTIKDSVDSYFRALHPDTFYRSMVVTDHTKADKIYQEIEGHKQKIARAEVVYAESKTTGKPEGTKPTHRIGFLGLIGKKVDTIEYCNDQIKELLPKLEAEQKTTLREKQQQAAIVFFNRRSAAASASQTLHAQMFDKWTVEQAPEPRQIIWSNLSKKIYERQIRQVVVYTIVFLTVVFYMIPITAISALTTLEKLREKLPFLKVVVDQPKIKTVLQAYLPQLALIVFLSLLPSLLMFLSKLEGIPSQGHTVRAAAGKYFYFIVFNVFLGVTISSTLFSALTTIINNPPGIVNMLASSLPGSATFFLTFVALKFFVGYGLELSRLVPLIIFHLKRKYLCKTEDEVRAAWAPGDLGYNTRVPNDMLIVTIVLCYSVIAPLIIPFGVAYFALGWIIAKNQVLRVYVPSYESNGRMWPHMHTRIIAALLIYQITMVGVILLKKFLYSPVLVPLIPISFIFAYICHMRFYPAFAKTPLEVVQHNVKDTPNMDAVYTSYIPACLKPEKLEDVDIFEDAQSHTTSRAPSI >ORGLA07G0027400.1 pep chromosome:AGI1.1:7:2409364:2411142:-1 gene:ORGLA07G0027400 transcript:ORGLA07G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21065) TAIR;Acc:AT4G21065] MSEAIPSTRPALRHCVALLRLHLAAPSLAAAKQIHARALRAGVPTSHPLLAKHLLFHLAALRAPPLRYAVAVLSRLLPHGPLDPFPLNTVLRIAAGSPRPRVALELHRRRLALPDTHTYPPLLQACARLLALREGECLHAEAAKNGFVTLVFVQNSLVHLYGACGLFESAHKVFDEMPVRGRNLVSWNSMLNSFAANGRPNEVLTVFREMLGVDFAPDGFTIVSVLTACAEFGALALGRRVHVYVEKVGLVENSHVSNALIDLYAKCGSVNDARRIFEEMGLGRTVVSWTSLIVGLAANGFGKEALELFSLMEREKLVPTEITMVGVLYACSHCGLVDDGFRYFDRMKEDYGISPRIEHLGCMVDLLGRAGRVEEAYDYIITMPLEPNAVVWRTLLGSCAMHKKLELGKVAWERLVELDPGHSGDYVLLSNLYAAVGMWADVHVLRKTMVKDRVRKNPGHSLVELRNSVYEFVMGDRSHPESEQIYEMLAEIAERLRREGYIPRTSNVLADIEEEEKETALNYHSERLAIAFALLKSLPGIPIRIIKNLRMCGDCHVAFNLISKVYDCEIIVRDRSRFHHFQGGACSCKDYW >ORGLA07G0027300.1 pep chromosome:AGI1.1:7:2392961:2393995:-1 gene:ORGLA07G0027300 transcript:ORGLA07G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALLTKLRIPAAASRWTLPPFRSSSTAPQAKGKLSSTATAHDGTPVPGEGRRILEQIMEEGDKRQRKLMWYEIIGNFITFNATLYTVYLLCKVD >ORGLA07G0027200.1 pep chromosome:AGI1.1:7:2352644:2353293:1 gene:ORGLA07G0027200 transcript:ORGLA07G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit K [Source:Projected from Arabidopsis thaliana (AT1G30380) TAIR;Acc:AT1G30380] MASQLSAATSVPQFHGLRTYSSPRSMSQVTLPSLRMSKKRSQGIRCDYIGSATNVIMVTTTTLMLFAGRFGLAPSANRKSTAGLKLEARDSGLQTGDPAGFTLADTLACGAVGHIMGVGVVLGLKNIGVLDQIIG >ORGLA07G0027100.1 pep chromosome:AGI1.1:7:2337458:2351449:1 gene:ORGLA07G0027100 transcript:ORGLA07G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MEAPPETSKPAEAKPSKTRLSAPTGRFALGTASSIKKRTDVAPSAELGMSRSSLTKSTSSMNAAPVQRRSSTGSAGKQQDNGSSVVAKKASPSLSDGVKKSKPVTASMVSSKSSLEKKSSVQSERAKVDAMKKPAVKSSPISTLKKVPSLTENSSSSASSSFRRAASNATLNSPRSPSVTSSVTKKVGSRTSSMDKGSSMPIRKKSSTADSRDSRFMMLPQVDLKASDEVRLDSRGHRVRTLKQLRLTPVLEFVYLRDNRLSSLEGIEILKGVKVLDLSFNDFKLPGFEPLENCKLLQQLYLAGNQITSLATLPELPNLEFLSVAQNRLKSLCMASQPRLQVLAASRNKISVLKGFPHLPSLEHLRVEDNPLLEMPHLEAASILLVGPTLKKFNDRDYXWDGNENNADLNPGEAEVAKQYPAHTAICIRDGWEFCSPELAADSTFSFLLEQWKNKLPQDLIVKKAHVDHPFEEDPCHCHFSFTNQCDEGELVLKYQWFIGDKTPTDFVPLPEELSEVYWPKREDVGRCLKVECTPILNDAEFPPIFAVSLPVSPGTGCPKVINLTVHGDLVEGNVLRGVPEIAWCGGMPGKGVASWLRRRWNGNAVVIDGADRMEYQLTLDDIDSSLVFMYTPVTEDGVKGEPQCTMTDFVKAATPSVSSVHVVGDIVEDNTIKGNGKYFGGKEGLSKFLWFREKENGEFLLVLSNSTEYTLTKEDVGRPLKFVYVPINLEGQEGEAAYAMTDAVKKAPPKVLDLKIIGEAREGSKVSATATVKGGTEGFSRVQWFIGSSSKFLNENELGVLTTSKVSKTFRIPLSAVGYYIVAKFTPMAPDGETGEPAYAVSADVVEMLLPSLNFLTVTGEFSEGQMLTASYGYIGGHEGDSLYSWHLHETEDDEGSLVSEASGLLQYQVTKEAVGKFLSFKCVPIRNDGILGEPRVFTGNDRVTPGRPTILSLELTGEAIEGTTMVASRRYWGGEEGETIFRWILVGSSYXNTTLPFHVTMAQXFVTAWMYQVTSYILIKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSTDXFRWITKEIEGAASSSYTLNCNDIGFYISVLCEPVRSDGVHGSLVSTEESGPILPGPPTCLSLELAGPMVEGGCLTFHAEYTGGFKGDCIQEWFRLHSDGSKEKLSTDECLDLTLDDVDSRIELIFTPVRDDGSQGSPKSVLSDTILPGDPKGVDLVLPECFQDNEISPIKTYFGGKEGTGKYTWYRTKEKLDNLEPDLVASCSEVGVNLMYKPSLDDVGFYLILHWVPARYDGEIGDPLVAVTSDPVMAAFPSVSDVHLKQKSSLLYSGTGVYYGGYEGSSLYKWYRESSDGTRHCIDGADLIIYEVTDADYSCRLLFGYIPVRSDGIIGEERLSEPSDIILPERLKIEALSFKGNQVERETLTVLEQIPSTAVQQHLWSNYKKEITYQWFASSGSEVDQTFEPLANQCSRSYKVRFEDIGRCLKCECSVSDVFGRSSELISIVTAPILPGKPKIEKLEIEGRGFHTNLYAVRGTYSGGKEGKSKIQWLRSMVGSPDLISIPGEIGRTYEANVDDVGYRLVIIYTPVREDGVEGQPISASTEPIAVEPEIYKEVKQKLDDGSVKFEVLCDKDRTPKKAQVMGHLERRILEVNRKRIKVVKPGSKASFPTTEVRGTYVPPFHVELYRNDQHRFKIVVDGESEVDLMVQTRHMRDVIILVIRGLAQKFNSTSLNSLLKIEA >ORGLA07G0027000.1 pep chromosome:AGI1.1:7:2326062:2326601:-1 gene:ORGLA07G0027000 transcript:ORGLA07G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1Q828] MAGPVPFVDVVVDNAGGAVANKADPGVVLPQEEEYESFVSSLPSNPKLQLLRYQGKWLLQSWVPGIIAIQRGGFAPRRGGGDIVLASLPKCGTTWLKALAFATMARRAHPPAGDEQHPLLRLNPHDCVPSMEKLFAAGLGSKIMDALPSPRLMATHVHHSLLPASITDNPHCKIIYICR >ORGLA07G0026900.1 pep chromosome:AGI1.1:7:2292150:2292470:1 gene:ORGLA07G0026900 transcript:ORGLA07G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEEVVPVQVLAWRVSKKGARAVEQVLVRWTGQSSSEATWEDAAALRLRFLNAPTWGQAAFEGGRNVTGAATVSEPARENNPVKSGKRPQRERRPNVRVSGPEWS >ORGLA07G0026800.1 pep chromosome:AGI1.1:7:2279380:2280813:1 gene:ORGLA07G0026800 transcript:ORGLA07G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q826] MPSSPSHPHVAVVAFPFSSHAPKLLAVARALATAAPSATFSFLSTADSLARLPATAVVPAGNNNLRFVEVPTDGDQEETCPVWRRMEMFVEAAEGGGLRRAMEAAGDAAGGVAVSCVVGDAFMSMAAEVGVPWVAVWTGGPCALLAHIVGDAIRKDICDDDDLHGASGDELLTSYPGLGSYRVRDLPFGGGGVGGDMHRVMTMLLGRVARRLPRAATAVAINAFPGLFPPDVSAALADALPNCLPIGPYHLLPGAAATQANDDDPHGCLAWLARRPAGSVAYVSFGTVAAPPPDELRELAAGLEASGAPFLWSLREDSWPLLPPEFLDRATKAGDSAAGLVVAWTPQAAVLRHPAVGAFVTHSGWGAVLEAMSGGVPMACRPFFGDQHMNARAVARLWCFGMAFDDDNDGGGKPSMMTRGRVAEAVASLLAGEEEGARMMRARARELQAMVVSAFEPDGGSTKNLHKFVEIVCARRV >ORGLA07G0026700.1 pep chromosome:AGI1.1:7:2275467:2276385:-1 gene:ORGLA07G0026700 transcript:ORGLA07G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRDWIVSAMDAPAHDGVGEAAPNLVPVLAAPHVALQPRRLVPEAVLPVPAAGDGLAGATVAVGDGNGLVAKAVKPTSVQLMADTFAVAQRVCC >ORGLA07G0026600.1 pep chromosome:AGI1.1:7:2267403:2270387:-1 gene:ORGLA07G0026600 transcript:ORGLA07G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase [Source:UniProtKB/TrEMBL;Acc:I1Q824] MASALGAQASVAAPIGAGGYGRRSSSSKGSNTVNFCNKSWIGTTLAWESKALKSRHMNKIFSMSVQQASKSKVAVKPLELDNAKEPPLNLYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPNTVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPTKKGICSNFLCDSKPGDKVQITGPSGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMLMEDVPSFKFGGLAWLFLGVANTDSLLYDEEFTNYLQQYPDNFRYDKALSREQKNKNGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWEQKLSQLKKNKQWHVEVY >ORGLA07G0026500.1 pep chromosome:AGI1.1:7:2259994:2263279:-1 gene:ORGLA07G0026500 transcript:ORGLA07G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLDERMDPFTGRMIIPASTCQSRCTAHNDLSLFSGPLIDRRSSSSISEFNSEAEKFNDLEVPPPWLLSYHRSCDIGSNFEAFATVMSRLRQHLLDANVEINYTEYLDLMKLEVEQHLNKLKEDIRFLKSHSLVHDGDANGSCPMVCHHGKLVEIYEGFNGFKLLLVVVFRQIKEMLSLFSASIRDLQWEHEMQLEVTSIMIGDCIKSLQDELERKLYEQSSIVNTLKKNWKETVVQCGAIREELIDIADMLLPSEEESNILNSKHEHFGNWSSGWKHKFFGKKSGEERTPSSNEENISSATQKSVCPREVISEKSDFRHLKAMNREEMIKYFRFEISKLKRLHELSLQEKTEELFKFKREKGSLALKYDPEFEPLRKKVPEIISRVDQIILNTINAPTAFSTNQVLEERSRLTGRIDSLYYANQNLRGLLAEKMKDIKDLSRQVSDASRKMSFQLSLEEKLSRQLHKIEGDYEDLHIQSTIRDEVYQTVTKRMFDDYRNSLQDPALTYQEKVTSLEAALSEKETALRLANEENQRLKEKLSKQEKEHGIQNNQDYPELIKQDNEEMILRDIEMEPHVSPRRSYAISEQNAEYEELIKLKQTLEIASTALKEVESNELDYNGILGKNEQEKQLEFILVSIMDLSKEFVQIENKMSGDMKGSEKGPEILGYQCKHMVQQALVLTKKGLWYKQMLDTRRSQLRKAEAEVDVLGNKVSTLLSLVQKIYVTLEHYSPVFQQYPGLLDAFLKTCKLVAGLRSKQKEDLQDTS >ORGLA07G0026400.1 pep chromosome:AGI1.1:7:2256079:2258259:1 gene:ORGLA07G0026400 transcript:ORGLA07G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTKTGGEIWDMEKSQSPRMGSVILGVDGGAGNTVCVCIPAAMPFADPLPVLSRAVAGCSNHNSVGEDKARETLERVMAQALLKARRRRSNVCAVCLAVAGVNHPIDQQRMLDWLREIFPSHVKLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTRDGREARAAGAGPVLGDWGSAYGISAQALTAVVRAYDGRGPETALTNSILDFLGLASPDELIGWTYEDQSWARIADLLPVVVESAEAGDEVANKILHNSVGELASSVKAVVQRLELSGEDGKDHFPLVMVGKVLMANKRWDIGKEVIDCVTKTYPGAYPIHPKVEPAVGAALLAWNAVASELDGSPRTVA >ORGLA07G0026300.1 pep chromosome:AGI1.1:7:2248872:2251421:-1 gene:ORGLA07G0026300 transcript:ORGLA07G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMESFAWLLLILVLFSILEDVRGSKSKPILINCGSDSTTDVDGRRWIGDSSPKNFTLSLPGTVATAPDSDGKETYGDLYKNARIFNASSSYKFIVAAAGSYFLRLHFSQLPTNFSTKESLFDVSANGLKLVSKFNVPAEIYLRNSKINSTSRAIVKEYLLNVTSSNLEIEFSPDAESFAFINAMEIVPVSGNSVFDSVNKVGGYGLKGPFSLGDSAVETMYRICVGCGKIESKEDPGLWRKWDSDENFIFSMSAARAISNSSNISYVSSDDSTSAPLRLYETARVTTESSVMDKKFNVSWSFNVDPDFDYLVRLHFCELEYDKVEQRKFKIYINNKTAAENYDVFAKAGGKNKAFHEDFLDAASPQMDTLWVQLGSESSAGPAATDALLNGMEIFKVSRNGNLAHPTVRIGGFNSAMGKPKRSPKWVLIGAAAGLVIFVSIVGVIFVCFYLRRKKKTSANKTKDNPPGWRPLVLHGATTPAANSRSPTLRAAGTFGSNRMGRRFTVAEIREATMNFDDSLVIGVGGFGKVYKGEMEDGKLVAIKRGNPESQQGVKEFETEIEILSRLRHRHLVSLIGYCDEQNEMILVYEHMANGTLRSHLYGTDLPALTWKQRLEICIGAARGLHYLHTGLDRGIIHRDVKTTNILLDDNFVAKMADFGISKDGPPLDHTHVSTAVKGSFGYLDPEYYRRQQLTQSSDVYSFGVVLFEVLCARPVINPALPRDQINLAEWALKWQKQKLLETIIDPRLEGNYTLESIRKFSEIAEKCLADEGRSRPSIGEVLWHLESALQLHQGLLQSANTDDLSQSELKLSDASCNLGCIEEVEESCRAGSQDVNEEYVDVKIEVP >ORGLA07G0026200.1 pep chromosome:AGI1.1:7:2247342:2248291:1 gene:ORGLA07G0026200 transcript:ORGLA07G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVMASCALKPSPSPFLEQTRIQAIQPSSRPSLFRVMAKKAKKIQTSQPFGPGGGLNLKDGVDASRRPIKGKGVYQFASKYGANVDGYSPIYNPEEWSPSGDVYTGGKTGLLLWAVTLSGILLAGALLVYNTSALAS >ORGLA07G0026100.1 pep chromosome:AGI1.1:7:2244728:2245820:1 gene:ORGLA07G0026100 transcript:ORGLA07G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVMASLALKPSASPLLERSKLRGKGTMARPSLIIVAKKAKKIQTSQPYGPAGGVVFKEGVDASGRVAKGKGLYQFSNKYGANVDGYSPIYTPEEWSSTGDVYVGGKAGLLLWAITLAGILVGGAILVYNTSALA >ORGLA07G0026000.1 pep chromosome:AGI1.1:7:2237526:2238621:-1 gene:ORGLA07G0026000 transcript:ORGLA07G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYDRGWWQLKDVGRELNKVKVQCASWMRPLAAQELDKGVCAVSDAIPAASLKMDGSGAFGVLSMATRACGGGSGAAGLGSLLGQSDGGGCGVSGPAVSGSLTRTDGVGCKSCCCSCSLVG >ORGLA07G0025900.1 pep chromosome:AGI1.1:7:2236940:2237503:-1 gene:ORGLA07G0025900 transcript:ORGLA07G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVESPHASAEALGGKLVGWLLRLLLIEALCGNIILSIFGVSYLCQIVTRQGFSRRHPNSCMFKASWTMETFFKSPCSSIQVEDSIRVEYRPSSRLLSIGLQSVLLRFDGELLDNILLSPVKLKKNLRLNNKPRIAPFPWRQPKGSPVYQAVCASSVEARGRGRHGIAQSPVDRVLYCCLDYLGGCFS >ORGLA07G0025800.1 pep chromosome:AGI1.1:7:2226317:2228005:1 gene:ORGLA07G0025800 transcript:ORGLA07G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRTLVSKLRIPAAASRRTPPTFRSFSSASQELGSTAARATAKVVYPYVGHKAIFEPAIARQNRYRWWLTFLRLIRNYVALNAAFRASSHVQKPE >ORGLA07G0025700.1 pep chromosome:AGI1.1:7:2179342:2180170:1 gene:ORGLA07G0025700 transcript:ORGLA07G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRALVSKLRIPAAASRRALPPFRSFSAASQDKVGGTTARAAAKEGTPISDNSRKIEKFYRKLRWYQALGNFLGFNTSVYLFYRYHYT >ORGLA07G0025600.1 pep chromosome:AGI1.1:7:2164714:2165827:1 gene:ORGLA07G0025600 transcript:ORGLA07G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALLPKLRIPAAASRRTLPPFRSSSTASQDKLAAAKERSPQLYDDLYWKKAYEQDDK >ORGLA07G0025500.1 pep chromosome:AGI1.1:7:2159382:2159917:1 gene:ORGLA07G0025500 transcript:ORGLA07G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDYPVHGTMCAADSPALVHGFFQAEPLMPRLETNGAGVQENQSCSSAWLPLPLVGVPCSDLLRCRALKHETDNGREEASSLPRPTVQAEGGDSREAYGGEYQRAVEKAREIFFSIFADVLVA >ORGLA07G0025400.1 pep chromosome:AGI1.1:7:2107172:2111457:-1 gene:ORGLA07G0025400 transcript:ORGLA07G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G20940) TAIR;Acc:AT4G20940] MGILGSFLVLLLLAAPAFGQLPSQDILALLAFKKGITHDPAGFITDSWNDESIDFNGCPASWNGIVCNGANVAGVVLDGHGISGVADLSVFVNLTMLVKLSMANNNLSGSLPSNVGSLKSLKFMDISNNRFSGPIPDNIGNLRSLQNLSLARNNFSGPLPDSIDGLASLQSLDVSGNSLSGPLPSSLKGLRSMVALNLSYNAFTKGIPSGLGLLVNLQSLDLSWNQLEGGVDWKFLIESTVAHVDFSGNLLTSTTPKELKFLADISETVLYLNLSNNKLTGSLIDGVELSTFGRLKVLDLSHNQLSGDLPGFNYVYDLEVLRLANNAFTGFVPSGLLKGDSLVLSELDLSANNLTGHINMITSTTLQVINLSSNALFGDLPMLAGSCTVLDLSNNKFKGNLSVIAKWSNDLEYVDLSQNNLTGTIPDVSSQFLRLNYLNLSHNSLADTIPEAVVQYPKLTVLDLSSNQFRGPIPANLLTSSMLQELYIHDNMLSGGLLFPGSSSKNLSLQVLDISGNHFNGSLPDEIASLPSLQALDISTNNFSGPLPASITKLAALTALDISINQFTGSLPDALPDTLQSFNASYNDLSGVVPVNLRKFPESSFHPGNSRLEYPASSSGSGSSSGSTGGRSLSAAAKIALIAASIVALVILILVAIVCHYKQISRQFPSSEKVSDKNLHKTSKDMASTKGKDDKGGLVVSADELGAPRKGSTSEALSQEEKLSGVGGFSPSKGSRFSWSPDSGEAYTQEGLARLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFSKEAKKFANIRHPNVVGLRGYYWGPTAHEKLILSDYVSPGSLASFLYDRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPSPSFKSDVYAFGVVLLELLTGRCAGDVVSGSEGGVDLTDWVRLRVAEGRGSDCFDPAMASDSENQVSVKGMKDVLGIALRCIRPVSERPGIKSVYEDLSSI >ORGLA07G0025300.1 pep chromosome:AGI1.1:7:2104264:2104761:1 gene:ORGLA07G0025300 transcript:ORGLA07G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKEEVADAAAAGSGSDTILLISSDGEHFNVPSAAASLSQLVSNMIEDDCTTNGVPLPNVASKVLAKVIEYCIKHAAAGEEEEKDLKSFDAEFIDVDKNMLYDLLLASNFMNIKSLLDLCCQHTANLIKGKSPEQIRKEFGIKNDFTPEEEEEIRKENTWAFE >ORGLA07G0025200.1 pep chromosome:AGI1.1:7:2101815:2102084:1 gene:ORGLA07G0025200 transcript:ORGLA07G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSAAAGVVAWKTERNRQIVGPHRYSARSWRVWPPAGINWLMPKLSRWVMYVFSVSMTLMMSLLLKYMFLVSVMDEHHHFSWHCVPPLLS >ORGLA07G0025100.1 pep chromosome:AGI1.1:7:2081308:2081823:1 gene:ORGLA07G0025100 transcript:ORGLA07G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEATIDGGGKMIILISADGKRFEVTEAVASQSQLISNMIEDDCTENGVRLPNVDGDILTMVVDYCNMHAGDAAADGDTTKASSTEELKKFDAELVQALENPVLFKLILAANFLNIKSLLDMTCQRVADMMSGKTPEQMRETFSIENDFTPEEEAAIRQENAWAFDD >ORGLA07G0025000.1 pep chromosome:AGI1.1:7:2080306:2080767:-1 gene:ORGLA07G0025000 transcript:ORGLA07G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKKEVADDTILLISSDGEHFNVPVAAASLSQLVSNMIEDDCTTNGVPLPNVASKVIEYCGKHAAAAEDEEKELKSFDAEFMIDVDKNMLYGLLLASNFLNIKSLLDLCCQHTANLIKGKSPEQIRKEFGIKNDFTPEEEEIRKENTWAFE >ORGLA07G0024900.1 pep chromosome:AGI1.1:7:2078461:2078996:1 gene:ORGLA07G0024900 transcript:ORGLA07G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLGEGVPQPWRCLLSESIDKFQLQYGIDPSAGHCYRDYQILLLVPSSIDIAALPGRRSINQPAYAIWSVATAAAHHHQAVQRQHWSISGSILDSLLSGVLFACPVIHAFGASMKNQYPGI >ORGLA07G0024800.1 pep chromosome:AGI1.1:7:2066319:2066830:-1 gene:ORGLA07G0024800 transcript:ORGLA07G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding REAATAGSASPKFVEVGSGGRRRRLAVRRRGRWPRTPANARSASSKLVEAGSGDRLSGDGGRRRRPRAPGGRRRAKETATRSWEGGGRPRQPVVVGGSDGRWRVDGATAGGGWVEARRREKREERRKRED >ORGLA07G0024700.1 pep chromosome:AGI1.1:7:2057033:2057850:-1 gene:ORGLA07G0024700 transcript:ORGLA07G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGVEDPNWRSDPCVYLLPPWCQRPLCLCGDRCQLMASRNSDIRGRRFFRCPNYDREHYSIRQTRTTACAYIEWVDTENPVLDLTTCLQEGRWYFAFESTEQYLQRKAAYERQCREQQSDWRVLTTALLPWKARPRCRCGDRCQVLRSINPRTLGRRFFVCPNILDDDFMEPPRRCQYREWIDTRRVLTPPSRVVQLELPEQYRVTKARFERGEGSSRRG >ORGLA07G0024600.1 pep chromosome:AGI1.1:7:2048249:2050143:-1 gene:ORGLA07G0024600 transcript:ORGLA07G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSAVAAPPGAHPRRPQGQGGVPASPPRPRHARRRRARRDPGHHHRPAAVPSVDPRPRPRPEEIIIGGGADMVSPTAAASGGGEAMVGVGMSAPWLIGAAGASATIKLGSDPVAPATATEDSALLRARHLLSKAEQHHLAAALVCLVKNLPLPAIQGPEFVVLEADDSMVELIRDLIVAGGGHPEHGETTGGFVSLAPCVFDDARDKKTLPPSSGITNVSSLATANGIKIMIPVQSASKGSRRRLSSMQTTRCLSSTPNVSIPDDTSTSSANGDKRRANRIRLVDVSVRVTELEKLVRSLEKRLEDVEAKWDANLRIAELRADIAEKRADQLEKLLEKTVEGMERMVNNKMEQTITWVLQKNFQQEELAHSRHSSLLLHCTQLAQEMAATKDELHSVRRNYRDDILTSKFTVSITAWRIVLVLLGGFGGVTAFYVPYVIKDIKLETCEEVAKRISEMLQGIADAIKELREEVEANKVPWWRRLFKK >ORGLA07G0024500.1 pep chromosome:AGI1.1:7:2046021:2047679:1 gene:ORGLA07G0024500 transcript:ORGLA07G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:I1Q803] MEAGQDDAADRLTYEIFSILESKFLFGYGGGGGGETKSLQCAPPVSRGNRVCVLSVDGGARPEDGLLAAAALVRLEAAVQRRAGSKAARLADFFDVAAGSGAGGVLAAMLFARGPCGRPMYSADDALGFLLRRVRRRGWSSRAGGLLRRPAGAFHKVGLVNQVFGELTLRDTVRPVLVPCYDLATRAPFLFSRADAAQSPAYDFRLRDACAATCAPSDGAAAVEASSVDGVTRITAVGSGVALGNPTAAAITHVLNNRREFPAAAGVDNLLVISIGTGEAAGSSSRHRARTPVIARIAAEGASDMVDQAVAMAFGQHRTSNYVRIQGMGVARRRGGGVACGGETAEKAVWVAEAMLQQRNVEAVMFQGRRLAGETNAEKVERFARELIKEHGRRKQHVPPAASGGGGGSGLDCHVSKKQP >ORGLA07G0024400.1 pep chromosome:AGI1.1:7:2040414:2040656:-1 gene:ORGLA07G0024400 transcript:ORGLA07G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPLFPNAPAPPPPHQPLPPTGCTGAATLLSTSDAGPTLSPPTPLTRSSAVAAAGLPRQPSPPPSYAGAAHWAAPRRLLL >ORGLA07G0024300.1 pep chromosome:AGI1.1:7:2037831:2040201:-1 gene:ORGLA07G0024300 transcript:ORGLA07G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRTGSSSASASASSHPSGSPAPNSATDLSRGTSGPARASNLLNACRVIPPNDNENKPLWRYVELMEKTGKGQGGNVRFRCRLCGNIMHGSYSRVKAHLLKVGSNGVAPCPKVTIDVLSQLHDEMARAVAVAERNLPKDIPLPAEGASRGKRRAVSAIESSFNSDTRSNLDALIARMFYTAGIPFNVARNPYFRKAFMFACNNALGGYSPPSYNKLRTTLLVQEKTHVERLLNPLKSTWPVKGVSIVSDGWSDAQRRPLLNFLAVTEDGPMFLRAINTEGEIKRKEYIAEKMIAVIEDVGPKNVVQVITDNAANCRAAGLIVEQRYSHIFWTPCVVHTLNLALKNICAAKSSSGDAYEEFQWITEVAADASFIKNFIMNHSMRLSMFNEFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWDVGGDSFEMFEGGADFLQEAALSLDEPDLERVLEDLGALDLSADAGPSATSVTMIDTSSN >ORGLA07G0024200.1 pep chromosome:AGI1.1:7:2029360:2031009:1 gene:ORGLA07G0024200 transcript:ORGLA07G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGRPRLLSRSSQGEKNGRASRVVLNEKSEREKEILQDMERTIKRLPMITALSMVGGAGLAFGFLGCVFVIASASED >ORGLA07G0024100.1 pep chromosome:AGI1.1:7:2024065:2024645:-1 gene:ORGLA07G0024100 transcript:ORGLA07G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDVRRRGGDGDGNQQHMRWRLLEISSGRTVATGGAKLWIFNNCDARGVQQRGSAGAKRGGKEKDGDTEGEILKINQNFDFLPYFQNAH >ORGLA07G0024000.1 pep chromosome:AGI1.1:7:2020817:2022778:-1 gene:ORGLA07G0024000 transcript:ORGLA07G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLAGRHTSVLSVTNRSELLRQGQGATTREAASLAAIQDDTRAVAAVNAVLFSKDVAVAKETGWRRDAKIAVRGRGHGNPPRALVVRPLPLLPPSPFLRNRGISSLPSNQKDQGLKELLDSHGGGPGDSSAMNGIAYKHINDDADKAKMADGAGLQDATDVDKFGTGVGGAGSQDVEGAGDQDKAKNVAAVVIDGTSDVDKAKDVAGVVVEGDADKADDRAPGARRRRRTKPRDERLLQRILLYARNISGKLDDLCSRPRTGAADMDKIKVMMNQLRWSIPFTTGLGLLVGVVVTVVLAIKFGIPFVIDKFAQELGMVLQSIDAEDVKMVIDTFTNLILENVWAFLVGKIPYFGRSK >ORGLA07G0023900.1 pep chromosome:AGI1.1:7:2014461:2019639:-1 gene:ORGLA07G0023900 transcript:ORGLA07G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLSRALCAASSSPAAPRGRSLLAALLSPSASPLDPCRGPAAPEPPRRRAFHGSPSPLGFRSTPASWSSPEAGAAVGGDDGLEVARLGISPWIVERLAARGITRLFPIQRAVLDPAMQGKDMIGRARTGTGKTLAFGIPIMDRILRHNEKNGSGRNPLAIILAPTRELARQVEKEFKESAPLDSLCVYGGVPISHQMRALNYGVDVVVGTPGRIIDLLRRGVLNLSEIQFVVLDEADQMLAVGFDEDVEVIMENLPQNRQSMLFSATMPSWIRKITSKYLKDPIIIDLVGDEDQKLPEGISLYSIASEHYGKPSILGPLIKEHANGGKCIVFTQTKREADRLAYAMGRSYACQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLVIHYELPNTSELFVHRSGRTARAGKKGSAILIYTNDQARAVRIIEQDIGCKFTELPKIAVADEASDMFNVVRDNRSRLAGSPRTGGSSFGRGGYGGFGEGRSRGFGDFDGFGSSPDRGGRSRDAGSRYGSGFGDFRRPSNAFGRSSSKQPDGFGFGDFGEGNFSRNGNRRSRSFDDSGSTRYSRRPNGFGTSDFGRSGGFDDSN >ORGLA07G0023800.1 pep chromosome:AGI1.1:7:2011761:2013468:-1 gene:ORGLA07G0023800 transcript:ORGLA07G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHCSTRQVASSSSNPSNPRDQPTHMPDSPQIHHPPPPPPPPPTDPAASTTPNAPRNSQVAAPVQVKPRMIIKGMLGRYERWNPVHPTVGTFWGIGLGLGCGVGWGPGFGPEVIGYVGAGCGVGFSVGVTLAGVGVGLPQHGLIRNQYHSGFASNIPFESARFYTFTIIRGLVWDAISYASQVAAVRKESRQRLLNFHENPQISGGVNLPKLGKGVSSSIQSTMECIKAFKDQHWPP >ORGLA07G0023700.1 pep chromosome:AGI1.1:7:1999200:2000966:1 gene:ORGLA07G0023700 transcript:ORGLA07G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGATARSSSSSAMMMNQKKPLLSDGELVELLWQDGGVVAHAQTRHRSPGLLARSGVTGEEETASAWFADGGGGDDALGVGMGRDIYSQLWHSFANVDGHAAGALALATPTPRAAARSDDVSSRLDEAGLSICGSNAVAAPALPADDDDDAAPREEEEEEVEEGTGAARAAGASSSGGSGSGSGSGSYPLFKRGREELVDSLSEVADENRPSKRPAAKRRTRAAEVHNLSERRRRDRINEKLRALQELVPHCNKTDKASILDEAIEYLKSLQMQVQIMWMTTGIAPMMFPGAHQLMPPMGMGLNTACMPGAQGLNQLQRTTHYMNNSLPNQMPQIPSPVMSAPNVPNDMQSDNRIRGPRNPFLHCNDTLTATAQVPGLFPYGSQIAEQNEIQELLSGAVIPSSSDGTIK >ORGLA07G0023600.1 pep chromosome:AGI1.1:7:1988782:1992201:1 gene:ORGLA07G0023600 transcript:ORGLA07G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGTLQVAGGCLAPLLPSRRAAGAAAAVRPPRASGASASAAAAAVEEDGKVRLGGSDVTVTKLGIGAWSWGDTTYWNEFQWDDRKLKAAKGAFDASVDCGITFFDTAEVYGAGISGAINSESLLGRFIKERQQKEQVEVAIATKFAALPWRLGRGSVISALKDSLSRLGVSSVELYQLHWPGIWGNEGYLDGLGDAYEQGLVKAVGVSNYSEKRLRDAYERLKKRGVPLASNQVNYSLIYRNPEENGVKAACDELGITLIAYSPIAQGVLTGKYTPNNPPTGPRGRIYTPEFLTKLQPLINRIKEIGGSYEKTPTQVVLNWLICQGNVVPIPGAKNAEQAREFAGALGWSLTDQEVEELRSMAREIKPVIGFPVEKL >ORGLA07G0023500.1 pep chromosome:AGI1.1:7:1984082:1987640:1 gene:ORGLA07G0023500 transcript:ORGLA07G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMALQVVAAGGGCCCQRPVLGPDRRRRLVAAARAVASNAAAAAKVSEEGKVRLGGSDVAVSKLGIGAWSWGDTTYWNDSEWDDRRLQEAKAAFDTSIDNGMTFFDTAEVYGTALMGAVNSESLLGGFIKERREKEQIDVAVATKFAALPWRFGRGSVLSALKKSLDRLGLSSVELYQLHWPGLWGNEGYLDGLADAYEQGLVKAVGVSNYNEKRLRDAYARLKKRGVPLAANQVNYSLIYRTPELNGVKAACDELGITLIAYSPIAQGVLSGKYTPEKPPTGPRANTYTPEFLTKLQPLMNRIKEIGESYGKNPTQVSLNWLTCQGNVVPIPGAKNAGQAQEFAGALGWSLTGDEVEELRSLAREIKGIKMPIEES >ORGLA07G0023400.1 pep chromosome:AGI1.1:7:1980628:1983092:1 gene:ORGLA07G0023400 transcript:ORGLA07G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLVRAADVGPRRIAEWLPVAARRLAVDLFLVNSMKDSELIEQVQSQPVANISAPKLEILGWSTTNLDQSSVNFGKMSYLKGLFIAYFYVYGEEDRNHDCLRLLQHFQFDAIPRLSILLTYFPTINGDIYLMEDMTVLPDIVFLNLIFSSSGHCIGPSLFHVLRMTTGVRRLKLELHNHYKRETLYSGCSKCVTYASPIGNTLGQHNKDLDDDTIWLCVIDIRILRTCVNLYSVFTELSHRTEFCGSDCVCDLPPNWTSEELVLNSLREVQITNLRGTENEFAVVERLFSWAAVLKQMTINFHNSITVSTARELCEMLLSFSRPEISVKFYINQGSRKVLYVPED >ORGLA07G0023300.1 pep chromosome:AGI1.1:7:1975587:1977029:-1 gene:ORGLA07G0023300 transcript:ORGLA07G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPSPPVRVVSTRTVKPSPPRPRERIPLTTWDVSLLAADYIQKGLLFRPPPATLHLVEHLAAALADALHVYYPVAGRFVTDKHPGGGGGCSVSIDCDGQGAQIVHAIADGVSMADILPPDVDVPTGLVRSFFPLDDAVNYDGHELPLFVVQVTELVDGGVFLGFMYNHALSDGTAFWDFLNAWAEIARARVENARPALAAVATSRAPLLERWSPDGGAAAPVVLPYDDLAGLIARTTTTTTPPLLRERMLHFSAESLAALKERARRELLAAGDAAGAAAVTRFQALSSLLWRCVTRARRLAPPGREVVFRASVNSRGRLRPPLPPEYFGNSILAASTEAVPASELLARGHGWAAAAVGRAVAAHTDERIRARSAAAPSVSAFRLFDAGGMFVSSSPRFDMYGCDFGWGKAVAARSGKGNKYDGKVSLFPGRDGGGGGIDAEVELAPEHMAALEEDGEFWAAVTPDHLLLVNDDNKA >ORGLA07G0023200.1 pep chromosome:AGI1.1:7:1972410:1972877:1 gene:ORGLA07G0023200 transcript:ORGLA07G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNLLAMAIAVAAVLLAGNGNTGHAARRLADTTEAPALAPAAAIPAVPAMPKPTIPTIVPAVTLPPIPAVPKVTLPPMPAIPTVPAVTMPPMPAVPTVPAVTLPLMPAVPTVPPNTVVVPAAVVPALPKVALPPMAAVPNVPMPFLAPPPKA >ORGLA07G0023100.1 pep chromosome:AGI1.1:7:1969984:1970490:1 gene:ORGLA07G0023100 transcript:ORGLA07G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGLLATVLAMAVLLAGSSSSCQAARHLADATPPAAVPVPTVPAVTLPPMPAIPAVPAATLPPMPAVPTVPNAALPPMPAVPKVALPPMPAVPAVPTVPAVPAVPAASLPPMPAVPAVPNAVLPPMPAVPKVTLPPMPSMPAVPKVTLPPMPSVPMPFLAPPPSA >ORGLA07G0023000.1 pep chromosome:AGI1.1:7:1964825:1965334:1 gene:ORGLA07G0023000 transcript:ORGLA07G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSVLFATVLAMAVVLTGSSSCQAARLLADATPPAVPAVTLPPMPAIPAIPAATLPPIPAVPTVPNAALPPMPAVPKVALPPMPAVPAVPTVPAVALPPMPAVPAVPAATLPPMPAVPAVPNAVLPPIPAVPKVTLPPMPSIPAVPKVTLPPMPSVPMPFLAPPPSA >ORGLA07G0022900.1 pep chromosome:AGI1.1:7:1959063:1960181:1 gene:ORGLA07G0022900 transcript:ORGLA07G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:I1Q7Y7] MPEHSENAAANIVDSIVDAIADNLPKQKSVRFEDGSISDQAKRLFGGQKSVHHVLGGGKSADVLLWRNKKISSSVLAVATAVWVFFEWLDYHFLTIACFVLVLGMVVQFAWSTFAGMLNGSPSKVPRVELPDELFANIGSAIGTQVNKFLGTLQDVSCGRDLKNFLLVIAGFFAAAIIGSWCNLLTVIYIGFVCAHTLPVLYEKNQEKVDEFLYNTLGLLQNQYQKLDKGVLGKVPKGIIKLKKSD >ORGLA07G0022800.1 pep chromosome:AGI1.1:7:1948127:1952452:-1 gene:ORGLA07G0022800 transcript:ORGLA07G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGIIAARWVVGKALSPVLDGLVEAWAASRELGPNVDALKMELLYARAMLNNVRGREIHNPDLNELLQKLRDLAYDADDVLDELDYFRIQDELDDTSEAAAEHAKGCVGNLFLNTHHTAKAAGKLLGFSSCSSCAARCCAWPRNTMLAIGKHLPCSNFHDDDGGDSVHDDSKKSVKPTPKLKFDRVGLSKKMKIIVEQLQPVCAKVATILNLELLGSHLTMQSRSAKSRPVTTPTSIEPTLYGRDTIMKRIIDSITQGKCCEEYLTVLPIVGPGGIGKTTLIQHMYNSQLVQNHFQIKVWICVSQSFSVGKLIEEIKEKLPKEGENKNGSAEELIERKLKSKRFLLILDDIWKCESDDWKRLLVPLSKGQTKGNIIIVTTRFPVVTETVKTIDNKILLEGLDDVEFEELFLAYVFGPGKSRNGRQDLLDIGKDIVKKLKGSPLAAKTVGKLLSNHLDQYHWKRVLESKEWELQAGDHDIMPALKLSYDYLPFHLQQCFSYCALFPEDYKFNSKELIHFWVGLDILHSECQTKTFEDIALSNIDSLVSHGFFKKEQTDEKPCYIIHDLLHNLALKVASLECVSLRCSNVKAVEIRPSIRHLSIITDVANDTGRISDEIFKSELIKLKKRLKVENLQTLMIFGEVDKSFIGCFHDLFKEASALRVLHLPAMPFHVGSILDTFSTLVHLRYLKLEANYGIIAHLPISLSRFYHLRILDLQKWFGSFDLTGDISNLAKLHNFLVPEHKDHPAISNVGKLQFIQELKRFQVNSKDVGFDLKQLGYLMELRELGIYNLETVCTKEEAAEAKMLKKNRLRKLALNWKEGRTSTKANKEDQILESLQPHNGLQELSIHGHGGSSCPKWLGAELSIKFLETFRLCYVVWKILPPLGEVFLIDGPSEASLVSCKTGQNFRSLKRLELVGLPNLRKWVAKEVCPMFFSILEVLIVNDCNELTELPFSYYTYCTSEEDVKATCFPRLTELEIWNCPKLVSLPPIPYTQTLCSVDIKDIGTGLASLVYLSKSSKLEIRGNKDLNVLDDNVLAFRNLTQLQELKINSCPPLKERHLKTLTSLKRLTLNGSSIALNPIERSDMEWQLSVEKLTIWHWNGSGKELTQVLFHLPKLSCLHLFGCPKITRLSVAVDRENKGDYRLLLFPIHLTNSLQELRIGNCEKLILVPHRLLTGHHNKEEETGGGWGLQTLCSLQRFDMDNCPVLLSAYEAPACLLPSSLQYLLIIGPMEGVQMLDLSNLTSLTKLSIQDSGEYSSKGLLPLLTQGQLINLYVYRTYGLFAGVLDSILRGMQEQEQLHLIEHSSKLRMLDTNDLAGILVKPMCRLLSSSLTDLILRGNVEVERFTKEQEEALQFLTSLQDLKFENYAMLRCLPAGLHRLTNLKRLRIMSCPSIRSLPKDGLPPSLELLELEVSSNKKLTKQCKKLKKANPEIELTC >ORGLA07G0022700.1 pep chromosome:AGI1.1:7:1932037:1936199:-1 gene:ORGLA07G0022700 transcript:ORGLA07G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELQVSSAHSAVDSLLGRLIRILEDEARLLGGVRGDVQFIKDEMESINGFLLHVLHLDRPDHQLQGWTRQVKDLARDCDNCVDVYMQRLAGSGSGGRARRLLRLLLTLPARRRIATRIRELKSRACDVGERRRRYGVVVPRTRNNAAAAAAARRGGQRGLAKRQLSEAGGGDHHADASSAGNTTKQDHRRRALLEEETPANLFAGETDTLAGWLLAAADEHRRPKVISIIRPDDEELVAAAIDPVKRALDDPRILELFQIRQWHSGQSHPGWVYQDMMTQILPMIQHMHRALGGMVSDYDKRNKRRYTRLKKKKKRIVVSNFSRKNLGSKGNKFPVGTTFDEVKEFIGGTASDKEEEEEEEEEGFLQAAAMEVHEGFAMDVAKIISETMASEMGFHQGMTFQEMMENFLKDKSYLIVLDDVPDESLWRGIESAFPGNTAHSAILLTTRSPAVAYSCSPHDRVFPPLDHLIDFFHAKAVSLVENYPSNGNLDEVIRSILSKCASNSTDMCIRAFLHVLYANPNRNREELQGLCDSLHDSHGLMLDENMQQILMFWYNDLPVHYKSCLTYLSLFIQDDGSSSNSTMMIRRTSLVRRWAAESIITGRNGQTALDEAERCFGVLLAKRFVLERDIGASGKIKSCAVNGLISKFITKVAREDNFVDADLQPDFAHRVSIWNRSQLQQVLAELQASPRPSSSSCWNMRKHYDQPLDDLTIFLKSLPAFSRLGLLKVLDLEGCDGLKDHHLENICKLFQLRYLNLRRSKLTKLPKKIQNLQQLETLDIRETTVSSFATKSLVLPMLKHLLSGYTQQQNEQTEKFSTVRMPRGIGSMTNLQVLCHVVVSGIEDELMDIGKLLQLRKLGVVFHGDQNSFKHLVQAIEKLHKSLISLSIRVEVPDGCENFPDMNMAEPTAFSYPKLLESLNICGIRCGLPRWIKELSRLAKLTLCDTHLGEQDMAVVGNLKALRYLRLRCRSYVQSKLTLGEKQFQHLKVLLIHGEDITDISFSKNPKLEKIVWSFREMKSISGIERLPSLRSLELHGDCNPDKVEIALKDHPNHPDLEHHGNRQGQGDAAGSLDADAASTCASVSAPNHPDVKHPDNRQGHGDDSAASTSASASASAPKHIESIT >ORGLA07G0022600.1 pep chromosome:AGI1.1:7:1927335:1928968:1 gene:ORGLA07G0022600 transcript:ORGLA07G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLADSFLADLDELSDNEAYPEEENAEAVGMDEDGGEDMLDLESLNYDDLDSVSKLQKTQCYNDIMQKVEDALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVQKIGNEIDLTLVDLEGLLPSAIIMVVSVTASTTNGKPLSEENLAKTIEACERALTLDAAKKKVLDFVESRMGHIAPNLSAIVGSAVASKLMGTAGGLGALAKMPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA07G0022500.1 pep chromosome:AGI1.1:7:1923406:1924470:-1 gene:ORGLA07G0022500 transcript:ORGLA07G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKPPEVIITDQCDAIQDAIEKVFPQTCHIWRLQSVMKKMPENLSGFSGFQSIENAFSTVVHNSLTQTVFENNWSKIIDKFGLHGRSAWLNMLFCNRHKWVPTYVKNNFCAGMFCTQNSESIGSLFHGYINSTTTLDEFLDQYNKTIVGIDCKETDANWKSSHEVVPCVTHYDIEKKFQKVYTNKMFEEFQEQLKGKMYCYPTLLKQEGSVYIFKVTQDVKIREQQCSLDFTVWWDRDDCDGRCKCTCRHFEFRGILCSHIITVLALLKVKKVPSKYVLQRWRKDMIRRHGTITNWSYNEMVDSPVARRFDLLCKSFYEVAEKAVVSNELLMLVLDSVEELKRKVDAHTAQNS >ORGLA07G0022400.1 pep chromosome:AGI1.1:7:1919578:1920685:-1 gene:ORGLA07G0022400 transcript:ORGLA07G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCFLHQSTARVAARVASPSPATRTHLLVCRAQKQDDADVSRRAALALLAGATAAVGVKVAPAAAAYGEAANVFGKPKTNTEFIAYSGEGFKLLIPSKWNPSKEREFPGQVLRYEDNFDANSNVSVIINPTTKKTITEFGSPEEFLAQVDFLLGKQAYSGKTDSEGGFESDAVATANILESSAPVVGGKQYYSVTVLTRTADGDEGGKHQLITATVNDGKLYICKAQAGDKRWFKGARKFVESAASSFSVA >ORGLA07G0022300.1 pep chromosome:AGI1.1:7:1917332:1918273:1 gene:ORGLA07G0022300 transcript:ORGLA07G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTVLDCQVFGIHKMVLLSETAAAPPPPPPLAEQQTAPPAVTLRLLVQRACCYHDSDADADGVVDHDMDTMEDVICRVPLRELMADDRDDDGASVAERAFREMVAGIEHPTLLPEVEPEVSKAAARVRARCEGRPEEEIAGLELRLHVLLVVHVFGGAGDDDDDDDEIGSDMDLSDVCGETEDDDDGVLISDEDDDEYGVYGGGGCAMAREGGPSDGALLLSGFAARSDGAELDDDDQLEVTPRDVRRLVRMALDGEDVERDEAYQRALAGGTAVSPASLAAMVDQALQSVRRQQQNAPRDGVVRRMRTGF >ORGLA07G0022200.1 pep chromosome:AGI1.1:7:1914261:1915725:-1 gene:ORGLA07G0022200 transcript:ORGLA07G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANPNQSFDDFNKQASSNFNSFLAIVVVLSVVSIAGSVAIVYLVYRCVKKNGLPAVNINTNPTAAAAMYAVVPDSQIRDAPVERFLKEIAGEKPIRFTAQQLAGFTNNYSARLGAGGFGTVYKGMLPNGLTVAVKRLHVGGHGDRWSTSQEQFMAEVGSVGRIHHINLVRLFGFCFDADVRALVYEYMDNGALDAYLFDRSWFPMLAWSKHEAGHLAEAIEGCDAMEKQERETVERMCKVAFWCVQQQPEARLPMSAVVRMLEGEVDIDAPPVNPFQHLVASPAAALRWTSTTDSAESDNSLRSGSRQSAEVIIPIGSLHC >ORGLA07G0022100.1 pep chromosome:AGI1.1:7:1910777:1912260:-1 gene:ORGLA07G0022100 transcript:ORGLA07G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKHQDYITCFMASPAVYVIATIIFVVAFAALLVKVYRGAESCGAAVAAEMKATAHYAVVPDAAMRSATVERFLWEMAHEKPIRFSPRQLAGFTRGYSARLGAGGFGTVYGGALPNGLAVAVKVLRGGMDRRRSEEQFMAEVGTIGRTHHINLVRLFGFCFDAAVRALVYEYMGNGALDAYLFDRSRDVGVPARRAIAVGVARGLRYLHEECEHKIVHYDIKPGNVLLDGGMTPKVADFGLARLVNRGDTHVSVSGMRGTPGYAAPETWMQSGVTEKCDVYSFGMLLLEIAGRRRNFDEAAPESQQWWPMAAWTRYERGELMMADDDAAVVNHPSGEICSGGDGEAVVTVAEADDERRCKEAVERMYQVAFWCVQQRPEARPPMGAVVKMLEGEMDVAPPVNPFLHLMAAPAPVTNPWATTTTTTASSGNAVSENVVVSHGSDGIVSL >ORGLA07G0022000.1 pep chromosome:AGI1.1:7:1896215:1896991:-1 gene:ORGLA07G0022000 transcript:ORGLA07G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASDLGRDVVVVPPAGVMDDDDESTWSPWPDLQPELAGMVFCRLLSHGDRLRFRAVCRRWRLAARQQHPLPPALPWHNLDGRITYQSLPDGEVHRIPVPDELQAGGTVVCRGSFDGWLLYDRSEQLECFLMNPISKARIDLPYHWHCDDDDDDAILPDYGEEEEGQRTMCFGENAVRKIAVCSPDLVAAVIAGSGVFFYRPGMHSTWLFASGGPGFARDIAYYNGKLYSVSSDGELFVHEFSDSISADIVIGIAPQA >ORGLA07G0021900.1 pep chromosome:AGI1.1:7:1892291:1892752:-1 gene:ORGLA07G0021900 transcript:ORGLA07G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNHKSGTFLQVTSVSLGAGILLGYSWQQYHHLPSFQSMGLDLRMSLSLFLNIYISSLIFLIVFHVIFFGPWYPGLVSRMAEEKSDMLIIIQDCAVKV >ORGLA07G0021800.1 pep chromosome:AGI1.1:7:1888210:1889655:1 gene:ORGLA07G0021800 transcript:ORGLA07G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNGPRRLPTPFEPRPVDGLIGGDTADSDSGGTAIVGNMMITGPGAALALAAAGTTTTTTSLAESSGIGGRLVQDQEEDGSSGSYIVPFPNGHGVLDHDGLTGKATPYEPPPSWIPWIESPSLFGGWRFGSDAVAGGGDKDIVDLSPAGNAHDELPSDGLNLGSAGDAIINTTASSSRCGLVDVLNEDMVTEILLRLPPEDPALFARLQLVCKQWHAILGDPCFIRLLRKFHDPPPMLGYFINEDEPGKPMEIARFVHMTTTFRASPDIYDLASAVDSRHGLVLFYVRVCSDEEERFVVWDPMVEEEGEEEQWIDGFPFPVETQYWTAAVMCGLLECHNDHLHCHGGPFLVVAACTRTMDSYTSLRMYSSYTDGWSDEILHKEKDQIDTKACVLVGRKLFLPTVH >ORGLA07G0021700.1 pep chromosome:AGI1.1:7:1879844:1880847:1 gene:ORGLA07G0021700 transcript:ORGLA07G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLRRIRDGGAMLLLVLLTVVAQAQQEYEVTSSSFSLPSRRGAGEGHQQLPWAQQEYDVTSAATAGPCDAYLMFRSSPPLYASAVSISNLLNVTATPGDEVVRGGDGGAPELEEERAAAAASGTIPAPPVSISRSVPHKGEVNRARCMPQRPYTVATKTCVDEVHVYHLGDGGEKSDVDVVLGGHEAEGYGLAPAQSTVPFQVSISTSRSTIAADVPTCRSAALPSRGFAATLTKMTKGGGEREKERDEEGREEGKEGKEADVDT >ORGLA07G0021600.1 pep chromosome:AGI1.1:7:1870616:1877260:-1 gene:ORGLA07G0021600 transcript:ORGLA07G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSDDSDPDIDEDLQRDLDALRQSCILSGNDPDAAVAQVSACLAAPAAAAGAEVNGSSDDEEEDEDLALVRSIRENLLLNKASPSSPLPRPICAWPPSDSEDDEDDLETLRAIQRRFSHYHSGTSSGSEMNTKTEASKEGSGDIFGNELDEEFDVEKHNKEATTRTGFPKAALLLVDALKKNRACQKFIRRKMITIEAKIEENKDLRDRVKCLLDYQLSCRKAFGKILCQKEDPRVRLISSRKPCAQSTKNKDKKTPALFLGPADNPHVSKYKMVLKQLPMSLQKQPWSDVEKEKLAKGIKQQYQEALILNSINNGSSTGDFSAVDMAYALTNTAGNFEVTPESLRSVLPLINWNKIAAMYLPGRSGAECESRWLNFDDPLINHNAWTAREEKRLILTVQQQGMNNWINIAVTLGTHRTPFQCLVRYQRSLNHCILNKDWAEEEDLQLQAAVNTFGTNWQLVSASMDGRTGNQCSNRWRKTLNPERSRVGRWSLDEDKRLMVAVKLFGSGSWNKIAQFIPGRTQSQCNERWRNVLDPDIDLGEWRPEEDSILLASVDEFGPCWSKIAGAKIPHRTDNMCLRRWRKLCQDKLPSVKAAQQIKKSILQCNFVDRETERPAIGPSDLMPLVRSKVDGSDENTVSAKVRKPRKRSRIPCEDNVLPGDTSNSSPSMNLPLSESIDAEAAVNTTTANSKKKPSRSRSKKQTDENLAVCDVNNSSNCSSGARKRKRSITDNKVVQKKMKGSISGDNEAVVETVGTISADNEVATKRKTGSTSVGEEGTTKKTTRGSLSGQGEVNKRMRGSISGVQKGATKQRMRGSVSTDNHGAVMKSKRAPSRKSAKENSKADSMANAAFGSDLPTVASEDRDADNGNVKNGRLKSMPRPKQINMTEGSADKFSTSTRLADCMSFGRINGSSRVARHLCVSVKPLSNMIQSNGPSDVSAKDPTSTGTDPTSVENSNTALNLY >ORGLA07G0021500.1 pep chromosome:AGI1.1:7:1866300:1868689:1 gene:ORGLA07G0021500 transcript:ORGLA07G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTNRNRPQQRPARSWYFISDMDFSDPKRKPRYLSKILMVALLTAMCVVMLTQPPCHRRTPSVFSIHEPGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNMGAIKVLQNLFPEPGRLQFIYADLGDPKAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLVVLEAMAAHNVRTLIYSSTCATYGEPEKMPITEGTPQFPINPYGKAKKMAEDIILDFSKSKKADMAVMILRYFNVIGSDPEGRLGEAPKPELREHGRISGACFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNKAERGKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYFPRRPGDYAEVYSDPAKINSELNWTAQHTDLLESLRVAWTWQKKHRSGYGPPQAMVL >ORGLA07G0021400.1 pep chromosome:AGI1.1:7:1853372:1854378:-1 gene:ORGLA07G0021400 transcript:ORGLA07G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAALGSDDGCSPAELRPSRYESQKRRDWQTFTQYLAAHRPPLELRRCSGAHVLEFLRYLDRFGKTRVHEPPCPSYGGRSPSAAGPVAAAAAACQCPLRQAWGSLDALVGRLRAAYDERHGRAGEPDAVAGAGAVATDSTSSSSSAAANPFAARAVRLYLRDVRDAQAMARGISYHKKKKRRGGNRNGARGGGGGGARAGVNDGDATAPPVAVTPGLPLPPLPPCLNGVPFEYCDFGSVLGGAHGGHGGHGGGGGGFYGAGVYLPFLYNTFS >ORGLA07G0021300.1 pep chromosome:AGI1.1:7:1851239:1851733:-1 gene:ORGLA07G0021300 transcript:ORGLA07G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTTFYVCADALPVFVQERHIHIRDRDSPQRLPPPLLRDRQHRRRVPAAGVPVAGVRGDHVLRRRSLRWRCCFSRGVEMFDGTPIVGLSKAVKMSVMDANQAYARHHFDGGHLRGDRADVLAQQAGMDIGRWKCLLVTVAFGFFFRFLFYIVLLFGSKNKRR >ORGLA07G0021200.1 pep chromosome:AGI1.1:7:1848247:1849878:-1 gene:ORGLA07G0021200 transcript:ORGLA07G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEDGDHAATTTTEQRYDDDGHLVPSSGGQEEEGSGGHDVVVPGGHVAEDYRSGVGVPVGRDAGGATSSPPQPVHVTPSILVGSIHAPVFQGELVGMKFGVGSGSMGAGTSATRRLPATGFGALPTSSMAEDSADHADDDHLAEEEEEEEEHYIDDGPLVPSSGGQEEEGSGGRHVFVPGGHDGEEDHPDDLVADLDLDLLVDGVVGPVPGGQLNADAPAFVPTTRGRQDLYSALSSSAPAAGYRYRHYITSSALAEAGHVSPFLGLPYATAFDSPLDRELVGPSSAPPPCSAASRAWLVRCSSPLSDSEWTRRSILAREAAHTPASTVTGRGRFEFVPIPGAPYAPPPSFAPIAAGAGPAARPLQQLAFGLEEHKTKLCAEYYSRGLGCPRGNTCKYAHGEDDLRLVVAVSSLADAGEDSSSSDSSSPALGGGDKYKTKLCKTFTSGGLCLFAANCRFAHGEVELGKKEPCWYFFTGQTCPRGDTCGFRHSY >ORGLA07G0021100.1 pep chromosome:AGI1.1:7:1840208:1840897:-1 gene:ORGLA07G0021100 transcript:ORGLA07G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDADRNRPGRGRESQPGGATSSSSPPGSNFDGLAATTTGGASTGDSSRIASHLVELEDTGRRRSAAFVPSRHNPIYVPIGRPNPLGPIGHREDYYSGSGSATAFFPSSRDPVHVPIGRDTALPPIGHPSQGRVKSGSSSASAGDDMINSSSAAVSHSTGAGGGFSSRHAPASDEAKKQQRVMAIRRQQQLQLAMEIWRQQQQQLAAAMWQQQQQEDELAIRKQQQQD >ORGLA07G0021000.1 pep chromosome:AGI1.1:7:1838102:1838626:-1 gene:ORGLA07G0021000 transcript:ORGLA07G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNDEATTSSSPPSELDALDTVAKAVGDAVRFAFKMDTKTTFLANGLADFVTSAASAAARDALSPIFAKLAAAEPPPPPSTTAPIIAAPAWAPLLQFAATQQIILISARLFVFGVSKKRTTERDLRRHFKRYGYVADIWLRRRGGYAFVQFMIPSHAALALADKNQVVNGRKV >ORGLA07G0020900.1 pep chromosome:AGI1.1:7:1831038:1832235:-1 gene:ORGLA07G0020900 transcript:ORGLA07G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIYVPANRDNPLLPIGQFPQDHVKSGSASAAVDMINSSAGDDNARDFSSSPRLDVIAEAQGAAWGWDALWEDTGFAHCLHDPKTVLCWRYMYGGDDYCDLGCDCFDAHSYNDLQSKIRTGVAAASRSYSVPHPDLDLDLSDVSQFAGVFSSQQQPPASDEWNFAVGNMQQRSMTPSASSRAAAATDADGEDPPPPPPHPPSPSAEGSASPPTTPGTPTTGADEKNVGELNDVDYPLLLPDAPAPAPAPAPAPPPPPPPPPPRPRPRPPTM >ORGLA07G0020800.1 pep chromosome:AGI1.1:7:1828355:1829503:-1 gene:ORGLA07G0020800 transcript:ORGLA07G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNGRRRLPTGDPDQANASPSRRVSAVDGVTGGEGGPTYSHFPPGTYEPTDVAYGIRDAANDERARARARHDQGGGAHDHHHDRPRQDQRGEAHLHDLPGEKSEVPDVGPSDQQGKEASDTDMAPLAALAKRSYDVNFPPLHEHRAAPVPAPAPAPAGTMGSSSAQVQGDGAPDNHDHDPRHLPRQDQRGGAHPDDLHGEKTIGSGSDILDDSKRGMINAGPQHGRITTSNGGSGSGSDKGKGVSYAGDKPASSSSSSSSAGQQGSDTDKTPSAAAASSYAVNFPPLLPAPAPVPAPAPAPAVAGAMGVANAHHKTALCSKWRKGRCHNGGACRYSHGEEEQRIVPEMRVGGGGRPCPELAAAKGWCRYGLNCKYCHGGV >ORGLA07G0020700.1 pep chromosome:AGI1.1:7:1815865:1817764:1 gene:ORGLA07G0020700 transcript:ORGLA07G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGCEDELGAGDVILRGVEEVEEEEDDDLVLPGFRFHPTDEELVTFYLRRKIAGKRLSIEIIKEMDIYKHDPSDFLKTSTVGSEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPICSAAAAAAGGDCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPPPSSADDLAAGRSSPPPSLQEAEVWTICRIFQRNITHKKQPQPQLAVAAAAVPAPVPDATSSITGSLESDSAGDDVVEYMNTLQPPPASNVNGGYSNQHYFQEQWNSSSNDNTTVFHQHAAPPPEPSPATAMAGFGHDQSVLSSPAPSDFYYKDGCNDDIYRMVMELADPSLFYDHIYA >ORGLA07G0020600.1 pep chromosome:AGI1.1:7:1800033:1805058:1 gene:ORGLA07G0020600 transcript:ORGLA07G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSSTVVGEMESSLERVRRQLSSTSSRHLLQGPLLKRSDTLRKWNERWVILDPATGKMEYKIRRSDAAVRGIIVFDSTSTVTLSPMNFHGLPKYDGCCFYIGTPQKKEYFLCAETPSAARAWVSTLHASQLVLQAHKEAVNLLGGNGPTKLGKVATVVAVANATAIEASKEVEAAMKVSLRAALGSTTNKLSKGQLDDLTIMMETLRVKDDELHQLLQDIRARDATIREITDKLQETAEAAETAASAAHSIDEQRRFLSSELERLKQDQEKQIEFSLLRLRESEEKAKLLSEEREHLLKERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARVSAADADMRINDAASRLDSATKEKEELVALVDALQLQIRSQDTSTKQVCEERSELCSTSSKHVDMEDDNVDKACLSDTDPIPITENIVDLDDDGVDIPTIGVTEWNNPHSSEVSDVREVTTEPEDNSLDIPVDSQPVSENAFHG >ORGLA07G0020500.1 pep chromosome:AGI1.1:7:1798917:1799702:-1 gene:ORGLA07G0020500 transcript:ORGLA07G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDEEWIYVDEEWVYVDDFSKEAAAPVEEASPVDSSGDKDDDDGKSGSTAADVAAVDGDLPGGATASEAVGADDDSSTGVTSDEEEVVSSDDDAYSDTDLDKYLEYYEDDIADGLDSLKIGGDAIPPIFLDDPPPPIDGDAAAAEEKEPEHSPPINSAAVEEEPAYATKPQQLCFDGQFGYLTGGGYSYGYGGGGAYYGDLYGGVYRYPATYFPSYYLPRQPGAGVYQPPTYMSYPDGFDPYAGDIFAPPPPPPPPEN >ORGLA07G0020400.1 pep chromosome:AGI1.1:7:1788587:1797970:1 gene:ORGLA07G0020400 transcript:ORGLA07G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G42950) TAIR;Acc:AT5G42950] MAATPDRANADLRRRLAVDAPPPPPQIAKDKQGLDTEMPLSPQWLMKVGENKEPSLLGVRSDGSKIPGNDEDTSFSVKRKDVFRASVLDGETGRRERWRDDEREPNSAPRWNRWRETDKEHGDTRKLERWSDDSSKYSVDGRRPPQERWSDSSNKEGNYDQRRDNKWSARRGPNDKESENWRDRWGDSGKDGDAAREKGFSHYIAHGKDGNSHEKDAERDDNISRSWKSSYPVGRGRGDSSHHPSQNTQKSSATYGYGRGKPDNEIASFPGSRGKFTSGSTNTASSGSSRPFHLGLLSDRPGGTSGDRTAFRYSRMKLLDIYRTSHVTDFKMPLGGCEELSAFMQEETLEPLALSAPTTDEAAILKAIDKGDIINSGVHQASKDGPVGKNGREDQQGGMEDVKGETAASLRGFPGNTDLPARADSLRPETSAYVVPQRSRLIGEHRPGPTADYIQQMPFALDQESKVAVITGVDGFATPTYPNPESLSLYYKDPQGQVQGPFSGADIIGWFEAGYFGIDLLVRVANAPPDAPFLLLGDVMPHLRAKARPPPGFAATKPSDMLMPETLPTGNFVSSSNTHAGSASVGAFDSGLSRKDGAVEAQNRFLESLMSNSVRDPSAEMLAMTAGMTEYGSSGIGNITVSGGETGNSMNYLLAQKRLLERQKSLQNPGSFWSGDSIPAAQVQNKDIEASALHTKLHPPMADPPRQALQSQNVDLLAMLHSAEKPQAPASNSGLPAWSNYPEAKNLDPRGHGVDLTQGSLNMHGASVQSSQQATTAIQQQNFMPLNMSQIAHLGPEKLRAEISQDPQLLTRLQHQYLLSQLQLQPQLPVTPQPQPQPQLSMLDKMMLLKQQQQQQMQQQMQQQQQMQQQQKQRLQLLQLEQQQQQQLLLQQQHLLSQVIPHGHSSQQPDDLYGSQRTSLPTGDSSNLGLQKMKEVLEADRVLTAHGTQEGQQPSDPSIMNKKGMEGVGPSQSSVPSLLPHEIFGGVPSKDRYSHPQKLEDKVNVNTQLKASIVNPMLTEVANRREDDSDQQEVRSHERGIGIGKTGIMSENILGSGSSEVIGAAPSAPKEFPEAPFDPKPETLSSHISNQVQDLKISSENILGGSEPTVATEVKASDTQDTKKSEKKKKQKKKQAGADVAKGATKTVTSQQPRQETLVGSDQGGAKHDLQDDAEELFWGSPIQVESSSKSADPPLGLESSLVLPAKSFSEDYDTNKGEWEPNAAAVNQRGWKPTQGPRPKSLLEIQAEEQLRAQRGLAMENAKPAVSAASVPSIPWNGMLTTSDQQLMAVSKSVDGLESVGDSRNRRSQLHDLLAEEVLARSSNTDNEDMGNTNDMAFPPLSSAVVQPDAPAFDDTDFIEAKDSKKSKKKATKAKGSAVKAPAPVVSFDSSTVSVPTEKGKPSKQSHQEKEILPAPPSGPSFGDFVPWKSDQASAVPAPAWSSESAKVQRPLSLRDIQREQERRSAVAQQQPPSPTPAKVSINQRNHANVPSWQASGSSPSKAVAPVQMSSNASSRSKSNAEDDLFWGPSEHDKQDKKQSEFPTLSSQTRSSSIKDQSPMNRQKSQASRLPLSTAPTANHAGKGKAEAANRQTEAMDFRDWCESEWARLTGTNDTSFLEFCIKQPTVEAEMLLRENLGSFDRNGEFIDKFLNYKAFLSTDVIEMAFQLPARAVRGDGAGRANHASAAKGASSTETELDGGKKKGKKGKKVSAAVLGFNVVSNRIMMGEIQNVED >ORGLA07G0020300.1 pep chromosome:AGI1.1:7:1784140:1786181:-1 gene:ORGLA07G0020300 transcript:ORGLA07G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFYSPSHASRRLSTSITFAGGLDVTSELVIAAVAAALLLVLLLAACACCSWCCRRRSATQRWQNHHAAAFGYQGNTTAYYYHHTGGGKPQWAATKTGAPSTPPNMMMHPTTRTGPHAVVRPPLVPPPPPPVPAGLDENAFGYDELAAATGGFSEGNMLGQGGFGYVYRGVLGDGKEVAVKQLSTGGGQGEREFQAEVDMISRVHHRHLVPLVGYCIAGTQRLLVYDFVPNRTLEHHLHEKGLPVMKWTTRLLIAVGSAKGLAYLHEECNPRIIHRDIKSANILLDNNFEPLVADFGMAKLTSENVTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSYGVMLLELLTGRRPADRSSYGADCLVDWARQALPRAMAGVGGGGYDDIVDSRLRGDYDRAEAARVAACAAACVRHAGRRRPKMSQVVKVLEGDVSPEELGDGARPGQSAMSSSSGDSSSGSGSYTAQMERVRRTAASPASPEYYSSEYQGYGCPSPASSAGDNASSGEHDNQWRKAHR >ORGLA07G0020200.1 pep chromosome:AGI1.1:7:1778495:1778716:-1 gene:ORGLA07G0020200 transcript:ORGLA07G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSQIWKEPKFGTIGYIKFLSCTRGFPKVFRTLSMSLIRSFRLPTSCINRGGA >ORGLA07G0020100.1 pep chromosome:AGI1.1:7:1740017:1745335:-1 gene:ORGLA07G0020100 transcript:ORGLA07G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:succinate dehydrogenase 1-1 [Source:Projected from Arabidopsis thaliana (AT5G66760) TAIR;Acc:AT5G66760] MWRGCVSRGLRSLSKGKDSSAPVSAAARLFSTASSSYTVVDHSYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLIMDSEGTCQGVIALNMEDGTLHRFRATNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTMKGDNPDSVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAETAKPGEKQKPLGKSAGEKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCKLITKAWESYHDVKISDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDEQWMKHSLGYWENEKVRLAYRPVHMNTLDSEVESFPPKARVY >ORGLA07G0020000.1 pep chromosome:AGI1.1:7:1735780:1739657:1 gene:ORGLA07G0020000 transcript:ORGLA07G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT2G21710) TAIR;Acc:AT2G21710] MAAATLPPSHHRLHLHLHTPKPSPPRRLRLAAAAAASRLQNPTTTHYPNLPPPAPPPSTSLVAAEEASLAPRRTYRFPGSVPSSPTLPDTADGGLAAAAAVADDAVLRRALEVRRAVAGEVLVAALRGGKVGGLTYIRNLTSRMAPFVDRVVVEAAAMRRDRPELAHQSFNARARVYIEESGLVALVKWFKHNSMTYPQIAKVVCSSSGNLEKVRRMIKWLRSIHVKGEYLGRVLAKGDTFLSRSFEELEEIIYYMESCGVRKDWIGHVVGRCPQLLNLSMDELETRVRFYTDMGMNDNDFGTMVYDYPKALGFFSLEEMNSKVQYLKEFGLSTDELGKLMAFKPQLMACSIEERWKPLVKYLYHLNISRDGMKRMLVVQPTIFCLDLETVIAPKVQFLQDIGVRSDAVGGVLVKFPPVLTYSLYKKIRPVVIFLMTKAAVKQEDIGKVIALDPQLLGCSIVRKLEVSVKYLRSLGIYHFVLGQMVTDFPTLLRYNVDVLRPKYQYLRRVMVRPLIDLVEFPRFFSYSLEDRIVPRHQTLVENRINMKLRYMLTGSDEDFSQRVREAVERRARFEAGNVEASDSQATTDGAEATAPASQDGWKADT >ORGLA07G0019900.1 pep chromosome:AGI1.1:7:1730698:1734987:1 gene:ORGLA07G0019900 transcript:ORGLA07G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRARLRRLLVVVILVVVEVVVAQQQQQERLASGSDLAGLFSLRASLGIRAREWPARVDPCGGGWAGVTCRGGRVVGVTVAGFRRTRVGARAPRFAVDGVRNLTALEVFNASGFPLPGEMPAWFGRGLPAPLAVLDLRSAAVNGTLPPDLGVSGNLTSLLLSGNSLSGAVPGSLLSVAGLRFLDLSGNNFTGELPNVTAVAGGGAASLFNVSGNSLYGVVSDAIGALKGRFQVVDLSSNYFDGVWNVSDGNVDVRMNCFSGAPGQRNRVDCEEFYRRAGVRLGDALAPAPSPETSPGTTTKNNNSRISKGVLIGVIAAAATLMVVFFGALVFCLARQKAGRRGARGRGVDTNEESTRGVRRRDSSVNPVTSPPVAVSPSANSGHKDPVVVSGEFTFEQLVHATGGFGDDNLLKHGHSGDIYHGVFESGSQVVVKKVNAQSVNKHAGELDFYKMYSHERIVPLLGHLAKDEEEFMAYKYMPKGDLTNALHKKPVDTEDGLPSLDWITRLKIATGVAEAMCFLHDECRPPLVHRDIQATSVLLDDKFEVRLGSMSDVCAQQSGGSQSVFSRLLRSSRSLDKNISGPPATCSYDVYCFGKVMLELVTGNFGVSGSNDAASEEWMTNTLNRIDMNDKESISRIIDPLLIVDEDHLEEVWAMAIVAKTCLNSKPSRRPSARYVLRALENPLKILRMASRSNSARLRSSSSRSSWQSAFLQGNRYQSLETASSSGQMLDRKHSTRSHGSGGETSFSFKRASREIAPEPEGFEENVVV >ORGLA07G0019800.1 pep chromosome:AGI1.1:7:1720484:1727634:1 gene:ORGLA07G0019800 transcript:ORGLA07G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRTILTHRYPYPHEHSRHLMIAVFAIWLFFVSSDNLQTLIMKLDKNFKWWSILSNRAIQILVVGKQPLESVRRYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLIVFHVIFLGLWYLGLVSRMAEKKPEMLTIIQNCAVISIACCVLYSHCGNKTITRDKSIDRRTASWVAFSLWKKHDDNSLISKLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYEELASNGSGHSNDISPVYSLWATFIGLYIANYVVERSTGWALTHPLTMSEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMVSVTLFVGRFDMRMMQAAMNKTPDESKSSDLFYDHLDGKDELWFDFIADTGDGGNSTYAVARLLAQPSLAIKSDGSRQTFPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRKYRGPQCFMIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCQQKVGESDSVILITHEPNWLLDWYWGDKTGTNVEYLIREYLKGRCKLRMAGDLHHYMRHSFIESKEPVHVQHLLVNGCGGAFLHPTHVFENFREFYGNKYEIKIAYPSYDDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILREDSWADRVNSFFTAMWNVVFEILEHSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHAVAHLTSALILMLLMELAIEICIRNNLLATSGYHTLYEWYRKVESEHFPDPTGLRTRLEQWTLGLYPACIKYLMSAFDIPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEIFTFAVDKIQKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVPKDWMLDPDWDMEPKEPFQMSYTRKFPSKWRAASGSDPTNAVRIVDHFVIPRTPPDSPTSGSAS >ORGLA07G0019700.1 pep chromosome:AGI1.1:7:1712017:1714414:-1 gene:ORGLA07G0019700 transcript:ORGLA07G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVFRLSAPPRHAARLARFLGGASAASSDAAVASGEFVPWHNGGGVLHRAASVDPTAVVEAGAVVHSGAVLGKDVVVGSGAVVGPSVSIGQSTRIWYNVVLSNCSVGEFCTLHNGACIGQDGFGFFVGDDGQVKKKLQMLHVKIGNHVEIGANTCIDRGSWRDTVIGDETKIDNLVQIGHNVVIGKCCMICGQAGIAGSATLGDYVTLGGRVAIRDHVSIASKVRLAANSSVTKDIQKPGDYGGFPAVPINEWRRQTANLRIFSKKDGGRR >ORGLA07G0019600.1 pep chromosome:AGI1.1:7:1695414:1698654:-1 gene:ORGLA07G0019600 transcript:ORGLA07G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHHHHLAVVLLAAVAASATAAAGGEADALLAVKAALDDPAGALASWTTNTTSSPCAWSGVACNARGAVVGLDVSGRNLTGGVPGAALSGLQHLARLDLAANALSGPIPAALSRLAPFLTHLNLSNNGLNGTFPPQLSRLRALRVLDLYNNNLTGALPLEVVSMAQLRHLHLGGNFFSGGIPPEYGRWGRLQYLAVSGNELSGKIPPELGNLTSLRELYIGYFNSYSGGIPPELGNMTDLVRLDAANCGLSGEIPPELGNLANLDTLFLQVNGLAGGIPRELGKLASLSSLDLSNNALAGEIPATFADLKNLTLLNLFRNKLRGDIPEFVGDLPSLEVLQLWENNFTGGIPRRLGRNGRFQLLDLSSNRLTGTLPPDLCAGGKLETLIALGNSLFGAIPPSLGKCTSLTRVRLGDNYLNGSIPEGLFELPNLTQVELQDNLISGGFPAVSGTGAPNLGQISLSNNQLTGALPAFIGSFSGVQKLLLDQNAFTGEIPPEIGRLQQLSKADLSGNSFDGGVPPEIGKCRLLTYLDLSRNNLSGEIPPAISGMRILNYLNLSRNQLDGEIPATIAAMQSLTAVDFSYNNLSGLVPATGQFSYFNATSFVGNPGLCGPYLGPCHPGAPGTDHGGRSHGGLSNSFKLLIVLGLLALSIAFAAMAILKARSLKKASEARAWKLTAFQRLEFTCDDVLDSLKEENIIGKGGAGTVYKGTMPDGEHVAVKRLPAMSRGSSHDHGFSAEIQTLGRIRHRYIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKVAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGKKPVGEFGDGVDIVQWVKTMTDSNKEHVIKILDPRLSTVPVHEVMHVFYVALLCVEEQSVQRPTMREVVQILSELPKPTSKQGEEPPSGEGAVSDLVVPAESAEANEAKEQQQQQLNSPSSPPPDLISI >ORGLA07G0019500.1 pep chromosome:AGI1.1:7:1674371:1678914:-1 gene:ORGLA07G0019500 transcript:ORGLA07G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERQETKVATTAAAAFNLAESGYGDRPDLDDDGREKRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPAVLVAFSVITWFCSSLLADCYRSPDPVHGKRNYTYGQAVRANLGVAKYRLCSVAQYVNLVGVTIGYTITTAISMGAIKRSNCFHRNGHDAACVASDTTNMIIFAGIQILLSQLPNFHKIWWLSIVAAVMSLAYSTIGLGLSIAKIAGGAHPEATLTGVTVGVDVSASEKIWRTFQSLGDIAFAYSYSNVLIEIQDTLRSSPAENEVMKKASFIGVSTTTTFYMLCGVLGYAAFGNRAPGNFLTGFGFYEPFWLVDVGNVCIVVHLVGAYQVFCQPIYQFAEAWARSRWPDSAFVNGERVLRLPLGAGDFPVSALRLVWRTAYVVLTAVAAMAFPFFNDFLGLIGAVSFWPLTVYFPVQMYMSQAKVRRFSPTWTWMNVLSLACLVVSLLAAAGSIQGLIKSVAHYKPFSVSS >ORGLA07G0019400.1 pep chromosome:AGI1.1:7:1669176:1670379:1 gene:ORGLA07G0019400 transcript:ORGLA07G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGRRKGVRYIEEDRDRSLTLSKRRDGLFKLANDLSLLTDASVAICLHDNNKAQFFGAPSVKPVVDAFVSEAEPFADEQLKAKLTSMQSELVQLENEEEEKDKKTEESIQRFKEAQEESLGMGMAKHLFSRLEDLSHDDMRELLDVLLPLQQDFKKRLPPLRRGSKLQIGGSSAWAHQQPSCSRFLASHRPFTPLLPGGTSGVQMIPPPPVPGSPWSQIFPLRPPLFPSPELVPSQQLPPVSPPQNTVAPPPMHAPLVQQPLTNQSSAVPLLTQWQMHFGDQPPAEVQACTPVEQPQNENAVHTPTFSDSFLSELLADVSDDGIATAEPLCSPPIDDQFLADVDWLADLDTIDGNL >ORGLA07G0019300.1 pep chromosome:AGI1.1:7:1662385:1664701:1 gene:ORGLA07G0019300 transcript:ORGLA07G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1Q7V1] MDLVRALAAIPARHPLPPSSLTKARRHGPQPSTTVLAPVPGGMLDRRRLLLIPAISISIGSFDKGAAKAEFADMPALRGKDYGKTKMKYPDYTETESGLQYKDLRVGDGPSPKKGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFKIGSGQVIPAFEEAISDMAPGGVRRIIVPPDLGYPDNDYNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPSQ >ORGLA07G0019200.1 pep chromosome:AGI1.1:7:1655639:1657110:-1 gene:ORGLA07G0019200 transcript:ORGLA07G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLSLKMPVAPRPAGAGAGVHPSTSPCYCKIRLNKLPYQTADAPLLLPPSPEASAAPAPAPATGALAAAFHLSKADLDRLTAKPSLFGSRTARLKIVVYAGRRGTTCGVGGGSGRLLGKVVIPLDLKGASAKPVVYHSSWICIGKRGRKPSSVSAANAQLNITVRAEPDPRFVFEFDGEPECSPQVLQVQGSMKQPMFTCKFSCRSNSDLRSRSMPADMGSGGRNWLTAFGSDRERAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSKSNPGAWLVLRPGDGTWKPWGRLECWRERGAGAAAGDSLGYRFELVLPDPTGMGVGVSVAESTIPASKGGRFAIDLTATQQFGRSGSPACSPCGSGDYGMWPFGSCRGFVMSAAVQGEGKCSRPAVEVGVQNVGCAEDAAAFVALAAAVDLSMDACRLFSHRLRRELSASRSDLLR >ORGLA07G0019100.1 pep chromosome:AGI1.1:7:1561673:1562581:1 gene:ORGLA07G0019100 transcript:ORGLA07G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVKRISHESRQGIKEFIAEVVSIGRLRHRNLVQLLGYCRRKGELLWVYEYMPNGSLDKYLHGQEDKNTLDWAHRFHIIKGIASGVLYLHEEWDQVVVRRDIKASNVLLDSDMNGRLGDFGLAKLYDHGVDPQTTHVVGTMGYLAPELARTGRASPLTDVFAFGTFLLEVTCGRRPVEHNRQDNRVMLVDRVLEHWHKGLLTKAIDTRLQGEFDTVEACMVLKLGLLFSHPVPQARPSMSQAMQYLDRDMKMPELIPANLSFGMQAMMPNEGFDSYMMSYPSSSMVSHGTIFMSGLSGGR >ORGLA07G0019000.1 pep chromosome:AGI1.1:7:1560521:1561672:1 gene:ORGLA07G0019000 transcript:ORGLA07G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTISLLLLLLSLSILVMNSTAATTNNGQFIYTGFAGANLTLDGVATVTPAGLLQLTNGTGALKAHAFHPDPLHFRDLPVTGGGGSGNGNDVRSFSVSFVFAILSIYPNLSSHGMAFFVSPTNNLSAAAPRSYLGLFSNKTDGDMANHLFAVELDTIQNTDFMDINNNHIGVDINSIRSVGSYPTGYYDDGDNGNNLKNLTLNSHEPMRIWIDYDQETTRIDVTVAPLEISKPKKPLGSVIYNLSTVLTDSAYVGFSSSSGDIDSQYYVLGWSFAMNGAAPAIDISKLPKLPREGPKSSSKVMEVTLPIATAMFVLVIGVIVLHLLRRRSRYAELREDWEVEFGPRRFSYKDLFDATQGFKNKYLLGSGEFGSVYRGLLKSSK >ORGLA07G0018900.1 pep chromosome:AGI1.1:7:1529716:1534497:1 gene:ORGLA07G0018900 transcript:ORGLA07G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDKLVFNGFSNSSLVLDGSAAVLPNGILKLANGSSYAYTKGHAFFPSPIQLRNSTEGSIFSFSATFIFAMLHTLPLEEGDGIAFFLAAHTNFTGTGISGDFGLPAEDDNGKSLDHILSIELDTLHNERFGDIDDNHVGININSLNSSQSSPAGYYTDEPYSILHPLRLKSGEEMQVWIDYDHRRMQLNVALAPVPMAKPKRPLLSATHNLSKVLLDHMYVGFSSSSSAALYISGHVHGHFIAGFCFKLDGKPASLQYSKLRHRNLVQLLRYCRRKGELLLVYEYIPNGSLDKYLYDQDKPSPNWIQRFEIIKGVASGLLYLHEEWEQVVIHRDIKASNVLLDSEMNGRLGDLGLARLHDHGVDAHTTCVAGTRGYISPELARLGKATKATDVFAFGAFILEVACGRRPIGMNSSGELQVLVDFVLRFWQRDLILCMLDTRLGGEFVTEEAELVLKLGLLCSHPSPASRPSMRFVMQYLCGDVLLPAMPESYRSIRSFSEMQVEGDQLDENPLLRQYLSVQTSITGLSGGR >ORGLA07G0018800.1 pep chromosome:AGI1.1:7:1516980:1517644:1 gene:ORGLA07G0018800 transcript:ORGLA07G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLPCTHAHLPLPLFFSILLLFSMEVAHSDARRLSLKLLEVGNIKEEPDETIGEKMEMEMEGRRLIGSRPPRCERVCMSCGHCEAVQVPIVPQVIQKTQTKAAAAAEQEQHVVVSATAISAAVFTYRVNGLSNYKPLSWKCKCGGIILDP >ORGLA07G0018700.1 pep chromosome:AGI1.1:7:1506918:1508927:-1 gene:ORGLA07G0018700 transcript:ORGLA07G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIKIITKTFLLFLALSHKFFAAAGEEERFLYSGFSGTDILVNGMAMVTPNSLLQLTNGTAQSKGHAFHPTPLRFHERGSNGTRVRSFSASSVFAIRSIAPGVSAQGLTFFVSPTKNFSRAFSNRFLGLLNKKNNGNTSNHIFAVELDTVLNNDMQDINDNHVGIDINDLRSVDSYNAGYYDDKNGTFCNLTLAFFDAMQVWVDYNGERKLISVTLAPLNMAKPARALLTTTYDLSQVLKNQSYVGFSSSTGILDTHHYVLGCSFGMNQPAPVIDVKKLPKLPRLGPKPQSKLLIIILPVATATLVLAIVSGIVVLRRRQMRYAELREDWEVEFGPHRFSYKDLFHATEGFKDKHLLGIGGFGRVYKGVLTKSKSEVAMKRVSHESRQGMREFIAEVVSIGRLRYKNIVQLHGYCRRKGELLLVYDHMPNGSLDKYLHNHDNQQNLDWSQRFHIIKGVAYGLLYLHEDWEKVVVHRDIKASNVLVDAEMNGRLGDFGLARLYDHGSDPQTTHVVGTMGYIAPELTRMGRASVLTDVFAFGMFLLEVTCGRRPIIQSEEQDCPIMLVDWVLLHWRNESLIDVVDKRLQNEYNTDEACLALKLGLLCSHSLPSARPNMRQVMQFLDGDISFPDEVLALLLSHEGQEHIIVSSPPPSTSFGTISTDLSGGR >ORGLA07G0018600.1 pep chromosome:AGI1.1:7:1500548:1501975:-1 gene:ORGLA07G0018600 transcript:ORGLA07G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRGDSNWIDYDARAMRIDVALAPFKMAKPTKPLLSMSYNLSTVLADVAYVGLSAATGPLETSHYILGWSFSMNGSAPSFLNAQLPDLPRRGTTQKVSRRSKVLLTIVPIATATSAVAVSLAIFLFVRRRFKYAELREDWEIDFGLHRFSFKDLYFTTKGFKNRHLLGTGGFGRVYKGLFSESKLHITVKRVSHESRQGIREFVAEIVSIGHLRHQNIAQLLGYCRRKGELLLVYDYMPNGSLDKYLHCNSTRPSLDWNQRFQIIKGVASGLWYLHGEWEQVVIHRDIKASNVLLDEEMNARLGDFGLARLYDHDTDMQTTHLVGTIGYLTPKLANTGKASPATDVFSFGIFVLEVTCGRRLIEHGMNSEYKFTLVDWVIDRWHEGSLLEAMDPKLQNNYDDDEACLALKLGLLCSHPSSIARPTMWHVMQYLNHDLPFPELMATDMVRNRCVDSPISYCQSVVSDGTMSGLSEVR >ORGLA07G0018500.1 pep chromosome:AGI1.1:7:1485345:1486996:1 gene:ORGLA07G0018500 transcript:ORGLA07G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAPEIQELIHHPYDGRVTSFVVLSCVTACLGGIIFGYDIGVSGGVTSMDAFLERFFPEVYRRMHGGGERVSNYCRFDSQLLTAFTSSLYVAGLATTFLASHVTARRGRRASMLVAGAAIAAGATVGASAAGLATVILGRVLLGVGVGFGNQAVPLYLSEMAPPSRRGAFSNGFQLCVSVGAFVAQLINFGAEKIAGGWGWRVSLAVAAVPATFLAVGAVFLPETPNSLVQQGEEHGKVRALLSKIRGSDGAGVDDELDDIVAADRCKVTARRGLTLLLTQRRYRPQLVMAVMIPFFQQMTGINAIAFYAPVLLRTVGMGESAALLAVVIKQVVGVGATLASMLAVDRFGRRTLFLAGGAQMVVSQLLIGAIMAAQLGDDGELSQASALLLITLVAVYVAGFAWSWGPLGWLVPSEIFPLEVRSAGQSIAVAVNFLLTTAVAQSFLAMLCHMKAGIFFFFAAWLVAMTAFVYLLLPETKGLPIEQVGKLWARHWFWRRFVVPDSGDGEEEGGAIDADKL >ORGLA07G0018400.1 pep chromosome:AGI1.1:7:1465134:1467101:-1 gene:ORGLA07G0018400 transcript:ORGLA07G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLACFFLFLTLKLTSFTTANSGQDQFIYNNGFSGSNLILSGTAMVTPNGILELTNGTNTYNASYALYPTPWQFRKVPFGAVQPFSVNFVLNMVPGNMCANTMAFMIFPSKDLEYGQSSSKLAINLVSCQDKRFLENNENDISISINNSFSRPPETHPAGFYDDKNGIFNDLPLVGGKSVQIWVDYDGDATKIKVTIAPLKLTKPLRPMLSTIINLSTILDEGVSYIGFSSGANRVGTLNYILGWSFGMNSPALTIDITKLPKLPRFGPKVHSKTLKIILPIVITTVILLMGAAVTALVWRRMRYAELYEDWEVEFGPYHFSYKYLFDATEGFRNENILGVGGFGKVYRGVLPNSKLEVAIKKVSHESKQGIKEFIAEIVSIGRIRHRNVVQLLGYCRRKGELLLVYDHMPNGSLDKYLHYKDDKSTLDWGQRFHIIRGVASGLLYLHEKWEKVVIHRDIKASNVLLDAEMNGHLGDFGLARLYEHGNDPQTTHVAGTFGYIAPEMARAGKASPLTDVYAFGIFVLEVTCGRRPINNYTHDSPTILVDWVVEHWQKGSLTSTLDVRLQGDHSADEVNLVLKLGLLCANPICSIRPSMHQVMQYLDNEMPLPELMPTNLSYSMLGYLQNDGFDQYKSVPSTVCSNNLTSSLTNGR >ORGLA07G0018300.1 pep chromosome:AGI1.1:7:1453593:1455620:-1 gene:ORGLA07G0018300 transcript:ORGLA07G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTSPVILFLTVSISLLAISASGDHDQFIYTGFTGSNLTLDGAAKITATGLLGLTNDSFRIKGHASHPAPLRFRKSPNGTVQSFSVSFVFGILSSFGDIRGHGFAFFIAPSNDFSTAFPIQFLGLLNDINNGSSTNQLFAIELDTIRNDEFGDIDNNHVGIDINSLNSVRSSYAGFYNDNNGALTNVSLIGDKPMQVWVEYDGNATQIDVTLAPLGIGRPKRPLLSVVHNLSTVLTDQAYLGFSSSTGLSTGHHYVLGWSFGLNIPAPIIDPTKLPKLPNLSPRPQSKLLEIVLPIASAIFVLAIGVAIVLLVRRHLRYKEVREDWEVEYGPHRFAYKDLFDATKGFKNKNLVGTGGFGRVYKGVLPNSRLEVAIKRVSYESKQGIKEFVAEVVSIGHLQHRNVVKLLGYCRRKGELLLVYDYMANGSLDKYLYRQEGKPTLNWGQRFQIIKDIASGLLYLHEEWDKVVIHRDVKASNVLLDKQLNGRLGDFGLARLYDHGTDPQTTHVVGTIGYLAPELVHRGKATTLTDVFSFGIFILEVTCGQKPIKEDSQGRQLILVDWVLQNCHKGSLLDTVDIKIQGNYDIGEACLVLKLGLMCSHPFPNVRPNVRQVMQYLDGDVPLPELKPEHFSFDMLALIQKQNEGYDPSAMSLYPSPMMTSFGSTSSFSLEGR >ORGLA07G0018200.1 pep chromosome:AGI1.1:7:1444721:1450713:-1 gene:ORGLA07G0018200 transcript:ORGLA07G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSFLLPLIALALNLAIVMSEDQFVYSGFSGRNLTLDGAATVTDDGVLELTNRTVHIKGHAFYPTPWQFRKTPNGTVQSFSINFVFGMIPVYSNEKCTDGMTFVISPTSDMSSAQDSQYLGLLNKTSDGKASNHIFAVELDSSQNTEFHDIDDNHIGIDINNLTSVQSQPAGFYSDNKTIFNNLSLCSYKLMQVWVDYDEETTQIKVTMAPIEVGKPLRPLLSEIHNLSLVLEEPSYIGFSASTGPINTLYCVLGLSLGINRPAPAIDISKLPKLPRVSPKPRSKLLEIILPIATAAFILIMGTTIVLLVRRRMRYAELHEDWEAEFGPQRFSYKDLFHATDGFKNRNLLGLGGFGKVYKGVLPTSKLHVAVKRVSHDSKQGMKEFIAEIVSIGRLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKYLYXEDSKPTLDWAQRFQIIKGVASGLFYLHDRWEKIVIHRDVKASNVLLDGEMNGRLGDFGLAKLYDHGADPQTTHVVGTMGYLAPELARTGKATPLTDVYAFGIFILEVTCGKRPIDNYADDNSQMLIDCVVEHWHKGSLTNMLDKRLLGDYDADEFSCFNTFTSYARVLLLDLFFFFLFISNLSALATGEDQFAYSGFNGANLTLNGVASVTPDGLLKLTNGTLRLQGHAFHPTPFSFKKKPNGTVNSFAVSYIFAIYCLRPVICGHGIAFIVSASKNFSTAMASQYLGLINDHNNGDPTNHFFAIELDTNQNDEFKDINNNHVGIDINSLTSVNSSSVGYYTDSKGNFNNITLTSYKMMQVWLEYNGDNRQINVTLAPIKMAKPVKPLLSTYYDLSTVLTDMAYVGFSSSTGSFVARHYVLGWSFGINKPAPAIDISKLPKLPYEGEKTHSKVLEITLPIATATFVLAMITLIILLIRRRLRYAEIREDWEVEFGPHRFSYKDLFCATEGFKNKNLLGIGGFGRVYKGLLPTSKLEIAVKRISHDSNQGMKEFIAEIVSIGHLQHRNLVQLHGYCRRKSELILVYDYMSNGSLDKHLYGQENNSTLTWAQRFQIIKGIASGLLYLHEEWEKVILHRDIKPSNILLDDNMNGRLGDFGLARLYDHGTDPQTTHVVGTIGYLAPELARTSKATPLTDVFAFGMFVLEVTCGRKPIDHTAQDNQLMLVDWVLHCWHQGFLNDAVDIKLQGVYNIDEACLALKLGLLCAHPFINKRPSMRHVTQILNREMELPELTPTHMSFNMLSLMQNQGFDPETMTNQFLISNSTLSDLSEVRSHTWSPYDI >ORGLA07G0018100.1 pep chromosome:AGI1.1:7:1440331:1442409:-1 gene:ORGLA07G0018100 transcript:ORGLA07G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEVLHPCIFLDLFLQVRQTSRTKFCFLFLLVSFGINCASFTNTSGDQLLYLGFTGANLITDDTTVVTSNGLLELTNGTVNRKGHAFYPSPLHFRKSHNNKVCSFSVSFVFAIRSSYPRMSLHGLAFVVSPSINFSNALASQYLGFLNSQNKGKASNYILAIEFDTVLNIEFEDIDNNHVGIDINDLHSVKSHSAGYYDDRNSIFHNMSLISGDPMQAWVDYNGEDKKINVTMAPIKMAKPTKPLISISYDLSTVLKEPSYIGFSASTGASDSRHYILGWSFGMNKSAPMININKLPKLPYQGSNPQSKLLAITLPIASATFVILLCSVFIITVQRRLTYAELKEDWEAEFGPHRFSYKDLFHATHGFDNKNLLGAGGFGKVYKGVLPSSKLEVAVKRVSHESRQGMKEFVAEVVSIGRIRHRNIVQLLGYCRRKGELLLVYDYMTNGSLDTYLYNNELKPTLSWDQRFRIIKGIASGLFYLHDKWEKVVIHRDIKASNVLLDTEMNGRLGDFGLARLYDHGTDLQTTHVVGTMGYLAPELVCTGKASPLTDVFAFGAFLLEVTCGQRPVNHSSQDSPGVLVDWVLEHWQKGLLTNTVDARLQGDYNIDEACFVLKLGLLCSHPFTNMRPNMQQVMQFLDGDVPLPELTHMDMSFSIISMMQDEGFNPYTLSSYPPPGTSVGTISNISGGR >ORGLA07G0018000.1 pep chromosome:AGI1.1:7:1435524:1437803:-1 gene:ORGLA07G0018000 transcript:ORGLA07G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDKLXDSDMFDRANKRITWXFACXPGRITFRQGQERNXRLCRRFLQFSFIYDRVKPGMLPVQPSPKHLCTFLHLNSLVLPKLHMENQPVLFSAVFILYVSFLGPFCASAGEESFVYSGFASTGAANLTLDGSAMVTTTGLLQLTDSMPNIQGHAFYPTPLRFKKQSNGIVQSFSVAFVFGIISPYSDASTDGMAFVVAPNKGFPNATAAQFLGLLNISSDNSTSNHMFAVEIDTAQNTELDDIDGYHVGIDINSLHSKKSQHIGFYNDQHGGLLKNLTLTGSNCKPVQVWVDYDGETTQINVTLAPIKVTKPTRPLLSVPFNLSTVLTDQAYIGFSAATGPLTSHYYVLGWSFAMNAPAPPIEISRLPRLPCPGDNRLQKILQILLPIVAVALIFVVVMILVRRQQRYAELREDWEVEFGPHRFSYKDLFNATEGFKSKHILGVGGFGKVYKGVLQTSKLEVAVKKVSHGSNQGMKEFISEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGEDNKPVLDWAQRMQIIKDVASGLFYLHEKWDKVVIHRDIKASNVLLDSEMNARLGDFGLARLYDHGTNPQTTHLVGTMGFIAPELARTGKASPLTDVFAFGTFLLEVTCGRWPISNSAHHGRKMLVDWVLQHWHRGSLPDTVDPKLNGIYNVDEACLVLTLGLMCSHPIPGARPIMRQVMQYLDGDAPLPEFTPATLNSSLLAIMHNEGFDPYVAQYPWSGNSLGTMTPDILSGR >ORGLA07G0017900.1 pep chromosome:AGI1.1:7:1432511:1434361:-1 gene:ORGLA07G0017900 transcript:ORGLA07G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADQWHGQLKGHAFFPAPLSFRGSLNGTVQSFSASFVFAILTTYPNLSCHGIAFVVVPSNNLSTALAVQYMGLTNIDNNGNASNHIFAAEIDTMQNVEFQDINNNHVGVDINGLHSVESHYAGYYDKNGSFHNMNLISGDVMQAWVDYDGDIAQINITIGPIDMPKPGRPLISTTYNLSDVLMEPSFIGFSSATGPINSRHYILGWSFGMNKPAPNIDIAKLPKLPHLAPKPQSKVLVILLPIAIAAFILSVGIAMVFLVRRRQRYAELREDWEDEFGPHRFAYKDLLHATDGFSDKHILGAGGFGRVYKGILPKSKLEVAVKRVSHESRQGMKEFVAEVASIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDRYLHYEGNKPVLDWVQKFQIIKDVASGLLYLHEKWDKVVIHRDIKASNVLLDKEMNARLGDFGLARLYDHGTDAHTTHMVGTMGYLAPELIHTGKASTLTDVFAFGTFLLEVICGQRPIKEDAHGNQILLVDWVLEHWHNESLLDTVDPRLQDDYNVEEACLVLKLGLLCSHPSTNARPCMQQVVDYLQGDTPVPELASTHQNFNELASMRKKGFDPYIMSYNPSSTVSFGTVSDLSGGR >ORGLA07G0017800.1 pep chromosome:AGI1.1:7:1423060:1425144:-1 gene:ORGLA07G0017800 transcript:ORGLA07G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQATMLLHMKLISIYLLVLCVVGANELLVVAASDDGGGGRFVYSGFAGANLTLDGTATVTPAGLLELTNGTLQLKGHAFHPTPLRFGFGSSGGDGMVVRSFSASFVFGILSAYPDMSAHGIVFLVSPTTDFSAALASQYLGLVNLTSNGDARNRIFAVELDTLQQDEFRDINDNHVGVDINGLVSLQSTNAGYYAADINGGGFRNLTLISHEAMQVWVDYDAGDVRIDVTLAPLAVAKPVKPLISAAYNLSSVITDTAYVGFSSATGSFNSRHYVLGWSFAVDGGPAPAIDVAKLPKLPREGPKARSKFLEIFLPIASAAVVLAMGILVILLVRRRKRYTELREDWEVEFGPHRFPYKDLHHATQGFKSKCLLGVGGFGRVYKGVLPNSNVEIAVKRVSHDSSQGVKEFVAEVVSLGRLQHCNLVRLLGYCRRKGELMLVYEYMSHGSLDKYLHGQDNKPTLSWAQRFQIIKDIASGLFYLHEECDKVVIHRDIKASNVLLDNEMNARLGDFGLARLYDHGEDPQSTHIVGTIGYLAPELGRTSKATPLTDVFAFGTFILEVTCGRRPIYHDFHGTQVMLVDWVLDHWHKQSLVDTVDLKLHGEFDVGEACLVLKLGLLCSHPFINARPDMRRVMQYLKKEVALPELMPTSMSFHMLALMQNDGFDSYVQSYPSSNSKGNISTATSSLLEEG >ORGLA07G0017700.1 pep chromosome:AGI1.1:7:1418308:1420334:-1 gene:ORGLA07G0017700 transcript:ORGLA07G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLLLFLILNLASLTTAASGDGDQFIYSGFHGSNLTVDGAASITPDGLLQLTDGAAYLKGHAFHPSPVRLRRDVSTSTTTTTVRSFSVTFVFGIVSVYPDFSAHGMAFVVSPTTNLSSSLPAKYLGLTNVENDGNASNHMLAVELDTIQSVEFRDINANHVGVDINGLQSVRAYNAGYYDDVSGEFRSLKLISRQAMQVWVDYHGGEKKQLDVTMAPLRMARPVKPLLSVTHDLSTVLADVVYLGFSAATGRVNSRHCVLGWSLGINGPAPAIDIDKLPKLPRAGPKPRSRVLEIVLPIVTATIVLVVGGAIVMVVRRRSRYAELREDWEVEFGPHRFSYKELFRATDGFADKHLLGSGGFGKVYRGMLPKSKLEVAVKKVSHESRQGMKEFVAEIVSIGRIRHRNLVHLLGYCRRKGLLYLHERWEKVVVHRDIKASNILLDKDMNGQLGDFGLARLYDHGTDSQTTHVVGTMGYLAPELIRTGKASPLTDVFAFGVFLLEVTCGQKPIKEKSPQGSHIALVDWVLEHWRDGSLMDTVDGRLHGEYDAGEAVLVLKLGLLCSHPFAAVRPGMGQVTRCLAGEAPLPELTPADMSFDVLAMMQDKGFDTSVVSYPDLMTSFGTISSLSGGR >ORGLA07G0017600.1 pep chromosome:AGI1.1:7:1375079:1375300:1 gene:ORGLA07G0017600 transcript:ORGLA07G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGVSIWHTMELLCAYEMRSRIWKESKFGTFGYVKFLSCTRGFPKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA07G0017500.1 pep chromosome:AGI1.1:7:1363270:1365435:-1 gene:ORGLA07G0017500 transcript:ORGLA07G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPLLFFLGLNLASITNGDDNHQFVYNGFTSANNLSLDGVAMVTPDGLVELTNDGIRMKGHAFYPSPLHFRQSPNGTVRSFSVSFVFGIVPTFSDLNSGHGIAFVIAPSKNFSDAIAAQYFGLFNSETDGNDRGHIFAIELDTVKNTEFGDMNDNHVGIDINNLTSLRSYPAGYYEESGRFKNLTLASMEAIQVWVDYDREATRINVTMAPLAMAKPVRPLLSATYNLSGLLVERSYIGFSSSTGATSARHYLLGWSFSMNGGTAPAIDIAKLPKLPHVGPKSDPSNLLQIILPVATAAFLMAAGATIFLLVRRRMRYTELRXDWEIDFGPHRFAYKDLFHATEGFQNKNLLGTGGAGRVYKGMLLGSKQEIAVKKIPQNSKESMKQFVAEIVSIGRLDHRNLVHLLGYSRRKGELILVYEYMSNGSLEKYLYGQDGRCTLDWGQRFQIIKGIASGLLYLHEEWEKVVIHRDVKPSNILLDNKMNANIGDFGLSRLHDHGANPQTTHVVGTIGYLAPEIALTGKVTPLADVFSFGILALEITCGQKPMKQNAQGIQQTLVGWVLECWKKGSVVDAVDANLQADYDIAEASLVLKLGLLCSHPSEHSRPNMRQVTQYLNGDMPLPETISIQTQDLACFTXCRRESLQPALF >ORGLA07G0017400.1 pep chromosome:AGI1.1:7:1357993:1360421:-1 gene:ORGLA07G0017400 transcript:ORGLA07G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WERKFSIIQVCNACWRRLKLYTVAHNQFNSLPIPSPAMKYTFLLFLCLASFVTCSEHQFVFSGFTGSNLVVDGAATITEDGLLELTNGANNIEGHAFYPTPLRFRKSPNGTVQSFSVSFVFSILQKYANRSNDGMAFFIAPSKNFSDASLPAQYLGLLNNKNNGNRSNDLFAVELDTFQNKEFQDMDDNHVGINVNSMKSLDAHYAGFYEDRSGIFRNLTLVIHEAMQVWFDYDGDAKKINVTLAPAKLAKPKRPLLSVTYDLSTVVADSAYIGFSAATGGVVNTKHCVLGWSFRMNGPAQAIDISRLPKLPNLGSKKSHSSRILVIISPVATAVLIFLVGVLLVLCVRRRLKYRDIQEDWEVEFGPHRFSYKVLYGATEGFKDKNLLGVGGFGKVYKGVLPVSKQVVAVKCVSHESSQGMKEFVAEIVSIGQLRHRNLVQLFGYCRRKGELLLVYDYMSNGSLDNYLYCDLTEPTLDWAQRFNIVKGVTSGLLYLHEKWGKIVIHRDIKASNVLLDKDMNARLGDFGLSRLYDHGTDPQTTHLVGTMGYLAPELVFTGKASPATDIFAFGVFLLEVTCGQRPLNNNQQDNQPPMLVDWILEHWQKGLLPETVDKRLQGNYNVDEACLVLKLGLLCSHPIAMERPTMSQVQRYLDGDAPLPELAPSELKFNMVALMQGQGFDSYVLPCLSLSSVVSIETSPEVDDDTASL >ORGLA07G0017300.1 pep chromosome:AGI1.1:7:1346744:1352443:1 gene:ORGLA07G0017300 transcript:ORGLA07G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSFGNLGGGGAGGSGKAAASSFLQLPLSTAAAATAYYGTPLALHQAAAAAGPSQYHGHGHPHHGGGHHHSKHGGAGGGEISAAEAESIKAKIMAHPQYSALLAAYLDCQKVGAPPEVLERLTATAAKLDARPPGRHDARDPELDQFMEAYCNMLAKYREELTRPIDEAMEFLKRVESQLDTIAGGAHGGSGGGAGSARLLLADGKSECVGSSEDDMDPSGRENEPPEIDPRAEDKELKFQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQNAAALYMDGPFMADGMYRLGS >ORGLA07G0017200.1 pep chromosome:AGI1.1:7:1320581:1323087:-1 gene:ORGLA07G0017200 transcript:ORGLA07G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPWPPPPPPPPSSAPNPRPRHLPDGLRLAAGAPQDPRPRGAGVARGGDGGDTGRGGGRLLGARRRRLPASALRRFTQAIDPRYIEGMLAMLNKTDRVMFSHQVFDQLTKRLHDTILGAALSMVRCKLTCSLGENVQPVSSAKGLYLSWISFHISLMWLEGVVGWYRSNPGFYGRPSNHDAVFHKAFEQLNLRAILVAVDPVRSATGNFTMNAFRSVTSYHETSSNVGALNREYYSVAEDEKLFFELDIFAQGLASVFYSILISHRKNDLEINILKSMDKMGSKGSSSEDCSSLCQFPVMSESEKKNVEEMLIDLLTKYQNEEEMQESDAPENPPDAENHLEELKNLMSACILQIFGMMLAWSSF >ORGLA07G0017100.1 pep chromosome:AGI1.1:7:1301987:1302517:1 gene:ORGLA07G0017100 transcript:ORGLA07G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIKAFALVLLAAATLAMAASTAAAQSSPQDFLDAHNAARRGEGVGLPDVAWSTTLQAFAESYVAQLAATTCSLAHSNSEDLGYGENLYGPAAAGSSAATAAAAVGKWMEEKADYVYSSNTCTRGALLDCGHYTQIVWRSTTSIGCASAACSNGGGVIVSCNYSPPGNWPDQRPY >ORGLA07G0017000.1 pep chromosome:AGI1.1:7:1298622:1299086:1 gene:ORGLA07G0017000 transcript:ORGLA07G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAAAQNTPQDFLDAHNDARRGEGAGLADVGWNTTLQNLFWGGAGKAWAAADAVGDWMKEKAFYVYSSNTCTKGKLLDCGHYTQVVWGSTTSIGCARAVCSSGAVIISCNYFPPGNYPDQRPY >ORGLA07G0016900.1 pep chromosome:AGI1.1:7:1295775:1296290:1 gene:ORGLA07G0016900 transcript:ORGLA07G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGFVLVLLAAATLAMEAGTAAAQSSPQDFLDAHNAARRGEGVDLPDVAWNATLEAFAESVVASAAAGGACDLRHTSGRGYGENLYWGPVGKAWSAADAVGLWMEEKASYVYSSNTCTKGALLDCGHYTQIVWRSTTSIGCGRAECSNGDVLISCNYFPPGNVPNERPY >ORGLA07G0016800.1 pep chromosome:AGI1.1:7:1293445:1293888:-1 gene:ORGLA07G0016800 transcript:ORGLA07G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSKEIVDAVEKWMAFPISTAAGLEIVAEEGPSGSSAQQQQAWRPVAAAGCDNGSTVGTGAACKSSVDGGAQRRPRVVRLAAAWVSLEVKDALSSLQQTFVVSDATRPDCPIIYATEGFFTITGXSLKGDKAQRHFGPTTLKKGS >ORGLA07G0016700.1 pep chromosome:AGI1.1:7:1292647:1293168:1 gene:ORGLA07G0016700 transcript:ORGLA07G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVAFALVLLAAATLAMAASTAAAQSSPQDFVDAHNAARRGEGVGLPDVVWNTTLQAFAESYVAVLAATCSLDHSNSVQLGYGENLYMGGAGSASTAADAVGLWMEEKADYVYSSNTCTRGALLMCGHYTQVVWRSTTSIGCARAACSNGGGVIISCNYFPPGNFPDQRPY >ORGLA07G0016600.1 pep chromosome:AGI1.1:7:1279817:1280365:1 gene:ORGLA07G0016600 transcript:ORGLA07G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPKISGAVAAAIAAAVVVAAMATTPAAAQNSPQDFVDLHNAARGVEGVGEVVWDDAVAAYAENYAAERAGDCALIHSGSWEKAGYGENLFGGSAGGEWTAADAVNMWVGEKDLYDYDSNSCLGSWDSCLHYTQVMWSRTTAIGCARVECDGGGVFITCNYNPAGNFQGERPFERGLTLSA >ORGLA07G0016500.1 pep chromosome:AGI1.1:7:1272307:1274646:-1 gene:ORGLA07G0016500 transcript:ORGLA07G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRNGEHQPTRWPPGAGVEERDSASSSPASILLFALIGATATTAAFGQLRRTMSWFYTQLSRSEPYVYWEDIPRRPNRRGEAWGQYYQRMREKSEDQRERVERIRHMQDMFKKERSKCRDYRTRDGHNPSYYQNSRREEWYWDAESFYANQRTDFRSMPREAMGYTMSQHYSVLGLDRSRLEPFSDAEIKNAFRRKAMEYHPDQNQHNKEFAEAKFKEVMDSYEAIKLERQNGSL >ORGLA07G0016400.1 pep chromosome:AGI1.1:7:1263756:1266476:-1 gene:ORGLA07G0016400 transcript:ORGLA07G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSGFWAASRPALAAAAAGGTPVVVKMDNPNWSISEIDADGGEFLAGGRRRGRGKNAKQITWVLLLKAHRAAGCLAWLASAAVALGAAARRRVAAGRTDDADAETPAPRSRLYAFIRASLLLSVFLLAVELAAHANGRGRVLAASVDSFHSSWVRFRAAYVAPPLQLLADACVVLFLVQSADRLVQCLGCLYIHLNRIKPKPISSPAAAAAALPDLEDPDAGDYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNILVQVLDDSDDPITQSLIKEEVEKWRQNGARIVYRHRVLREGYKAGNLKLAMSCSYVKDYEYVAIFDADFQPYPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSFLNILPAPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLAPKELKQQKILDLTAIKEQSMLKQSSPRNEAKKKYNRIYKKELALSLLLLTAAARSLLSKQGIHFYFLMFQGLSFLLVGLDLIGEDVK >ORGLA07G0016300.1 pep chromosome:AGI1.1:7:1240484:1242094:1 gene:ORGLA07G0016300 transcript:ORGLA07G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAGGGFVGERRKLFVGGIPTSAQEAELRGHFGQYGAVRSVIVMRDKETGHGRGFGFVEFEEEEDAARALGDGEHPRHLICGRVVDVKRARARPQRNHDDQSSQHQHFGQGQDQGHQPAPVSGTEDGGDGMNYASKKVFIGGLRDNITEEEFKTYFESFGTVTDVVVIYDSMTNRSRGFGFVTFDSEEAVRKVIEHSFHDLKGTRVEAKIAIPKDASYYRNGRGRGSRNFGGRGHAGFDGPSYQPYNDRYGFYNSYNMPQPVPPHPYYPGVYYGMGGGYPYANAYSNMGAPANIPPGMMTRRPVYGAYPPMFPGYGVLYRGYAGAAPSIQHDSNGGSDSKKDQTSVDVQEVDSAASVATKLEFMKLGSQ >ORGLA07G0016200.1 pep chromosome:AGI1.1:7:1234064:1237365:1 gene:ORGLA07G0016200 transcript:ORGLA07G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit C [Source:UniProtKB/TrEMBL;Acc:I1Q7S0] MASRFWGQGDSDSEEEEQEIESEAGSESEDEGGDAGGRSNQNRYLRTTNASDSDESDSGQRVVRSLKDKRNEELKITVDQMRNAMKINDWVNLQESFEKLNKQLEKVVRVNESTTVPNMYVKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYENLIQECREHPERFEDDDVEDKDDDDETDDDASDADIEDPEKMVMSESEEEGDDDEEGDQDGGAWEKKISKKDKLMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNMWKKCVNNMLLVLDILQQYPNIVVDTSVEPDEKETQKGADYDGQIHVTGDLVAFLERLDSEFFKSLQCTDPYTKDYVQRLRDEPLFLVVAQNVQDYLERVGNFKAEAKVALRRVELVYYKPQEVYDAMRKLAEQDEDSREDDDADADEERQVVDDNRGPPPFVVIPEVVPRKPTFPESGRALMDALMSVIYKYGDERTKARAMLCDIYHHAISDKFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLIIEAHGCLSELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDRRKSMNRTFRRLLEISERQTFVGPPENVRDHVMAATRALRKGDYQKAFDVINSLEIWKLLRNKEHVLEMLKLKIKEEALRTYLLSYSSCYESLSLDQLTTMFDLSEQQAHSIVSKMMMHEELHASWDQPTKCIIFHNVDQTRLQGLLFQMSDKLSVLVESNERAYEAKTGGTLEGAPPRRRGGDGQDSSNLGKWQENFVSSQGRQGGGRSGYSGRVGGPGRGGGGYQRDRGSQGSRGGYGGGSRFQDGGRSRNQSGSMARGGDGGARMVSLNRSGRG >ORGLA07G0016100.1 pep chromosome:AGI1.1:7:1226648:1227217:1 gene:ORGLA07G0016100 transcript:ORGLA07G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFGLPDMDVGFGLFGVDAAAFGYDGVASDAAAGLSQVVGAGDGSGGGGDVLLYCDGGGGGGGEDGEEERRRRLRRKISNRESARRSRARRRQRVEELERAADELRAERRALASRLDATARRALAVRGANARLHAEAGVLRRRLGEAQRNATVLIGLSRLLRSTANGAHGGAAPAQLSNGGVASLMT >ORGLA07G0016000.1 pep chromosome:AGI1.1:7:1221118:1222677:-1 gene:ORGLA07G0016000 transcript:ORGLA07G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYCYCXLIYPVSSLLELAATSXHXYYAPFMVSSTYNQFRLIMSMSMEVFRXQXIGAXCFGDNVYMQSLSSLDRYGHGNSDMQLQKEGDRRLVLGLFLEAFGIDLCICMLTHVFLSVFSLVKRETEQHAYMGVMLRNEDDGSDMQVKNDIKMNRLLAIRLAMDELELVVGYTIKLALIEMGQCRMDSAPTAAANRRVHEIGYRFRDADKADGEEIDSSMLCGLEFLLSNNWRPIRFTETVAYAPNYACSFSHLLXQVTKHALSERCXLAQLRSQCTHACAPLYSPVFPQFQNKRTV >ORGLA07G0015900.1 pep chromosome:AGI1.1:7:1215316:1215671:-1 gene:ORGLA07G0015900 transcript:ORGLA07G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTVAAALAVLLIFAASSATVAMAGRPTPTTSLDEEAAQAAAQSEIGGGCKEGEGEEECLARRTLTAHTDYIYTQQHHN >ORGLA07G0015800.1 pep chromosome:AGI1.1:7:1208763:1209956:1 gene:ORGLA07G0015800 transcript:ORGLA07G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSRVPHPKPNSGRRRRRAMDRLLAFSRRRRRWLAWAGAAAGAYLVYHHPAVAARRRRIARVASALASLADAVADVASDLAAFLRSDSDSIPPTVRQLSKLASSPEASASASALSGALTTGVLRGYATAAASSSSGDEAAFSDRLLDRILSPSGERLASAVAGSFGSQLVLAYYSAPSDPSSGSSSPSWVDVVTTGSCRRAIRSWVEVFTATAVGVFIDKTIHINTYDQLFAAATNPSYGARLQQLLVALCNASMETLVKTSHSVLSNPNPNANSNQNGSNNGSGSGSGNGGDGEGWVETVSTVLAVPSNRRLVLDLTGRATFEAVRSFLDFVMWRLHEGARAGGDAAIGAGLCALRHMSERSMVIAAICIALCLHLLNGAWLMTRPEPASVDQL >ORGLA07G0015700.1 pep chromosome:AGI1.1:7:1205585:1205992:-1 gene:ORGLA07G0015700 transcript:ORGLA07G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMATPPPKTLLLLVLALSVILASATAFHHHHHHDGGAEAGGGGGGGGGFFEVPWFGPPGGGGWGAWGAGYGGAGGHEMARPSTVCMEKGACYKKRLTCPDKCFKSFSFKDKHGGGGGGGGGCSFDCNKCEATC >ORGLA07G0015600.1 pep chromosome:AGI1.1:7:1198735:1199217:-1 gene:ORGLA07G0015600 transcript:ORGLA07G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGSGGGGGKLVSWLWRAPRRALCRARDFYVRSITGCAGHLPPDAAFGYGYPTFAAPTTPTMSRNSSFASSRYSAGGGGDDDMRELVRAASQRLAAERAAAAAEPATVPRSQSVAMARIDEDRPCEFAGVGLVFPRSQSCAVGAGRVGGRRGRVAAVA >ORGLA07G0015500.1 pep chromosome:AGI1.1:7:1187519:1196720:-1 gene:ORGLA07G0015500 transcript:ORGLA07G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G18860) TAIR;Acc:AT3G18860] MAQYHLSAQLRGHEDDVRGICICGDAGIATSSRDRTVRFWTQHPEKKHEYVLSKTLVGHSSFVGPLAWIPPSDRFPEGGLVSGGMDTLVLLWDLHKGEVVETMKGHTSQVTGLAVDNNGDIISSSMDCTVRRWRNGSAVEVWEAHKVAVQTVLMLPSGELFTGSSDSTIKFWKGRTCLHTFTGHADTVRCLAQMPGLGILSASHDGTIKVWALTGQPLLEMIGHTSLVYSVDAHSSGVIVSGSEDRSAKIWKDGICVQSIEHPGCIWGAKFLENGDIVTACSDGIVRIWTTDNNRFCSDEELAAFTDLISQYTLSRKTVGGLKLSDLPGIEALQVPGNSDGQTLIVREGDNGVAYSWNSKELKWDKIGEVVDGPGDAAAAPGQFHDGVRYDFVFNVDIGDGEPMRKLPYNRSDDPYAVADKWLLKENLPLTYRQQVVEFILQNSGQNNFVPDPSFRDPYTGANAYVPGQSASSTVSAPKPTFKHIPKNGMLTFETAQFEGILKKLSEFNATLSSNLEQKELSLSEIELSRLAAIAKVLKETSFYHTSKLADADMTLLLKMLKSWPTQMMFPVIDFLRMFVLHPDGATLLLKAIESGNDVLAETFHKVVTPPVQPPNVLTTLKAVTNLFDKPCLHQWLRIHGMEIIDSVSSCKTTFSKNAHLAYSTLLLNYAVLSIESRDEQSQAQILSAALEIAEDDTQDADSKYRALVAIGSLMLNGLVKSIALDLDVKSVANTARASKDSKIAEVGADIELLTR >ORGLA07G0015400.1 pep chromosome:AGI1.1:7:1177457:1180216:-1 gene:ORGLA07G0015400 transcript:ORGLA07G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFRFGRLDGQPAKIRNVPIAVTPEGFWCCPSQAVLQKSMKNQNQHARPKGGASPSVSKASSVQRTPTISLEKRTHSTPTRSRTNSDEQVLPPADDAVPDQPKVSPVPDKRHNKQHKISVGFGQLHTSDLKVMLYGREGVAVKMIVHKNILAENSTFFADKLSRQSPVSCIEVSDCEDVEIFVETVGLMYCKDVKQRLIKQAVARVLRILKVAESLGFPTCIMSCLNYLEAVPWVGDEEENVVSSIRQLHCENYGVSPLLKRVASDLTNPPSDTLAHIIELVLKSSDDRGRREMKSLVLKLLKENNIWTNGSSDSCVVTFYSSCRNCLESLSNLFRQASKPEFSEQSSDSKEVIFRQITLEADNLLWLAEILADRNAADELTSIWASQGELAKLHCRIPVMHRHLISCVTARLFVAVGKGEALPSKETRQLLLDVWLQPLMDDYNWLQHGCRWFDRKVVEEGIGQTILTLPLEDQQSILLTWLGRFLKVGDSCPNLQRAFEVWWRRTFVRPYTDQQASSSSQSRRS >ORGLA07G0015300.1 pep chromosome:AGI1.1:7:1161352:1164751:-1 gene:ORGLA07G0015300 transcript:ORGLA07G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVQPANELIREVATLELEIKHLEQYLLTLYRKAFDQQQQQAATVACSDAARLSVSSRCSQLLEETPKAKAAAAAAPGRRGGDAIHYSCPPAPVSKRWNNGGGSADDCSPSTCPRKTTDSSDQYHGLRSQSALSFRGVCSSRISPSEDSLARALRSCHSQPFSFLEEGEAAPSGVVSLADYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHRASSSPASSFSSTSVVSPQYLGDMWSPNCRKEATLDSRLINPFRVEGQKEFSGPYNTMVEVPSISRDRRRLREVEDLLQTYKLILYRLETIDLRRMTNDEKIAFWINIHNALLMHAYLKYGIPQNHLKKTSLLVKAECKIAGRTINAAVIQGLVLGCSTHCPGQWLRTLLHPRIKSKASKAGGEWQAFAIHQSEPLLRFALCSGSHSDPAVRVLSPKRLSQQLEAAREEYIRATVGVRKEQRVTLPKLVDSYARDARLSPERLVDAVQRCLPESLRAAVQRCRQSRPASKVVEWAPYRHSFRYLLARDLAFPHLT >ORGLA07G0015200.1 pep chromosome:AGI1.1:7:1158251:1160109:-1 gene:ORGLA07G0015200 transcript:ORGLA07G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid division2 [Source:Projected from Arabidopsis thaliana (AT2G16070) TAIR;Acc:AT2G16070] MEGEEIGLVLARASDLRSRISACVAAAGAREPPEGEGEGGEAVKRLCDGEEEEEEEEEVESLVGISNALESLERQLASLQDLQHQQRYERETILSQIDRSRGCLLNKLKEYKGQDCEVIHEAASFAGEKIEHDDGLMLPPYSNHVTNSFVLDDLYPPSYLAKLKCMHNGLGSGGTNQDVTKTNRLENRNGSMPNGNLQGGIRSFVGWLAKTAVMVVGAVSIMKAAGYEPVIGRHSIKLDMAGLFSKEATSGKDQATVQCPPGKVMVLEDGRAHCVVKERVEIPFDTNLASPNASYGLG >ORGLA07G0015100.1 pep chromosome:AGI1.1:7:1153322:1153933:1 gene:ORGLA07G0015100 transcript:ORGLA07G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSSGVAAAVAEAGMVASTAMVLLPTGELREYPRPATAARVLEDVAAAEGEEEDVGRRFFLCDADKMGFEGPVAAVAAAAELRPGQIYFVLPSEVRRRGMRREEVAALAVKASAALAAASSSSTTSGCGGGRRRRGSVAPLVFAPPEEEYEYDASDYCKSNASAAAAGKRRPVAARRGGGKGRQFATDLTAIPELDMITE >ORGLA07G0015000.1 pep chromosome:AGI1.1:7:1142948:1144745:-1 gene:ORGLA07G0015000 transcript:ORGLA07G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHCVGGGAAGVDDLTDDLVAEILLRLRPSEPACLVRASAVCKPWRRLLTDQAFLRRYRDFHGAPPLLGFLHNVAGGGEDRYVPFTASPVSPPDIACPCWVALDCRHGRALLDEFPFSADFTMWHPMAGRRRRLPRPDLPYFMSYAAAVLCSAVGCNHLDCRGGGPFLVVVVGIDEPEQQNSRPWATVYSSDSDSWSPTTSDYLNLTLTPNCDVDRKPAALVGDALHFALAEGSGIIKYNMGECSLSRIHPPVVYKGGIVVMAMGGNLLGLGGIEGSILSMWSSDVSLDGGVRWEKNRVIKLESLLPSIDCVEAVACELAQPAPIGFVDGADIVFVRTDAGIFMIELKSMCVRKVCKRGYFKAVFPYTSFCTPGVHLQQNRVQFSRKRLLELREGDVATGMQSNGKSHKGGQP >ORGLA07G0014900.1 pep chromosome:AGI1.1:7:1139668:1140828:1 gene:ORGLA07G0014900 transcript:ORGLA07G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPELTDDVVEEILLRLPPDDPSCSARASAVCKPWRRLLSDPVFLRRHRAFHRRRAPPLLGFIHHVSDEPARRVPSFAQFVPTTAFRPAELEHKNCWPLDCRHGRALFQSSNVELTIWDPMTGEVRRQREPYGTLCTFATAAVLCAVPGCDHHDCHGGPFVLVFVGNDEDDDGEEIASASSYSSETGTWTAASTVHHDDSLELESKPSVLAGDAVHFLTYFGKAILRYDLTKLELSVILPPVAYGDGDALLMTAEDGELGLALFDGEASIHLWARVAGAGWVRRNVIDLYAVLPFFDPVHSLSLVGFAEGTDIIFLHTIHGDYRMELKSLQISKLWEKDRCFNIFPYMSFFVPGTSFTNLLALGFGDRSVQSFRMEHASCSIHQS >ORGLA07G0014800.1 pep chromosome:AGI1.1:7:1136127:1137531:1 gene:ORGLA07G0014800 transcript:ORGLA07G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RISSLRHVMDDVVEEILLRLPLDDPSCAARASAVCKHWRHLLADAGFLRRYRAFHRRRAPPRLDFIYDAGSPLARFAPTTAFRPADLDHDGWKPMDCRHGRALFRTSASAVLCAVSGCDHGGCHGGPFAVAFVANYVMEGEEEEITSPTSAWLYSSETGTWSAPSTVRHHNAEPFPKPSVLAGDGAVYFLTWHGRNILRYDLRKLDLTVIASPEIDDDDFENHLLMTTEDGGMGLARLVSGHSLQLWSWKPVSATAAAAWVQLRVIDLDLVIPGDAMRPRLLGFAEGTDMVFVDTTYDGAQVVQQIELSTLKVTKVLDECYASCVLPYMSFFLPGRQKGKLPPSAITL >ORGLA07G0014700.1 pep chromosome:AGI1.1:7:1134469:1134633:1 gene:ORGLA07G0014700 transcript:ORGLA07G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMDDLSGRKRICVIQDVCGHHRLGFLSGSGLLCCWAAGLWESEKMKKALGAA >ORGLA07G0014600.1 pep chromosome:AGI1.1:7:1125286:1128747:1 gene:ORGLA07G0014600 transcript:ORGLA07G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37210) TAIR;Acc:AT4G37210] XXXXXXXXXXXXXXXXXXXXXXXXXXXEAGAGEEMEAEGEGEEEVKTLERAEELFERGSKAIEEGDFVDAVDCLSRALEIRVAHHGELAPECVSTYFKYGCALLYKAQDEADPLGNVPKSSSNKESMKSTTNKDDSGSSKTPGSNTEDAPSTDKADAEEGQNSNGKGQEEENGDSDKDDDEMVGDEDDSDLDQAWKMLDIARAIVEKSPDDTLEKAKIFSALAEVSMEREDIDNSLGDYFKALAILEKLFEPDHRRIIDLPRNFRICLVYELVSKISDAIPYCAKAVSLCKSRIQSLKNDKDALLAGKDDNASAADGGSEKSAPEGEIEQLSGILSELEKKLEDLEQAMSTPSSVMDEIMKRIASKAGGEQNATDTMPAAASFNSSSQMAGSSNGFDSSTLSTAATTGSTGSTVTDLGVVGRGIKRANIKPISAEPPPKRAAADSLSVKGDSSNNNSDVHTPAQEGDDSVSK >ORGLA07G0014500.1 pep chromosome:AGI1.1:7:1119956:1121497:1 gene:ORGLA07G0014500 transcript:ORGLA07G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIVSSAIVTETVNKIISGMIDNYEQKLSADELMERLEMAQIKLELALETSSKWQITSEPLLRWQKKLKRATEECDDTLRKCRQHVQEEEEKEQQVRNSSFPRRIACATKSLISSIFHGNIDEPSRSTVQRFEWFAKGADDFLKSLEFGGTPRRYLFFDPLIGHLLAGETLEYKFVQGNKQHLFWIRPNDIADRGVEAKLIFVYNDCSAPENNFFLGMMLQISESTNIIGTIIKCLQWFTPHFKSTTETVRKELAQLPTQDFSWVSHYRSYHWDNIHGIATKWFRPNPVCCKHQDQSMCGSGSMDKAELLDVSLQPIIEVYLERQITQFRCNSQRAAIQGKNNKQRAAVRGKRCYPRRPSHLKLGVLFLPHSSSNDLLPAAESSAVEVINGEEQPWYHRNITLEQLDKVMLPKAIGSINQNSEATAHQLLWKSKHEAAFFHLGKTRMNMPSTLSTAREATVSRRQELDLESRADVISEFLKLWVERAPVQMQRSIVDWIQKEKEVQLAPTPF >ORGLA07G0014400.1 pep chromosome:AGI1.1:7:1116072:1117595:1 gene:ORGLA07G0014400 transcript:ORGLA07G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIVSSAVVHETVNKIISGLVDKYERKSSAEEQMERLEMAQIKLEIALETSNKWQITSGPLLRWQKKLKRAAEECDDTLRKCRQRVEEEEEVEQQVRNSSFPKRIAHATKSMISSIFHGNIDEPTVSSVRRFEWFAEGANDFLRSVEFGGTPHRYSFFDPLIGHLLAGETLEYKSVQGNKQHLFWIRPNNISERRVEAKLIFIYNDCSAPEDNFFLGMMLQLSESTNIVGTTIRCLQLFSPYFSSSTTEAVRKELTQLPTQDFSWVPRSRSVHWDSIHRVATEWFRPNPLCCKHGHKVCSSGYMDKIEFCDVSLEPVIEVYLESQIFQYSCNKQRADVQGKICSPRRPSYLKLGVFLLPHVSSTDLLPATESFAVEVINGEEQLYCHKNVTLEKLNRIMLPKAIDSFNQNAEVTAHQLLWKSKHEAAFFHVWNTRMNMSSILSTARKSTLLQQHDHLELESRADVISEFLKLWVDRAPVMMQFAAVDWIQALLGEAGDSKKLLMSM >ORGLA07G0014300.1 pep chromosome:AGI1.1:7:1105554:1106945:1 gene:ORGLA07G0014300 transcript:ORGLA07G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVIASAVVGEAVSRISTFLIDNHNRKSSEEDGLERLEMAHIKMEAALEVSSRWPLAMDSSLMRWRKKLKRASDECSHVMDRCKRRAMEDDETEKISRCSFPKRIALATRSFLSSFAADKNVDSLNSTSTIQRFERFADGAGEFLKFMEFGRIGSINYMLVDPLTGHLLAGKALQYESSHGNQYYLISKPMSFAERGQEAGVLLRYNTHERPEENFVLGILLRLTASTNVTGIVARCLDSLLPSFKHVADAAKQELTQVHHRAFYCFPFVDSTDPYWSIHQSETHRARPNPACCHGQSRSSDMVEPSTTGTTFPEQVIKLFVQRHVSARPSSSGHGGDGERRRSSEDSGPPPLLQVTAIFAPHASPEELPPSGAESAAVVAIDGREEPAVRTDIGLREVDEFLLPGAIDRLCHCHDVHGTVDGSSAAAAYEVFWRSGHGVAYLCVEKMGTEMARCRPTQWRE >ORGLA07G0014200.1 pep chromosome:AGI1.1:7:1096968:1097402:-1 gene:ORGLA07G0014200 transcript:ORGLA07G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVVIRLSTFFWPVKDDMNDFVAWAIRLGKAHCLTSCSISSSSMARRLHLSMTWLHSSDARFSFFLQRRSEASVTRGHLLDISRAASILMCAISSLSMPSSSLNFHLCLSMKKVEILLTASLTTAEATTSDIRMFLSITLACL >ORGLA07G0014100.1 pep chromosome:AGI1.1:7:1082293:1083884:1 gene:ORGLA07G0014100 transcript:ORGLA07G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKQFYWPGHTYYSKVGSVTGSIWRNTGGIEGRLVILLPDDKSPCHCESIESPLHHTDLCSSQVTTAEGVYQQEHPDVXNSCFRGNRRGCEQGLHLLHQQAXTEIKRGRWHGEAGDGTHQNGGSPGDIQQMASGHRRLTPALEEEAEARIXXVQQVMERCKRRAMEDDEMXQEVRQCAFPKRIAHATRSFLSSFTGQKKVDSLITTSTIQKFERLADGASEFLRFMEFGSIGRRINYMLVDPLTGHLLAGKALRYENSQGNQHYLAAWPMSFAERGLEAGLLLWYQNHERPEENFIFGILLRLAASTNVTGIVARCLELLPPNFKPVAEAAKQELTQVHHRALYCFPFVDSTDPEYSRRTHHSETHHARPNSACCQGHNHHGRYPDPVIKLVVRRYISAWQKPSSSSSSSSSSGHGDRRTPLLQLTAVIGPHTWLEELPPSPERCCRSNRREGRAREGRASRAHECSVVRGGGASAAQRHQSPVPP >ORGLA07G0014000.1 pep chromosome:AGI1.1:7:1075930:1076913:1 gene:ORGLA07G0014000 transcript:ORGLA07G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMVGSAIVHETVNKIVSGLIDRCERKSSAQDNLERLEMAQIKLDFALETSNKWHITSGPLLRWQKNLKRATEECDDTIRICRQRVQEEQEAEQVARNSFFPRRIAHATKSLISSIFHGNIDEPSRSVVRRFECFADGANDFLRSVEFGGTPRHYLFFDPLIGHLLAGETLEYMVQGNKQLLFWIQPNNIAERGVQAMLLFVYNDGTASEGNFILGMLLQLSESTNIVGTIINGLQLFTPHFKSKTENVRKQLTLLPTQDFSWVPQAHSNHWYNIHSIAIEWFRPNPLCCKHHGAKVCGSGNMDKIGLQNVSLEPIIEVSLMYAVK >ORGLA07G0013900.1 pep chromosome:AGI1.1:7:1067463:1071652:-1 gene:ORGLA07G0013900 transcript:ORGLA07G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGLWQLDLSQIHGEAALNFRRSALLHLADPGAVDHCFFFPHGAPVPCRSTRDGDIMRGHCSFVFSTTLCIRVYTNVFQALNHETGCNFPIRAGLDWQNVENSWQLAKISHKGTTASKQHMELGMFIPCTMVLLLLSYGAGGIKGGASTQDGDVNGTDLASLLDFKRAITNDPFGAMSSWNTNTHLCRWKGVTCDQRAHRVVALDLVGQTLTGQISHSLGNMSYLTSLSLPDNLLSGRVPPQLGNLRKLVFLDLSGNLLQGIIPEALINCTRLRTLDVSRNHLVGDITPNIALLPNLRNMRLHSNNLTGIIPPEIGNITSLNTVILQGNMLEGSIPEELGKLSNMSYLLLGGNRLSGRIPEVLFNLSHIHEIALPLNMLHGPLTSDLGNFIPNLQQLYLGGNMLGGHIPDSLGNATELQWLDLSYNQGFTGRIPPSLGKLRKIEKLGLDMNNLEARDSWGWEFLDALSNCTRLKMLSLHQNLLQGVLPNSVGNLSSSMDNLVLSNNMLSGLVPSSIGNLHRLTKFGLDFNSFTGPIEGWIGSMVNLQALYLDSNNFTGNIPAAIGNTSQMSELFLSNNQFHGFIPSSLGKLRQLSKLDLSYNNLEGNIPKEVFTVPTIVQCGLSHNNLQGLIPSLSSLQQLSYLDLSSNNLTGEIPPTLGTCQQLGTINMGQNFLSGSIPTSLGNLSILTLFNLSHNNLTGSIPIALSKLQFLTQLDLSDNHLEGQVPTDGVFRNATAISLEGNRQLCGGVLELHMPSCPTVYKSKTGRRHFLVKVLVPTLGILCLIFLAYLAIFRKKMFRKQLPLLPSSDQFAIVSFKDLAQATENFAESNLIGRGSYGSVYKGTLTQENMVVAVKVFHLDMQGADRSFMTECKALRSIRHRNLLPVLTSCSTIDNVGNDFKALVYKFMPNGNLDTWLHPASGTNASNQLSLSQRINIAVDIADALQYLHHDCENPIIHCDLKPSNVLLDHDMTAHLGDFGIAHFYLKSKSPAVGDSSSISSIGLKGTIGYIAPEYAGGGFLSTSGDVYSFGVVLLELLTGKRPTDPLFCNGLSIVSFVERNYPDVIDHIIDTYLRKDLKELAPAMLDEEKAAYQLLLDMLGVALSCTRQNPSERMNMREAATKLQVINISYISGM >ORGLA07G0013800.1 pep chromosome:AGI1.1:7:1063350:1063592:1 gene:ORGLA07G0013800 transcript:ORGLA07G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGGRKIFGFMVKEEKEENWGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRQRLLAYLARKIEYVIRN >ORGLA07G0013700.1 pep chromosome:AGI1.1:7:1061672:1062550:1 gene:ORGLA07G0013700 transcript:ORGLA07G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILQISESTNIVGTIIKCLQLFAPHFESVTETVRKELTLLPTQDFSWIPHSRLYHWDNLHSIATEWFRPNPVCCKHHDQKVCGSGNMNMIELPDFSLESVIQVNLQCHVALPGFRERETIVEGKSSLKEYLRGPHLNVLLAYTPHGSSESLFPSVEGSVIEVINANEQHCLHTNIALQQMEEIMLPRAVDYFHQNAKATVYQMLWKPKHGVAYLHAVKATVNILSTRRTIRGARKSKPLRQQDHKMHHRTDGISDFLSLWAAHAPVQLQGSILDWVQKEKEVQLAAPLLRLKF >ORGLA07G0013600.1 pep chromosome:AGI1.1:7:1057184:1058704:1 gene:ORGLA07G0013600 transcript:ORGLA07G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVSSAVVHETVNKIISGLIDKYEQNSSAEEQMERLEMAHIKLETALETSSKWQITGGPLQRWQKKLKRAAEECDDTLRKCRQRVQEEEQAEQQVRNSSLPTRVAHATKSLISSIFHGNIDEPIRSAVRRFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGRLLAGETLEYKLVQGNKQHLFWIRPNNTAERGVEAKLIFVYNDESVPVNNFFLGMMLQLSECTNIVGTAIKCLQLFAPHFKSTTEIVRKELSQLPTQDFSWVPRSRSYHWDSIHSTVTEWFRPNPMCCKHRSQKVCSSGNMKTYLPDISLESVIDVSLQCQVSLPGLKDQVTVVESKPSLKEFPHLKVHLVYTPHGSSEDLFPAVESSVIEMVNGVDQHCLHTNIALEQMEGIMLPRAVDCFRQNAGTTVYQMVWKSKHGGAYLQAVKVSKNMLRQRTIRGAKKAKLLRRHDHWTQSRIDAISDFFNLWAAHAPVQLQGSILDWTQKEKEAQLAPHLLHLKF >ORGLA07G0013500.1 pep chromosome:AGI1.1:7:1053743:1055281:1 gene:ORGLA07G0013500 transcript:ORGLA07G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVSSVVVHEAVNQIIHGLVNWNERKSSAEENMERLEMAHIRLEAALETSCKWRITDSSLLCWQKKLKRAAQECDDTLRKCRQRILEQEEAEQEVRNSSIPRRIAHATKSLVSSLFYSNIDGSCRSAVRRFEWFADGANEFLRFVEFGGTPHQYLFFDPLIRQLLAGKTLEYKLVSENKYRLFVIRPFCISENRIEARLIFDSKNASALEDDFFLCMLLQVSESVDILGIVIKCLQLFNPHFMSTAESVRNELTQLPSQDFTWVPYAESCHKKHWDNIHSITTQWFRPNPLCCKQHGQNHSCESSNLGMPSVQGVSLGPVIEVSLQCHVPVPEFREQGTIVKGKPSLKKCPHMKVDLVYTPHGSSQDLLPEIKSSVIEVINGDKQHCLHTNIALELMEEIMLPRAVDCFHENAEAKLYQMLWKSKHGGAYLQVMKATMNTRSTQRTIRGSRKAKLLQQQGHKTQHRTNAISDFLNLWSAHVPVQLQGSILDWIQKEKEAQLAPPLLRLKF >ORGLA07G0013400.1 pep chromosome:AGI1.1:7:1050415:1051134:1 gene:ORGLA07G0013400 transcript:ORGLA07G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPHFELSLQPNHFHFMSSVKMLPFFFFFSVETVLMAEMVASTVVQEVLGRAVSYLSSNREKVSERHNLEKLEMAHAQLEHALERSSKLPITDVSLLRQRRMFKRAYEECSEVVEKCKVRILEVAEEQGVTHSYFPKQFVQAVKAMKSYVLSFLGMNKQYLLSCSHVRRFEWFAKKAGKFARDVETGRTLWHYNFFSSLIRPLLEGKHLKYDMPLQGSKTLGITIVPGRAWRRGIDTVK >ORGLA07G0013300.1 pep chromosome:AGI1.1:7:1043521:1048231:-1 gene:ORGLA07G0013300 transcript:ORGLA07G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWSPSPSLSLRARRSQEPKPPATRLTREDERRILLALSRVSKAIRGWEEEEEEEEEEDDDEGCELDQEIISCSAEVHSCSLPANQHFDDGFSCLANIISILVGFFGFCSSYVKHSAGNILIVISDSLMKFEVVWIQFVELVWIAIHTVSTCAHSALPSLIDSISSFRKDSICYCSVMESLSHDIIISSTNITSFVEVLQLRCLDINGHMVASLFRVLHTILKFLKHTDNELKEDFICISAHHILMVDWDLYYQLNVGEPLNLVKDSTFSLSDDLKQLGFLSSSLLQLLCSLLEQSDLEDNNGQDIYAKLVGVIPKLVAILPEHQDAPKSLSQYLKHKFLMIMMRLKPYIQKDCSYIVCCLKLLRQHFQDLLHEHIMQHIAKPENCLEGSPFLLSTVGLGETHDKSTRHLQRQAMYLFLSFCICLAHNGNDSALQCSCKRDDQMLGHKVLDCSDHCDCFALSEISDWFQRCFLDKIFGFKSSTDNALCFLQLYMEEDDMLFNVLLQLLDAPLISSKIDSMENRWASELIGGKLFSSIFDPVHVFHLLLLLLHYDHLVLVDYLISKDVGVHCAQYLLRCLRLVTQCWHSFTDDSIYEAKIEKLNFKRQKTFNDANSSSGSSIEGPKLGSACHKKSKNKHKLFLNAKACLLSLKRTLEDLHRKGLFPYNPKPLLKSLARFEELCEQD >ORGLA07G0013200.1 pep chromosome:AGI1.1:7:1041938:1042366:-1 gene:ORGLA07G0013200 transcript:ORGLA07G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVESHRAGAEIVSGDGVSRKKSIELLEELGLPKGLLPLEDIEEFGYNKETGFMWLVQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKIAGVKTKELLLWLSVVEVYVADASPEKVTFKTGTGLSDTFDATAFALGE >ORGLA07G0013100.1 pep chromosome:AGI1.1:7:1040513:1041436:-1 gene:ORGLA07G0013100 transcript:ORGLA07G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPELIDDIAAEILLRIPPDEPAHLVHASLVRKPWRRILTDPAFLRRYRAFHRTPPVLGFLHNVDGNKAISSVPRFVPTTAASPFSPPAIDPPNWWWALDCRHGRVLSHLFNPMELMVWDPITGDQHRFLCPRTRTPTALAPCYALQATATIWTVTKAPSSSSSWAQEGMITHGRVYTRRRLESGARRPPLCSIPMLRCCPASSPKTHSTSTVSMARKFWDMTLASMSFQRSTHHWGMMVASSXNQNMVVWLVSQKAQI >ORGLA07G0013000.1 pep chromosome:AGI1.1:7:1036398:1036826:-1 gene:ORGLA07G0013000 transcript:ORGLA07G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRASAEIVNGDAICRKKSIELLEELGLPKGLLPLEDIEEFGYNRDTGFMWMVQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKITGVKTKELLLWLSVVEVYVAEASPEKVTFKTGTGLSDTFDATAFALGE >ORGLA07G0012900.1 pep chromosome:AGI1.1:7:1034358:1035913:-1 gene:ORGLA07G0012900 transcript:ORGLA07G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPELMDDMTYEILLRIPPDDPAFLVRASLVCKRWHQLLTDPAFLRRYRAFHRTPPMLGFIHNVDHSSNSSYIPRFVATTSPSPFYPDFPPPSIEFPTYWWALDCRHGRLLLQLFNPIDLMVWDPTTGDHRIFPQPPYLDFYCTGAVLCATRGCRHVDCHGGPYLVVFVGTGEDDHSWACVYSSETGEWSSQASIAFDSYVEMLPGLLVQDTLYFRCERGKRILGYDIGRHELSEIDPPPLGHEVGILMESGYGGLGFATVEDCSILLWSRYVGDDGIEEWKKSWVIGLDFLNPVGNPSLSWELAGFAERVHTIFISSEIGVFTIELKSGQVKKLCKEGYYTVVPYMSFYTSDIAIWRPEEPAED >ORGLA07G0012800.1 pep chromosome:AGI1.1:7:1032516:1032944:-1 gene:ORGLA07G0012800 transcript:ORGLA07G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAEIVSGDAICRNKSIELLEELGLPKGLLPLEDIEEFGYNRDTGFMWLVQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKIAGVKTKELMLWLSVVEVYIAETSPEKVTFKTGTGLSDTFDAAAFALGE >ORGLA07G0012700.1 pep chromosome:AGI1.1:7:1029642:1031320:-1 gene:ORGLA07G0012700 transcript:ORGLA07G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPDLIDDLTAEILLRIPPDEPASLVRASLVCKPWRRIITDPAFLRRYRAFHRTPPMLGFLHNVDGDKAISSAPRFVPTTTTASSPFSPPAIGSPHWWWALDCRHGRVLINLFNPMELMVWDPITGDQHRFPVPPHPHAFCTGAVLCAARDCRHLDCHQGPFLVVFVGSGEHGYHYSWACLYSSETGEWSSKASIVFDSYVEMLPSLLVEDMLFFICENGIRILGYDIGSHELWEIEPPLWDDYQGGTLMTAEDGGLGFATMETRGLVLWSWYVDDDDGIADWEQLRVIKLEMLIPVDNPSVSLDLVGFIEGTQTIFVSSDVGVFAIELKSGQVKKILLGGGCRTQLEYRLYSDPSRPFRYASVCNAS >ORGLA07G0012600.1 pep chromosome:AGI1.1:7:1028005:1028433:-1 gene:ORGLA07G0012600 transcript:ORGLA07G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIGSHRSGAEIVNGDAICRKKSIELLEELGLPKGLLPLEDIEEFGYNRGTGFMWLVQKKKKIEHTFKKIKQTVSYANEVTAFTEKGKLKKITGVKTKELLLWLSVVEVYVTDASPDKVTFKTGTGLSDTFDAAAFALGE >ORGLA07G0012500.1 pep chromosome:AGI1.1:7:1023151:1023573:-1 gene:ORGLA07G0012500 transcript:ORGLA07G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRAGAEIVNGDDICRKMSIELLGELGLPMGLLPLEDIEEFGYNRDTGFIWMVQRKKKIEHVFKKIKQNVSYAGEVTAFVEKGKLKKITGVKTKELMLWLSIVEVYAAEASPEKVTFKSGAGICKTFDAAAFALG >ORGLA07G0012400.1 pep chromosome:AGI1.1:7:1013337:1013765:1 gene:ORGLA07G0012400 transcript:ORGLA07G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIQSHRAGAEIVNGDAICRKKSIELLEELGLPKGLLPLEDIEEFGYNRDTGFMWLLQRKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKIAGVKTKELMLWLSVVEVYVTDASPEKVTFKTGTGLSDTFDATAFALGE >ORGLA07G0012300.1 pep chromosome:AGI1.1:7:1006770:1007488:-1 gene:ORGLA07G0012300 transcript:ORGLA07G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding PECTCWQGPRQFLVISEATEGVKWAGQKRFFSMERNANGFKLMESARLAVKKIKALGNARIEIDPRNEFYVVAVATAISLWLMSRQYRSLGDPTFSGGSMKKLMSDVDGTLDGG >ORGLA07G0012200.1 pep chromosome:AGI1.1:7:1000766:1003040:1 gene:ORGLA07G0012200 transcript:ORGLA07G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAAAALAACILAVAATLAGADDPYRFFTWNVTYGSINPLGSTPQQGILINGQFPGPRIDCVTNDNIIVNVFNNLDEPFLLTWNGIKQRKNSWQDGVLGTNCPIPPGANYTYKFQAKDQIGTFVYFPSVAMHRAAGGFGALNVYQRPAIPVPYPPPAGDFTLLVGDWYKAGHKQLRQALDAGGGGALPPPDALLINGMPSAAAFVGDQGRTYLFRVSNVGVKTSVNVRIQGHSLRLVEVEGTHPVQNVYDSLDVHVGQSVAFLVTLDKAAQDYAVVASARFSPGAAPLMATGTLHYSSAVSRAPGPLPAPPPEQAEWSMNQARSFRWNLTASAARPNPQGSFHYGTIATSRTLVLANSAPVLAGQRRYAVNGVSFVVPDTPLKLVDNYNIANVIGWDSVPARPDGAAPRSGTPVVRLNLHEFIEVVFQNTENELQSWHLDGYDFWVVGYGNGQWTENQRTTYNLVDAQARHTVQQCPFRQVYPNGWSAILVSLDNQGMWNLRSANWDRQYLGQQLYMRVWTPQQSFSNEYSIPTNAILCGRAAGLGH >ORGLA07G0012100.1 pep chromosome:AGI1.1:7:991248:992771:1 gene:ORGLA07G0012100 transcript:ORGLA07G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGVGAADLSLDLKMFAAKSFGRVRGKDTTTTAMGDCIRRLEEEMGKIEVFRRELPLCVRLLADVIDVMKEEVEKKGGDRKEDEEDAAGDKSNWMSTAQLWTGNSGGPDAAAADPVKQDKVRISSEAKSNGGAFVGSGAPAFARPKQSLMRKEDMAYDVRMPDLSLLSPPASAAAADESRRQVVGFAQAAARAAAMAASGPALSLQPQPQPAAAQQQQQARKTRRCWSPDLHRKFVAALQQLGGPQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRPRVPSSTIVNQPIVLMQGLCYIPQEQSSSQSGSPEGPLHFSGSGMAGGGSSAATVSCEEEDGRSESYGWK >ORGLA07G0012000.1 pep chromosome:AGI1.1:7:969010:971354:1 gene:ORGLA07G0012000 transcript:ORGLA07G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRSALGKISRRLSGSSAVICQAPPLPSLHPAAQLMTTAFSSPAAGHPSAAARVRRIPTLQGLQHPPFICKGTERMQWAGQKRFFSVEAKAKDAKLMESTRSSVKRLMAWMNEQANPRNTAIVLTIINVVYLGIFIRECLRSDEHAKDCTADDNGDRNSSYRIVKYECHDPYACPWYRALVAQYAVMLVLVLFTM >ORGLA07G0011900.1 pep chromosome:AGI1.1:7:961012:966541:-1 gene:ORGLA07G0011900 transcript:ORGLA07G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLRARASWSPQASVMPTWWKRSKSAFQRSSAVSSAPASPARASTSSCAAPGRRSAAVRCADDAGDLLLARRRQLTRQRKLRHVDDIGVGLESLSLVVANSSPPPRGRASTSAAVGHPVSIPIARSASSAEFRAVHQPPPRAATAASPVLLPLPLPSPKPVESDTSEPDVGGERATRVTSQIVQNFPDNNNNLPDNSSKRTTTSSHHRKVFREKFQDKSSTETANFRLNIPAKSAPSSGFSSPVCSPRRFSNAEYTTPTAQGPQAWSAPSVRSVDSMATSSPRISPEIYTGVTEQSTFSNSLRSPILMSKNSSAPPSPLHPKLFPENNMSRIEGNGNVSFHPLPRPPGAINSMQTSIVNQSAPKVEMPSVAGQWQKGRLLGSGTFGCVYEATNRQTGALCAMKEVNIIPDDVKSAESLKQLEQEIKFLSQFKHENIVQYYGSDTFEDRFYIYLEYVHPGSINKYVKQHYGAMTESVVRNFTRHILRGLAFLHGQKIMHRDIKGANLLVDVSGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEMVQATLNKDVGYDLAVDIWSLGCTIIEMFNGKPPWSDLEGPAAMFRVLHKDPPIPDNLSHEGKDFLQFCFKRNPAERPTASELLEHPFIRNSSHYNKHGSIHSFAGIKSNDNNNGNGSRDKAASKSDSCVKGKNTVGEPTNARPSESSAFRLTPLSIQEVAPNFSSRPLGLTSNPSPSANLVNTVYFPIANSQRSPLPRPNEKEALF >ORGLA07G0011800.1 pep chromosome:AGI1.1:7:956985:959908:1 gene:ORGLA07G0011800 transcript:ORGLA07G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDGEEGTAKRAKLSAGDGGGGGGEDRLSALPDDLLVQILLRVGTSAAARTSVLSRRWRSLWYLLPELDFVSTADARAIRAALAYHGAPPLRLLLVSAVGATAGSVAEWLPLAARRLSGYLGLLNVVPKTKRDEGEGAAAGEVLELPCFASAANLALDLGFIAVAMPRSGVFSRLTLLSLDNVRFHRPCDLGDAVSSPRSPFLKSLTIQNAHGLSNLSIHSESLLQIRLGGLKGLKQLNVVAPALGALYVISCFSDPLAMSQPVADISAPQLETLHWEDAFDPSSVRFGNMANVKCLGTHFYLAFGQEDFGHNGDCLRLLQRFQFDALDRLSLTLAYMSELNDLENEYLMEEMTMLPDIMFLGLTVLASGHAIGPSVFHVLRMSTSIRRLELATDIYSSNPQARAACSSSCTCDLPPNWKTEELKLAFLHEVEINNFRGTEHQIALVKQLFGWAAMLKDMTINFCHSITESMARKVCQMLLSFSRPEILMNFYIYQQTTSGFVCSRGQMHRTKLVA >ORGLA07G0011700.1 pep chromosome:AGI1.1:7:951901:954807:1 gene:ORGLA07G0011700 transcript:ORGLA07G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDGEKGAAKRTKLSAAAAAAAAAAAGEDRLSALPDDLLVQVLLRIGGTTAAARTSVLSRRWRSLWCLLPELDFVPEADGGSIRAALAAHEPPSLRHLLVAAQDAAPHGMAEWLPVAARRLAGDLLLFNMAPKRDAKDDDDEEGKDGSPFLELPCFGSATKLSLDLGFLPLAVPVSGVFARLTDLSLDSVRFHGPCEFGDAASSRRFPSLKNLNIRNTQGLSNFIIHSDSLLQLDLRSVRGLKQLNVVALALQVLSVFFCFADTQARSQPVADIAAPQLETLQWEDAFDPSSVEFGEMASLXCLGTYLFLVYGLEDFKNNRDCLRLLKRFRRDAISRLTLTLAFLPKDLRDFEYLMENMTMLPDIVSLNLNVLANGHAIGPSLFHVLRMCTSVRRLKLVTHISLDLEAQAVCSSDCVCDLPPNWKTEELLLKFLHEVEINNFRGTGHEIALVKRLFSWAVVLKDMTINFYHSVPESTAKEVCQMLLNFSRPEICMKIYFYHRWRKVLYVPED >ORGLA07G0011600.1 pep chromosome:AGI1.1:7:948548:948880:1 gene:ORGLA07G0011600 transcript:ORGLA07G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRGTAEERGQSGRRQRGDTVQRRPRRSDDISGDSCGVDQVRRERWKRGAPTAACGAQAEVGGSVTSSRGATGCTASKVEQEDTVRXCKEQWRHMKGAVALRQQLASAHAD >ORGLA07G0011500.1 pep chromosome:AGI1.1:7:942070:942465:-1 gene:ORGLA07G0011500 transcript:ORGLA07G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYWSLQAITKGKLILQAIHLVQSSNATQFPSNEAFSTKHPPRCSLASTISSSLGEARILLLLLLLQWLKFFNLKHTNSKLLPNSLPNKHTSSSLLINVQELTLKDKLSLNS >ORGLA07G0011400.1 pep chromosome:AGI1.1:7:927727:930772:1 gene:ORGLA07G0011400 transcript:ORGLA07G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGAASASGGGGAAPEAQQQLSGGGDTPRRRRPTRSRSDPLLIVCRCFNVVTAATAALCVAVNVLSAVQSFRTGLDVRRPLPFLFVFPAMARLRADLGCLVGLQIFGGIFRCYAVVISLFVGVVETEWGFIMKFCKILEYWPARGMLQIFVAVMTKAYPNVERGDLILLEDIASYLLLACGLIYIISGVLCIGVLKRSRQQKATSREQAVKDLEELEKRREELEALLLAQRSETV >ORGLA07G0011300.1 pep chromosome:AGI1.1:7:925520:926115:1 gene:ORGLA07G0011300 transcript:ORGLA07G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRAGAEIVNGDDICRKMSIELLGELGLPMGLLPLEDIEEFGYNRETGFIWMVQRKKKIEHVFKKIKQNVSYAGEVTAFVEKGKLKKITGVKTKELMLWLSIVEVYAAEASPEKVTFKSGAGICKTFDAAAFALGERMELLLFHALSSCIQQCHVHCVVIKSITIM >ORGLA07G0011200.1 pep chromosome:AGI1.1:7:921482:921721:1 gene:ORGLA07G0011200 transcript:ORGLA07G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAEVVNGDAICRKRSIELLGELGLPKGLLPLEDIEEFGYNRDTGFMWLVQEEEDRAHLQEDQADRLLRR >ORGLA07G0011100.1 pep chromosome:AGI1.1:7:919033:919230:-1 gene:ORGLA07G0011100 transcript:ORGLA07G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDCLLFKVHLAGELLVGGGRNQVLGGRRWSSKGSRIWRFRRQRRRCTDGDASTTITSDRSALS >ORGLA07G0011000.1 pep chromosome:AGI1.1:7:916280:917727:-1 gene:ORGLA07G0011000 transcript:ORGLA07G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRLIANQAMLQQLNMLRKEMYRGYYIMDRFRYHDREEENTKDHQVSNSFAPSKFNPAKRIRFCRTSGQSLQQQLQQVLASLEATIEDTSEFFMFLNSYPRLNRQPYSMHLVLDKCLFNHQMEMEHIMNFLLKDNTSSNQNPGVLPIIGPSNVGKSTLIEHACNDERVRNHFFQIVCFSDDDLEDANMVTLRNCGVIKHQNHATGGERILIIVELIRDINEGAWRRLYSASKTCAANGSKIIVASRSDNISSFGTTHALRVKFFTQEAYWYFFKVRTFGSMDAAEHPKLESIAVDMAMELNGCFMGSNVYSVLLRENFNDKFWSMALAGIREFRKLNLLLCGTSYFDDPWQGVGPAYVRRVNKICSGNHVIHEDYKVCSIQNMIHCHTNSAHSEDDVPMEEEISEAFHLTISDADAGERCHVGPKQNEHCHYRVHA >ORGLA07G0010900.1 pep chromosome:AGI1.1:7:909893:910798:-1 gene:ORGLA07G0010900 transcript:ORGLA07G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFKEVWSFWILSDLATRSISFLINKCMKQTESAMEERLQRLLLRVRIIVEEADERIITNQVMLQQLNILRKEMYRGYFTLDIFRCHGYKGDSTKDHQVNNPFALSKFNPAKRVRLCKVSGQSVQKQLQQVLGSLEVAIEDTSEFVMFLNNCPRLCRQPYSMHLLLDNCLFSRQLEREHIMNFLLKENTPGAENLGILPIIGPENVGKSTLIEHACDDERVRNHFSQIVCFNDNDLESSIKTMPLMEKGY >ORGLA07G0010800.1 pep chromosome:AGI1.1:7:898395:899027:-1 gene:ORGLA07G0010800 transcript:ORGLA07G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTRRLRVLLLVLAVVVASAVQYSGAGAHAARVPAVLREDETTTTATDGLACRHRRRASAVVVTAAAAPPLVPVHAAAGHGVPSTKSFNEGPAPSTTGGGDDGHAIFAAAAAGRVSSRLGGGGGRGGGGGRGGGGYGRAGGGAGYAAGMYTGGGTGSAKADIIIDVLLVLTLVGGIITIVWSFCFICTDQQADSGSTDQDPNPPLPKQ >ORGLA07G0010700.1 pep chromosome:AGI1.1:7:888195:888518:-1 gene:ORGLA07G0010700 transcript:ORGLA07G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGRWAICALLLAALCVAAQFEGALCRGGGRGGKGGGGGGGGKGGGGRGGAGRPIAGAAAAGIGSRAGSGSHRHSAAAAGPHGRGAWRTSGGAAAVAAAALVWWC >ORGLA07G0010600.1 pep chromosome:AGI1.1:7:879930:884963:-1 gene:ORGLA07G0010600 transcript:ORGLA07G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPREVAAAARGFSAMARIVGPDPKAVKMRRHAFHLHQSGSTTLSASALLLPPGSLAEPPPLLDRICAAHGHAGGVALTSASLVEPFLVEEQRNSPSQELQPRLVPEAHLDVLVEHEESRNIGGGKTGAPRWLSARLLAIVDVQASADSVLSLLQHEGSLIRSSSWDVCWSLADVNQKQVDNDARYSLESNRKNAYAESTEPPMLAKSATRIAILGVSNLNSSNTRCINVSLMQQRGDSLLIMGSPFGILSPVHFFNSISVGVVANCLPPGTARSSLLMADVHCLPGMEGAPVFDKNSCLVGMLMKPLRQRGSSTEVQLVITWDAICNAWNSDKLERIGHPPSELVDEKSSDCKYKESCVADKHRRFVPNSANNLNQYDVSPSLTEAISSVVLVTVGETSWASGIILNKNGLIMTNAHLLEPWRFGRTSSLGLQNKIASFSEHTCGGENNLLQPQQCKVSNEDAVKHELSLFNFGLKKDRAISVRLDHGERKTWCNASVVFISKGPLDVALLQMEKTPIELCAIRPEFVCPTAGSSVYVVGHGLLGPRSGLSSSLSSGVVSKIVKIPSTQHSQLSSVVEVNNMDIPVMLQTTAAVHPGASGGVLLDSLGRMVGLITSNAKHGGGSTIPHLNFSIPCKSLEMVFKYSAKGDFKILEQLDKPNEVLSSVWALAPTSSPFFSTSPENGRGGKVLEFSKFLADKQEGLKSIKDIEAFLRDRIPSKI >ORGLA07G0010500.1 pep chromosome:AGI1.1:7:864121:866021:-1 gene:ORGLA07G0010500 transcript:ORGLA07G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding INANCREKQTLELKTVHSEKFPGYIAFSQLPVLVDPLSDLQNFRLHISLMETFLSVILSDLATKSIAFLINKCSKPTASNMEERLQRLLLRAQIIVEEAEDRLITNQGMLLQLNILRKEMFRGYYALDRFRCRGHEEDDAKDHQVSNSFAQSKFNPAKRVRFFRISGHSLQEQLQQVVGSIEVTLEDMSVFVMFLNSCPHLCRQPYSMHLLLDKCLFGRQMEMEHIMNFLLKEDSPGAESPGVLPIIGRRKAGKSTLIEHACNDERVRNHFSQIVCFSDDDLKDAYMVTLRHCGSIKNENQCTGGKRILIVIELIRDIDEAVWRRLYSASKSYVLNGSKIIVASQSDKIARFGTTQALRVELFTEEAYWYFFKVRTFGSMDAQEHPKMALMAMEMARELQGCFMGASIYSGLLKANFNARFWNMALASIREYKQTNLLVYGTYFENPWQASEPAYVRTVNKISSEYLVILDEYQTCSVQNMVLCRTNFARSEAEVPMLSMQDFLFGSVRPQGKFKVLAWKSHLPPYYNHMFNCEFEDVNMLR >ORGLA07G0010400.1 pep chromosome:AGI1.1:7:861513:863081:-1 gene:ORGLA07G0010400 transcript:ORGLA07G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRLFSAILGELASRSFSFLINKCSSKLSSSSMATTFMEEKIQRLERMLLRLATAIEEVDGKHITNQAMLRQVNMLRQDMHKGYYALDTFRIQKHQEEDMNVDDDNEVSYNTLSLSKFNSIKRARVLTCTRRHGDMRELDQMVDIIEITMAGMAEFVMLLNNYPSMHRQPYNTYMFMDKCMFGRQMEMEHIIKFLLHPEPPYSDIFDVLPIIGPAKVGKSTLVEHVCNDERVRNHFSRIIFLSDSDLSEQKSLLTLRDSGVIRHKHNSSSASIGGERLLVVVELTEDVADDEWRRMYSSSRSCISAGSKIIITSRSEKIAKLGTTQPLRLKFLSREAYWYFFKVLAFGSSDPKDYPKVASVSMAMFNGYFDREMHNTFIGPFIDLNNMASFIQASIYDRDRLSLRKRFRTKESKSQLLPNRGSGDSGVKSKCVVIPKADGTVNYYCEIFEHCRVALAHAEDQKAPKIGIQDILSGRVEPHGKFDLVLWRSHLPPYYSYIYSSEIHEFKSAPTCRIRLRQKRNI >ORGLA07G0010300.1 pep chromosome:AGI1.1:7:856304:858439:-1 gene:ORGLA07G0010300 transcript:ORGLA07G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGENPRTHYTTQREVVKPASCHDFHSSSIGTQRFTDMELLDRSISFLINKSSKPTALTVEERLQRLLLRARIILEEADERLITNQSMLQQLNILRKEMYRGYYTLDRFRCHVHEADHTKDHEVSNHVIPSKFNPAKRIRFCRVSGKSLEEQLQQVFGSLEVTIEDMGEVVMFLNSCPRLCRQPYSMHLLLDKCLLGRQMEMEHIMNFLLKEDIPGDENTGVLPIIGPWRVGKSTLIEHACADERVRNRFFQIVHFSDDDLEDANMVTLRDCGVIKHQNRGTGEERLLIIIELIRDIDEAAWSRLYSASKRCVAKGSKIIVASRSDKIARFGTTQALRVTYFTQEAYWYFFKVRTFGSIDAEEHPKLASIAMDMAREMNGCFMGSSMYSVLLKENFNVRFWSMALAGIREFKQKNLLRYGANIDCPWHPVEPTYIRMINNVSSEYLVVLCDYQTCSVQDMVDCHTNFPQSEAAVPMVSLQDFLFGSVRPQGKFKVLASRSHLPPHYNYILNCEVWTSHHLITREERPQKLCT >ORGLA07G0010200.1 pep chromosome:AGI1.1:7:842815:854346:-1 gene:ORGLA07G0010200 transcript:ORGLA07G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSPLSSNGGKGCAPPPSSPILAVMTSATKXERSRARPAMERQRKPAATAALRPDLETSAAAAASDKRLTPTFCQLLSIQTTHLHMETFLSAILSDLTSRSISFLVNKCSKPTTPTVEERLQQLLLRARVIVEEADERFITNQAMLQKLNILRKEMYRGYYTLICFRCHNNEEDNVKDREVSYYFTPSKLNPAKRVRFCTGSGQTLRDQLQQVLGSLQVTLEDMREFLMFFNSCPRLCRQPYSMHLLLDRCLFGRQMETEHIMNFLLKEDIPSAENLGVLPIIGPGKVGKSTLIEHACEDERVRNRFSQIVCFNDDDVEHANMVALRDCGVIKHKNHSIGGDRMLIIIELMGDIDEGVWGRLYSASKISVAVGSKIIVTSRSDKIVSFGTTQVLRVNFFTQEAYWYFFKVRAFGSIDTEEHPKMASIAMEIATELNRCFMSSGIFNELLKANFNTRFWSTVLTIIREFRKFNISIYANFDGPWEVVESAYVRRVNEISSENIVILHDYQTYSVPNMLHRCTNSAQSEAEVPLFSLEDLLFGSVRPQGKFKVLGWRSHLPPYYDYMFSCEVRKSQHKVARNKRPGELCT >ORGLA07G0010100.1 pep chromosome:AGI1.1:7:836845:838377:-1 gene:ORGLA07G0010100 transcript:ORGLA07G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLSVILSDLASRSISLLINKCSKPTLPSVEERLQRLLLRVRIIVEEAEGRLITNQAMVQQLNMLRKEMYIAYYTLGNFICHGHEEDNAKDHEVSNYFKPSKLNPAKRIRYLWDGGQTLQDQLQQVLGRLQVTLEDMREFVIFLNYCPRLCRQPYSMHLLIDKCLFGRQMEMEHIMNFLLKEDTPGAENPGVLPIIGPGKVGKTTLIAHACDDERVRNHFSQIVCFSEDDLEDASMETLRYSGVIKHQNHATGGEMILIIIELTRDIDEGVWRRLYSVCKSCVANGSKIIISSRSNKIVCFGTTQALRVKFFTQEAYWYFFKLRTFGSMGAEEHPKLESIAMEIAREWNGCFMSSGIYNELLKANFNTRFWSTVLTRIREFRKLNISLYANFDGPWEVVESAYVRRVNEISSEYVLILHDYQTCSVPNMLHHCTNSAQSEVEVPQLSFEDFQFGNIRPQGKFKVLGWRSHLPPYHDYMFSCEVLKSQHTVARNKRPRELCRRDFGLST >ORGLA07G0010000.1 pep chromosome:AGI1.1:7:829482:831017:-1 gene:ORGLA07G0010000 transcript:ORGLA07G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATISVILTELAGRSISFLVSKYLNQQKPAPSDDERLENLQRLLLRFRIIVDEAEERCITNQAMLEQLSILRKEMFRGYYTLDTFRCRAHQGKDHHGEVSPSFAISKFSHAKRIRFCSDSSSQSLGELQRVLGDLENTIVDATEFIAFLSSCPRLHRQPYSMYLILDQCMFGRQTEMEYLINFLLQPGNHSTLEPGVLPIIGPGRVGKSTLVEHACNDERVRSHFSQIVFFTRADLEDESIVDLRDGGVIKHRNRASGVGRVLVIVELDEDRYSEGLDKNIDGVLLERLYSIYKTRIPRDSKIIVTSRSDKIARLGTTPPLRLQLLSKEAYWYFFKVRTFGSMDASEHPEMASIAMDIAIETEGCFMGANLFSRLLRSNANSHYWSLVLATLREFKKKNQHIWSFMYAADQIKALDQVNERSEEATELLVILDNYQTSCSHASSHCEAEAEAPKISLVDALFGSVRPQGRFDALGWKSQIAPYYSYMYSCEIQRPKCLAARKNKMKKNGG >ORGLA07G0009900.1 pep chromosome:AGI1.1:7:819131:820415:-1 gene:ORGLA07G0009900 transcript:ORGLA07G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVACLPEDGGEVVLVREFDGGRDRPGVEQVERACEVGPSGGKLCLFTDLLGDPLCRVRHSPAYLMLVAEAVGGPLGTEIVGVVRGCVKTVACGRSQLFSKVAYLLGLRVSPSHRRRGIGRKLVERMEEWFREMGAEYAYVATDRDNEPSVRLFTGACGYAKFRTPSVLVHPVFGHDLAPSRRAAVVRLDAREAELLYRRRLGSVEFFPRDIDAVLSNALSLGTFLAVPRGTRWRGVEGFLASPPASWAVASLWNCKDAFRLEVRGAPRLWRAAARATRAADRAAPWLGIPSIPNLFEPFGLHFVYGLGGGGPAAARMARALFRHAHNVARRGGARVVATEVGACEPLRAGVPHWPRLGADDLWCIKRLADGYGDGALGDWSKAPPGTSIFVDPREF >ORGLA07G0009800.1 pep chromosome:AGI1.1:7:803837:805128:1 gene:ORGLA07G0009800 transcript:ORGLA07G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLSILRKVMFRGYYTLDTFRCRAHQGKDHHGEVSSSFAISKFSPAKRIRFCSGNSNQSVSSELQRVLGNLENSIAGANEFIAFLSSCPRLHRQPYSMYLILDQCMFGRQMEMKYLINFLLRTGDHGTQEPGILPIIGPGRVGKSTLVEHACNDERVRNNFSQIMFFTRANLEDESIVDIRDGGVIKHRRPRIXEDVLLGGADQPSSRKRRFDALGWKSQIAPYYSYMYSCEIQRPMCMVARKKKMKRNGG >ORGLA07G0009700.1 pep chromosome:AGI1.1:7:787707:790273:1 gene:ORGLA07G0009700 transcript:ORGLA07G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAAARAVSVAFQDASYCLDGGKARHVPHAPSPEKKRASFAAGAAAARAKVCDARWPAAGVNAAPYGFRGGVATRSVAFDEMTPRRASVDVPNPLRAALSSDDTESATSSAGSPDGDADADAKLAARARPSPRSIMASPARFSRDAMGSRSERFADHSTPFMSRTPRFLASPSPKTTPTAPPPPTTTKKKSVKSLFNGLLSSPFTRPSPKQPPPTKPAAISPASPSPARSSATVAASAVPGKLQAQGKAEEEHQLRLLHNRHLQWRLANAVAGAAISAQELNADKQLCGAWVSILGMRKSIALKKLELQLLRQNCKVMNILKGQIYLPVQMMAYLEEWSLLENKYANSLSGTVEALNATVLRLPVSDGAVADFQSVKNAVGSAVDVMQTMRNSMSYLLPKLARTNVLVSQLSRIASQEQVLMAQCRELLSTLSLMHVKHSSLQGQMIQMSHPKRAKDVSSSEYPY >ORGLA07G0009600.1 pep chromosome:AGI1.1:7:781149:781545:1 gene:ORGLA07G0009600 transcript:ORGLA07G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLGRAAVPVKRVWLGLSARLGLRRTTGLGKLRNEVRTCEYSDVHVMWEMLSSMDAAAPPRHATAAAAGRKRRRPAATAAWSRLVSCCCCAF >ORGLA07G0009500.1 pep chromosome:AGI1.1:7:773954:776789:1 gene:ORGLA07G0009500 transcript:ORGLA07G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEITGDMTMLIDGLSSQCAARKKLLELHLSYNNFTGALPNSIRRFTSLRMLDISSNNLIGSIPPGIGDLTSLVSLDLSYNDISGHLPTEVMHLLSLASLDLSSNRLSGSIPAEIGVLTNLTSLVLRNNTFSGVIREEHFAGLISLKNIDLSSNYLKFSMDSDWLPPFRLESAWLASCQIGPLFPSWLQWQHKIIEFDISSTGLMGKIPDWFWSTFSQATYLDMSQNQISGSLPAHLGTLPPHLEAPELQTLLMYSNRIGGNIPQSICELQLLGDIDLSGNLLVGEIPQCSEISYNFLLLSNNTLSGKFPAFLQNCTGLQFLDLAWNKFFGSLPAWIGDFRDLQILRLSHNTFSGSIPAGITNLLSLQYLDLSDNNISGAIPWHLSNLTGMTMKGFQPFSGASMSSGLVTVELSGEIPNKIGTLQSLESLDLSKNKLSGGIPSSLSSLAFLSYLNLSYNNLSGMIPSGRQLDTLSANDPSLMYIGNEGLCGPPLQKNCSRNYTFIHSSKQEFKPMTFYFGFGIGLVVGIWVVFCVLLFNKIWRIAYFRLFDKLYDRVYEFLVGGTRMRKEEALSIAGHARKLLAAAAVPAFCTGVIGARRRRRRTGGTAMVRGSGG >ORGLA07G0009400.1 pep chromosome:AGI1.1:7:770100:772985:1 gene:ORGLA07G0009400 transcript:ORGLA07G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q7K2] MMVVVMRRRMAAAAMLVLVAMAGGATVCAAQLRRNYYAGVCPNVESIVRGAVARKVQETFATVGATVRLFFHDCFVDGCDASVVVASAGNNTAEKDHPNNLSLAGDGFDTVIKAKAAVDAVPGCRDRVSCADILAMATRDAIALAGGPSYAVELGRLDGLRSTASSVNGRLPPPTFNLDQLTALFAANGLSQADMIALSAGHTVGFAHCNTFAGRIRGSSVDPTMSPRYAAQLQRSCPPNVDPRIAVTMDPVTPRAFDNQYFKNLQNGMGLLGSDQVLYSDPRSRPIVDSWAQSSAAFNQAFVTAMTKLGRVGVKTGSQGNIRRNCAVLN >ORGLA07G0009300.1 pep chromosome:AGI1.1:7:766563:767336:-1 gene:ORGLA07G0009300 transcript:ORGLA07G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGVVVLDGGGGWSWWLCASMMGNRSSGGGFTLPLWSQRVVKIPDLSYLGGGGGGSSAGCWRCPACVWAHAGGGNYVALGVVAVVVSLRCTCAGGGDGVYAALGVAAVIVSLRRACASSGDSVCAALGAAAVVVSLRRAPVVCCAPACAAPVAASASVGNNHGWFDDDNLLQVNVFGIFVIGCLLRLDSCGSKLQVTSFLAIVVLTARHKSIGNLSNAPLLMVGWSMVWPSLLFPSSRNRVWFVIRVELRTPVQF >ORGLA07G0009200.1 pep chromosome:AGI1.1:7:755147:755389:1 gene:ORGLA07G0009200 transcript:ORGLA07G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSYYLCLLRSIPGKMMLGRQWKPGCSCWSAMRKLQGPEKEEMLRTMREMEAKLDDEIAANLHAINAHTSSHQHGFFNRY >ORGLA07G0009100.1 pep chromosome:AGI1.1:7:745481:746658:1 gene:ORGLA07G0009100 transcript:ORGLA07G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLRSLTTLSRSRSSATTAGIVSRRLICNAASDHQKLAKNSGGTKKEVVSATVNSEVQSVSWGRLFGLAVITFFSCKLGGRYANYQYERSLFEGFQKAKQERSTPQL >ORGLA07G0009000.1 pep chromosome:AGI1.1:7:741462:744069:1 gene:ORGLA07G0009000 transcript:ORGLA07G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGALLRRARALPRLPQGAAARSFSAPNAGHFSSSNAGHFIQRCQEALLEELIWNEVYAKHNEARVRRLINSLVRSLGDVPKQKGFTKTFSQEFGMVVKELEKDMNMSFKSFKVPLRRLILRTLDKYQQQGSDALLKNSLESKVHSSHWGDAHANPNFWTKAFGLSLLLSFFSFEVGQQYETLNGEGELPK >ORGLA07G0008900.1 pep chromosome:AGI1.1:7:733327:738395:-1 gene:ORGLA07G0008900 transcript:ORGLA07G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYTDVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDSLNSYLNKYRIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFLQVRAAENSRPRAQ >ORGLA07G0008800.1 pep chromosome:AGI1.1:7:731059:732200:1 gene:ORGLA07G0008800 transcript:ORGLA07G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMARERNMEKNKGAKGSQLEANKKAMNIQCKICMQTFICTTSETKCKEHAEAKHPKSDLTACFPHLKK >ORGLA07G0008700.1 pep chromosome:AGI1.1:7:715787:717027:-1 gene:ORGLA07G0008700 transcript:ORGLA07G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARWCVGWPAAARGGRDELTWQAELTAHAAGEFSMAAAQANAVMEDQAQVMASPGATLVGVYDGHGGPDASRFLRSRLFPLIHEFAAERGGAVDADVIRKAFLAADEEYLQLLRWSLPNMSRAAASGSCCLLGAISGDTLYVANAGDSRAVLGRRAAAGQTVAERLSTEHNVASEEVRRELAALHPDDGEVVVHARGAWRVKGIIQVARAIGDVYLKTPEFKRDPAVQRLCSAAAAVELARPVVTAEPSIHARKLKAGVDLFVVFASDGLWEHLSDEAAVQLVSKSSTRRGVAARLVQAALGEAARKREVRRGDLRRIERGVRRHFHDDITAVVVFLDLDDDGGRRARRRGRVVDSSSSSCSNTPLDVYSLYNSTA >ORGLA07G0008600.1 pep chromosome:AGI1.1:7:688425:697754:-1 gene:ORGLA07G0008600 transcript:ORGLA07G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37460) TAIR;Acc:AT4G37460] MGSERAELARVCGGRNWSKAIRILDAHLARSPSSIHDLCNRAFCYSQLELHKHVVKDCDRALELDPALLQAYVLKGKALSALGKREEALAVWEQGHEVAVRDTMDLKQLLELEELVSSVKICETIECEDRVVDASPCDTKVVISEDRVVDISCTATTMADTKTVVCEENIGNSGVISNGAVILANDNKADNNKECSSPTKDTTGTHHTPKKTTKPDKKSKAKGRKEINSQIEDVADSISSGETVAVDQTLFASKISKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAIQSNPSAGEAWKRRGQARAALGEFTEAVEDLTKALEFEPNSPDILHERGIVNFKFKDYNAAVEDLSTCVKRDKKNSSAHTYLGLTLSALGEYKRAEDEHLLGIKYDENFLDSWAHLSQLYLDIGCPEKMLNNVEKVLQIDVSFGKAYHLRGILYHGMGKHRSAIKDLSVALKHESSNIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLAFYQKEIALYTASKANLEFSQFNIDDDVDPLFKEYWCKRLHPKNVAEKVYRQPPLRISLRSGRLNKQDFKFTKHQTTLILAADSIGKKIQYNCRGFLPNQRQYRMAGLAAIEIAQKVSKAWRFLRNPKNNAKLVRRRDKLNACQNRGGYCSTSTLSGSPTSSPNEDRISSGISLSWHDVYNIAVKWRQISEPCDPVVWINKLSEEFNSGFGSHTPMLLGQAKIIRYYPYYQSVLEAAKNIMLDLKYVNNAEDRAIFLTDIEKLKKIEVASSCSELYHIVGETYWVSTRCDSIAFQGRRLEGTRITTQNMGKTGFDFAIRTPCTPSRWEEYDEEMSAAWEAICEAYCSDTNPTRDPDTLDAVKAAILRMTYYWYNFMPLSRGSAVVGYVVLLGLFLAANMDVTASIPQGVQVDWEAILSQDPDTFVDKIKPWLYPSIKTSRNLKDYADVSVAFSTTGSVVAALTCVDT >ORGLA07G0008500.1 pep chromosome:AGI1.1:7:684444:687563:-1 gene:ORGLA07G0008500 transcript:ORGLA07G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEVERAGMETDGGGEDRISALPDDLLCSILLRLGSTPAAGQTILLSRRWRRLPSKLPRLLFPFPSTPPCVGPGIAANTAPVLRHVDVVCCDSPAGATATWLHLLAPRLAHDGVVYFRNTMSRRRLMAPHRGLGLASPSPTFELPCFATAAKLWLRLEFLNLELPRSGVFARLTEMFLEHVDFNHRGRGDFGHTFSTPRCPLLRRLRIAMCTGIDTMGIYSDSLHHFELEFVPGLMELTLMAPGLRTLELLSCFYYIQEWNCSIHAPDLESLRWGDRFNIGSVLFVGFARLQQLAAFTIPVFGRPDNTIIQEFALLLGRFSAVYRLDLLLSYERANSPCHSNCECDEHPDWNEWEAIVHGLEEAEIRSFRGTEHDFNFVALLFLVSPALKKMTITLDCMADASEESCQKLREIVAVHPGACLEIHQNTSGVFYEFRQSNFTKTPDDHYYG >ORGLA07G0008400.1 pep chromosome:AGI1.1:7:677867:679756:1 gene:ORGLA07G0008400 transcript:ORGLA07G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37380) TAIR;Acc:AT4G37380] MSAAAAVQPVLPSSSASTGGQQHHGVLTADRVAGLLTGCATLRRTGELHAAAVRAGVDGDRAVGFRLQRAYAASGRLDLAVTLLRLTPDPTTVFYTSAIHAHSSRGLHLAALALLSEMLGRGLLPTSHTLSSSLPACHGLALGRALHAYAFKLALAGDSYVATALLGMYARGGDADAARALFDEMPDPHVVSVTAMLTCYAKMGALDDARELFDGMPSKDFICWNAMIDGYTQHGRPNEALRLFRRMLRSGVDPDEVAIILALSAVAQLSTAESGRWLHSYVKNSRRVQLNARVGTALIDMYCKCGSLEDAVSVFNSIGDKDIVVWNAMINGYAMHGDSRKALEMFPQLRSQGLWPTDITFIGLLNACSHSGLVDEGRQFFQSMEEEYAIVPKIEHYGCMVDLLGRAGLIEEAFHLVQSMTIAPDTVMWVSLLAACRLHKNMALGQQIADYLVAGGLANSGMYILLSNIYAAVGNWEEVARVRSMMKASGIQKEPGCSAIEVGRKVYEFVAGDMSHPRTDEIYAMVEKMNGIVKEQGHVPQTELVLHDLDETTKEKALAVHSEKLAVAFGLISTAPGETIKIVKNLRACADCHAVLKLISKITGRKIVFRDRNRFHHFVDGSCTCGDYW >ORGLA07G0008300.1 pep chromosome:AGI1.1:7:673190:673654:1 gene:ORGLA07G0008300 transcript:ORGLA07G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALRLDGLAVSTLDAGGVLNGGRGADFSNGRSDISRCRFRLGDELLLGGAELVVLDAVIRGRQLHASLLHAGGRRGEKFTGAEMEMEREAASDETIEVDKIESAMRKYRNTLPPPHPNTMPPQGRVGTSRYPAMTAVGSYPLNGDDLVPLWPAVR >ORGLA07G0008200.1 pep chromosome:AGI1.1:7:669672:670218:1 gene:ORGLA07G0008200 transcript:ORGLA07G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQWTPPFRRATIRPGTLQLCAGHRCLVLQLARADADAAVPAALRRFLADERVVFVGYGVRSDCRKLKEHHGVEVARTVELLSLAGMGNTSMQRMAEEHLGWFH >ORGLA07G0008100.1 pep chromosome:AGI1.1:7:619450:620107:1 gene:ORGLA07G0008100 transcript:ORGLA07G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GMVCFVETEQDAGNLEEINRIGKILSKRDMVKQSSESLIFERKKKMGTHNMQDMWKVAGIASSAFLFGTAFISLYAGSLHGEEEEDGILQDKKRLGLEE >ORGLA07G0008000.1 pep chromosome:AGI1.1:7:603888:604588:1 gene:ORGLA07G0008000 transcript:ORGLA07G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSVLAGRFMVAANRAFRGRPVSTTTKERLELKMSSYPWTQNAMDNRLREGCAMAVDNHNRRIMRLANPYSGPADGDILYNWAWSNNISFLIILCSCFGLCHAALHLPHHASNNVSTA >ORGLA07G0007900.1 pep chromosome:AGI1.1:7:600576:603639:-1 gene:ORGLA07G0007900 transcript:ORGLA07G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAWMLSRAVSQRLLRHRSRSFCTSLSSSSSSGKVKRIERFDEFEEMVQREIVEDVEKVERAKTEDRDCINRLLTSCGMPKGEFRDKLMWGCNVAAIFVASGAVGSLVAKIKIDGSV >ORGLA07G0007800.1 pep chromosome:AGI1.1:7:593546:594557:-1 gene:ORGLA07G0007800 transcript:ORGLA07G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYVEPQVKLDTADRLVREVDRAAERVRRGEAHWVDWATLLWELAENEMREMARWRRTDACRNGAYWQRFIWAQNPDLFAPPPPAAVSKKMQGIAATSKKLNQDDDDDTKSKMTDEMPAMESLNQAPAINDELQAIRKQLIHALEELTSGRASIGIRRMGELDPKAFANACTQTLTKKQLDSALLYSKWEAEISDPSWHPFRVININGKNKVLLFQFSSFFYMINQFDSCHILMTN >ORGLA07G0007700.1 pep chromosome:AGI1.1:7:589667:591592:1 gene:ORGLA07G0007700 transcript:ORGLA07G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A [Source:UniProtKB/TrEMBL;Acc:I1Q7I5] MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFNGKKLEDIVPSSHNCDVPHVDRTDYQLIDISEDGFVSLLTESGNTKDDLRLPTDDTLTNQIKNGFGEEGKDMILTVMSAMGEEQICAVKEIGAKN >ORGLA07G0007600.1 pep chromosome:AGI1.1:7:584389:585013:-1 gene:ORGLA07G0007600 transcript:ORGLA07G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHRMLPLLVVAVALLPAAAVATNYTVGDEKGWNPDVDYTAWVKKHRPFYKGDWLLFEYQNGRSDVVQVDEVGYDNCDKANAISSYSKGHSYAFQLKEAKDYYFICSYGYCYKGMKLAVTAKKGSASSSSSGSGDSSSSSKSDTASSKSKSSAAASSLANPSYAALLAVAIIFLRML >ORGLA07G0007500.1 pep chromosome:AGI1.1:7:582447:582740:-1 gene:ORGLA07G0007500 transcript:ORGLA07G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAALHHHHSHQIKAPTPTWLIVKAIPPPRDGAKKLAAAAYSPLLLSPSVWQRAQQASVWQASAVAAGERVAGERGGGGCCWCPVAAQLRWRPPPA >ORGLA07G0007400.1 pep chromosome:AGI1.1:7:578091:579587:-1 gene:ORGLA07G0007400 transcript:ORGLA07G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAATRVSTRLRRSTQTHAAYTVHVADRRVIALVTAHPAYSRRWVHTTRWLHHRLLRSGRLLVGLGVQWTPLRRPLHRGSPPPPPATLQLCVGHRCLVFHLAHADAIPAALRRFLADPRVTFVGSGASNDRRMLSAYYDLHVASARELRAVAAMGNASMEAMADRFLGYPGIAKPTNVAMSAWHAPYLSIEQVEYACVDAYLAFRLAVHLCPAPARQPVLRAPPPPPPAPRAPVYHHPLPLGPRVAVLAAPAPRPARHAPVRARAAPPVYRAVARAEPAAAQTHWALVATAVDDDASESEYSSKITDNVRPRVAASDSDIEEEDDDGLSMIHSSSYASDDHVFSSDDFELVGHGLLSSDDEDGYEDFVLGMGALNIDIDDDDDEGYNGNTGSIGILTVQSYNEHSSIGILTVENYDMAGTEEMFVRNGVATLEELEEDDIVTGASTVTVDEGGGGYEAFEGNSQAFDDVEEGGYVEDDWYDEDEEELLDYDTSGGFY >ORGLA07G0007300.1 pep chromosome:AGI1.1:7:573069:573560:-1 gene:ORGLA07G0007300 transcript:ORGLA07G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQWTPPFRRATVRPGTLQLCAGHRCLVLQLARADADADAAVPAALRRFLADERVVFVGYGVRSDCRKLEEHHGLEVARTVELRSLAGMGNTSMQRMAEEHLGWDGVTTKPREVGTSRWDARRLSKEQVQYACVDAYLSFRLAVHVVAAPEPDATSSTSSSE >ORGLA07G0007200.1 pep chromosome:AGI1.1:7:570538:571907:1 gene:ORGLA07G0007200 transcript:ORGLA07G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1Q7I0] MVSDHDDGKPPAKWKKVCVVGAGMAGLAATRELRREGHAVTVLEQAGDVGGQWLYDPRTDDPLGASMAPVRVHSSMYASLRLISPRETMGFTDFPFLPVDGGGGGGGRDPRRFPGHREVLLYLKDFCDAFGLMDAVRLNTRVLRVAMAPPQCVAPAVAGGERKWVVTSVRVGERDDTGVEEEVFDAVVVATGHYSQPSLPTIKGMEAWRRRQLHSHSYRLPEPFRDEVVVMVGCGDSGKDIALDLISVAKEVHLTAKSTEEATTPALSKLLAKYANLHLRPRVEHLCEDGTVVFVDGSRVVADTVMYCTGYVYSFPFLDTDGVVTVDDNRVGPLFEHVFPPALAPSLSFVGVPRKVPAPWFFEAQGKWVAQVLSGRRTLPPVEEMLRAVDEHYRARAAAGLPVKYTHELGGIEPQVQR >ORGLA07G0007100.1 pep chromosome:AGI1.1:7:563630:565036:1 gene:ORGLA07G0007100 transcript:ORGLA07G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1Q7H9] MASCKKVCVIGAGVSGLAAARELRREGLDVTVLEQRGGVGGQWLYDTATDAGDPLGVAGVHSSMYASLRLITPREVMGFSDFPFRPGKDGAGAGEVDARRFPGHAEFLRYIREFCDVFGLMDAVRLNTTVTRVAMAPPRRDGSLRWAVRSKHRGEEAETEEVFDAVVVASGHFCQPRLPTIDGMDRWRRRQLHSHSYRVPDAFHGEVVVIVGCSISGKDIGLELRRVAKEVHLSAKSPEEAMTPAMSKILTRYDNLHLHPQIEHLREDGTVVFVDGTCVVADTVVYCTGYTYSYPFLDTDGKVTVDDNRVGPLFDHVFPPELAPSLSFVGIPAMVVVPLFNEVQARWVAQVLSGRRALPSPEEMARAAEEYNRGREAAGVAKRRTHDILDLEYCDDYGERNCGFPRLEAWKKELMWSSYLTMCDNLETFRDDYHDSDLVAGGLRLHGWISPATTLTQQDDDDKRPHLS >ORGLA07G0007000.1 pep chromosome:AGI1.1:7:550600:552238:1 gene:ORGLA07G0007000 transcript:ORGLA07G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPIMSSDRSSKKVCVVGAGMAGLAAARELRREGLDVTVLEQLAGVGGQWLYDAATDAGDPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGKVVLPVFIEVQARWVAQVLSGRRTLPSPEEMQRAVEEHNRGMEAAGLPKRWTHDMFLDLERCDDYGERICGFPRMEQWKKEIFVSSLSDMVDDIENFRDGYHDSDLVRDALRRHGWTPAEEDDDAHK >ORGLA07G0006900.1 pep chromosome:AGI1.1:7:544581:548814:1 gene:ORGLA07G0006900 transcript:ORGLA07G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1Q7H7] MLLFLLFLLAAGEAAAAAAATTLTATPAKLTQSDREITIRWSGLPDPDGLDYVGIYSPPTSSDRDFLGYLFLNGSATWRTGAGELTLPRLPNLRAPYQFRLFRWPAREYSYHHIDHDGNPLPHGRHRVAASGEVAFDSPSRPDQVHLSFADGVDEMRVMFVCGDGGRRVVRYGPAKEEGEGWKEVAAEVKTYEQKHMCDSPANSSVGWRDPGFVFDGLMKGLEPGRRYFYKVGSNSSGWSDTYSFISRDNEANETIAFLFGDMGTYIPYNTYVRTQDESLSTVKWILRDIQALGDKPAFISHIGDISYARGYAWVWDHFFNQIEPIAANTPYHVCIGNHEYDWPLQPWKPWWATGIYGTDGGGECGIPYSVKFRMPGNSFVPTGNGAPDTRNLYYSFDSGVVHFVYMSTETNFVQGSDQYNFIKADLEKVNRSRTPFIVFQGHRPMYTSSNEARDFAHRQQMLQNLEPLLVTYKVTLALWGHVHRYERFCPMKNFQCVNMSSSFVYPGAPVHLVIGMGGQDYQPFWQPRKDHPDVPVYPQPERSMYRGGEFGYTKLVATKEKLTLTYIGNHDGQVHDMVEIFSGQVSNNNGVPEVIDDTKLSTGVSTKLKIPLFSLEIVGSVMFALVLGFSLGFLIRRKKEAAQWTPVKNEET >ORGLA07G0006800.1 pep chromosome:AGI1.1:7:517902:521296:-1 gene:ORGLA07G0006800 transcript:ORGLA07G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGAGDWPFAADEAYADSSAIFAELGWANGLAVVDAVGELLPPLDPPGELATPPPPPLDLPETPAGSSADGAASSCSTDDADGGKPAAAFTEAASKSLTPGKKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSDDPSVVITTYEGQHSHHTVTFPRAAGFSHIHAMAALAAAPFSAHQQLYSNLQPPPPTMPLAATTPASSSSLLQLPLHCNHELQVVASCGGYPSSSSSPPASVLPVDKGLLDDMVPRAMRHDG >ORGLA07G0006700.1 pep chromosome:AGI1.1:7:513589:514579:-1 gene:ORGLA07G0006700 transcript:ORGLA07G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEIQTAVRLPVVPAPRLFHGGHALNRPRXTHHHRQSSEVTLNPISLSAGTQTAAAAAPCGRREAQELATTAGREERRVAWEPATAGRGRRRVAQELAAAAGCEGRHATQEPAAAGRGRRHAAQVEDGAGARQQVFGCC >ORGLA07G0006600.1 pep chromosome:AGI1.1:7:509123:509578:1 gene:ORGLA07G0006600 transcript:ORGLA07G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAASDDATLGRHLACRLVQVGISDVFAVPGDLNLTLLDHLIAEPGLRVVDCCNELNTGYAANGYAWARGMGTCTVTFTVCGLLLHGRRHRSHWFWNQETGDEAGAAFRNQERASASPSLPSAVARRSGSVVVGGGRALPSSPSSETTAS >ORGLA07G0006500.1 pep chromosome:AGI1.1:7:497057:498159:1 gene:ORGLA07G0006500 transcript:ORGLA07G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARSLLAGRMVAAARRAFRSRPLHTITTTTTTDAATAIATKEQHKVVSRLDVMEDISFPYMTREMAAVLRRSRVAAVEHNNFNIRQLANPYHGPADGDILYDRAWINNLALLFTLSTLFGAFSTLAKLKQTPTVDQCVQTQTS >ORGLA07G0006400.1 pep chromosome:AGI1.1:7:494148:496682:-1 gene:ORGLA07G0006400 transcript:ORGLA07G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLLRRALQRLLPHRTRSFCSSRLSSSSSSPGEVMNDNQVKSIRRFDEFEAMAQREIVEDVEKVRKLKSEDRNYLNRLLTSWGVPNGEFRDKLMWGGNVAAIFIASSAVGTLSAKIDGSA >ORGLA07G0006300.1 pep chromosome:AGI1.1:7:481130:482468:-1 gene:ORGLA07G0006300 transcript:ORGLA07G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLEKRIFARAMQTWAEHMITLSEGNVEMLTKLTKSMSTIIKSLEKPKGIAGVLDNIGYRLPEDKKGKFTMLVIILIPTVGYFFLRLVAAYEKVFGKIDVLERVRERHDLPGLPVATVNDHIQKTRQPY >ORGLA07G0006200.1 pep chromosome:AGI1.1:7:477964:480914:1 gene:ORGLA07G0006200 transcript:ORGLA07G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAAAGRAVRYGVLSKALPTRLHINSGRGLDISEAEGPLDRYIQVLMVNLQNERNLGKVRSIEKILSKRDMVRESSESLILERMKKIGTHNTKHAWMVAGVTISGYLFGAAFVALLTESQLPKREEEKEA >ORGLA07G0006100.1 pep chromosome:AGI1.1:7:469651:476266:1 gene:ORGLA07G0006100 transcript:ORGLA07G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYKDDPHSQEKIIYYLARLKTKDILRDSGNGLVLSRDWAKKICLALGQKNSFSRGFDKILSLLLASLRENSPVIRAKALRAVSSIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNTDTTRAFVEIISRVNDEESSVQDLVCKTFYELWFEEPTGSHKHLVADGSSVPMEIAVKTEQIVDMLRKMPNHLPLITIVKRNLALDFLPQSAKATGINSSFMASLRKRCELICKRLLERILQVEEGAASETEVHALPYVLALQAFCIVDPTLCTPATQPFQFVETLQPYLKKQVDNKSTAQLLESIIFVIDAVLPLIWKPPQSVVIELEQDLKQMIVRHSFLTVVHACITFLLIYFFLFTLINRCLCALSKAADRGPRLLEYLVNIFYKHLSGSNSSNSDSQLLGRSLFCLGLLLRYGSQLMAASENQLDFPKIISLLKKEYLLKDDFSLKVRGLQALGYILIAKPDFMLRKDISTLIESSLSSVVDYRLKIQGLQNLFEYLRDAESQLNAESTGKPTPNATNSGSEVPVAAGAGDTNICGGIIQLYWNSILERCLDINDQVRQTALKIVEIVLRQGLVHPITCVPHLIALETDPLEGNSKLAHHLLMNMNEKYPSFFESRLGDGLQMSFRFFESTISNHDMVATNMKSNPIAFVKPGISRIYRLIRANRNSRNKFVHSIVRKFEGDNRSYPTISFLMYCAEVLASLPFTSPDEPLYLIYDINRVIQLRAGAVEANLKNWTSMYQQQEMVGMPRDTGDVMHEPGGCSDQNLVDVSQMMLGNTCSTPVVNMAKLQEDCHGAIALQLLLKLKRHLKTVYSLTDARCQQAFSLKDPPKSGETISKQNIPLNISNTNTSLPSCPQDAACVYQDFKTVLREDTVDYGMYTVSAQKKRPTPRSSSRVRRPAAVTRGRGGGGGGGDEDTDDEDWTGGGARVLDLSAQGGRVTRQRVQV >ORGLA07G0006000.1 pep chromosome:AGI1.1:7:457790:460109:1 gene:ORGLA07G0006000 transcript:ORGLA07G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRISQLGARLLRENRAAGSLASSTTSYYRGQLSRRFVPTKNILFSTATTSSDRDDSSQSKEKISVTFVNKDGTEQTISVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVNYYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGMRLALPAATRNFAVDGFVPKPH >ORGLA07G0005900.1 pep chromosome:AGI1.1:7:454036:456066:-1 gene:ORGLA07G0005900 transcript:ORGLA07G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar GTP-binding protein 1 [Source:UniProtKB/TrEMBL;Acc:I1Q7G7] MVQYNFKRITVVPLGKDFIDIILSRTQRQTPTVVHKGYAISRIRQFYMRKVKYTQSNFYEKLSTVIDDFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKIAKDYLRLLKYGDSLYRCKCLKVAALGRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTRTLLICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLENLSEEDMKLVMEMKAEAMKTIGHGGEANEEGVLLTMSTLTENGVMAVKNAACERLLDQRVEIKMKSKKINDCLNRFHVAMPKPRDNKERPPCIPQAVLDARASADAAKEKKKLERKLEKDLENENGGAGVYSASLKKHYLLADDEWKEDILPEILDGHNVADFLDPDILQRCEELEREEGLRLEEEAAQEAFQIDGHELTEEQREILGRIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRTFTTDRMGRQLSSMGLDPSAAMDRARSRSRGRKRERSLSRAASDGDDMDIDGQQSSKKLRALSRSRSRSKSRPPEEVVPGEGFKDSAQKKKAIKKAKDSVRNRNKEARRGEADRVIPTLKPKHLFSGKRSIGKTSRR >ORGLA07G0005800.1 pep chromosome:AGI1.1:7:450471:452551:-1 gene:ORGLA07G0005800 transcript:ORGLA07G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWTLRPAAAAAGRAIRRAAYHSDGAPPPRKLRGPRFSSFNRNNHEIDALLEEVKNTPVNMITDDLMIRTVRHSFLARQEILYQNVLRSWVVVAAVLTGYSWGYNKFAESSTVGSEPPKEHEGK >ORGLA07G0005700.1 pep chromosome:AGI1.1:7:438607:446192:-1 gene:ORGLA07G0005700 transcript:ORGLA07G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRERDVEEETRNQMMQNLFGDQSEDEEDDDEAVEVVDEDDHPHPQQQQLLRYQVVDDDDDEDDVRSEGHARSGYHSEEVEGEADNEGEGEAEGEGESEGQVGMEEESEAEAHQADLDQGESDGEKVQSSPEREFSDRVMQNDAAGMDSEDGGYQQRPVASGRRGVVASESEGSEDDYYAGRGHEDEEPHQTRKTPSSPVEEERDHEVVRDVFGESDEDGPAPYRDQHEIDEDSHRSPMEDEGHYEKDLQPEDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLKQLPAQPDRMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSCESNARIVKWKDGTMQLLIGNEVLDISVHEAHHDQSHLFLRNGKGVLQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWIESKDPEKVKQEKERALGQNIRAHSILQRKKEKVSRKYTQPARQRRQLSPGFLEDALDEDEEPDHQYGSRRMPARSRFEDELEAEALAERRIVSAKKSSMGRNIPRKPSFPARPPRRQANEYSESEREESEYETEGEDIEHSPTQGREDELDEEDEYEEDVEEEAAMSDEEIEEPKRRRESGGGSASQRRKEIDSDDDSPPRKQQAVHRRKAVVFDSDDE >ORGLA07G0005600.1 pep chromosome:AGI1.1:7:436301:437948:-1 gene:ORGLA07G0005600 transcript:ORGLA07G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGLCTRRVVVDARHHMLGRLASLVAKELLNGQRVVVVRCEEMCISGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSRIFWRTVRGMIPHKTPRGEAALANLKAFDGVPPPYDRTKRMVVPDALKVLRLQPGHKYCLLGQLSKEVGWNYHDTIRELEEKRKEKAKVAYERRKQLTRLRVKAEKAAEEKLGSQIDILAPIKY >ORGLA07G0005500.1 pep chromosome:AGI1.1:7:428163:433908:1 gene:ORGLA07G0005500 transcript:ORGLA07G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKYLQMLGEERRPELHRQQAGCVTGIMQAFDRRYPLAAHHSHNRLLPPAHALSSSPSVGEERTRYSSQIVLDKNMSKSWIDNQRAPLTVELSQGSYSSSSCSSSSSLDGNRSGQQDLSSTDRMLFPEKPFKSSPKLKSSSDSDCGVDYYLDDALAKLSAQPSYPTLGIRNLVKDSIYRDTRDFSIRTFTKEAEKDHLFNCGDPPRILNEPPNSAIQEKNKGTMDIDESLRVLAKLRNPSESVQQPRLSYDAPRFSCEGRESASKLREVPRLSLDIKESPLRNREIDVRPKPSMTDEDRRSSISKDYSPPLETQQEHNACKRLPSVVAKLMGLEGLPEHKDNTAISSQVSKSVTERSEEPTMLRPLSLSSQNEATPRQQRNLDATIKNVPNSKFPVETAPWKQQEKIVLPRKLPKGSKGAHGKEQHAASVYSEIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLQNKKREEPSMPKIYDGDHDNGDVTDVNLRLNSTSNTKQAPEGTPSFTTEEESTTERSFKSPIVIMKPAKSADLLSDVTEDSAVGPLGGLSELPQLRTANSADKRKSSKKVTREAVEQHTKSSSRAPAPQPLASFDKRANGRNEEISRKQKSTSQLMTESSARRQQMQRENNGSLLKHKNSTSPRVQQKKPDSERRARPPIPSPDSSKNQRQSVERSHLDSVSPRSKFRRKPAQAQGEDFHQNGVSRRTRSLNQEGNDMSARSDGSISVASELDVEVTSTDRSAEVNILRSQHGTQTPSGRNPQKVKTSYDANKDLPSMDPAATITERPSPVSVLDSSFDQEEFFHTSKTTNSSNVDDEHHPSPSEESCKPSEKKSTELPTQPKNSKLANIASLLEKLQQLSVNKDEEAPPVDHIAFLCETPSPDHRYVSEILLASGLLMKDLGSGLSQMQLHTSGYPINPDLFFVLEQRKSGWTSKPEGIHQSRSTTKPDDPKRAHRKLMFEAVNELLLDKFEKETTLITGVAARDPVMSSGQQLVKMICSGIECLKTERSRMCQEDSSVIPDAEILNRLEGWSPSFIRRELPGMVLEIERSIFKELVDEVVRGESADGQPAKAGRRRRRLFA >ORGLA07G0005400.1 pep chromosome:AGI1.1:7:418590:422658:1 gene:ORGLA07G0005400 transcript:ORGLA07G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGEPRGKKSKSNKGNKHKQQDSLSAVSDASAVVTHTDLPDESGNGCTSEEGATTSTAPALEAEKVDKREAPAASASVEGTQKGKKSKDKKRKKHKQHGSPSAVSDASAVVTDTDLANESGNGCTHGEGVLRDADVASSRSGNDLTPDVDRTLGKSKASKRQCDATTSTAAAPEAEEMDEREAPAASASVEGTRKGTRKGTKSKDKKRKKHKQQESPSAVSDASAVVTDTDLSNEPGTGCTSGEGALRADDVVASSGHDPTPEMDRTPGKSKTLKQRRGGATSTLAVPEGDKEVDEQEAPGASASVEGAAPKGKKSKSKKQKKQSPSAVSDASAVVMDTDLANESGGGCRSGEGALQDADVVAIPRDGQEPKCPEVNSAEDLVAGKKGNKDNNSQLCSSLHESSIERKRRKNRDRRRRKKENANRRSNVQNPSLLPGAGEVVSVATADINNTPGSKCKNPSQLVADEVGLVMTADGNISLGSECKKSNKKMKRNQTNVPEAPSVQRMDLGETASVGVMDGECEVQAVLSDCQSARSDRSNVAQAHKENFRHIYSPRGSLIRFRRKKLLILDINGLLADINQDHHNAHLSHAKVRGKLVFTRPYCDDFLRFCFENFELGIWSSRLKANVDSVVNIIMKKDMKQSLLFCWDMSKCTGTGFKTLENKNKPLVLKELKKLWNKEDPDLPWEQEEFSPSNTLLVDDSPYKALGNPPHTAIFPHPYSYLNKKDDSLGPGGDLRVYLENLATADDVQRYVQEHPFGQPSITKSDRHWNFYVKILDKLEKPFA >ORGLA07G0005300.1 pep chromosome:AGI1.1:7:409227:411488:-1 gene:ORGLA07G0005300 transcript:ORGLA07G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPPVPQKDSDWEIRVAVLLSLLLQVILIFLGPMRKRTSHPVPRFAIWACYLLADWVADLALGLLLNNLGNIGSSSSHLSAGAGGGPPIFAFWTPFLLLHLGGPDTMTAYSVDDNELWRRHLIGLLFELFSALVVFSCSIRSNPMIPATALIFVVGVIKYGERTYSLYSGSVDGVIAKILRAPDPGPNYAKLMTVFGGKRNGGLLVEITIANGEASKAKEVLQQGNEVRLVETTKSLEAIAYEFFTMFRLLYVDINLSYKERRISQAYFLDRRDMTADKAFEVMEVELNYLCDMVYTKAPVSHSSAGCVLRFIRTTCLVVAIVLFVLLDKTGILPVDRGITYALLLGGLALDVADILMLLCSNRTIVFLEQGEKKMVWPWLARVLRPRTKRWSERTSQLNLICYCLGKPKEQEGRRRQCCRRKTIPPSVMRFLIWVANKVGVRETLDDFFFIQRKPVTMVSVIGRRGRWCNCKEGDERAPTTIDALAYVFVGLQREAIKVRDSEDXSLMKKLCSYRGERTLRDDEELVRDIQMEPTKATREELNLRLTEEESSSSTTKKKEAEGITHDVLQLADKKKKEIDDLVKEKLDGVLRNSIEREFDESLLLWHIATDLCCHRECKGPRTRAPRRAASSSRRRRGTPTTTTPAGCCFPSTRPRSRPMXRATGANLCCSTPASWPRCSSSSTTTPRGGWWPECGGRCSCMRPTSARGAHTCASSSPWYGSSWRTWGWATCIGSASWPVTPRPSSSSMIN >ORGLA07G0005200.1 pep chromosome:AGI1.1:7:383449:389317:-1 gene:ORGLA07G0005200 transcript:ORGLA07G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVAAIVFSPKGKLYEYATDSRMDKILERYERYSYAEKALISAESESEITLPQLTTCTASRSTHGICFQYCLMSKTLGNWCHEYRKLKAKIETIQKCHKHLMGEDLESLNLKELQQLEQQLESSLKHIRSRKSHLMLESISELQKKERSLQEENKALQKELVERQKNVRGQQQVGQWDQTQVQAQAQAQPQAQTSSSSSSMLRDQQALLPPQNICYPPVMMGERNDAAAVAAQGQVQLRIGGLPPWMLSHLNA >ORGLA07G0005100.1 pep chromosome:AGI1.1:7:379213:380262:1 gene:ORGLA07G0005100 transcript:ORGLA07G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDQQPLLHDGGDQKPPPEGAARRFRRCRTAPSSEPPPTDKDNSSAADAPPKTLFTGGGRPSFRLVGLLLAAYLLLGTIAFYLAMDHMSGTRTTRALDALYFCVVTMTTVGYGDLVPASDAAKLLACAFVFAGVAVVGTFLSKAADYLVEKQEALLFRALHSHTMVRAMEMNKVRYKLYTAGLLLVAAVASGTVVLWKVEGMRAVDAFYCVCATVTTLGYGDRSFSSEGGRAFAVAWITVSTVVVALFFLYAAELYTERRQRELARWVLRRRTTNMDLEAADLDGDRRVGAADFVLYKLKELGKISQEDISEFLDEFDNLDADHSGTLSPADLAAAQPTPDPPPSLR >ORGLA07G0005000.1 pep chromosome:AGI1.1:7:376092:377075:1 gene:ORGLA07G0005000 transcript:ORGLA07G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVTMASRAGTMEARHDKWMAEHGRTYKDAAEKARRFRVFKANVDLIDRSNAAGNKRYRLATNRFTDLTDAEFAAMYTGYNPANTMYAAANATTRLSSEDEQQPAEVDWRQQGAVTGVKNQRSCGCCWAFSTVAAVEGIHQITTGELVSLSEQQLLDCADNGGCTGGSLDNAFQYMANSGGVTTEAAYAYQGAQGACQFDASSSASGVAATISGYQRVNTNDEGSLAAAVASQPVSVAIEGSGAMFRHYGSGVFTADSCGTKLDHAVAVVGYGAEADGSGGGGYWIIKNSWGTTWGDGGYMKLEKDVGSQGACGVAMAPSYPVVSA >ORGLA07G0004900.1 pep chromosome:AGI1.1:7:374476:374975:-1 gene:ORGLA07G0004900 transcript:ORGLA07G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQGPTPASPTALAMYLGESLVRVASKPHWFSVDVRVQPYATVDADGRKIISKGGTGHWVIDSESFSFDFLMESLRVAFKWGSNQSPSVWYFNKNLGEDVRLTGDTDLPDIFEMYATEASFHLLVAVLE >ORGLA07G0004800.1 pep chromosome:AGI1.1:7:370816:372043:1 gene:ORGLA07G0004800 transcript:ORGLA07G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPSWRDGKATMESPSSIPTLKCACGASAAVQISNTPRNPRRRWLQCGNSGCCFLWIWEDLLNEYAEEMVAYYHAGEYDHMQETIDILCQYLDDEKNEKAKICEVLDAKENELKSTIETLNQCRLECLAMKKQLEEVKFSRARLLYLTLVITVLFACLMFSGSTYAYNSTDIHEQHYWFIAALLAKYLRDGAGYIVTANRLLQVHRHTCITSSSQPLAISKILREEAAGITVEDRXIGS >ORGLA07G0004700.1 pep chromosome:AGI1.1:7:359582:368151:-1 gene:ORGLA07G0004700 transcript:ORGLA07G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLPGFLSVRVLRGVNLVSRDAGGSDPYVVLHLDNQKLKTGVVKKTTNPVWNEELTLAVRNPETPIQLEVFDKDTFSKDDQMGDAEFDIEALMQIVRMDLQDIRSGTVVRTVRPGRQCCLADESHIVWENGQIVQDMLLKLRNVETGVVHLQLKWVNIPENSLPWRVEPRTSGATETLVTTRLQALSQESPNFPKPIKWSRSRVRDGEPPPATADDPGLAVYWGRHKEEGSLREACNTGHYTTVIITFYNVFGYGRYSLDISGHPLAAVGADIKHCQSRGITVLLSIGGQGGGYSLPTNASAADVADNLIWNAYLGGHRAGVHRPFGDDAAVDGIDFFIDQGGADHYEDLARLLNGYNKYYDDLALQVRSDSPSLPQSAVASAASRPLEEQHLFHSSNPSTSKSIILDLRARMRSSSTSSLQKVKTSVKKKSVNPIWHEELTLSIMNPIAPIKLGVFDKDTFSRDDPMGDAEIDLEPFMEVLNMDPENIRNGSIIKTIRPSNQNCLADESHLCWRNGKFVQDIILRLRNVESGELQVQLQWVKIPGRH >ORGLA07G0004600.1 pep chromosome:AGI1.1:7:354129:358645:-1 gene:ORGLA07G0004600 transcript:ORGLA07G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGGRKPLDYEELNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLAMAPGGLGAYSDSRGIPGIRKEVAEFIERRDGYPSDPELIYLTDGASKGVMQMLNTIIRNERDGILVPVPQYPLYSAAISLFGGSLVPYYLEEEANWGLDFVNLRQTVASARSKGITVRAMVIINPGNPTGQCLSEGNIKELLKFCFHENLVLLADEVYQQNIYQDERPFISARKVLFDMGPPMSREVQLVSFHTVSKGYWGECGQRGGYFEMTNLPPKTVDEIYKVASIALSPNVPGQIFMGLMVNPPKPGDISYLKFSAESKSILESLRRRARLMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPKAIDAAKRAGKAADVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMTSFKKFNDTFMDQYDGYSRM >ORGLA07G0004500.1 pep chromosome:AGI1.1:7:348049:352623:-1 gene:ORGLA07G0004500 transcript:ORGLA07G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1Q7F3] MQDQRAGPAKSTEKKRAKLTTVINYKRVETKKSELRVERRRVKMGGDERAVAAPLLQQQQDGGGGDGERRRRRWWWGWWDGEEAAGQLAFAAPMVATSMAYYAIPLVSVMYAGRLGELELAGATLGNSWGTVTGIALMPWLNIRGVLAAKGMQKDKLDTGLSGSLETLCGQGYGAKMYHMMGVYLQASIITSAFFSVLVSLLWFYSEPVLIFLRQDPEVARTATLFLRYSIPAQFAYGFIQCTLRFLQTQSVVTPLVVFALLPLVLHVGITHAFVHYLGFGYAGAGMSTSVSLWLSFLMLAAYVCLSERFKHTWEGFSTEAFRHVLPGLKLAIPSAVMVCFEYWAFEVLVLVAGLMPNSHMSTSIIAMCENTEAISYMITYGFAAAISTRVSNELGAGNVAKAKKALAVTLVLSLLLGVAFLLLLGLGHDLWAGLFSKSDAVISEFASMTPLLIGSVVLDSTQGVLSGVSRGCGWQHLAAWTNLVAFYIVGLPLSILFGFKLGLQTKGLWLGQICGLLLQNAVLLFITLRTKWERLELTMNGKEDGFVC >ORGLA07G0004400.1 pep chromosome:AGI1.1:7:346685:347188:1 gene:ORGLA07G0004400 transcript:ORGLA07G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSIGPALLGYQRYATNIAEEPQPRTDSLKSFFGFLTCIYVHRSLFVIINHSNCLVPKLMKTSDDDERMNLTEEEEAALKVRHQEWMNKFNREYKDEAEKAYRFEIFKSTVRFAEKFKAEQVKEHGYCKCILGTTQFADLTLEEFGHWVDGRTDTFGPPKVTKFD >ORGLA07G0004300.1 pep chromosome:AGI1.1:7:339717:344777:1 gene:ORGLA07G0004300 transcript:ORGLA07G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17370) TAIR;Acc:AT5G17370] SSDIEAIAELPGFYYDPDKNRYFPIRGPIPGAATRRPAPPPPAQPPPPQAAAAGCRKTARQPELVHAREMYGGGVIFSNKRKSTFMRQCQYAQASQPMVWKYKGTALVADKALEELYVTIQTPIGLKESKVLVTGSMNGIVGSFNSKRQGTYLPSFDVILRLHYFNDTLVLIGSGESGGSIHIMDLSDTIDVAMGSMNAYGGNIIGNIIPVASFNRTIWTADCNSDGTQAVIGTNSGAAFFDLERRALSWMYHCKSDILSQQFMQSGNVVLCGLRNGSIFPLDVRQKQHNRPTELASPGTARRTIPLTPRRHNRWRNQADNAKSSRAISMSSAVCSLVVLSSDEHYFLGSSMDGSIKLFDLRLIQKGPIQSYAGHINSHTHLPLVVDPSETLLMSGGEDRMVRIWSIKTGEQIFAQSVAGSLFTALCWPESGCDLHNSSLFGVNHSWGAWMGSRDGLFYVHGT >ORGLA07G0004200.1 pep chromosome:AGI1.1:7:324675:327017:1 gene:ORGLA07G0004200 transcript:ORGLA07G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLEDAEGVDNDFDEANQVMEDDRYESYRVNVGVVNGIDSDDTHNSVYHKLPKTHHVLKKVPDCKHCQAIRFQFESPGFCCRQGKINVMIPIVPDELIRLFTSQVHNDAKYFRKHIRYFNSHFSFTSLGVTLDQQVSTAAGTGVYTFRVHGALYHRLDNLVPGSQGPRHMQLYFYDTEDADALAHRVQRSPDLDINLVRVILRILAHNPYVQTFNRVGSMPNLDDYKIELNTNVTPDQRRYNAPTASQVAAIWLEGDDPMRTFDRHVLVHAKGDKPCYIKAYHGCYDPLAYPLFNPNGETGWNLKMPYDDPNQIPCDVEMDETFEASTFGDVHTNEESTFNDLPDNEDDNDDSSKSGKGKKDKFVTVREYYCFRLQDVVDVLSSGETSDTAVGKRVVLPRSFPGGDRDMQRRFLNAMALVQRFGRPDYFITMTCNPYWDEITEHLEPGQQPQDRPDLVTRVFRAKLRDMLDLIVKKKYFREVQAYAHVTEFQKRGLPHEHILLIMKSGSKLTTPNEYDKVICAEIPNKAKYPELHRLVIKHMLHGPCGALNRNCACMVDGECRFDFPWQFNQATQQGKDSYPLYRRRDDGWRVKIRGAVLDNRWVVPYNPGLLMRYNCHINVEACASIKSVKYLYKYVYKGHDCASFSVDPSGEINEIQ >ORGLA07G0004100.1 pep chromosome:AGI1.1:7:278778:282880:-1 gene:ORGLA07G0004100 transcript:ORGLA07G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVVSTGAGKDYPGKLTLFVFFTCVVAATGGLIFGYDIGISGGVTSMDPFLKKFFPEVYRKKQMADKNNQYCKYDNQLLQTFTSSLYLAALVSSFFAATVTRVLGRKWSMFAGGLTFLIGAALNGAAENVAMLIVGRILLGVGVGFANQEMNGMQSVPVYLSEMAPARLRGMLNIGFQLMITIGILAAELINYGTAKIKAGWGWRVSLALAAVPAAIITLGSLFLPDTPNSLIDRGHPEAAERMLRRIRGSDVDVSEEYADLVAASEESKLVQHPWRNILRRKYRAQLTMAICIPFFQQLTGINVIMFYAPVLFDTLGFKSDASLMSAVITGLVNVFATLVSIFTVDRLGRRKLFLQGGAQMVVCQVVVGTLIAVKFGTSGIGDIPKGYAAVVVLFICMYVAGFAWSWGPLGWLVPSEIFPLEIRPAGQSINVSVNMLFTFVIAQAFLTMLCHMKFGLFYFFAGWVVIMTVFIALFLPETKNVPIEEMVLVWKSHWFWRRFIGDQDVHVGANHVSNNNKLQP >ORGLA07G0004000.1 pep chromosome:AGI1.1:7:275239:278200:1 gene:ORGLA07G0004000 transcript:ORGLA07G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFFCFSSSTSTTHHRAKEHFLPAEQVILGASQKDTMLAVSQMDSQDQTVKSNAGSASPNQLTDVNDHSNVSRQYDTSPSSHQECWRSEDLNRYACSDDSKESGHLKKSLSLGNMLHKDHDHHFSEGAECDIIDCDHKGHCSSLKSNSAVGDSAKLNTKGNENAFDALSDLVSPSGDHVVDSDSHYMCYDQTKFPRSQSAIFQNNSNCGTEGSADSEILGPRCRSYEDLCSAVSEKVSEKVDYLNSVEPHHSKSNLDVQCAGPSSPDVYERMNFEDNGSIGCSDAADGGQRSTASAEESFVRDGVLSHEYWDNKHVSGHQSVDPVAPYYSGTGDGSHHSNNDRGINEALDQERKDNLWNRDSTPYHKSLVIDASDLKLCDSKDISEELKHNRTGINDNQYFDVDPDELSPRTFSIKRIEDWINQIDIDDDTLVEEQGESSNSVLTKYNEPVAGVPAVRPDAKSPLGMEIAYTYISKLTPTSSSAQLGNLGLVAIPRLSAFLGLRLLNLSGNSIVRITAGALPRGLHMLSLSKNNISTIEGLRELTRLRLLDISYNRISRIGHGLASCSSLKELYLGGNKISEVDGLHRLLKLKVLDLRHNKISTSKGLGQLAANYSSLEAVNLDGNPAQKNVGDEHLKKYLVGLLPNLGFYNKHPIRASGSKEVSDRHTRKISSSHRSDRSGRSDRKSSRLVASTSSYKAQSSRHAHSGHASSSFLKNPRGRSMAVAASGPRLMEYGSAGDV >ORGLA07G0003900.1 pep chromosome:AGI1.1:7:265379:272118:-1 gene:ORGLA07G0003900 transcript:ORGLA07G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKQSGSPLLGTLKMKSVRTILTHTYPYPHEHSRHIMTAVIIACLFFISSDNMHTLIHKLDNNIKWWSMYVCLIGFFYFFSSPFLGRTIQPSYSNFNRWYVAWICFASLYHLPSFQSMGVDMRMNLSLFLTIYFSSVLFIIAFHIVFIGLWYIGLVARMAGTRPGIWTIFQNCTVISIACCVFYSHCGNLAVHKSKSFSRNSDPNLLAFLENEKGTTWISNFLRMNELKDQICSSWFAPVGSASDYPLLSKWVIYGELVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHPSTVLEEEKLKRQMKPDFLDMVPWYSGTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKRTTDETQNDDLLYDYFNEREDLWFDFVADTGDGGNSSYTVARLLAQSSIQTVIGGSMHTLPRGNLLLIGGDLAYPNPSSFTYEMRFFSPYEYALQPPPWYRAEHIALDKPEVPLGISKMKDYDGPQCFIIPGNHDWFDGLHTFMRYVCHKSWLGGWFLPQKKSYFALRLPQGWWVFGLDLALHGDIDVYQFKFFAELCRNKIGENDSVIVMTHEPNWLLDWYWKETTGKNVSHLIQDYLNGRCKLRLAGDLHHFMRHSANQIDNPTSVQHLLVNGCGGAFLHPTHVFKNFEQFSGATYECKAAYPSFDDSSGIALGNILKFRKKNWQFDTIGGFIYFILVFSMFPQCNLGHILNEETWSGRLGSFSNTIWSALLYIFEHSYVSSVGSLTLLLASYSFVPSKLSRRKRAIIGGLHVLAHLTAALLLMLLLELGIEICIRNHLLATSGYHTLYDWYRSMESEHFPDPTGLRARLEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLMMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGNLEIFTLAVDKVPKDWKLDPKWEAEERRPHQLSHHRKHPSKWRSSSSPDPVTSVRVVDHFTISRTRTSDPNTSC >ORGLA07G0003800.1 pep chromosome:AGI1.1:7:263217:264647:-1 gene:ORGLA07G0003800 transcript:ORGLA07G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGDGMEQKLEKLMSAFHDHQEGNKAVRHEKELEELFSFLREHEAAFSQLPGDKKDDLDALLRNIEGVLELCKKPSSTTPEEEEKKKKKKKMGDCIPFKSSSRPPDHKDADADADAEPTPSVVAPLLKQARDILRDSSSSAPAGAGAGPNKKEVLYEWTTSYVDEERLYGWDDEAKEVADALAGPEEDDDDKEKLFRAAGIFGIHGSGKTALAQKVFVHDRIKDTFPLRLWVCVGPTPPDDDKQQQYEVKFSLLYRMLDNLGLDTYKVEGVVNASEAVKKHGGDGDSDAAKESKIGVLLFILHVALAKTSYLIVLDDIRAYDPWYTNLALPPPPHGEWSDRLGYGLPKLKKSAVLVTCRKEEHARAMVRTGRVFHPPLLAVADAWKLFEREYLEAKKKQVGYNVKDDMLYNDLKVVQEEMVGKCLGLPVAILEAAKGFAQYCTYVDDDDAKTTQPTTAKGADAGDPADHAAA >ORGLA07G0003700.1 pep chromosome:AGI1.1:7:257008:259449:1 gene:ORGLA07G0003700 transcript:ORGLA07G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPPVPQNDSDWEIRVAMLLSLTLQILLIFVGPMRKRSSHPVPRFAVWSCYLLADWVADLGLGLLLNNLGNIGGGNGSSSSSSSSSISHLSAGVGGFKRGPGGGSTNNTSSGGGSPPIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLLFELFSAFVVFSCSVKSNPMVPATALIFLVGIIKYGERTYSLYSGSVSGFRDKILGEPNPGPNYAKLMTEFDSKKNAGLLVEITIADGEASKAKEALEEGEEVRLVKESNKSLEAMAYDFFTMFRLLFVNLILSYKERRISQAYFLDRHDMTAGKAFEVVEVELNFIYDMVYTKAPVSHSSAGCVLRCVGTACLVIAILLFALLDKTAILPVDRGITYALLLGGLALDVAAILMLLCSNRMIVFLEAKHMAWLSRVARAVRLQPRRWSERTSQLNFICYCLGKPKEQEGRRQCCKRKTIPPSVMRFLIWVADKVRVRETLDDFFFIQRKPVSCSHIDNNNNKMNHLCCWHKEEKPHVDVLTYVFDRLKKEAQKFKGSTDYDLMKKLCGYRGEGTLLDDEGLVRNIKMELTKATREAELKKKDNSSSTNKEEEMDESEYLVEKMVKEKLDGVLRNSIEREFDESLLLWHIATDLCCHRECKEPRMHDTNGLMSISETLSEYMLYLLVRQPEMLLATAGIGLLRYRDTCAEARRFFKSAEAWDPNHDDARRMLLSVNTSKKPADVKGDRSKSVLFDACILAKVLQELDDDTMWRVVAGVWREMLTYAAGKCHGSTHVRQLSRGGELITLVWFLMAHMGMGDMYRINEGDAKAKLIVHDQ >ORGLA07G0003600.1 pep chromosome:AGI1.1:7:248626:253183:-1 gene:ORGLA07G0003600 transcript:ORGLA07G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:I1Q7E4] MSTRATRPGMLHQKENAADAQAGKRQRTAAGSAARAPLSANAAPPAPDPAIEFAGRDDVDALLNEKMKGKNKMDYKGKSEQMMEYIKKLRACIKWLLEREDTNLAEIGKLNGLLEAAEKHHSEIVAQLKSAIEESKAINEELQRQYASLEENLKRVEAEKLDALRSFGDEKEARIAVEASRNEHLEDLRRIKLEEKRLNDQIKMLQDTNKRLQEYNTSLQQYNSNLQADATKNGETIAKLQKEKNTMVETMNGLKDHANSVKMQLDLAKSSQNEALKQKTDLLKEVDNLRGELQQVRDDRDHKLAEIHSLLADVSTYKEMTGKSVAELDNAMTRSTALEETCSSQAERIKTLELQLASANEKLKRSDLTTMETMTEYEKQKRMLEDLQLRLEEAEQQILDGENLRKRLHNTILELKGNIRVFCRVRPLLPNESGAVAYPKSGENLGRGIELTHNAQMYSFTFDKVFEQSASQEDVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGNPELHDQKGLIPRSLEQIFQTSQALISQGWKYKMQASMLEIYNEAIRDLLATNRTTVQDGGASKYSIKHDANGNTHVSDLTIVDVSSINEVSSLLKRAAQSRSVGRTQMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEMSSTGESICSLRFAARVNSCEIGIPRRQTQVRSLAQG >ORGLA07G0003500.1 pep chromosome:AGI1.1:7:247378:248132:1 gene:ORGLA07G0003500 transcript:ORGLA07G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like 1 [Source:Projected from Arabidopsis thaliana (AT3G01440) TAIR;Acc:AT3G01440] MATYLQSAGLTAATASTSRLLRPTPRRLLLLVAACSTGGRRSACLSVGLAAAAATIFQHHPACAATDDEPANNGWWLTEFPLPVPKIVNKELNNGETGSRTFVRNGIYIADIGPSYAAHAYRLRSTAFDLLALEDLLGNNADRANYVTKYLRLKSTFMYFDFDKLISAASDDQRPPLLDLATRLFDSFERLQKACGTKDDTQIGSSYADTKIILQEVMAKMA >ORGLA07G0003400.1 pep chromosome:AGI1.1:7:244888:245373:-1 gene:ORGLA07G0003400 transcript:ORGLA07G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRWCYVGKATKIFFAVLALLALIGVVLAFRALLHRAKSRASSSSSACAAADECQPILPDTVPQPSMPSTAATTPPPPHQYPTFPPPDAAMPMPMPQPPPPLQPPPPAIAQPPPAFASPPPPDALVPPPPPPAAPALVTPPPALPSAPPPAPEAPSPTAS >ORGLA07G0003300.1 pep chromosome:AGI1.1:7:241484:242967:1 gene:ORGLA07G0003300 transcript:ORGLA07G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEAYELDASTIPDHHLLDLDSTFAAIVDRVVFNHSGPGIKSMSLAHTRYDTDGDRRVTAWLDRLASREHHRLERLDVNIGAALHTPASLFRCETLVELRLVVHAAARGLRLDVDGAVHLPQLRRLCLEHAGFRSSTQFQNLIDGCPLLELLHLRFTAVARREDTVGIEIRSPSVRRVVLEGCGGYGMVPFEVSAPNVEELVLSGRNMVAVEKGGVRRLSARKVSLLMDDKLWWYNVFAPFHHFMAFLNVGTNMSRIMAGFHGVLELAISGWCIEYLSKIVDSMNLPDWGIEVLRVEGMWPNQGQAGVVLHLLRSSPCLRNLFITNELEHPREISIDENREQYPATPEFLFDAVPGRLTHLRRFFMFNFTGNRNEISIIKFVLGSSSISINPDQFGVTDYLGNDWSSTHLILASL >ORGLA07G0003200.1 pep chromosome:AGI1.1:7:232790:233507:1 gene:ORGLA07G0003200 transcript:ORGLA07G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRLMVTKKQQAALLAVVAVAALAQVAAAAVHPVGGNGAWDTTGNYNAWSVSQKFSQGDSILFTYPSSHDVVEVPKASYDACSPANALASYTGGSTTVKLDAPGKHYFICGVPGHCAAGMKLEVTVAAATATKPRHKKGAAPAAAPAMPPAVSSPTEEMPAVTSPTGSPAPSSASAASTIAINVAATLAAGMALAFLAM >ORGLA07G0003100.1 pep chromosome:AGI1.1:7:220005:221122:1 gene:ORGLA07G0003100 transcript:ORGLA07G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q7D9] MAAGEARAQLQYGFYNTSCPGVEEVVRSELKGIFSNDTTLRAGLLRLHFHDCFVRGCDASLMLNSHNATAEKDADPNLTVRGYEAIEAVKAKVEATCPLVVSCADIMAMAARDAVYFSDGPEYEVETGRRDGNVSNMAEALTNLPPSDGNVTVMTQYFAVKNLTMKDMVVLSAAHTIGVAHCTSFSKRLYNFTGDGDQDPSLDPAFAKQLAAVCKPGNVASVEPLDALTPVKFDNGYYKSVAAHQALLGSDAGLIDDSLTGAYVRLMTNDTNLDTFFADFAVSMINMGRVGVLTGTDGQIRPTCGIYVD >ORGLA07G0003000.1 pep chromosome:AGI1.1:7:214199:217304:1 gene:ORGLA07G0003000 transcript:ORGLA07G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q7D8] MAADHELRAAAVVMVVVVVLGMVTTSSAHVFVGAYNTTCPNAEDIVYKEMTSILAKSPELAGPVLRLFSVDCFVGGCEGSILLDSTPGNKAEKDSPLNKGVKGYEVVDAIKAKLDAACPGIVSCADTLALAARDVVRLTKGPYIPLPTGRRDGNSSNAADVAANSPAPGATVNDLLTIFAKFNFTAKDLAVLSGAHTIGKAHCSAFSTRLYSNSSSNGGPTLDANYTTALRGQCKVGDVDTLVDLDPPTPTTFDTDYYKQVAAQRGLLATDAALLLNADTKAYVLRQANATSSDEFFADFIVSFVNMSKIGVLTHSHGEIRHKCSAVNPPSPPSSAAASMLATSLAGSLLFLLAGVLMLLF >ORGLA07G0002900.1 pep chromosome:AGI1.1:7:207707:208756:1 gene:ORGLA07G0002900 transcript:ORGLA07G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q7D7] MAAAAAARISSMASQLRLSWLMVMLMLVASNNNAAAAPPAAAAGQLRTGYYRETCPHAEEMVFRETARIIRASPDLAAALLRLHYHDCFVQGCDASVLLDSTPANAAERDSDPNKSLRGFDSVARVKAKLEAACPATVSCADLLALMARDAVVLAKGPYWHVPLGRRDGRSSTAASCGGQLPPLCGNVSRMVDSFAAKGLDVKDLVVLSAAHTLGKAHCPNFADRLYGPGADPPLKLDGAYADRLRKQCKEGAPPYDGNVTAEMDPGSFTRFDSSYFRQVARRRALLRSDACLMDHPFTSAYIRLAATGRYDGHFFQDFAHSMVKMGAIGVLTGDQGEIRLKCNVVNST >ORGLA07G0002800.1 pep chromosome:AGI1.1:7:190266:191372:1 gene:ORGLA07G0002800 transcript:ORGLA07G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q7D6] MAWRNSGRVKVMNRRSSRMAVMAAAVLAVCSFAAVTMAQLEMDFYSKTCPNVEEIVRREMEEILRVAPTLAGPLLRLHFHDCFVRGCDASVLIDSTAGNVAEKDAKPNLTLRGFGAVQRVKDKLNAACPATVSCADVLALMARDAVVLANGPSWPVSLGRRDGRLSIANDTNQLPPPTANFTQLSQMFAAKGLDAKDLVVLSGGHTLGTAHCALFSDRLYNFTGLVNDGDVDPALDAAYMAKLKAKCRSLSDNTTLSEMDPGSFLTFDASYYRLVAKRRGIFHSDSALLTDPVTRAYVERQATGHFADDFFRDFADSMVKMSTIDVLTGAQGEIRNKCYAINI >ORGLA07G0002700.1 pep chromosome:AGI1.1:7:178915:179940:1 gene:ORGLA07G0002700 transcript:ORGLA07G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHDYDSNSNPPLMSTYKHLFVEQHRLDMDMGAVDVDECELPVIDLAGLMEAEQVCRADMVRAASEWGFFQVTNHGVPQALLRELHDAQVAVFRRPFQEKVTERLLGFSPESYRWGTPTAKCLEQLSWSEAYHIPMTTPRPSTSIRARAVIEEVSRAMYELAQKLAEILMRGLPGAGEGETMVTTREETCFLRLNRYPPCAVAMGGFGLCPHTDSDLLTIVHQQQDTVGGLQLLKGGRWVAVKPSPSTLIVNVGDLLQAWSNDVYKSVEHRVMANATLERFSMAFFLCPSYHTLIIPSSSHVHDDDAHYRSFTFGEYRKQIMEDVRSTGRKIGLHRFRTR >ORGLA07G0002600.1 pep chromosome:AGI1.1:7:156617:159518:-1 gene:ORGLA07G0002600 transcript:ORGLA07G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: DDRGK domain (InterPro:IPR019153); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G27120) TAIR;Acc:AT4G27120] MDGGGGMLGAVVCLLLVFAIFPLLLWRRRSDAAHRLPPQPLQDERVLRGGPAPGPAARRMRRRPLSTSADASTSRDRDVDDADSDLEEEIQDVPRGSKKKEKKRQDREAQRQAEEAARDSRRTKQDRYAEMRRKKDEEREAQERLMEEEARARKAKEEEAAALEFEKWKGAFSVDAEGTTESDTQDDGQGLLHNFVEYIKNQKCVPLEDLAAEFRMRTQDCINRIITLEGMDRLSGVMDDRGKFIYISTEEMKAVADYIRKQGRVSISHLASNSNQFIDLEPKPQYNEESNLDENAAAGTEL >ORGLA07G0002500.1 pep chromosome:AGI1.1:7:152926:155906:1 gene:ORGLA07G0002500 transcript:ORGLA07G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:I1Q7D3] VVGLQVMEKKVVAVVGPQSSGIGHVVSHVADELRIPLVSFAATDPTLGSSQYPYFLRATHSDFFQMAAVADIISHYAWREATLIYVDNDYGRAALDALGDHLQSMRSKVSYRAPLPPAADRAAITDLLLRVSMMESRVIVVHANPDSGLDIFAAAQSLGMMSSGYVWIATEWLAALLDSDSSPPRKTTALALLQGVVTLRQYTPDSDAKRSLMSRFAARLQAHNTTGGINAYVLFAYDAVWMAARAIDQLLVDGSNVSFSDDARLRAENETGSALRLGALKVFDQGEQLLSKMKTLNFTGVTGQVRFGDDRNLADPAYEVLNVGGTGVRRVGYWSNRTRLSVTAPEQEQNGKKKKQQGEELYSVIWPGETASTPRGWVFPNNGKALRIGVPYRTTYKQFVSKDAGGPDGASGYCIDVFKAAVALLAYPVPVSYVVVGDGVKNPSYGELVQRVAEGELDAAVGDISIVTNRTRVVDFTQPYVESGLVIVTAVRERASSAWAFLKPFTGEMWAVTGGFFLFVGAVVWVLEHRSNTDFRGSPRKQLVTVFWFSFSTMFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSTGIQGLDGLIASSDPIGFQVGSFAKSYLMQELGVPESRLRELAITDYASSLQTGVVAAIVDELPYVELFLSTNCQFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILTLSENGDLQRIHDKWLSPGQCASQGTDVGADRLNLSSFWGLFLICGVACFIALLIFFFRTLRQYFRYHGHADIDDDDDSENKATPFPVDGGERMSSRRPARLASIRDLMTFVDMKEAEVKRRKKMMNEDSSSCGRRLDMDSHSHRSMPTSANANAAPPSSSFSSV >ORGLA07G0002400.1 pep chromosome:AGI1.1:7:143188:143608:-1 gene:ORGLA07G0002400 transcript:ORGLA07G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCSRPTSSFIRDLLNDLHDAVWSLRREKDELFVAVREGQAMARDVDTARRELAALKKHVVETNAKLVLLKEQNRRLEKDRCMLFFVLLAICGLFVMVWVMN >ORGLA07G0002300.1 pep chromosome:AGI1.1:7:133690:137550:-1 gene:ORGLA07G0002300 transcript:ORGLA07G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQQESISSGGDGNNNNNKQVAVVVVEEASTPSSAFKFNVHAPEFVPAMSPTASPMSAPAGSSSFYSPFGLHVQPDHWSFFHDHEPVFFMPDFKFAAAAAAAASAQPKPTSAADMPHKIVKQVEYQFSDINLVANEFLLKIMNKDSEGYVPLSVIASWKKIKSLGATNQMLVKALRTSTKLIVSDDGKKVRRRQPFTEKHKEELQSRMIIAENLPEDSSRNSLEKIFGVVGSVKNIKMCHPQEPSTARASKSDTLVSNKMHALVEYESSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRTEFDLNSDDEQSPMSSDLSPTATATAAELSAEAAGHDQGGEQQMMNSSKKGGGWARGGRGKLQVAAPHSPQSAPAGSVGHFEPASPRHKLPASPRHKCPSSPRQPPPHAHGPRMPDGTRGFTMGRGKPLLV >ORGLA07G0002200.1 pep chromosome:AGI1.1:7:128249:130945:-1 gene:ORGLA07G0002200 transcript:ORGLA07G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPAVVAASSAAALRGFWEEVNESPAWQDGAFFSLSAAYALVSAVALIQLIRIQRRVPEFGWTTQKVFHLMNFLVNGVRALVFGFHLHVFLLSAKVYKLVLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRIIYIAVNAIIYTIQVCIWVYLGINDNPLVELVSKIFIVVVSFVALLGFSVYGGRLFFLLRRFPIESKGRKKKLYEVGTVTAICCACFLIRCIVVAISAFDSDVSLEVLDHPILDFFYYMLTEILPSALVLFILRKLPPKRVSAQYHPIN >ORGLA07G0002100.1 pep chromosome:AGI1.1:7:115388:124190:-1 gene:ORGLA07G0002100 transcript:ORGLA07G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAPAGRLLLISCLLCVPNSFGFAFAVEEEDGSGLMPQLSPTGSPNPLVPFLAPAPLAPFFNTTPPNLSGKCSLNFTAVGDLITTTAVDCFASFAPFLANVICCPQLQAMLTILIGQSSKQTGSLALDPTVATYCLSDVQQLLLSQGASDELHNICSLHLSNVTEGSCPVSTVDAFEAVIDSSKLLEACHKIDPVNECCSRTCQNAIHDASQKISFKDGGLTSYAGSPKVDSCRNVVLRWLSSRLGPSSAKQMLRQISNCNVNGVCPLSFPDTSKVAKECSGTVKNGTSCCKAMDSYVSHLQKQSFITNLQALDCAQFLGDKLQKMNVSMNVYSSCQITLKDFSLQVGSQESGCLLPSMPSDASFDPATGISFTCDLNDNIAAPWPSSMQASSSSCNKSVNIPERPAATSAQNGVNQNRLELSLLIYLGTLVVAIWLQV >ORGLA07G0002000.1 pep chromosome:AGI1.1:7:109635:112072:-1 gene:ORGLA07G0002000 transcript:ORGLA07G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSAPRRTACPCRSRRRNVAAGKAPPLVASSVTARALAAGLWRLRQAERSAAAAARRQDNQPRPSLLGVGGRKGKAPSEIHFGIGRKQQCCRSHGNGNGILDKIEAACSSSYSYCGSMEKATKWDNGKKQSLVKKEIGSSSSRRMRSLENALEKARAEIVEMEEEKRLMSRKLRKVAEEKAAAREELKLERHHRRELEGANGKLVKEVARARQRVETERKARELMEEACEELSKEVEEDQAEVEALRRECVSMREEMEEERRMLQMAEVWREERVQMKLSDAKAVLEHKYAHLNTLQSEMESFLLRHGHRTHNHAQLRRTVNMLAASVRGANADDGLFPPANTYKSPHAPDDVDKVFDHFRRNNTDTSSSVASPATDLFLEKLEDDDDGGWPWERETPRPPPHHTSNAACSNSNDHGGRSGVTEEEGGSGRSRRSGNFNTALIRRLWQSAISESRRKTAASSSGRNRVLHNGFSPSYSDKHRDRDTARSSTVVDQAGSAAMEKEKENEINSKNKKKKKKSLMEKLMEARMDDHNTADKPCQPQIINYAS >ORGLA07G0001900.1 pep chromosome:AGI1.1:7:103155:108235:1 gene:ORGLA07G0001900 transcript:ORGLA07G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1Q7C7] MAQQQGQGAGTTTVAMMSRNPSYYYSGEGELSLAVQRQDSLYRDASRAGQHEQAHGEGWARTLRLAFQCFGVLYGDIGTSPLYVYSTTFDGGIRHTDDLLGVLSLIIYSFLLFTIIKYVYIALRANDDGDGGTFALYSLISRHAKVSLVPNQQAEDELHLHISKSSSLRRPSVQRLASTAEERAQWVKDLLENSRPVRISLFLLTILATAMVISDACLTPAISVLSAVGGLKDKAPHLNTEQVVWVTVGILVMLFAVQRFGTDKVGYLFAPVVLLWLLLIGGVGVYNLAAHDVGVLRAFNPKYILDYFRRNGRHGWVSLGGVLLCFTGTEALFADLGCFSIRSIQLSFAFGLVPAVLLAYAGQAAYLRVYPDHVGDAFYASTPQVLFWPTLALALAASVVGSQAMISCAFATISHSQAMGCFPRVKVVHTSRQYQGQVYIPEINLLLGAAACVVTVAARDTVVIGEAHGICVVLVMLITTLLLTVVMVLVWRVNIGWVLVFACVFASTESVYLTSVLYKFAHGGYIPVAMSAVLMGVMGVWHYVHVRRYKYEMERTVSTERVRELVSRRELQRVPGVGLFYTDLVQGIPPVFPHLIDKIPSIHTVLLFVSVKHLPVPHVDPSERFLFRQVEPQEHKLFRCVARYGYRDRLEDARDFVANLVERLQYYVRDVNLYGAAANNKVSYPSSRCDSMGIPKSASYAERLQLQRARSVAMLHSHSQHQRFIQREMEKGVVFILGESEVVARPHSSLLKKLVVNYAYSFLRRNCRQGDKMLAIPRSQLLKVGMSYEI >ORGLA07G0001800.1 pep chromosome:AGI1.1:7:98173:98937:-1 gene:ORGLA07G0001800 transcript:ORGLA07G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRLSDTSAKIGVGPNRAAVATSMLRKYGAQIGVAILDDGMQHLSLLRDVDIVMINALNPWGNKHLIPRGPMREPLTALTRAHILLIHHANLVSQPQLKTILSTVHDNGATCPVFFSKLVPSHIFQVNQPMHRLPLHVLHGIIMLCVSAIGCPDAFIHSVQEVFPPFLFLSLCLQTFVLVLPILKYGTMVVVRL >ORGLA07G0001700.1 pep chromosome:AGI1.1:7:96901:97614:-1 gene:ORGLA07G0001700 transcript:ORGLA07G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGPLKIERLDFSDHHSFSSHDLQLIQDTLKKLVYQHKNNAVVLVTEKDYDRDPDVLRALDAKVWVLSSCLQIIPHEGQGDDEFMRKVREIITASRHVKL >ORGLA07G0001600.1 pep chromosome:AGI1.1:7:94626:95554:-1 gene:ORGLA07G0001600 transcript:ORGLA07G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQPTMLSGSVVGQQCSHTGSGSGEAVGGRRGGGGAEASALTLVVTGLEAGQWRGVGRVWRRPCAADVWMVVSNWATNNGGRVAEGSKRKLSLMFHWANSDYAFGYGNPTEGVVEVPLLPRQGALGENLVQFFG >ORGLA07G0001500.1 pep chromosome:AGI1.1:7:90766:91623:1 gene:ORGLA07G0001500 transcript:ORGLA07G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAMERMAKHYWALWGAGVRSGWPAAAHGGGAEPSWEEKAFAQDAAGHLGGCVWPPRSYTCSFCRREFRSAQALGGHMNVHRRDRARLRQCDDDDDDPIPPTVSICAPPPPPPPPLLPAAAAAPDSPSPPSLLLQISSPKSTTADHHQNHQQLQLQGTNSSPNSCIATIIKESRNKARLFITTMPAPAPATTHDLGLGGGKDDDDSISISMEEIRRKRRRVDQPLTPTPSYSSERERRREDDPAAADASNNKVIPSSSILVNQLAMDMVGRQEIDLELRLGST >ORGLA07G0001400.1 pep chromosome:AGI1.1:7:82707:83459:-1 gene:ORGLA07G0001400 transcript:ORGLA07G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNRMLATKPRGDGKGREQGPSRQRLQGGHYAFCTTIARLTRMGFHPERHAREGKPPQSFTTRPVSANLQLCHSSAPPPASAALGTIAPSSRSPRRWPPTAADATRAGLAQPSVPPCRPCSATHAGLPQSSSPLMPASHCHCRHPLHLSPSVPSHVGYLTLAKSVVETSDLATGTLHQATAVLDQQPHGGVGRPELLQLAKLHITSHV >ORGLA07G0001300.1 pep chromosome:AGI1.1:7:70910:72633:1 gene:ORGLA07G0001300 transcript:ORGLA07G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAGLESAWEYLITHFSEFQLASIGTFLLHESVFFLSGLPSLLFERLGLFSKYKIQKKSNTPDYQNRCVVRLVLYHVCVNLPLTILSYRTFKFMGLRSTLPLPHWTVVVSQVLFFFVLEDFIFYWGHRALHTKWLYQHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVAGPALTGPHLFTLWVWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGAEFHDYHHRVLYTKSGNYSSTFIYMDWLFGTDKDYRKTKALEEKERTKHL >ORGLA07G0001200.1 pep chromosome:AGI1.1:7:65128:68077:-1 gene:ORGLA07G0001200 transcript:ORGLA07G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ/MATH-domains containing protein [Source:Projected from Arabidopsis thaliana (AT2G39760) TAIR;Acc:AT2G39760] MTAAASWSRSVTETVRGSHQYTVKGFSMAKGVGAGRYVSSDTFAVGGYHWAVYLYPDGKNPEDNANYVSVFVALASDGADVRALFELTLLDQSGRGRHKVHSHFDRSLQAGPYTLKYRGSMWGYKRFYRRSLLESSDFLKDDCLVMNCTVGVVKNRLETPKNIHINIPPSDMGRCFNNLLNLRIGCDVSFEVGDERVQAHKWILAARSPVFKAQFFGPIGNPDLHTVIVEDVEPLVFKAMVNFIYSDELPSIHELAGSVSTWTSTVVVQHLLAAADRYGLDRLRLLCEEKLCDELTAETVATTLALAEQHHCTQLKSACLKFTAVRENLGAVMETEGFNYLEETCPSLLSDLLATVAVVDDDAASFNRKRGVGGNEGANPVESVEASDRRIRRRV >ORGLA07G0001100.1 pep chromosome:AGI1.1:7:55379:64735:1 gene:ORGLA07G0001100 transcript:ORGLA07G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMQCHAAAAAAAATVPAYCALIRPLASAGRVDAVDAAVASARSRLSPATIHPLYVASIRAYARAGRLRDAVDAFERMDLFACPPAAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGYCKISMVQEATELLKDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDTITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVQSTIYCLEYGKQRASDPKCRKTTEGCVEINVDGAQLRIMLTNLKVSPVWQKVSPQDNIFICELRILTWGDVYVDKVITEIKGDLYDSPIDSKNQIVMSTLYNNDQYQSYPLCPIEAALLSMSSHTYSLGEELIGKVALTGQHCWISSYEFSSTFMYKYHEDWQLQFAAGIKTILFVPVVPHGVLQLGSLDLVPESSTSVALIKDLFYKLYDASISGSPSGTGFGYSNTGRQPAAMLPMDSPDVVPHNFFRSIKSSAQLLNNDHLSLLHAFPVLEFASTEDSIVSIYDTSLTACAVEPLDGNDSDIWTNVHEELSQFTRCNTASEADKANISYMDKLINSDSKMSCRSVSHAEDPGYGNIDHFILTEMERENQEHINNYTSVNDYAVTSNPSFHSELHKTLEPISREEREDCMWHIRYRQQESTSSALLQENGNKAGFDKQCENNDYAELLLDAINDQVIWASNSESSHSTDSPVSCATQIQKDDHVPRLDESSVPNFPGGQDFSLISIDEGFMSCTMTGSSLTETNKAILVEEDFISDPIEGMHRETSVEIKGRCRKTGLHRPRPRDRQLIQDRMMELRQLVPNTSKVQLLALFDVSPSTKDVLFICLFVLSFGNEEANGLLTVSSFYKLKQCSIDSLLDKTIAHMQFLQCVSEKADKMVCEEYGVFLEIAHVLKDLEVTILKGLLESRSDKLWARFVIQNDLHCETEGVVVITTTMEIQKRLIRIDVMHLTGFTRLRPDADSIPTDAPVAEAEMELNSTIIHLPWVCMLIIVGLFNNSMLGSGLIE >ORGLA07G0001000.1 pep chromosome:AGI1.1:7:51745:52499:1 gene:ORGLA07G0001000 transcript:ORGLA07G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEVNDKKEQSPKSMPTIPPTMPSPPPDPPPPPQPALSFAARRWIRPLPVAHAPSHRPCPIESLPDMQPRPLPPPPPMRETKGEERDLGKLGEMRWERQEATRFALHSIGCDDNVPKTTGRGNGCCCYW >ORGLA07G0000900.1 pep chromosome:AGI1.1:7:48940:49278:-1 gene:ORGLA07G0000900 transcript:ORGLA07G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPHPDLTSGSTDMKQAAAAPAKSTSSCEDASVAKRDQGGDSWRSLRRSESAWNLFVLDVILKSTASRQWILLGFACALTPV >ORGLA07G0000800.1 pep chromosome:AGI1.1:7:40588:42418:-1 gene:ORGLA07G0000800 transcript:ORGLA07G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:I1Q7B6] MAPSLGSGSTRILLIVSLLLCLRQQAVVDAAIVEHTFHVGNLTVERLGQRQVITAVNGQFPGPKVEARNGDTLLVRVVNNSPYNITIHWHGVLQRLSAWADGPAMVTQCPILPGSGAGSSYTYRFNVTGQEGTLWWHAHVSFLRATVYGALLIRPRPGVPYPFPAPHAEHTLLLGEWWNASATLVDVERQAFLTGGQPANSVALTINGMPGLFHAHKEMHHLRVARGNTYLLRLVNAALNYQLFFKVAAHNFTVVAVDACYTDPYHTDVIVIAPGQTVDALMHAGAAPGRRYYVAAQVYQSIANATYSATARALLRYDDDAKDAAKTIIMSPRMPALNDSATAQRFYGSLTGLLRDGKPTVPQRVDTRMVVTYGLAIAPCLPAQTLCNRTRGSLAASMNNVSFQLPATMSLLEASRSRSSGVYTRDFPDRPPVMFDFTNAAAVNRNMSLMVTSKGTRVKALRYNETVEVVLQNTAVLGTENHPLHLHGFNFYVLAQGTGNYYYLIRKKKIRKNLVNPQQRNTIAVPAGGWAVIRFTADNPGVWLMHCHLEAHLPFGLAMAFDVQDGPTPDAMLPPPPNDYPPC >ORGLA07G0000700.1 pep chromosome:AGI1.1:7:38927:39844:-1 gene:ORGLA07G0000700 transcript:ORGLA07G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLLFLLLLLPPPTAPFSLDFFPESPSPPRLALSGAASLRPTAVSMASPRARLQLTHPVALGPAFSTYFSFSLSGPGSLSFFLTPHPHPDRHPFLLAIVFDAAARVRIDLTGHTTGTAASHLAPSSAPARLHSWIHYNATSATLQLRLSATSRRPALPLLSLHPLPPSALLLLRTKPMLAGFTSSATNCTLFAWAFRSNNTMQHSQPLDPSHLLTTPPPHRPQPHPHHYYPWLSLLFAAACGAMLTFFLLFVWYSLLATRRPVAPVTTSDSDVVYEKIVLVGAKDDDAPAATTPSPAVAGNNN >ORGLA07G0000600.1 pep chromosome:AGI1.1:7:30772:32893:-1 gene:ORGLA07G0000600 transcript:ORGLA07G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFNLQSNPWYQVGFVLTTGVNSAYVLGYSGSVMVPLGWIGGTCGLILAAAISLYANALLARLHEIGGKRHIRYRDLAGHIYGRKMYSLTWALQYVNLFMINTGFIILAGQALKATYVLFRDDGVLKLPYCIALSGFVCALFAFGIPYLSALRIWLGFSTFFSLIYITIAFVLSLRDGITTPAKDYTIPGSHSARIFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSSYLLNSVKGPVWVKAMANLSAFLQTVIALHIFASPMYEFLDTKYGSGHGGPFAIHNVMFRVGVRGGYLTVNTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKRHKLSTLQISWHWLNVAGFSLLSIAAAVAALRLIMVDSRTYHLFADL >ORGLA07G0000500.1 pep chromosome:AGI1.1:7:26895:27502:-1 gene:ORGLA07G0000500 transcript:ORGLA07G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARASPSRGWVAEKDVLDLNIISRNAVPLPEQDRLVPLAAIVESPSNSSTSDLSVGKVLQVYQRAWKMGWWGAEGAMVEAAEEEEEGKDGKVESAREMAGVEATKDLST >ORGLA07G0000400.1 pep chromosome:AGI1.1:7:23986:25707:1 gene:ORGLA07G0000400 transcript:ORGLA07G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTTDGTMDHAGKPAVRSKSGTWRACPFILGNECCERLAYYGMSANLVNYMVDRLRQGNAGAAASVNNWSGTCYVMPLVGAFLADAYLGRYRTIAAFMALYIVGLALLTMSASVPGMKPPNCTTISASSCGPSPGQSAAFFVALYLIALGTGGIKPCVSSFGADQFDDADPREHRSKASFFNWFYMSINVGALVASSVLVWVQMNVGWGWGFGIPAVAMAVAVASFLMGSSLYRHQKPGGSPLTRMLQVVVAAARKSRVALPADAAALLYEGDKLACGTRRLAHTEQFRWLDRAAVVTPTTDKDDDTGSRWRLCPVTQVEELKAVVRLLPVWASGIVMSAVYGQMSTMFVLQGNTLDPRMGATFKIPSASLSIFDTLAVLAWVPVYDRLIVPAARRFTGHPCGFTQLQRMGIGLLISVFSMVAAGVLEVVRLRVAAAHGMLDSTSYLPISIFWQVPQYFIIGAAEVFAFIGQIDFFYDQAPDDMRSMCTALSLTSSALGNYLSTLLVVIVTAASTRGGGLGWIPDNLNRGHLDYFFWLLAALSAVNFLVYLWIANWYRCKTITTTEAAAQT >ORGLA07G0000300.1 pep chromosome:AGI1.1:7:17479:23053:1 gene:ORGLA07G0000300 transcript:ORGLA07G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPTRESEARDEEAEVSRSGEQGGGEASTTQQQQQPVPLRHQLLGACRADERLRPLLTLNLSCGAAEDRFISHLSQHFEASEVGLLYRCLCVPLVALRVGKVDRHGPLLCPTPIRGKLSLGLLPSSSMCIIFAGDDGHSEQLALLNNDHEVSEVCVEEISADNTGRSFLIRISESKVFYYWCAEKSKKHGMDLLAKMKNLLQGRPTLSDLTGISDSRLDAFATHLHAYLVASSIGDVKSLGSLNDFLGASSPQDQYLQPSSVVSKSSRFRTSAANAAKASSVYQTSLSPRCGAFKDGVPRTSCAKIAGRDKLKRRGNWLSSSTGPDDANLLTPKIVSSDSASEKCGGDCSENSANSPPLDLPLSFPLLPSLFPLATQYPLPKDSTEQPFKPYYCWCPPCPSSLQYSVTPLHMPVTSVEPLPLPPLSSLLSNDQPPTSTVSAKMDTTDLPSLNLPSILRDPLLHLPLPTSPLVSLHGSQVPTFTPLMSDPIVHVPVIDVCSSGQAYLVSCGPSMSATVPLLPSLKPLIPETESLVERSARETLMRLIASTPSASNPQLVNILPAVLTDVPEMNVRKHPGVHPGDRLSSSCSVDVIGPGFAVTEDDASVGDGAHATFAEYDDIGDQQHFQSM >ORGLA07G0000200.1 pep chromosome:AGI1.1:7:10127:13318:1 gene:ORGLA07G0000200 transcript:ORGLA07G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLPPPPPLLSRAAVATPALNPYPLRLHRRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSVAIGKDLQAARVTAANKIRLWNKGVDSESFHPRFRNDEMRARLTNGEPEKPLILYVGRLGVEKSLDFLKRVMDRLPGSRIAFVGDGPFRAELQLMFTGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVAARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVSKIERLLTCEELRETMRKAARKEMEKFDWRAATRKIRNEQYSAAIWFWRKKRAQLLRPIQWVSRRLFRPTPAPSTMNQS >ORGLA07G0000100.1 pep chromosome:AGI1.1:7:4668:5624:-1 gene:ORGLA07G0000100 transcript:ORGLA07G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGTGVVTVYGSGTNGAALLEPSNHKSATFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDAHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDIRALRNMDDDEVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPKILAEVSSGLGEAMVGINLSDPKVERFAARSE >ORGLA06G0250800.1 pep chromosome:AGI1.1:6:24171599:24171844:1 gene:ORGLA06G0250800 transcript:ORGLA06G0250800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 C subunit [Source:UniProtKB/TrEMBL;Acc:I1Q651] MNPLIAAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >ORGLA06G0250700.1 pep chromosome:AGI1.1:6:24170062:24170805:1 gene:ORGLA06G0250700 transcript:ORGLA06G0250700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase CF0 A subunit [Source:UniProtKB/TrEMBL;Acc:I1QWH6] MNIIPCSIKTLKGLYDISGVEVGQHFYWQIGGFQIHAQVLITSWVVITILLGSVIIAVRNPQTIPTDGQNFFEYVLEFIRDLSKTQIGEEYGPWVPFIGTMFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALALLTSAAYFYAGLSKKGLSYFEKYIKPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >ORGLA06G0250600.1 pep chromosome:AGI1.1:6:24169102:24169524:1 gene:ORGLA06G0250600 transcript:ORGLA06G0250600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRYWNINLKEMIEAGVHFGHGIKKWNPKMAPYISAKRKGTHITNLARTTRFLSEACDLVFDAASQGKSFLIVGTKKRAADLVASAAIRARCHYVNKKWFSGMLTNWSITKTRLSQFRDLRAEEKMENSTISQKEMWQS >ORGLA06G0250500.1 pep chromosome:AGI1.1:6:24156274:24158582:-1 gene:ORGLA06G0250500 transcript:ORGLA06G0250500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARAALLRRPLPPSPSFPRLLRWLASSFLFLLLLDRLGTATALVLLALSLAFFAASPKPSSFLSRAASSRIAGQTPSSRCLFLTGGILRHLKTLVAVGLMLGMILGFLSGSVFFSYKIGLEGKDAVMSLKSHVENGNYSEKIGLKKWLDDNDIPGLVDQYSAKLYDTVWEQIDQLAVQYNLTDFTSGFRHFLISQSVDPSGAKGKELITSGPHPYSMKLQVIAKHVKNREWMDIYRELDSFFRELLITREDLVVKAKGLALQGAEIAKSLLSSSTSVLGGSANLMLSITLHIVSGAAEVLNFVSQLMVFLWVLYYLITVEGGGATEQVIDLLPLSKQVKDRCVEVIDHAISSVLLATAKIAIFQGCLTWLLFKLFKVHFVYTSTVFAIISALLPILPPWLSSIFAAGQLLMEGRYVLAIVVTVVHLIIMDYGTTVIQEDIPGYNGYLTGLSIIGGMALFPNALEGAILGPLIMTVVMALKNLYTEFVLADSEETSS >ORGLA06G0250400.1 pep chromosome:AGI1.1:6:24142496:24143588:1 gene:ORGLA06G0250400 transcript:ORGLA06G0250400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q1V8] MAIARCCVALLLLVVLVAAGSAAAAADQLRVDYYRETCPNVEAIVRDEMEKIIGAAPSLAGPLLRLHFHDCFVRGCDASVLLSSTAGNVAERDAKPNKSLRGFGSVERVKARLEAACPGTVSCADVLTLMARDAVVLARGPTWPVALGRRDGRVSAAGEAAASLPPADGDIATLLRIFAANDLDIKDLAVLSGAHTLGTAHCPSYAGRLYNFTGKNDADPSLDGEYAGRLRARCASATDESGMISEMDPGSYKTFDTSYYRHVAKRRGLFSSDASLLTDATTRDYVRRIATGKFDAEFFSDFGESMTKMGNVQVLTGEEGEIRKKCYVINS >ORGLA06G0250300.1 pep chromosome:AGI1.1:6:24136113:24140105:1 gene:ORGLA06G0250300 transcript:ORGLA06G0250300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHPPLVVCLLVLISCLLSGGVLAGSRRRYLTASLDELRGYNGHQVHSPPLTSPAATSGRKLSIRNSAAEKPAARDIHVRDRARLRTILQRSSSASAAASLAPYASPPTAMPPIPAVSVAPAPAPAVTIPDRSGTYLDTLEFVVAVGLGTPAQPSALIFDTGSDLSWVQCQPCGSSGHCHPQQDPLFDPSKSSTYAAVHCGEPQCAAAGDLCSEDNTTCLYLVRYGDGSSTTGVLSRDTLALTSSRALTGFPFGCGTRNLGDFGRVDGLLGLGRGELSLPTQAAASFGAVFSYCLPSSNSTTGYLTIGATPATDTGAAQYTAMLRKPQFPSFYFVELVSIDIGGYVLPVPPAVFTRGGTLLDSGTVLTYLPAQAYALLRDRFRLTMEQYTPAPPNDVLDACYDFAGESEVVVPAVSFRFGDGAVFELDFFGVMIFLDENVGCLAFAAMDTGGLPLSIIGNTQQRSAEVIYDVAAEKIGFVPASC >ORGLA06G0250200.1 pep chromosome:AGI1.1:6:24132291:24132713:-1 gene:ORGLA06G0250200 transcript:ORGLA06G0250200.1 gene_biotype:protein_coding transcript_biotype:protein_coding QWRKAARRSRPAAAPAGGRVSRSLGESELPPPVPMDQDARPGQAAAAEPMEGEAEGAAAAARTMEGEAGYAAANADPMEDEAADEAGAVEPMEDDPPTSSPARSAPSATVAVDDSTNARKRRRRKKQFPGMIPTAGVHVLR >ORGLA06G0250100.1 pep chromosome:AGI1.1:6:24113881:24115504:-1 gene:ORGLA06G0250100 transcript:ORGLA06G0250100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDRVQVEAINSFSNLELLKEVYGLIWILPILTLLLGITIEVLVIVWLEREISASIQQRIGPEYAGPLGLLQAIADGTKLLFKEDILPSRGDIPLFSIGPSIAVISILLSFLVIPLGYRFVLADLSIGVFLWIAISSIAPIGLLMAGYSSNNKYSFSGGLRAAAQSISYEIPLTFCVLAISLLSNSSSTVDIVEAQSKYGFLDGIFGVSP >ORGLA06G0250000.1 pep chromosome:AGI1.1:6:24112792:24113334:-1 gene:ORGLA06G0250000 transcript:ORGLA06G0250000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit I [Source:UniProtKB/TrEMBL;Acc:I1QKY4] MFPMVTGFMSYGQQTIRAARYIGQSFIITLSHTNRLPITIHYPYEKSITSERFRGRIHFEFDKCIACEVCVRVCPIDLPLVDWRFEKDIKRKQLLNYSIDFGVCIFCGNCVEYCPTNCLSMTEEYELSTYDRHELNYNQIALSRLPISIMGDYTIQTIRNSTQSKIDEEKSWNSRTITDY >ORGLA06G0249900.1 pep chromosome:AGI1.1:6:24112020:24112550:-1 gene:ORGLA06G0249900 transcript:ORGLA06G0249900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 6 [Source:UniProtKB/TrEMBL;Acc:I1PHU7] MDLPGPIHEILVLFGGFVLLLGGLGVVLLTNPTFSAFSLGLVLVCISLFYILLNSYFVAVAQLLIYVGAINVLIIFAVMFVNGSEWSKDKNFWTIGDGFTSLVCITIPFSLMTTIPDTSWYGILWTTRSNQIVEQGLINNVQQIGIHLATDFYLPFELISIILLVSLIGAITMARQ >ORGLA06G0249800.1 pep chromosome:AGI1.1:6:24107098:24107450:1 gene:ORGLA06G0249800 transcript:ORGLA06G0249800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRQDVNPRVEHLLQEIKQVTDKAVCVGYGISTPDHVRQIAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEKYARSLKNALP >ORGLA06G0249700.1 pep chromosome:AGI1.1:6:24097683:24099890:-1 gene:ORGLA06G0249700 transcript:ORGLA06G0249700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGRWVMDIIWHTLLLGGDAVGNLWSSWSMEILLGVSFAAQLVLTVTAGFRWRSASAALRRVIWLFYVGADFVATTALGHLSVSGTAGKRRLVAFWAPFFLLHLGGPDSITAYELEDNQLSARYVLELVLRVAGAVYIVYKSTSGSRALISASWLMLFVGMAKYAEKTMALRRANLASVRSAVERERRRQRHRTEGGGRRPPKLVFAGDDDDGALVMKAHALFHICKNSMVDSSVETASNTYDAAAAADTKETLFQLEWPQLFRVMEMELSLMYDFLYTKAAVIYTWHGYAIRAVSPVFTAVSMVLVELSNAPGHHRRSDVVITRLLLVATFLLETASLLRAVGSSWTGFLLHRGLRHGWIRHEALCASRWLRFHHAMASIGRIANSQAHRKWCGKMGQLSVLQLITGGGRERRQDDRSWDKECARYSEKNTMVIPAEVKEVVFRRVRQQLLDLRARMNREAADMDLRKMAANLRTKRGQLALQGRNLLGELRWSLGDELQLGILTWHVATEIYLLLSGRTAAAAVARLVRTIRTLSDYMMYLLAVRPDMLPGLVTRKLFELTCDDLARVWSKHQAAAAAATGGSAPRKFFRLRRVSPRISDMRSWEEEELAKMLIDQWRRGRDDDTGGVGGGVALNKYLSRGVELAVKLLDLEREGKADMVQVILEVWVDMVFYASYRCSKEAHAKQLSQGGELTTVLWLVAEHVGLFLVGKTGRGVEEDNWRRRKDAKRGR >ORGLA06G0249600.1 pep chromosome:AGI1.1:6:24093311:24097363:1 gene:ORGLA06G0249600 transcript:ORGLA06G0249600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRQPQKRVLESFTIKGPDGVIKPGDTVLMMAPDSSKKPYVARVEEIEATGPQASQVKFKVRWYYRPEESIGGRRPFHGSKEVFLSDHYDSQSADTIEGKCYVHTFRDYTKLRSVSAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDNLMIQCEDCSDWFHPSCVEI >ORGLA06G0249500.1 pep chromosome:AGI1.1:6:24091130:24091883:1 gene:ORGLA06G0249500 transcript:ORGLA06G0249500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDRSQPAPIDPRRARFPCCIVWTPLPLISWLIPFIGHIGICREDGVILDFAGPNFVSVDNFAFGAVARYIQVNSDECYKLLEPEGASTWDDALRKGVQEFQHRGYSLFTCNCHSFVVNNLNRLFYSGHDKWNVVSLAAVMFLRGRWVSTASVVKTFFPFALVITIGTLLGGATFLIGLLAFAAVMTGWFLVGTYCIKSLVEL >ORGLA06G0249400.1 pep chromosome:AGI1.1:6:24089117:24089455:-1 gene:ORGLA06G0249400 transcript:ORGLA06G0249400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKYAAYGDLLGMTARVAVRAYSHCPQTARMYYKPPPTATATTAASGDKRSASAATASSSRSSSFGADNAGSSTGAAASPCASTKQQAAAAARVAFDGAGFIVYGVERAA >ORGLA06G0249300.1 pep chromosome:AGI1.1:6:24086022:24086267:1 gene:ORGLA06G0249300 transcript:ORGLA06G0249300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVTFFAAVLVAIFLTSGGGRMSSTAARPTAVGGAGAPPAAVAVELAGTGTGTNASSQPSNCTYGNNVGGQCPPTPGAGH >ORGLA06G0249200.1 pep chromosome:AGI1.1:6:24081604:24083888:1 gene:ORGLA06G0249200 transcript:ORGLA06G0249200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTSTVITWRYQQLFVVLSSVILEWVLMLLLLFEGLLSYLVTTFASLCKLHPPCPMCTRLDHVFGTAQPGFYRDLMCNSHKAEASSWAFCHIHQKLVDVHSMCESCLISFATNKKSNLATYRSLAGKLGVGIGNEGFRPSFSLDNSSEASVIKEDITNTLCSCCSSPLKVKSYPSMVLQNIASAIDTEVNTRHVSRDQLIEEISLVRYSELKTSDSESEPWQHGGVASLLDDAVDNLKEDFTLSHPKTKFAGVIPTDGIAQDQVAKNSDLIQLQNGGSDSKNSQVSAELYHFRADGNANLQSTDFSSKTVQHPTEDSDTTDKSEDDVWHNALDSISELSVTDKPAETSTAENEPKAEFTDRTAMKDSFKAHEDLQLLLSQVSPNDAINIPGVQEQAILNNITRALSLDRNYSGSISESMAIDEAEEHCTVDQLKKQIELDRKSISLLWKELEEERNASAIATNQTMSMITRLQEEKAAMQMETLQYQRMMEEQSQYDREDLQKMAAMVQELEAEIEGYKTKLRDQSLVNEIRDAMRISRSEECETSMSRTARSLSLFEDEKAYISKHLKKLRQKLHQFSNNGKFIDPKKIDDKEDTFDVTNSEDVYQDADEDSEMTNSENSEMTNVIRNGRNFRYLSNGTEGLTNGKDDPEGQYYAMVSENDLVNFEDEISELTAKLKALEADHSFLEHSINSLRNGQEGKELIHGIACSLRELRKMGITWKDCD >ORGLA06G0249100.1 pep chromosome:AGI1.1:6:24076817:24079520:1 gene:ORGLA06G0249100 transcript:ORGLA06G0249100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNPCSPMVKEAVEMSTDEESDGVVICPPDGNNDDREEAISSNNHDNCQEGEVTCVKDPVIDSETQEDKCVNQDSVKLIDQEKSGPPKSPSKPGISGSDRSKRTVPQPFALSSQRKSHGGNSKAAHPSGNGENSGDKSNSSPASLTKKTAPITPKKIAQLDHMLHHQEEDSCSVTSSTTTSTRAGKTKATVGVAPSFVCADRADKRKEFYTKLEEKHKALEAEKNEAEARKKEEQETALKQLRKSLVIRAKPMPSFYQEGPPPKAELKKVPPTRAKSPKFTRRRSCSDAPPTPEAANTTAASSRSHRHSIANPKDANRVQCSPKNGVAAKTRAVKPVS >ORGLA06G0249000.1 pep chromosome:AGI1.1:6:24075065:24075337:1 gene:ORGLA06G0249000 transcript:ORGLA06G0249000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S15 [Source:UniProtKB/TrEMBL;Acc:I1R2H1] MKKKGGRKIFGFMVKEEKEENWGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRQRLLAYLAKKNRVRYKKLISQLDIRER >ORGLA06G0248900.1 pep chromosome:AGI1.1:6:24074453:24074644:1 gene:ORGLA06G0248900 transcript:ORGLA06G0248900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Orf63 [Source:UniProtKB/TrEMBL;Acc:I1QWR2] MSFNSRTRNWKVTEGDPSFCNENYIKNSGQFRNQAKRLNTYAKKFIIGPPLIRRFNLYESLLV >ORGLA06G0248800.1 pep chromosome:AGI1.1:6:24068703:24070022:-1 gene:ORGLA06G0248800 transcript:ORGLA06G0248800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPPMQSDAAAPAAAVDFSNLTTDLVIDIHGRLAFVDRLAVGAVFGAAGHAVKPEAPWLVLPGETPETSRLYSVADRRVAAARAPDPAMRGCCVVGSSGGWVVTADARARLHMANPVTGEQHELPAITTCPFFYVSNPTWPLFHVNILQDQLVRVRYGGGEKVPAARLPLCTLVADQMRGWVYRKVILSASPRPGAYAAMLLLDVDRHRGNPAFATSDDPAWRVAPSSDGVEDAIHHRGKFYSITYSGVVEEWDRRGGDGVFTSRAVSPKLPAITGGSGGHHHRRYLVAAPGSGELMVVTKSFKVVETGERYMDSERRVCFTVQVLDDGGGEGGRWRRAASIGQAAVFVGASSNSVCVSTKAHPELRPDCVYFAADELVKGPFRRDDDDGFHSYRGCDDKKRVVGVYSLKDGGRAEGLPELGDHATWPPPAWFTPLI >ORGLA06G0248700.1 pep chromosome:AGI1.1:6:24051756:24052446:1 gene:ORGLA06G0248700 transcript:ORGLA06G0248700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEEDEDKIAEMVAAGQRQWAHKSVEAFKHLRSVLAIDGTFLTGKFRGVLLTKIGTLSDRP >ORGLA06G0248600.1 pep chromosome:AGI1.1:6:24036417:24037507:-1 gene:ORGLA06G0248600 transcript:ORGLA06G0248600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLERSPLVVPEAAAAAASSPLRRPSPRVRREVPPPPLDPILPYLQGQSWCMHLLMPVSDLVFRSINKAMDELRRGPKFEAAALDWLKICVMECIDKYGDDYQYSTDPRLLKIWILCVIDWL >ORGLA06G0248500.1 pep chromosome:AGI1.1:6:24030195:24032172:-1 gene:ORGLA06G0248500 transcript:ORGLA06G0248500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf3 [Source:UniProtKB/TrEMBL;Acc:I1QKU5] MPRSRINGNFIDKTFSIVANILLRIIPTTSGEKRAFTYYRDGMLAQSEGNYAEALQNYYEATRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYRGEQAILQGDSEIAEAWFDQAAEYWKQAIALTPGNYIEAQNWLKITKRFEFE >ORGLA06G0248400.1 pep chromosome:AGI1.1:6:24022522:24023079:1 gene:ORGLA06G0248400 transcript:ORGLA06G0248400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf4 [Source:UniProtKB/TrEMBL;Acc:I1Q1W9] MNWRSEHIWIELLKGSRKRGNFFWACILFLGSLGFLAVGASSYLGKNIISVLPSQQILFFPQGVVMSFYGIAGLFISAYLWCTILWNVGSGYDRFDRKEGVVCIFRWGFPGIKRRVFLRFLMRDIQSIRIQVKEGLFPRRILYMEIRGQGAIPLTRTDEKFFTPREIEQKAAELAYFLRIPMEVF >ORGLA06G0248300.1 pep chromosome:AGI1.1:6:24021390:24021710:1 gene:ORGLA06G0248300 transcript:ORGLA06G0248300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AccD [Source:UniProtKB/TrEMBL;Acc:I1Q6E1] MALQSLRGSMRSVVGKRICPLIEYAIFPPLPRIIVYASRRARMQRGNYSLIKKPKKVSTLRQYQSTKSPMYQSLQRICGVREWLNKYCMWKEVDEKDFGFEIGAFD >ORGLA06G0248200.1 pep chromosome:AGI1.1:6:24020794:24021195:1 gene:ORGLA06G0248200 transcript:ORGLA06G0248200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDRVWKLDPFLRLSFKKEDILKLLTGTIVSQQVTRNARKKRELIFKMVELDDEVFYNLDEEVVLVYDSDQEREVFHFRLDCYRIWTHRRDVQKAPDDKNHTFAELHGAMGFNAVDVLFRIFLEQTSDPTIQ >ORGLA06G0248100.1 pep chromosome:AGI1.1:6:24018910:24020364:1 gene:ORGLA06G0248100 transcript:ORGLA06G0248100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCREGRMSPQTETKASVGFKAGVKDYKLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVVGEDNQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPPTYSKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRACYECLRGGLDFTKDDENVNSQPFMRWRDRFVFCAEAIYKSQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHAGTVVGKLEGEREMTLGFVDLLRDDFIEKDRARGIFFTQDWVSMPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNEGRDLAREGNEIIRSACKWSPELAAACEIWKAIKFEFEPVDKLDS >ORGLA06G0248000.1 pep chromosome:AGI1.1:6:24010559:24012733:-1 gene:ORGLA06G0248000 transcript:ORGLA06G0248000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHVFMIRQESAPPAPPWWFLSLVFLGAAYVATVTLRLLAYLAFSLHRQPKDLRSRYGAWAVITGPTSGMGRAMALELARRGLNLVLVGRDPANLEEISNTVRSLHGVETKTVVFDLSLVATPHGDEPLRQLRETVEGLDVGVLMNNAGVGEPAMAYLHEADVEAWVRMMRVNLWAVTEVTAAVLPGMVERGRGAVVNIGSASSQAIPSFPLCTIYSATKRHVHATNTRVGIMAVRLYRYVAHFSRSLHLEYASEGIHVQCQAPFFVATRMVENLAEARRLSPFTPPGAEDDVVSANQSVGGAGAVVTAGSSFLALRLAFMNNNSGQ >ORGLA06G0247900.1 pep chromosome:AGI1.1:6:24008379:24008876:-1 gene:ORGLA06G0247900 transcript:ORGLA06G0247900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVSVSRVRRRSPSWFTVDGGVAEEPLLLLGAPADGDDGGDQARAALLRVEELEHLLGDVARRLSRLDAKRGRLEGQIAAASRGRRRGGAHHRRHGSAGGISESEGEGYTRKGAGAVRKMLRAAAGDVKKAREWLEAVAGRLEAALVDARERLALQQMLAAGA >ORGLA06G0247800.1 pep chromosome:AGI1.1:6:23983920:23985929:1 gene:ORGLA06G0247800 transcript:ORGLA06G0247800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDEPEGSDSQRRRKRYHRHTPRQIQQLEAMFKECPHPDENQRAQLSRELGLEPRQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENIAIREALKNVICPTCGGPPVGEDYFDEQKLRMENARLKEELDRVSNLTSKYLGRPFTQLPPATPPMTVSSLDLSVGGMGGPSLDLDLLSGGSSGIPFQLPAPVSDMERPMMAEMATRAMDELIRLAQAGDHIWSKSPGGGVSGGDARETLNVDTYDSIFSKPGGSYRAPSINVEGSRESGLVLMSAVALADVFMDTNKWMEFFPSIVSKAHTIDVLVNGMGGRSESLILMYEELHIMTPAVPTREVNFVRYCRQIEQGLWAIADVSVDLQRDAHFGAPPPRSRRLPSGCLIADMANGYSKVYAMDNVPHAMVFWFRLADDVAHARVHAGDLGRTHGGGGEEPDQRAVPXPRAERRRVRGAPLARRAPARVRALRLPRRARRPAPYRR >ORGLA06G0247700.1 pep chromosome:AGI1.1:6:23977043:23978257:1 gene:ORGLA06G0247700 transcript:ORGLA06G0247700.1 gene_biotype:protein_coding transcript_biotype:protein_coding NYIYFTRRPAISLLSTTDCFSPKHRWPEDVAWHPDGELIFAMYSADNGDSQVSVMNHSISGQKGVSLTNPPKQKKVSFLPVKPHTKEIINNINFMPWSDVCFVTGGSDHAVNKKMIHGTTQKCTRICILLLSWVLLDYSKKNAILSVGSDKRIIPFDLAARRAGSKIVLQIHAISTCYDCSLIRLFSFFVPYLLC >ORGLA06G0247600.1 pep chromosome:AGI1.1:6:23954940:23961080:-1 gene:ORGLA06G0247600 transcript:ORGLA06G0247600.1 gene_biotype:protein_coding transcript_biotype:protein_coding FINAKSDISPEDVHALVELGLEIFHASQNKFVVQIKWGGLLVRFLKKHAKRISLGVQWRPLYDTLIRTHFKRNMGPEGWKVRQQHFETVTSLVRASRSLFPEGAAAEIWSEFSPLLKNPWHNSAFEGIGFLRLFLPANSRNQDHFTTDWIAECLDIWGSVTNCNFWDIQWAAIVARCIKGSISVDWEKFIPLLFTRYLNMFEVPISSGSGSYPFPLNVPRNTRFLFSSKTRTPSKAIAKSICKTHIFVLKNIKFRFYHPSNGGRWTYSLERFLRYLVLYFERRLQHEQFEALDDKHNQFCLGKEERCGFVKVVLKLLDRGQYSKDDSLADTVSIATSILSYVEPSLVLPFVATNFQLALETTTATHQLKYAVTSVAFSGRALILSSLCSSQSDDSSTADTLNDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATAGFSDDVPAFLQTSYLSEWLDEFFCRLFSVLQNLESSSPINEGYQSSIMSGTFLVEDSPYYFCMLEIVLGKLSKPLFNQSLKKIAKFVNANILPGATSEVGLLCCACVHSYPEETALYLVKPILMTIMSSFEGTPTTGYVGREVPSKIATKATLSPALETALDYYLRVLAIAISYAGPVLLNYRQEFKNIITSSFQAPSWKVNGAGDHLLRSLLGSLVSYYPIDQYKPFSCQLIANIIEPWGCSKAHQDREVEMLNFTPKWHDPSQDELSFANELLEFHFQSALEDLVSICQRKNHSETGQEKEHLKVTLLRIHSALQGVMSCLPEMRPSYKDGKSKVVEPIIFIAGSAGSTVGNSEMREKAAELVHVACRYLLKERTDDSILLALVVRVIDALVNYGSLEYEEWSSHFQAWKLESASIIEPPCNFIIPFHSQGKKRPRWALVDKAHLHNTWRSSQSSYHRYRTNADVSPSSLMVNLMNDLLDLSLHNYETVR >ORGLA06G0247500.1 pep chromosome:AGI1.1:6:23948739:23950330:-1 gene:ORGLA06G0247500 transcript:ORGLA06G0247500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHLILQLISMAGNGVGACRVQIIPATIFLQLLFRALGADGASSFSFTNSCQYPVWVGVLHGASSPALARSGFYLAPSGTYHLAAPSSGTWSGTFWARTGCAVDSSTGRFTCATADCGSGDVACNGRGPSPPVTLAEITLAAPGSSGQDFYDVSLVDGFNVPVRLAPSSSGGGGGDCHAVSCAGDVNAACPSDLRVVSGAGEVVACRSACDAYRSARYCCTGAYGSPAACGPTDYSQVFKAACPAAYSYAYDDASSTFTCFGASSYDVTFCPRS >ORGLA06G0247400.1 pep chromosome:AGI1.1:6:23934338:23934547:1 gene:ORGLA06G0247400 transcript:ORGLA06G0247400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAVVATVPGGGVGGAGCSSDDRPSSTGTVVGGGDEGNGGSGGLLSLVDFCKKELRACLEEGGEATL >ORGLA06G0247300.1 pep chromosome:AGI1.1:6:23932490:23933065:-1 gene:ORGLA06G0247300 transcript:ORGLA06G0247300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHPLFLPLSSLLSSSSMGRDARGDGWREQPRRRRRQAKKAGAVVAGERGGGGRERRQRRAKQAGAAGEAGKGGRGERRRPRVPTVVLRVRLVVLCGCAAGRVVEAAARRGGLVHVAEQRGRRAEEGAATAEVAEGGAWRRWASSAGLHRQPSRHRPRRRPSHPHPRRAPRTAGSPCQLHPLRVPVRILAL >ORGLA06G0247200.1 pep chromosome:AGI1.1:6:23931381:23931800:-1 gene:ORGLA06G0247200 transcript:ORGLA06G0247200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPTGLLTVPFLENVNKFQNPFRRPVATTVFLIGTAVALWLGIGATLPIEKSLTLGLF >ORGLA06G0247100.1 pep chromosome:AGI1.1:6:23929111:23930920:1 gene:ORGLA06G0247100 transcript:ORGLA06G0247100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRVKIEVSRYDSSKGRIIYRLPHKDSKRTEDSKDTEDLKDTKDSKDPQGRVVFWSSAGTCGFKSSRKASPYAGQRTAVDAIRTVGLQRAEVMVKGAGSGRDAALRAIAKSEEVAGSTQTLQWKCVESRVDSKRLYYGRFILSPLRKGQADTVGIALRRALLGETEGTCITHAKFGSVPHEYSTIAGIEESVQEILLNLKEIVLRSNLYGVRTASICVKGPRYITAQDIILPPSVEIVDTAQPIANLTEPTDFRIELRIKRDRGYHTEVRKNTQDGSYPIDAVSMPVRNVNYSIFACGNGNAKYEILFLEIWTNGSLTPKEALYEASRNLIDLFLPFLHTEEEGTRFQEIKQVYFPPFKLSKKD >ORGLA06G0247000.1 pep chromosome:AGI1.1:6:23928387:23928797:1 gene:ORGLA06G0247000 transcript:ORGLA06G0247000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:I1Q5Q7] MGKDTIADLLTSIRNADMNKKGTVRVVSTNITENIVKILLREGFIESVRKHQESNRYFLVSTLRHQKRKTRKGIYRTRTFLKRISRPGLRIYANYQGIPKVLGGMGIAILSTSRGIMTDREARLNRIGGEVLCYIW >ORGLA06G0246900.1 pep chromosome:AGI1.1:6:23927876:23928247:1 gene:ORGLA06G0246900 transcript:ORGLA06G0246900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14 [Source:UniProtKB/TrEMBL;Acc:I1Q6C6] MIQPQTLLNVADNSGARKLMCIRVIGAASNQRYARIGDVIVAVIKDAVPQMPLERSEVIRAVIVRTCKEFKCEDGIIIRYDDNAAVIIDQKGNPKGTRVFGAIAEELRELNFTKIVSLAPEVL >ORGLA06G0246800.1 pep chromosome:AGI1.1:6:23927356:23927766:1 gene:ORGLA06G0246800 transcript:ORGLA06G0246800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYNPKRTRFRKQHRGRMKGKSYRGNCICFGRYALQALEPTWITARQIEAGRRAMTRYARRGGKIWVRIFPDKPVTIRPTETRMGSGKGSPEYWVAVVKPGRILYEMGGVSETVARAAISIAASKMPIRSQFLRLEI >ORGLA06G0246700.1 pep chromosome:AGI1.1:6:23925439:23926158:1 gene:ORGLA06G0246700 transcript:ORGLA06G0246700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKINPLGFRLGTTQNHHSFWFAQPKNYSEGLQEDKKIRNCIKNYIQKNRKKGSNRKIEADSSFEVITHNKKMDSGSSSEVITHIEIQKEIDTIHVIIHIGFPNLLKKKGAIEELEKDLQKEVNSVNQRLNIGIEKVKEPYRQPNILAEYIAFQLKNRVSFRKAMKKAIELTKKTDIKGVKVKIAGRLAGKEIARAECIKKGRLPLQTIRAKIDYCCYPIRTIYGVLGVKNLDIRRRRI >ORGLA06G0246600.1 pep chromosome:AGI1.1:6:23924935:23925384:1 gene:ORGLA06G0246600 transcript:ORGLA06G0246600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22 [Source:UniProtKB/TrEMBL;Acc:D2SYG2] MTSFKLVKYTPRIKKKKSGLRKLARKVPTDRLLKFERVFKAQKRIHMSVFKVQRVLDEIRWRYYEETVMILNLMPYRASYPILKLVYSAAANATHYRDFDKANLFITKAEVSRSTIMNKFRPRARGRSSPIKKTMCHITIVLNIVKKSK >ORGLA06G0246500.1 pep chromosome:AGI1.1:6:23908696:23911095:-1 gene:ORGLA06G0246500 transcript:ORGLA06G0246500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISFQLFAKGYQLLEPSIKERDAVYESLTYSSELYVSARLIFGFDVQKQTISIGNIPIMNSLGTFIINGIYRIVINQILLSPGIYYRSELDHKGISIYTGTIISDWGGRSELAIDKKERIWARVSRKQKISILVLSSAMGSNLKEILDNVSYPEIFLSFPNAKEKKRIESKEKAILEFYQQFACVGGDLVFSESLCEELQKKFFQQKCELGRIGRRNMNRRLNLDIPQNSTFLLPRDVLAATDHLIGMKFETGILDDDDMNHLKNKRIRSVADLLQDQFGLALGRLQHAVQKTIRRVFIRQSKPTPQTLVTPTSTSILLITTYETFFGTYPLSQVFDQTNPLTQTVHGRKVSCLGPGGLTGRTASFRSRDIHPSHYGRICPIDTSEGINVGLTGSLAIHARIDHWWGSVESPFYEISEKAKKKKERQVVYLSPNRDEYYMIAAGNSLSLNRGIQEEQVVPARYRQEFLTIAWEQIHVRSIFPFQYFSIGGSLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQTALDSRVSVIAEREGKIISTNSHKILLSSSGKTISIPLVTHRRSNKNTCMHQKPRVPRGKSIKKGQILAEGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTDTTSQGSAEKITKEIPHLEEHLLRNLDRNGVVKLGSWVETGDILVGKLTPQIASESSYIAEAGLLRAIFGLEVSTSKETSLKLPIGGRGRVIDVKWIQRDPLDIMVRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYL >ORGLA06G0246400.1 pep chromosome:AGI1.1:6:23898984:23901908:-1 gene:ORGLA06G0246400 transcript:ORGLA06G0246400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVENPSNGRSNGHAPANASAATANSAQATQQGQTEQNPCDAGSEADLLWKLRKYLVLLAILAAAITFQAGLGPPGGFWQQDQHGYHAGDVVLRYSYPRRYLVFFYCNTTAFGASLIVLILLLVKELSRDAIWLRSLQFAMVLGLLGLMGAYAAGSCREVRTSVYIWALLVGIFAYITLHVVFFRHLAPQWLCEIFYTIRKHWKEILGSIHGDGGTDKTGTPRQTGETGDSEKTKRLEQNRSFLLVLATLAATVTYTAGLNPPGGFWPDDNKPSHLAGDPVLRDHYPRRFKAFLVCNATAFAGSLVIIIMLLSNTAVDHVVKSNALRLCVLVSLFGLMGAYAAGSCREVHTSIYVFALVGAVFLYLCIQWIEHMVPIPCIKSSMEWVGKKKTHLLQKLGSFIMRGTRNPTEESRSTPRAQNPVNNRRSGTSDTAKDDVEKLRTYLLLLGILAATVTYQAGLNPPGGFWQDNNGHTAGDPILEAINPKRYKAFFYCNATAFVASLVIIILLQSQLITVGAMKRHILQTAMALDLFGLMGAYAAGSSRKFSTSVYVFILALVVFTYFTLHVLLSMALKTQLKTKIEHVPNLFHRFTRFCFGRTEGGSDDGRSDSVEQQNEEKDLEKRRKFLMMLAILAASITYQSGLSPPGGFWSDNDRHRAGDPVLHDEFPGRYRIFFYFNATAFMASLAVILLLVNKRLCDKGLKSYALRACVLVDLISLMGAFASGSCRRVSTSIYVILVVAAVFAYVMIQILVLQVAEQKVDLLKKRRSGFESQQRSMTLTGPTGSTDKKRTEHKWRKDLMLIGTLAVTVTYQAGLLPPGGLWPSDQGNHYAGDPILKVTHPIRYKVFFYCNATAFMASTVMVILLLNNTISKYKRSLLAMKTAMVLDLLGLLGAYAAGSCRKFKTSAYIFALVIAVFIYIVIHVLLSFDEVALLVKEKGKKWMPCLKMWDQIETGASDQPSATQSGAPPV >ORGLA06G0246300.1 pep chromosome:AGI1.1:6:23892301:23895666:1 gene:ORGLA06G0246300 transcript:ORGLA06G0246300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWDSILRGGGGGGGRRFIKRKDSDAGEAGRALEELRGSLYNEFHTSEGAKRQQQRFCGPSVALTFNFFVAVGIIMANKMVMGAVGFNFPVALSLIHYIAAWVLMAVLKAFYLLPIAPPSKSTPFSSLFALGAVMSFSTGLANISLKHNSVGFYQMAKIAVTPTIVAAEFILFKKKVSLRKVITLAVVSCGVAVATVTDLEFNLFGACVAVAWIIPSAVNKILWSNLQQSGNWTALALMWKTTPITVFFFLVLMPLLDPPGLLSFNWNIQNSSAIMISALFGFLLQWSGALALGATSALAHVVLGQFKTIVIMLSSYLVFNSDPGFTSLCGAIIALGGMSVYTYLGLKESASGGKRAPSTSRQNSHLLKSKVIVDGEKPETRPIDSV >ORGLA06G0246200.1 pep chromosome:AGI1.1:6:23873222:23873678:1 gene:ORGLA06G0246200 transcript:ORGLA06G0246200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFCSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITVSFLFMSPLQYACCTKNLLTCYYLQKLKMLSTDDLTLDDLQI >ORGLA06G0246100.1 pep chromosome:AGI1.1:6:23865551:23865817:-1 gene:ORGLA06G0246100 transcript:ORGLA06G0246100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:I1Q5P8] ADGLTMDHPIMTTEFWTSHGCLLLPYEQALPENMRVKLPHLIHAVHVAVQIVTWVTDPMHGNTMKAPCGLKTRSFATFQYLLVPITSQ >ORGLA06G0246000.1 pep chromosome:AGI1.1:6:23859619:23859888:-1 gene:ORGLA06G0246000 transcript:ORGLA06G0246000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERGAVEKPLLHTAQRDLQQQRSGCAPEPPDVFPGVPVHQRAARRQAEPATGQTEDLPVPILTETCISFHLHLYSRFPCVACVSGIRYLS >ORGLA06G0245900.1 pep chromosome:AGI1.1:6:23848561:23855483:1 gene:ORGLA06G0245900 transcript:ORGLA06G0245900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVQVSEARNLPAIDGGGGLSDPYAKLQLGRQRGKTRVAKRTLSPTWDEEFAFRVVDLKDELVVVVVDEDRYFSDDFLGQVRVPLSAVLDADNRSLGTQWYQLLPKNKKSKIKDYGEIRLTISLSLNYPEETTTLAHCVSDDLASYSDKSTELQKGSSLPNIPIEIPTSVSGGDETEIIKEDRSNGVPSFVNRLYQFFSAKPKDAEASASAPPLTTGDGNSDILEETPSTSSELPDNQDYETGVTMSFDEQLKAFGSCHEGNEIPENLSGGVLIDQVYAVAPSDLNGLLFSPSSDFLQSLAEMQGTTGLEIQQWRLENDGEVLKRVVSYTKAPTALVKAVKATEDVSYLKADGDIYATLADVSTPDVPFGNSFRVEVLTCIMPGPELPDNEKSSRLVVSWRLNFIQSTMMKGMIENGAKQGLKDNYIQFSELLARNIRPVDSKDAAATDKVLSSVQPEQESDWKLAFRIFGNFTVVSSLVAFIYVFSHIILASPSIIQGLEFPGLDLPDSVGEVVVCGVLVLQGQRVLNMIARFIQAKRQRGSDHGVKAQGNGWLLTVALIDGTNLAATKSSGYSDPYVVFTCNGKTKTSSIKFHTLEPRWNEIFEFDAMEDPPSVMKINVYDFDGPFDEVESLGHAEVNFLKSNLSELSDIWIPLKGKLAQACQSKLHLRIILNNSRGTEVMKDYLDKMEKEVGKKIAVRSPHTNSAFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEDIQVMPATLYSMGSPSLLIILHKGRGMDARHGAKQLDNEGRLKFHFQSFVSFNVAHKTIMALWKARSLTPEQKVQLVEEESEMKDLQNNESDSFLGIEDAKMSEVFSSTKPFDVSTLMSIFEGGPLEHQVMEKIGCMEYSVSPWESVRADAYQRQIHYKFDKRLARHEGEVMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEYFNLHMRYQLEQISSKPKACNVQVSIGIAWLKSCKNRKKIAQEVLSSASSRLKKMFGLLEKELLPAK >ORGLA06G0245800.1 pep chromosome:AGI1.1:6:23844951:23845151:-1 gene:ORGLA06G0245800 transcript:ORGLA06G0245800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISGGYSLMGCIKDIPTLKGDNYAEWKRKLDLAFILGEVDWVLTIPCPTEPAALVRGENESDADW >ORGLA06G0245700.1 pep chromosome:AGI1.1:6:23839000:23842934:-1 gene:ORGLA06G0245700 transcript:ORGLA06G0245700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAEPAKGLLPYLQRADELQKHEPLVAYYCRLYAMEKGMRIPQKERTKTTNSLLISLMNQLEKDKKSLTLGSDDHLHVEGFALNVFAKADKQDRAGRADINTAKTFYAASIFFEILNQFGELQTDVEQKQKYAIWKAAEIRKALKEGRRPEAGPPGGDKDEAPDSTTTNSHLTDMGRSQSFGSGQHGNEASSQHVDQDFSRRDSFSAVQPGNNALRHSTEKFNDHVSAQSPYSPPPPQSQTPPQSQFSSPAQSSYSSPSYQGTDYPSSDVHKPPHGYSSAPYTSTDYPTNEVHKPPSNYSSPPYTRTDYPSSDSYNPQSNDKPDIPTYPHTYHQPPYTIEPQHTSQNYYSTETPAAPYNYSNFQSYPSFQDSSVPSVPTHQSSFYPASDGTSAVSYSPSGSNHPAPTQYHPSADTTTHQVTPPAAAPPASQYKYDSSYQPEVEKIAEAHKAARFAVGALAFDDVSVAVDHLKRALDLLTNPSAETH >ORGLA06G0245600.1 pep chromosome:AGI1.1:6:23834137:23835401:1 gene:ORGLA06G0245600 transcript:ORGLA06G0245600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q5P3] CNCPSIXVEQCVTSIPEDHEATCWGCGLRLIFASYAPVFKCGWCGAITQSNQISRKPDSVCFSHWRNFRDRFFVTVLILFMLFVICGGVWAIYPVVFSISTFCGIFHCILTGLLAVFTITSYCLASFKSAGAPADMRWGSYPMVGKNDLENYTFCTYCSKPKPPRAHHCRSCKMCVLDMDHHCPFIGNCVGASNHHAFVIFLISVVISCSYAAGMTIYSSYRIWPPLDFENLASTRRSMGYIKMLIEIIGTLASSAFFLSARGFVTVYLAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLSSPNVMHGERGLQNLIRFFGCPYSVSRVLSGYSNTGKLQDNSSSKLL >ORGLA06G0245500.1 pep chromosome:AGI1.1:6:23822060:23829378:1 gene:ORGLA06G0245500 transcript:ORGLA06G0245500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGGGQAAGGGAPTAAERALAAVARERLLEAAELLARPRELFPREAVRALVEDLGLARGRDPSAMGYRPRRASIAERILLTKRKMEEIKEAPVYPTTNVSQTTATRATTVFQHGASKPTIGLPMNISAVASFPVTTPPTIPSPNILKQTQLNESPSGVKAAGTSSIVSVPSVGPTNIKVEKGVNSPTCTQNGATIGQANKSAHLTATMSNPNIVRSSSHEGTPQHEKAPVIRPITVKNGMTHQSRPGVSFIQRQSTFPNHSAIAKTVQQVLHQPVNHPNWIPPSTEYMRSGLGCQVCKVFIIDIHSMIICDACERGIHLKCLQHDGVNVLPPKAEWYCPTCVARSKGKPLPPKYGKVTRTVVAPKVNLISGVPSQGVSENRTTKDNNQELAADGTVIDKNSSEANRIVHNSDKLALESSKEQSQSGSASAAVDKGRGKPQGVGTMENNAISERGNVHELTSNGDLSTKNEIVIGDAKDKTIVCSTDHSIVGWVGDPLRVVENKTYYYSCNIDGIAYNLDDHILVASKDKESAPSKLQSLWEEHDSRSKMALVSPYFFASDIPELISKPCTAEENEVFASCNQRTVTVSAICGQCEVLHVDKFREETKGSQVVSSRLHPIFLCRWKYDESTSSFSSVNN >ORGLA06G0245400.1 pep chromosome:AGI1.1:6:23816266:23817850:1 gene:ORGLA06G0245400 transcript:ORGLA06G0245400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLIEDAIKAFCGAALPKSMVIADLGCSSGPNALTLVSAMVNAIHRYCMEHKQPQPEMCIFLNDLPCNDFNTVAKSLGEFKHGQDSSSHHIIVTSMVPGSFYDRLFTSTSVHFFCSSISLHWLSEAPEELVKSKIPMYDSDDKLRLLNREIVDNAYARQFRKDFTLFLSLRAQELVLGGQLIFSLVGRCSSNHASKSTQVWKLLAVALNDMASRGMISKEKFDTFHIPIYAPLDKELDSIIEDEGSFRINKTMVYDAFHATDGMLPSPNIMASMTRAVFEPVIVQHFGFSGETMADFSSAVERLSSSSFLEAEFPLVCLCLSLTRAR >ORGLA06G0245300.1 pep chromosome:AGI1.1:6:23813423:23815439:-1 gene:ORGLA06G0245300 transcript:ORGLA06G0245300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1Q5P0] NREEMDLADKMIPLVNYMHDHLLRETQLLSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRLGHSNLIPNYTVKALIANWCESHNIRLPDPMKSLKLNFPLAASALQDSSTTGSSPLHPTVAAKGNIPGSPEADLYMRSLNRASPPHSVVHQNSHAHVNRAGHEASIKQSSENANGSASDVSRLSLAGSETRESSLEERNAGSIGQTSEQSIEEAFQASNLDRDSHDRVGSSSVNGSLPNSGQLDAECDNGPSERTNYSSDASGEVTDGPSASSAPQREHLIPSRLADVRSRGQFVRRPSERGFPRIISSSSMDTRSDLSAIENQVRKLVDDLRSDSVDVQRSATSDIRLLAKHNMENRIIIANCGAINLLVGLLHSPDSKTQEHAVTALLNLSINDNNKIAIANADAVDPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIVQADAVKYLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQARGIPALVEVVELGSARGKENAAAALLQLCTNSSRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSARR >ORGLA06G0245200.1 pep chromosome:AGI1.1:6:23811733:23812452:1 gene:ORGLA06G0245200 transcript:ORGLA06G0245200.1 gene_biotype:protein_coding transcript_biotype:protein_coding CKEQQQDDASGSGMVMEPRSMEYIAALAAGNQARHLLDVASSAGGGGASSSSSSSPATAVALAIAAARTGGRLVCVRDDQQGLDGVRRHLRRLGLATSAVDFQLAPSPSAAVRRLRRVDFAVVDAGVERCGEVLGAVDVDPMGAIVVVTNVFQEERTSWSSRSGHGDGSRVCSYGQVVGKGRSMVLPIGHGGMEVTKLGLGRRVGGGGLIGAHLQWQRQQMKKLVSTPKRTFLVCDGSS >ORGLA06G0245100.1 pep chromosome:AGI1.1:6:23809921:23810322:1 gene:ORGLA06G0245100 transcript:ORGLA06G0245100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSGRSGGGTTAPLFSRIHTSLISVWRAISRAQVEVRPQWENGAPNNASSQTKNYEITLSFWGDGGIVPFEPFFHAFPGGLEKAAINRTSLILPS >ORGLA06G0245000.1 pep chromosome:AGI1.1:6:23806142:23806369:1 gene:ORGLA06G0245000 transcript:ORGLA06G0245000.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLRLLIICSLILLYPTALPLEPTKGEIPLAEVLRFNTKVDCLILNVIIMVSCGPLMVILRFGQNWYKSYMSKIPW >ORGLA06G0244900.1 pep chromosome:AGI1.1:6:23790144:23793642:-1 gene:ORGLA06G0244900 transcript:ORGLA06G0244900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQPQQQGPPEDDFFDQFFSLTSSFPGAAPGGRAAGDQPFSLALSLDAAAAAEASGSGKRLGVGDDAEGGGSKADRETVQLTGLFPPVFGGGGVQPPNLRPTPPTQVFHPQQSKQGGAAVGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDSGGNQQIWEKWSTDGTERQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQQTQDGQPVKHEPNTPS >ORGLA06G0244800.1 pep chromosome:AGI1.1:6:23780748:23784931:-1 gene:ORGLA06G0244800 transcript:ORGLA06G0244800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein [Source:UniProtKB/TrEMBL;Acc:I1Q1T2] MHKTAQAWFTGGPAAPAASAGESQPSLLADWNSYAASRPDASSSSPLPFDIEAAVRSANDTVSGTFSVVTKGVRELPGSFQSATSSFPSGKALMYFGLFLATGIFFVFIAFALFLPVMVIMPQKFAICFTLGCGLIIASIFALKGPASQFAHMTSMERLPFTGALIGCMVGTIYVSMFLHSYFLSVIFSVLQVLSLAYYTISYFPGGSSGLKFISSSLLSSVTSCFGR >ORGLA06G0244700.1 pep chromosome:AGI1.1:6:23753965:23756442:-1 gene:ORGLA06G0244700 transcript:ORGLA06G0244700.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLSLLAKSAFELLKWRILQRPFLETAIMTILSSANDPNWRTRSALLSYLRTFTYRHTFILSSSEKSQIWQTIEKLLVDSQVEVREHAAGVLASLMKGIDKDLSKDFRDRSYAQAQRILHTRQRGAKSGHSVATIHGAVLALTASVLSVPYDMPSWLPSHVTLLARFIREPSPIKSTVTKAVAEFKRTHADTWSIQKEAFTEDELEVLRDTSSSSSYFA >ORGLA06G0244600.1 pep chromosome:AGI1.1:6:23741939:23743103:-1 gene:ORGLA06G0244600 transcript:ORGLA06G0244600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVTHSFVFLAHWPSAGSFGLNTDILATNLINLTVVVGVLIYFGKGVCNLLSLKDLLDNRKQRILSTIRNSEELRRGTIEQLEKARIRLQKVELEADEYRMNGYSEIEREKSKFD >ORGLA06G0244500.1 pep chromosome:AGI1.1:6:23740108:23741631:-1 gene:ORGLA06G0244500 transcript:ORGLA06G0244500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1Q643] MATLRVDEIHKILRERIEQYNRKVGIENIGRVVQVGDGIARIIGLGEIMSGELVEFAEGTRGIALNLESKNVGIVLMGDGLMIQEGSFVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEIVASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQKGQDVICVYVAIGQRASSVAQVVTTFHEEGAMEYTIVVAEMADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLNSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKSKLELAQFAELQAFAQFASALDKTSQNQLARGRRLRELLKQSQANPLPVEEQIATIYIGTRGYLDSLEIGQVKKFLDELRKHLKDTKPQFQEIISSSKTFTEEAEILLKEAIQEQLERFSLQEQT >ORGLA06G0244400.1 pep chromosome:AGI1.1:6:23739220:23739528:1 gene:ORGLA06G0244400 transcript:ORGLA06G0244400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKKKFDSEREEAAEIRTEISFDSSIFKKKIRSKVYPLSLSEKTKMREKLQSLPRNSAPTRLHRRCFLTGRPRANYRDFGLSGHILREMVYACLLPGATRSSW >ORGLA06G0244300.1 pep chromosome:AGI1.1:6:23736866:23739070:1 gene:ORGLA06G0244300 transcript:ORGLA06G0244300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A2 [Source:UniProtKB/TrEMBL;Acc:I1R2E3] MELRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWIQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGAAGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSTLSLIGGWLHLQPKWKPSLSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLDVLPYPQGLGPLLTGQWNLYAQNPDSSNHLFGTTQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLIAGHMYRTNFGIGHSIKDLLEAHTPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPSYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTTYGFDILLSSTSGPAFNAGRTLWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >ORGLA06G0244200.1 pep chromosome:AGI1.1:6:23734588:23736840:1 gene:ORGLA06G0244200 transcript:ORGLA06G0244200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A1 [Source:UniProtKB/TrEMBL;Acc:I1Q6B3] MMIRSPEPEVKIVVDRDPVKTSFEEWARPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTGDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGALIFASLMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQIHVSLPINQFLDAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYAEFLSFRGGLDPITGGLWLSDIAHHHLAIAILFLIAGHMYRTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSTTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWVQNLHAGAPSVTAPGATTSTSLTWGGGELVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGTISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIIQGRAVGVTHYLLGGIATTWAFFLARIIAVG >ORGLA06G0244100.1 pep chromosome:AGI1.1:6:23725877:23726152:1 gene:ORGLA06G0244100 transcript:ORGLA06G0244100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDRGDVLTEYKSVKHKSLFILEMKWIDAFLVVIHKGLLSEVTTAVDHIVAMFELQLLEKKVYAQFIMQLQLHEAIQDDLSAYKKRNIADR >ORGLA06G0244000.1 pep chromosome:AGI1.1:6:23713346:23715480:-1 gene:ORGLA06G0244000 transcript:ORGLA06G0244000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAIRATTAVLKATSPTTPMASPPLAPTKCLTECPNNNITWVAANSNHIGEMLAPTAAWELGDRKDMDQAPYIATKDLPKVTPTKCSTLCSSFDNKPDLTVAVVVTCATSVKSLMELVATDSTTSGTHIDTPDSTKAMPTNCSMFGMMVNTGTIQTGVVFPLFLDKLDIVTMLTLHWARLKPWPPPHEDDLTYILVNQREVELWRTILVDHNKEGLLMIIELYVLDLNDCYLSWSHLILASVLIVELSSTRQCGYEIISFKSNHVDKLKLFGMSINVLEQCEHLEGDLIRLIIKEKLMPWNSGMGICLCCLLVIQLPVGKLKWNVSVISLFHLLITKVNEFPRGITTGGKSTLWTSSECTCVGLHRRYPVLLPFWISRAEKWVMRHIGMTILVPESWQQASYKVLYGAEKLLNLNAEDKSYTRVKSFEEGASSVQYKVCPFGLVPASVVLDDVAYTTSGTTWHYKCLTDKMRMPRAEVNHKPMWTRYLSKQGCHSLQHTKMLSLNVGKKINTLLLLYVTQERFKGIEKLSARGARGYILLAWDKPNLKKRGLSCIVGLIMSFVDYSPIQPNKAQLERQQSSTNKVPGSHRTEQASAASATAASWF >ORGLA06G0243900.1 pep chromosome:AGI1.1:6:23693261:23693542:1 gene:ORGLA06G0243900 transcript:ORGLA06G0243900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSLLHSDLAAKLVTTLMALLYYDLAAFVAEALGQAGKKWDWGEVLPSGMGEEELASALSIKLQVVAKIMVVVVVGAGGGEERDREGGPKCK >ORGLA06G0243800.1 pep chromosome:AGI1.1:6:23687230:23690405:-1 gene:ORGLA06G0243800 transcript:ORGLA06G0243800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAGDAPPPAAAAREEEEEGVSCGICLTDARRAVRGELDCCAHHFCFVCIMAWARVESRCPFCKARFRTITRPPVPGRFPSQRVVAVPERNQACNPLGNGSSTVDADLYANTSCSVCNLSNDDELLMLCELCDSAVHTYCAGLGTEIPEGDWFCTDCMTAKEEHSRCEIDDDNSSDHGEFKITIEVPIADPVAAPSISDIVDEGHSPNLVQRSSVQSNRPSISDPVPSIYDIVDDDYTTIPIGRVNARSTRLDSRAERLPSQGISVGPQCPESPQERENSRVCSHARSRIESERARTLRNSRNLGSRIRELRENWSALRSGSIGFATQLHNRRRGNGAGTCDIEERHRSTTTFMEVAASSSGHAKKISPKNSSDVHKAWKMLEMAKSSGGKKKPDNPSSLNCSVPFSMGNRSTSYSPIDAILGHKNNKLYDGITQKNNAEQHRSTNMENKPPTMNFGECRKLQEKFHGSAHGRTPSTIMRQESLTGKVSSSSNNEKHNHSINMENRPPTVNFGEHRKLQESASVHGRIPSTVMMQENLNGKVASSSNNEDAGQIFESSRDVSRPEKSKPVVSCPLTFSLLSGQSMVTSSQQLRPGWSQSTEMVSSQEPSATAASIDIGTAGANDKVKGSRPDRLERKRKLGSETHDDKGSKRSMSSCKIRKSDISFLAIRELKLLNIDKTYGSDTFKEVARAATHTVLASCGLEHSPSVALALPRPVCKHTCKTEPLPSPDILTDFCRECLCNFVKEVISSLLSGRKME >ORGLA06G0243700.1 pep chromosome:AGI1.1:6:23680571:23683675:-1 gene:ORGLA06G0243700 transcript:ORGLA06G0243700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRAADVVIGVTAGVAAAVAAAALVLLAICLYRRRRASASVAAPARSPESSTATLRANGSLNSSVSLSVASDWDHHPPPAKRAAAFWAWRGGANNGSHSPPPVSVSGIPKYHYKDLQKATNNFTTILGQGSFGPVYKAVMATGEVVAVKVLASDSRQGEREFQTEVALLSRLHHRNLVNLVGYCVDKGQRILIYEFMSNGNLASLLYDDNKRSLSWQERLQIAHDVAHGIEYLHEGAVPPVIHRDLKSANILLDHSMRAKVADFGLSKEEVYDGRKSGLKGTYGYMDPDYMSTSKFTKKSDVYSFGIILFELITAINPQQGLMEYIDLAAIGGEGKADWDEILDKNLIVGNIAEEVRILADVAYRCVNKNPKKRPWISEVTQAISRIRQLQLMKLDTLNLPRSETRTVLRRIEHQHVELTDLTSMKELTPITA >ORGLA06G0243600.1 pep chromosome:AGI1.1:6:23677431:23679533:1 gene:ORGLA06G0243600 transcript:ORGLA06G0243600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVTSLSHPSTASSAKFDGRRSEERRKKGLIVAAASSALTSIPLSLLAMSRNLESPVQTQMAVSALNRALSSEYPSKSRSEGRASGWKRIFVQTDTGCVLAVQLDRGDNAHTVKRKLQLALNVPTEESSLTFGDRVLKNDLSTIRNDSPLLLTKTFMHRSSSTPCLSPTGKDIQQQRDRGGPIELLVCPSRCSRTKQLVKDVARAIRNCVDPIPVNSGLGGAYYFRNSKGENAAIVKPNDEEPFAPNNPKGFTGKALGQPGLKRSVRVGETGFREVAAYLLDYDNSANVPPTVLVKISHPVFNVNECVSSANMKASKDYPGAVSKIASFQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLTGPGKFGNQTELIPIDHGLCLPECLEDPYFEWIHWPQASIPFSDDELEYIANLDPMKDADMLRMELPMIREACLRVLILSTIFLKEATSFGLCLAEIGEMMSREFTGMEDQPSELEVVCMEARRLAIEREESSTEIDSGDEDATQFELDCEDDHEMLKAQPAYHFELKGGSSRNPLSKLDEAIEEEEDDIEEEESNAEKLGYPKAINKWLPNISKLSTSLNGVRLGDKIQCQLPAAPKIMDPVKIFEGNSNHSGSQVGNWRSANEQLPTSASFVKLADMGSETWALFLEKFQELLPEAFRSRKCGAAGQRARQRLGTSCQF >ORGLA06G0243500.1 pep chromosome:AGI1.1:6:23650620:23655364:-1 gene:ORGLA06G0243500 transcript:ORGLA06G0243500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQERDDVPMLELQRFPTRSVSMCIPVRDDIYEDSIISHSGPIFTPAPTQYTSVAIPSGNRDMLDKLPRPKVKSKPHVTPEEVGISNWPYDQHVPKNKHLMMYSEPLGLCDNPDCVDCPRACKNKRHFQRSLAPFDNKFHNILYGYGDRWKKKAGHYLSYIPIMKPHDKAVHRWNQFFVISCLLSIFNDPLFFFLLSVDKDYKCIVFNWNFAIALAAGRSVTDAIYFLHMLLQFRLAYVAPESRVVGTGDLVDEPMKIAMRYLRGFFVLDLFVVLPLPQVMILLVIPKYVGLSSANYAKNLLRATVLLQYVPRIIRFVPLLGGQSTNGFIFESAWSTFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRDSCAASNISKALCNNCTDCGITGINRNNWLNNSDLTGCFDTKTGNFPYGIYQQAVLLTTEPGLKRYIYSLFWGFQQISTLAGNLIPSYFVWEVIFTMAIIGLGLLLFALLVGSMQNFLQALGKRCAVVPQVYPI >ORGLA06G0243400.1 pep chromosome:AGI1.1:6:23629078:23642103:-1 gene:ORGLA06G0243400 transcript:ORGLA06G0243400.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSSIDVFHLQGFVSDARAYWVTRSLIAWNVNDQDTSLFLYASRDATMHVSDGAIHGYDSKIELEPEHASLPDNVAEKFPFIRSYRTFRVPSSVDVASLVKCQLAVASYDAHARHQDVTGLQLPGVLDDMFAYTGPLGAVFSDKDVDLYLWAPTAQDVRVCFYDGPAGPLLQTVQLKELNGVWSVTVPRYRENQYYLYEVKVYHPSTSQVEKCLADDPYARGLSANGTRTWLVDINSETLKPASWDELSDEKPNLESFSDISIYELHIRDFSAHDSTVDCNSRGGFRAFTFQDSAGIRHLRKLSAAGLTHVHLLPSFHFASVDDNKSNWKFVDEAQLAKLPPGSDEQQAAIVSIQQEDPYNWGYDPVLWGVPKGSYASNPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLDSSGPFGVSSVLDKIVPGYYLRRNVNGQIENSAAMNNTASEHFMVDRLIVDDLLNWAINYKVDGFRFDLMGHIMKSTMIRAKSAIRSLTRDVHGVDGSKIYLYGEGWDFGEVAQNKRGINASQINMSGTGIGSFNDRIRDSVNGGNPFGNPLQQGFSTGLFLEPNGYYQGNEADTRRELATYADHIQIGLAGNLKDYVLRTHTGEAKKGSDIYTFDGSPVGYTSSPVETINYVSAHDNETLFDIVSIKTPIGLSIDEKCRINHLASSMIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKLDFTYETNNWGVGLPPRDKNEENWHLIKPRLENPSFRPLKNHILSVFDNFVDILKIRYSSPLFRLSTASDIEQRVRFHNTGPSMVPGVIVMSIKDAQNEKCEMAQLDKNFSYVVTIFNVCPHEVSIEIHDLASLGLELHPIQVNSSDALVRQSAYEASKGRFTVSRRTTAVFVQPRC >ORGLA06G0243300.1 pep chromosome:AGI1.1:6:23621356:23623592:-1 gene:ORGLA06G0243300 transcript:ORGLA06G0243300.1 gene_biotype:protein_coding transcript_biotype:protein_coding INSTMNKKEKWLDKALDRILEKAITVILKGASSPTPMAPPLPVHTNCLMXCPNDSSSSTISRSIYINEGTAPTVILEHEDGEGKDHMPFIVIKDLPEFTPTMCSMICSSSDTKPDLTVAVVVTCATSVESSMEMVATGSTTDDTHIDTLDSTKVMPANCSTVGLDVKGCADHTRVTCRTMMGVPEGVLVPDASSKVFSPWLMAEMDLIPLLPTGCSMKCPKDKKLLMGNAKRNSWPPSWLGGVIRRWELQPLHWPGSKLYLEGLPLMPPWPPPAGVSFLAWEPFDIGVLVIGTVILTQEIARLKPWPPLSLVSSLAWGMEGREVYGLAMQGHHMNSQSMELARIISKELARIMKERQLSNKELQCIFEGASPGKMCINPKALIHDGSLRSLLSKLQVHSIPNALSFTKQEHIKSLSLSQCSDIMVRFDLTWNLEVHLDSGGVLLQFLNAAALLYHRRVAQGYRSTLKLPICESISMLQVIKSIAANLIWDVEARNRLVVKKQDEDFSGGKLSDXDAKGANTKACQALQP >ORGLA06G0243200.1 pep chromosome:AGI1.1:6:23606135:23613765:1 gene:ORGLA06G0243200 transcript:ORGLA06G0243200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRGSGTAQQEGEGEAPGGEGXIGQSRRWRGSEAGFGYRFPKXXQGEGCNGRXGGERNAQEEEEEGEGEGEGPRKGEEEQGHCRXHRGGLCRGGAGXSFRTEGGAALLGACSGXYEQMRLGGRQEYXERXXVDDEEEEEEREKSGDGXRGADTCWFYXXKCRLVACGDGQGXKGTGXEVQEEQTEAXGWXACGRWICRXXNHDQQRXEEEKKGAICXAERGXSSXHIXEGSEDKGKQEEKKXKRXVXSXLKHXCTHWRRXGWWRWQKXXEKKEERYIDXKEXGWXGXXEXXEKKESKEGNGGRKGEKEKAAQSKDKVRRVSFSDAVEVFSINDGEDEDNGKSAESEVVHGKRFTPEENATLMEAIMSYIEMKQLGENGLEMIRACSKHPELKGCWAEIGKSLPHRPLTAIYKRARILLYRSDERKWTPEEYEKIRRHVEKNGTSWISLAQELGKSEIHLKDTWRRIKPKNLKSVARFCISIKWKDSLQSQWTQDEYQNLFDLVNLDLRVKAHQEYDAGNRKLRDNIAWEAISDKLTTRNHKNCCLKWYYQLASPLVQKGIWADTDDYRLVEALQNVDAVCVEDIDWDNLLDHRSGEVCRQRWNEMVRYLGGHKEKPFIEQVEVLSKRYCPEMVDYREGEA >ORGLA06G0243100.1 pep chromosome:AGI1.1:6:23603462:23604429:1 gene:ORGLA06G0243100 transcript:ORGLA06G0243100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTMVVLFVVAASLLLLSQDVAFAARELADASGEASKGGDKKDDISISIGVTVGATPVVTINTKPKHHGKTPSYGHSHP >ORGLA06G0243000.1 pep chromosome:AGI1.1:6:23585847:23587594:-1 gene:ORGLA06G0243000 transcript:ORGLA06G0243000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFLVECLSWLVVVLFSLYIFQLLRDARRRLPPGPWPPKPLVGDLLDLGEDGKQHRTFLRLADRYGGLMCLRFGMVPHVIVSTPDALRAVFAAGAGGGEGKKVDGIAGLPSLDVLSAMGHRAHTIFALPSQDGKWRALRKFAAAEMLAPRRISSAAAGAQLQTKIVEALRREVSGHAARGDAVVFRHAVLDSILSLLLGVLYSTDLEREERAMFRDLIEEIVGMLGTANVSDVFPPVAALDLQGLRRRMTDLLTIMYRHFDDQVALRRRSRDAGEARKNDVLDTVLDKEESEWKQEGSLLSHDVMRVLLSDLYGAGASTTAALIEWGMVDLIQNPEVMTKVREELTNVLGDKLVMDESDIARLPYLQAVVKETLRLRTVVPLVPRKAEVDIEVNGYRIPKGTNVILNAWAINRSANTWSEPDKFIPERFHGGETRGYLGQDFEMIPFGLGRRICPGMPLAQKLIPLIIGTLLHRFEWELPADAKEGGIDMTEKCGVVLSLVNPLKAIPKEI >ORGLA06G0242900.1 pep chromosome:AGI1.1:6:23559483:23562000:-1 gene:ORGLA06G0242900 transcript:ORGLA06G0242900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSDLKSVKSPQSVSVKKRRDPGETGKKSTADSNGENGAVAPVGLLGGENKPKDKDEIVLLREQIEELQKTLLEKEEALKSAESLVGEMNTLYSTVDELRRQVADKEGLIKSINSQLHNAKIMLADKQASLEKLEWEVKTSNKKVEDLQGDVSNMEFEIGSLMALFEKISENVSGELQDGSLPSSFELEALQSTSEIDKIEVEKIEQEAVTYAEALAAARENPNEEQLNIAAEARLRLQVLVL >ORGLA06G0242800.1 pep chromosome:AGI1.1:6:23556403:23556789:-1 gene:ORGLA06G0242800 transcript:ORGLA06G0242800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATVAILFYILTAAAAISAAAQAPAESPSPKPSKSTAAATPAKAPTVASAPRKAGPAAAPTTTVATSAPAGGDEVSIPPTPFATVVSPVADGPADAADADFSGAGALKRCAAVAGVAAAIATVTFY >ORGLA06G0242700.1 pep chromosome:AGI1.1:6:23551814:23552585:-1 gene:ORGLA06G0242700 transcript:ORGLA06G0242700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTLNASTVFFLLLLLLCVSVMSPPFTPASASPMGLTADAKVALLLYHAVAAHYSEEALKAINGEVNTLATDGGGGGKVLNLTIEEDDDGAGATVKLSSSSGNVARVTKTIQDADPHAVYLIDAVLMPLEVVVNVSSGGGAAAPSPAPVTSPAPAPAQATNPSPSPDSKPDNQPAAEQPPENSASKGGMAAWSLLSVVVPAIASLVLR >ORGLA06G0242600.1 pep chromosome:AGI1.1:6:23530011:23530316:-1 gene:ORGLA06G0242600 transcript:ORGLA06G0242600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit K [Source:UniProtKB/TrEMBL;Acc:I1QWL4] MMFEHVLFLSVYLFSIGIYGLITSRNMVRALICLELILNSINLNLVTFSDLFDSRQLKGDIFAIFVIALAAAEAAIGLSILSSIHRNRKSTRINQSNFLNN >ORGLA06G0242500.1 pep chromosome:AGI1.1:6:23529285:23529530:-1 gene:ORGLA06G0242500 transcript:ORGLA06G0242500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I iron-sulfur center [Source:UniProtKB/TrEMBL;Acc:I1Q6F0] MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLGPETTRSMALSY >ORGLA06G0242400.1 pep chromosome:AGI1.1:6:23519952:23521372:-1 gene:ORGLA06G0242400 transcript:ORGLA06G0242400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q5L1] ATTTTTSDPAAAPAPAPHVLLVPYPARGHMQPLLHLASRLAAAGLRLTVVTTTSTLHLLSPLLAEHPSSVSPLTFPSFEHDTSGPTSVGVDLHALAALREPLGEWVRARARSGGEGGRVVAVLSDFFCGWTQPLAAEAGVPRLVFVPSGVLATAATHSLFRRMPRPPPAAAGREYAVEFPGLPGAPAFPWRQLSRMYRSYVEGHGGEHAEAIKNNFLWNLESSAFVCNTTRSRGATSTRSRSRTWRGNASGRWLDAFPDASVAYVSFRSMMALPPPHAASLAAALERSKTPFVWAASTATLPEGFEERAAAASASASAAGLVIRGWAPQTAVLRHRAVGCFVTHCGWNSVVEAAAAGVPMLAWPMAADQFFNARLVVDEARVGAPVSLGGFGHVPDAGELAGVLREVVGEAGGELRARAKELAARMAEAARGDGSSRRDLDGMVRELWDLGSRS >ORGLA06G0242300.1 pep chromosome:AGI1.1:6:23514891:23516363:-1 gene:ORGLA06G0242300 transcript:ORGLA06G0242300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATSGSAAAHVLVIPFPAQGHLIPMLDLVRLLASRGGLRLTVVTTPAMAPLILATAAAVHPGGGGGGAISALILPFPSHPAIPAGVESAKGFPPSLCGKLVVAFAGLRAPLASWARARADTPDRVVAVLSDFFCGWTQLLAAELGVPRVVFSPSGVYGAAVMHSLFRVMPRREDENDDESPVGFPDIPGSPAFPWRQMSRMYRAYKEGDXVSDAVMSNFLLNVQSSSFVSNTFGQLERRYLERPLADMGFRRVRAIGPLAPQHDESGNRGGETAVAATELCAWLDQFADRSVVYVSFGSMAQLQPPHAAALAAALERTRVAFVWAAGSHTPLPEGFEERAAGGRGTVIRGWAPQVAALRHRAVGWFVTHCGWNSMLEAVAAGVTMLAWPMVGEQFVNARLLVDELRAAVPLCWGGVPTPPSADEVARVLEATVAADGGEAGGEWSHVAARVKELAEEAAAATREGGSSWVEVDELARELRELGSEPAR >ORGLA06G0242200.1 pep chromosome:AGI1.1:6:23503351:23505162:-1 gene:ORGLA06G0242200 transcript:ORGLA06G0242200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDGVLQVMGTSMNRRSLLQAKGACPVSFENQNYTTITSKCKSPWPADLCCPALNEFACNFSQYINDESTNCADSMFIYLNAHGNYPAGLFSNECAVLDCNGSNSTIGTNQTANGSGARGAKDISEMYSLVTTLIVSGLAVLLFY >ORGLA06G0242100.1 pep chromosome:AGI1.1:6:23500614:23501093:1 gene:ORGLA06G0242100 transcript:ORGLA06G0242100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit C [Source:UniProtKB/TrEMBL;Acc:I1Q5K8] MQQGWLSNWLVKHEVVHRSLGFDHRGIETLQIKAEDWDSIAVILYVYGYNYLRSQCAYDVAPGGSLASVYHLTRIQYGIDNPEEVCIKVFAQKDNPRIPSVFWIWRSSDFQERESFDMVGISYDNHPRLKRILMPESWIGWPLRKDYITPNFYEIQDAH >ORGLA06G0242000.1 pep chromosome:AGI1.1:6:23499839:23500516:1 gene:ORGLA06G0242000 transcript:ORGLA06G0242000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit B [Source:UniProtKB/TrEMBL;Acc:I1Q5K7] MSLIEFPLLDQTSSNSVISTTLKDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDALTKLRKKISREIVEDRTLSQKKNRCFTTSHKLYVRRSTNTGTYEQELLYQSPSTLDISSETFFKSKSPVSSYKLVN >ORGLA06G0241900.1 pep chromosome:AGI1.1:6:23499424:23499786:1 gene:ORGLA06G0241900 transcript:ORGLA06G0241900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit A [Source:UniProtKB/TrEMBL;Acc:I1QKU8] MFLLHEYDIFWAFLIIASLIPILAFWISALLAPVREGPEKLSSYESGIEPMGGAWLQFRIRYYMFALVFVVFDVETVFLYPWAMSFDVLGISVFIEAFIFVLILVVGLVYAWRKGALEWS >ORGLA06G0241800.1 pep chromosome:AGI1.1:6:23496831:23497739:1 gene:ORGLA06G0241800 transcript:ORGLA06G0241800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPTAPAMAPAANGGASAAHVLVVPFPAQGHLIPLLDLAGLLASRGLRLTVVCTPATAPLLAPLLAATHQGAVSALTLPFPSHPALPAGVENAKGSGPALFAKLIVAFAGLRGPLGTWARARADTPDRVVAVLSDFFCGWTQALADELGVPRVVFSSSAVYGTAVLHSMFRLMPKREDEHDDECPVSFPDIPGSPSYPWRQLSLLYRFYKAGDEVSEGVKNNFLSNMGSSCIVSNTFRQLEGRYLERPLADLGFMRVRAVGPLAPEPDASGNRGGETAVAASDLCAWLNQFADGAVVYVSFGSM >ORGLA06G0241700.1 pep chromosome:AGI1.1:6:23477156:23478460:1 gene:ORGLA06G0241700 transcript:ORGLA06G0241700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCSWLCSLVILQAVCSACWQVAGANSLRLAVGQIGGVFVYLQDPSLMSRRARDELVDGSCSGDEDLADHGAWPQPGGGAGGDHGGVPRGGEAGEAEADVDVDGDGGSAGDVECQVCHKRFKNDKSMFGHLRSHPNRGYKGATPPLKMSSTPSSSSPVIPAGSPPPQPPPSSSSSLRPVGDSNSSMPTPGISLTTYEKLAACVMLTLRRRYDRDQRQLQAPPKLERAGAGDQLATNMVEGAEGSSRAIVGDEHEHEARRRKKGKRKLKEPREEERKVKKEKKRHPYMCKHCNEEFSTHQALGGHMAGHHKEKRILLKEKQRERSLVLEKEPERSHHLMEEKHPERGLILEKKQLERSSIVLKEKQPDKNLILEEEQPEVVYQDKIDQTMNWQKTERNEGASYLGGGSNTAPIAQEDSRPPFGFDLNVEAPEQE >ORGLA06G0241600.1 pep chromosome:AGI1.1:6:23468866:23471057:1 gene:ORGLA06G0241600 transcript:ORGLA06G0241600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTYQYAWVIPLLPLPVIMSMGFGLFLVPTATKNLRRIWAFPSVLLLSIAMVFSVHLSIQQINGSSIYQYLWSWTVNNDFSLEFGYLIDPLTSIMLILITTVGILVLIYSDDYMSHDEGYLRFFVYISFFNTSMLGLVTSSNLIQIYFFWELVGMCSYLLIGFWFTRPIAASACQKAFVTNRVGDFGLLLGILGFFWITGSLEFRDLFKIANNWIPNNEINSLLTILCAFLLFLGAVAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLIARLLPLFISLPLIMSFISLIGTLTLFLGATLALAQRDIKRSLAYSTMSQLGYMMLALGIGSYQAALFHLITHAYSKALLFLGSGSVIHSMEPLVGYSPDKSQNMVLMGGLRKYIPITRTCFLWGTLSLCGIPPLACFWSKDEILSNSWLYSPFFGIIASFTAGLTAFYMFRIYLLTFDGYLRVHFQNYSSTKEDSLYSISLWGKRISKGVNRDFVLSTAKSGVSFFSQNLSKIHGNTGNRIGSFSTSLGTKNTFVYPHEPGNTMLFPLLILLLCTLFIGSIGIHFDNEIGELTILSKWLTPSINCNFFCKSSYFWSIHSIYVLWICLLFFSEFGFNKFLCKRGSEKDFFHQLKKKIYSWSYNRGYIDIFYTRTFTLGIRGLTELTQFFDKGVIDGITNGVGLASFCIGEEIKYVGGGRISSYLFFFLCYVSVFLFF >ORGLA06G0241500.1 pep chromosome:AGI1.1:6:23460372:23460935:1 gene:ORGLA06G0241500 transcript:ORGLA06G0241500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGCGHRDSKSARSAGGGGDGSGSTASATATPAAAPASSNTLSAAVSQPSSVDALSPPPAPMFADQATAFASLFAPPPPPPSQALPAFASFTAQPKAEEDVADAPALAATEQHRSSSAASFAAHSISPPFAAARSSDGPAAAAAAAAADWAPPTAVLDAGMFDLAGDTSYWNAASWTDHDGTIYLP >ORGLA06G0241400.1 pep chromosome:AGI1.1:6:23459598:23460269:1 gene:ORGLA06G0241400 transcript:ORGLA06G0241400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVDDYYEEALLEIDDHQRRMGELETEVTDLTAQQLQLEEEHQAWGSEIDSLKAQLQVHGDQFQQLSDQYNDRRGMMDTLEEQLRESQEHVSQLEEQLRAATISTTGASTSTAVGRDRYFFLTPPYPPAFHALLGEASMLATESAPYLVDPTATQPPVPEMVHTPLIPTPSPQLGSSLETPIQVDSETEGTDTEPEIEPDITDPSEDETPVPRITFLGGPRTLST >ORGLA06G0241300.1 pep chromosome:AGI1.1:6:23455369:23456018:1 gene:ORGLA06G0241300 transcript:ORGLA06G0241300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLILFGVLLASLLLVSQDVVAARELTEAHESERENVKPEVEQNNWGGGYMHGGGYEHGGGYSQPGYGGGYGQPGYGGGYGQPGYGSGYGPGYGGGGSGPGYGGGYGSPGYGGGYGSPGYGGGSGYGGGYGGGYGGGYGSGSGYGGGGGYGGGSGGGGQHGGWH >ORGLA06G0241200.1 pep chromosome:AGI1.1:6:23451265:23453152:1 gene:ORGLA06G0241200 transcript:ORGLA06G0241200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQIVHMNPGQGETSYARNSTIQKTAQDRMKPLIEEAVTAFCGVSVPKSMAIADLGCSSGPNALTLISSTVDAIHRYCMECAQPPPEMCLFLNDLPSNDFNSVAKSLAEFKHSQDVSSHHVVVANMVPGSFYERLFTSDSVHFFCSSISLQWLSKAPEELAKRKIPMYDSDERLRLLNHEIVANAYARQFRKDFTLFLSLRARELVLGGRLIFSLIGRCSSNPASVSTQVWKVVSVALNDMASRVSSFYPF >ORGLA06G0241100.1 pep chromosome:AGI1.1:6:23439543:23443747:1 gene:ORGLA06G0241100 transcript:ORGLA06G0241100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAAAAAADAVLRQQQPPWPFVALVIVGAIHVAALAFRLASHLCLCLRRPRDLRRRYGAWAVVTGPTSGIGRSVALELARRGLNLVLVGRDPAKLRDVSEAISKLGGGGVETRSVVFDLALASTAEGDEAVRRLREAVAGLDVGVVVNNAGVARPCAVYLHEAEAEAWVRMIRVNLWAVTEVTAAVLPGMVARGRGAVVNIGSGSTEAIPSFPLYSVYAATKRYVAEFSRSLYVEYKSKGIDVQCQAPLFVATNMTSGVAKAAGGGDDAAAKRSKRRQRRWLSPLFVPTADAYAAAAARWIGHGAVCMPNLCHRLQWCVSRAVPDAVHDRVRLRENLRQRALFQRLRRRPPPPDDQPKAKIDG >ORGLA06G0241000.1 pep chromosome:AGI1.1:6:23429823:23433344:1 gene:ORGLA06G0241000 transcript:ORGLA06G0241000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRHERIKKGWSGSAAVWLLLVPLFVLIVLKTDFLPQVARLGDTSFTKVADEMVQKVSSLGLDRARWQQQQTLDVAKLEDSVVGTSDELTGHVDANNEDSNQPNQQILAMSRSKDSRLINSDVAAAKTSHLSCNFSSAHMDTCAMDGDIRIHGRSGVVYVVASSDYRPENATAVIRPYPRKWEQATMERVRQITIRSTAPPGAAVADTDGGGAIIPLRCTVARDMPAVVFSTGGYSVNFFHTMNDILLPLYITAREHGGRVQLLAANYDRRWTAKYQHALAALSMYPVVDLDADAAVRCFPSARVGVESHRVLGIDTPLTGSNGYTMVGFLAFLRSAYSLPRHAVTRTTPRRPRVVMVLRRKSRALTNEAEVVAAVAEAGFEVVAAGPEEAGDVAGFAATVNSCDVMVGVHGAGLTNMVFLPRNGTVVQIIPWGGMKWPCWYDYGEPVPAMGLRYVEYEVAANETTLRERYPMDHPVFADPVSIHRKGFNHLWSTFLNGQNLTLDVNRFKAVMAEVYTSITAAPV >ORGLA06G0240900.1 pep chromosome:AGI1.1:6:23423109:23423744:-1 gene:ORGLA06G0240900 transcript:ORGLA06G0240900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIERAGRRRLQMAGFLLMAVFLFALAGPYDGYWRDHAKTAGYIVLYSLTFFSANLGPNTTTFILPAELFPARFRSTCHGLSGAAGKLGALVGSIGFLWASQQKDGAAAGHLPGIGMMYALFVLGGICLLGLALTYAFTPETMTRSLEENESSVQAQSQVGDGGSDAGNGSDGLRFHELNVLMEAATKSPVSMASSHLSMSPILPHRMSL >ORGLA06G0240800.1 pep chromosome:AGI1.1:6:23417283:23418477:-1 gene:ORGLA06G0240800 transcript:ORGLA06G0240800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVEEYTERDPKNKFILNTIISEFANRRPRGAFIAAVFSMQGFGILVSSAVTMAVAAAFDHYAGHPAPLDTPECADLAWRIILMAGAVPAALTYYWRMPMPETARYTALVERDVVKATNDIGRVLADLDLAAVAEEEVARRRR >ORGLA06G0240700.1 pep chromosome:AGI1.1:6:23413591:23414007:1 gene:ORGLA06G0240700 transcript:ORGLA06G0240700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVGGLHSSPPADSAAVAAVAKDAEYQKGVQKLVDLWSKLNPVAREFIPSSAAVSSLSRKALSEDAPVFDYNSIGSWNWGGKESSVDAYQQHRLGR >ORGLA06G0240600.1 pep chromosome:AGI1.1:6:23411396:23412658:1 gene:ORGLA06G0240600 transcript:ORGLA06G0240600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQTSRYTALVERDVVKATNDIGRVLADLDLGAVAEEEVAAALSRPPPPPRPSYGLLSRRFVRQHGRDLFACAAAWFLLDIPYYSSTLFQSQIYRPLFPAPGLINAFQEAFNVAKFQAVIAVASTIPGYFVAVLLIDRVGRRRLQMAGFLLMAVFLFALAGPYDGYWRDHGAHAGYIVLYSLTFFSANLGPNTTTFILPAELFPARFRSTCHGLSGAAGKLGALVGSIGFLWASQQKDGAAAGHLPGIGMMYALFVLGGICLLGLALTYVFTPETMMRSLEENESDRAQTQVGDGGSDTEAAKSPASMASSHLSMSPILPARVSV >ORGLA06G0240500.1 pep chromosome:AGI1.1:6:23409314:23409565:1 gene:ORGLA06G0240500 transcript:ORGLA06G0240500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b559 subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1QKV4] MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITDRFDSLEQLDEFSRSF >ORGLA06G0240400.1 pep chromosome:AGI1.1:6:23395113:23397938:1 gene:ORGLA06G0240400 transcript:ORGLA06G0240400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDADDNKQQPGGGGKATVASHPKSREWQLRKYLLLLAILVATVTYIAGLDPPGGVWLETTDEHLTGDPILPDTRRLRYDLFYYFNATAFVASLVLTILLLPFRVEGPRLMAVRGVMVVDLLCLMVAYIAGSCRGRHTTIFASVLSATIFVYIVVHALVAPSTDTPEKKTVHDSPDKEKAMDMEDGHLHGCSSNPLDMKETVEDGKLRPKERRKVLMLLSIFMVTITYTAGLSPPGGTWEHAAEEGGAAAAGGGHHRAGDPVLQEGHYWRFVAFFVLNTVAFVASLTVIMLLLSTSMGNNGRRLSALNVAIAFALLGLMGAYASGSCRETETTVYVLCLIGAVLLYISCLAVIKFLSRKTKPQAQTHGCCGWMTATARPGPACRSDCASPTDLGPDPVMPGPCQGEQPTEPSIATGSRRNSASKNREDSTDPVERARSLILLLATLITTVTYQAGLDPPGGVWRDDDNGHSGGGLILPATHAKRYKVFFYCNSAAFVASIIVIIMVQSRSLIGRRALEAAVILDLFGLIGAYSAGSCRDVRTSIYVFSLAVAIFVLVVAIYVVISKLPHDKKGKLEEKSKLEKKQKLLLLLAILAVTITYQAGLTPPGGFWIEHTDEDHRYGDSILADNYPLRYKAFFYCNATSFMASVIAIVCLMSRNLSSIAVGYCNALYACMAAGLVGLMGAYAAGTTRRLRTSIYVFALVGAVLIFAALHIKFFHKILIGCPSFFSSKKQDEVTKNHDQATGSKGSTGKKCTNNHDEETTDEYKEKYKMRKYLTLLGILAASVTYQAGLVPPGSVWPTNDGKGHAAGNPILGDTDGCRYHAFFYSNSTSFAASIVAIVLLLQGTLILPELNDPDRFGPMHMVVVLDLLGLLVAYAAGSSRDWGTSGYVVAMAVMVLAYVAIYVFLSLRDRKGSEGRATTEVRSSSSTSQSSRSTLEV >ORGLA06G0240300.1 pep chromosome:AGI1.1:6:23391123:23391728:1 gene:ORGLA06G0240300 transcript:ORGLA06G0240300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:I1Q1X7] MSRYRGPRFKKIRRLGALPGLTRKTPKSGSNLKKKFHSGKKEQYRIRLQEKQKLRFHYGLTERQLLRYVHIAGKAKSSTGQVLLQLLEMRLDNILFRLGMASTIPEARQLVNHRHILVNGRIVDIPSFRCKPRDIITTKDNQRSKRLVQNSIASSDPGKLPKHLTIDTLQYKGLVKKILDRKWVGLKINELLVVEYYSRQT >ORGLA06G0240200.1 pep chromosome:AGI1.1:6:23388471:23388599:1 gene:ORGLA06G0240200 transcript:ORGLA06G0240200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYGHCATTTSCCTCCQGEGEGEGEGEGEGEGEGEGEREGEEG >ORGLA06G0240100.1 pep chromosome:AGI1.1:6:23385058:23385258:-1 gene:ORGLA06G0240100 transcript:ORGLA06G0240100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L33 [Source:UniProtKB/TrEMBL;Acc:I1R2C9] MAKGKDVRIRVILQCVSCVRKGANEESAGISRYSTQKNRHNTPGQLELRKFCRYCRKHTIHAEIKK >ORGLA06G0240000.1 pep chromosome:AGI1.1:6:23384312:23384803:-1 gene:ORGLA06G0240000 transcript:ORGLA06G0240000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S18 [Source:UniProtKB/TrEMBL;Acc:I1Q6D5] MYTSKQPFHKSKQTFHKSKQTFRKSKQTFRKFKQPFRKPKQPFRRRPRIGPGDRIDYRNMSLINRFISEQGKILSRRINRLTLKQQRLITLAIKQARILSFLPFRNYENEKQFQAQSISIITGPRPRKNRHIPPLTQKFNSNRNLRNSNQTLRNNNRNLSSDC >ORGLA06G0239900.1 pep chromosome:AGI1.1:6:23383730:23384089:1 gene:ORGLA06G0239900 transcript:ORGLA06G0239900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L20 [Source:UniProtKB/TrEMBL;Acc:I1Q5I6] MTRVPRGYIARRRRAKMRSFASNFRGAHLRLNRMITQQVRRAFVSSHRDRVRQKRDFRRLWISRINAATRIHKVFDNYSKLIHNLYKKELILNRKILAQVAVLNPNNLYTISNKIKIIN >ORGLA06G0239800.1 pep chromosome:AGI1.1:6:23366030:23366260:-1 gene:ORGLA06G0239800 transcript:ORGLA06G0239800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMATQTVEDSSRPGPRQTRVGNLLKPLNSEYGKVAPGWGTTPFMGVAMALFAVFLSIILEIYNSSVLLDGILMN >ORGLA06G0239700.1 pep chromosome:AGI1.1:6:23364438:23365136:-1 gene:ORGLA06G0239700 transcript:ORGLA06G0239700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6 [Source:UniProtKB/TrEMBL;Acc:I1PI01] MKFSYTVLGGGFGLVTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFSSVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFLMIRKQGISGPL >ORGLA06G0239600.1 pep chromosome:AGI1.1:6:23346238:23346990:-1 gene:ORGLA06G0239600 transcript:ORGLA06G0239600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAFPNGGAAAPPPPMAAEQPAAAAAVVREQDRLMPIANVIRIMRRVLPPHAKISDDAKEVIQECVSEFISFVTGEANDRCHREHRKTVTAEDLVWAMDRLGFDDYVPPLTAYLRRTREYEGGGSGGGGGGGRGAAAAPAVVPPPPPPPPEDAFRYVQVHHPVYAAPGEPVQGYGYPVAMSSALPAPHVHVGVRGGGQHEVFGGGPAPLAVYYGGALYGEASSRGGCSAADEGSSSSSASPAPVGPNYE >ORGLA06G0239500.1 pep chromosome:AGI1.1:6:23337193:23339283:-1 gene:ORGLA06G0239500 transcript:ORGLA06G0239500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPLRLRLAVAFLPLLLAAASASAAAARGGFNVSFDSAALAFSDLTLLGDSFLRNGSVGLTRDTAVPSSSAGSVLCSRAVAFGSGGGSAASFAARFSFVIAEQNAGSTGGDGIAFFISPDRATLGATGGYLGLFNSSSSAAKTNASIVAVEFDTMLNDEFGDPSDNHVGLDLGSPVSVNAVDLAAFGVVLNSGNLTTAWIDYHGADHLLQVSLSYSAAKPAKPVLSVPVDLSPYLRDAMYVGFSASTEGSTQQHTIKEWTFQTFGFPSATNSSSFSNTTGNASAPTVPGEAAAGGAASRKKRFGLALGILGPVALAVSFVFFAWVSIRKLIELTSRKDAGFLPELVKGPRKFSYKELSAATRGFHASRVIGKGAFGTVYKAAMPGTATASAVSYAVKRSTQAHQSRNEFVAELSVIACLRHKNLVQLEGWCDDKGELLLVYEYMPNGSLDKALYGEPCTLSWPERYTVASGIASVLSYLHQECEQRVIHRDIKTSNILLDGNLSPRLGDFGLARLMDHNKSPVSTLTAGTMGYLAPEYLQSGKATEQTDVFSYGVVVLEVCCGRRPIDKDDGGGKNVNLVDWVWRLHGEDRLIDAADPRLAGGFDRDEMLRLLLVGLSCANPNCDERPAMRRVVQILNREAEPVPVPRKKPLLVFSSSASIKLQEIAFACGDDVRGGLPAAATSPRSEGGDIER >ORGLA06G0239400.1 pep chromosome:AGI1.1:6:23334448:23334945:1 gene:ORGLA06G0239400 transcript:ORGLA06G0239400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTMCSTKCFSPDVEPNLTVDVVVTCATTAMTSVDLVAAEDAIGATYIYNPIQPMVTPAKCLTNCSNPNDIPDLTMVAVVTCTSTSLASMDLEVGEDVACTTEIDGLNCHKETHTKCSMLGLDVKGGADHVGDVFLTMTGVAKAVPISIESIDIFSARLVSDLK >ORGLA06G0239300.1 pep chromosome:AGI1.1:6:23330774:23332231:1 gene:ORGLA06G0239300 transcript:ORGLA06G0239300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q1P1] MCSAATPNSGDVRATPGSSRPHVVLLPSAGMGHLVPFTRLAAALCSGHGCDVSLVAAVPTVSSAEARHLAAHFAAFPAVRRLELDLASLDVSEFAGADPFYVRYEAIRRSASLLAPLLAGGASAAASALVADIALASVVIPVAKDLRLPCYVFFTASATMFSFLAYLPTYLDANAGGGHAIGDVDVPGVCRVPTSSVPQALHDPDDIFTRQFIANARSLANADGLVVNAFDALEPEAVAALRQGTVAAGLPPVLAVGPLSPAPIPAKDSGSYLPWLDAQPARSVVYVSFGSRKALPRDQLSELAAGLEASGHRFLWVVKGAVVDRDDAGELTDLLGEAFMQRIHGRGLVTMAWVRQEEVLNHPSVGLFISHCGWNSVTEAAASGVPVVAWPRFADQRVNAGVVARAGIGVWVDTWSWEGEDDGVVSAEDIAGKVRSAMADEGVRKAAASVREAAARAVAAGGSSYRSLAELVRRCRDGHVITNGM >ORGLA06G0239200.1 pep chromosome:AGI1.1:6:23319316:23321600:-1 gene:ORGLA06G0239200 transcript:ORGLA06G0239200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYMEDREHEKSLQAEKEELKEVSVSYGHEVKLSNLFPTRFGHKNYQHTFEGMDHGRHVHARGNKMQQLADVFFFRDALRPGSVITPTIPPTTSLPAFLPRHVADAIPFSADRFADVLAMFAPASLAMAREIRWALDTCGQRAAALLPGEKAGCATSLESLADLAASLLGTRDVRAFSAADLPTDAATTPARRGRYNVTSVRELSAMAGSGSSSSSEPAPAAVVACHDLTYPYAVFYCHSTKPTAVYAVTLVAATTGDGDGEGEAASPAKMEALAVCHLDTSRWRADNPFFVAHGVKPGEVSVCHFLTKLSIVWVPRHEQGGPRAAA >ORGLA06G0239100.1 pep chromosome:AGI1.1:6:23309003:23316828:-1 gene:ORGLA06G0239100 transcript:ORGLA06G0239100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLGNTAPTHLESAVSMPMPSEFSAAAAVAPPAPLPAMPSAPPARMPSSSSSKMPRGRLLGPGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEQNKPQITGKIEIAIQIVGDAETYHPRICWHSHKQEILFVGVANCVLKIDTTKVGRGMDFSREEPLKCPLDKLVDGVHLVGKHDADITDLSLSQWMTTRLASASKDGMVKIWDDRKSVPLSVLKPHDGQAVYSVSFLTAPEHPQHINLITAGPLNREVKIWASTNDEGWLLPSDSETWRCTQTLELVSSLEHRFEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPASTRLDYIADFTVAMPILSLTGTHESQLDTEQIVQVYCVQTMAIQQYGLELSLCLPPTADNPGFGRDPAISHVYERPPAEVTVVESSKETSLIDSSVVGPTKPASNNQALEANVPSQVQSTTPPSSIDLGYLEEGALRRGPSRGPSLGDRDIDPSSLDYSSKKRMNSDGASGQGSFGRKDSFGKEEPRGSQGDGTKTSDPCPMFKVGGNATHLITPSEIISGVLSSPETIAIGSSQNVEVDAKHVAGRKSEQSVELEAVKETQIVHEKRERPPKTAEQTVNTISERLVTTDKYSVEDSQSRSADGSVSTLLKHPSGAGDENTVSEAPEKTSDGYASRNLQLTLATKEEKVLHPQVSGQLSPSTSTYNSADSSHEPPSNVNPPIDNVPQVGIQETLQQLMAMHSDLQKQLSTIVSAPIAKEGKRIETSLGRNMEKSIKANIDAMWARFQEENAKHEKAERERMQHITTLITTAVNKDIPVMLEKSLKKEISSVGPAVARTTAPIIEKSLSSAVSDSLQKVLGDKVVNQLDKSLSTKLEATVARQIQTQFHTSAKQALQDALRSSFESTIIPAFEQSCKTMFEQVDGAFQKGMSEHGAAIRQQVATAHTPLAQTLRETIASASSINQGLASELLDGQRKLLALVSSGGSLSHNTSVLQPSNGPVASLPEVDAPLDPVKELSRLISERKFDEAFTMALQRSDVSIVSWLCSQVDLHELCRMNPIPLNQGVLLALFQQLACDIVNDTPRKLEWMTAVAVAISPTDPMIAVHVRPIFEQVYGVLNHQRSLPASSSSEATNIRLIMHVINSVLLTYK >ORGLA06G0239000.1 pep chromosome:AGI1.1:6:23272095:23273414:1 gene:ORGLA06G0239000 transcript:ORGLA06G0239000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVAIEARDLVGVERVELPNPASMVTAYWQKMLPHSPMPTAILELLNPPTDVNQGVHGNDYDQVYGNGYDGGYINGYSHSYGNGYSNGYFHKANLHFLEDALKPGSIITPYITGIATRAPFLRRDIADSIPMSTKNFADILAMFSPISLVMADGIQSALDTCEHHRPIKGEEHACVRVPRLSSRWLSLLCLYSEHVTSVPSPPMSPQRGSCQETCTRW >ORGLA06G0238900.1 pep chromosome:AGI1.1:6:23251786:23252520:-1 gene:ORGLA06G0238900 transcript:ORGLA06G0238900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSFLNLLKASVPDGEISRNFSCIKIGGLFLRDTFSPPPCTLTQPSMQSVPQEPPPVSDFGQNFCSQIYPFENQLLRFTSGTPFMFPLLSSAHSFPITSKVCLKNYHHM >ORGLA06G0238800.1 pep chromosome:AGI1.1:6:23250576:23250770:-1 gene:ORGLA06G0238800 transcript:ORGLA06G0238800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTARSKTVVSEKKPLIKFALNVPRVAQTEALPGFTKLLAAKQDKWILLNCLSSMGCTNRSFY >ORGLA06G0238700.1 pep chromosome:AGI1.1:6:23245141:23249750:1 gene:ORGLA06G0238700 transcript:ORGLA06G0238700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPRRRYGLLAVVVTLIVLASLQIQFHHLKEDRLTGDRTFATTTTDPVHWRTGAEGLPRGIVHSNSDMYLRPLWDSGANPKNKNDNHNALLAMAVGISQMQNVDIMARKFLNQNYTVMLFHYDGNVDGWHNLEWSDKAIHILARNQTKWWFAKRFLHPDVVAIYGFIFLWDEDLGVDNFDPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKMTKVHRRIYDNRASMNCSDGSKGPPCTGWVEGMAPVFSRAAWRCVWHLIQNDLIHGWGLDMKLGYCAQGDRAEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELEKFKERWNRAVREDEEWTDPFDT >ORGLA06G0238600.1 pep chromosome:AGI1.1:6:23241367:23243898:-1 gene:ORGLA06G0238600 transcript:ORGLA06G0238600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain [Source:UniProtKB/TrEMBL;Acc:I1Q5H3] MATAFDSPTASPAASPFDDDSFLRFDAAAPAPAPADAFPPSPEPYAFRPDAPSPFGMPEANGSLHDDPFAAPDNDNGPVLPPPNQMGADEGFLLREWRRQNAILLEEKEKKEKEMRNQIILDAEEFKKAFVEKRKLNVETSKDQNREREKLYLANQEKFHAGADKQYWKAISELIPHEIANIEKRGAKKDKDKEKKPGIVVIQGPKPGKPTDMSRMRQILLKLKHTPPPHMKPPPPPAAATGKDGAAGKDGAKVAAAASKDASANGSVPEMEKAAAAAAPAAAATEPIAAA >ORGLA06G0238500.1 pep chromosome:AGI1.1:6:23237002:23238988:-1 gene:ORGLA06G0238500 transcript:ORGLA06G0238500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDAVKEAAGYFAAFSSPRKKPKTAAAAALSLETPSPQDDGNGGLLASATNATTKRTQGKPLDSPSGGTRTGLGDMLPFDMDIHPLDDAGFSAHSKQDDDADDGVERLVSKHRYGRHTDSFQQGRLATESMESPLLERTLEIRDRSYKLKIESCRGNKSQSNEAQQRPSHHTTTSDNIDDESNAVDSDGDEFSHNVIEAAEILRKARECMMARDDEETADALLYKSARLLSTAVALRPSSLVAVGQLGNTYLLHGELKLKVSRELRTLLANTGALLNGRDRVSRSRKLDIRILSRENISSALVDVCEECESLLVEAGRSYRMALSIDSGDVKALYNWGLALIFRAQLLADIGPEAAIDADRVYLAAIEKFDAMLSKSNTYAPEALYRWGIALQQRSYLRSGNNKEKMRLLEQAKSMFEDVLYVEADNKTVREALSSCIAELNYHGRWL >ORGLA06G0238400.1 pep chromosome:AGI1.1:6:23222171:23231845:1 gene:ORGLA06G0238400 transcript:ORGLA06G0238400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDEEPNPHKDDMSQGKFRLIHTGKKWKKVSDSLFLLKSLIFLRASILPAFCAITSEWLMETLLVVVVGPEAPRNSLDSPLYDHTTITMTSTMRQKPPKGSTPQDRTTAKNVIHKDIFPSQPSVIARLMGIDTIPVSAKRDEVMIHAEEVSNLKLPSKLEMITVASPRSATFRQSKCSLISYGSSSVDYTYRQCLKKMRPRRSRSRQHHPQEELLEKIREDFQAWQTSKALENARTVVTASGCPTITSSRHRMEEGRYIQILAQENLHKEKMAKYGYGSCTISMAEKDTLKNATDNSSDTEITSAKAAAESNISPGDKVIKVLRVSHCATMPDKFRDLEDEHNNSISTSAKPRSQKRIVLLKPSTCDIVASDQESLFSSSKVKREGNMEEFLEEVKERLKKELKLKSKSEVVRRSWGTTDPKQIARDIAKQIRETVRRQDLGKRLYSRSESFRAFRSDRKRNAAATAARNASPEHVSPKSVTSRTSGTNQGSNDCSPPIIRRSRGRIRSLTDMPLSVSVSESVPASGFDDQSYTGECKFADADVVSPRALVRSFSAPASGISRGRLFAEEDNNVDSGRHGNSDAVSEGAAVAASKNSSSFSLRGTVSNLRNSLRSRANKLFGKKTHWSMKPSLGEFHPHKMASGMLPPSPPEILSPFIVAQASTVLHLSKSKLYFRPDLERIRITFFCTMDSLPLLLLFQENFTELPPSPVSPLEVKGSSSRHFFSDLNCNLPELSPKSWSEFDTTPRASNESSSCKNRTNATETEESYTEMAYIKQVLIAAGLYEDGSSYSSPSMMNNARVDSTARRPICDYVFDEVEEIYNTEEDAADHRMLFDLANEALEITMMGSTKTGSSLWRWVVDSTGVSPGRKLLDDVWQQFVLLQVQSVRNPPVQQEMQTVESMVAREAWTSPWIEVLHEDSYVLGRKLERAIFDQLIADIVQELFISQNAAD >ORGLA06G0238300.1 pep chromosome:AGI1.1:6:23218305:23219616:1 gene:ORGLA06G0238300 transcript:ORGLA06G0238300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKSKGAAKPDAKLAVKSKGAEKPAAKGRKGKAGKDPNKPKRAPSAFFVFMEEFRKEFKEKNPKNKSVAAVGKAAGDRWKSLTEADKAPYVAKANKLKAEYNKAIAAYNKGESTAKKAPAKEEEEDDEEESDKSKSEVNDEDDDEGSEEDEDDDE >ORGLA06G0238200.1 pep chromosome:AGI1.1:6:23213121:23214743:1 gene:ORGLA06G0238200 transcript:ORGLA06G0238200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF23) [Source:Projected from Arabidopsis thaliana (AT4G37420) TAIR;Acc:AT4G37420] MQARRRHARQCRLVVAGLIIVTTLLFFTGDAPRVFIDAPTQNQLPRRLPLSLAAVREAATWPADAVLLPDWEVLLLLHPNATAIAHNATCAFQGGASSPARALGRLPSSGRHAYTCAMPEPARRHQPFHAPRIVAMDAVHASPHDDDELVMMVKWSGRLVYDSVVVDGGDLLVFAKGVNPRQGVNRPASDVRCVYYRGRGGSADDVVASLPAATSAQQVFRCPPPPPAALLRVTLALAGEEEPIPSVATYSLPPASAAATHKRRHKICACTMVRDVGKFVREWVAYHAAVGVGRFILYDNGSEDDLDEQVRRLTAEGMDVTTLAWPWPKTQEAGFSHSAAVHRDACEWMAFIDVDEFIFSPNWATAASPSSSMLRSIVAVKPDVGQVSLGCVDFGPSGRTTHPPEGVTQGYTCRRRAVERHKSLLRLEAAERSLVNSVHHFELREGKRGEWNRRARVNHYKFQAWDEFRLKFRRRVSAYVADWTHRVNLQSKDRTPGLGFDPVQPAGWAAKFCEVNDTLLRDVTRRWFAAAGESQLQAAR >ORGLA06G0238100.1 pep chromosome:AGI1.1:6:23208020:23210805:1 gene:ORGLA06G0238100 transcript:ORGLA06G0238100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANPIVTALSAAVFGFFIGISFPVQITPQLQCGLLPCSSGDGANYSFSGSSMIGILWSPFRNTTILSNGTSENPALTKPKGTEKLPPGLVVTESDLHMRRLWGSPREDVATGKYLLALAVGYSEKANVNATVLKFSDKFDVVLFHYDGRTTEWDDLEWSKQAVHVSAKKQTKWWFAKRFLHPSIVAPYEYIFLWDEDLGVDNFTAEEYVKVAKKNGLEISQPGLDSTRGKKTYEVTVRRNDGREMHKFVEVMAPVFSREAWTCVWHMIQNDLVHGWGLDFNFWRCVDNPEEQIGIVDAQYVSHHGVPTLIAQGNGEQQGSSEKVRARQWAEMRTFHDRISNAEKKLGDSSQALEEYHP >ORGLA06G0238000.1 pep chromosome:AGI1.1:6:23197683:23203045:1 gene:ORGLA06G0238000 transcript:ORGLA06G0238000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAASSIFTALSAAVFGFFIGISFPVEITPKLQYCAFLPCDGTNTNSSSSSDSNNNMLNFWAPSVRNSTSAPSNATISGNGTTTAAAAVAKKPQGAERLPPGIVVRDSDLHLHRLWGHPTSDVASGKQYLVTLTVGYTEKDNINATVHKLSDKFDIVLFHYDGRTTEWEEFEWSKKVVHVSAKKQTKWWFAKRFMHPSIVAPYEYIFLWDEDLGVDNFSAEEYISIARKHGLGISQPGLDATKGKRSRYTATARRPAGDMHTSGRFVEVMAPVFSRDAWACVWHMIPNDLVHGWGLDHNFWRCVDEPEEHIGVVDAQFVVHRGVPTLISQGNGEQEGSSAKVRSRQFDEMRTFYRRIADAEKAQADATAAAADHHR >ORGLA06G0237900.1 pep chromosome:AGI1.1:6:23187752:23195311:1 gene:ORGLA06G0237900 transcript:ORGLA06G0237900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANQDFQGPVSSPPPSPPPQVAPSDTTRHISIQQPIILMTFSDLMRDSPFFYTRLATXASRNILSLYQTSSELQEVYMMLYIVVIFKDGGSIYLPIQQAVGGLLEYCAFLIPCGTNTNSSSSASNINILNKYMSLWAAPFSVRNSTTANFSSNATISGPKEKSKIEAEAVQVSRKKAAEERLPPGIVVRESDLHLRRLWGNPTSDVASGKQYLLTMSVGYTEKANVNATIHKLSDKFDIVLFHYDGRTSEWEEFEWSKRVVHVSARKQAKWWFAKRFLHPSIVAAYEYVFVWDEDLGVDNFTAEEYISIVRKHALDISQPGLDGTKGRRQYPVTVRRPSGDMHNSGRFVENDLVHGWGLDFNFWRCVHEPEKHIGVVDAQFVVHRGVPTLVSQGNGEQDGSSAKVRSRQFEEMHTFDRRIASADKAQANATAAEQHR >ORGLA06G0237800.1 pep chromosome:AGI1.1:6:23183580:23186625:-1 gene:ORGLA06G0237800 transcript:ORGLA06G0237800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCASAIDSFFFTKRANNENDDDDAAPGMSASKRTTSSTTTGKLSTLSNSTFIPSTISGVSTDDAYPDGQILESPNLRIFTFAELKNATKNFRTDTVLGEGGFGKVYKGWVDERTMNPSKSSTGVVVAVKKLNPESVQGTEQWESEVNFLGRISHPNLVKLLGYCKDNDELLLVYEFMAKGSLENHLFRRGAVYEPLPWSLRLKILIGAARGLAFLHSSERQIIYRDFKASNILLDSNFNAKLSDFGLAKHGPDGGLSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLSGLRALDPSRPSGKLNLVDWAKPLLADRRKLSQLMDSRLEGQYHSRGALQAAQLTLKCLSGDPKSRPSMKEVVEALEKIELIKSKSREPRNSSSLVRGQGNSPRSDSARTNSKGR >ORGLA06G0237700.1 pep chromosome:AGI1.1:6:23178804:23181653:-1 gene:ORGLA06G0237700 transcript:ORGLA06G0237700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q5G4] MFPLRRRPGFLAVVLLLLLFLSFQGMDDADKTIAYTDQDGRIKLFKVTMTEFLSSSIWKNPLQPKDTQPLAQTVFRRKRKEDRTQELLQVDREAELNMRNVATDRSRNFSNKVRASYNIWRPGFHHTNTDSTLRLMKDQIIMAKVYATIAHSQKQPDLYVLLMTCIKQSQEGIGDAHMDYKLDLSALERAKAMGHALSSARDVLYNSGEVSRRLRVMLQSTELNIDSVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYHFRDGVVKEYFRDAALKEEEDKAKREDRSLYHYAIFSDNVLAASVVVRSTVTHAKEPEKHVFHIVTDRLNFAAMTMWFISNPPLPATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDVDLKGIVNGAVETCKESFHRFNTYLNFSHPKISENFDPHACGWAFGMNMFDLKEWKKQNITGIYHYWQDLNEDRKLWKLDTLPPGLITFYNLTYPLNRTWHVLGLGYDPSVDLVEIENAAVVHYNGNYKPWLDLAISKYKPYWSKYVDLDNSHIQRCYMSEQ >ORGLA06G0237600.1 pep chromosome:AGI1.1:6:23174574:23178047:1 gene:ORGLA06G0237600 transcript:ORGLA06G0237600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase [Source:UniProtKB/TrEMBL;Acc:I1Q5G3] MDPYKHRPSSGSNSTFWTTNSGAPVWNNNSALTVGERGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPSPKTNMQENWRIVDFFSHHPESLHMFSFLFDDVGIPLNYRHMEGFGVNTYTLINKDGKPHLVKFHWKPTCGVKCLLDDEAVTVGGTCHSHATKDLTDSIAAGNYPEWKLYIQTIDPDHEDRFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQLAFCPAIIVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAYHNNHHDGSMNFMHRDEEVNYFPSRFDAARHAEKVPIPPRVLTGCREKCVIDKENNFKQAGERYRSFDPARQDRFLQRWVDALSDPRITHELRGIWISYWSQCDASLGQKLASRLNLKPNM >ORGLA06G0237500.1 pep chromosome:AGI1.1:6:23165964:23166518:-1 gene:ORGLA06G0237500 transcript:ORGLA06G0237500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVFVHHLGGGSGGDDPTHPWLSLKSSHEMDDAVASWREKLADMAAADERAGRYPCPLCDRHFPTEKAVHGHMRSHPGRGWRGMEPPREPSPGDLALAADGKRYRYVCDRCKAPFETRQALGGHRASHSTKKGCSWHAKQLAMAKPPKNDFDLNHLSLEAIQAAAQEEQAAQEGNKDEEPKN >ORGLA06G0237400.1 pep chromosome:AGI1.1:6:23150462:23152439:1 gene:ORGLA06G0237400 transcript:ORGLA06G0237400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1Q5G1] MLRMGAAVAEAEPSGRQLSDGDLLEELLSTANAARAFHEFRQSQRKECFNLLRWLQLLLPLVQELRESAPALSDDAYRRLALLGRAFQAARRLLRCCHDGSKIYLTLESEAVMGRFRGVYEKMNMALEGMPYAELGVSDEVKEQVELISAQLKKRSKKRTETQDMELAMDLMMILQSKEQDANNADRPILDRLAKRLQLQSLADLRAETMAIKKLINDHQSDSTNQIVDLLHRLKAIAGVDEKNILGDVFIPKYLEKCPSLMIPNDFLCPISLEIMTDPTYERRSIQKWLDAGQRTCPKTQQPLGHLSLAPNYALKNLIMQWCDKNKVEIHSGDPPPEPPEDPKVVIPTLVKDLSSPNLDVQRKAVKKIRTLSKENPENRLLVTDNAGIPALIGLLPYPDKKMQENTVTSLLNLSIDEANKLLIARGGAIPLIIDVLRNGSVEGQENSAAALFSLSMVDENKVAIGTLGGIPPLVDLLQNGTVRGKKDASTAIFNLMLNNGNKLRAIEAGILPTLLKLLDDKKAAMVDEALSIFLLLASNPTCRGEVGTEHFVEKLVQIIKEGTPKNKECAVSVLLELGSSNNALMAHALGFDLHDHLADIAKNGTSRAQRKANSLIQLARKCS >ORGLA06G0237300.1 pep chromosome:AGI1.1:6:23142622:23142959:1 gene:ORGLA06G0237300 transcript:ORGLA06G0237300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWSMTRKAARSSGISKQQWRLAWPPLNGGYGILRERWKDHGQRLRRLLDLAKPATTLRVVVGIAISIGYFNTNQCDGGAIYLDE >ORGLA06G0237200.1 pep chromosome:AGI1.1:6:23137358:23139855:-1 gene:ORGLA06G0237200 transcript:ORGLA06G0237200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMRSENFNQGVSMEGVKHAPEMANTNRRALRDIKNIIGAPHQHMAVSKRGLLDKPAAKNQAGHRPMTRKFAATLANQPSSAPLAPIGSERQKRTADSAFHGPADMECTKITSDDLPLPMMSEMDEVMGSELKEIEMEDIEEAAPDIDSCDANNSLAVVEYVDEIYSFYRRSEGLSCVSPNYMLSQNDINEKMRGILIDWLIEVHYKLELLDETLFLTVNIIDRFLARENVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRTDILEMERMIVNTLQFDMSVPTPYCFMRRFLKAAQSDKKLELMSFFIIELSLVEYEMLKFQPSMLAAAAIYTAQCTINGFKSWNKCCELHTKYSEEQLMECSKMMVELHQKAGHGKLTGVHRKYSTFRYGCAAKSEPAVFLLKSVAL >ORGLA06G0237100.1 pep chromosome:AGI1.1:6:23129270:23131903:-1 gene:ORGLA06G0237100 transcript:ORGLA06G0237100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEQLYSVFRSYAPPIWASITAGIFVITSLSLSLFLLFNHLSAYKNPEEQKFLVGVILMVPCYAVESYISLVNPSISVDIEILRDGYEAFAMYCFGRYLVACLGGEDRTIEFLKREGSSGSDVPLLDHETGQRYVNHPFPMNYMLKPWPLGEWFYLVIKFGLVQYVIIKTICAILAVILESFGVYCEGEFKWNYGYSYTAVVLNFSQSWALYCLVQFYAAIKDELAHIKPLAKFLTFKSIVFLTWWQGVVIALLYNWGLLRGPIAQELQFKSSIQDFIICIEMGVASIAHLYVFPAKPYEMMGDRFIGGVSVLGDYASVDCPLDPDEVKDSERPTKTRLPQPGDRVRCSTGIKESVRDVVLGGGEYIVNDLKFTVNHAVEPINEKLHRISQNIKKHEKEKKKTNDDSCINSQQSLSRVISGIDDPLLNGSLSDNSGQKKSRKHRRKSGYGSAESGGESSDQGLGGYEIRGHRWITRE >ORGLA06G0237000.1 pep chromosome:AGI1.1:6:23121600:23127808:-1 gene:ORGLA06G0237000 transcript:ORGLA06G0237000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTSSSSSAPAPLLPSLADRPSPGIAGGGGNVRLSVVSSPRRSWPGKVKTNFSVPATARKNKTMVTVVEEVDHLPIYDLDPKLEEFKDHFNYRIKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVDGATIYREWAPAAQEAQLIGEFNNWNGAKHKMEKDKFGIWSIKISHVNGKPAIPHNSKVKFRFRHGGGAWVDRIPAWIRYATFDASKFGAPYDGVHWDPPACERYVFKHPRPPKPDAPRIYEAHVGMSGEEPEVSTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINKGFTGNYKEYFSLDTDVDAIVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDRKWSMSEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTINRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKYMNAFDQAMNALEEEFSFLSSSKQIVSDMNEKDKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGMPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDHEELRRGGAVASGKIVTEYIDVEATSGETISGGWKGSEKDDCGKKGMKFVFRSSDEDCK >ORGLA06G0236900.1 pep chromosome:AGI1.1:6:23116770:23117648:-1 gene:ORGLA06G0236900 transcript:ORGLA06G0236900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRSRSTAAGGEVEVEQLPGFRFHPTEEELLEFYLKQVVQGKKLKFDIIPTVHLYRHDPRELPGLARIGEREWYFFVPRDRKQATGGGGGGRPSRTTERGFWKATGSDRAIRCAADPKRLIGLKKTLVYYEGRAPRGTKTDWVMNEYRLPDAAAIPDTMQLQMQHDDMVLCKVYRKAVSLKELEQRVAMEELARSTTSSGTHNTGSPLQQDSSSISISSSSDAMKKEVVGVDEASAAAHELVRPATLSLPQLEVARPQSGLEWMQEPFLTQLRSPWMETWSPYYASVLNF >ORGLA06G0236800.1 pep chromosome:AGI1.1:6:23113025:23113996:1 gene:ORGLA06G0236800 transcript:ORGLA06G0236800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAVVVVATAFAVVAVRGEQCGSQAGGALCPNCLCCSQYGWCGSTSAYCGSGCQSQCSGSCGGGGPTPPSGGGGSGVASIVSRSLFDQMLLHRNDAACPAKNFYTYDAFVAAANAFPSFATTGDAATRKREVAAFLAQTSHETTGGWATAPDGPYSWGYCFKEENNGNVGSDYCVQSSQWPCAAGKKYYGRGPIQISYNYNYGPAGQAIGSNLLSNPDLVASDATVSFKTAFWFWMTPQSPKPSCHAVMTGQWTPNGNDQAAGRVPGYGVVTNIINGGVECGHGADSRVADRIGFYKRYCDMLGVSYGANLDCYNQRPFNS >ORGLA06G0236700.1 pep chromosome:AGI1.1:6:23109021:23109983:1 gene:ORGLA06G0236700 transcript:ORGLA06G0236700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALALAVVAMAVVAVRGEQCGSQAGGALCPNCLCCSQYGWCGSTSDYCGAGCQSQCSGGCGGGPTPPSSGGGSGVASIISPSLFDQMLLHRNDQACAAKGFYTYDAFVAAANAYPDFATTGDADTCKREVAAFLAQTSHETTGGWPTAPDGPYSWGYCFKEENNGNAPTYCEPKPEWPCAAGKKYYGRGPIQITYNYNYGPAGQAIGSDLLNNPDLVASDATVSFKTAFWFWMTPQSPKPSCHAVITGQWTPSADDQAAGRVPGYGEITNIINGGVECGHGADDKVADRIGFYKRYCDMLGVSYGDNLDCYNQRPYPPS >ORGLA06G0236600.1 pep chromosome:AGI1.1:6:23095342:23098795:-1 gene:ORGLA06G0236600 transcript:ORGLA06G0236600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAPSPPPPTSSSLPPSPKPSLRPPRLPHPKPLPAALLALAAAAPTLPALADVPAPPPAPTQDVQVLEAPSPAANPFSNALLTAPKPTSSAAADLPEGAQWRYSEFLSAVKKGKVERVRFSKDGGLLQLTAIDGRRATVVVPNDPDLIDILATNGVDISVAEGDAAGPGGFLAFVGNLLFPFLAFAGLFFLFRRAQGGPGAGPGGLGGPMDFGRSKSKFQEVPETGVTFVDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDAALLRPGRFDRQVTVDRPDVAGRVKILEVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRELVEKAYSRATQIITTHIDILHKLAQLLMEKETVDGEEFMSLFIDGQAELFVA >ORGLA06G0236500.1 pep chromosome:AGI1.1:6:23093698:23094555:1 gene:ORGLA06G0236500 transcript:ORGLA06G0236500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPPSPASRTTTMRRPFAAGHVRSASVPCHSHPLLTHVDDQLLALRSWTSNPGQNPLSLAHVRALLCVLDELLLHLPLAASTDRLLHGFLLLADAFGTFLSALLALRQHAAELHAAVRRRDHPKIASAARAQRQLDKDLAHLAAAVARDASRCARATTTVPSCDSHHGAGATELEVARTVAEAINDTAVASASVFMEVASLADAAAAAAAAPATKKRLPPLMHSSSRSKNKQASYEEKREAMALEKLKQLEQCIGELESESEKVFRSLIQARVSLLNIHTPTF >ORGLA06G0236400.1 pep chromosome:AGI1.1:6:23089769:23090635:1 gene:ORGLA06G0236400 transcript:ORGLA06G0236400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFGRSISFPLSPARSFKPRSAAAACHVRSISLPCRSHPLLSHLQSHIAAVRSWLLQDHGDASASASVSAGLAHIHALHAALADLLLLPDPQDALRRSTAAADRLLDAFLLLADAHQGFHEALLDLTHHVADARAALRRSDAARLASALRSQRRAEKEIARLASTVSAAAAATKYSSRLGLGATAEETEMTAALMDAATASAAASAAVFTAAASMSSAAASSCSCKKTPAFAAFAKKASPETAQVALDRFEELEQCIDESESSCHKVFRGILHTRVALLNIQTPTF >ORGLA06G0236300.1 pep chromosome:AGI1.1:6:23082005:23083907:1 gene:ORGLA06G0236300 transcript:ORGLA06G0236300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEKDKGNVRRAWWAMEEDKTWHDGYVLLLEALLHLRHHAADVQAALRRRDAARLSSAVRSQRQAHKDLARLAASVRGAATKWPAQLPSSATVAEVEVSGVLADAMAAIASASAAVFSAVETMSTMATAAAASTCSSSSSSSSKTPLLISLVRKKNSKSAAAVPDEEKEMAASERMEELEECMAAIESGNDRVFRTILHTRVALLNTHATLIN >ORGLA06G0236200.1 pep chromosome:AGI1.1:6:23078156:23079007:1 gene:ORGLA06G0236200 transcript:ORGLA06G0236200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFARSISFPLSPSRSSSKHSSPPATPGYHARSISLPCRSHPILAHLHTHIRAVRSWAHDPTSVASGLAHLDALHAALGELLDLPEAQAALSAANDRLLDAFLRLADAHGSFQETVVALKQDVAEALAAIRRRDGARLASAVRSQRKAGKELARLAAAARDGARPSRLGLGGSAAEVEVTGLLMESAAVTAAASATLFNTVASMSASASAAACSCRKTAALVCLIKKTSASSEEEKETMALVERLEELEECIDELDNGSDKVFRSLVQTRVALLNIHTHIF >ORGLA06G0236100.1 pep chromosome:AGI1.1:6:23071206:23073063:1 gene:ORGLA06G0236100 transcript:ORGLA06G0236100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGTTFTIPHNKRWHTVAGKGLCAVMWFWVFYRAKQDGAVLLGLRHPWDGHDDHSHGHGHEHEGSSSSH >ORGLA06G0236000.1 pep chromosome:AGI1.1:6:23069227:23070398:1 gene:ORGLA06G0236000 transcript:ORGLA06G0236000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGDVHFCHRATAVGALLLLHLVVVANAAAHSCDWCTPRHSTVSILPTPTHAAHLTGGACGFGAAPMELNVAAVTADLFRHGHACGACYQLRCRDRRLCGEDGVKVVVADMAKQPQQEGEMNRTAGGSLQFRITEDAFAAMAKQGVSAHELTRQRTLEVDFRRIPCEYRESRRLAVRVEEASRNPTHLAIRFLYQGGQTDIAAVEIAQANATPPSSSYYSSWRYMTRRDGAPGVWTTSRAPAGPLRLRVVVTAGSGGKWLRSDGEVLPADWRPGEVYDTGLRVTDVAVRSCSLSCAIQDMDSDDGEEEELR >ORGLA06G0235900.1 pep chromosome:AGI1.1:6:23055472:23057038:-1 gene:ORGLA06G0235900 transcript:ORGLA06G0235900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNMMSSATVRPWLMMMMISIVTLLFQVQQLAGAQLQRQVAAVFVLGDSTLDVGNNNYLPGKDVFRANKPYNGIDYPASKPTGRFSNGYNVADFIAMKLGFKKSPPAYLSLLQGPAAAANLTLAIKALTGGVSFASGGAGVLDSTYAGKCIPLSTQLRSMEATRAAMVSKVGTRAVAAHLARSFFLLGVVNNDMFVFATAQQQQNRSATPAEVAAFYTTLITKFSAALTELYEMGARKFGIINVGLVGCVPLVRAQSPTGACSDDLNGLAAGFNDALASLLSDLAARLPGFAYSIADAHAAGQLAFADPAASGYTSVDAACCGSGRLGAEEDCQVGSTLCADRDKWAFWDRVHPSQRATMLSAAAYYDGPAQLTKPINFKQLARTTA >ORGLA06G0235800.1 pep chromosome:AGI1.1:6:23043436:23045122:-1 gene:ORGLA06G0235800 transcript:ORGLA06G0235800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMKKKSVGLGRLSLMLSMVQVLGAVGGGGVHPSKMRLVPAVYVLGDSTLDVGNNNHLPGKDVPRANKPYYGIDFPGSKPTGRFSNGFNAADYVAKNLGFDKSPPAYLVLKARNYLVPAALVMGVNYASAGAGILDSTNTGRSIPLSKQVVYLNSTRAEMVAKAGSGAVSDLLAKSFFLFGVGSNDMFAFAAAQQKLNRSATPSEVEAFYTSLISNYSAAITELYGMGARKFGIINVGPVGCVPSVRVANATGGCNDGMNQLAAGFDAALRGHMSGLAARLPGLAYSIADSYALTQLTFADPGAAGYANADSACCGGGRLGAEGPCQRGAALCGDRDRFVFWDSVHPSQQANKLGAKAYFHGPPQFTSPINFNQLANYNS >ORGLA06G0235700.1 pep chromosome:AGI1.1:6:23038649:23040598:-1 gene:ORGLA06G0235700 transcript:ORGLA06G0235700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARVSLPSVLLTLRHGATTQGFAAARPAAVVAKRLLGSVRCGGAAEALGADMAVPRSVPVRVAHELQQAGHRYLDVRTEGEFAGGHPVGAVNIPYMYKTGSGLTKNTHFLEKVSTTFGKEDEIIVGCQSGKRSLMAASELCSAGFTAVTDIAGGFSAWKENELPTNK >ORGLA06G0235600.1 pep chromosome:AGI1.1:6:23032372:23038024:1 gene:ORGLA06G0235600 transcript:ORGLA06G0235600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGQVSPVPATATAPRKVRREHMRLGVYHDVLQRLRDAGAPEALAPDFTEKLWTHFHRFNASYAMDVNVERAEDVLMHMKLLEKATHPENQPAFSVRIVQVPLDIDASEADSQSNITEDDNCPTPRTPAEHPAPIFGSTTALKALVRQASSKNLLDDNQDIDAILRPMHEITFASDDKPKGLTQLSSLLGNLNLDIKEVHALSTNDGYFLDIFIVIGWDHKETQLLEEALEKEIHNYEPQMPSKSSCWPPELAGKQSLINSQVNHVQIPKDNTDEWEINFDVLDIQEKVASGTYGDLYRGTYFGEDVAIKVLKSDRLNENMQEEFNEEVFIMRKIRHKNIVRFLGACTKSPTLCIVTEFMKNGSVYDYLHKRKGSFKLPSLLKAAVDISKGMNYLHQNKIIHRDLKTANLLMDEHELIKVADFGVARVKAESGIMTAETGTYRWMAPEVIEHKPYDSKADVFSFGVVLWELLTGKIPHEFLTPLQAAIGVVQEGLRPVIPKATDPKLALLLESCWQQNAVNRPDFVQILQKLDEIAGEHGIDLTHPHKEKEKGGFFTFGKVH >ORGLA06G0235500.1 pep chromosome:AGI1.1:6:23018942:23030648:-1 gene:ORGLA06G0235500 transcript:ORGLA06G0235500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MANFSSHIQELRELIAASSTTTSTSAPASVHFEVKLREVLPNLLRDYVVPSSPTGAADGREATAVLKLLSYTAGKFPGVFFHGRAADVIRVIGRVLPFFAEPNFRSRHEIIFDTVWSLLSLLRTGDREAYRQFFLDAMVAVQDVLYVVASMHGDRPSGVLTERYLVKCLCGSFSDILDSPGIFSDLPDSCQPKNGPGVLVDLTGETRWRPFATMLIKLVNKCLADGTLYVEGLVNMPFVSAACSIICYGDESLHKVCFDFARIVATVITVEILPVENIIRSIMCILSQDVNGLSDIRDADYDFSMGACLHALHSSCPGYIVAITASDIVNVFQRAVHTSRSSELQVAMCNAYKRIVELCSPRVWKPEILLKLLCLPKPCAKLIECIRLVVDKSGQSFLSSDDRDDGSSLLAKSEGLDLPKVGQKRIALDEENSFPKRLKMTEPRFSSGSFMVDELSAGVGQELEKDHGCDFRVQLYSLINCLSPDNHMAYPLEPAIAIQVLSLLCLSLSVYPKTNLFSRISKQVLSWIPWICKQTTKICMFSFDVSLYFEAVQTVMLLQSFLPGHTKLFEDEPLLIGNGCTDFEYPRYADLINLLKLVSDDGYLTSQTCSEKLKCLAVQIIAKIGSRQNAECDLQVLELAIQSETGELQNEALMSLPIIVLYSGPRMLGAMFRKLETIGTLGCKKLWKSIAISLGFLSCLNGTTDCTDKVGNHCKLFLAKHCEQPILTLNLLRGFWCPQCDVRTVHIEDQVPIVDIALSEDKNIDFKINMFKAHSLFFKFLYAETSEECIVSIVEVLPRILKHSSRDVLLDMKFQWVQCVDFLLLHEMKAVRDAFSSVVSCFLETNAMDILFSDGTGMSGGTSRVKFMDKIKSAFTEAEDPQILLTLLESTAAIVKASDIHGEVFFCSFVLLIGQLGNHDYIVRVTALRLLQRCCTYCFKGGLELFLSKYFHVRDNLYDYLSSRLLTHPVVISEFAESVLGVKTEELIRRMVPSIIPKLIVSHQNNDQAVVTLNELASHLNSELVPLIVNSLPKVLSFALFYEDGQHLSSVLQFYHIETGTDSKEIFSAALPTLLDEIICFPGESDQIETDRRMAKISPTIQNIARILTGNDNLPEFLKNDFVRLLNSIDKKMLHSSDVNLQKQALQRIRKLVEMMGPYLSTHAPKIMVLLIFAIDKETLQMDGLDVLHFFIKRLAEVSCTSIKYVMSQVVAAFIPSLERCRERPLVHLGKIVEILEELVVKNIILLKQHIRELPLLPSLPSLSGVNKVIQEARGLMTLQDHLKDAVNGLNHESLNVRYMVACELNKLFNDRREDITSLIIGEDIADLDIISSLIMSLLKGCAEESRTVVGQRLKLVCADCLGALGAVDPAKFKVMSCERFKIECSDDDLIFELIHKHLARAFRAASDTTVQDSAALAIQELLKLSGCQSLPNESSSCKMSKRGQKLWGRFSSYVKEIIAPCLTSRFHLPSVNDATLAGPIYRPTMSFRRWIYYWIRKLTSHATGSRSGIFGACRGIVRHDMPTAIYLLPYLVLNVVCYGTPEARQSITEEILSVLNAAASESSGAIVHGITGGQSEVCIQAVFTLLDNLGQWVDDLKQEIALSQSNYAMAGRQGGKLRDESNSMYDQDQLLVQCSNVAELLAAIPKVTLAKASFRCQAHARALMYFESHVREKSGSSNPAADCSGAFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSTLQDQLIINEKAGNWAEVLTLCEQSLQMEPDSVHRHCDVLNCLLNMCHLQAMIAHVDGLVYRIPQSKKTWCMQGVQAAWRLGRWDLMDEYLAEADKGLVCRSSENNASFDMGLAKIFNAMMKKDQFMVAEKIAQSKQALLVPLAAAGMDSYMRAYPYIVKLHMLRELEDFNSLLGDESFLEKPFAADDPKFLKLTKDWENRLRCTQPSLWAREPLLAFRRMVYNLSHMNAQAGNCWLQYARLCRLAGHYETAHRAILEADASGAPNAHMEKAKYLWNIRKSDSAIAELQQTLLNMPADVLGPTVLSSLSSLSLALPNAPLSVTQASKENPDVSKTLLLYTRWIHYTGQKQSNDIKSLYSRVADLRPKWEKGFFCIAKFYDDLLVDARRRQEDKKIASGVGPVPPSSTGSLTTATEEKPWWDMLPVVLIQYARGLHRGHKNLFQALPRLLTLWFEFGSIYIQDGSSFNKPMKEVHIRLLGIMRGCLKDLPPYQWLTVLSQLISRICHQNTEVVKLVKCIVTSILREYPQQALWMMAAVSKSTVAARRDAAAEILQSAKKGSRRGSDSNALFMQFPSLIDHLIKLCFHPGQPKARAINISTEFSSLKRMMPLGIILPIQQALTVTLPSYDTNMTDQSTFRPFSVSEHPTIAGIADDAEILNSLQKPKKVVFIGSDGIARPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRQILQDIYITCGKFDRMKTNPQIKKIYDQLQGKMPEEMLKTKILPMFPPVFHKWFLTTFSEPAAWIRARAAYAHTTAVWSMVGHIVGLGDRHGENILLDSTTGDCIHVDFSCLFDKGLLLEKPEVVPFRFTQNMVDGLGITGYEGVFVKVCEITLSVLRTHKEALMTVLETFIHDPLVEWTKSHKSSGVEVRNPHAQRAISNITERLQGVVVGVNAAPSLPLSVEGQARRLIAEAVSHSNLGKMYVWWMAWF >ORGLA06G0235400.1 pep chromosome:AGI1.1:6:23015136:23015803:1 gene:ORGLA06G0235400 transcript:ORGLA06G0235400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSILGDTIDYMKELLERIRQLQEEIEEQQQQETPGVLSVFRELNPNEMLARNTPKFDVERKEGGDTRVEIYCAAKPGLLLSTVSTLETLGLDIQQCVVSCFNDFGMHASCSEMQRERMSADMIKQELFKNAGYGGGCL >ORGLA06G0235300.1 pep chromosome:AGI1.1:6:23014512:23015135:1 gene:ORGLA06G0235300 transcript:ORGLA06G0235300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEQAFLEELFSLRRDAWEYNAMGDFFSPACAAMDGFQERHQSTTTVSVLPTFTASYEQPPPAPAAGFDCLSEVYGNAAAAFGPNAGGGGGDMGFLDVVEPKASMVVDGGGLGVCKVEPGLQAEGGFSAAAAAPASKKKRVEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKVYRVLSESFCVAIGIASAREMRLDDVCVCE >ORGLA06G0235200.1 pep chromosome:AGI1.1:6:22993698:22997383:1 gene:ORGLA06G0235200 transcript:ORGLA06G0235200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPERRRYSGSPSPYRGNPKSRSRSRSPAARSQSRSPVPDPRSQARSRSRSREREPDAVNHGNTLYVTGLSSRVTERELKDYFSKEGRVTSCHVVLEPHTRVSRGFAFVTMDTVEDAERCIKYLNQSVMEGRNITVEKSRRGRPRTPTPGSYLGHRYDRREPRGRYRSRGGGYGRDEYYGNSYRRSPPPMYPSYRDTRDYPPYRDTRDYSPHRDARDYYDGKGGRGYSPHRSPPYGGGRARRERSRSLPYSPYRMPERGYGRRAGGGGYDR >ORGLA06G0235100.1 pep chromosome:AGI1.1:6:22990911:22993312:-1 gene:ORGLA06G0235100 transcript:ORGLA06G0235100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREGTRMAGLWEREVGCLPPKLFANSVMASQDFVRSLGVQKRLRKHRGCVNTISFNEDGSLLLSGSDDRAAVLWNWQEGTPTFAFHTGHSDNVFHALFMPFSGDRSIITCAADGQVRHSQIQEGGRVITNELVDTEVAVHKLAIEPGNPHTFFSCGDNGSVFLFDLREKYVAELFKCAEVDHFGGDTIELYAIAIDPRKPSCFAVAGSDEYVRIYDSRKIDVNGNSSFGRPIEYFCPPHMMGENKDGISGLAFSQTSELLASYSYDNIYLFSREHGLHFNNIEVGKRLLMDEIEGDCHINTAPLPFCRDKLPAPQIFKGHRNKHTMKGVNFLGPNCDYVTTGSDCGRVFIWSKKDGELMRVMKGDKQIVNCVEQHPYGIVIANCGIDKDIKIWAPGGSENPDEVETDSCCSDISESYDSVYFDDYIFSSDFDSSEEDDEDDDDDDDDDDDEEDGLSVSINEDMSYEEKDAASDHDSDV >ORGLA06G0235000.1 pep chromosome:AGI1.1:6:22988196:22990327:-1 gene:ORGLA06G0235000 transcript:ORGLA06G0235000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zim17-type zinc finger protein [Source:Projected from Arabidopsis thaliana (AT5G27280) TAIR;Acc:AT5G27280] WSPSRSPPPPALSSLSPPFSSNRRCRRRASFLPVAASNRRHHDDDDEEVAKAHEPTSLAPYGLSISPLSKLFVLARSVSAATGRGWTTGSGMEGPPKAAGGGDRPEVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNIFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYLDGGEDGDNIFPIL >ORGLA06G0234900.1 pep chromosome:AGI1.1:6:22984761:22987479:-1 gene:ORGLA06G0234900 transcript:ORGLA06G0234900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT4G38500) TAIR;Acc:AT4G38500] MARRSKGGGAEGDGMRMQVVWRKGAVWLVLVSAIAWALLVILALAFHLWSCNSDVPFLSALCKKDSKVLYALDSIRSSSKPLHRCPIPVADDPDSITIPKRTPNTIVKRLSYITVDKQDKDPSPLFGGHQSWKQREDSFKLNATMKVHCGFMKNSGADMDDVDVKYIQKCKFVVASGIFDGYDIPHQPSNISIRSQKLFCFLMVVDEVSLDFIEKNTTVKFDKAGGKWVGIWRLITLHRLPFDEPRRNGKVPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERYLWRGKYTFAVAVHKHHRSIYEEGDAIKRRKRYARPLVDLQMKMYYHEGMEPWNPKKRMPSDVPEGAVLIREHTTMSDLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDALKFFMFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESKGGLGLWTPYPADLSSAELPSVKRTSPAG >ORGLA06G0234800.1 pep chromosome:AGI1.1:6:22982278:22984223:1 gene:ORGLA06G0234800 transcript:ORGLA06G0234800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XSVKNPFRKESRKLKPLAVAILDWRLRQQETRQREEKCGRNLMGMGMEAAWACAVDRATGAADSTKRFFLSFRRPPPPPPGPNPIDILKRLQRQAFYDIMQLREKQEKIERVLTLFKASKSGPFAEESTRVKGIITVAGSLSSKNKKDSGPDSSETNSGISSQFVFQTNVRKKDSLLAELVTDHRILPSENDSIGSSFVLSKVMYLANINDSLSASAVPVGARCDDFSTDPSLQEEHWLASFRSSLRPPLLIKRHNYAAGLILRSKNFAVSLAELISAAGKPNNSGEASRFFTGFGQMSCQMQNEMKLTMSAALHGPGLISRKSKPTAGGCVDFDLKIDEDSRVGAWIEVKKANPRLVRWALTLSETPEDDLGWGLSLRRGTEGSPERLQLEGFLNVHLGKKATLQPGLMFNIDGRRCAPALVFQSSWFL >ORGLA06G0234700.1 pep chromosome:AGI1.1:6:22976365:22981568:1 gene:ORGLA06G0234700 transcript:ORGLA06G0234700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGEYSPYYQPYPSPTSAPLATYPSASAPPYTPYPATDYAAPAAYPTYPPPPADPPQYAPPPAAPQPQPYYPYEPPPHNPAPSPYPSLDRAGSYGYGSGSQELYPPKPAGGGWSDDGVYAYSGGGGDAPEPYGARGTAPRSNSALFDDYGRSIGSTKERGGGGGGGGGSSASPKVVRAVPKVETSEDTSGGVQKFRVKLLPEGAGSPMDVLCQVGLDGIRMLDPNTSRTLRIYPLETVTRWDVLDSSIFAFWSKSSVDFEARRIRLKSNSYTTNTILDTVTAASVQFKEMGGSSISRSRAIADAAKPPEQQNDRRKNFLDWRNLMKPMNEEKDHWVPDEAVTKCTACTADFSAFNRRHHCRNCGDIFCDKCTQGRTPLTTDADAQPVRVCDRCMAEVSQRLNNAREAANRPIVHSHEDLAKKLKDAMDINKKSSSASSRSTDGSSRRMREVACPTCTVHLQVQVPTSGSETIECGVCQQPFLVSAR >ORGLA06G0234600.1 pep chromosome:AGI1.1:6:22969123:22971819:-1 gene:ORGLA06G0234600 transcript:ORGLA06G0234600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYHHQEYYQMAAAAAAAVAWPREPDSPQLSIMSGCSSLFSISTLRDDDDGGVRLAGAALPATPVSLAGIAGGASTPGGDEVDMEVRQQSGGSGDDRRTIRMMRNRESALRSRARKRAYVEELEKEVRRLVDDNLNLKKQCKELKQEVAALVMPTKSSLRRTSSTQF >ORGLA06G0234500.1 pep chromosome:AGI1.1:6:22967492:22967925:1 gene:ORGLA06G0234500 transcript:ORGLA06G0234500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAENAASGSVRGYTSAGCARSITQLREPYVPPDHQPRPSCSEAQRAPSAGSISGAGILVCDVLDEMSPKKLRDMSPRSGQA >ORGLA06G0234400.1 pep chromosome:AGI1.1:6:22960779:22961655:-1 gene:ORGLA06G0234400 transcript:ORGLA06G0234400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGHVFAVVAFVCYALLAAASTTVEAFAASGWSKGTATFYGGSDASGTMGGACGYGNLYTQGYGTRTAALSTALFDDGASCGQCYALTCDARADPRWCRAGASVTVTATNFCPPNYALPSDDGGWCNPPRPHFDMAQPAWERIGVYRGGIVPVAFRRVPCRRRGGVRFTVAGRDYFELVLVTNVAAAGSVRSMEVRGSRRGAGWMAMSRNWGANWQSLAYLDGQGLSFRVTATDGQTIVFAGVVPPSWRFGQTFASTQQFM >ORGLA06G0234300.1 pep chromosome:AGI1.1:6:22958571:22960043:1 gene:ORGLA06G0234300 transcript:ORGLA06G0234300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERSNSTPSATPARPPLAVDEEYNQAFRSKSFLDLWSHAHHHLTHTFSSFKLSTSTPCAGRGGAREDDFLHAGGDGGAADDSEQSCSYTVLDDFVLEPSPESLARGARLQQRRRRRPRRHRVETLLIEYFDVTEEACEACSALLAAIGAARRHHLTLRRLLLRLDGGDDDDAKDALARHVRLDNPLSPGSLSEFHDVHARCSPLASRLAAAQRRLRRLARALRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCAAAIVAAVVLAAHALVGIGVAAAAFGATPAGAARWWGRRAAEKVSSRHYARAGATLDAAARGAYIVGRDLDTVSRMVRRAHDELEHGRDVARIAMRGHGERPLLQEVAREEEECEEDLRAQLAELEEHVCLCLITINRTRRLVAHEMARGLPPPSPATVTTTSEERLTSSR >ORGLA06G0234200.1 pep chromosome:AGI1.1:6:22953229:22953861:-1 gene:ORGLA06G0234200 transcript:ORGLA06G0234200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPLQLVALLLLSLLLRSATAAEYTVGDGPWDTGTNYATWSDKHAFLAGDILVFQYVRSQHNVLQVTEATYRSCDTGGGGVAGVIKSYDTGYDRVQLTEPNATYWFICDFPGHCLGGMRLAVKVAAGGGGGGGGGGGSPPPSGVPLHPPAAGGAGRSQWPAWGLTLAVLLVVFHYCIIIF >ORGLA06G0234100.1 pep chromosome:AGI1.1:6:22949182:22952661:-1 gene:ORGLA06G0234100 transcript:ORGLA06G0234100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGEGGTVLVGVRGYDSGHREKEGGSTATSRTSNGRPIEMTFWNEAPPALSHFSAHGSDLPPAAHGDLLLAPKVIAAADGLLLLRVPVNPVPGGKSLFRQDDYFVYHHHQPARLDLLPRPCQQYCLRDDDFAIVSICGDKPQYVVAALEMINLPSQFALHRYKSSSSGGGGDGDEIAGNWTCEEVFVEEAVRDRVCPIPDSAERPLYHITTKTIALGGAKGTVGWVDLWRGILLCDLLDEMSPPKLRDMPLPWPAKGNWTRYLSDSESFYRDITVSQHKDFIKYVEMEITMPRVVTKTIISSGDRTMPADDPPDSFLEWVRRSREPQPQPTTRQRSSVRRPGQWRLTTWTMPIPVTSWEDWRPDCTANLHEFHVVDNTAHHGLLNKLMLSTSDDEEAKGSSLSLGCLAMSYPALSIDDDDVVYLLCNSANRDCDMGGVMIALDVRKKEIQGAAKERNVRIFQHIAKSVDLLANGINKEIVVWRAAGIFSQPGE >ORGLA06G0234000.1 pep chromosome:AGI1.1:6:22938806:22945687:-1 gene:ORGLA06G0234000 transcript:ORGLA06G0234000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYPRRDPPPGRQRQVPPRGDPPPGRQRVPPRLLINPFRDIGQRLSTGQAFKPIGQVSVSRMLAGPQLFGRLASQLFEVDPSLAFSTAGDSAEEGDEEDEEGEEEETEEECEDKGETKTKAKCLEDKDKDKVQKDEGGESTLPPPQVPRVPRDFCETTLISFLGRRYKIILQSKNGPCSLIAICNYLILTRKLTLPPSMTIVYLDYLVDRVFSQVFSKMKDDSYKTAQAAVEQTATGLDIDIYCTSVDGFEDTPQYGLFRILDIPLYHAWVLDMNNQEDTILLNAVDGRSYNQLNIDRAKYNSKKDADFMIDAAETRRYELIHTFLKDNPGQVTEFGLHTLKAATPDRKLFIFFQNEHFNVVYKYHGRFFVLESDVGFRNYQNIWRSVDSPGESGVLVHDITISRDQHSVLKSGNYFEGAKQSFKKKPHSAKQTQHHIGRIILTNLLTMITRSHKGGRSWNGHWDLDHVMVSDSLDVKINLPCNYQAACKTEAHDFLRVATDVLPEYEVGGKRPGLFRHLDKSLRNYIDHLRYGSAMLRKFQRFITIHPALKSSLTRLQIIDNIYSAHQATTGQVKKLLQSILDSVTLPEDWRLQCQKKDGSVSSVSPIHTVVYEHHRAKMEKSESNEQGDENAEKEGHELGGYVGNDGGNEECPEQRERPDHQPFENSVEDGMVYLRHVRHHGWKGSKDNMGKQQFLRLSDLELVNSNSLGEILPAMVECLIFHKDGYHHMYDKDIYDRVLGWIDEILENYELLEFPKSYGIDFPAITPTGHDDAFPDPHRSAPPSGHYNPIGPPDVPGLEPSCSAR >ORGLA06G0233900.1 pep chromosome:AGI1.1:6:22931461:22932980:-1 gene:ORGLA06G0233900 transcript:ORGLA06G0233900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEDRSRDALDGCHPCPAPSPVAEAGGDVDAVFLGFIYNHAPLPPFAPPVPCLSVGRRDRAGLLPPPSPSPVSPPPRRWSSVIIAKVNVCSVIVANPPGERGGGGGVGARRDGLARLGSVASGWQPGGVGGGATRGGEAAWPRREEGAAKWRSGAAQRDQVVASGGDGWEMGAAVVRWIGGEAVGVVAAAVWRRRDAVGQRPCTAPRPWGKGGGTEVEGGIGVGAGGWRFEVEVIRRCRAYGAGDEAAV >ORGLA06G0233800.1 pep chromosome:AGI1.1:6:22927912:22928702:1 gene:ORGLA06G0233800 transcript:ORGLA06G0233800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGGASWTKVREDALETKKVTQSRQSLRKMTPKSRCSRTVKDETPHRVCQTPGQLGTNAHASVSNTAWARQGTTMNHKPMLKETSREDLRNGRNARTTGEKSTGDVAMLRRAPVSTATTSGGVDHRQRNCGPRGATQRQPEA >ORGLA06G0233700.1 pep chromosome:AGI1.1:6:22922675:22924837:1 gene:ORGLA06G0233700 transcript:ORGLA06G0233700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGGAAGEKTASSLLLGVRGYTSTLKNASTASCRLSAGHPIEVTLWEASPPALSHFSVHCPDLPSFNGNLLRVPKAIAAAVDDADGQLLLLLRVPIVQLGAPHDNDYLVYHPDPPSPKLDLLPNPSPPTLGDHQLAILSCGDDRYVVAALHVWSEFTSTLHLYRSSCSSGSWTSEEVSVEEPVRDRLCPIPDSAKRQLYHVTTKTITLGGAKGTVGWVDLWRGILLCDVLDEMSPRKLRDMPLPWPAKGNWRRYLNEDVSFCRDIAISQHKDSIKYLEMEIVSPRTVTTTIPTSTSADPTSYLEWVRRSREPQPTRRRSVFHPGSWRITTWSMPIPVTSWDDWRRDCTAESREVHLDTNPSHHYGLLHSLMLSNSGDEHREEAQGQGATSSLSLGRLRLSYPALSCIDDDVVYLLGNAAGRGAKMGGMMVAVDVRNKELRGVAKLDPEKNTLYSMRCYLATGISKRLNTTTDTRVGRPEEDAEAAE >ORGLA06G0233600.1 pep chromosome:AGI1.1:6:22918554:22919298:1 gene:ORGLA06G0233600 transcript:ORGLA06G0233600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWIRRRRRRQGWIHRAPSFVRPRSRRSTASRVGSDGRGGSGDLRRLSAPADADPPPLPWIRRRRRREGLIRRPSSSLHPRSRRSARARRRVVCRLLLHVRRLSHHLQAAFAVGSDVMGGSSSSLQSQLCLGVREETGGGEGQNGGGGEGGGGGRIHQPNDEGAPVVQEHGNHRPGHDVAAGRRAGHRPRCRGARLQHTGLATEVGAFSCSREKI >ORGLA06G0233500.1 pep chromosome:AGI1.1:6:22911205:22912480:1 gene:ORGLA06G0233500 transcript:ORGLA06G0233500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQYMCSIISSVQRIAVVLSSSRLVPPGVAAATQHAPATRCCPDGFARAIGKSCNSSSICASLDVKSAFIT >ORGLA06G0233400.1 pep chromosome:AGI1.1:6:22910351:22910692:1 gene:ORGLA06G0233400 transcript:ORGLA06G0233400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHHYCNFCANTSHNGPTMKPNFCNLPMSRLGGFSGQVILPLAHTFEPAEVSPSSTVCRLQKRSCPILFSCSMFWCNHLLFQFLEVIKLGNTRNYQDTLVHRDLFLLQVTS >ORGLA06G0233300.1 pep chromosome:AGI1.1:6:22909315:22910078:1 gene:ORGLA06G0233300 transcript:ORGLA06G0233300.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRHASLVVCERSLSSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSALVAQKISMRLQ >ORGLA06G0233200.1 pep chromosome:AGI1.1:6:22899758:22902351:1 gene:ORGLA06G0233200 transcript:ORGLA06G0233200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSSYLALALAVAAVLAMAAVEVSGLGFDLHHRYSPIVQRWAEERGHAGVSWPAGAEVIGSPEYYSALSRHDHALFARRGLAQGDGLVTFADGNITLRLDGSLHYAEVAVGTPNTTFLVALDTGSDLFWVPCDCKQCAPLGNLTAVDGGGGPELRQYSPSKSSTSKTVTCASNLCDQPNACATATSSCPYAVRYAMANTSSSGELVEDVLYLTREKGAAAAAAGAAVRTPVVFGCGQVQTGSFLDGAAADGLMGLGMEKVSVPSILASTGVVKSNSFSMCFSKDGLGRINFGDTGSADQSETPFIVKSTHSYYNISITSMSVGDKNLPLGFYAIADSGTSFTYLNDPAYTAYTTNFNAQISERRANFSGSTRSGPFPFEYCYSLSPDQTTVELPIVSLTTNGGAVFPVTSPVYPIAAQMTNGEIRIIGYCLAVIKSDLPIDIIGQNFMTGLKVVFNREKSVLGWQKFDCYKDEKMTDDGSSVGSPSPSPGPTTHVFPQPQESDSPAGRTPIPGAAPVPRSSSAAAGGRAGFRLLSSMALLLLAAAAAAAAVF >ORGLA06G0233100.1 pep chromosome:AGI1.1:6:22886659:22889273:-1 gene:ORGLA06G0233100 transcript:ORGLA06G0233100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTLMNLLRACWRPSSNQHARAGSDIAGRQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQCQIESGPLSFLDSGPYGTFVGVYDGHGGPETACYINDHLFHHLKRFASEQNSMSADVLKKAYEATEDGFFSVVTKQWPVKPQIAAVGSCCLVGVICGGILYVANVGDSRVVLGRHVKATGEVLAVQLSAEHNVSIESVRKELQSMHPEDRHIVVLKHNVWRVKGLIQVCRSIGDAYLKRSEFNREPLYAKFRLREPFHKPILSSEPSISVQPLQPHDQFLIFASDGLWEHLTNQEAVDIVHSSPRNGSARRLIKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSSLVSRASTYRGPSVSLRGGGVNLRSNTLAPYASQM >ORGLA06G0233000.1 pep chromosome:AGI1.1:6:22882805:22885376:1 gene:ORGLA06G0233000 transcript:ORGLA06G0233000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAFFHAAPRSGSNVSLASLARTAGGRRMMHRVFRGVITFIFAIAGLFLGAVTGGLIGLATESGLFRGTGIGAITGALVSIEVVDSSIRVWRSRRSGISSICYVLNVIYSLLTGRLVREKVDPAVQRVVRSQMNAVDSSPFRESPDLFEIEGTNGMPRASIDKLPEVRITEEYRRNAIGDLSGCSVCLQDFQTGEKVRSLPDCWHVFHVPCIDGWLIKHGSCPLCRRKL >ORGLA06G0232900.1 pep chromosome:AGI1.1:6:22870157:22874010:-1 gene:ORGLA06G0232900 transcript:ORGLA06G0232900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G76050) TAIR;Acc:AT1G76050] MATTAAASPPAIATALSALLRRQRRRSSRCVGASHARCLAADANAEAVAPSRRGGHGGTRLEEAVPAGEGRSRIDAWISARLGGGGVSRARIQASIRAGLVVVNGRPVSKVSHMVKGGDIVSCTVSELQPLRAEPEDIPLDIVYEDDHLLVVNKPAHMVVHPAPGNANGTLVNAILHHCKISTFTCLARNSIDDECPDSSDDDIDVFDVDQFTTGEVSSEVREALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGAPNPNSGRIEVPIARDPNNRIRMIATPGSGHRYARNAASRYKVREVFAGGGSALVEWRLETGRTHQIRAHAKYLGIPLLGDETYGGTKSMALSLLRPRTPSRYHCDLSNMISKIDRPCLHAALLGFKHPHSGKILEFSCPPPDDFTEVLNELHQVTLASNGNSGGGVARICD >ORGLA06G0232800.1 pep chromosome:AGI1.1:6:22869044:22869319:1 gene:ORGLA06G0232800 transcript:ORGLA06G0232800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQTAAAAGLDSRVKASLVLGTESFAISSESGILSEQLAAMKEKSMEILKGYITKHNAPADVPDEPIEGLSDDEGDAPAKNPPKKPKKQK >ORGLA06G0232700.1 pep chromosome:AGI1.1:6:22859070:22863255:-1 gene:ORGLA06G0232700 transcript:ORGLA06G0232700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G75820) TAIR;Acc:AT1G75820] MPPTLLFLLLLLPPSLASPDRDIYALAKLKAALVPSPSATAPPPLADWDPAATSPAHCTFSGVTCDGRSRVVAINLTALPLHSGYLPPEIALLDSLANLTIAACCLPGHVPLELPTLPSLRHLNLSNNNLSGHFPVPDSGDGASPYFPSLELIDAYNNNLSGLLPPFSASHARLRYLHLGGNYFTGAIPDSYGDLAALEYLGLNGNTLSGHVPVSLSRLTRLREMYIGYYNQYDGGVPPEFGDLGALVRLDMSSCNLTGPVPPELGRLQRLDTLFLQWNRLSGEIPPQLGDLSSLASLDLSVNDLAGEIPPSLANLSNLKLLNLFRNHLRGSIPDFVAGFAQLEVLQLWDNNLTGNIPAGLGKNGRLKTLDLATNHLTGPIPADLCTGRRLEMLVLMENGLFGPIPDSLGDCKTLTRVRLAKNFLTGPVPAGLFNLPQANMVELTDNLLTGELPDVIGGDKIGMLLLGNNGIGGRIPPAIGNLPALQTLSLESNNFSGALPPEIGNLKNLSRLNVSGNALTGAIPDELIRCASLAAVDLSRNGLSGEIPESITSLKILCTLNVSRNRLTGELPPEMSNMTSLTTLDVSYNSLSGPVPMQGQFLVFNESSFVGNPGLCGGPVADACPPSMAGGGGGAGSQLRLRWDSKKMLVALVAAFAAVAVAFLGARKGCSAWRSAARRRSGAWKMTAFQKLEFSAEDVVECVKEDNIIGKGGAGIVYHGVTRGTELAIKRLVGRGGGEHDRGFSAEVTTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPNGSLGEMLHGGKGGHLGWEARARVAAEAACGLCYLHHDCAPRIIHRDVKSNNILLDSAFEAHVADFGLAKFLGGATSECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVHWVRKVTAELPDNSDTAAVLAVADRRLTPEPVALMVNLYKVAMACVEEASTARPTMREVVHMLSNPNSAQPNSGDLLVTF >ORGLA06G0232600.1 pep chromosome:AGI1.1:6:22854280:22856970:-1 gene:ORGLA06G0232600 transcript:ORGLA06G0232600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYCYYGNTSNPNPNPNPSPAPSAPPLYPTLSMADLAPVQIGPSSPSSPMSPASPATPFDAYANAPPPSEDVLLRIPGAQLHLIDRHRSYPLAAGDLSLLRIRSGDTSLAAIALLHPIQWPLARDVASVKLDPCHYSFSLTVPPSADDPNPGPLHYGLTLSHPDPRLDGILATYTSFSVQSVVGGEALASKVRDEVEAAAYWTAVAPNVEEYGGKVANAIATGAGHLAKGILWCSELTVDRLRWGNEVLKRRMQPGDADAEVSPEMLRRIKRVKMVTKMSEKVATGILSGVVKVTGYFTNSIANSKAGKKFFNLLPGEIVLASLDGFGKICDAVEVAGTNVLSTSSTVTTGLVSHKYGEKAAAATNEGMDAAGHAIGTAWAVFKIRQALNPKSVLKPTSLAKSTIKAAAADYRAKQKK >ORGLA06G0232500.1 pep chromosome:AGI1.1:6:22851708:22852526:-1 gene:ORGLA06G0232500 transcript:ORGLA06G0232500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDLDFSNPDTFLCPAVGGADPDGSCSMDSYFDDILKDTEHHACTHTHTCNPPVHDLSHTHTCVHVHTKIVSAPSDTPSDAAETAESPTENNASKKRPSGNRAAVRKYREKKKAHTASLEEEVVHLRALNQQLMKKLQNHATLEAEVSRLRCLLVDIRGRIEGEIGAFPYQRPVKNIDLVSSVDQGSYLGGAQVMNSCDFRCADQMYCSPGMQVRTMGEDGAVSGQVLGQGACDIASIQCQGAKSGSAKLPVCGAMGTMPVGCMPNSEKK >ORGLA06G0232400.1 pep chromosome:AGI1.1:6:22841999:22847208:-1 gene:ORGLA06G0232400 transcript:ORGLA06G0232400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein htpG family protein [Source:Projected from Arabidopsis thaliana (AT4G24190) TAIR;Acc:AT4G24190] MRKWALSSALLLLLLLLTTLPDPAKKLQVNADDSTDELVDLPKVEEKIGGVPHGLSTDSEVVQREAESISRKTLRSSAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLALTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTGGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQHVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYVEEDKLKDLVKKYSEFINFPIYLWATKEVDVEVPADEDESSESSEEEESSPESTEEEETEESEEKKPKTKTVKETTTEWELLNDVKAIWLRSPKEVTEEEYTKFYHSLAKDFGDDKPLSWSHFTAEGDVEFKALLFVPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTDEEKSAMEEKKGQYAKFWNEFGKSVKLGIIEDATNRNRLAKLLRFESTKSEGKLASLDEYISRMKPGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIYFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALDTESVDSVKISNRLSDTPCVVVTSKYGWSANMEKIMQSQTLSDASKQAYMRGKRVLEINPRHPIIKELRDKVAQDSESESLKQTAKLVYQTALMESGFNLPDPKDFASSIYRSVQKSLDLSPDAAVEEEEEVEEAEVEEKESSNIKEEAEPSSYDKDEL >ORGLA06G0232300.1 pep chromosome:AGI1.1:6:22835671:22838379:-1 gene:ORGLA06G0232300 transcript:ORGLA06G0232300.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAGSLPGWSQKGATGRRTACVLGGCLTRIDVSFVINMKRLLITSWSLAQSLANFGGLSFPALDIQQLIVSFMPLRDAARASLVSRNWRMLWTCHPNLCFDGTKQEPTDEGTLKIDRWYFSKTVNHVVRRHKGIGLNKFSINCDLNKDEFKHIDGKAVVSIEVHPNISGFTMLKRLALQYVKLVGDLPDLLSRCSLLEDLDISVCTGVGDLVIPCQLDKLQHLRIWGTEVQMIEFHVSCLTRFGYRGEAISIMLHGCPKSVKATIVFLEHNQLDHVFTVLPSALPVKELSLDLHMYDYDLGQVHTLTRPRNMFMHLRHLKCEVYVLTSAPNTYKGVVQLAHYLEFTPLLEVLEWHMYYYKKYKCRVRKTKVAREDYRLSRHDHLKTVYMSGFRCYRPQEELVYFILENAVALEFMSIEPHTILADDDHCDFSDIAEDKKIRKCARRTSACFGKQVQVKKKKLAQYFTM >ORGLA06G0232200.1 pep chromosome:AGI1.1:6:22833158:22835420:1 gene:ORGLA06G0232200 transcript:ORGLA06G0232200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLHFLSLLLFLSLLQGAQAATFTISNRCGYTVWPGILSNAGVAPPSTTGFALSPGQTLAVSVAAAWSGRIWGRTLCGQDSSSKFTCATGDCGSGAVECSGRGAAPPATLAEFTLAGGSGGGGGDDFYDVSLVDGYNLPMLVAPPASSGAASNNGSSCQVTGCVMDLNKSCPAELQVVAASAARRAVAACKSACEAFGTAEYCCSGAHGSPATCAATAYSRFFKGACPRAYSYAYDDATSTFTCAAAGGGYDVVFCPGMSSLKSGGNPEAVGLPPTYSTMAFTGNAESLTMSRNSLVILLMIISSVISTLSW >ORGLA06G0232100.1 pep chromosome:AGI1.1:6:22830659:22831215:1 gene:ORGLA06G0232100 transcript:ORGLA06G0232100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFASTAAPWGCVTGARRGGGGGXXCGVRASAALAVAAPAARTHYEVLGVGAGASRGEIKAAYRRLAREVHPDAGAGAGAAGDEDFIRLHAAYATLANPDERARYDRAMAGPAASAFRRAPASSFRRRTWETDQCW >ORGLA06G0232000.1 pep chromosome:AGI1.1:6:22821801:22827249:1 gene:ORGLA06G0232000 transcript:ORGLA06G0232000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT1G30755) TAIR;Acc:AT1G30755] MGCVCSRRFRDDAAPRSVQPLAAAYEARRGRYGPGDFDSGELAIPPPKLLTSHKVPETGTLLGRASIAAVEVLDTLGSSMTNLNHGSGFLSGGTNRGNRVCILAFEVANTIAKASNLWRSCSDTSIKELKEEILHSDGVQILVSSNSSELLYTAYVDKRDELDIFSREVIRFGNLCKDPTWHNLGRYFDKLTTDFAPQDHSKEHMETTIQQLINLAQNTSELYHELHALDRFEQDFQRKFHEEESVPAARRESVMILHSELKRQRKLVKTLKKKSLWSRTLETIVEKLVDIVVFLHKQIRDSFSEAEQAQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPVTVKSALRSRLQSVNAQEERTVAQIKAEMQKTLRWILPIAENTIRAHQGFGWVGEWANLGCEMNKKSGSQLSITRVQTLHYADKAKTEQYMLDLVVLLHHLVVQVKNRGYGSKSSKHDPSRSRKGMDLQPESKLNTSPVNNATYSSPLSESERETLDHLSFKRTGYGRSKSCEPPPNRGKKAHRTWDSCRSHGSSPAREFGRNSASELDKTMDLDVIDGLDRLTSYHPTSPTFC >ORGLA06G0231900.1 pep chromosome:AGI1.1:6:22801516:22804836:-1 gene:ORGLA06G0231900 transcript:ORGLA06G0231900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:I1Q5A6] MGVSDNTVGLSLAVASSAFIGASFILKKIGLIRAGKGGVRAGGGGYTYLLEPLWWAGMMTMLLGEIANFVAYTFAPAVLVTPLGALSIIVSSLLAHFVLKERLEKLGVLGCVSCIVGSVIVVIHAPQEHMPNSVEEIWNLAIQPGFLTYAVATLVVVAALVLFFEPRYGQTNIMIYLGICSSMGSLTVVSIKAIGVAIKLTLDGMNQVAYPHTWLFVIIAIICVVSQINYLNKALDTFDLAVVSPIYYVMFTTLTIVASGIMFKDWAGQSFSSIASEFCGLITILTGTIMLHTAKEEETGSSAALPWPLDRGSISWCISLGSDNLLKNVNEDYFAALQSSPAPV >ORGLA06G0231800.1 pep chromosome:AGI1.1:6:22794931:22799308:-1 gene:ORGLA06G0231800 transcript:ORGLA06G0231800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Brain/reproductive organ-expressed protein (InterPro:IPR010358); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryo /.../9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G42470) TAIR;Acc:AT5G42470] MSPPADTAALASTSGAPLAPLVAAQLNFVLSQANLPIRVGQIWSGCRDGRYADRFTLAIPFCLDYVYWDFLYNALSPKVAPDVVFGPDDEGFQPLVDFDETGSGEKSCLANWDCRDTSALLSLIKELREFYIEYQKKRAAEVDDARLKFEISTVLSKEGIEVCTVSSNGRPDEVKFAVPLLDLDLAKLVPGCPWKLPQKIHLQAVFPISRSYSSVPSAPRLKLVSTPDLKSFFSVDDVKLPPWLDGMCMAEYLPNLEENLKIQVVEASASIGSRRRFIEALAPTFGRPLEADPIFCRKATILSISGIFTFLVHFVIPLQFPKHQPVLTLESSQHFNAQGLPIMSAPVNDYPWSPRWDPTEMVERIYDFLVDECQTFKKFCSDSIPQQK >ORGLA06G0231700.1 pep chromosome:AGI1.1:6:22789225:22792275:1 gene:ORGLA06G0231700 transcript:ORGLA06G0231700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRVWLSALLLAFLLAAAPVVQVARAQSEEEAATAEVVDGADLGIVSDDTQVSSDGPLSPAPGVETVCVFPKNAGKIVLAGEETELLVGLQNEGESTLNVVAIHSTLHLPFDHKMYGQNLTVQNFFNASVPVSVQATFPYTFAVSKFLQPGAYDLVGYIVYEIDQNPYQNVFYNGTVEVVEAGGLLSVESVFLITLGVALLGLFGLWAYGQVQQLSKKTKKAPKVELGTGTTDANMDEWLEGTAFAQGSKSKKKK >ORGLA06G0231600.1 pep chromosome:AGI1.1:6:22785953:22788456:1 gene:ORGLA06G0231600 transcript:ORGLA06G0231600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAADRSGVAEGESEWREELRQQQSQVDALRERLVDVKVGMKCSEEDSRKELDHLCRRVKTIATLLAYLKSKARIMAIPHLAHTSCGIRHQDGVGYVDRNGVPLADWSKGGESASCEGLDDETSADSSRVAEHGDANEGDVDVEDILKSIHVVTDVMETLVKRVIVAESEAANEKEKVRMGLEEIRRKTIQVESMSAKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKHDFESLRTYVGTLVNVRETLLSSEKQFETMEKLFDRLVARTNQLESEKAQKEAEVQKVVEENVRLRAMIDKKEAQLQAMSEQCKFMALSRPN >ORGLA06G0231500.1 pep chromosome:AGI1.1:6:22781791:22783868:-1 gene:ORGLA06G0231500 transcript:ORGLA06G0231500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:I1Q5A2] MANCVRCCCWLLVLMLMALAITAAVVFVRYKNGEGVFPFPGVPGAVDHKYADALAVALQFFQVQKSGKLVNNTIHWRGDSALDDGKEAGIDLSKGMYDAGDHMKFGFPMAFTATMLSWSVLEYGDAMRAADQRDSAMDALNWIMDYLVNAHPSDDVLYIQVGDPKADHKCWERPERMKEKRPLTKITPKSPGSDVAAETAAAMAAASLVYKPINKTYSSSLLDHGERLFAFADKHRGSYTRTFPELSAFYNSTTYQDELLWAASWLYHATGNHSYLAYATGKNKDFADLGNPRYFSWDDKRAGTEVLLSRVSFFASQGSDVAQDDVLGMYKQTADAVMCILLPDSETAAFRTEGGLLYVAEWNSLQHPVASAFLAAVYSDYMQSSGKTELSCSGQGFSPADLRKFAKSQADYLLGSNPMKISYLVGYGDRYPEKVHHRGASIPEDVDTGCDGHKWLETSKPNPNVATGALVGGPYKNDSFVDERDNVMQNEATTYNSALVAGLLSALVSTTSLARSLS >ORGLA06G0231400.1 pep chromosome:AGI1.1:6:22780319:22780900:1 gene:ORGLA06G0231400 transcript:ORGLA06G0231400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLLLPLLPHRPSQPLLLLLRHRRRPSIPRASSGDPSPTAADAPTDAQSATPPSSGAKPTGVKNRLRARNQARRVQEVTPPAPLGITMKSKSSSSSRPAASKSSASASASAATRREKQTRRKEWEEMSMAEKAGELYVGEKGLLFWLNKFAYASIFIMVGAWILFRFVGPSIGLYQLDAPPLAPTDVFAGSP >ORGLA06G0231300.1 pep chromosome:AGI1.1:6:22775349:22778266:-1 gene:ORGLA06G0231300 transcript:ORGLA06G0231300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel plant snare 11 [Source:Projected from Arabidopsis thaliana (AT2G35190) TAIR;Acc:AT2G35190] MDLESVNPELAEIDGQIGDILRALQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRFIKDFERVVKDMAGSTDPETARMLHDRKQSMIKELNSYVALKKQYASENKRVDLFDGPSVEDGFGEENVLLASNMTNQQLMDQGNQLMDETDQAIARSKQTVQETINVGTETAAALKSQTEQMSRIVNELDSIHFSIKKASQMVKEIGRQVATDRCIMALLFLIVAGVIAIIVVKIVNPQNKTIRDIPGLAPPVSRRLLSIVEDI >ORGLA06G0231200.1 pep chromosome:AGI1.1:6:22770539:22774162:1 gene:ORGLA06G0231200 transcript:ORGLA06G0231200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGPSSPSPSSACAGHPTPAPEEDEEGGRGWVVVPASEVPGADAPKVIDWEDLQQELARVWSLSAALATARERKALLAARLQSALEARKASVQQDNELAEIRERVQARADFMWDLKMHTKKMTEDVDDRREELRIKIRTLSTTSNTLSTAQNKLKEADKLLSGENGLHVRLKTVERMLRTRQQYMTAQVAHLYPVRPLIERSPANKPSFLNSSILKTRDAESMAPNGSQNGQAPLAILGLQLSKLTMKKTGYFSDKTEIQNSATALGYVAHAVSLIASYLDVPLRYPLRLGGSRSYVLDRAPSVESSSLASAISSAPLSTTMRTMEFPLFFESQETTRSAYAIFLLNKDIEQLLNHIGAESLGPRHVLANLKQLTTIVQSQQYISN >ORGLA06G0231100.1 pep chromosome:AGI1.1:6:22764377:22766932:-1 gene:ORGLA06G0231100 transcript:ORGLA06G0231100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFAFKSKAKNQRAAASGARSPAPTSDGQKSKASSASTPTRSIQELSDERGAQRLRVFDLDELSSATNGFSRALKIGEGGFGSVYRAFFRSAGGGGGGRVVLAVKRLNQRSLQGHKQWLAEVQFLGVLEHPNLVRLVGYCAVDSETSKHRLLVYEFMPNKSLDDHLFNRAHPPLSWRLRLQIMIGAARGLDYLHEGLQEVQVIYRDFKAANVLLDADFKPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIETGHLTTKSDVWSFGVVLYEILTGRRSLERSRPAEEQKLLGWVRRHPPESQSFRSIMDPRLGGRYPAAAARQVARLADRCLVKNPKERPAMREVVEELERVLQMEPPTTTAADKDGDRRLPPAKR >ORGLA06G0231000.1 pep chromosome:AGI1.1:6:22763388:22763702:-1 gene:ORGLA06G0231000 transcript:ORGLA06G0231000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative membrane lipoprotein [Source:Projected from Arabidopsis thaliana (AT4G17085) TAIR;Acc:AT4G17085] MPAAKKITLLQTVAFAGVFSAVSCWYGFMFGRESARRELGGIIEDLRSGGGSGCSTNSAASPDSDAHSKP >ORGLA06G0230900.1 pep chromosome:AGI1.1:6:22757644:22758165:1 gene:ORGLA06G0230900 transcript:ORGLA06G0230900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAGGGSPPAMEEEESYVEVASRFYRVKPGAGGGGGGGRRLHFLESCFLCKSSIAGDRDIFMYRGDAAFCSDDCRQEQMDMDEALQAVARRHRLRSSAAPASAEAAAAAPARSPMMHRRPTIANFAARTPVAATS >ORGLA06G0230800.1 pep chromosome:AGI1.1:6:22755688:22756206:-1 gene:ORGLA06G0230800 transcript:ORGLA06G0230800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVSLHLHGALPLPASRALHHLNPASSAAAAASAKQHQQPRARLAVTTARPSSRTRARAAAASAPPVPPVVHQQHRLSSSRAATGYAAALADASLRAGTLASAARHARALLVSDAAAAVDVAEDSRVVALVRMLVGKGKAALVADVMAEFVAICDRLLLLPARPHAATSY >ORGLA06G0230700.1 pep chromosome:AGI1.1:6:22753253:22753930:-1 gene:ORGLA06G0230700 transcript:ORGLA06G0230700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog A3 [Source:Projected from Arabidopsis thaliana (AT1G01200) TAIR;Acc:AT1G01200] MEEEDYVFKVVVIGDSAVGKTQLLGRFTKDEFFIDSKSTIGIEFQTRTVEIGGKRVKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDVTSRRSFHHAARWLHDLRAHADNSIVVMLIGNKADLSHARAVAADEAAAFAEDQGLFFSEASALSGDNVEEAFLGLLREIHAIVSRRSLLEMDGINGDAAANANAALMLRGTKLSLSDELSIMETSAIKRVSRCSCS >ORGLA06G0230600.1 pep chromosome:AGI1.1:6:22749632:22752653:1 gene:ORGLA06G0230600 transcript:ORGLA06G0230600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSESSRFVQELVLYAASAALSCLVLFAGLRQLDPNRAASQKALQHKKEIAKRLGRPLVSTTPYEDVIACDVINPDHIDVEFDSIGGLDHVKQALYELVILPLRRPELFTFGKLLSPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVSAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGIPVQSERSKILRVVLKGENVEPNINYDYIAGLCEGFTGSDILELCKQAAFYPIRELLNNEKDGRKADKPRPLRQSDLEKALSTSRKGKRAANGTSTGLQSPVWIRPSDSEDDQVQSAIFEISKLMSRIVQNSQSEPQEPSSP >ORGLA06G0230500.1 pep chromosome:AGI1.1:6:22729765:22730193:-1 gene:ORGLA06G0230500 transcript:ORGLA06G0230500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHLLKRQQSSASAGGSSAGGGMPPKGCMAVRVVGPGGVGGGGGGAEGERFVVPVGYLKHPLFVGLLKEAEEEFGFEQKGAITIPCGVDHFRRVQGIIHHQKHHHGGSSHGGGGGGLLSGHGGHGSSGHHNNFHIAACFRA >ORGLA06G0230400.1 pep chromosome:AGI1.1:6:22716825:22723098:1 gene:ORGLA06G0230400 transcript:ORGLA06G0230400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKGASGRTADDDGGVVTEHQSPPPANGLPSTPPRQQAQAQAQQVGTPRRRGSKSGSTTPGHQTPGVAWPSPYPSGGASPLPAGVSPSPARSTPRRFFKRPFPPPSPAKHIKATLAKRLGGGKPKEGTIPEEGGVGAGGGGGAAADGAETERPLDKTFGFSKNFGAKYELGKEVGRGHFGHTCSAVVKKGEYKGQTVAVKIIAKAKMTTAISIEDVRREVKILRALSGHNNLVKFYDACEDGLNVYIVMELCEGGELLDRILARGGRYTEEDAKAIVVQILSVVAFCHLQGVVHRDLKPENFLFTTRDENAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPTVSAEAKDFVKRFLNKDYRKRMTAVQALTHPWLRDEQRQIPLDILIFRLIKQYLRATPLKRLALKALSKALREDELLYLKLQFKLLEPRDGFVSLDNFRMALTRYLTDAMKESRVLEFLHALEPLAYRRMDFEEFCAAAISPYQLEALERWEEIAGTAFQQFEQEGNRVISVEELAQELNLAPTHYSIVQDWIRKSDGKLNFLGFTKFLHGVTIRGSNTRRH >ORGLA06G0230300.1 pep chromosome:AGI1.1:6:22705967:22707931:-1 gene:ORGLA06G0230300 transcript:ORGLA06G0230300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein [Source:Projected from Arabidopsis thaliana (AT4G34700) TAIR;Acc:AT4G34700] MATSAGFLARRAAQKERVRLLYRRALKDTLNWAVHRHLFYQDASDLREKFEANRDVDNPDVIDRLIDDAEAQYRNFQHPDPYIVPWAPGGSKFTRNPPPPKGIEIIYNYGKED >ORGLA06G0230200.1 pep chromosome:AGI1.1:6:22701214:22705105:-1 gene:ORGLA06G0230200 transcript:ORGLA06G0230200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHRSPAMVGGGGAGAVGPPSPATVPVRRRCEGTAMGAITLDLRPGNGVGPFTLGMPISDAFAQIEGQPTLYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYAKSLIGGPSTLATFVAVYGLFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEVADLPLEFPDGTTPVTCRVSIYDSSTDSKVGVGSLMDKAVVPALPAGSLYMEEVHAKLGEELLFTIGGQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYMKCNFVIYDAEAEGTDQPGSIPKSCITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >ORGLA06G0230100.1 pep chromosome:AGI1.1:6:22697127:22699455:-1 gene:ORGLA06G0230100 transcript:ORGLA06G0230100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPVAAGGGGGGGAVKGKSCKGYLFYSSSLRSRDRGPVCAGITRAIPQVPDHMVGEIEMEAIQEGRNLSDFRYGCIGYSMYLDDKKSSDGKGDKHPQLPICVGIELLADRKTSTNQASTNQASSHHKKEAPQPRRYKPAQRGDDFLTKFQRNAGLVANGVARNLNKVGAYIKDTMDDIMYPYRKRPK >ORGLA06G0230000.1 pep chromosome:AGI1.1:6:22691901:22694623:1 gene:ORGLA06G0230000 transcript:ORGLA06G0230000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRRLSMILLLLLLGLASGDKILFQGFNWESWRQSGGWYNLLMGKVDDIAAAGVTHVWLPPPSHSVSTQGYMPGRLYDLDASRYGTATELKSLISVLHGKGIQAIADVVINHRCADYKDSRGIYCIFEGGTPDGRLDWGPHMICRDDTQFSDGTGNLDTGADFAAAPDIDHLNGVVQRELTNWLLWLKSDEVGFDAWRLDFARGYSSEVAKVYIDGTAPVGLAVAELWDPMAYGGDEKPEYNQDAHRQALVDWVDRVGGTTSAGMVFDFTTKGIMNTAVEGELWQLIDPQGRRRGXSGGGRRRPXLSSTTTTPARHNRCGHSPPTRSCRATPTSSPIPATHASSTTISSIGG >ORGLA06G0229900.1 pep chromosome:AGI1.1:6:22677108:22681927:-1 gene:ORGLA06G0229900 transcript:ORGLA06G0229900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPAAAVYGLLMICFVLSHAFLQQQPQLMENVAVAKRSRLEQHRTLSDNSFRVEDLPEEIQSLLLSLLSLKEAASTSIVSRNWRKLWTRYPNLCFDGSKDGPADMDSVKIERMKFIDTVNSIIQQHSGIGLNKFSIRCNLLKDDSDILDRWIRFATASKAKIIDMNLCTNRNNKGPTKHLYDFPLEAFGDQDIPFIQCLFLNNVSIKPHSDIGFTKLRSLHLHCVQIIGDLSGLLFNCSSLEDLEVFACLGVTALNIPHQLNKLRHLLICNMRIQMLEFHVPGLSHFEYKGTMIPIMLHGCSKLQKATLNFHQTWLEEDNNKVLGHVFHGIPSVSAVEVLNILVDICTKQSVWSSQVHTLTARPTIMFMNLKHLTYEILIFTKDPNSHSGVLQLAQYLAFAPQLETLELHMLYHSTHCRCWHEGAGVSYGHIPHHHLKTVYMSGFRCYRAQVELLFAILEMGDELEHVTIDPMTRVPYSPDLMNLGIPEDEICQWANRTSQRFGKAISVVKPP >ORGLA06G0229800.1 pep chromosome:AGI1.1:6:22671549:22674166:1 gene:ORGLA06G0229800 transcript:ORGLA06G0229800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPQRIFGAASSPPIGIPPTSIAAPVDPPHPIFGAASSSPIGHRSLLPTPSIATAVAVQVDPPPHHSESELVQALSDKYRLPVRFLLSSIPPTYLSAWELSDGKAQGLVWSHSDALDITHHYQSLGLREIRFLYSEFISLRCVQDMEYMIARTPLSMRVLNPDVAKEKSRMLSKLNGYCCSLREALRQVQAGGNLVEMFNLRSKFAQEHELCTCRTELLRQMKVDALNRVLVLGLGEGASSAHSADMIWILKHHRPDEYAVAVNDDGTVSWPIIHGLVQNIRNMMGSKLTSNDTLANSRTFLLGVTGRYQFEHKVQEVLEKTRSAIQQFMTDPTSRSQVVMDIRSQLEVLTRLMNKKSLIGSYPINMPGDTTGEGVLDITVIHEKKE >ORGLA06G0229700.1 pep chromosome:AGI1.1:6:22665070:22668637:-1 gene:ORGLA06G0229700 transcript:ORGLA06G0229700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVACRRGLLQQQQLWQAHRWVGPARSISQLVKTNGRRAFLVDTLALVRKLESQGVPTKQAEAITSAITEVLNDSLESISESFVSKAEMQKAEMLQESNISKFKSQVQSSQENHFSLLQRETEKLRGDIDKMRSELKYEIDKVTAGQRLDLNLERGRIRDELAKQNEETTELTTKLDKEIHSLKAQLEAAKYDVIKYCIGTIVSISAVGLAVLRIVM >ORGLA06G0229600.1 pep chromosome:AGI1.1:6:22657651:22658664:1 gene:ORGLA06G0229600 transcript:ORGLA06G0229600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQCDVCAAEPAAVLCCADEAALCSACDRRVHRANRLASKHRRLPLVHPSSTSSGDGGAAAAPLCDVCREKRGLVFCVEDRAILCADCDEPIHSANDLTAKHTRFLLVGAKLSPAALAEQPVPSSDCSSDDDAAAAATEEEYHSSAASTGAAVSAPLDASSNGAGGGGGVGGSSISDYLTTICPGWRVEDLLPDDDAFAAAAAQAGKEKDERVPFLDADLFDVVAGRPEKKGGAWAPHVPHLPAWCLDEVPVVVAASAAPAATPVKAKQGHVRDSHWSDSDAFAVPEFSPPPPPAKRARPSSQYWCF >ORGLA06G0229500.1 pep chromosome:AGI1.1:6:22649459:22651240:-1 gene:ORGLA06G0229500 transcript:ORGLA06G0229500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-linked oxidoreductases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67220) TAIR;Acc:AT5G67220] MRFAPILRNPRRRRLLRSVNPSLAAMSPPAAAHLATASDPDEDLCLSTEPVAPAEEASPPLPAPPPPVSAEERVERAWAHWRRLGSPKMVVAPMVDNSELPFRMLCRRYGATAAYTPMLHSRIFSENEKHRAMEFTTCKEDRPLFVQFCANDPDILLQAAKIVEPYCDYVDINFGCPQRIARRGYYGAFLMDNLPLVKSLVQNLSANLHVPVSCKIRIFPRLEDTLAYAKMLEEAGASLVAVHGRTRDEKDGKKFRADWDAIKAVKDALRIPVLANGNIRHLDDVKDCLEHTGADGVLSAETLLENPALFAGFRTKEWKENGDEDEASGLDQADLVIEYLKLCEQYPVPWRMVRSHVHKMLGDWFRVHPQVREELNAQSKLTFEWLHDMVKRLKDLGGGIPLYRNNNALQTTSNGLAASNA >ORGLA06G0229400.1 pep chromosome:AGI1.1:6:22645316:22648624:1 gene:ORGLA06G0229400 transcript:ORGLA06G0229400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51070) TAIR;Acc:AT3G51070] MAGLGRSSRGASGKRGAASSSSSSSSVSSSSAAASTCVYYATTAVLVTLCVAGAYFLTSASSASLAGSVVDGDGGSGGGGGGGTVTTTYRHTTRSSFAYEVSRPERKAPPAPPRDVERVHDAAARGRIADEDGAEEEHGDGDDDPRGKPDLDDHGADEEETKSAVAAMDDAQRREEDGSVSSGEANAEEEEAAATTGARRARVGEDEEEAAREESQELHLQMPLGEPRAAAAVEEKSLDGGVEEESNAGQRQREEEQIDHGVDGGASLRREAQEEGQIGEGYVMADHGEGEEMLLEQQQQQPEEERGSEAEAARTSESDAGGEVDPEDKPTVSERTEEMVDTLPGEEDRAEVSATGVDEQNAWATQADHSHQEKDRRDEAAGVDDNSVEAAAGGGGGGEEPEWRLCNVKTGPDYIPCLDNDKAIKKLRPENYRRYEHRERHCPDEGPTCLVPLPAGYRRPIEWPKSRDRVWYSNVPHTKLVEVKGHQNWVKVSGQYLTFPGGGTQFIHGALHYIDFLQQSARGIAWGKRTRVVLDVGCGVASFGGYLFDRDVVAMSFAPKDEHEAQVQMALERGIPAISAVMGSKRLPFPSKVFDLVHCARCRVPWHADGGALLLELNRVLRPGGFFVWSATPVYQKLTEDVQIWKAMTALTKSMCWELVAIKKDRLNGIGAAFYRKPTSNECYETRRRQQPPMCSDDDDADVAWYIRLNACMHRVPVAPSDRGAAWPAEWPRRLRAPPHWLNASRAGVYGKPAPEDFAVDYDHWRRVVDRSYLNGLGIDWSRVRNVMDMRATYGGFAAAMRDHKIWVMNVVNVDAADTLPIIFERGLIGMYHDWCESFSTYPRTYDLLHADRLFSKIKERCAVLPVVVEVDRIVRPGGSIVVRDDSGAVGEVERLLRSLHWDVRLTFSKNGEALLYAEKSDWRPELLADPL >ORGLA06G0229300.1 pep chromosome:AGI1.1:6:22642192:22642500:-1 gene:ORGLA06G0229300 transcript:ORGLA06G0229300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNISKRFTLASVTRPYGRRVCGASTGLEEIKRSSSRSIAASTALSSSLWPLGDSGDTSGKDVKDECIGGTWSGWCEKKINHNLYRVSEGLVPGGTRHRRY >ORGLA06G0229200.1 pep chromosome:AGI1.1:6:22636186:22639953:-1 gene:ORGLA06G0229200 transcript:ORGLA06G0229200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT3G54340) TAIR;Acc:AT3G54340] MGRGKIEIKRIENATNRQVTYSKRRTGIMKKARELTVLCDAQVAIIMFSSTGKYHEFCSPSTDIKGIFDRYQQAIGTSLWIEQYENMQRTLSHLKDINRNLRTEIRQRMGEDLDGLEFDELRGLEQNVDAALKEVRHRKYHVITTQTETYKKKVKHSYEAYKTLQQELGLREEPAFGFVDNTGGGWDGGAGAGAGAGAAADMFAFRVVPSQPNLHGMAYGGNHDLRLG >ORGLA06G0229100.1 pep chromosome:AGI1.1:6:22624024:22625160:1 gene:ORGLA06G0229100 transcript:ORGLA06G0229100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEEEEEAAEEEESAAAEGGGRGGERGGGGDHAIGADSLFLYARGAAAAAADTAGSGGGGGGIGFQLWHPQQQAAAAAAAVPHTSQFFSSGVATGVVLGFSSHDGGGGGGHMGGPGGGAGGGRAGTSCQDCGNNAKKDCSHLRCRTCCRSRGFSCATHVKSTWVPAAKRRERQQQLAALFRGAAANNSAAAAAAAAASKRPRELVRTLGRLPSANTAMVATTTSSGTPPILTPTLSIMVTLTLTPPWLCAGEGDGRFPPELSVEAVFRCVRIGAVDEADAELAYQTAVSIGGHTFKGILRDHGPADEAAGQLPPSSAEYHQLTGQGREESSPAGSSEGVGGGHGAATAATSAAVLMDPYPTPIGAFAAGTQFFPHNPRT >ORGLA06G0229000.1 pep chromosome:AGI1.1:6:22605067:22609045:1 gene:ORGLA06G0229000 transcript:ORGLA06G0229000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARAMAFVALCALAAFPVAVTGAQVDPLYSSKQVLDWSSQANIKLQNFSLTEEDGLQLLVRPEEVTHRKLRERTRIKKIEPVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSKVRLMRDQMIMARIYSVLAKSRDKLDLHQDLLSRLKESQRSLGEATADAELPKSASERVKVMGQLLAKARDQLYDCKAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLENPDLYHYALFFGQCFGSFSCGELNHHERKGAXETCIPSCYXQAELWSNEHVVFVESTWRCDNPCXKRGXLQMAELFILPCSEAAXICGHERVLFXGRPSKNSLCWFFXSEIXEPQISFHAQPFKILPSTSVSXVEXDPFPGXXHSCSEGFDRTLGGXSKWECKWCCGNMWGEFSPFXQVPQFFKSKYCSELRSXCLWLGVWNEYVXFGRMEEEGHYWHLSQMAEHEXKQAALEAWDTAARSPNILQVNTSPGQIMACSWLRVQPIHRALRNRXRRRHPLQREHEAMAGDCNVEVPTILDKVHQLRAHLCSWVQDQSI >ORGLA06G0228900.1 pep chromosome:AGI1.1:6:22596982:22602112:-1 gene:ORGLA06G0228900 transcript:ORGLA06G0228900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDQPHLPPPAPPPGAAAADPPESTLAPPPPPPLEPPEVMHKTRAVDFLGRRTPIVYQNDNGPCPLLAICNVMLLKNVISLNPDAGEVSQQKLLSLVAERLIDSNSNVQGKDEEYVRNREQNISDAIDLLPRLATGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTDTATSIGSKSYNALASGLAEFKSGESTKENRHVQEEETVDFAAATTAALGVPSPSVSRGISFDENTLTDPVELHIRRGDREEEEELRRVLSLSKAENANAVDGSGSFDTSQSHSSSNMEETAHTESFQLEAVEAMDSTNKEEHGNSHVLSDGPMLQDSTNAAPNINEVGMEESQQVLTSNELEDDGKRNILPEHSDITIQPSESVLDCSSHESSAPNQAAPALGQVDKESCEEQAPLQILGQASDTEKIHGQASDTEISSELTTAASQDTPNHATKELDGEDGNSPEPIILNLQESEPIYQGEEHILSTGNLAYENQEPVYEGEVVLAEQADKTEKSSEDMQDGPAEHQWELIDNFLQNTASQLTVYGLFCLQEGLNERELCVFFRNNHFNTMFKYNGSLYLLATDQGFFSQSDLVWQKLDEVNGDGVFLTSNFTPFKAENPRNDSWNEQQAMTSTADYLAQFDNTTLQHSSGNSDLELAIALQQQEFERQQPQRHQSSTQQQEPVPQQQQQTPNQSHGTGRPGLVVGPTTARRPPAPQPTTPKKEKCIVM >ORGLA06G0228800.1 pep chromosome:AGI1.1:6:22590911:22596086:1 gene:ORGLA06G0228800 transcript:ORGLA06G0228800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcineurin B subunit-related [Source:Projected from Arabidopsis thaliana (AT2G45670) TAIR;Acc:AT2G45670] MASRNPSPASLSTPLLSDSISPTPTTNGHAGHHNHDNDDEESPTVCGGDGGGGGDPFAFLSEDRPAWWSPRGVSPADPFRNGTPGWCGAYELVRALVCAPVAAARLVLFGLSIAVGYAATWVALRGWVDVRERAAQEGAGPMPAWRRRLMWITRISARCILFSFGYHWIRRKGKPAPRELAPIVVSNHVSYIEPIYFFYELFPTIVSSDSHDSIPFVGTIIRAMQVIYVDRFSPASRKSAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVIVRYPHVHFDQSWGNISLGKLMFKMFTQFHNFMEVEYLPVVYPPEIKQENALHFAENTSYAMAHALNVIPTSYSYGDSMIMARAVEDGKVNCSNYMVEMAWVKETYGVSTSEAMALLEDFLCMSPDKDGRVNAQDFWAHFGLNCTPLCKKIFQYFDFEAKESITFRQFLIGCAHLRKQPSFQDACETAFERCRNPLTSHIGREQLADVLRSSMLELMTDNGMMKLFKTLDVDDDDGISKDDLMASLTKLPFMIALFAGRINGEVYIEIV >ORGLA06G0228700.1 pep chromosome:AGI1.1:6:22585819:22586544:1 gene:ORGLA06G0228700 transcript:ORGLA06G0228700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSPPRPLAFPTLDSLAAFLGSRLPASALASWGTAPGTKTLLNLFLELSQGECVLISAAAAAAAAPPSQQQHPVVRAVHVASVRIRNGRGALLMETGQLLSDGTLRSRGGLRPLSEKMRPGETPEAAAVRAVREELGERVRVRILGGEEARVEERDSASYPGLHARYVLHAVDAEVVEGVPEDGEFDTEEGGEHEDEVVVDGAAAAITVKRHYWKWVDDNDNDEEDVAGAEEGARQSAH >ORGLA06G0228600.1 pep chromosome:AGI1.1:6:22582245:22583443:1 gene:ORGLA06G0228600 transcript:ORGLA06G0228600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAFVAVVVVVVAALFVGAASSSAAAQAAVDTGAAAGVPSCASKLVPCGGYLNATAAPPPASCCGPLREAAANETACLCAILTNKAALQAFGVAPEQGLLLAKRCGVTTDASACAKSASSSATAAAAAVPALQVALLLLQLPLETLHLQRPSQRRAEAQRIA >ORGLA06G0228500.1 pep chromosome:AGI1.1:6:22574391:22574993:-1 gene:ORGLA06G0228500 transcript:ORGLA06G0228500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSFAMALLLAAFAAAATANGGAAPAASDFIRKSCRATQYPAVCVQSLASYGGAGAPPPRSPRELARAALSVSVDKARSASTYVGHICGPGGGRGGAGGAGPVRDCLENMADSVGHLRDAAQELGGNMGRAGSPGFKWHLSNVQTWCSAALTDENTCLDGLSRGVDAATRSAIRGKIVEVAQVTSNALALVNRVAPAN >ORGLA06G0228400.1 pep chromosome:AGI1.1:6:22560951:22562264:-1 gene:ORGLA06G0228400 transcript:ORGLA06G0228400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP F-box 1 [Source:Projected from Arabidopsis thaliana (AT1G31350) TAIR;Acc:AT1G31350] MMAAVKRPYCKPPPPTTTTTAITSSSGQNHKRLRHSLPAAAAMDGGMEEEAVAPPPPTTMTTTTPPQPLLPGLPDHLAQLCLSPLPPRLLHAVCRPWRRLMYTPSFPPFLSLYALLDDADADAGVSFAAYDPLAGRWDALPSPPMPSPPPMLWHPSFLARRLPLQSVAAAGRLVLVSGSTQSLHPALSRPLVFDPAAPTPRWQLGPRIPLSPRRWCAAGAARGRVFVAGGVGAGYDPAVARSGATWDPAASPPPAAAWEPIPPLRDGRFSRDAAEAVCSGGKVCMVNLRTPGAKEGAVFDLRAGRWEDMPPGMLAGWKGPAAASPPDDGETIYVVDEERGALTAYDWGADRWRTVAESDRLKGAAEMTVAGGKACVVAPGGGKVLIVDVTPPPPPPPAARRESWAAPPPPPRMWEVAAPGGKRVVSLHVLPRMTRPE >ORGLA06G0228300.1 pep chromosome:AGI1.1:6:22556007:22558087:1 gene:ORGLA06G0228300 transcript:ORGLA06G0228300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCIP-interacting family protein [Source:Projected from Arabidopsis thaliana (AT2G16860) TAIR;Acc:AT2G16860] MASSSSAASGRSRPECINSSNPFHECSDYCLRKIAEAKERIEDEQRPPVDRTVHPDCINASNPYHVCSEYCFKRIADAKSGLERAEQEPPSADAGKSDAAQAEGGGGDDDAEQEDAGSDDGYPQMTEKQKKLFELRLKMNEARKANQQAMVAEKKRMEPRTESRGVSKQKWLEDRKKKIGKLLDSNGLDMTKSYMLDTQESAEAKYKKWEKEPAPYGWDVFNQKTLYDAYKKRTKNIEVDMEAYNKAKEADPEFYRDTSSLQYGKVSKVPEENIDRMVNELKERDEKRKAFSRRRKFHEDKDIDSINDRNEHFNKKVERAFGKYTLEIKNNLERGTALPD >ORGLA06G0228200.1 pep chromosome:AGI1.1:6:22553909:22554175:1 gene:ORGLA06G0228200 transcript:ORGLA06G0228200.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRGIDASAGEEPMPTVLDEVAAVELEDAGHGGLPLPGALPGLLVVRVELLHREHMFRRGGARLGHGRRTPHARARARASRRPCGAEEP >ORGLA06G0228100.1 pep chromosome:AGI1.1:6:22541880:22542591:1 gene:ORGLA06G0228100 transcript:ORGLA06G0228100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSPPPSGKSRPDCINSSNPYHECSDYCLRQIVEAREDNHRWSRVHPHCINASNPYHACSNFCFRRIIHAKPWLERPVQEPPASEAVPAQADDDDDAKTDDDDDDDDDEDAAADDDDGYLKMTVTENQKLVFELRVRPSTILRFVVAAVCALLVIHLLGVSLVVVAHALI >ORGLA06G0228000.1 pep chromosome:AGI1.1:6:22536966:22538804:1 gene:ORGLA06G0228000 transcript:ORGLA06G0228000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQAVNPACPNAANPFHRCADYCPVPAPAAATAKPPPPPPSSRPAQNGTAAPQNGTAAAQNGIAEQNGSTHSDGELQAKPRRRDRAGGSGGLPFYVFLREGADGDGKKVDPRCPNAPNPFHVCTEHCAAKMAEVSRSSEGGKSPMSLFSRHSRRSSSSSEDGSVRSGSSKKVDPKCPNAGNPFHECTEHCAAKMKEVVQQNKTVKKSSSRKKGGKEISVVQNWKVDPRCPNASNPFHICAQYCFDHLNETGQKDTSKPDSRKGKAVVKAEQTGEINPDCVNASNPYHKCGEHCKRKGDR >ORGLA06G0227900.1 pep chromosome:AGI1.1:6:22532986:22533624:-1 gene:ORGLA06G0227900 transcript:ORGLA06G0227900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASVGEGERGDRRDIAGGEKAQGMSHKEVAAAAVAGGGKAAGAEGSSSAGDSGRAPPPQAPCRGRRLPTTILRSAPSSSLMPPPRATLDLPRPVRPRTFTTSPVASVLDSSRCAGLGSPPMATPPLSLPLSPLPSAQSAASPLTSYSARRRYHNPGVQNHGGCPRGAAAGERGSGQGERGQRDGRSGMCALVRRQSSTGCLAPICHRQPP >ORGLA06G0227800.1 pep chromosome:AGI1.1:6:22531165:22532040:-1 gene:ORGLA06G0227800 transcript:ORGLA06G0227800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGGGGKLSAVDAILAEAADLVALEQIAKLNTAHLAADGDSALPSSLESRFRKLKSLPAAPLPPPPPAKSLGRSATAPPHHTDPPPSETPDPAPPAPPAPAAQERRPEDAAKEAQEKDNSSPPPSQAHPPPAVTVPTAAAADDNEEDLEKLFRPGRGRPTLRERNRGRDDGSPSPPRQACCFGFSPKKTLQRTPTGSGRKSRRAGVAAAAADDVLGIGDAGEWGDENRRIVTELKQQQRKLKKALEEQVKVSRETAKMAQWVKQASARMTHTAAIDDLLSDCDDEDELK >ORGLA06G0227700.1 pep chromosome:AGI1.1:6:22526648:22529104:1 gene:ORGLA06G0227700 transcript:ORGLA06G0227700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYYLVRLHLPPPLQLPPTLPLPRGRHDRRVGGAVACRATAGPVGSQERPWESYDRGIQHHAGSDLASSLRLLADMQAAGLRPSGAAYARLIRALARAGRTLEAEALLLEMRRLGLRPDAAHYNALLEGLLSTAHLRLADRLLLQMADDGVARNRRTYMLLLNAYARAGRLEDSWWVLGEMKRRGIRLDTAGYSTLVRLYRDNGMWKKATDLIMEMQELGVELDVKIYNGLIDTFGKYGQLADARKVFDKMCAEGVKPDITTWNSLIRWHCRVGNTKRALRFFAAMQEEGMYPDPKIFVTIISRLGEQGKWDEIKKLFHGMRNRGLKESGAVYAVLVDIYGQYGHFRDAHDCVAALKAENLQLSPSIFCVLANAYAQQGLCEQTVNVLQLMEAEGIEPNLVMLNLLINAFGTAGRHLEALAVLQHIKDSGMSPDVVTYTTLMKAFMRAKKFEKVSEVYKEMEGAGCTPDRKAREMLNDASIVLEQRGMLHL >ORGLA06G0227600.1 pep chromosome:AGI1.1:6:22518417:22519769:-1 gene:ORGLA06G0227600 transcript:ORGLA06G0227600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLPAFTVRRGEPVLVTPAAPTPREVKALSDIDDGEGMRFYSSGIHLYRNNPAKKGQDPAMVIREALARALVPYYPLAGRLREEAGRKLVVECAGQGVMFAEADADLTADDFGDVQSPPFPCFERFILESTTVAGVEPVVGRPLLYIQVTRLRCGGFIFGQRFCHCVVDAPGGMQFEKAVCELARGAAAPSVSPSWGREMFMARDPPRPSYPHLEYREPAGGADRLLATPPEDMVRVPFFFGPREIAGLRQHAPASVRGACSRFELVAACIWRSRTAALGYAPGEEVRLSFIVNARGRADVPLPEGFYGNAFAYSVAATTAGELCGGDLGYALGLVKKAKSAVTYEYLQSVADLMVVAGRPLFALSRTYIVSDVSHAGFKSVDFGWGEAVYGGPAKGGEGPLLGVTNYFSRSKNGKGEQSVVVPICLPKDAMDKFQLEVQALTAELS >ORGLA06G0227500.1 pep chromosome:AGI1.1:6:22508648:22509400:-1 gene:ORGLA06G0227500 transcript:ORGLA06G0227500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHHQPPTPPRRHRRPHELSMAAEERHHGTQTAMASDDDRHHDRGGWIRPEEKHRSSGVAWALVILCTLLAVGVIVAGATVFAVYLIYKPRMPYLVVSDAQLVRLDYDQGGTIDYLEALVTVMARNTNSRADASFARVDLALRFHGADVARLRAAPFVVASASAAPLRYDVVSKGRALDAGGMRAMDASLKSGVVPLDLLGRARTRWKVGIFASLKFWTRISCRLHFFYPGNGTVMASDRNTCTSRSP >ORGLA06G0227400.1 pep chromosome:AGI1.1:6:22506179:22507325:-1 gene:ORGLA06G0227400 transcript:ORGLA06G0227400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family (UPF0114) [Source:Projected from Arabidopsis thaliana (AT4G19390) TAIR;Acc:AT4G19390] MKPRPTGFGAGAAAAAEVLVGGGCGGWAWRPRPRPATVASTAAMSVRGPGTTQAAAAASAVHSERHRGGVHGLQLPPLRLQFTADLEARIEKVIYACRFMTFLAIAGSLIGSVPCFLKGCVYVMDAFIEYYLHGGGKVTLMLVEAIDMFLVGTVMFVFGTGLYELFISNMDIAKSSSYGSNLFGLFRLPERPEWLEIQSVNDLKTKLGHVIVMVLLVGIFEKSKRVTITSCTDLFCFAASIFLSSACLYLLSRLSSK >ORGLA06G0227300.1 pep chromosome:AGI1.1:6:22498624:22499013:1 gene:ORGLA06G0227300 transcript:ORGLA06G0227300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGSPATTSFKTSTRLAADCGGELSTRNARVAARTRCAYDAAAAMGAESSSFLSLFSLRQQAMMRMLMRMQIRCFVQESAADEPLKKGDCREPLAAINSFLDSCIRSAKEAYDLAGNEHHGQSSKS >ORGLA06G0227200.1 pep chromosome:AGI1.1:6:22496585:22496797:1 gene:ORGLA06G0227200 transcript:ORGLA06G0227200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRHPPGRTTMEGTHDMRRSTRSTLTMKKQSTPTRSHNIQAYIHQDKPPDIDIRDTPGSTLSGTFRGRP >ORGLA06G0227100.1 pep chromosome:AGI1.1:6:22489987:22491339:-1 gene:ORGLA06G0227100 transcript:ORGLA06G0227100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHRRGEADDEEEELGLRLGLASAGASAAAAAAGEPPSVGMEFPTSEAAREFYCAYADRAGFAVRTDKSRRSRRDDSVIMRRFVCTREGFHPTRHDDLTESEAAAGKRRRKRLIIREGCMAMCEVTKKEPPLRWVVTKFVAHHVHPVSLPLCPRPPPAGESDGLAGEHAAALDEPTQAATEPSDEPTGAPAAVGNGAPNGGPSFCNRLVRANPAGVRAEVQDLLDYLRKMQAESPGFFYAMQVDSGNCVTNVFWADAKARMAYKSFGDAVTFDTTYRKTKYMMPFAVFRGINHHLQGIIFGCCLLMDETKSSYTWLFDTWLAAMGGRQPDLLVTDQGKAMEAGIARVLPNTRHRFCQRNILSLCKQKLSAVYIQHINLKADLRECVFGAETIEEFQARWDYVIHKYNLEENTWLQSLYDTRQQWAWVYQKGSFFPELLKSQRSERLNKFF >ORGLA06G0227000.1 pep chromosome:AGI1.1:6:22485896:22488383:1 gene:ORGLA06G0227000 transcript:ORGLA06G0227000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G45360) TAIR;Acc:AT5G45360] MADGQEDAAGLDDDLVLEDELRGREEGEDGGGGGGEEEEEDDVDGLASFLESEILSGSSGDDPTGREEGDEKEKQLGDDAKNNKRKQESESDGDSGSGSGEEQNKRVRRAKGKEKDVASVAPQIDSGMFCNIPPELFLQIFKFLSSEDLISCALVCRFMNVVASDETLWRRLYCMRWGLSCNTKLRECAWKKLYIQQDREDMVEFVRNTPTEFKEYYIQMQASKRSQAPLPSEVNDDKVILDKTIADQVSSWKSSRGLTDDAVKGHSCSGNTCSYTQIGDAYICEKTGRVHVCDDACREFVLDQSSGLLLCTISGHCFERWLCPDDEWDADDDTDLQQAGMTDEAEPFMGSGRFARAYQLGYSCADEKELEYALRFC >ORGLA06G0226900.1 pep chromosome:AGI1.1:6:22484182:22485336:1 gene:ORGLA06G0226900 transcript:ORGLA06G0226900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSCSSSSSSVRLRLNLAPSRRRIAAPANLQVLRRVGVAAASIRLRAARATGGDARQPFDHVPRGVEEVGEMEEDEERRRRRGLKIAVVGFGNYGQFLTRTLVRQGHTVLAHSRSDYSAVAAELGATYFTDAHDLVECHPDVVLLVTSILSAEAVLRSLPVHRLRRDTLFADVLSVKEFPRNLLLGTLPEEFDIICTHPMFGPESAGDGWGGLPFVFDKVRVGDCPARRARAEAFLDIFAREGCRMVEMSCAEHDAHAAETQFLTHTVGRTLAMLELQTTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNNNSTELLNRLEWAMDSVKKRLFDGLHDVLRRQLFEGSPPLDSVSAAAAGSPPDDAPIDGDLDSDNEEEQSE >ORGLA06G0226800.1 pep chromosome:AGI1.1:6:22479833:22482846:-1 gene:ORGLA06G0226800 transcript:ORGLA06G0226800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKELDKTHAGPDKKNRPKDVFGRPLPTEQEFEVLKNAPRLETGAPARVKPFGVEVRNVRCLRCGNYGHQSGDRECPLKDVIMPNEESRLKRDDPLTAIMAQTDSSEPLKWELRQKPGMSPPRGGYNPDDPNQQIVAEDIFDEYGGFLGGCDIPALLSNFSASKSKKRSKSKSKHRQAEPAAHEESSHSEAEKSNRTSRSKRKKEYCSDLSFSGAEVEARKNSKVEVGRDTRRHQKREHRKKKRNITESESSSDSEVDIDTRKHPKREHKKKKRDVTESYSSSDSEVEVDRDTRRHPKREHRKEKRNMTENGHSSRSREKHHYSDTSTSDNEKHSMGHKDEQYYSDSSSSRSNRHSRRSREKRYYSDSSSPEHNRHSRRSKEKRAYTDLSTHDRDRHSKRSRDKREYTESRPYESNKHSRTLKVNWHYSDSSASDYSNSERHYSHRHRRRK >ORGLA06G0226700.1 pep chromosome:AGI1.1:6:22477549:22478619:-1 gene:ORGLA06G0226700 transcript:ORGLA06G0226700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTSTHRLHQPPPPPPPPASHQFRSAAAFRRPPSPAAAPLRARPQRGGGTTIRAIDAAQPFDYESRAAGLLEERQRLKIAIVGFGNFGQFLARTFARQGHTLLAHSRSDYSSLAASVGASYFQDPHDLCECHPDVVLLATSILSAEAVLRSLPVHRLRRNTLFVDVLSVKEFPRNLLLGSLPPDFDVICTHPMFGPESARDGWDGLPFVFDKVRVGDCPARRARAEAFLNIFEREGCRMVEMTCAEHDAHAAETQFLTHTVGRMLAMLELRSTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTELLNRLEWAMDSVKKKLFDGLHDVLRKQLFEGSPHAPNNTPVRK >ORGLA06G0226600.1 pep chromosome:AGI1.1:6:22469292:22471346:-1 gene:ORGLA06G0226600 transcript:ORGLA06G0226600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1Q553] MGRERGGGEGMTMLQRWSSSVWSVSGSGRLLWADKAWRAHAAMAFTQLAYGGYHVLTKSVLNVGMNQIVFCVYRDLVALALLAPAAFFRERRTRRPLTPHLLASFALLGFTGIFGNQLLFLLGLSFTNASYAAAFQPAIPVFTFLLAAIVGVEVINIFTKDGIVKVLGTAVCVSGAVLMVFYRGPSLIGMGGGGGGGSNGVGENVLAGTWSSNSYTPQWLTSAMLQYGLETWNLGVICLIGNCFLMGAYLVIQAPVLIKYPASLSLTAYSYSFATVFMVLTGVVTTNGLHEWALTTTEIIAILYAGIVASCLNYAIMTWSNKILGPSLVALYNPLQPACSTILSTIFLGTPIYLGSIIGGVFIIAGLYLVTWARYNEAQRVLAIGYLDPLLVEDEDPPTPKTQESSFSGSIDP >ORGLA06G0226500.1 pep chromosome:AGI1.1:6:22466503:22466844:1 gene:ORGLA06G0226500 transcript:ORGLA06G0226500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGQKDRLGQDKGGHAKLECPLCKTTAPDIKSMQIHHEARHPKLPFEPDKLNNLHGGGAGAAGAGEAAASSSKPKPGVRGSLKK >ORGLA06G0226400.1 pep chromosome:AGI1.1:6:22463513:22465585:1 gene:ORGLA06G0226400 transcript:ORGLA06G0226400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:I1Q551] MAGSGWRRIPAARRPPMSRPASVCLWIVLVAATLALAQVLIHLAKKSKADLTEVTHKVYFDVEIDGKPAGRVVMGLFGKTVPKTAENFRALCTGEKGTGKSGKALHFKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGTKFADENFKIKHTGPGLLSMANAGRDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKIEAEGQQSGSPKSKVVIADSGELPM >ORGLA06G0226300.1 pep chromosome:AGI1.1:6:22460635:22462498:1 gene:ORGLA06G0226300 transcript:ORGLA06G0226300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:I1Q550] MAARETSRHVSLCLWLALVAATLSLAQAVESEAELTKVTTKVFFDITINGKPAGRIVMGLFGNTVPKTAENFRAICTGEKGLGKSGKPLSYKGTPFHRIIPGFMIQGGDTVSGNGTGCDSIYGGMFPDENFKINHSAPGLLSMANYAKDTNGSQFFITTVKLTRLDGKHVVFGKVLSGMDVVYKIEAEGSQSGTPRSKVLISDSGELK >ORGLA06G0226200.1 pep chromosome:AGI1.1:6:22457674:22459589:1 gene:ORGLA06G0226200 transcript:ORGLA06G0226200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPVGGGSASGSVERWRAEASRAFQHYLDRAAPHTAGRWAGTLVAAAVYALRVYYVQGFYVVTYGLGIYLLNLLIGFLSPMVDPELEALDAGPALPTRGSDEFKPFIRRLPEFKFWYAITKAFCVAFLMTFFSVFDVPVFWPILLCYWVVLFVLTMKRQIVHMIKYKYVPFSIGKQKYGGKKGPGASTSKD >ORGLA06G0226100.1 pep chromosome:AGI1.1:6:22450753:22456824:1 gene:ORGLA06G0226100 transcript:ORGLA06G0226100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPSTASPTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTVSVKRSPEPAGSSPLRISVSDTGVGSKLEEFLELDALARETPVEKWFFPSADGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLATTGTEVCLCLPTEADVDDLILWLVGFVRKIFVLRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLVSGLKDYALSHANTSDRCEACYMNRDRLKIGTGTAKYVDKRKAKGQLVEVVIMIAPTSSDLSCWMTNCSSTQVLHFVEFIPCPISQSSLSALMSIDWQSYATAVHEWKSSQPERHLLRKALKSALFGLKADHAEDFLSCHGQKNNFHIKSFHTKRTVLRFEKRAMSIQMLIQPKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCIGEKMNRIIEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >ORGLA06G0226000.1 pep chromosome:AGI1.1:6:22445802:22450084:-1 gene:ORGLA06G0226000 transcript:ORGLA06G0226000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVELADRAAARPSETGEAPPSSPAAAAAASAAAEDAPLLPGGGGGVRRRVVVSERFRQRSGSFRREVRRAAEETYLLTRLTLILLRYLGIGYRWIRQFLALCCYTFLLMPGFIQVVYYYFFSSQVCRSVVYGEQPRNRLDLYIPTDRTGLKPVVAFVTGGAWIIGYKGWGALLGRRLAERGILVACIDYRNFPQGTIGDMVEDASQGIAFVCNNIASYGGDPERIYLVGQSAGAHIAACTLLHQAIKESGEGDASTWSIAQLKAYFGISGGYYNLLNLVDHFHKRGLYRSIFLSIMEGEESLQKFSPLVMVKDPAARSAVSLLPRIFLFHGTSDYSIPSAESEAFFDALQQNGAKADLFLYDGKTHTDLFLQDPLRGGRDKLLEEIVTVIHNDNPDTSAQHLAVPVARRLVPEFMLMLAGRVSPF >ORGLA06G0225900.1 pep chromosome:AGI1.1:6:22439666:22443882:1 gene:ORGLA06G0225900 transcript:ORGLA06G0225900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:I1Q546] WGGGGTLVDGFRRLFHRRTASGSNQSSNAGEEVASSDLEVADDPDLVALRSIRIRVPKRKMPLPVESHKKNTVEMEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIRANDDLTPEHYQFFLYQLLRALKYIHAANVFHRDLKPKNILANSDCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGRPLFPGKNVVHQLDIITDLLGTPSSETLSRIRNEKARRYLSTMRKKHAVPFSQKFRNTDPLALRLLERLLAFDPKDRPSAEEALADPYFASLANVEREPSRHPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYMKGGEQISFLYPSGVDRFKRQFAHLEENYSKGERGSPLQRKHASLPRERVGVSKDGYNQQNTNDQERSADSVARTTVSPPMSQDAQQHGSAGQNGVTSTDLSSRSYLKSASISASKCVAVKDNKEPEDDYISEEMEGSVDGLSEQVSRMHS >ORGLA06G0225800.1 pep chromosome:AGI1.1:6:22434992:22435201:1 gene:ORGLA06G0225800 transcript:ORGLA06G0225800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGKNLAGWKPKLLGPDARLAIIKHVLMTLPLYFMSVLELPSWAIKEIERKCRGFLWKGDENAAGTCSLVA >ORGLA06G0225700.1 pep chromosome:AGI1.1:6:22433573:22434991:1 gene:ORGLA06G0225700 transcript:ORGLA06G0225700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAVAHEVILQLDRAMDSRQLSPEERSLRVTLKGRCLALASLERIRLRQWARLRYLKHSGTASQFFHLKINARRRKKIIPMIQHGGVWGVTEEDKLDMAHDYFCSIMGSLSPPSAALDLGRLGLPSLNLSELEVEITKDEAKKHLHLLNTASIILLPKKDDPTQLADYRPISLIHSFIKLFTKVLAARLAARMNELVGPAQSAFIKSRRIQENFLYVQGVVRKLHRAKCPAVLLKLDIAKAFDSVSWEFLIELLRHLGFGSKWRDCIAVLLGSSSTTVCINGRDTHRIGLARGLRQGDPLSPLLFVLVMETFAALCNTAVCRGALSPLAGGVLPLRTSLYADDAIIFFHPSSMDAASIRCLLSMMGTATGLVSNYSKSSITPIHCSQEQIDEVADVLGCPVRQLPIKYLGLPLSVRKPVKADIQPLMD >ORGLA06G0225600.1 pep chromosome:AGI1.1:6:22423725:22425864:-1 gene:ORGLA06G0225600 transcript:ORGLA06G0225600.1 gene_biotype:protein_coding transcript_biotype:protein_coding AICIKTVRTVGADFFSSYSSSSSSFPFPSSLKYLSIDRVSGMETLLLLSNLSSLTNLGIEDCGDLRGEDLCSLLAQGQLTRLRVNKNPKFFVGINPSSLQHLVTDDIAGVLVVPICRLLSPSLTKLTIFCNNEVKRFTKEQNMALEHLSSLQELSFSFCRLQFLPSVLHRLVSLKRLEISCSEFISSLPKSGLPSSLEILDVSGGSEELKRQCRKLRGAIPIIKDNDWDLIDSFLD >ORGLA06G0225500.1 pep chromosome:AGI1.1:6:22409271:22413960:-1 gene:ORGLA06G0225500 transcript:ORGLA06G0225500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVNAARWVVGKALSPLSGGLVEAWAASTELGPNIGAIKTELLYAQGMLHNARGRETSNLALQQLLLELRGLAYNAEDVLDKLDYFRIQDELDGTYEAAEEHAKGCLQGLVLNTRHTVRNIKKKACSCGDNGEASRHANDEEALAGSGCIPKLFSNARERSRFLCCAYPCKALHIEHTTKTPKLKFDRVDLSTRMKHIVEQLKPVCAKVATTLNLELLESNRSIGQCIAMSLNSEFSGKMGHAVVLPSSIAMNRPVTTSDFIEPKFYGREGEKSTIINDVIEGDYCDVDLTVIPIVGPGGIGKTTLTQQIYKEVQNHFDVNIWVCVSLNFNVYRLKEEIAKSIPKVNEENSGWPDDLIEQRLKSKRFLLVLDDIWNLVYEDEWKQLLAPLKKAQSKGNIIVVTTRFPAVAEMVKTTNCSIQLEGLEPKMFWELFKAYAFGDEKTVNDHGNLQETGKMIAKKLKGSPLAAKTAGRLLRKHLDIDHWTGILDSKEWELQTGKNDIMLALKLSYDYLPFHLQQCFTYCALFPEDYIFDIEQLIHLWIGLDILHSHDQNTRTEDIGLNYLNDLVSYGFFKKDEQNDGSPYYVMPDLLHELALNVSSYECLAISSSNVRSIQVPPSIRHLSIVIDDVDVNDRVTFENIKKDFSTLHKQLDIEKLHSVMLFGQYHGSFVIPLGNLLSKAKALRVVLLYAPSYVVENMLHNFSNLNHLRYLRINKGYFPEMSLPNTISRFYHLRILDLQQCRGHFGLPRDMNNLVRLRHFLVPYDNLHSDITSVGKLKCLQELRRFEVKRQVEAFALRQLGQLEDLKGSLGIYNLENVKAAKEAELLNKSRLHKLILDWDIKRSTKDPSQEEHILENLKPHSNLLELHINGHGGATCPSWLGVNLSMKGLKSLRLNCVDXNNFPPIGELSLVNEHGHKSLDCTTDRSFPNLKRLELVAIPRLSKWAGNDACHVFSLLEVLIVRDCPELMELPFSHPTTCSRPEQGTNLTQFPTLKKLEIVNCQKLSSLPPIPWTSCPCHAYIEEVGSDFQQLDYSTTNQSELCLLVKGKGRQSRXCILEVIGVQXSNXAKRVDVDKVPSFAIGTPTESVIIEDALYARLEXCLVAGQSREHCQIPISCXATXDLXLXLXREGIDTVVIPFPQALSVCNKGMXEYKRAWCGEAGDDSNVSIVIAVCWXQVGGXMSQTGAARTRRGGXESSRRWRAAALTSATSVFDYRRNVRAGISIXYSRRPQRRRRRITRSALHKKFEYMELPQFLVLLLVLLASFPISVLPARTVSXLYEWDEYSVTPLXPQFSCXVSHMGLWGFKSXWLGFSHRPWPTQRARCPEVPQLLRRIQPLAIVATKNGXHHMAPCCPCLQPSRFLTHRANHWLEQRGGALHQGAKQGPSASLLSPGPPILVLLEAAIPPSRATQAHQPQEIRDRLVSSHPFAAQGRSSEITKSIRCQXEQKRGAQKTVPXAKRNHSDHPRQKVL >ORGLA06G0225400.1 pep chromosome:AGI1.1:6:22389202:22390635:-1 gene:ORGLA06G0225400 transcript:ORGLA06G0225400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKLVGAAVRRPGWADLPRDLLESVLGRLPVPDRLRFPGVCTAWQSADAASATARFRAAQPPWLMLPFNPTARRQSPSGGGGGGGGGDGRFLEARFLSLSDGRAYAIPQPAPAVSERICVGSSDGWLVTADAASELHLLNPLTGAQVQLPSVTTLPFVDASRDADGRVASYDLRFCFGDGDNDGDEVLVPPESFAPDRLRYELYEKAILVAPPRRQTTPAGSWGGYAVLLICQPLYRLAIARAGDTKWTLLDTPSRCWVDAVRASSAPAAADGHQAVYTLDSVGRVEAWDMDVTAAGTTPSPPREIAPPCCCSGRACSMSIPCSKYLVELSPGHLLQVHRLRDKAHARSKWEPRQERVEYTTVKAELFEWNAAAGGHGEWARVDGAAAGILAGRALFLGKSASLCVPADCCPEVKGNCVYFTDDGPWSHERCHEVVPDVGVLDLADGSYKVPRGAVRDLLWKWPPPVWVFPSCTN >ORGLA06G0225300.1 pep chromosome:AGI1.1:6:22382420:22383882:-1 gene:ORGLA06G0225300 transcript:ORGLA06G0225300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHHAARGRAEPRRMGNAAMVITMLLSLCVLTYIKARYCSTPFPKAAEEMEVVEIDEDYDSTRYKMTGPIGEEDFDPSRPTCYVTSKRSERCAAVGDIRVDGNHSKIYINPLDKEWRTKPYARLHDAVAMDDVREFTLVPFGGANHTAVPPLCTRNHSVPAFLFSSGGFAGNLYHDYTDVLVPLFTSTNHFGGEVQFLLSGIKDWWLDKFTPLFRQLSRYDVIDVDNDQEVHCFPRIFIGATFHRAMGIDPARSPGGVTVADFKRLLRRTFRLERAVASRTGAPRRDKPRLLIISRKSSRRFLNERAMAHAAALARFDVRIAEPDNHTDMPNFARLVNSADVMMGVHGAGLTNMVFLPSRAVLIQVVPFGGLEWLTRVTFKDPAKDMDVNYMEYNVSFDESSLRELYPRDHFYIQHPYDVHKKGWDAIKTVYLDKQNVELNLTKLTNTLERARDFLPEP >ORGLA06G0225200.1 pep chromosome:AGI1.1:6:22375217:22379182:1 gene:ORGLA06G0225200 transcript:ORGLA06G0225200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1Q539] MSGGGGEVEAAAVAAPLLVPSDPPPAQPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYGLLQCLTKFLQTQNIVHPLVVCSGATLVIHILLCWVMVHCFDLGNRGAALSISLSYWFNVILLAIYVKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGAGNPQAARLSVFISGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILAISDFMDGIQCTLSGAARGCGWQKVCSVINLCAYYTIGIPSAVIFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEEAEKARARVQDSDGRITLA >ORGLA06G0225100.1 pep chromosome:AGI1.1:6:22370358:22372222:-1 gene:ORGLA06G0225100 transcript:ORGLA06G0225100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVRSKKSKGSFCHPPLLLLIVAIQFLVIYSPTLDQYMVMLTTGKPGFPSMLIDGRRSFKQVDEFIPEPHLRCDFRDNRSDVCEMEGAIRILGRTSEVFLVAPSLASISGGGGVNATGVDANATRWKIQPYTRKGESRVMPGITEVTVRLVTADEAPPCDEWHDVPAIVYSNGGYCGNYYHDFNDNIIPLFITSRHLAGEVQLLVTQKQRWWFGKYREIVEGLTRYEPVDLDAEQRVHCYRRATVGLHSHKDLSIDPRRAPNNYSMVDFKRFLMWRYALPREHAIRMDEEDKSKKPRLLVINRRSRRRFVNLDEIVAAAEGVGFEVTAAELDAHIPAAASAVNSYDAMVAVHGSGLTNLVFLPMNAVVIQVVPLGRMEGLAMDEYGVPPRDMNMRYLQYNITAEESTLSEVYPRAHPVFLDPLPIHKQSWSLVKDIYLGQQDVRLDVRRFRPVLLKALHLLR >ORGLA06G0225000.1 pep chromosome:AGI1.1:6:22369221:22369580:-1 gene:ORGLA06G0225000 transcript:ORGLA06G0225000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGGGGGGKGGGGGGGGGKGGGGGSGGGGRSGGGGGGGGGKGGGGGGSGKSGGGYSGGHAGGGGGAGKSGGSGGGYHGGGGGDSMKAPGGDGAYISRSGFESNPQGYFQGLHGDGK >ORGLA06G0224900.1 pep chromosome:AGI1.1:6:22360940:22362392:-1 gene:ORGLA06G0224900 transcript:ORGLA06G0224900.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTXSTRNGVTGGIAIIVMSILSSYLVHQRRALADIKRNYFKRHGGLLLYEELNARKSNAFTIYTEEQLEQATNGFDESNVLGRGGHGTVYKGWVAAASDDLVVAIKRCKLMDERNKKEFGREMLILSQVNHKNIVKLLGCCLEVDVPMLVYEFVPNGTLYQLIHGGSAAAISFASRLRIAHESAESLAYLHSFASPPILHGDVKSSNILLDESFMAKVSDFGASILAPTDEAQMMTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVVLLELLTGKKPLCLDGPDEGRSLSARFLAAMREDRVDLILDEQVKSEASGELLEEITLLALECLQMCGADRPAMKEVAERLGGLRKLHQHPWTQDVVELEEIRCLLSGSPESISFEVDATSSSGY >ORGLA06G0224800.1 pep chromosome:AGI1.1:6:22356352:22360475:1 gene:ORGLA06G0224800 transcript:ORGLA06G0224800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDTEQQEYAVALLQPELTRLGGFWLLQVEEAYTTDGSLGVDGNPALKHLTGGWRACRPILGTEFCYCLAYYGITFNLVTYLTAELHQSNVAAANNVSTWQATCFLTPLAGAVAADSYWGRYRTMVVSCCIGVASVVQGMLMAALSALLPLLIKDTSSMASAQEIILFLGLYMIAFGVGGLRPCLMSFGADQFDDGDTSERISKGSYFNWYIFTMNCASVISTTAMVWVQDHYGWALGLGIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVCQVVVAAVRKFSIAPPADMALLYDLPEDASSMKGVQRIEHTADLRFFDKAAVVTASDEEAPRNPWRLCVVTQVEELKILVRMLPLWACVAFYYTATAQANSTFVEQGMAMDTRVGSFHVPPASLATFQIITTIVLIPLYDRAFVPAARRLTGREKGISDLLRIGGGLAMAALAMAAAALVETKRARAAHAGMEPTSILWQAPQYVLVGVGEVLATVGQLDFFYSQAPPAMKTVCTALGFISVAAGEYLSSLVVTAVSWATASGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVVFTSCAMRYKSRKAC >ORGLA06G0224700.1 pep chromosome:AGI1.1:6:22343065:22353637:1 gene:ORGLA06G0224700 transcript:ORGLA06G0224700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEQQEHAVALLQPKVEEAYTTDGSLDIDGNPALKNRTGGWRACRSILGTEFCQCLAYFGMTINLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAIVADSYWGKYHTMVVGCCIGVAGLLMASLSALLPLLIKNISTLAMASAQEFVLFLGLYMIAFGVGGLRPCLMSFGADQFDAGDPSERNSKGSYFNWYLFTMNCASVISTTAMVWLQDHYGWALGLAIPAMVLAVGLSFLVAATPAYRFQRNRGSPFTRVCQVVVAAVRKFNVAPPADVALLYEVPEDDCSMERVKRIKHTDDLQFFEKAAVVTASDEEAAGDPWRLCSLTRVEELKILVRMLPLWASIAFFYTGMAQVNSMSVEQGMAMDARVGSLRVPPASLATFELLTSMALIPLYDRAFVPAARRLTGREKGIPDLLRIGVGLAMAVLAMAAAALVETRRARAARMGMEKTSIVWQVPQYAVMGVGEMLASAGQLDFFYSQAPPAMKTVCMALGFLAVVAGVYLSSLVLTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTSCAMRYKSRKDC >ORGLA06G0224600.1 pep chromosome:AGI1.1:6:22333709:22335627:1 gene:ORGLA06G0224600 transcript:ORGLA06G0224600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLDIDGNPALKHRTGGWRACRSILGAEFCYCLANNGIMYNLVTYLTTQLHQSNVAAAKNVSTWKATCFLTPLAGAVVADSYWGRYRTMVVACCVGVAGMLMASLSALLPLLIESSSTLSMASAQEFVLFLGLYMIAFGVGGLRPCLMSFGADQFDAGDPSERASKASLFNWYVFTMNCAAVISATGMVWVQDHYGWGLGMGIPAMVLAVGLSCLVAASRTYRFQITRGSPLTRVCQVAVAAVRKFNVAAPGDMALLYELPEDASSMKGVERIEHTTDLEFFDKAAVVTASDEEAPRNPWRLCVVTQVEELKILVRMLPLWACIAFFYTGTAQTKSTFVEQGMAMDARVGALRVPPASLAAFQMLTTIVLIPLYDRVFVPAARKHTGREKGIPDLARIGAGLATAVLAMAAAATVETKRARAARTASILWQAPQFALVGAGELLATIGQLDFFYSQAPPAMKTVCTALGLLAVAAGDYLSSVIVTAVSWATASGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTCCAKRYNKSRKTC >ORGLA06G0224500.1 pep chromosome:AGI1.1:6:22327731:22328742:-1 gene:ORGLA06G0224500 transcript:ORGLA06G0224500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSQLNPDASPFIPSSLSLFAYNDSKRQAESSSMDSPSARACCSSLCEENDMDPLALTKSVLLMFPNISEEFIDELLQANEFGISLTVDMLHELNSQNMLHGDAIMGFPTFPDVKKFHGNLGLPDGDLSESNSSLDQSLQKGMSLTTSGAKSASAMIPDNISLHDKMGVQKDDKPAIASTTN >ORGLA06G0224400.1 pep chromosome:AGI1.1:6:22326811:22327107:1 gene:ORGLA06G0224400 transcript:ORGLA06G0224400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINRKGGAAAAYAVALCVALVLAAGAADAAGCNPSALSPCMSAIMLGAAPSPGCCVQLRAQQPCLCQYARDPSYRSYVTSPSAQRAVKACNVKANC >ORGLA06G0224300.1 pep chromosome:AGI1.1:6:22323164:22325506:-1 gene:ORGLA06G0224300 transcript:ORGLA06G0224300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPWPTPRTVRQAAELHAHLATSGRLLHPPSAHHLLNSLVNCLPPSDPLHLRYALHLFDRMPASTFLFDTALRACFRAGASSGDPELPFVLFRRMRRAGVRPDGFTFHFLFKCSSSSRPRALLCTMLHAACLRTMLPFAAPFVANSLIHMYIELGLAGDARRAFDEIPMKDAVAWTMVISGLAKMGMLCDARHLLSQAPVRDVISWTSLIAAYSRADRAKEAVDCFKNMLSEGIAPDDVTVIGVLSACSQLKDLELGSSLHLLVKEKGMLMSENLVVALIDMYAKCGDFGHAREVFDAVGRGRRPQSWNAIIDGYCKHGHVDVARSLFDQMEVRDIITFNSMITGYIHSGQLRDALLLFMNMRRHDLRVDNFTVVSLLSACASLGALPQGRALHACIELRLVETDIYIGTALLDMYMKCGRVNEATIVFQRMGKRDVHAWTAMIAGLAFNGMGKAGLEYFYQMRCDGFQPNPVSYIAVLTACSHSCLLNEGRLYFDEMRILYNIHPQIEHYGCMIDLLGRSGLLDEAMDLVKTMPMQPNSVIWASILSACRVHKRIDLAQCAAENLLKIEPDEDAVYVQLYNIYIDSRKWEDASKIRMLMEERQVKKTAGYSSVTVAGQVHKFVVSDKSHPRILEIIAMLEEISHRLKSAGYSPITSQITVDVDEEEKEQTLLAHSEKLAIAFGLISLAPNLPVHIIKNLRISLELHLNF >ORGLA06G0224200.1 pep chromosome:AGI1.1:6:22320627:22322408:-1 gene:ORGLA06G0224200 transcript:ORGLA06G0224200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G23450) TAIR;Acc:AT1G23450] MAVRDVASLNRMITGFIRDGLADRARAVYRWMVASGIRETPHTFSTILGVCNTYEALQLHGRVLALGLCCNPFVGSALVNHYMHVESPHAALSLFRELPLQNTAMCNVVLRGLGNLKLIEELICCFLDMRRQYLELNGLSYCYAMKGCYQNGEWLEQGRQLHGVVLKAGWIPSNIFLSNSLVDLYSAIGDSVDTVKALNDILSEDVISWNSILSMYADRGHMKEAVYYLKQMLWHGKMPSIRSFVSLLALSGKTGDWQLGVQIHGIVHKLGFSCSSVHVQTTLIDMYGKCCCFDHSLAICNEIPSIALECCNSLITSSLRCNMFDAALEILHCMIVEGVTPDDVTFSATMKAISLSASPSLTSCQMLHSCLVKLGFEMDMAVCSSLITAYACAGQLSSSHLIFERLLDPNVICFTAIISACARYGDGTRAMELFDQMVSSGLKPDNVTFLCAIAGCDQAGMFEEGRLVIELMRASRELDPDERHFACMVNLLSRDGFVKEAMEMMEQSPLRHYTKAWSSLLQSCKAHGENVLGKRAANMLIDVGRKDPATTLQVSIFFNDIGDRETALRIKEMTNVKEVKKSGHSLIEVSHRA >ORGLA06G0224100.1 pep chromosome:AGI1.1:6:22317007:22319410:-1 gene:ORGLA06G0224100 transcript:ORGLA06G0224100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADVDKVQNLIHCCLQLYMDKKEVVDALSREAKIEPSVTQHVWQKLEENNREFFKAYYLRLMLKNQITAFNKLLEDQLRIINKEYHPGPSSMPLPNGSNSNLLKQNPCFLSESTPMPAMPDDVMCNGNSSGIVDRTQSSDQLIYAGKDIQGLHSSMDASNLLPVQNANSVLFGVENGPTIKTESGYSSNGNFGFCGNAFLESCQSIGDASGGSFSSSELNGQPLDDSILDIESSSFGFLSQLPRNFFSDLPEDFNQSTEILDNYGKSPFLPSEQNNFSDSTGGEHTG >ORGLA06G0224000.1 pep chromosome:AGI1.1:6:22309758:22313302:-1 gene:ORGLA06G0224000 transcript:ORGLA06G0224000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLFLIGLHVLTLLLATAAAAALPPPGCRRTCGGLAIEYPFGIGPNCSLSAGFSLDCVGDRPQYRLGTKQQQTVRVLGVDLLHGKIRTTNAIASQCLDARTGKQINTSWAGLNAAALPYRFSDEDNRLFAVGCSGAVLLQGTAAGGDDDRVVIGCISTCFGNASIRTGSCSNIGCCETAIPKGLNSYYVLVIEKMDAGSPVNRCFYVALMEAASFSFEAADASTDGFYRKNSNGTVPLVLYFVVGNETCKEAQTSGSACLSDHSVCVDAIDGGPGYVCNCSQGYTGNPYLPNGCIDIDECGPGKQGCPDGMICTNFPGGYNCSCPEGQYKSNKNGVLICESDQKRSSLPVSVIIVIGISGGVVIAVITILITYLMRQRRALADVKRKYFERHGGLLLYDELSARPGNTFTIYTEEQLEQATNGFDDGNILGRGGHATVYMGILPAAAGDDGLVVAIKRCKVMDETNKKEFGKEMLILSQVNHKNIVKLLGCCLEVDVPMLVYEFVPNGTLYHLIHGGGVISFATRLRIAHESAESLAYLHSFASPPILHGDVKSSNILLDESFMAKVSDFGASILAPTDEAQMVTMVQGTCGYLDPEYMRTCQLTEKSDVYSFGVVLLELLTGKKPLCLDGPEEERSLSARFVAAMGERKVGEMLDEQVKREASGESLEEITRLALECLQMCGGDRPAMKEVAERLGGLRKLHQHPWTQDAVELEEARCLLHGSPEYQLSATRWYTGRS >ORGLA06G0223900.1 pep chromosome:AGI1.1:6:22307399:22308695:1 gene:ORGLA06G0223900 transcript:ORGLA06G0223900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast thylakoid lumen protein [Source:Projected from Arabidopsis thaliana (AT4G02530) TAIR;Acc:AT4G02530] MVVAIATEAWALAGCGAAAKSAAAQEAPVQLQQHSVCAAKAKKPISFRGVAAAAAAVSSQCHQERRAVVVGRRSGLASCLLAAVAASFSGAGAGAARAAVLEADDDIELLERVKEDRKKRLQKQGVISSSGTETGYLQDLIYKLSKVGQAIDKNDLPAASSVLGPNSDAQWVQNINVAFTKFSSSPEEKNMVDSFNSSLASLITSVNKSDVDSSKSAFVSSATTLEKWIASAGLSGQLKGF >ORGLA06G0223800.1 pep chromosome:AGI1.1:6:22304502:22306389:1 gene:ORGLA06G0223800 transcript:ORGLA06G0223800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G55830) TAIR;Acc:AT3G55830] MMPKLLLQAAAAVADRRWYGSGGRRGYLPLRHPPHVAPGRFTACLLAVAAVTTTFALALTLHRPDLSSTAAYAASPRGVGGGGGAGYAVVINTWKRYDLLRRSVAHYSGCGGVDAVHVVWSEPEEPTEELRGSVLNCSDGGGAGVRFVINAEDSLNNRFRPIQGLTTDAVFSVDDDLIVPCSTLRFAFAVWQSAPSAMVGFVPRMHWLADPGSNAKEYRYGSWWSVWWTGTYSMVLSKASFFHRQYLDLYTNHMLPSIRDYVNENRNCEDIAMSFLVANVTGSPPIWVQGRIFEIGSSGISSLKGHDLQRSKCLNTFSAMYGHMPLVATTVKAVDSRTSWFW >ORGLA06G0223700.1 pep chromosome:AGI1.1:6:22302365:22304452:-1 gene:ORGLA06G0223700 transcript:ORGLA06G0223700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRALCSPLLGVNTLPSQHVMSWGRGGWEWDLASFWGFLSKPRRTRQKRRGEASREAGTMCIHNIGILVIHAFIEVQTSGIQKKNSCLF >ORGLA06G0223600.1 pep chromosome:AGI1.1:6:22299680:22302202:1 gene:ORGLA06G0223600 transcript:ORGLA06G0223600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01230) TAIR;Acc:AT5G01230] MGKASKDKRDIYYRKAKEEGWRARSAFKLLQIDQEFNIFHGVKRVVDLCAAPGSWSQVLSRNLYVPAKQSPDCKEGDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAALTIVTHVLKVGGKFVAKIFRGKDTSLLYCQLKLFFSQVTFAKPKSSRNSSIEAFAVCENYSPPEGFKEKDLYHLLEKVGTPSGADDLDCRSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPSTEGGSYQSLDPVQPPIAPPYKTALEMKKVASHGIGADISKLSLDS >ORGLA06G0223500.1 pep chromosome:AGI1.1:6:22286575:22298173:-1 gene:ORGLA06G0223500 transcript:ORGLA06G0223500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGQGAHLSGQRIGHHPTAQMNPGDGDGDERQQVASGHASADPELMNLRIRMTNRIWELLSREPKLQTRPRKLVSDLAKRFEAVIYKKNPNKAAYYSILNGEIFPHLQHALSTHMAQHQQGQQMLQQLTSSSSYGTTIPIPDVVQNASGNTRALYEMDNTGGPMSNGHHHFSANFPLHSTTKGASLEMSAVSMQEGKITHMIPTPGSSNQQSLPGNFHYSTGTGYLNGKSNVMAQMQEQQAPFASKINCCPVQRDLGGYAGSGVHSDILNNSSPYGVSEAHMIDGMGLHRSNVQVINRTAVPETFINPSPYGISRNKPLQQHVNPSTRSTPTPADIAASTSFNGTGSSSLSTTSYLDMTAVNSLPKSRMDSGLIMSQPTIQSFQTEHYIQTEGLDLQEKISLEQLHQQVNQLHLIQPHSQYAQNQCSLKLQQQNSLHHLVMSRGNVLTQCHLGSDHAEKLLDKRNQLHSELVSSQINEHVGLTNLQGHYDQTQYHDNYKKGQMSASSQNLGIPAPHDLLPPQQRSDDGSYRLSCFLKETYTKPLQPHCKSKPMKEVIVTSLLSGKIQDGFCQKKMARDREHHPIISGWHSAGCAATSFGSEEVMENTKQYHDQARWLLFLFHAKSCTSPPGSCKSSYCDRVRELVIHLTDCQIKDCPYRHCRESKMVSDHYKNCINEHCHVCCKAKEMLRRSSELAHKQNPAEPILITQHNMNQRSADRVHGDRMDIDQAVETFDDQPPAAKRPKLQLVSPDASENVPVCQKNPGFMLQEAHPRQLDQNKKMVPDQEVDVGLDIRHPQVTLVSCHGSDEKIGAAQNTVIPGALNKIHCHVQQETVVADKESVTVVDVKKKTGSVDVTISKTGKPKVKGVSLMELFTPEQIHEHINSLRQWIGQWVQCDKCECWQHQICALFNARRNDVEEAEYTCFKCYIEEFKRGLRMPLPESVVRGAKDLPRTLLSDHLEERLFKRLREERQERANKLKTSLDEVPGADGLVVRVVSSVDKKLEVKPRFFKILQEDNYPAEFPYKSKAILLFQKIEGVEVCLFGMYVQEYGAECKFPNQRRVYLSYLDSVKYFRPDIETVSGQALRTYVYHEILIGYLEYCKQRGFTSCYIWACPPVKGEDYILYCHPEIQKTPKSDKLRQWYLSMLQKAIKENIVVKLTNLYDQFFVTAKECKIKVSAARLPYFDGDYWPGAAEDIINQLQLEGDGKLLKKGRVNKIITKRALKAAGHTDLSGNASKEAMLMQKLGEIICPIKDDLIMVHLQYSCSHCCTFMVSGRRWVCNECKSFYICDRCYNAEQRLEEKERHPSNSKCLHILHPVEIVGVSEDTKDRDIILENEIFDTRQAFLSFCQGYHYQYDTLRRAKHSTMMMLYHLHNPTGPAFVATCNVCNCDIENGQGWYCKDCPDFDMCASCYQKHGGANHHHKL >ORGLA06G0223400.1 pep chromosome:AGI1.1:6:22280093:22284084:-1 gene:ORGLA06G0223400 transcript:ORGLA06G0223400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIVAARAPPGGGGAAAVIAYHHCASPSRALPLAAGPSAGGGVVVGRRYHHHGGACCFATKPTQVAAEVDQGGAAEPGVASANAAVEAKPRKKARSRRGRKGKRSSSSSEPSTTAVLEEEEEEEVKEKKTEEDGEEGKRKEKAAGLDLDEVMAVSPVGLGRRSRQIFDEVWRKFSRLGQMSSASSTALAEEEQAVLIRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDAEVIDMLPRSVDIVVGDVGDPSTVKSAVSGCSKIIYCATARSTITGDLNRVDNQGVRNVSKAFQDYYNELAQLRAGKSSKSKLLIAKFKSPKSLNGWEVDQGSYFPNTFASRFDEGIDASFDFSEAGQAVFSGFVFTRGGYVEISKRLSLPLGSTLDRYDGLLFSVGGNGRSYVVILETGPLADTSQSKKYFARMTTKVGFCRVRVPFSAFRPVNPQDPPLDPFLVHTLTIRFEPKRQRPGDGSQSATDPRNFELILEYIKALPTGQETDFILVSCSGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVAEQGNELYELVAHLPDKANNYLTPALSVLEKNT >ORGLA06G0223300.1 pep chromosome:AGI1.1:6:22275129:22278769:-1 gene:ORGLA06G0223300 transcript:ORGLA06G0223300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-aminolevulinic acid dehydratase [Source:UniProtKB/TrEMBL;Acc:I1Q520] MASTVSFSPANVQMLQGRSCHGPAAFGGCSAVPRTGPRMRSVAVRVSSEQEAAPAVRAPSGRTIEECEADAVAGRFPAPPPLVRPKAPEGTPQIRPLDLTKRPRRNRRSPALRAAFQETTISPANLVLPLFIHEGEDDAPIGAMPGCYRLGWRHGLLDEVYKSRDVGVNSFVLFPKVPDALKSQSGDEAYNDNGLVPRTIRLLKDKFPDIVVYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALLETAADEAEGADILLVKPGLPYLDVIRLLRDNSALPIAAYQVSGEYSMIKAGGALNMIDEEKVMMESLMCLRRAGADIILTYFARQAANVLCGMRSN >ORGLA06G0223200.1 pep chromosome:AGI1.1:6:22272306:22273244:1 gene:ORGLA06G0223200 transcript:ORGLA06G0223200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLNEIVLIDDELTGCVPPQVGLLRKVTVFDVSGNHLQGPLPGSVAGLAAVEQLDVAGNLFEGPVPATICSLQSLKNFTYEDNFFSSRPGCPAATADGRWNCIPGAPAQRPPAQCAAAAAHPFDCSKAQCQATPPTTRRPGGRTPPAPHRSPLPHHMPPRRTPPTPPPPPSSPTPSHLPPPPPTYSESPKSSMPPSTSPPSSNGASPPSSSSSPPTEHPGYVLPPLSPPPTTTTPPGHHAPVPGTPSSPPSSSWSPPPTPQGGGGKLPFPPVHGVAYSSPPPPPSGEKLPFPPVYGVAYSSPPPPSKPYN >ORGLA06G0223100.1 pep chromosome:AGI1.1:6:22264016:22266584:1 gene:ORGLA06G0223100 transcript:ORGLA06G0223100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNILVVCKSTLADDESASEVSSGSDSDSDCILGDDCPSDDDEEAAQIHKRFKELKEKLKTGKVDKLDDVVFEAGAEQDGNDTPSFDSDDEESVEEVASDEEVSARKLIKYPRFKEKPGVPTFELGMTFSCKKQFKKAITAYGLAERKAINFVKDDPKRVRARCDWPSCPWVCLLSKNSRTDGWQIATLENSHLCPSRRDNKLVTSSRIAEKYGKFIVANPSWKLAHMKATVQEEMFADVNISKLKRAKSITMKKAINAAKWQYQKLYNYQLELLRSNPGSTVVVDREVDMDPPVFKRIYICLDACKKGFLAGCRKVIGLDGCFFKGAKNGELLCAIGKDANNQMYPIAWAVVHKANKEEWHWFCGLLCSDLQVGDGSGWVFISNQQKEIINAMDKWAPQAEHRICARHIYANLKRHFYDNEYQKFWKCAKAPCITLFDLAMAELVQLTTPAVQTILNNHPQHWSRAWFRSGSNCDLVDNSMCESFNKWILEARFFPIITMLETIRRKVMVRIHDQRTISGRWTTSICPNILKKMNVYITESAFCHATCNGGDSFEVKHHDNRFTVHLDKKECSCRYWQLSGLPCPHAISCIFFKTKANSLDEYIAECYSVKEFKKIYSQCLEPVEGMSSWPEDDKEPLNAPGYIKMPGETRRESNEPLKATKLSRIGSIIRCSKCKQIGHNKSTCNKHHGGGSTPDSLQVVNPADNLVLSNTQQSSKQSRKRKSRTAANTNSASQSRKTVSSKQKALVELHAHSQGSTSATIKVTSGQAFVNVSTQESAKFKPKKLTPGLLLLMPPWESDKL >ORGLA06G0223000.1 pep chromosome:AGI1.1:6:22257161:22259974:1 gene:ORGLA06G0223000 transcript:ORGLA06G0223000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT1G75340) TAIR;Acc:AT1G75340] MSRRQEICRNFQRGSCKYGAQCRYLHASPHQQQQQQQQAKPNPFGFGTGSRQQQQPSFGSQFQQQQQQQQKPNPFGFGVQGANAQSRNAPGPAKPFQNKWVRDPSAPTKQAEAAQPPQAQAAHTSCEDPQSCRQQISEDFKNEAPIWKLTCYAHLRSGPCDIKGDISFEELRAKAYEEGKQGHSLQSIVEGERNLQNAKLMEFTNLLNSARPSQTPSFPTMSSFPEVKNNSTFGASQTNGPPVFSSFSQIGAATNIGPGPGTTTPGMPASSPFGHPSSAPLAAPTFGSSQMKFGVSSVFGNQGSGQPFGSFQAPRFPSSKSPASSVQHRDIDRQSQELLNGMVTPPSVMSEESVGNNKNENQDDSIWLKEKWAIGEIPLDEPPQRHVSHVF >ORGLA06G0222900.1 pep chromosome:AGI1.1:6:22255934:22256758:-1 gene:ORGLA06G0222900 transcript:ORGLA06G0222900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGLARAAAPAVVALALAAILSTPPPQPETFSNIPQTLSGGDGKQQVRIKRPKSAKALQCTSKCVATCIRGGEGPLNASGSFQGTIPQPAVLSARMYAISSRMEKMGNEESFDKEKQQQFKPRQGMDSRMVTD >ORGLA06G0222800.1 pep chromosome:AGI1.1:6:22252365:22255130:1 gene:ORGLA06G0222800 transcript:ORGLA06G0222800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSLSAKARRGFSSSAAASAAAASSCRSQLEQDVKKLQKALQEETALHAILENALHRAALTLADMSYLPTNAQELLSNISILEGTISKLEDDMVSLHFQLIQERNERRLVEYRLKQAPPPPQLQLQQPRSVCSCHSTKSESDDTANEKSCRVDKVYPFAVLHDSAMKLQRQLSSKCFGNPNQLSEDIVRCMKNIFISLSDSCRESSRTPSTEKQQSGPSPSGNYSISAFWSLSEPTSISSWVQSPQVDLNYNNNLLASETVFDPYKAREKLSWADIGSYGAAAEVSWMSVGKKQLEYAAESLRKFRLLIEQLAEVNPIHLGDDAKLAFWINLYNALMMHAYLAYGVPRSDIKLFSLMQKAAYTIGGHSFSAAFIEYVILKMKPPNHRPQMALLLALQKIKVPEEQKKFCIGSPEPLLTFALSCGLYSSPAVKIYTAGNVREELQDAQRDFIRASVGVSRKGKLLVPKMLHCFARGFVDDNSFPIWISHFLPQQQATFVEHCVSQRRQSLLGTRTFGIIPFDSRFRYLFLPDMGFSN >ORGLA06G0222700.1 pep chromosome:AGI1.1:6:22246062:22250228:1 gene:ORGLA06G0222700 transcript:ORGLA06G0222700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEAMVCTTQDTQRRRLEKEKHGKTVDKETQKPITSGTDREGSVSGHRCFVHFTNNLVQPGETTQVNAHDVSCNASSSQKGGQTIEVTRPPYQNPCMMNKPPEISSGQRIDPLSFSMENSSSQMQDSESSKEPTNDYLNSQARKSIGKLIFEAGLEPGILHLPSFKDMVDVLAWAQVSIPTYESIMEEQLREIQCHARDLKKHWEMNGCSVILDTWESRCGKSFISVLVHCSKGMLFIKSMDVSDIIDDVDELAVMLFRVVEEVGVLNIVQVITNDESPYMQAAEHAVLKRYGYSFFFTLCADHCINLLLENIAALDHVNEVLIKAREITRFIYSHAVPMELKGKYIQGGEILSSSNLKFVAMFITLGKLVSERINLVEMFSSPEWASSDLASRSSFRHVYEVVKTDNAFWSAAADILKLTDPLITVLYKLEADNCPIGILYDAMDCAKEDIKCNLRDKHGDYWPMVDEIWDHYLHTPVHAAGYILNPRIFYTERFSYDTEIKSGTNACVTRLAKNHYDPKKVAIQMDRYRRKSAPFDSDSAIQQTMEIPQVRWWSAHGTDTPELQTFAIRILSQTCFGASIYNIDRSISEQLHVVKRTYPEQERFRTMEYLHYNLRLAHCEPCVRGTSGAQQHSRLTSQLGDWISSGQTTSYYK >ORGLA06G0222600.1 pep chromosome:AGI1.1:6:22243321:22245497:1 gene:ORGLA06G0222600 transcript:ORGLA06G0222600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSVVAVKQIAAPDKIVETCPSTKHSVHKLFDVKPDFQGLMDDNLSSSSQSSSIKIELIRSSSLPNILPFQKRSSEPEPESPLSHVSHPNVSEPVYSNSSTFCASLFSSSSMETEPCRQLGTLPFLPHPPKCEQQVSAGHSSCSSLLVPGGDGDIGNAHDEPEQSDDLKDFLNLSGGDASDGSFHGENNAMAFAEQMEFQFLSEQLGIAITDNEESPRLDDIYGTPPQLSSLPVSSCSNQSVQKAGSPVKVQLSSPRSSSGSATTNKARLRWTLELHERFVEAVNKLDGPEKATPKGVLKLMKVEGLTIYHVKSHLQKYRLAKYLPETKEDKKASSEDKKSQSGSSGNDSVKKKNLQVAEALRMQMEVQKQLHEQLEVQRQLQLRIEEHARYLQRILEEQHKVSISSNSLSLKPPAESQPESPKPTSEKKEAESEAGAATSAQPSSEDKSPDAECKSSPPVGSKRARVHVGDEDDEHQCS >ORGLA06G0222500.1 pep chromosome:AGI1.1:6:22230074:22232857:1 gene:ORGLA06G0222500 transcript:ORGLA06G0222500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAAAAAEKAASYRYWVREATGDAAPLPAPRKIDAADLAAKPAPTTLGSVWNKAGTWEEKNLNSWANGRIKDLLGSLDPLEFSTGKASVYEVSKCTGDAFLVTVRNKKRVGYTYELGLKFKGEWLIKEENKKVKGYLDIPEFSFGELEDLEVQISFTDNKDLSSDNKAQISKDLKLFLAPIREKLRKFEEELKDR >ORGLA06G0222400.1 pep chromosome:AGI1.1:6:22228035:22228958:-1 gene:ORGLA06G0222400 transcript:ORGLA06G0222400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAVGGDRAAAPGGDKPQPDTSEQINVSPLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVTVADVFAMPQSGTGVSVEAVDHAFQSEMLEMLRQTGRPEMVVGWYHSHPGFGCWLSGTDMATQQSFEQLHPRAVAVVIDPVQSVKGKVVMDAFRLVDHMAMVLGGGAAEGRQTTSNAGAVARPSAVALVHGLGRHYYSLAINYRMKEGEERMLACLSRGGWSGGFALRRFGAHDAGNEAAVRGMRDLAVGYEAQVREEDETPPERLAVARAGKVDAKGRLEEKAMEAMSANVVQTLGMMLDTVTF >ORGLA06G0222300.1 pep chromosome:AGI1.1:6:22219689:22223113:-1 gene:ORGLA06G0222300 transcript:ORGLA06G0222300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGMNWNQKSPVFWDWENPAPFGPNTMENPKSIPHPEPRGVVVAAANHGSTNSSGGTFTSSSELANGSSKSSFSASFDSSSKLGSSLEFRFASVKGHGKNMCKDGEAGRVEDSGTSPAVAVSHGEPVIGLKLGKRTYFENVCGGQNVKGSSTASGVTCPSTVVKKMKVSQQSTQSSYCQVEGCKVDLSSAREYHRKHKVCEAHSKAPKVIVSGLERRFCQQCSRFHGLAEFDQKKKSCRRRLSDHNARRRKPQQEAISFGSSRLATMFYDARQQTDIYFGQSPFGQVRSNAISSYDNLGGFKFTEAKLPWMKPMKTIGLEDLNFSTLQMPGNVVSHTVHHHDFDGLIPFKGNTTKVLNQGVDPACAVVSSNSNGAPDLRRALSLLSSDSWGPADVQAGSQVHPGGVMPPLAVAATTVTAATNPVSVMHALHPSTGGGFWQDGDDPPPLDHASQAQAFMHPGNGSSSGYGHLQ >ORGLA06G0222200.1 pep chromosome:AGI1.1:6:22217003:22217338:-1 gene:ORGLA06G0222200 transcript:ORGLA06G0222200.1 gene_biotype:protein_coding transcript_biotype:protein_coding YNVYEGEKLTCTNGYHKLKKLSIIAMVKLNECTFGTKNMANLEVLVFAKCPRLSSPPPKLDELNFLREVHLAQMPQGFYDGMNPATKKLVHFPEFQHHFHSSTRSVVQGGS >ORGLA06G0222100.1 pep chromosome:AGI1.1:6:22212164:22214826:-1 gene:ORGLA06G0222100 transcript:ORGLA06G0222100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWFIASCGDIRAVAARSSRRRGGDAIAPKSPSPLPRLRKTVSETAAVMLAVPKDVEEFRTMPAYGTNLELFTYDQLRAATADFSPDQIVGEGGFGVVYKGLIHGAVVAVKQLNPFGHQGDREWLTEVSYLGQYNHPNLVELIGYCCEDDHRLLVYEYMANGSLENHLFRRSCNLSWTTRMKIALDVARGLAFLHGGDRPIIYRDFKTSNILLDTDMKAKLSDFGLAKEGPRGGKTHVSTRVMGTYGYAAPEYVATGHLTAMSDVYGFGVVLLEMLVGRRALEPPAAGCSRCNLVDWARPILIRPKKLERIVDRRMALPAPPEDGGGGVDAAVERVARLAYDCLSQNPKVRPTMGRVVHILEAVLADHHHHAGAAAAAAARPAAAAAAAATATAGRLYV >ORGLA06G0222000.1 pep chromosome:AGI1.1:6:22207072:22209525:1 gene:ORGLA06G0222000 transcript:ORGLA06G0222000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSAQEPDLWKQIDDAEHYLVSGLFEQAVSTALSVSGQVHSAAMENSCDHDELLEMLELAGMVLVQALKELKRTSEMFIQLKTIYGSVASIPLKIFITGATMHMAGGSGSDLRPIFDEYLAKWRYTDDQVYVLDEGKNSSSNGLVVTSVMSPEQYFEVAELYTVTFLSVVSHETATAISWTEKAELTEQDQQDLLRKLHALQSAANKKSTNQGAKLSESAERNLSTSQNGSISPAHEDATKSSAPTHNGNVQGLRKALPKSIQPSFQRVTNQFDPLFWWFHSFRIKFGKVHVVLPSGKVMFLFSLLFSTLYILRRKGAALKRTAFQQISSLRRGFLDALQLAFSTQINPLAAVQQVPQAPRASW >ORGLA06G0221900.1 pep chromosome:AGI1.1:6:22201855:22203607:-1 gene:ORGLA06G0221900 transcript:ORGLA06G0221900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRHPPPPPPPWTGSGRVGAHSVFVYGSLMQDEVVRTIIKRVPPSSPALLPNYHRFNIKGRIYPAILPVQSKKVAGKVITGVTDAELQILDEFEDVEYVRTRVEISLTDTSETMLADTYVWADAEDPNLYGEWDFEEWKRLHMKDFLAMTHGFMDGLEQPESKSRVETYQSFMQEIQQPGTTTTTQVEI >ORGLA06G0221800.1 pep chromosome:AGI1.1:6:22193778:22201129:1 gene:ORGLA06G0221800 transcript:ORGLA06G0221800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1Q505] MMKQAQQVPPPPASSAVTTTTAMAAATAAAVVGSGCEGEKTKAPAINSELWHACAGPLVSLPPAGSLVVYFPQGHSEQVAASMQKDVDAHVPSYPNLPSKLICLLHNVTLHADPETDEVYAQMTLQPVTSYGKEALQLSELALKQARPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRDEKQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANNSPFTIFYNPRASPTEFVIPFAKYQKAVYGNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFGAKRPRQLDDESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQSSSFANTAMQSEYLRSLSNPNMQNLGAADLSRQLCLQNQLLQQNNIQFNTPKLSQQMQPVNELAKAGIPLNQLAVSTKPQEQIHDASNLQRQQPSMNHMLPLSQAQTSLGQAQVLVQNQMQQQHASSTQGQQPATSQPLLMPQQQQQQQHQEQQQQQQQQQQQQQKLLQQQQQQLLLQQQQQLSKMPAQLSNLANQQFQLTDQQLQLQLLQKLQQQQQSLLSQPAVTLAQLPLIQEQQKLLLDMQQQLSNSQTLSQQQMMPQQSTKVPSQNTPLPPVQQEPQQKLLQKQAMLADTSEAAVPPTTSVNVISTTGSPLMTTGATHSVLTEEIPSCSTSPSTANGNHLLQPILGRNKHCSMINTEKVPQSAAPMSVPSSLEAVTAIPRMIKDSPKLNHNVKQSVVASKLANAGTGSQNYVNNPPPTDYLETASSATSVWLSQNDGLLHQNFPMSNFNQPQMFKDAPPDAEIHAANTSNNALFGINGDGPLGFPIGLGTDDFLSNGIDAAKYENHISTEIDNSYRIPKDAQQEISSSMVSQSFGASDMAFNSIDSTINDGGFLNRSSWPPAAPLKRMRTFTKVYKRGAVGRSIDMSQFSGYDELKHALARMFSIEGQLEERQRIGWKLVYKDHEDDILLLGDDPWEEFVGCVKCIRILSPQEVQQMSLEGCDLGNNIPPNQACSSSDGGNAWRARCDQNSGNPSNGSYEQFE >ORGLA06G0221700.1 pep chromosome:AGI1.1:6:22162895:22170553:1 gene:ORGLA06G0221700 transcript:ORGLA06G0221700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGDAAHAPPPPHRRLVFAFYLTGHGFGHATRAIEVVRHLIAAGHEVHVATAVPEFVFTAELPRSPSSQGLLHIRRAILDCGAVQTDALTVDPLASLLKYHETAVVPRESILRTEAEWLTSINADLVISDVVPVACRVAADVGIPSVCIGNFSWDYIYAEYIVASGDHHRSIVWQIAEDYSHCDILLRLPGYCPMPAFRDVTDVPLVVRGLRKSRSEVRKELGIAENAKVVVFNFGGQPAGWNLKQEWLPDGWICLVCGASDSQEVPPNFIKLAKDAYTPDAMAASDCMLGKIGYGTASEALAYKLPFIFVRRDYFNEEPFLRNLLEHYQGSIEMTRRDFLHGHWKPYLLRALTLQPCYDGPTNGGEVAARILEDTAVGKKCISDKFNGARRLQDAIVLGYQLQRAPGRDIAIPDWYSLSETEVGACPISENIKTKESTESCFEDFEILHGELQGLPDTMSFLKSLSELNQSELKSNGKQPQERIAASVLFDWEEEMYIARAPGRLDVMGGIGDYSGSLVLQLPLREACHVAVQRNHPSNQKLWENTQARRLENGGMEPVVQIVSFGSELSNRSPTFDMKLSDLMDVDKAISYEKAREFFCRNPSQKWAAYVAGTILVLMTELDVKFTDSMSILVSSDVPEGKGISSSASVEVATMAAIAAAYGLNIAPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVAIPNHMRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDLASESSVSDAPVQSNDYKQNAIELLKSEASLEYLCNIPPHRYEAIYSKDIPEVITGDAFLKKYGDHDDTVTAIDPKRSYNVKAPTRHPIYENFRVETFKALLEAANTDEQLSALGELMYQCHYSYNACGLGSDGTDLLVNLVQEMQHRNMSKGESPSLFGAKITGGGSGGSVCVMGKNCLKSSEEIIEIQQRYKAATGYLPILFDGSSPGAAKFGYLKIRRRPSSPIAAK >ORGLA06G0221600.1 pep chromosome:AGI1.1:6:22158767:22159780:-1 gene:ORGLA06G0221600 transcript:ORGLA06G0221600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNGTEKNNCSKELMVPLALFAVELNSKKRLLFDVSSRKIHGVTSTVFPDAFCEFENGGWLLMAQHKPLSFQDQIVFLVHPSTGTHLDLPVLRSPNEGFFVFYVGSHGMPLVVAFIEIMSAVPTAHIACPGDVYWSIYKHISDPEMSEAMHKVQSALIVDVVLLGKQAVCVDFHGQILSFSITDMIWRTVSSCPDWSKQDSHFLVASNEQVVAISHPCKTGSAFKFFKLDLQAMEWSLLDDRELDNTSWFLCKGQSYRVKEEGKRRVYLFGPNKCAGSIVNGTEVATFTGSLGPSTLKSITNIYAYDLVDETVETVIPASIVTEVHRWILPSTFAT >ORGLA06G0221500.1 pep chromosome:AGI1.1:6:22154274:22157266:1 gene:ORGLA06G0221500 transcript:ORGLA06G0221500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENSSPAFTDFLPRALSPIPFVDKGDIMMNIDQAEDSCSNISGASRSSQSHTDQTEDSKGNELCTRVKAVTDVSCGSELQDTCEEEGIILWPGLKMSFPQAPLVRIQDNELDYAYVDVSETSIGNSFFITQFNLPLSLPVYVVLCLSFPVPSRNACKQLAANPEAPLFRLPPLGSYEKEMCGTVLLICNVHSPGMSCFSPYTKSTFYRENMEIDIDDVLYSNGSWFLLREANIISLWNVNTEKRWFVGEAEEIIHQGYFIGNPPFDVTIALVRQHPPKLGEEAITAIWLRSVKVGGWSKGLVRCDYEHSGLNSLTIHQGMLFWLTDSGSLCCARQTSEGLELMIWNGSVRIYGMNFSLVKHFDYLXIVNGGSFFPNEVAKSYQIVVGDELLVDEKRLKGKDVFTVSRQGGFVLPSSEADNASYSLETXYRMSTALCTAGKTIKMKL >ORGLA06G0221400.1 pep chromosome:AGI1.1:6:22146729:22147586:-1 gene:ORGLA06G0221400 transcript:ORGLA06G0221400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPANRLPVHAGNGRRVDLLVFRSVAGGLFVFHINSHGEPLVVACVETASDYPTILPRRRVLDNLQEHEHLPPQQARHGWRRAAFTFIVDAALRGKQVVCADYRGRISVFDMTEMAWRTPVPLPGWNWQEDHFLVTASGEGGGEEEEEEEVILVSCRRRDDRFCEFKFFKLDIAMAPSPLDAGDLDGFSWFLCRGRSSRLREEKGGRKVYTFCPDRLWGESRTIDLGNGKKRKMAPFNPRGLIEKSITNVYAHNLVDGVVEELLPASIVTEARHWVHSAVFSEPFA >ORGLA06G0221300.1 pep chromosome:AGI1.1:6:22139947:22146018:1 gene:ORGLA06G0221300 transcript:ORGLA06G0221300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSRRERDVGHQRRWRSLHAGAAAPGSRGHSGECRRRGGGGGAGKRQHVMRTFQGHNPNTMKVHSRKSQPSKKKPREFYDTVEVHIIDDDSDGDATIHKDYSMEDTSKHLVMYNPEITYDKQGEVEVTEPIDNYTSLNQRYMKPRHGYNTVLPSIGAYTVQCAHCFKWRIIPTKEKYEEIRENICQDVFVCERAREWNRVISCVDPEDISQDGSRVWAIDKASISQTPPGWDREVRIRGEGCSKFADVYYTSPTGKKLRSLVEVGRYLAENPHYIRQGVNLTQFSFATPKPLQEDCVRKHTYAATPELPELLETAQVDPLCWAPPTRSELLGELGASTSRSVDVSQSEVSYPVDLHQPEESAPLPPRTKKKTMKRGRVSATGPQSTPPGSSKDQSGGCVSDVEFVSL >ORGLA06G0221200.1 pep chromosome:AGI1.1:6:22129118:22129540:-1 gene:ORGLA06G0221200 transcript:ORGLA06G0221200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMGYLLAPKKGGRRRRGKDQPSAAAHHGENDDGLRETLLEQQQQPASSSSPTAGGGGVPKGYFAVYVGEEARRFVVPTGYLREPAFRDLMERAADEFGFAQAGGLRVPCGEDDFEDLLRRLRRKNGGAAAAKAKKAIS >ORGLA06G0221100.1 pep chromosome:AGI1.1:6:22126626:22127030:1 gene:ORGLA06G0221100 transcript:ORGLA06G0221100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHQGGGVGRASNKIRDIVRLQQLLKRWKKLATMAPGGRSGVPKGSFAVYVGEEMRRFVIPTEYLGHWAFERLLRDAEEEFGFRHQGALRIPCDVAAFEATLRLVAAGNGNAKAKDDAAAMCSCSSDTEILCR >ORGLA06G0221000.1 pep chromosome:AGI1.1:6:22096270:22098278:-1 gene:ORGLA06G0221000 transcript:ORGLA06G0221000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIYHDFIHNKLQSFGRIGRYLVNFVVLAYRFIALHIHPFWIQLSYFLLISILGSVLLMFLKPSNPEFRPGYIDMLFLSTSALTLSSLVTIEMEVLSSSQIVVITLLMLLGGEVFVSFLGLMLRLNHQHNPDFSGDKVSSVPIELDTIDSAGTVISCEELQLEAAIPEVPSSTIKDLKRSKRLRWFLGFVVFSYFVVIHVAGFLLVLWYISRVSSAKAPLKKKGINIALFSFSVTVSSFANVGLVPTNENMAIFSKNPGLLLLFIGQILAGNTLYPLFLRILIWFLGKVTKLRELKLMIKNPEELQYDYLLPKLPTAFLASTVIGLMASLVTLFGAVDWNSSVFDGLSSYQKIINALFMAVNARHSGENSIDCSLIAPAVLVLFIILMYLPPSTTFALSNGDEKAANKKAKRKLGLVVQNLAFPQLACISVFVIVAFITERSRLRNDPLNFSALNMIFEIISAYGNVGLTTGYSCSRLQKLHPGSICQDKPYSLSGWWSDEGKLLLIFVMLYGRLKAFTKGTGEYWRLW >ORGLA06G0220900.1 pep chromosome:AGI1.1:6:22092956:22094376:-1 gene:ORGLA06G0220900 transcript:ORGLA06G0220900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRLHIFVSSARHAINSSAFICRFIAYHLSPLLIHLSYFLIIDVLGFVALVVLRPSNHKYNPRYVDMFFLSTSAVTVTGLATIQMEDLSSSQIAVLTILMFLGSEMFISFLGLVLESSKQNKHDPENRRISSVTVCEQSQLEEAIPQTPSMNSTDIKMRCLKYLVFVVLAYMIIILVTGSLLVFMYIAHVSSARDVLTRKSINKALFSVSVTVSSFTNGGLLPTNESMAVFSSNNGLLLLLIGQILAGSTLFPVFLRLVIWALRGLRLAKAEEPDFMMNNSSSVGFSHLLPNLQTTFLAAVEVAFVAMTVILFCCLNWDSAVFAGLTSLQKITNALFMAVNATQAGENSIDCSLVAPAALVLFMVMMYTPSLTKLFSACQDHKRIGPERDDRTSRGKPFLKTMAFSPLAFNTTVIMLVCITERRSISTDPLNFSTFNIIFEVIR >ORGLA06G0220800.1 pep chromosome:AGI1.1:6:22083589:22091002:1 gene:ORGLA06G0220800 transcript:ORGLA06G0220800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CIPEISEHDAMIYLLKNNLKVRKAIEMTGVEGWESSWDVSAYKAAADASFHPELEAYVQFSTQSLPKVQAAVKSLLRASTPLSLNDVLQLATLLPSSNCISAKSLETIVDLSTDALDMFIAFKEKFVTQQGFFRRKIEAALRKKGYLYDLQVICVANERVGNQMNFLDFKCPYSHVNFLASPRVGSGLKLFFAEFSNDDNDVSFCCTVSRKSKHARCCYCEYEGTRIMHPAHPIENYCGGDMDFTEMAHGTHELTNARIISGGKWASNRVGMCGDDYIYFDPTRDSKFAQCMNRTASRANISWSDILRVNKSRVTLRGMNQNCFMGECKLE >ORGLA06G0220700.1 pep chromosome:AGI1.1:6:22080208:22081448:-1 gene:ORGLA06G0220700 transcript:ORGLA06G0220700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVCRSAGDEWTGKQLKGELEASAATPYELQRRLVAAASAADSAAGVQSSFSMVSPSSAVFQVIIGAVGGGAAIGGGAAAGAASGGAAAEAPKAEEKKEEEKEESEDDLGFSLFD >ORGLA06G0220600.1 pep chromosome:AGI1.1:6:22068673:22075046:-1 gene:ORGLA06G0220600 transcript:ORGLA06G0220600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein [Source:Projected from Arabidopsis thaliana (AT5G24810) TAIR;Acc:AT5G24810] MALFIYFDYKAVQKRVKWVGAGKKDAIWTKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPLEEVRGTIEKELGKPMNDLFANFVLDPLATASIAQVHRATLVDGREVVVKIQHDGIKDIILEDLKNAKSLVEWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTKAVSSNLSRKTNCESGAVSSAVDVLIPEVIQSTDKVLILQYMDGIRLNDNDSLEAYGVDKQRLVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISQSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPEQAMEIATVFFRQSTTANEAKENIKTLNDQRERNVKALQEKMKMNKKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSMTRGPTANSQWIYDSPVNSEVESKLRNLLLELGSNKILGIQVCAYKDGKVIIDTAAGTLGKYDPRPVQPDSLFPVFSVTKGITAGMVHWLVDKGKLKYEETVANIWPKFGTNKKELIKVHHLLNHTSGLHNALGDVMKSDPLLVCDWEEMLHQITKCTPETEPGSEQMYHYLSFGWLCGGIIEHASGKKLQEVLEEAIVHPLHIEGELYIGIPPGVESRLAALTVDMEELEKLSGFRAGPDVPQELLSNVAQMATGLPVLFNTLNIRRAILPAANGHCSARAVARYYAALGAGGTIPPPHSGGGSEPPLGSHVHTPKFPTMPSKKKKKKGGSKNDVGVADKDGYTQLRTSDGSDEGSTASAVVAGNGSGSSSSMFVDGGAKMVDAFMGVGDFSGMIHPNGKFGLGFRRYGYGAGAGEKVATTTFGHSGMGGSTGFCDVEHGLAMAVTVNKMSLGGVTRRVVRLVCEELGVPVPDEFSVAGDKGPDMVLNLAPPE >ORGLA06G0220500.1 pep chromosome:AGI1.1:6:22061576:22067424:-1 gene:ORGLA06G0220500 transcript:ORGLA06G0220500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sugar pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT5G52560) TAIR;Acc:AT5G52560] MASDGDGAAAVAALGISGGGGDDWAPPLRRNLPLLAPHEVELAKLLLSEGQSHLFEHWPEPGVDDDKKRNFFDQVCCLNSSYPGGLASYIQNARKLLADSKAGKNPYDGFSPSVPSGEVLTFGDDNFVLLEEAGVKEARHAAFVLVAGGLGERLGYKGIKVALPRETTTGKCFLQHYIESILALQEASCKLVEGECNTKIPFVIMTSDDTNALTVKLLESNSYFGMEPSQVHILKQEKVACLADNDARLALDPNDKYKIQTKPHGHGDVHALLYSSGLLEQWKSTGRKWVLFFQDTNGLLFNAIPSALGVSATKGYNVNSLAVPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLRATGHPDGDANCETGYSPYPGNINQLILEIGPYMEELQKTHGAISEFVNPKYTDSTKTAFKSSTRLECMMQDYPKTLPPSAKVGFTVMDAWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILRKAGAQVADPVIDTFNCQEVEVWPRITWIPRWGLIFKDVKAKVHSNSSVSQRSALVINGKNITIQGLSLDGTLIVNAKDEAKFNVTGHIENKGWTIQHVDHKDTSEKEEIRIRGFKFNKVEQLELNY >ORGLA06G0220400.1 pep chromosome:AGI1.1:6:22056557:22058406:-1 gene:ORGLA06G0220400 transcript:ORGLA06G0220400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHYDSKMQELLNQGETEEFFTSYDEVHESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYAVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLL >ORGLA06G0220300.1 pep chromosome:AGI1.1:6:22017394:22020509:-1 gene:ORGLA06G0220300 transcript:ORGLA06G0220300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNRSSARRPLWIVILIAFVCAVGIGAYLYTPQHYTPCYLVSSNSCSSRPPPEPARVYTDDEIAARVVIRDIILAQPVQSKNPKIAFMFLTPSSLPFEKLWEKFFMGHEDRYTIYVHASRERPVHASPIFNGRDIRSEKVVWGTISMIDAERRLLANALQDPDNQHFVLLSESCVPLHNFDYVYSYLMETNISFVDCFDDPGPHGAGRYSDHMLPEIVKRDWRKGAQWFTVKRQHAVLILSDFLYYAKFKRYCKPGNEWHNCYSDEHYLPTLFNMVDPTGIANWSVTHVDWSEGKWHPKAYRAVDTSFELLKNISSIDESIHVTSNAKHQVMRRPCLWNGMKRPCYLFARKFYPEALDNLMNIFSNFTII >ORGLA06G0220200.1 pep chromosome:AGI1.1:6:21989421:21989996:-1 gene:ORGLA06G0220200 transcript:ORGLA06G0220200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAFSSAGALRPFEGVRVIHINGYVEDFDAPVTVGQVTGKPAAGEGQGQGRYVLCSSAHLLQPGRGPFRADDPLEAGTVYFLLPQSIFQSESSAVDLACLMNRLTSLARKGAAAASSPVEALFTAGIHHPPQPSSSCSSSKPAAAAASSGSPERCYLAAAARPAAWKPRLDRIDESFGRASMRSSSARSTE >ORGLA06G0220100.1 pep chromosome:AGI1.1:6:21985924:21986370:-1 gene:ORGLA06G0220100 transcript:ORGLA06G0220100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKNNGAMVLCFATLFAMSVVFMSCDATGRHNAGVLDDAGVLCFTWLNCTNASCQKECKAGKWDAKKSSCGASDVCCCRAAKLLVLDEQAVR >ORGLA06G0220000.1 pep chromosome:AGI1.1:6:21984228:21985658:1 gene:ORGLA06G0220000 transcript:ORGLA06G0220000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAAAALRTGAAILAALSDVSACQIHARALKLGVLPSSLHLCSALVKSYAASGSLAAARKLFDEIPRPDVPLWNTLLSACARSGRPQHALVTASTMARAGSSRPNNVSVTILLSACARLRSLVHGREIHGYAVRNLAALDLPLLNALVSMYGRCGRLVNARMVFDSIGSMKSVVSWTCMINACCENGKPAEALQVFEQMRLAGVKVDEVTLLAVISACTMLDCRSELGEWVEEYAHENGFLENTRVANALIHMHGKMGRVRRSCEIFDSITVRTVVSWTAIIQALAVHGHGVAALVRFSQMLRQGFQPDELVFLSVINACGHSGLVNEARQLFKSMVEEYHITPWMEHYGSMVDLLCKSGILEEAFEFVLAMPVRPDPVIWRVLTGACRDLGNAILARKVVDHVIEMEPEYGGNYVLASNLYAANEDWRRVVDVRMEMGVWKETSRYSTALSYVEVNVEENAESLHPPTNDAYR >ORGLA06G0219900.1 pep chromosome:AGI1.1:6:21978035:21983376:1 gene:ORGLA06G0219900 transcript:ORGLA06G0219900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALELACLLLIIVPQVVLGTHDVYIVTMEGEPVVSYRGGVEGFPATAIDLDEDMDITSEAVTSYSLHLRRYHDKLLDSLLVEGTYEKLYSYHHLINGFAVHMSPLQAEFLRKAPGVKHVERDMKIQKLTTHTPQFLGLPTGVWPTGGGFDRAGEDVVIGFVDSGIYPQHPSFSAHKTDPFGPVPHYKGKCEMDPVTRRSFCNGKIVGAQHFAKAAIAAGAFNPDVDFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVAAIDQAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLVLGNGKLLPGLGVSPATHENKSFSLISAADALLGSSATKYSALDCQRPELLNKRKIQGKILLCGYSFNYISGTASIKKVSETARSLGAAGFIVAVENSYPGTKFDPVPVSMPGILITDVSRTKGKDLIDYYNSSTIRDWAGRATTFQATAAIADGLAPTLYNSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANYAGEGFAMVSGTSMAAPHIAGIAALIKQKNPKWSPSAIKSALMTTSNTLDKGSHPLRAQQYSTSEIMTLTRATPFDYGSGAVNPKAALDPGLVLDATHQDYITFLCSIPDVEHSEVSNITGSTCSSSSKVQQRPYDLNIPSITISQLRGTQTVKRTVTSVAAEAETYTIMTRMSPEIALEVSPPALTVLPGASREITATLTARSVTGTYSFGEITMKGNRGHLVRIPVVAMGFK >ORGLA06G0219800.1 pep chromosome:AGI1.1:6:21966156:21973925:-1 gene:ORGLA06G0219800 transcript:ORGLA06G0219800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGDASSASPSDPVPPPPQQPQPQPAKGKGKKKDEKKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESDLKKYMADILSVLALTMSAEGERESLNYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDGMPTDSLKELVEQIVSFHMKHNAEPEAVDLLMEVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLYDKSLELKPIFTATDDFQLKKQFAFIIARHGLSIEIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTTPSDSSSSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISEYFSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLTDSQVPLEVLVFSAISLGLVFVGSCNEEVAQTIICVLMERSEPELAEPIMRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILTLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRKNPEYHED >ORGLA06G0219700.1 pep chromosome:AGI1.1:6:21962393:21965382:1 gene:ORGLA06G0219700 transcript:ORGLA06G0219700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSVQKSWRKACGAIKDSTTVGLARAHSKDLDVAVVKATNHVERPPKERHLSKIIAAASGARPLADVSYCVHALARRLSKTHNWVVALKTLVVIHRALREGDAAFREELLSYRRGRGGHCLQMSSFKDDSTPLAWDCSAWVRTYALFLEERLECFRVLRYDIEAERLRPAEGAPKGQSRTRSLGKDELLEQLPALQQLLYRLVGCQPEGAAFGNYLIQYALALVLKESFKIYCAVNDGIINLVEMFFDMTKIDAIKAFDIYRRTGHLAKSLSDFYDLCRGLELARNFQFPVLREPPSSFLGTMEEYIREASRTAPVANKTVEYRQLEFIPNKEEEPPQPLPEVLEEPVKEEILPEPPEEPHHPAAEVDDEPEPTTTADLLGLNEVNPAAAQLEENNALALAIVPPGSNTSAGAGSGFGGMLGSSGWELALVTEPTNSSSNQLLTESKLAGGFDKLLLDSLYDDASRRQQGAQMDHPRDPFAMSTSVAPPTNVQMSMMAQQQQYFQQEQQQQMVLGMPQQFSGWPQYAGVSQANPFGDTYSGGTLHGSSSLI >ORGLA06G0219600.1 pep chromosome:AGI1.1:6:21955915:21961041:1 gene:ORGLA06G0219600 transcript:ORGLA06G0219600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:para-aminobenzoate (PABA) synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G28880) TAIR;Acc:AT2G28880] XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTLLIDNYDSYTYNIFQELSVVNGVPPVVVRNDEWTWRDVYRWVYKERAFDNIVISPGPGSPACPSDIGIGLRILCECGDIPILGVCLGHQALGFVHGAKIVHAPEAIHGRLSELEHNGCYLFNHIPSGINSGFKVVRYHSLVIEPDSLSEDLISIAWTASPKMLSFLESDKPDITSSTLWGSLDNLFVTNQSECSTTGGKMPSINDASELDGYRVLMGVRHSTRPHYGVQFHPESVATHYGRQIFQNFKKITTDFGLQTPLLQERKVHSIGKLERSQISSPDLKNFVANDLLHSARLKLWDSVGPCALPKRSSGDKCLRLQWKKIDNFLNRIGGSENIFSVLFGHHSAEDTFWLDSSSVDQNRARFSFMGGKGGPLWKQMTFHLASQRANCGGNLTIRDAYGCTVRNFLKDGFLDFLDKEMQSIQYIEKDYEGLPFDFHGGFVGYIGYGLKVECDASSNSAKSSTPDACFFFADNLVVVDHNNGDVYILSLHDEYSSGNGDGDYQNSIHSLWLANTEKKLLRMDAMAPRLSINGNSSINGNSFTISSSVNKQRFVIEKSKDEYIRDVQSCLDYIRDGESYELCLTTQMKRRMDYMDALKLYLKLRKQNPAPYAAWLNFSSENLSICCSSPERFLRLDRNAILEAKPIKGTIARGRTPEEDECLRLQLKYSEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYKTVHTMVSTIRGTKMSDLSPVDCVKAAFPGGSMTGAPKVRSMEILDSLETSPRGIYSGSVGFFSYNKTFDLNIVIRTVVLHNGEASIGAGGAIVALSDPEAEYNEMLLKAKAPTKVVEECSQQIYNPDRSDSMQTTVS >ORGLA06G0219500.1 pep chromosome:AGI1.1:6:21951293:21953834:1 gene:ORGLA06G0219500 transcript:ORGLA06G0219500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIPTGLRLDLEMVKAAAPPGGSSAAAHSSASSTLSEASNSSSSSVASLSLKRARTPRKRPNQTYNEAAALLASMYPSVFPVIKGAGTAPPRLLGLATALADDPSSSDLLPPFPVLGNGSAAHLLRDTPPPPTPRCPAPIKSCSSPAPVSSVFREFRDAAPSPGTPDADADVTDDDYLGELDFEDEDGFDADSFLAVDDGVAEGIDSIMGKLSMEKNSAAASRADAVLSSAAIHPYIRSLMVLGLGFRQGRLNAGQALKRHDDESDWWMCPAIPVKEIAAPPAPSVAMPVPVPMPLQASDKKKKKSKKKSLKEIERDNAIAVGECKFGDEGTLGFDHGDAGISALKDPKIGLGLNLNTEEVLKAWCNRGSVFAGCDALESPRSSSDLHAKLADIDLFLDNNTSGVIREGSMLKLRHKQKQCTPLLSNKTRYQSRKVNAECRPRVKGKYVSQASLLQQASEKER >ORGLA06G0219400.1 pep chromosome:AGI1.1:6:21945590:21946265:-1 gene:ORGLA06G0219400 transcript:ORGLA06G0219400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tautomerase/MIF superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57170) TAIR;Acc:AT5G57170] MPQLSLTTNVPVDAVVASDIIKDCSKALARIIGKPESYVMVSINGSVPMSFAASEEPAAYGVLMSIGGIGPGVNGKLSAALAEILETKLSVSRSRFYVKFDDVKGFNLGFNGSTF >ORGLA06G0219300.1 pep chromosome:AGI1.1:6:21942460:21944798:1 gene:ORGLA06G0219300 transcript:ORGLA06G0219300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:I1Q4Y0] MAMMVDPPNGMGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRATNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADIEFIDNPKARKYIKTLPYTPGIPLTSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVDMIREMMWQEMLHYHPEVVAGVNM >ORGLA06G0219200.1 pep chromosome:AGI1.1:6:21935621:21937832:-1 gene:ORGLA06G0219200 transcript:ORGLA06G0219200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) TAIR;Acc:AT5G57140] MGSSSSTSLVSLLLPCLFSLLLLRLSTHLNPDPTAAAPRFKRTPPLPLRFRHDGAFKILQVADMHFGNGAATRCRDVAPEVGGARCSDLNTTRFLRRVIKAERPDLIAFTGDNIFGGSASDAAESLLRAISPAIEYKVPWAAILGNHDQESTMTREELMVFMSLMDYSVSQVNPPGSLVHGFGNYHVSIRGPFGSEFVNTSLLNLYFLDSGDREVVNGVKTYGWIKESQLAWLRATSQVPLQNLHAPAFAFFHIPIPEVRGLWYTGFKGQYQEGVACSTVNSGVLDTLTSMGDVKAVFLGHDHLNDFCGDLNGIWFCYGGGFGYHAYGRPHWPRRARVIHTELKKGQKSWVEVESIHTWKLLDDEKLTKIDEQVLWRRSSIRL >ORGLA06G0219100.1 pep chromosome:AGI1.1:6:21922174:21926174:-1 gene:ORGLA06G0219100 transcript:ORGLA06G0219100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEEEHRALGAEEEDEEEDELEEEEEEMEEDEDAQHHEGVGGEVAVPMDAEAAAQLDPHGGMLAASGAVQPMASNQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGRELNPGLGSGASSSAPYSKQRLNFPHRVASLMRFREKRKERNFDKKIRYSVRKEVALRMQRNRGQFTSSKPKGDEATSELTASDGSPNWGSVEGRPPSAAECHHCGINAKATPMMRRGPDGPRTLCNACGLMWANKGMLRDLSKAPPTPIQVVASVNDGNGSAAAPTTEQEIPAPATANGHESST >ORGLA06G0219000.1 pep chromosome:AGI1.1:6:21913663:21920270:-1 gene:ORGLA06G0219000 transcript:ORGLA06G0219000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVIDPASTEAPRARRPPPPPPPPDSPEGRSSPLPPPPPGGPPQPAATRKRSRSPPPPPPPPSLPPPPPLGSSRPERYRDNHHRGGGGGRGGGSSSPPPYRSGRRHSPSRRSPSPPFKRSRRDDGYDRRGGRGSPPLRYGYGDRRYGYDHERGGGRGGYDDDRYHGRYQNRAADWADSGFGASNDGPGITQREGLMTYKQFIQVLEDDISPAEAEKRYQEYRTEYITTQKRAYFDLNKNDDRLKDKYHPTNLSSVIDRRNDSCKATAKDFFHDLQNGTLDLGPGITAAAASGSDGNSDDDGDSDKRRKHGRGSSKETDPLSGAPVAHPVSSESRRVQVDIEQALALVRKLDTEKGIVGNILSSGDHDKSDVDKSHIGSMGPIIIIRGLTTVKGLEGVELLDTLLTYLWRIHGVDYYGMSETNEAKGSRHVRADNKTSNTTNINAADWEKKVDTFWQERLRGQDPMVILAAKDKIDAAAVEVLEPYVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHPELVLELTSKVREDLYFQNYMNDPNAPGGTPVMQQSAPDKSRQRPGMDNRLRYDRANRREYDRAERDGSRYGRGDRSPSLDGADDQMFDAFRGRGPNAPFVPELPAPPILMPVPGAGPLGPFVPAPPEIAMHMLREQGPPPPFEPNGPPHANPGVLGPMMGGPAPIITMPPSFRQDPRRLRSYNDLDAPDEEVTVLDYRSL >ORGLA06G0218900.1 pep chromosome:AGI1.1:6:21911798:21912160:1 gene:ORGLA06G0218900 transcript:ORGLA06G0218900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNSLSICFMLCSKSVKTDAASTTSVPNFTKAPSPREKATFLRTRNRVSAILAPLPKNYLLLAAYTATILLSKLPDVCNHLEQIQMKVTNNSIIFEIQKTSHDIKRLCTKENRGHKHGQ >ORGLA06G0218800.1 pep chromosome:AGI1.1:6:21903720:21907787:1 gene:ORGLA06G0218800 transcript:ORGLA06G0218800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQSAAAVSSAARASRPRPTRAAPRRIAASASSVAPPEPAARRLVAAFDPAVPLASAVTPPSGWYTDPDFLRLELDRVFLRGWQAVGHIWQVKNPNDYFTGRLGNVEFVICRDANGELHAFHNVCRHHASLLACGSGQKTCFQCPYHGWTYGLDGVLLKATRISGIKNFNKNDFGLIPIKVATWGPFVLAKFDSGFSQETADNTVGDEWLGSASDLLSRNGIDTSLPHIYRREYIIECNWKVFCDNYLDGGYHVPYAHGTLASGLQLQSYETHTYERVSVQRCESVQAEQNDFDRLGTKAIYAFVYPNFMINRYGPWMDTNLVVPLDATRCKVIFDYFLDKSLTDDQNFIESSLKDSEQVQMEDIALCKGVQRGLESPAYSVGRYAPSVEMAMHHFHCLLHANLSGDW >ORGLA06G0218700.1 pep chromosome:AGI1.1:6:21898637:21899412:1 gene:ORGLA06G0218700 transcript:ORGLA06G0218700.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAAAAAAAASCTGCSCWRRWRRRRRRRRRSGPPLAPTPSMNRMMVRRRWRARRRRRRWRPRRVGGRRRRSAAAAAVARSPASTPSTTRSQSRGGCPTSSPASRGRSPTCRTWPPPAQPRRASPSRRTHSTSAAASSAAPPSAAFPPPPSPRSRPSCRRTTIHRRPTTAAAAAAAMAEDNDDEEEEEEDEMMKRIKFSEDKLIKQRWIIWMDPFCNSLGSHLIKSTIKNTLY >ORGLA06G0218600.1 pep chromosome:AGI1.1:6:21898165:21898440:-1 gene:ORGLA06G0218600 transcript:ORGLA06G0218600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAHLSDETHGHHHHHHRSIETSSTTDRSTDRRRQGDRRGGVYSHHGEGRRKTTATRGEGETCRGQRGRRGHQRHTGELHARQHVRFS >ORGLA06G0218500.1 pep chromosome:AGI1.1:6:21889227:21890579:-1 gene:ORGLA06G0218500 transcript:ORGLA06G0218500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKAQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGGGKDKKAMRRAEKERLKEGEAADEEMKKLKKEAKKKGASKESTSSKSGAGKKKAASGSDEDHSNSPTRSHDGDNVAADEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEEPEKKKKHEASHKEGASNGSAKHVVEEAKSSPYDDLVKEMKDNLSKGATAVQLKGLMTSSALPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQMVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDILDEEVITQWYNESVAAGKESQVVKNAKPFVEWLQSADSESEEE >ORGLA06G0218400.1 pep chromosome:AGI1.1:6:21888092:21888414:-1 gene:ORGLA06G0218400 transcript:ORGLA06G0218400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNRTWNARFWVFTLMFFLLPCMELQETPEKYLSLPVLPTSQQGAHANISVPDFQVPNISDMDFPPVASNPGPVSRGITGTYRSPELQK >ORGLA06G0218300.1 pep chromosome:AGI1.1:6:21885445:21887466:1 gene:ORGLA06G0218300 transcript:ORGLA06G0218300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGEEEGRGMASLLAARRALRAGVERSRALSHALARAGPRLGEIRARLPPMEAAVRPIRAPRDALEGAGECVDRAVGPAAAVLKVFDAVHGLEPPLLAASAAADDLPGYLAVLSRLEEALHFLSDNCGIASQWLADIVEYLGDRSLADPRFVSDLAEALSHLKTPSANLDGGLLAAALDILEAEFRRLLTEHSAPLAMKEPNNSSDPGSITPPRIPASAVHKLSLILDRLAANGRLGTCTAAYADARGDTVSASLRALGLDYLHDPAEDAQVLTPNVERWGRHLEFAVRHLLEAERKLCVAVFERRPEAASSCFAEIASRAGILDFLKFGRAICDARKDPIKLLRLLDVFDSLSKLRMDFNRLFGGKACVEIQTRTRELVKRVVDGSVEIFEELLVQVELQRNMLPPADGGVPRIVSFVAKYCNQLLGDPYRSVLTQVLVIHRSWRKETFNDKMLVDAVLNIVKALEANFEAWSKAYEDVTLSYLFMMNTHWHFFKHLKGTKMGEILGDEWLREHEQYKDYYSAVFLRESWGTLAPLLSREGIILFSKGRATARDLVKQRLKSFNANFDEMYQKQSAWIISDRDLQQKTCHLVVQAIVPVYRSFMQNYGPLVDQQDASANKYVKFTAEGLDKMLSTLFLPKPRRAGSFQIRHSNGKITSAMTGLYRSSSTLK >ORGLA06G0218200.1 pep chromosome:AGI1.1:6:21872042:21873118:-1 gene:ORGLA06G0218200 transcript:ORGLA06G0218200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRREKAEEQQQGWREEAVSGASLRQVDLERGANGWASPPGDLFHLRARGYFSGGGGGGGGGGGGGGRRGKAPSAAEWLLRPAGVDWLRSHSRLDHVLARDDIPVAAAFRRARLRKDPSAHFLLAVNLQVPGRPDAYSAVFYFAAEAAIPAESLLGRFVHGDDAYRNARFKIVNRIVKGPWLVRATVGNYAACLLGRALTCRYHGGDGYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMGAAKYVETAPDDVVPEAGRAGAGFRVHSAKVANDSRHQERAAGKVGRSMSCQERESGGGG >ORGLA06G0218100.1 pep chromosome:AGI1.1:6:21862085:21864651:-1 gene:ORGLA06G0218100 transcript:ORGLA06G0218100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVLLLGSLVVLALLSLFPCCSCLSQGAEEEEDDGEVRLMGLAGEAAGSPGSGGGFSANGKFSYGYASSPGKRSSMEDFYDTRIDGVDGETVGLFGVFDGHGGARAAEFVKQNLFTNLIKHPKLFSDTKSAIAETYTSTDSELLKAETSHNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGDAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPILDSEQAAKKLLQEASQRGSADNITCLVVRFLEQENHLPERPTNDQAS >ORGLA06G0218000.1 pep chromosome:AGI1.1:6:21850581:21851672:-1 gene:ORGLA06G0218000 transcript:ORGLA06G0218000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDLGSWLGLGIGGGGYAYGGDDCRRSPSSPSPVQMLFSQHVKEEITRGYDHGRDEEQASGSKIMKGERGARLRVMRSIRNSGGDGSRSRVLSLGDDGGDGGSGGGGGGGTRKKLQLTKEQSTLLEDSFRVHNILSHAQKHELARQLKLKPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCESLTEENKQLKHELMELRRLASAAAAAAGSQLYVQFPRAAAAAMVNVCPSCEKVTVMGGGGGGETGKSSSSYSS >ORGLA06G0217900.1 pep chromosome:AGI1.1:6:21848146:21848951:1 gene:ORGLA06G0217900 transcript:ORGLA06G0217900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYTALASSRRMGKPALPLIQCPQCELKTIVRRKAKTSENYGRIFYTCPSHXRDGTGCDFWYWEEYYEQYLIKGGYLQACSGSRGKRQVIDFHGEGEGEGVGGRQVAEQIEDKQLLTESQKNELIESHHNSEITTGDRTYITR >ORGLA06G0217800.1 pep chromosome:AGI1.1:6:21837926:21840498:1 gene:ORGLA06G0217800 transcript:ORGLA06G0217800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSVREGKRSPDPPLLSPPTVDPHERETKRRWDPGVGGGSARAASTAWNRCMRRPCRHPXSATALLHLLAVALLHLLPHQGEEALLAAAAADPAPATRREVVLCIGYDDDDLALVDVTYPKRDVDNMQWFREITLLYRGHRHSAPFVLGLIVLCGHAPPNNWCPWGREGRARPSSGPRHPDPLRRDLHQRLPCLVYXPDYNQSKYTISMLPFHEDGGRMARLAVARNKLGLAXLGSVRETS >ORGLA06G0217700.1 pep chromosome:AGI1.1:6:21835942:21836145:1 gene:ORGLA06G0217700 transcript:ORGLA06G0217700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGRRHHRQTTLLHVAAALLDAAAMDEVAAAVDGAALKWAQVQQERRWREDPPPLILGSDVSAWI >ORGLA06G0217600.1 pep chromosome:AGI1.1:6:21833478:21834986:-1 gene:ORGLA06G0217600 transcript:ORGLA06G0217600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLDWRSVGSLLATIMVFRTAMRDFLPPEAEIFLRRLLTRLAAAFRPHVGTILIDEADGASGGANDLYDASQLYLGARCLATAPTVRLHKPRQAPRPVASLPDAHTTHDVFRGVLVKWTARPVERGASAGGGGGGVFNPYNPYGRGGGGGEPRRLELQFPRQHRELIHGHYIQHVIDEATKMRLRSRERRLYTNRAAAPGDDHHRLWTSHAFSHPSTFDTLAVDPALRDDIRADLLRFAARREHYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTVPTNSHLRRLLVSTTPKSVIVVEDIDCSLDLSDRKNKARDDENAAQLSIISPAAAAAMAAMGRESISLSGVLNFVDGLWSSCVGERLMVFTTNHPERLDPALLRPGRMDRKIELGYCSPPALRVLAKNYLGVGDEGCEDAADDPDTVSGLMADAEGLLAAGVLITPADIAEVFMGCDGAGATAALRKLADELRRRRDAPAVPVTAEAAMTTE >ORGLA06G0217500.1 pep chromosome:AGI1.1:6:21827147:21828028:1 gene:ORGLA06G0217500 transcript:ORGLA06G0217500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLKLTWASLGSLFATAVLVRTAVRDFLPPEAHGLLRALLSRAAAALVPPCDAIIVHETDANGVPNELYEAAQLYLGARCLATAPAMHLHKTHGAAAAVASLPDSHATLDAFRGVRVLWTSQLDGNASSSFGGSSSSSRGFVHHPFPNGACGSSSGDATATSCATRTSPSFSRRRRRCGPRCGRGSSTPTTPVSTAAAAAAAWTTTRCSGRRTSSRTRPRSTRSPSTRRCATTSERTCSGSCAAASTTRAPAARGSAGTCSTARPAPARPASSPPSPTSSSSTSTTWSSPR >ORGLA06G0217400.1 pep chromosome:AGI1.1:6:21817325:21818575:1 gene:ORGLA06G0217400 transcript:ORGLA06G0217400.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGPHSRTAAAAAPIPISESHGGVVGARVSPPLRRRRDAFAREADEQSAMSKKVSIGKNITAYGWPICKRI >ORGLA06G0217300.1 pep chromosome:AGI1.1:6:21815045:21817244:-1 gene:ORGLA06G0217300 transcript:ORGLA06G0217300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPLLPPLSATYTRTQPCKNPCQFSQPTPAFPSRPLTPPPPPPKMVEHLFEDIFTVTRLDPDGKKFDRVSRIEARSEQFDMYMQLDVATEVYPMRAGDRFTMVLAPTLNLDGTPDTGFYTQAGRKTLADKFDYVMHGKLYKISEDSSSGQATKVEIYASFGGLLMMLKGDPSSAASFELDQRLFLLIRKV >ORGLA06G0217200.1 pep chromosome:AGI1.1:6:21812961:21813797:1 gene:ORGLA06G0217200 transcript:ORGLA06G0217200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRWPARCAAALCIAVVVLQLAAAAAARSLSSSRRRAHDHGHRVALPASAAAAASSLQQPVHRAVAKAKGGGRSTAFDAGGGVPCKEKSGGHGGAPSPCSDDDDKRVVPTGPNPLHNRNKNCPHQLFLHGVFVSIPQVEDSAVHHV >ORGLA06G0217100.1 pep chromosome:AGI1.1:6:21805539:21808265:1 gene:ORGLA06G0217100 transcript:ORGLA06G0217100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAAAGGDSPRRGTPDSDEEDYEEYVPVAKRRAMEAERLRRATKPPTTNAVAVAAPPPPPRSTSSPAAGEVAVKTSLLVKATKLKREAPEVTPAERLLQQEREMIEHLSDRKALMPVGEIAKGISYSEPITTGWRPPLRLRRMPRSRADALRRSWHILVDGDDVPPPSRSFGDLRLPEPILRTLRGKGIEKPTPIQVQGLPVALSGRDMIGIAFTGSGKTLVFVLPLIMAALQEEILMPIVPGEGPFGLIVCPSRELARQTHEVIEMFLAPLMEAGYPEIRPLLCIGGVDMRTQMEVVKKGVHIVVATPGRLKDLLSKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPEKIQNFAKSALVKPIIVNVGRAGAANLDVIQEVEYVKEEARIIYLLECLQKTPPPVLVFCEHKADVDYIQEFLLLKGVEAVAIHGGKDDEERKDAFKSFKASEKDVLVATDVASKGLDIPDIQHVINYDMPAEIENYVHRIGRTGRRGKTGVATTFINKNQTETTLLDLKQLLIESKQRLPPILADLDDPQEDDKVAIAQQSGVKGCAFCGGLGHRIEACPKQQLQNSVTLARARSDYFGGGGYRGEI >ORGLA06G0217000.1 pep chromosome:AGI1.1:6:21798505:21799542:-1 gene:ORGLA06G0217000 transcript:ORGLA06G0217000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1Q4V7] MAALLVVVLVAMSAMVATANFNQEFDITWGDGRGKILEDGQLLTLTLDRTSGSGFQSKHEYLYGKIDMQLKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREMQFRLWYDPTKDFHTYSILWNPKHIIFMVDDMPIRDFRNLEGKGIAFPKNQPMRLYSSLWNADDWATQGGRVKTDWTHAPFSASYRGFRADACVVAAGGRTRCGATVGTDAAPGTGAAAAAGGWYNQELDLTRQQRMRWVQSKYMIYNYCTDPKRFPQGVPAECSM >ORGLA06G0216900.1 pep chromosome:AGI1.1:6:21790291:21791229:-1 gene:ORGLA06G0216900 transcript:ORGLA06G0216900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRHGRMGGRRQSEAASAGERGGGEAAAATTPSRLPLPLPLLPKQRRRRRPPSSGATRASFAMAAAPGLSGGEAFRLSADAGAGALKLHKDDITLWSVDGATVAIVNAANERMLGGGGVDGAIHRAAGPELVEACPVQRRGAGRFSYADELLKAFCAAFPSSSSSSSLPTPAPPPTQPQPEQQKPVVVVV >ORGLA06G0216800.1 pep chromosome:AGI1.1:6:21786810:21788210:1 gene:ORGLA06G0216800 transcript:ORGLA06G0216800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucan endotransglucosylase/hydrolase 21 [Source:Projected from Arabidopsis thaliana (AT2G18800) TAIR;Acc:AT2G18800] MASSSNPGRTPPLVAAIVCSVLLLAGGAAGNFYQDVDITWGDGRGKILGNGQLLTLSLDRSSGSGFQSKNQYLYGRFDMQIKLVPGNSAGTVATFYLSSQGSQHDEIDFEFLGNASGEPYTVHTNVYSQGKGGREQQFRMWFDPTKDFHTYSVLWNPSHILFYVDGTPIREYRNTEATTGVAFPRAQAMRVYASLWDAEEWATQGGRVRTDWSRAPFTASYRGLAASGCTSQDATACANPGSPWMYQQQLDSASQDRLRQVQRDYMIYNYCADTYRFPQGLPPECTAK >ORGLA06G0216700.1 pep chromosome:AGI1.1:6:21779089:21781102:1 gene:ORGLA06G0216700 transcript:ORGLA06G0216700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1Q4V4] MRGGASLRLRWPAALVAVVAAAVTAAVAAGHGYHNFHRDFDAVWGKGNARFRDGGRMVELTLDEQTGARLQSKERFLFGRFDLEIKLVRGESAGTITSFYICSGGARHDEVDFEFLGNVSGEPYLLHTNIFSDGKGEREQQFVLWFDPTADFHTYSILWNPHNIILYIDGTPIRVFKNNEAYGVPFPTRQPVHVFASIWNAEEWATQGGRVKTDWSRAPFVATYRRYNVSNACVWDAAGAGASRCAGGGGGWMRRRMDWWSWMTLNWVRMNYMAYDYCADRKRFPHRFPAECIIPIGRT >ORGLA06G0216600.1 pep chromosome:AGI1.1:6:21775072:21776209:1 gene:ORGLA06G0216600 transcript:ORGLA06G0216600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1Q4V3] MRTVALGIVAMACLVAMAHGGNFFQDAEVSWGQGRGKIVDGGRGLDLTLDRSSGSGFQSKSEYLFGKIDMQIKLVPGNSAGTVTTFYLSSQGSTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREQQFRLWFDPTQSFHTYSIIWNPQHVIFAVDGTPIRDFKNHEARGVAFPKSQPMRVYASLWNADDWATQGGRVKADWSKAPFVASFRDFNADACVWSNGAQRCPVGTMETVAAPAGGRRGGAGGWWNQELSDMSYRRMRWVQRKFMIYNYCTDAKRFPQGTPAECKLR >ORGLA06G0216500.1 pep chromosome:AGI1.1:6:21762436:21763710:-1 gene:ORGLA06G0216500 transcript:ORGLA06G0216500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G05810) TAIR;Acc:AT5G05810] MEPSRRLLLSDYDGAIVSPLPSPPPSSATSFRPGVAVVVGILTSVFSITFLLLLYAKHCKRSAAESSGPYGSGGAFGSSGGGGAGERRNSGVDRAVVESLPVFRFGALRGQKAGLECAVCLGRFEPTEALRLLPKCRHGFHVECVDTWLDAHSTCPLCRSRVDPEDVLLLPEPPKPSTTGPPDPPETKVAAAAAAAATTKDKEASLAPAAPAPSPAFRGFFSGRHSTGSVRAPGRVGPASRRSADLVGGDGGAVVGCFEAAKVRKDRVLLMEPAAAVAEPDPEAYDRRFGHRILVSTAGGCEDETAPAAKQRWSEVRPSDLMFVRSEMLVTEAGRYSCSAAVHSGNGRSVISARSLSELAGVSRLPPIRAGGEPRAGARRWPGSSWWARGPLCSPEKAR >ORGLA06G0216400.1 pep chromosome:AGI1.1:6:21756115:21759292:-1 gene:ORGLA06G0216400 transcript:ORGLA06G0216400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67940) TAIR;Acc:AT1G67940] MRRLGLSLHHDTSPSFAALACNQRPPPNGTVHACSKSRPPQLEPGKVGKKPIKKTMRIARIPTSIPPHLRLPLDLSAVSPMGSASEHDVREHLLDVDGVGEEGAAAAAGPKIRVRGLTRRSEASGEEILRGVDLDVPRGVVVGVIGPSGSGKSTLLRALNRLWEPAPGAVLLDGVDICGIDVLALRRKVGMLFQLPAMFDGTVADNVRYGPQLQGKKLTDAEVQSLLSLADLDPALCSKPASELSVGQAQRVALARTLANDPEVLLLDEPTSALDPISTQNIEEAIVRLKKTRGLTTVMVSHSVKQIQRIADLVCLLVAGEVVEVLPPSELSEAKHPMARRFLELS >ORGLA06G0216300.1 pep chromosome:AGI1.1:6:21753024:21754832:1 gene:ORGLA06G0216300 transcript:ORGLA06G0216300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPSPRSRDSARPKSTSGRPPSSPRSSDTTRRSSFTAASSSDKPVPSFLRPTVSSSLHSSSSSSPSSSSLLSSSPSSKGTGTTPRRSADKAPSASAASSRPITPKDKAPAVATSRPITPKDKAKAAAASTSRWSAVSPRQLMQKASNAFTRGSSSKSRAAKKDKEAATPAATSAAAKDAATPASTSAVRKKAATPATSAAGKAVAGASPGPTRAQPTEEHHQQPTEAPPAEPSPAAAAVQEEAVTSRAQLTEEHHQQPPEAPAEPSPAAVAVQEEAAAAETEAEQEKQPDETPQEVVAAVEEKVQDEQVSTEAAEEAIAVEEDAAAVKTDAPEPEETQTQTGAIAESETEFQRSSEDEPASDAIVEEAAIESATQNEPDEPESTTVEEMAVEEEIKTDESQQEETPKPEERMENSETSVISEDPKEMDAISEDQKVEEPVVAEEQEELSEVVKMAVEPSISSEPATPLEEEDRDDVETEQANSSEPATPVEEEEDRDDVETEQASSSDPATPLNEEAINEEGTSTETGKTKKVAFKGSKVKTAMERRPEGEQPRKKDVARSNDVIEETKRTLMMKRKSKVKALVGAFETVMDTPSPGKSS >ORGLA06G0216200.1 pep chromosome:AGI1.1:6:21742088:21744499:-1 gene:ORGLA06G0216200 transcript:ORGLA06G0216200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSGRSISTTGFLRRGSSVSLKDQGNEERPNKTKLNPLNARWADSKEKPRYLREPFRSSGTKAACPSSSKAPVRKYFEEKQGRTFLGEADNAESSSRRTEANRLQCSKKAVVEEDVHPYGQQDEPEDLLSTSTTEDQPAELDPELLDSSVSSGVSAHAIGSVVRNAALRSKSRQQKGKEELCQIRPQTASAFVNRSTIPRNSTNGVKSSNAAGPGVQRRTLKNLGCTSISDVLPSGCSSSNSVHNKRAEVMRNRAFDGESSSRPRGLNGHSSLGHSPAMYSGITGPRVRTAEQSASQQTRTSSRSIQESADSSRIRRPSTQHARVRVPNEREDSVFALRETLARARQPEWAHFSLGEAAPRRSMRPFSMELPHEIYSSSRQGSSNQTARSRSSYRPDESPPQMFHGLLVERENYRHINMEGIAEVLLALDRIEQDDELTYEQLLVLETNLFLSGLGLHDQHRDMRMDIDNMSYEELLALEERIGSVSTALSDEQLVKCLKRNVYKLPNSDLEANRAVLDDAKCSICQEEYIEGEEVGRMQCEHQYHVSCIHEWLRQKNWCPICKTSAIPSEMDKGGT >ORGLA06G0216100.1 pep chromosome:AGI1.1:6:21734348:21737096:1 gene:ORGLA06G0216100 transcript:ORGLA06G0216100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q4U8] MGQRRRSGPRRQSQSVVVVVVAVLLATASCAAAQLSQSYYASTCPNVETLVRGAVTQKLKETFNAAPGTLRLFFHDCFVRGCDASVLIAGPDDEHSAGADTTLSPDALDLITRAKAAVDADAQCANKVSCADILALAARDVVSQAGGPYYQVELGRLDGKVGTRAVVKHSLPGAAFDLDQLNKLFATNGLTQTDMIALSGGHTIGVTHCDKFVRRLYQFKGAAPQYSPPMNLAFLRQMRQTCPLSYSPTTVAMLDAVSPNKFDNGYFQTLQQLKGLLASDQVLFADRRSRATVNYFAANQTAFFDAFVAAITKLGRVGVKTAAGSDAEIRRVCTKVN >ORGLA06G0216000.1 pep chromosome:AGI1.1:6:21720008:21722251:1 gene:ORGLA06G0216000 transcript:ORGLA06G0216000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q4U7] MAASLAGLAFLAVTSAALLSPLAVVGQLRTDYYSTICPNLETIVRSSVKQSMAASPISAPATLRLFFHDCAVRGCDASIMIVNSNGDDEWRNSDNQSLKPEGFTTVLNAKAAVDSDPQCRYKVSCADILALAARESVYQSGGPNYQVELGRYDGRVSTRDSVVLPHANFNLDQLNAFFAGLGLSQTDMIALSGGHTFGAADCRFFQYRIGADPAMDQGFAAQLRNTCGGNPNNFAFLDGATPAAFDNAYYRGLQQGRGLLGSDQALHADQRSRGTVDYYAWSQSAFFGDFAAAMTRLGRVGVKTAATGGEIRRDCRFPN >ORGLA06G0215900.1 pep chromosome:AGI1.1:6:21703100:21703627:-1 gene:ORGLA06G0215900 transcript:ORGLA06G0215900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRCPRHPLSFLSSLPSSSLSHFSSKLAGARREGGAATAAGGGGVRARRLLRFLRLLVPLGRHGLSICGGNAVVAPALPADDDIDSAAPREEEEEEEGTGAARAADASSSGGSGSRSGSYPPFKRGRDELVDSLSKFADETRPSKRPAAKRRTRATEVHNLSEWVKPQEHQPTIDG >ORGLA06G0215800.1 pep chromosome:AGI1.1:6:21694303:21695348:-1 gene:ORGLA06G0215800 transcript:ORGLA06G0215800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPTSLRCFLTGRPLCSAGSTAAVLPAPKPTRRRPSARLSCRAANEAPSTRGDLPVKLGKLAMVALAAGVLALSPVDDAMAAKSGGRVGGQAFRSAPRSAPRPSGPRINNSRTNIYINPPVAPPLVGGYGYGYGYGWSPFSFFAPGPSVAVGVGGGFDTLVLFIVLGTIVGAVRRFLNRNDDDYDDY >ORGLA06G0215700.1 pep chromosome:AGI1.1:6:21690722:21691375:1 gene:ORGLA06G0215700 transcript:ORGLA06G0215700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVSSCPAAAASHPSSHHHCRGVRPAAGHSFSPPTRITLVRWTPPRHGWVKLNFDGSVHNDGSGRASIGGVIRDDHGRVLLAFAERTPHATIGVVEARALIRGLQLALDHGWNDRLLVEGDDLTLVRLLRCESTHTRIPPAMLDDILWLLDSFRVCEVQHAYREGNQVADALCHEAYKAAPAARLWTPGTAMVPFPVWEKLEDDRRGVLHQRVRA >ORGLA06G0215600.1 pep chromosome:AGI1.1:6:21687797:21689251:-1 gene:ORGLA06G0215600 transcript:ORGLA06G0215600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61360) TAIR;Acc:AT3G61360] MPLLLAPPPSAARPLLALTVPHLVRILLAGAPETPPLLLAILQASPPLLTPLLSHLLLSHSPPLPALSLFRRLLALPGFPVPEASLPALLRLLARSRRHARLSFRLLDSLPATHPHLLSTPALAVLLSTALSESAPGASFDAAVACFDSAARVWARAGREFGVAELNALLRAFCARGRVAEARALFHRYCDTYPPDARTFNTLLLGFKEAGNAQALDLFYHDAVLRGFVPDAVSYCVRMDAYCKKGRFLDALDLLDEMRKRENCRPTLQVFTTLIYGAGIVRNATRARQLFDEMGQGGVTPDRGAHNALMGAYVRARDLQSGMTVMSEMERKGIGLDDVSYNTMLCGFQRIGDLEGIWKVYSKMVGSGFMPRTRTTMLLMKVFCENARPDLGLELWDYLLGKGCVPHRHALDVLVTGLCCRGMVLEAYRCFREMIEMGMTPTERAFRVLEGFFKRKREFEKLEEIRQMMKATQLEEHQCDEEAA >ORGLA06G0215500.1 pep chromosome:AGI1.1:6:21686170:21687140:1 gene:ORGLA06G0215500 transcript:ORGLA06G0215500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFU domain protein 3 [Source:Projected from Arabidopsis thaliana (AT4G25910) TAIR;Acc:AT4G25910] MRPFSPHLRQAAAAAAAAATGAPPLAAALLKNSSAPLIHGRLSFSHTSLQSPNNRGKRTGWAVRVLPLTEENVEMVLDQVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEILAVEQIVDTETGLELNHDNVDKVLDEIRPYLSGTGGGSLDLVQIDESVVKVRLTGPAAGVMTVRVAVTQKLREKIPSILAVQLTE >ORGLA06G0215400.1 pep chromosome:AGI1.1:6:21680900:21682276:-1 gene:ORGLA06G0215400 transcript:ORGLA06G0215400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKLSGMATDLFGLHRILSSLLEIEGTRTTREIKSKDSIQKLAKVLLKANWQKDLHHILVEPMEKSKQDSRESVRRTIMEHDEVFRQQVHELHRLYRVQKSLMAELGGEKHRFQSRTGETQEMMQGPLSNLKNSPSTSETTQSAHLGSTQHCAPEQVPEHSILQEYKPATCLNFFTEETSRTQEFRREGGRSTGGENWSASDPSVENDLDLKLTIGRSLHATKAPHWLFSGSRERNPSGQHR >ORGLA06G0215300.1 pep chromosome:AGI1.1:6:21678229:21680175:1 gene:ORGLA06G0215300 transcript:ORGLA06G0215300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44230) TAIR;Acc:AT5G44230] MVRLIPRLHAPPPPPPRVPAGLPLPLLPAPALAATLLEAVSSSPSLPHLRHLHALAVRLPLPATSLPFLLSRLLRRLAALPPPPHAPLPYALNVFSAVSPPDHFLAAALLRFAFLTQPPLLPFRVFSHLRRAHGAELPFLPFAFSTLAKSATASRSLPAAAAAHAVSVLVGGFDRHRFVENSLIGAYVACGDVGAARKVFDEMVEKDVISWTSIVVAYTRSGDMRSAEEVFGRCPVKDMVAWTAMVTGYAQNAMPVKALEVFDRLAELGMVIDEVSLTGAISACAQLGAVTRAAWVQEIAERTGFGNNVVVGSGLVDMYAKCGLIDEASKVFYGMQEKNVYTYSSMIAGLASHGRASEAIALFKEMVNRANVEPNHVTFIGVLTACSHAGMVGEGRYYFAQMKDKYGIMPSADHYACMVDLLGRAGLVDEALDLVRSMSVTPHGGVWGALLGACRIHGKSEIAKVVAEHLFKLEPESIGNYVLLSNILASAGKWEEVSKVRILMRKQRLKKDPAVSLFEGRDGLVHQFFAGDNAHPRTHEIKKALLELVAKLKLEGYVPILSSIVYDVNDEEKERLLMGHSEKLALSFGLLTLGSGCTIRIIKNLRICDDCHLFMRLVSRVESVEIIVRDNMRFHHFKNGECSCGGFW >ORGLA06G0215200.1 pep chromosome:AGI1.1:6:21673827:21675656:-1 gene:ORGLA06G0215200 transcript:ORGLA06G0215200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAYFAFSVLVALDLCCCHGQAADAAGSNSSDVTAIYSLGDSITDTGNLIKEAPPGMFETIKHLPYGITFGYPTGRCSDGLLMIDFLAQDLGLPFLNPYLGKNKSFDHGVNFAVAGATAMDPTDQFSGRFFAPFSSNSLNVQLRWFKDYMKSTFSTDEDIRKRLQSSLVLIGEIGGNDYNYALFGKSVSEVEKLIPGVVRTIIDAAKEVLEMGANRVIIPGNFPIGCMPTYLTSKRSSEPSDYDATGCLRELNRFAAKHNARLRRAIADELRPSYPAAAVAYADYFNSFLALLDAAGELGFDAGSARRACCGAGGGEYNYDPRRMCGAEGAAACAEPEKYVSWDGVHMTQAAYRAMSRLVYHGMYLEPQILSLADAP >ORGLA06G0215100.1 pep chromosome:AGI1.1:6:21669804:21672921:1 gene:ORGLA06G0215100 transcript:ORGLA06G0215100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39910) TAIR;Acc:AT2G39910] MAPPAAGAAIPRDALLRIAAPLRDSLAAAPYAPPEGSSTSTKSLLSSLLPSSHPQAPAGGGGARSKEAAGLLLFCAAARAASPEYPALHWVPVALSDAAAAAVEEMAAAGGWGDVGEMVVGMMPEVVPPLKDVVKATCVDTEEEEIGKEKPPKEHAVVAAHQFRWLVSQVTYPKLGDLCWLLIPCALTALDHWSPEVKEQGMVSFMHIAKSVKATELNLYEVAILDACCHNIPADDELWYRAVEVSVLLLTCTQRSNPRSPWYDRMLAEMLGHLERQPLNKKRRVAWLTLIGPVFEAMGLFLLAHFRLLFSLFFQWMHADDDKTVLLVLERIHEVIKLTWIRKSPYTSRLVDELVLLYKESATRSSREVVRNHILEMLATLQKCKGQQFEEAWKKHEVDPDLTMLLSCFNELCTKNHSS >ORGLA06G0215000.1 pep chromosome:AGI1.1:6:21662244:21666572:-1 gene:ORGLA06G0215000 transcript:ORGLA06G0215000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSESDGAGSIGAGEEEEEEEEEEEGGFGGGGGGGGGGGGMFSFAIEGMLRASGPCGLVVTDALEPDCPIIYVNCGFEEATGYRAEEVLGRNCRFLQCRGPFAQRRHPLVDAMVVSEIRKCIDNGTEFRGDLLNFRKDGSPLMNKLHLTPIYGDDETITHYMGIQFFTNANVDLGPLPGSLTKEPVRSTRFTPDNFFRPISTGPGQSNFCREYSSLFQLTDEVLCQSILSRLSPRDIASVSSVCRRLYLLTRNEDLWRMVCQNAWGSETTRALETVPAAKRLGWGRLARELTTLEAVAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWRHVNVSSAPPGRWGHTLSCLNGSLLVVFGGCGRQGLLNDVFTLDLDAKQPTWREIPGVAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMDKPVWREVPASWTPPSRLGHSMSVYGGRKILMFGGLAKSGPLRLRSSDVFTMDLSEEEPCWRCLTGSGMPGAGNPAGAGPPPRLDHVAVSLPGGRVLIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEIHELSLASSTV >ORGLA06G0214900.1 pep chromosome:AGI1.1:6:21660208:21661311:1 gene:ORGLA06G0214900 transcript:ORGLA06G0214900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRSAADSGIADADEHAGGGGGGKRSCIESTDNYEVTCWLRKGAFGAVIRARHRATSRDVAIKFLRRHRCGMGGKAVGEDALLREALYLARCSHHPSIVHYHGLALEPRSGMWGLVMEHVGPSLSSVLRERHGGGGGPPFTEEEVRRVMRQLLSGVQRLHDRHVVHRDIKPGNILVGDGGVVKLCDLGLAMDTAARKPPYQKAGSPGYKAPEMLLGKPDYGELVDAWSAGCVMGELLAGVPLFRGHSETDELLRIFRLLGAPCRQTWPSYPSLPLFGAERLSRDGFEVLNGLLTCNPDARLSAAEALRLPWFNATVDGIAAADETKIGQPAASPRQEPPPLRRYPLW >ORGLA06G0214800.1 pep chromosome:AGI1.1:6:21658982:21659848:-1 gene:ORGLA06G0214800 transcript:ORGLA06G0214800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRSKAFSGGGKRFFRAFASIHAAIEAAEPGISRREFRDATDKVVAMLCNATDDEAVAEELRVVLDGMMVEALLTLELVPAMPKMLASTDLAQDVGALRNHPSERVRSLAIGIVRGWRASVKDELLKAAAAMEKLSQAMEPDEADDHHAKILQPSPPKKTANTSRSQPPFPKKQSARPVVRGSRVTTTAKIDPPPEKAPAAAAARSSHHRESVVPCCTDEKAMNAAKRKLREGYQEAEEAKRRRTIQVIQAPDRQRKMQAITRPRSRPSFAAAASTAKKSSGFSSLRRF >ORGLA06G0214700.1 pep chromosome:AGI1.1:6:21655878:21656831:1 gene:ORGLA06G0214700 transcript:ORGLA06G0214700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLMMKKVVRPSSFDFDIQLDKSWTEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANMKVSTIAVAPLDSIHIVAPNVNNRPSCPLCRGDVIGWIVIGEARLHLNQKKRCCEEDCCSFVGNFNELQKHTQQKHPDSRPSEIDPARQVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDETGEEYEVFRRVRRHWWSCMFFRGFSRSSRRRRRARARERRGSGRRNSNQAHLESFNLEVPTQSVDLREIRFDEIDDEYIVTGAIPSIATPGRMASFHYRSVETVYC >ORGLA06G0214600.1 pep chromosome:AGI1.1:6:21651673:21652360:1 gene:ORGLA06G0214600 transcript:ORGLA06G0214600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFSAGLTLAFGNTNQGCKRIKEEDNELVEMESGWAEHHLESFDTKQNLAAYVRALRSCWGFSYEDRLKPHIPVATDRVLPLSLASSYKGMRLVTLQSKEKAARLKSLRAMNKTVENMRTKIGMKNNVIRKLPKNCPY >ORGLA06G0214500.1 pep chromosome:AGI1.1:6:21647063:21649864:1 gene:ORGLA06G0214500 transcript:ORGLA06G0214500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTCNACNAGFDDEEQQRLHYRSEWHRYNLKRKVAGVPGVTEALFLARQTALAEGSNSDSTPMLYSCALCGKEYRSSKAHAQHLNSRSHLMKASQEPNASIAGITIVKPRPERVQRRAPSAVEEDEDEDEEEEWVEVDPSELESTSEMQVDEHSSKSDDEMDEFEELDPTFCFMCDLEHDTIENCMVHMHKKHGFFIPDSEYLKDPNGLLIYVGLKVKRDFICLYCNDRCQPFQSLEAVRKHMDAKGHCKVRYGDGGDDEDADLEDFYDYSSSYADVEGKELVAADDKDNIELGSGGAELVITNKSEKGTRVRTLGSREFIRYYRQKPRPSVATDRALALSLASSYKSMGLVTVQSKEQVVRLKVLRAMNKTGVETMRTKIGMKSNVIRNLPKNCPY >ORGLA06G0214400.1 pep chromosome:AGI1.1:6:21645289:21645585:1 gene:ORGLA06G0214400 transcript:ORGLA06G0214400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGPRRLVSGAYAMPPWVPIEVKIDQKWKRAFVLRQADHRGLCLVRVTGTPDAEPVMVPLSTIRLPSRVHRAKRRPETAMQIQVKKARIEQSSDDEE >ORGLA06G0214300.1 pep chromosome:AGI1.1:6:21639479:21642810:-1 gene:ORGLA06G0214300 transcript:ORGLA06G0214300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASYFSGTALMPSQRSGAPAPEYSAAGTGAAAAPSPSKPRDPRFSGCVPATVLHISRSFAAALAADGGGDPVFSIDGVETTNVRVLGRVVSVVSRDTDVCFTLDDSTGKIPLVRWITDQSDTRDTSYIQEGVYVKVQVNLMGFQAKKQGLARSIRPINNFNEVVLHFIECMHVHLESVQSKMQRQLPPSVQTNEYTHVPSSGGVRDYQVHFTPQVNQGLPPAVQTNTSTYVPLLGGVRDHQAHFAQVNQGQFSPAVQANTSTHLPFSGGVGEHQIHFTPKVNQGQFPPSVQTNTSAHVPYSGGFREHQVHFTPPVNQGQFPPAVQTNLYNHAASSGGVREQVHLTQANQFSAYSSTGGLQHDPQRMVLEALQQPDILALEHGAHVDELVRRTGMPKANIMGVVKHLAAAGFVYWTIDDNHVKSMCNG >ORGLA06G0214200.1 pep chromosome:AGI1.1:6:21633782:21635844:1 gene:ORGLA06G0214200 transcript:ORGLA06G0214200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLYLRSSGSFKKLLLSIGHRGAKNGNGDAAAKERYTPAAAAPESPRKPAWRCFSYEEIHRATNAFHEGNLVGKGGSSEVYRGELPDGRAVAVKRLMGAWACERRERDFLAELGTVGHARHPNVCALLGCCVDRDLYLVFHFSGRGSVSANLHDEKKAPAMGWAVRRAIAVGTARGLEYLHKGCQRRIIHRDIKASNVLLTDDFQPQISDFGLAKWLPSEWTHRAIAPIEGTFGCLAPEYYTHGIVDEKTDVFAFGVFLLEIMTGRKPVDGSHKSLLSWARPFLNEGRIESLVDPRIGGDYDGEEARRLAFVASLCIRSSAKWRPSMTEVLELLEGVEIRQERWTMPEAVEDDDDEELWRFDDLDDEDDEDDEDEEEFNTASPSSCSSSLSN >ORGLA06G0214100.1 pep chromosome:AGI1.1:6:21620829:21621230:-1 gene:ORGLA06G0214100 transcript:ORGLA06G0214100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNISSFAISVLEKAASFGTDWAVSEIKSAWNVKKELEKLETSLKSICAVLRDAECKQSTSHSLQEWLDNLKDAVYDIDDVLDDVSTEALEQEVQNSFINRTRNMLIYPLKLSQKIKEVREKLDEIAANRA >ORGLA06G0214000.1 pep chromosome:AGI1.1:6:21609326:21610885:-1 gene:ORGLA06G0214000 transcript:ORGLA06G0214000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVNISSLALSVLAKAASFGSDWAVTEIKLAWNVKKELEKLERSLRSICAVLRDAECKQSTSHALQEWLDNLKDAVYDIDDVLDDVATEALEQEVQNGFINRTKHMLTYPFKLSHRIKKVREELDEIAANRPQFGLTEHAIDVHTYGSNNRGTHSFITEPEIIGRDEAKHKIVEMICTATESNPFSVLPIVGLGGIGKTALAQLIYNDAQITEKFQKKLWVCVSDVFDLKKILDDIIQSGTGESNRHLNLEILQSKVRAFLCEKRYLLVLDDMWSDKVNEWDELKSLLSSGGSGSVIIVTTRNRSVASIVKTLEPYDVAELPDDKCMQVFMHYAFRDGGAQDPKLLEIGKSIVKKCRGVPLEAKTLGSLLCTSRDVGEWQRIMENKLWNTEAEVCGIIPALKLSYDALPPHLRAYFSCLSVFPKDDKIYVDTLVMFWMALGMLNTGKESKQVISAGRKCFHDLLGRSLFQDQFVVFDETIQKCKMHDLIHELAQFVSENEHVVISCEKTAFSKMVKHLV >ORGLA06G0213900.1 pep chromosome:AGI1.1:6:21602010:21605528:1 gene:ORGLA06G0213900 transcript:ORGLA06G0213900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLWGALGQASSVAQLVGVDACRRLGQHVELVGGLLRELELAELMRREATRRPLERLQGALRRCYALVRACQEDCGYLHRLLLGARMADELRAAQHEIDMYIRLIPLISLVDSSNNNRRVTATEGVPSVVPSSSDHHTRFPRRVLAFTEIHVQGATKPREIGGKSLEVTVYLTVDLQEQKIMDMEAIMKLCIQTEENYPGFRKFGFFQLVDATDGFSENRNVGIGGFGTVYKGQLLDGHTVAIKRFVVDAAIFDFKSELQLVRLQHTNLIRLLGWCIHEKEKILVYEFMQKGSLDNIIFHKRKGALLNWSKRLQIIKGLAEGLLYLHKHCLIVHRDLKPNNILLDHDMNPKIADFGSAVTLVSDVAEERTRRVVGTSGYIAPEYASEGRYSLKTDVFSFGVVVLEIISGRKNFIMEKQGDTVGNLIRDAWHMWRDGRLHELVDPALCDEYESPVIMRCTQVALLCAQEDPAERLTMTDVTRVLNSQSILSSDPKEPTELTDGGASIGRPSTYIGQSSKTIDITITSSAPVSTRVRIIVDPEI >ORGLA06G0213800.1 pep chromosome:AGI1.1:6:21594779:21598941:-1 gene:ORGLA06G0213800 transcript:ORGLA06G0213800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWCVRAEDALAAAAAVAVASDKMRSVTLGGSIQRVMRRIGGGGGGGRRSAGSRGAPQRAECLGAASGDASASCSGDDSSNGTGKRDGSRRVRMRRYRSELEQEVKKLQRQLEEEIDLQLALTDAITNNATLILEPSAKLPNKAQELIISIASLENTVSKLEKDLNDLCYQLCHLRNNTRLAENNSRYLETLAEENNSRGLLSTSLQYQPPSTCKCTGEEDISTLRDTKLGESESMQENLFPGLEDQQNIQKESEGRERVSQDGLLEEHQDVPSNRLLEKHWDEEMQESYPMENGGREHQIIDALSFDQSYQRKSSINGNVWNGNPNKLSEEMVRCMRDIFLRLSDSSSEISPKGSSVNSISSTERLSGCTLTSVSDSSLMASVMQSPSIDSNHDSIDEVRYFDPYNVNGKEVRRDIGNYCSVAEVSWMYVGKEQLAYASEALKNFRNLVEQLSKVDPTCMNCDERLAFWINLYNTLIMHAYLAYGVPENDIKLFSLMQKACYIVGGQSFSAAEIEFVILKMKTPVHRPQLSLMLALHKFRVTEEHKKYSIDDAEPLVLFGLSCGMFSSPAVRIFSAGNVRQELQESMRDYIRASVGINDSGKLIVPKLLQSYAKGTVEDSLLADWICRHLTPNQVAAVQDTSSSRKQRLLGVRSFSVVPFDSKFRYLFLPDNSSSQH >ORGLA06G0213700.1 pep chromosome:AGI1.1:6:21590020:21592041:-1 gene:ORGLA06G0213700 transcript:ORGLA06G0213700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVKRTDCWKWEGITCSSDGTVTDVLLAAKGLQGHISPSLGNLTGLLHLNLSHNLLDGYLPMELLFSRSIIVLDVSFNRLDGSLPELESPSGGFPLQVLNISSNSFTGQFSSKQWEVMKNIVALNASNNSFTGQIPSSICINSPSFAILDLCYNQFSGSIPLGLGNCSKLREFKAGYNNFSGALPEELFSATSLEQLSLPNNDLQGVLDGSHILKLIKLTVLDLGSTGLSGNIPDSIGQLSTLEELRLDNNNMSGELPSALGNCTNLWYLSLRNNKFVGDLSKVNFTRLNLRIADFSINNFTGTVPESIFSCSNLIALRLAFNKFHGQLSPRMGNLKSMSFFSIADNHFTNITNALRILRSCKNLTSLLMGTNFKGETIPQDETVDGFENLRVLTIDSCGSVGQIPPWISKLKKLEVLDLSNNMLTGEIPFWISDMPVLFYLDITNNSLTGDIPVALMNLPMLQSGKNTAQLDPNFLELPVYWTPSRQYHLLNAFPNALNLGNNSFTGVIPPEIGQLKMLDGFNVSFNRLSGEISQQICNLTNLQLLDLSSNQLTGELPAALTDLHFLSKFNVSNNELEGPVPTGRQFDTFLNSSYSGNPKLCGPMLGNPCSPTTRKETFTSQRHNLRRALVIGITLGALIALALLACFLIGRLVYDDHTESLTHLQMRYRR >ORGLA06G0213600.1 pep chromosome:AGI1.1:6:21581977:21585192:-1 gene:ORGLA06G0213600 transcript:ORGLA06G0213600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVVVVSGVLVLVLVVVSVCGRAAACVEAEREALLSFLAAAAPPAGDGIAAQWRGSPDCCAWDGVGCGVDGAVTRLWLPGRGLGGTISPSIANLTALTYLNLSGNSLSGRFPDLLFALPNATVVDVSYNRLSGELPNAPVAAAAAATNARGSLSLQVLDVSSNLLAGRFPSAIWEHTPRLVSLNASNNSFHGSIPSLCASCPALAVLDLSVNVLSGAISPGFSNCSWLRVLSVDRNNLTGELPGDIFDVKPLQRLQLPSNQIEGRLDPERIAKLTNLITLDLTYNMFTGELPESISQLTKLEELRLGHNDFTGTLPPALSNWTSLRCLDLRSNSFVGDLTVVDFSGLANLTVFDVAANNFTGTIPPSIYSCTAMKALRVSNNLMVGQISPEIGNLKELQFFSLTVNSFVNISGMFWNLKGCTSLTAMLVSYNFYGEALPDAGWVGDHVRSVRLMVMQNCALTGVIPSWLSKLQDLNVLDLSGNRLTGPIPSWLGAMPKLYYVDLSGNQLSGVIPPLLMEMRLLTSEQAMAEFNPGHLPLMFTLTPNNGAASRQGRGYFQMSGVATTLNFSDNGITGAIPPEIVKLKTLQVLDVSYNNLSGGIPPELSSLTRLQIVNLRWNRLTGTIPPALKELNFLAVFNVAYNDLEGPIPTGGQFDAFPPRDFTGNPKLCGEVISVPCGDRFDATDTTSSKVVGKKALVAIVLGVCVGLVALVVFLGCVVIAFRRVVSNGAVRDGGKCVESTLFDSMSEMYGDSSKDTILFMSEAAGEAASGVTFVDVLKATNNFSAGNIIGSGGYGLVFLAELQDGTLLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFCIRGRLRLLIYPYMANGSLHDWLHERRAGAGRGAPQRLDWRARLRIARGASRGVLYIHDQCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTLGYIPPEYGQAWAATLRGDVYSFGVVLLELLTGRRPVEALPHGQQRELVRWVLQMRSQGRHGEVLDQRLRGKGDEAQMLYVLDLACLCVDSTPLSRPAIQDIVSWLDNVEFIG >ORGLA06G0213500.1 pep chromosome:AGI1.1:6:21572291:21575482:-1 gene:ORGLA06G0213500 transcript:ORGLA06G0213500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHVVVSGVLVLVLAATICGCAAACVEVERKALLSFLADAASRAGDGIVGEWQRSPDCCTWDGVGCGGDGEVTRLSLPGRGLGGTISPSIGNLTALVYLNLSSNSLSGPFPDVLFFLPNVTVVDVSNNCLSGELPSVATGATARGGLSLEVLDVSSNLLAGQFPSAIWEHTPRLVSLNASNNSFHGTIPSLCVSCPTLAVLDLSVNVLSGVISPGFGNCSQLRVFSAGRNNLTGELPGDLFDVKPLQHLELPLNQIEGQLDHESIAKLTNLVTLDLGYNLLTGGLPESISKMPKLEELRLANNNLTGTLPSALSNWTSLRFIDLRSNSFVGDLTVVDFSGLANLTVFDVASNNFTGTIPPSIYTCTAMKALRVSRNVMGGQVSPEIGNLKELELFSLTFNSFVNISGMFWNLKSCTNLTALLLSYNFYGEALPDAGWVGDHIRKVRVIVLEKSALTGAIPSWLSKLQDLNILNLSGNRLTGPIPSWLGAMPKLYYVDLSGNLLSGVIPLSLMEMRLLTSEQAMAEYNPGHLILTFALNPDNGEANRHGRGYYQLSGVAVTLNFSENAITGTISPEVGKLKTLQMLDVSYNNLSGDIPTELTSLARLQVLDLSWNLLTGTIPSALNKLNFLAVFNVAHNDLEGPIPTGGQFDAFPPKSFMGNAKLCGRAISVPCGNMNGATRGNDPIKHVGKRVIIAIVLGVCFGLVALVVFLGCVVITVRKLMSNAAVRDGGKGVDVSLFDSMSELYGDCSKDTILFMSEAAGETAKSLTFLDILKATNNFSPERIIGSGGYGLVFLAELEDGTRLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFYIRGQLRLLIYPYMANGSLHDWLHESHAGDGAPQQLDWRARLSIARGASRGVLYIHDQCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTLGYIPPEYGQAWVATRRGDVYSFGVVLLELLTGRRPFEVLRHGQQLELVQWVLQMRSQGRHGEVLDQRLRGNRDEAQMLYVLDLACLCVDSTPLSRPVIQDIVSWLDNVQFIG >ORGLA06G0213400.1 pep chromosome:AGI1.1:6:21563079:21566309:-1 gene:ORGLA06G0213400 transcript:ORGLA06G0213400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHQVVVTGVLVLVLAASVSDRAAACVEAEREALLSFLAEAAPPAGDGIVGEWQRSPDCCTWDGVGCGDDGEITRLSLPGRGLGGTISPSIGNLTALVYLNLSGNDLSGPFPDVLFFLPNVTIVDVSYNCISDELPDMLPPPAADIVHGVLSLQVLDVSSNLLAGQFPSAIWEHTPRLVSLNASNNSFRGTIPSLCVSCPALAVLDLSVNMLTGAISPGFGNCSQLRVLSAGRNNLTGELPGDIFDVKSLQHLHLPSNQIEGRLDHPECIAKLTNLVTLDLSYNLLAGELPESISQITKLEELRLIHNNLTGKLPPALSNWTSLRCIDLRSNRFTGDLTGIDFSGLDNLTIFDVDSNNFTGTIPPSIYSCTAMKALRVSHNLIGGQVAPEISNLKELQFLSLTINSFVNISGMFWNLKGCTSLTALLVSYNFYGEALPDAGWVGDHIKSVRVIVMENCALTGTIPSWLSKLQDLNILNLSGNRLTGPIPSWLGGMSKLYYLDLSGNLLSGEIPPSLKEIRLLTSEQAMAEFNSGHLPLMFSVKPDRRAADRQGRGYYQLSGVAATLNLSDNGITGTISPEVGKLKTLQVLDVSYNNLSGGIPPELSNLTKLQILDLRWNHLTGTIPPSLNELNFLAIFNVAYNDLEGPIPTGGQFDAFPPRSFKGNPKLCGLVISVPCSNKFEARYHTSSKVVGKKVLIAIVLGVSFGLVILIVSLGCLVIAVRRVMSNGAVHDGGRGVGASLFDSMSSELYNDNDSSKDTIFFMSEVAGEAAKAVTFVDVLKATNNFSPANIIGSGGYGLVFLAEMEDGARLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFCIRGRLRLLIYPYMANGSLEDWLHERHAGGGAPQQLDWRARLNIARGASRGVLHIHERCKPHIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTPGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGRRPVETLPPPQGQQWELVRWVMQMRSQGRHAEVLDPRLRGNGDEAQMLNMLDLACLCVDSTPFSRPEIQDVVRWLDNVDTIGRADF >ORGLA06G0213300.1 pep chromosome:AGI1.1:6:21560628:21562046:-1 gene:ORGLA06G0213300 transcript:ORGLA06G0213300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWALGTDCCSWEGINCRGENTVRDISLPSKGLAGSISPSLSNLAKLLHLNLSHNSLSGGLPMDSLLFSSIAVLDVSFNHLDGPLQELQSSNPSLSLPLQMQNFPKSTQLFHVESAQGWTHNVTGAIPNELFSYTSLEHLSFPLQGVLDSYHIVKLGTNFKGEIIPQDETIHGFENLQAYRRYSI >ORGLA06G0213200.1 pep chromosome:AGI1.1:6:21555904:21559134:-1 gene:ORGLA06G0213200 transcript:ORGLA06G0213200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGRVVVVVSGVLVLLLAISICGRAAACAEAERAALLSFLAEASPPAGDAIVADWRGSPDCCRWDGVGCGGAGDGDGAVTRLSLPGRGFNGTISPSIGNLTGLTHLNLSGNSLAGQFPEVLFSLPNVTVVDVSYNCLSGELPSVATGAADRGGLSLEVLDVSSNLLAGQFPSAIWEHTPRLVSLNASNNSFHGSIPSLCVSCPALAVLDLSVNVLSGVISSGFGNCSQLRVLSAGRNNLTGELPGELFDVKPLQHLQLPANKIEGRLDQDSLAKLTNLVTLDLSYNLFTGELPESISKMPKLEELRLANNNLTGTLPSALSNWTSLRFIDLRSNSFVGNLTDVDFSGLPNLTVFDVASNNFTGTMPPSIYSCTAMKALRVSRNVMGGQVSPEIGNLKQLEFFSLTINSFVNISGMFWNLKGCTSLTALLVSYNFYGEALPDAGWVGDHVRSVRVIVMQNCALTGAIPSWLSKLQDLNILNLSGNRLTGPIPSWLGAMPKLYYVDLSGNQLSGVIPPSLMEMRLLTSEQAMAEFNPGHLILMFSLNPDNGAANRQGRGYYQLSGVAATLNFGENGITGTISPEVGKLKTLQVFDVSYNNLSGGIPPELTGLDRLQVLDLRWNRLTGTIPSALNKLNFLAVFNVAHNDLEGPIPTGGQFDAFPPKNFMGNPKLCGRAISVPCGNMIGATRDDDPDKHVGKRVLIAIVLGVCIGLVALVVFLGCVVITVRKVMSNGAVRDGGKGVEVSLFDSMSELYGDCSKDTILFMSEAAGEAAKRLTFVDILKATNNFSQERIIGSGGYGLVFLAELEDGARLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFCIRGRLRLLLYPYMANGSLHDWLHERRAGGAGAAPQLLDWRARLNVARGASRGVLYIHEQCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTPGYIPPEYGQAWVATRRGDVYSFGVVLLELLTGRRPVEAASPPHGQQRELVRWVLLMRLQGRQAEVLDTRLSGGNEAQMLYVLDLACLCVDSTPFSRPAIQEVVSWLDNVDTIGRS >ORGLA06G0213100.1 pep chromosome:AGI1.1:6:21547629:21548735:-1 gene:ORGLA06G0213100 transcript:ORGLA06G0213100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGKDLISQLPDDILVHILSMVRYKEAVRTTVVSRRWKHLHTKLPAVSFTMSVLGLQGSPLSTQSKQRVESMARTLRRRCAGPDHDTVQRLRLSYRKDVPMECRYADEFIALAAASSLVLFLNCPKNLRNNDAGPWSLHLPAATACLSMESCWYSVRPPHVHGPGASALKSLTFKDSFMVLHPGYLQDTAFPSLEELHISGCTLSGSIEITSATMPRLKHLRIVDVSIISLGTEAAITVLADELATLRVSCHDGGKPDPPSSHEMLCVETPFRASFTEYSCFRLRAPKLRVFEWRCCYAKEVRVDAVGRLSDVVIELFAGRLPRCYNEAKRFLQMEDCDKLMKHILQGIMPGRWKYVQRYILIINLI >ORGLA06G0213000.1 pep chromosome:AGI1.1:6:21545535:21546121:-1 gene:ORGLA06G0213000 transcript:ORGLA06G0213000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGDDVGNGDGEAGMRRHRRAGGDSSLPSSRLIVLRSCRQPGNFIECDELRLRCEITEYDM >ORGLA06G0212900.1 pep chromosome:AGI1.1:6:21541369:21544560:1 gene:ORGLA06G0212900 transcript:ORGLA06G0212900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPNLPCSSSTTTTTTKLSVAFFRLLVILLLSFASPTSSCTEQEESSLIGFLEGLLPGHNGSLSTSWVKGIDCCKWEGINCSSDGTVTDVSLASKGLQGRISPSLGNLTGLLHLNLSHNLLNGYLPMELLFSRSIIVLDVSFNRLDGSLPELESPSGGSPLQVLNISSNSFTGQFSSKQWEVMKNIVALNVSNNSFTGQIPPSICINSPSFAILDLCYNQFSGSISSGLGNCSKLREFKAGYNNFSGALPEELFSATSLEHLSLPNNDLQGVLDGSHIVKLVKLTVLDLGSTGLSGNIPDSIGQLSTLEELRLDNNNMSGELPSALGNCTNLRYLSLRNNKFVGDLSKVNFTWLNLRIADFSINNFTGTVPESIFSCSNLIALRLAFNKFHGQLSPRMGTLKSLSFFSISDNHFTNITNALQILRSCKNLTSLLIGTNFKGETIPQDETVDGFENLRVLTIDSCGAMGQIPPWISKLKKLEVLDLSNNMLIGEIPFWIRDMPVLFYLDITNNSLTGDIPVALMNLPMLQSGKNAAQLDPNFLELPVYWTPSRQYRLLNAFPNALNLGNNSFTGVIPPEIGLLKMLDGFNVSFNRLSGEIPQQICNLTNLQLLDLSSNQLTGELPAALTDLHFLSKFNVSNNELEGPVPTGRQFDTFLNSSYSGNPKLCGPMLSNLCDSVPTHASSMKRRNKKAIIALALGVFFGGIAILFLLGRFLISIRRTSSVHQNKSSNNGDIEAASLSSVSEHLHDMIKGTILVMVPQGKGGSNNLKFKDILKATNNFDQQNIIGCGGNGLVYKAELPNGSKLAIKKLNGEMCLMEREFTAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDNGRPLLDWPTRLKIAQGASRGLSYIHNICKPHIVHRDIKSSNILLDREFRACVADFGLARLILPYDTHVTTELIGTLGYIPPEYSQAWVATLRGDIYSFGVVLLELLTGKRPVQVLSKSKELVQWTREMRSHGKDTEVLDPALRGRGHEEQMLKVLDVACKCISHNPCKRPTIQEVVSCLDNVDADLQVQM >ORGLA06G0212800.1 pep chromosome:AGI1.1:6:21535347:21535859:-1 gene:ORGLA06G0212800 transcript:ORGLA06G0212800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAGGRPSQPQPQAQQLSVDFEALSYISSLVEAFQAFDSDNDGLVTAPELRGLLASLGLDKPEHEVRDMLARADADRDGKLSVEELLDVMNAGQLGLGALGALLQSAVPALESAAGPDGVLGADELARLLSVMGTASVEDCMEIIACMDGDGDGAISVEEFRLMAQLL >ORGLA06G0212700.1 pep chromosome:AGI1.1:6:21534043:21534867:1 gene:ORGLA06G0212700 transcript:ORGLA06G0212700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGILRRWKRFFPAFASIHAAIEAAEPGISRKEFRDATDKVVAMLCSATDDEAVAEELRVVLDEMMVEALLTLELVPAMPKMLASTDLAQDVGALRNHPSERVRGLATGIVRAWRASVKDELLKAAAAMEKLSQALEPDEADDHHAKILQPSPPKKTANSSQPSFPKKQSAPVAGGSHVTMAKMEPPREKLPAAVGSFRRESAASCGTDEKAMNAAKRKLREGYQEAEDAKRQRTIKVIEAPKQQQRKRHPIVQERNRSRVASHTSSLRRRF >ORGLA06G0212600.1 pep chromosome:AGI1.1:6:21520666:21525642:-1 gene:ORGLA06G0212600 transcript:ORGLA06G0212600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-leucine resistant (ILR)-like gene 6 [Source:Projected from Arabidopsis thaliana (AT1G44350) TAIR;Acc:AT1G44350] MEHGGHELAVVVLVLLLLVSATSCTFLEEDVILGTVEEAKVARLGGGGGGGSKGANASTRRADNTCAGVGVGGGGGGGGGGGGGGRGRFYVGWKEEIAGMAGRPETAAWLRAVRRRIHERPELAYEEVETSRLVRDELDAMGVGFRHPVARTGVVANIGTGRPPVVALRADMDALPIQEAVEWEHKSKNPGKMHACGHDAHVAMLLGAAKILKAREHHLRGTVRLLFQPAEESGAGAKRMIEGGALEDVEAIFAVHVSHQHPTSVIGSRTGPLLAGCGFFKAVIHGGRRSGDAVLAAASTIISLQSIVSREADPLDSQVVSVAMVNGSDHPAATARAAAAAEEEEFVLGGTFRAFSNASFYQVRRRIEEVITAQARVHGCEAAVDFFENQSFYPPTVNDARMYAHVKAVAGELLGAGSYRDVPPMMGAEDFSFYSQVVPAGFYYIGVRNETLGSVHTGHSPYFMIDEDVLPTGAAFHAAIAERYLANHSPSSSSSSDSDDPDVELEAS >ORGLA06G0212500.1 pep chromosome:AGI1.1:6:21514493:21515248:1 gene:ORGLA06G0212500 transcript:ORGLA06G0212500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVRVAAGLVVALLLAGDASAATLALYNRCAETVWPGIQPSAGKELLARGGFQLAPNRATSIRLPAGWSGRVWGRQGCSFDAAGRGRCATGDCGGALYCNGAGGAPPATLAEITLASTPAAQDFYDVSLVDGYNIPIAMTPSHGSGANCVPAGCISDLNRVCPAGLAVRGGGGDNRVVGCRSACAAYGAPQYCCTGQFGSPQQCKPTAYSRLFKTACPKAYSYAYDDPTSILTCSAGASYIVTFCPHRR >ORGLA06G0212400.1 pep chromosome:AGI1.1:6:21506774:21507471:1 gene:ORGLA06G0212400 transcript:ORGLA06G0212400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSKQPQPQPSPEIRYRGVRKRPSGRYAAEIRDPAKKTPIWLXXXXXXEVAARAYDDAARSLRGPTARTNFPLAAPSAPPPRPPAAAAAAATSSHSSTVESWSGGAPRAAASALARSAAPMEATQEEDCHSYCGSSSSVLCEDGSDDAAASRTPLPFDLNMPPPEELDMAAVADQMGIRYDTLLRL >ORGLA06G0212300.1 pep chromosome:AGI1.1:6:21488912:21504617:-1 gene:ORGLA06G0212300 transcript:ORGLA06G0212300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT5G44750) TAIR;Acc:AT5G44750] MSASSSGGRGAPPPATAASPGQKRPRGDDPASPSNRSESAPAKNPRRAFSSSPFADFGSYMAAKNSKLAAQFEADASTSAAEVTGGVFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRNTVTHIICTHLPNSKMSNLRAFSKGLPVVKPAWVVDSLAENRLLSCVPYQISQHNSSSRKQTKLSSFFSGRHYQGELNDQSNSHELQSSSVQEGSQDHNSGCEKEGSLLKEEASKDSLSSDDHKASMFEEQDSEDFVDEAGNAYETACSERRDNDMDGKLHVAESPDIRSRCSNLCSTSSTGSHLSLDSLDRNATKSSSRTHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNLLGDKSSKGNRDHSGKNNTIIHIDMDCFFVSVVIRNKPELHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVREAKARCPHLMIVPYDFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQKIRNEIFGTTKCSASAGIAGNMLIARLATRSAKPNGQCFISSEKVDGYLNTLSIKALPGIGHTVSDKLKSKEVEYCGQLRNIPKESLHKDFGKKIGDMLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNDNKDAENFLVNLSKEVCLRLEGCGVQGRTITLKLKTRRKGAGEPIKFMGCGDCETVSRSMTIAGATDNPVTLQRIAKQLFSSFCVDVKEVRGVGLKISRLEHADLARGAPQGNMLESWLASPSDKLKKHSSEKACLLKNRDDAATSERRGFGSIRPSGIGGTSRSSEVNPPSDRSTRVGVELPPLSELDLEVLKNLPPEIISEMNDMYKGELHGFLGITSGDKAKESNTKSLVFPAVDQNLVPVLDTKLHGDGKHKDSIHFKKEADIKGPSGDQLSELKQANAPRSIASELVDIPTKSVIQHDFMPNSLSQADVTVLQELPEDVKADLFNALPLHRSGDPTCSTSHVSENKFPQDGRSDDPKQHPQICHLPGNSQKWIEEFRVSHCLILNVIAEQHTDSISSRPLSSVLEPVISYLPLCPNSGTEEWNEAFASLSELLTQYIHQKVESDIEELHKCFRLLKRLSSGSELFLELHDSILPLLQDSVRQHYGGILHL >ORGLA06G0212200.1 pep chromosome:AGI1.1:6:21483737:21486712:1 gene:ORGLA06G0212200 transcript:ORGLA06G0212200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPPLAPFATSSAAAAPSTSTPDVVAELGRILSTRRWNKGRAYKRLAPSVTAAHVADLFRADSTAPEPATALAFFEWLARRDGFRHTADSHAALLHLLSRRRAPAQYERLVVSMLNCSDTAEDMRVSADAIQAIRRTGSARLALSPKCYNFALRSLARFDMTEYMGRVYSQLVQDGLLPDTVTYNTMIKSYCKEGDLTTAHRYFRLLLEGGLEPETFTCNALVLGYCRTGELRKACWLFLMMPLMGCQRNEYSYTILIQGLCEAKCVREALVLFLMMKRDGCSSNVRAFTFLISGLCKSGRVGDARLLFDAMPQNGVVPSVMTYNAMIVGYSKLGRMNDALKIKELMEKNGCHPDDWTYNTLIYGLCDQKTEEAEELLNNAVKEGFTPTVVTFTNLINGYCMAEKFDDALRMKNKMMSSKCKLDLQVFGKLINSLIKKDRLKEAKELLNEISANGLVPNVITYTSIIDGYCKSGKVDIALEVLKMMERDGCQPNAWTYNSLMYGLVKDKKLHKAMALLTKMQKDGIIPNVITYTILLQGQCDEHDFDNALRLFEMMEQNGLKPDEHAYAVLTDALCKAGRAEEAYSFIVRKGVALTKVYYTTLIDGFSKAGNTDFAATLIERMIDEGCTPDSYTYSVLLHALCKQKRLNETLPILDQMSLRGIKCTIFAYTILIDEMLREGKHDHAKRMYNEMTSSGHKPSATTYTVFINSYCKEGRLEDAEDLILKMEREGVAPDVVTYNIFIDGCGHMGYIDRAFSTLKRMVGASCEPNYWTYCLLLKHLLKGNLAYVRSVDTSGMWNLIELDITWQLLERMVKHGLNPTVTTYSSLIAGFCKAGRLEEACLLLDHMCGKGLSPNEDIYTLLIKCCCDTKFFEKALSFVSIMSECGFQPQLESYRLLVVGLCNEGDFEKVKSLFCDLLELGYNHDEVAWKILNDGLLKAGYVDICFQMLSIMEKRYCCISSQTYALVTNKMHEVSSSLVSEVREEAR >ORGLA06G0212100.1 pep chromosome:AGI1.1:6:21480989:21481485:-1 gene:ORGLA06G0212100 transcript:ORGLA06G0212100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVARAIGWADVADALREHLQVSCISIGLLLVAAACPHMAALNFSKRLQATAIAIALPLVGDWKYAWCLHLQGKMKPPLVQRNFMGILEEMTHFL >ORGLA06G0212000.1 pep chromosome:AGI1.1:6:21470848:21479037:-1 gene:ORGLA06G0212000 transcript:ORGLA06G0212000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heavy metal atpase 1 [Source:Projected from Arabidopsis thaliana (AT4G37270) TAIR;Acc:AT4G37270] MQLLTAASASASSAAASPPSAHLLRLSRPPPFPHLRRRCAPHLPSKPLNLAARSPLLLARRSLPFAPRAHGDHHHGHHHHHHGHGHSHHHGPEVHGSGGGAAVMRVAKAIGWADVADALREHLQLCCISLGLLLIAAACPHIPVLNSVRRLQDALIAVAFPLVGVSAALDALVNIADGKINIHVLMALAAFASIFMGNSLEGGLLLAMFNLAHIAEEHFTSKSMIDVRELKENHPEFALLLETCGDQSAQFANLCYTKVPVHDLEVGSHILVRAGEAVPVDGEVYQGSSTVTIEHLTGETKPLERTVGDAIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEHYSRVVVVLSLVVALLGPLLFKWPFFGNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSACQSIAFDKTGTLTTGKLMCKAIEPIHGHSDVTNDFSDQACCTPNCESEALAVAAAMEKGTTHPIGRAVLDHSVGKDLPLVAVESFECLPGRGVVATLSGVKAGNNEDELSKASIGSVEYISSLYRSSGESEQIKEAVKASAFGPEFVQAALTVDKKVTLFHFEDEPRSGVCEVISTLRDKAKLRIMMLTGDHESSALRVAKAVCIDEVHCCLKPEDKLNKVKAVSREGGGGLIMVGDGINDAPALAAATVGIVLAQRASATAVAVADVLLLQDNICGVPFCIAKARQTTSLVKQSVALALSCIVFAALPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNSPTWSWVDDIRQLINSLRKYISSKLQSTSSNYVADAVPL >ORGLA06G0211900.1 pep chromosome:AGI1.1:6:21464487:21465603:-1 gene:ORGLA06G0211900 transcript:ORGLA06G0211900.1 gene_biotype:protein_coding transcript_biotype:protein_coding NMRVIVMFSSISQHQLTHFLRVCSALGSVALLPGHGKALTTSSRTMVMMLQSKGYTPTNFAPGSRWVATKVTGYRCELELTADHFKGYDGTITIPSTSTKFSVEKLKRAEVCSLGRGLLDTTNGNSEKTSD >ORGLA06G0211800.1 pep chromosome:AGI1.1:6:21460819:21462209:1 gene:ORGLA06G0211800 transcript:ORGLA06G0211800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLHDPNSGSGGEKRRPLQLLLPWSRRSPTSRTHQWSSRRSGSSVGWRERPPRRSRRRTAERGPFEHEDGGAEAADELEDDLAVVLPDVXPQLGEEVADLREGEQRGGALEDGGDYGGSGSSGVYSPNTRFKSLISTS >ORGLA06G0211700.1 pep chromosome:AGI1.1:6:21458138:21459201:-1 gene:ORGLA06G0211700 transcript:ORGLA06G0211700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSRASNTNNHPAATANEGMLSFSSAYVDDAAVHGETAVARPRQSPASPPPPAPGWRQPQRTHQAVAAITILSESRTRWSALLPSRSLRSCCSSYASSAVSRLSLPSTTVLPATAQRRRAPWPPVGTAHLLLLPLCSPSQCLSGTETLRWKRCDRLMRALRLSPCWAREAEEKLAAAGASNGCLPVDGRVVAANEKVRRRRRVVVGVADAGCGLHGTAAASRRRGAALLLSRLTR >ORGLA06G0211600.1 pep chromosome:AGI1.1:6:21455698:21456456:-1 gene:ORGLA06G0211600 transcript:ORGLA06G0211600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRQNKRNGKVSKPQAEPKKVTEKQEDLISMLPDDIHLHILSMCPYHDAVRTAAVSRRWQHLHTRLPNVRFRMSVLGNFASLGESSEPRVQSMERMLRQRCHDDGGLHDTIETLHIGYRKDVPFECRYANEFVALANASRLELHVQCKRGLPDEDAGEWSLELPPATTELELGLYWYAVRPPRVRGPGVTSLRWLALDGLTVLRPSDFLSTVVFPSLEELHIVDCTLPASIDITSDTMPRLKLLRITDVT >ORGLA06G0211500.1 pep chromosome:AGI1.1:6:21453071:21453697:-1 gene:ORGLA06G0211500 transcript:ORGLA06G0211500.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAKIAFLLAAALLLGLVSVSQAIQGTATFYTTYNPSACYGNQDNGRMIAAASDGLWAGGKICGTMFTVLFLQFCML >ORGLA06G0211400.1 pep chromosome:AGI1.1:6:21450689:21451746:-1 gene:ORGLA06G0211400 transcript:ORGLA06G0211400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGILLAAALLLGLVSASQAIEGTATFYTVYTPSACYGFQDQGTMIAAASDGLWDGGRACGRMYTVRCVRGTNAVPNPCNGGTVTVKIVDRCPSPGCTSTLDLSREAFAAIGNLDAGRIVIDYNQV >ORGLA06G0211300.1 pep chromosome:AGI1.1:6:21443398:21449556:1 gene:ORGLA06G0211300 transcript:ORGLA06G0211300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELRRDDMEVAEEDISDSQAFRFVEHVLQSVRMDPFQVDLRDKEEYDSLLSIVDSSKKRSYDDEALLVTTLKALSEAVSKIDIMYHHALLNNIFTMSIWYLNSDTRDALLSLITRLAAVADQFLRECLQMLVNNFTPPGSLTAFIGQPRWLARKKEIYSQLHESLRMISDTVPLAPRMLKDIIDRSMPKLFDNKAKMVSFVECMLGLDNDRMGDLIGALLLAKVVDLLTELDVNITWEDILQDEHNKGIFDMELEDLGEDEDSLGQEGTKALFGGNACAEKLDSLMVVFCEHLKSCKEHGRLPQEFDILKTIFRASVLRVHKSKFAQFIMFYACSLDPEICGLEFALFLSDIFIKKEEDSISRMSAVSYVGSYLSRARFISADTVVGILKRLVDWCVDYCDLQNNIRITTKPINHQIFYASCQAVMYILCFRLRSIMDYPNLKAQLFNMPFGYILTHPLEPLKVCLPSIVDEFLRQAKAARLFNASVHSEFEDALESDLSKTFGGMNRLDMFFPFDPYLLKESDRYMRPNFEYWSMVKTTYNNYNSDVDDELVDLDAPEMNVGSLDDHVEIDLNSDDDLEYSMNKMSITPNRSFFHQIMANSDTGLTMPARIRPSVSPPS >ORGLA06G0211200.1 pep chromosome:AGI1.1:6:21438663:21441711:1 gene:ORGLA06G0211200 transcript:ORGLA06G0211200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:I1Q4P9] MMKSLLPQSQLRRSAAAASAARSSGGGAGSGGADGAGSDGGAGGRAPATSTFWFLLHALCCLVSLFLGFRFSRLLFFLLFSTTALYSSTSSSSSSAVLRATTTTTTTTTTTTTTTNTFTLSFQANPNPPPSNLSNHTALDAAGAAGHTQSHVVVGRHGIRIRPWPHPDPVEVMRAHRIMERVQEEQRRWYGVKEPRHVLVVTPTYSRAFQALHLTGLLHSLRNVPYPLTWIVVEAGGTTNATASLLARSDLTIVHIPFPDRMPHDWADRHATENRMRLHALRVIRERKMDGVIVFADDSNVHSLELFDEVQKVQWMGAVSVGILAHTGTADQPRLSEEDKQNMPLPVQGPACNSSGHLAGWHTFNSLPFAGKTATVVGEAAPVLPRGLEWAGFVLNSRILWKEAEGKPDWVKDLDAVGENGEEIENPLILLNDPSSVEPLGNCGKKILLWWLRVEARADSKFPQGWVIEPPLDIVVPAKRTPWPETTAELSAELVDSKQDQEGRRLSRTDRSSRSRSTTKRKEN >ORGLA06G0211100.1 pep chromosome:AGI1.1:6:21432575:21437221:1 gene:ORGLA06G0211100 transcript:ORGLA06G0211100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQHPASGYSKEHQKTYQEWFAFADSDGDGRITGPDAIKFFAMSKLPRADLKQVWAIADSKRQGYLGFSEFVTAMQLVSLAQAGDEITQDSLKRDDLGSLNPPTMDGLDALLVKSKHHAKRVDPDIDGFPQAQSPATSQWFSSKSSKKIPLNAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLRTSYPGAHIGPEPTTDRFVVVMSGPDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPVNESAVGPIGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAHIIGHLKKEMPAMMGKAKAQQRLIDNLENEFAKVQREQHLPAGDFPYVEHFRDVLGGYSIDKFEKIKPKMVQAVDDMLGYDIPELLKNFRNPYE >ORGLA06G0211000.1 pep chromosome:AGI1.1:6:21425868:21430445:-1 gene:ORGLA06G0211000 transcript:ORGLA06G0211000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT3G11830) TAIR;Acc:AT3G11830] MASMMQPQIILLKEGTDTSQGRAQVVSNINACTAVADTVRTTLGPRGMDKLIHDDKGGTTISNDGATIMRLLDIIHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLKEAKPYIEDGVHPHSLIRSYRTAGHLAIEKVKDLATSIEGKSLEEKKELLAKCAATTLSSKLIGGEKEFFASMVVDAVLAISNDDRLNLLGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLSPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVAEEDLQRVAAATGGTVQTSVNNVIDEVLGTCEVFEERQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEISKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHASGEGANFGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAASAMAGRGGGAMRGRGGRGMRRR >ORGLA06G0210900.1 pep chromosome:AGI1.1:6:21423195:21424275:1 gene:ORGLA06G0210900 transcript:ORGLA06G0210900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSGPKLLVVHPSSNKPLGGAASPAMAALGSRRRMWVVLFLAGFACVSLGTMLCAARDHPPPPVAARRLAAAEAQAATLAVSARGGGAGGGGGGLPGYVFDALVQYASAGGNSTASMPGGDVRAIAAVVKRRAPCNLLVFGLGGETPLWRALNHGGRTVFLDENQYYVSHLEGRHPGLEAYDVVYTTTVREFPDLLDAARAARSAECRPVQNLLYSDCRLAINDLPNQLYDVAWDIILVDGPRGYTAASPGRMSAIFTAGVMARSRAEKGAETDVLVHDYEREVERACSREFLCEENRVEETSTRSLAHFVVPGGRDLRRETFCAGGGGSGAST >ORGLA06G0210800.1 pep chromosome:AGI1.1:6:21413869:21417986:1 gene:ORGLA06G0210800 transcript:ORGLA06G0210800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G42240) TAIR;Acc:AT2G42240] MAASYYNNPPPPHSSYAAPPPPPPPPGTSPYASYRHHAYPPHPPPPPAYVGAYYDHAAEPLPPRDELRTLFIAGLPGDAKAREVYNLFRDFPGYVSSHLRTSGKSSQAYAFAVFADQPSALAAMSATNGRIFDLENNCTLHVDLAKSNSRSKRSRTDDVPSYSSEKKARNPRGFPDSGAGSNIHMSGMGNSSHSLNGYPSAQSYMNFEPAAFSKDPSAFAPQNNPPCPTLFVANLGPTCSEQELIDVFSSCAGFIKVKMQNKFGAPVAFVDFKDMDSSTEAINRLQGVILYSSTGEGMRLEYAKSRMGLRKQDKRQ >ORGLA06G0210700.1 pep chromosome:AGI1.1:6:21410664:21411151:-1 gene:ORGLA06G0210700 transcript:ORGLA06G0210700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAGGGAAGESRESACRFSSEYNERGVLSEMSRPAPHLQCMCSGECLWILDLRPPQRLGSSVKLHLEESILHQSYIYTYLLRQTQACFPYIH >ORGLA06G0210600.1 pep chromosome:AGI1.1:6:21404895:21408785:-1 gene:ORGLA06G0210600 transcript:ORGLA06G0210600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14430) TAIR;Acc:AT5G14430] MTKPPQSRGGGGGGGGALGRRGFAALLAAAVIALALLCLFYGAAFAPTLRSRRLPLQRRFEAVPADLALSSLPVCDARYSELIPCLDRGLHNQLRLRLNLSLMEHYERHCPPAHRRLNCLIPPPAGYRVPIRWPRSRDEVWKANIPHTHLASEKSDQRWMVVNGDKINFPGGGTHFHTGADKYIVHLAQMLNFPNGKLNNGGNIRNVLDVGCGVASFGAYLLPLDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTRRLPYPSHSFELAHCSRCRIDWLQRDGILLLEVDRVLRPGGYFVYSSPEAYAMDPINRNIWRKMSDLARRMCWQIASKEDQTVIWIKPLTNECYMKREPGTLPNMCDRDDDPDAAWNVPMKACVTPYSERVHKVKGSNLLPWPQRLTAPPPRLEELGISSNNFSDDNEIWHFRVIQYWKLMKSEIQKDSFRNVMDMNANLGGFAASLRKKDVWVMNVVPSTESGKLKIIYDRGLLGTIHNWCESFSTYPRTYDLVHAWLLFSEIEKQGCSVEDLLIEMDRIMRPQGYAIIRDKVAVINHIKKLLPAVRWDDWSSDVKPKKDALWSGDERVLIVRKKLWNQTL >ORGLA06G0210500.1 pep chromosome:AGI1.1:6:21399568:21403686:-1 gene:ORGLA06G0210500 transcript:ORGLA06G0210500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHATAAAAATRASSSPAKRDAESSASSSPFVASSSSPRGGGGGDGKDGAPRSSAPLHHQKYHLPSPLRSLLALEDPRSPTASASYRILVAVVACLFVAALVSAPSVWSRINAPYLCRKDGIRLHCPRVNERESLWENPHAAAASWKPCAERRSDEISDLVPENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDYFINYLKDDVRIVRDIPEWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKDKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPEIEEMAEKLATRMRNRTGNVNPYMALHLRFEKGMVGLSFCDFAGTREEKAMMADYRQKQWPRRFKNGSHLWSLALEKRKEGRCPLEPGEIGIILRAMGYTKETQIYVASGQVYGGSNRMAPLRNMFPNLVTKEDLASKEEIEHFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGFRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPESTFPHYDLWENPLTPCMCRA >ORGLA06G0210400.1 pep chromosome:AGI1.1:6:21395908:21398077:1 gene:ORGLA06G0210400 transcript:ORGLA06G0210400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSCPPRDDNTTSSPRDDDAASPRAPLSSLSLHTFFLLAMPPPSLPFPSLAYQFVLVLWPNLANPNIEEEAPAISVVDYELTDDDESGDEADEPTKARDGEAPAARGELPLVPAPFVPEGEFLGPARFATAGYAAGFMRVAVVEGDGGGGGQEIVVLYRYTRYSGTWSGRKGVEVSRRTKLNRLRFVVSPAAGMASSLAWAGSSLAPLIYPYFFRRELLELWSSLIMAAPASIVPPGATRVEVLVDVGILRPFDKRPDRMEYMRRELEAEAAAAWSWPGHHVGLDLNLPEPVLCDRGATAGEVLSEEEGDGAPPPAKKKRRRAVAGVAVEECPVCFFQLETDLVAWPGCSVRHVFHGECLEFTLERSDKC >ORGLA06G0210300.1 pep chromosome:AGI1.1:6:21393955:21395082:1 gene:ORGLA06G0210300 transcript:ORGLA06G0210300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENACEAGLPLSVAVSVPPVMVDGETVPKEVEECEDEEEEEEYAGDAPPPWKVMGSDDEGETEAGEEEEIAEADGEEEAADVEEVEYEFYDSDGSEDEGDGEEVDPAVASAAQFVPEGQFLGPAQFAAYGCAAGFMRVAAVEADPADGQEILVLYRYTLLKRAWSDPAGVELSMWAKVSKIHRLRFIVPASGVPASSLPFAGLSLSPLIYHDDYVEELETLWSKLAAQVRVPPVATRVQVIVDVGILRAGDNTPERREYMRAELEAKKEMPWPGKLLGMELHVPEPVVAAAASCKRDSSEEVFDDAPAPPAKRRKVFDAGEECPVCLDELEDGVVAWPGCSVAHVFHGQCLETTLKGSQMCPICRRDLGLKTLQE >ORGLA06G0210200.1 pep chromosome:AGI1.1:6:21392260:21393556:1 gene:ORGLA06G0210200 transcript:ORGLA06G0210200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRPSWWVLLGDLGAGKTSIVVRFAKGLYYDCQESTIGAAFFSQVLIMDEATVKLDIWDTAGQERYHSLAPMYYRGAAAAVVVYDISSTDSYIRARKWVDELQRQGNPHLVMALVGNKVDLEEKRQVGTQEAMEYAERNGLFFIETSAKTSQNVTELFYELGWSKCDLTALPGWFSTTAGAAATTAAAGHGGSVAPADAPPPTPEIRHP >ORGLA06G0210100.1 pep chromosome:AGI1.1:6:21385266:21388747:-1 gene:ORGLA06G0210100 transcript:ORGLA06G0210100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIGSFACWVKLGGREKKKKKKKLGGGEEWGKMFDSLLNSKFYNKCKHAFKCIRTRLALIRRKKQAMIRFMKKDIADLLTNGLDTHAFGRMDGLIIEMNHASCYDMIEQFCEYIGKQLNSLQKQRDCPQETREAVSTLIFAAARFPDLPELCDLRHIFTERYGNFLESFVSLEFVQKLDNKMFTNEEKIQAMQSVSEELLVDFDTKALKIKLWATPETKHDLPAKDSKKQVELAVPLSSKKKGDDAAPSGRKSEAATPGHKKKLEVSLKQQKDVHPVADGIDRLRENTRRQHADKSDGKGHVEKPVSNSEMKRRNIQKEVQKANKKDGRPCEKELMEAVELDLNGLPKKEFGSLKVPEAESKKTFALNVKPKKDNDLEKENESNLGHHHRSHIPCAADHADSGLRTLGLDKQGLQSVNPLNGNTKNRMPPYSKLDGATGKKCTEKEENTGCLNARPQQLADKGNPVQDRQPVPERAAYVQPPYIKPKLNLQTVHDDPAERAASDYSKRAFPEQTDHLSDKDPLRPVSVRRKYAKPPAPAAVYDEAPANEKVSSRTPSSHRRHTSRQDAVDDGSARRDGSRQPHGGKGMDDVNGENVQRTPSSRPRHTGRRNGALYTEDYDGFVQRHKSEEDEAAIDFGNLLPRTGNGHRRHKSRNTDARSGVDEEERMMDKLLRHYSKKGLDAEINPAPTNKAEEQSEQKGSMHPPGRAISLPGESVCRDEDVKVPARSTSLQPDCPKTVHVHPKMPDFDELAARVSALRKA >ORGLA06G0210000.1 pep chromosome:AGI1.1:6:21383996:21384303:1 gene:ORGLA06G0210000 transcript:ORGLA06G0210000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPLLLRPVVRAAASVARRPASAVATVLHRAGALPRNRGLERLVRDDMLVGGDDNFIAHFVVGFMRCLC >ORGLA06G0209900.1 pep chromosome:AGI1.1:6:21381225:21383041:-1 gene:ORGLA06G0209900 transcript:ORGLA06G0209900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQGGKAKPLKAPKAEKKEYDESDLAYLQKKKDEEKALKELKAKAGQKGALGGSGLKKSGKK >ORGLA06G0209800.1 pep chromosome:AGI1.1:6:21370420:21370698:-1 gene:ORGLA06G0209800 transcript:ORGLA06G0209800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3511) [Source:Projected from Arabidopsis thaliana (AT4G09890) TAIR;Acc:AT4G09890] MEKCRSVPHEHSAAYYGCGGGYDYEDVSGGGGAAAKSYSFNGPSARDDPEAKRRRRVAAYNVFATQGRLKSTVRSSFKWIKSKFSDIRYGGL >ORGLA06G0209700.1 pep chromosome:AGI1.1:6:21362047:21368457:1 gene:ORGLA06G0209700 transcript:ORGLA06G0209700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zincin-like metalloproteases family protein [Source:Projected from Arabidopsis thaliana (AT5G51540) TAIR;Acc:AT5G51540] MNQLSASAMLSRAASLRRAAAAAFSTAAARPETGLYGFDVLRTAKGFRRFVDDAIERSDELVAYIGQLPPSAEIVRAMDEISNTVCSVIDSAELCRNTHPDKEFMDEADKASMRIYEHLQYLNTNTTLYNAILKAESEGVMLTEEARRAATTLRVDFEKGGIHLPKDKLEHVNHLNLEIAQLGRKFSENVMNKPGFVDIYPASRIPKNMQRHFKSIHRIKPWGDEEQRNQMDTTKQKGLRIVTDSGTLSTALRWVSDEEIRKQVYLVGNSEPRENITVLGKLINARDELAKTMGCKSYGDFAIRPNMAASVDVVMSFLKDLSGAVRHKAGEEFKRIQDFKRTVCNEKSAKLEPWDEDYFIGMMKSAVHNLDVSVVAAYFPLSQCLKGLNVLVESLFGATFHQIPMGDGESWHPDVMKLSLHHPDEGNLGFMYLDLYSRKGKYPGCAHFAIRGGRRLQDSNYQLPIVALVCNFSSSSKIMARLNHWDVETLFHEFGHALHSLLSRTEYQHFSGTRVALDVAETPSNLFEFYAWDYRVLRKFAVDETTGDPIPEKLVKALNASRNMFPAMELQRQIFYSIMDLTLFGEQGSKPMDTISTVADLRRKHTSWKCVEGTHWHTRFTHLINYGAGYYSYLYARCFATTIWQEVCQGDPLSRSTGSALRDKFLKHGGAKDPSALLKDFVGDSIIINSGGGIIPDISSLCKEVGL >ORGLA06G0209600.1 pep chromosome:AGI1.1:6:21358522:21361051:1 gene:ORGLA06G0209600 transcript:ORGLA06G0209600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62790) TAIR;Acc:AT1G62790] MATRMAAAVAAMVAAVAISLAAGGAAQSSPSTPSCASKLVPCAQYMNGTDTPPAACCDPLKEAVKNELKCLCDLYASPEIFKAFNINISDALRLSTRCGISQTTSMCPGNSPTNSPPASPSGGKNAGHRTMSVGLPGLMSLFLALWSVLA >ORGLA06G0209500.1 pep chromosome:AGI1.1:6:21344691:21347477:1 gene:ORGLA06G0209500 transcript:ORGLA06G0209500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1Q4N2] MITFVDSAAKERERESDKCLDPQLWHACAGGMVQMPPVSSKVYYFPQGHAEHAQGHGPVEFPGGRVPALVLCRVAGVRFMADPDTDEVFAKIRLVPVRANEQGYAGDADDGIGATAAAAAQEEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGVGGPEFLPPPPPPTPAAGGNYGGFSMFLRGDDDGNKMAAAARGKVRARVRPEEVVEAANLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMRTQWFAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVSWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLCVPLYPELPIDGQFPTPMFHGNPLARGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSPHGLHQLDHGMQPRIAAGLIIGHPAARDDISCLLTIGSPQNNKKSDGKKAPAQLMLFGKPILTEQQISLGDAASVAVKKSSSDGNAENTVNKSNSDVSSPRSNQNGTTDNLSCGGVPLCQDNKVLDVGLETGHCKVFMQSEDVGRTLDLSVVGSYEELYRRLADMFGIEKAELMSHVFYRDAAGALKHTGDEPFSEFTKTARRLNILTDTSGDNLAR >ORGLA06G0209400.1 pep chromosome:AGI1.1:6:21323919:21324113:1 gene:ORGLA06G0209400 transcript:ORGLA06G0209400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKANAICRCCSATTAETQAYGLQRQWICCREPDAQAFAMVDLMKEPTILCLPALRWFEKLCH >ORGLA06G0209300.1 pep chromosome:AGI1.1:6:21316166:21321460:1 gene:ORGLA06G0209300 transcript:ORGLA06G0209300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT5G04220) TAIR;Acc:AT5G04220] MGLVGGLLGFGVGLPLGIAVAYLVHLRFFAPRRRLQDPIIRPLRDLDSETLQTTIPDIPLWVKCPDYERVDWINKFIFDMWPFLDKAICNTIRSVIRPTFDQYVGQYGIKSIEFGHLTLGALPPTFQGIKVYEMREKELVIEPVIRWASIANVIVKVKVHSFQVSAQLLDLHIMLTPRVTLKPLVPSFPCFANLCVSLMEKPHIDFGFKLLGGDVMAIPGLHRFVREKISKQIANLYHWPKLIQIPILDEASGATKKPVGILHVKVIRAMNLLKMDLLGKSDPYVKLRLSGEKLPSKKTSIKMSNLNPEWNEHFRFIVKDPETQILELRMFDWEKVKMHDKLGMQVVPLRLLTPYESKLFTLDLLKSMDPNDPHNKKNRGKLVVELTFDPFRDDSNSTILMSDGEGNVSVKRDVPPSGGLLLVSVENAEDVEGKRHTNPYAVVHFRGERKETKIIKKTRDPRWNEEFQFMVDEAPVDDKIHIEVVSKRRGLRLPFRNKESLGHVDINLVDVVNNGRINEKYHLINSRNGMVHVEMKWSTV >ORGLA06G0209200.1 pep chromosome:AGI1.1:6:21313304:21315270:-1 gene:ORGLA06G0209200 transcript:ORGLA06G0209200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRQREEEPATASQSGDDDSNSDEWKDFLNLDGGEEAAEVDDDCNLAPPEKDAEAAKASQSGDDDSNTDQWKGYLNLEDGEEAAEVDDDRNLDQPPEKDAEAAKVDVDRKLDQLPEKDAEAAKVDGDRITALQEKARARILDFLPIKSVILMGSLCKRWREMYGLYWRDVAVDVELPTDGDALSKLEERAGQQEPKRRLRYFFLLVVERKNVQREYFNSCLEYAGKCSPEVIHISDRGGAGRKFKMHLTSKQLVRLSLIGVALGHFQGKFCEGVSFPTLEEIHIKNSTINKMDDLKNLVGACPILRVLDLRGCKTITQIDVDTAGEHLMSLTVMDCERVRRLTAGKHLRSFRYSGNFLTSLSLPDNDSLADLFIGFPRSQSTTPGPENSFKRLPDLSNLTFLTLCSTSLRAVTVAGNTIQTNLRSLRELQLLMFKLEPINLSDVRRFLNTCGYYPQLTKLFVQLPERDCTYTENTSSENVEGEQQDGFEKLDVVKMTNFKYDWNEIRLLQFLFKKAKLLQKLILVRPIPVPVDRPFRLQVPANVQLTDCADDSTVKSFHSELLTSKTN >ORGLA06G0209100.1 pep chromosome:AGI1.1:6:21309077:21311116:1 gene:ORGLA06G0209100 transcript:ORGLA06G0209100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRWAALLLGVAVVLAVAAAGARAQDYNNGGGGDGEDEEEEEKPSFKAQEACNGAFLTYTFTEREKEYPRTKNATAQAFAFKATATVLNTMTEDLKAWQMFVGFQHKEILVTVGGAVLLDGTDLPANVSGGVTFAGYPMANLLNSIETAGDLTQIQAQIDITGTQFGVKPPTAPMPRTIKLSNPGFRCPKPTHKQSVMYVCCVKDPKFKAKKVNTTTRYLPRQKADLTIAYDVLQAFGNNYMVQVTIDNWSPIGRLDNWNLTWEWKRGEFIYKMRGAYTLNKEGPACVYSPAAGYYKDFDFTPAYSCEKRPIVVDLPPDREKDKDVGNIPFCCKNGTLLPPTMDESKSRAVFQMQVFKLPPDLNRTALYPPQNWKIIGKLNPQYACRQPVRVSPVVFPDQTGLMSSTPAVASWQVACNITRPKRRAAKCCVSFSAYYDDSIVPCNTCACGCGGGNDTATCDADARATPLPPEALLIPFDNRTAKARAWAKIKHRRVPNPMPCGDNCGLSVNWHIMNNYKSGWAARITIFNWQDYTFKDWFAAVTMRDHYSGYENVYSFNGTKMGAPFNNSIFMQGLPGLTYLEPITDGRTPEDPRVPGKQQSVISFSRKDAPNVNIAKGEGFPKRLYFDGEECALPDTIPKPSSAHRRAAAAASLGQIVMAVVLVMVVAVVDSLCL >ORGLA06G0209000.1 pep chromosome:AGI1.1:6:21305466:21307260:-1 gene:ORGLA06G0209000 transcript:ORGLA06G0209000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPLEALPDDGLPAAAAAEEDDVMVVDRVEALPDDGLLRRWPLWRQRPRFLSLESAIRTTVLSKEWLRLWKEDWGQKSRRYEIRARCAPSVEGLLKILEEERRLERLSVVVHTIMKSSHLREIIERSADRRVEQLHVELTNPNVVDKVRFHLPILSRVIALQHLSLRQIVVSKMRFTPQQRFNELSEICFHFVSIESYMLRNVITRCPNLRVLDLRSCFDLDAVAISPGGPKLSSLTIAGCKHLNKVNVVGVTSLRSVFYSGRFLSSFYLPRTSRRSSFTDLYICYDGPIIPMVFGKWAQDALPKLSNLSNLTICSNSLKIVPSLPDEERTTQLKWLGGFRRLKELQLLLFDIKTINVFDIYAFLQSFHFPNLTKLFLQLPRIREDVQEAVREDVLQSMSEGVPEYALDNLKVVRMMNFNRTRIEMHLVRFFLRKARNINSLQLVSLFHNAIPLGLAVQQGDIIQGALASGVIQESNSGAGTTQPCHSEVFIDF >ORGLA06G0208900.1 pep chromosome:AGI1.1:6:21296082:21297978:-1 gene:ORGLA06G0208900 transcript:ORGLA06G0208900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGAGAGAPPPPPPSPPSVYPFLPPATFIPPPPPAATAEPYAPPSLIISPPPSPSSVLHYWGLAVAVVLVACTISIWMGYKLAKFERRRRRRKKKEEKEHAAAGLAAAAAAAPCSCNCACCGGGSCAARVHIQQQNIFSGAAAGAGAGDEYDDEDRCCDVGLAMVHVATTVGGAGAEEEEVVALLSSSSSAPGKKVGTAAAEARGLPRLVRFAELPDYLKDNEFILGHYRCEWSVCDALHSAFAWHKETLRLDVGRRRHCYIFVSGYFQRVLNLT >ORGLA06G0208800.1 pep chromosome:AGI1.1:6:21291072:21293660:1 gene:ORGLA06G0208800 transcript:ORGLA06G0208800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPGLLLICLLMILPVLSTNRSERGGAELVHRSNWVQPLNPAAVDSEAVHMVGYITQPKEDGYYGFIVTMDVYGFNLSPGQLNSYAGVWVLPGNERPVFYLARTMSAAGAPTTGVDVYCPGFQPKKDASTRPGDIIPSISELNGTRQYIALKVFKVS >ORGLA06G0208700.1 pep chromosome:AGI1.1:6:21289138:21290013:1 gene:ORGLA06G0208700 transcript:ORGLA06G0208700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGDGSTSDASVERVVPNSSVMELLLLTKANYHQWAFVMRVSLEALELWDAVEAVTKDRTKDRRALATILHAVPPKMKVGLAVKTSAKEAWDSVKKMRAGDDRVKSASVQRFMKEFENMMFRDGETVGDFAMRINGPTASLRDLGEEMEDSHVVKVLRVVPKRLKQVAVAIEMLEDMDDMSVEELVGRLQVAVDADVEDQTEVHGGQLLLAEEQWEASRRQRGSKQHTASGVRHDNGKKGDDRGGDCEDDDDDGSSTSLGLDRQDPVECAPLDRIPSNALHSIAWLVKLS >ORGLA06G0208600.1 pep chromosome:AGI1.1:6:21283012:21283657:1 gene:ORGLA06G0208600 transcript:ORGLA06G0208600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNIPGFQLESGASIQPGDFIPGVSRPNGARQYMALKVFKDSASGDWLVYYGFNSDPELIGRLPKSIFSGLAYKAIALWFSGMAINNATFQPTPALPPVGSGYMAGHGSNMAASMSNIQLIDEQGQALSTAKHFIGFSSNPKLYSYTAIVDDQFFYGGPGRTTSSDATSSTTAIYSLLALFISLLL >ORGLA06G0208500.1 pep chromosome:AGI1.1:6:21273455:21277424:-1 gene:ORGLA06G0208500 transcript:ORGLA06G0208500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFFFASRAAARFLGEARIIHPGVSTAALLVAAASGGGLVAYADSAGENASSETSQEAPRKKKVVVLGTGWAGTSFLKDLDCSKYEVKVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRKMLEKKRKDVAFYEAECFKIDASKKAVHCRSAVGTNFDGNGDFMVDYDYLVVALGATVNTFNTPGVMENCYFLKEVEDAQKIRRNVIDCFEKASLPNISEEEKRKILHFVIIGGGPTGVEFAAEMHDFLVEDLVKLYPAIQDFVKITIIQSGEHILNMFDQRIATFAEMKFQRDGIEVNTGFRVVKVSDDLITMKSKSLGEVSVPYGMAVWSAGIGTRPVIMDFMQQIGQTNRRVLATNEWLRVHECDNIYAIGDCASITQRKIMDDISTVFKMADKDNSGTLTLKEINDVLEDICIRYPQVELYMKSMHMLDIRDLIKDAIGDSHKESMVVNIEEFKKALSHVDSQVKSIPATAQVAAQQGHYLAECFNKMDQCKEHPEGPLRMTGTGSGRHNFRPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSVYASKQVSWRTRMLVVSDWTRRFIFGRDSSRI >ORGLA06G0208400.1 pep chromosome:AGI1.1:6:21269207:21269668:-1 gene:ORGLA06G0208400 transcript:ORGLA06G0208400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVCVCIAVAAEMGEAAEEGAVDGSCHGEAAAEALEVLRSMAMASSSLTCSIPQFPAKWQSIKDKLRQLCSGLDSLCGSVGFGVDVGGDEERHGVLVQLVASASATVRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA06G0208300.1 pep chromosome:AGI1.1:6:21265153:21266448:1 gene:ORGLA06G0208300 transcript:ORGLA06G0208300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPLIPLVHVWNNAAFDDSSCSRSAWLPQSPAVAAVRKGDKENHRPEVVDVAAGCDVEAEIGHIEAEILRLSSRLHHLRVSKQPEPNRDDAPMGEMVAKVRPRPRGLSLGPLDVISIVNREKHPLRTKQPPATRGRGLSLGPMEIAAANPRVPAAAQHQQQQRAGTARILKPIKEPPVQRRRGVSLGPLEIHHGVGSKAPAAARAKPFTTKLNAIREETRPSKQFAVPAKPWPSSNTRQTLDSRQGTAASRAKARSPSPRPRRQSNGKATDTRGGNKVVDELKPKGASSSQSGSAAAAATAKRMAGSSKMRVIPSRYSLTPGASLGSSGAQERRRKQSLPGSSGDANQNEEIRAKVIEPSNDPLSPQTISKVAEMLPKIRTMPPPDESPRDSGCAKRVAELVGKRSFFTAAAEDGRALDVEAPEAVAEA >ORGLA06G0208200.1 pep chromosome:AGI1.1:6:21262894:21263160:1 gene:ORGLA06G0208200 transcript:ORGLA06G0208200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGRECKRESAFRAASLLRERDAAKGEQQQRQPSVDGRGRQVAEAEEVTRARARAWEAEVRKQRHATEETEIMKTEKIMHLLLWGPN >ORGLA06G0208100.1 pep chromosome:AGI1.1:6:21257834:21258145:-1 gene:ORGLA06G0208100 transcript:ORGLA06G0208100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSKKASSFVVTASMSAVEALKDQAGLCRWDYALRSLYQRAAAAKQVTGRAVPVSLSSQTGGAAASSSPAAACGRAARSKRSEEEKMQKAYHLVCWGPN >ORGLA06G0208000.1 pep chromosome:AGI1.1:6:21255411:21256219:1 gene:ORGLA06G0208000 transcript:ORGLA06G0208000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSAARALPAPRRRSRGTSPGEADIRRRRGSVMLRVHRADLGVLRRRNPVLGCILVGGGGRRILRLRAYSSASARTWRKPEEGWMKLNFDGSSKHSTGIASIGGVYRDHDGAFLLGYAERIGTATSSVAELAALRRGLELAVRNGWRRVWAEGDSKAVVDVVCDRADVQSEEDLRLCREIAALLPQLDDMAVSHVRRGGNKVAHGFAELGHRAARPRVWRAAPPDEVLWFLRRDADRG >ORGLA06G0207900.1 pep chromosome:AGI1.1:6:21249947:21250775:-1 gene:ORGLA06G0207900 transcript:ORGLA06G0207900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGSIAGGFEIGQGLWTKVKQMTAFALGQLCDDLDKVHVILIQADTLSMIHQGEGWYPTMEILDCPGGSGYADRSNHHPKERPHLKDLMQAHLKDLMQSPRSCILLGDVVP >ORGLA06G0207800.1 pep chromosome:AGI1.1:6:21246470:21247212:-1 gene:ORGLA06G0207800 transcript:ORGLA06G0207800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKVFPNVAYHCKNEMXILNMXTECCXSWLGGIKARSCKGSASSRMRSTRPTPMGWSSTTARGVDTILKQFNVELINSARDHKRVLSSKAK >ORGLA06G0207700.1 pep chromosome:AGI1.1:6:21243003:21243894:-1 gene:ORGLA06G0207700 transcript:ORGLA06G0207700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENTNTSTAHSMALPIPPARPPPLATVARPHPPQDAVQARDGVRRTDPKTPGTARDAAKEARLDPCPNAAFAGTWPGWVAGFDVQCVYSMVCWMMTVCLNFEEPSPSLVL >ORGLA06G0207600.1 pep chromosome:AGI1.1:6:21236775:21237547:-1 gene:ORGLA06G0207600 transcript:ORGLA06G0207600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1Q4L3] MAMAAESIDAELRLGLPGSGGGDGAAAKKRRSASSTVKSEASGTACCGCAGARDVDDGASPASKVQVVGWPPVGSYRRSTFQSSSSSTAAAAKGKGGGETDQGRQNKGGGLYVKVSMDGAPYLRKVDLRMYGGYRELRDALDALFGCFSADASASAAHFAVAYEDKDGDLMLAGDVPWDMFISSCKKLWIMRGSEAR >ORGLA06G0207500.1 pep chromosome:AGI1.1:6:21229937:21233996:1 gene:ORGLA06G0207500 transcript:ORGLA06G0207500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRAAAVRRPKSSSASSAGAAAERKRKRAAAAKTVSLKNQIRSTERLLRKDLPNDIRVAQEKKLEELKRQQELQNQLAIQRTVQLRDRKIKFFERRKIERMIRRLEKQQRSNADDASNKLSKLKEDLEYVRFFPKNEKYVSLFSGGNTPDMLEKRNKWRKQIKENLMAAAENGKDLEETASDDDTVDVSDDDFFMSGSSSDEEADDEWTAKVPKNQLPVLQVRQRLACQAMKRISVREMLEFLCHHLVHXHQIELDQGXNTCYPAQAILQIAQVVALSKIGEQQISLEIITATXVQILMLINHVAKGDIGRKRSCDTYVKCVLCVKYIISEF >ORGLA06G0207400.1 pep chromosome:AGI1.1:6:21224144:21226045:-1 gene:ORGLA06G0207400 transcript:ORGLA06G0207400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:I1Q4L1] MIDQYKHQQLQIGLVSPQQIKAWANKTLPNGEVVGEVTRPSTFHYKTDKPEKDGLFCERIFGPIKSRICACGNSRASGAENEDERFCQKCGVEFVDSRIRRYQMGYIKLACPVTHVWYLKGLPSYIANLLDKPLKKLEGLVYGDFSFARPSAKKPTFLRLRGLFEDEISSCNHSISPFFSTPGFTTFRNREIATGAGAIREQLADLDLRIILENSSVEWKELEDEGYSGDEWEDRKRRIRKVFLIRRMQLAKHFIQTNVEPEWMVLCLLPVLPPELRPIVYRSGDKVVTSDINELYKRVIRRNNNLAYLLKRSELAPADLVMCQEKLVQEAVDTLLDSGSRGQPTRDGHNKVYKSLSDVIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHQCGLPLEIAIKLFQLFVIRDLITKRATSNVRIAKRKIWEKEPIVWEILQEVMRGHPVLLNRAPTLHRLGIQAFQPTLVEGRTICLHPLVCKGFNADFDGDQMAVHLPLSLEAQAEARLLMFSHMNLLSPAIGDPICVPTQDMLIGLYVLTIGNRRGICANRYNSCGNYPNQKVNYNNNNPKYTKDKESLFSSSYDALGAYRQKQICLDSPLWLRWKLDQRVIGLREVPIEVQYESLGDLS >ORGLA06G0207300.1 pep chromosome:AGI1.1:6:21222922:21223134:-1 gene:ORGLA06G0207300 transcript:ORGLA06G0207300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSIYVLFPLIGWGINEFAPFIYPYGWVLIFSDILLVCFGERLKQFLTGIPWKNLRVQNFAIFLKRIC >ORGLA06G0207200.1 pep chromosome:AGI1.1:6:21222428:21222697:-1 gene:ORGLA06G0207200 transcript:ORGLA06G0207200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGYGKSNMPHPNRKRKGTDTQYDYWEELLVMVSGLYALFCVFLVLFIFFDSFKQESNKLELSGKEEKKKLNGENRLSRDIQNLLYIK >ORGLA06G0207100.1 pep chromosome:AGI1.1:6:21220263:21220475:1 gene:ORGLA06G0207100 transcript:ORGLA06G0207100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein Z [Source:UniProtKB/TrEMBL;Acc:I1Q6A0] MVTWRIRNMTIAFQLAVFALIVTSSVLVISVPLVFASPDGWSNNKNVVFSGTSLWIGLVFLVAILNSLIS >ORGLA06G0207000.1 pep chromosome:AGI1.1:6:21218259:21219680:1 gene:ORGLA06G0207000 transcript:ORGLA06G0207000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP43 reaction center protein [Source:UniProtKB/TrEMBL;Acc:I1PHW8] MKILYSLRRFYHVETLFNGTFVLAGRDQETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVLDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVLKALYFGGIYDTWAPGGGDVRKITNLTLSPGVIFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGFICVFGGIWHILTKPFAWARRAFVWSGEAYLSYSLGALSVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFFFVGHLWHAGRARAAAAGFEKGIDRDLEPVLYMTPLN >ORGLA06G0206900.1 pep chromosome:AGI1.1:6:21215376:21215594:-1 gene:ORGLA06G0206900 transcript:ORGLA06G0206900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILFSMFYSILVGEEPDSVFLKKEGKQNQVKMIWVAPSSCAKDLTISEGTGATFLFNFHSRVSICFHALF >ORGLA06G0206800.1 pep chromosome:AGI1.1:6:21213866:21214144:-1 gene:ORGLA06G0206800 transcript:ORGLA06G0206800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDRKGDLSVDFSTINPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYRIIRGALDAVAVKNRQQGRSSAL >ORGLA06G0206700.1 pep chromosome:AGI1.1:6:21212779:21213249:-1 gene:ORGLA06G0206700 transcript:ORGLA06G0206700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:I1Q659] MSRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDAAKGGGGAIRKKEATHRMAEANRALAHFR >ORGLA06G0206600.1 pep chromosome:AGI1.1:6:21210235:21212479:-1 gene:ORGLA06G0206600 transcript:ORGLA06G0206600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit N [Source:UniProtKB/TrEMBL;Acc:I1Q4K3] MIWHVQNENFILDSTRIFMKAFHLLLFQGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTSLVISITALLFRWREEPIISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKRDLRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGLGFKLSPAPFHQWTPDVYEGSPTPVVAFLSVTSKVAASASATRILDIPFYFSSNEWHLLLEILAILSMILGNLLAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVSIGLLTSVLSIYYYLKIVKLLMTGRNQEITPYVRNYRRSPLRSNNSIELSMTVCVIASTIPGISMNPILAIAQDTLF >ORGLA06G0206500.1 pep chromosome:AGI1.1:6:21208837:21209586:1 gene:ORGLA06G0206500 transcript:ORGLA06G0206500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDETLLVFTLVVSSVSVFLFGILLFMVLISATRDFRERTKSKLVKIMIWAGIVVITFAIAVRIYPIFIFLLKERIKPLVEALYDKLPWIWEVSLSRYWDRLIDFLDRYLWACAQRIQTGIRKQKGEFVVTFSCRVKKRLYARAIEVGIHLSLLSNLFWILKTTLAVGYRLLWVLYYIISFEGFLGSFRLYLVYFGFYCLLFSGKWLRTSEDRGERQAQISGILLRGMLIECAFSVLCLEEDSNLHAL >ORGLA06G0206400.1 pep chromosome:AGI1.1:6:21207523:21207804:-1 gene:ORGLA06G0206400 transcript:ORGLA06G0206400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23 [Source:UniProtKB/TrEMBL;Acc:I1QWQ5] MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRMGPILGHTMHYRRMIITLQPGYSIPLLDREKN >ORGLA06G0206300.1 pep chromosome:AGI1.1:6:21184693:21186324:-1 gene:ORGLA06G0206300 transcript:ORGLA06G0206300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:I1Q4K0] MATTSSLALPLFAGGGGSDRLSQEIFSILESNFLFGAPPPEGPAGYYSSVGRVRVLSIDGGADGGALAAAALVRLERRLKELSGNPDARVADYFDLAAGSGAGGFLAAALFACRMPAEAARDVVARNRKVFSGRRGRGGGLFWRPESVFKKVFGDLTVRDTAKPLLIPCYDMATAAPFVFSRADAVEADAFDFPLWQVCAAACGVGPAEVASLDGRTRLRAAAATGGGGAVSNPAAVAVTHVLHNKREFPFAAGAGDLVVLSLGGNNAAAGPRASSSSLLRIAGACQADMVDQAVSMAFGECRATNYIRIQGNGIVAGAAAATAEAAMTERSVESVLFRGKKVMAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA06G0206200.1 pep chromosome:AGI1.1:6:21176333:21181172:1 gene:ORGLA06G0206200 transcript:ORGLA06G0206200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRARPPLVLLLCLCLASCLSSAIGGVSATGNGYRTTAFLVDEEGRRLRAELAAVAGAGGGSTAAYGDDVQRLDVYASLETDSRLHVRIADADGPRWEVPQDVIPRPSPEFFLQTSRPGRPVLSTATSDLTFAIHASSPFRFAVSRRSTGDVLFDTSPNLVFKDRYLELTSSLPPPGRASLYGLGEQTKRTFRLQRNDTFTLWNSDIAAGNVDLNLYGSHPFYMDVRSGGGAAHGVLLLNSNGMDVIYGGSYVTYKVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAPMPYWSFGFHQCRWGYKNVSDLEGVVAGYAKARIPLDVMWTDIDYMDAFKDFTLDPANFPADRLRPFVDRLHRNGQKYVVIIDPGISVNATYGTFIRAIKEDIFLKWNGSNYLGVVWPGNVSFPDFLNPRAAEFWAREIAAFRRTLPVDGLWIDMNEISNFVDPPPLNALDDPPYRIDNSGVRRPINNRTVPASAVHYGGVAEYDAHNLFGFLEARATHDALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNFATWDDLRYSINTMLSFGLFGIPMIGADICGFGGNTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYLYTLMYEAHTTGAPIARPLFFSYPGDVETYGIDRQFLLGRGVLVSPVLEPGATTVTAYFPAGRWFSLYDFSLAVATKTGKRVTLPAPADTVNVHVAGGNILPLQQPALTSSRVRQSVVHLLVALAEDGTATGDLFLDDGESPEMVGARSRWSQIKFSGATESGGSVVRVRSHVVHDSYAPSRTMVIAKVVLMGLRSPAPPKGFAVYANGVQVNASTAVDGGGGGNPEKGALGVAHVGGLSLVVGQEFDLKVVMTY >ORGLA06G0206100.1 pep chromosome:AGI1.1:6:21165577:21167638:-1 gene:ORGLA06G0206100 transcript:ORGLA06G0206100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSLFFKRSRTGQQQSDPYNEVFSGAENITRYSYKELAKATLNFDQSNKIGEGGFGPVYKGTLKDGTDVAVKLLSLQSRQGVKEFLNELMAISDISHENLVKLHGCCVEGRHRILVYNYLENNSLAHTLLGSRQSNIQFNWRARVNICIGVAKGLAFLHDGVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPSDASHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLVEIVSGRCNTDTKLPYEDQILLEKTWKCYDQGCLEKAIDSSMVDDVDVDEACRFLKVGLLCTQDISKRRPTMSMVISMLTGEMEVDKEKISKPDVIRDFRDLKLRSKATSSSSLLTSIMARSTPSSSQETTRTSITVTAISDRD >ORGLA06G0206000.1 pep chromosome:AGI1.1:6:21164612:21164884:1 gene:ORGLA06G0206000 transcript:ORGLA06G0206000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWCLPSAIITLPHGPHLSVTHGIGSVCVSSEEPIISCGHVRVFSLAGRFHLLLADDDGCFASAACYPACLIQRRLRPCRKLTRHRLPL >ORGLA06G0205900.1 pep chromosome:AGI1.1:6:21160066:21160437:1 gene:ORGLA06G0205900 transcript:ORGLA06G0205900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVAPHLPGLLERTCLCICACSLVQCNQREREEVSFGYGKGSHACTLQGFFISFLSFQGLHLQDVLQKASKGVGERNSSSPHLVLCVMRRCAATAMDGSTLCPHLSLSLSLSAHHHRSSAAC >ORGLA06G0205800.1 pep chromosome:AGI1.1:6:21135194:21139515:-1 gene:ORGLA06G0205800 transcript:ORGLA06G0205800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPMQRSSQPQFISSVERNNQSNGPGTPLIDSIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILIASCAALIIQSLAARLGVVTGKHLAEHCRAEYPKATNFILWILAELAVVACDIPEVIGTAFALNMLFKIPVWCGVLITGLSTLMLLLLQQYGVRKLEFLIAILVSLIATCFLVELGYSKPNSSEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYMIESAFALTIAFLINISIISVSGAVCGSDNLSPEDQMNCSDLDLNKASFLLKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMTPWIRNLLTRSLAILPSLIVSIIGGSSAAGQLIIIASMILSFELPFALVPLLKFTSSRTKMGQHTNSKAISVITWGIGSFIVVINTYFLITSFVKLLLHNGLSTVSQVFSGIFGFLGMLIYMAAILYLVFRKNRKATLPLLEGDSTVRIVGRDTATEGEGSLGHLPREDISSMQLPQQRTASDLD >ORGLA06G0205700.1 pep chromosome:AGI1.1:6:21123912:21129249:-1 gene:ORGLA06G0205700 transcript:ORGLA06G0205700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPPAPPARRLGALAVFLLALFLAAPWGVDCGYNVASVAGSKNRLRARLELAGGGGGAAPELGPDVRRLSLTASLETDSRLHVRITDADHPRWEVPQDVIPRPSPDSFLAATRPGGGRVLSTATSDLTFAIHTSPFRFTVTRRSTGDVLFDTTPNLVFKDRYLELTSSLPPPGRASLYGLGEQTKRTFRLQRNDTFTLWNSDIAAGNVDLNLYGSHPFYMDVRSGGGGGGGAAHGVLLLNSNGMDVIYGGSYVTYKVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAPMPYWSFGFHQCRYGYKNVADLEGVVAGYAKARIPLEVMWTDIDYMDAYKDFTLDPVNFPADRMRPFVDRLHRNGQKFVVIIDPGINVNTTYGTFVRGMKQDIFLKWNGSNYLGVVWPGNVYFPDFLNPRAAEFWAREIAAFRRTLPVDGLWVDMNEISNFVDPPPLNAIDDPPYRINNSGVRRPINNKTVPASAVHYGGVAEYDAHNLFGFLEARATHDALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWEDLHYSINTMLSFGLFGIPMIGADICGFGGNTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYLYTLMYEAHTTGAPIARPLFFSYPGDVETYGIDRQFLLGRGVLVSPVLEPDATTVTAYFPAGRWFNLYDFSLAVATKTGKRVTLPAPADTVNVHVAGGNILTLQQPALTSSRVRQSVVHLLVALADDGTATGDLFLDDGESPEMAGPRSRWSQIKFSGATESGGGVVRVRSHVVHDSYAPSRTMAIGKVVLMGLRSPAPPKGFAVYANGVQVNASTAVGGAAGSPEKGALGVAHVSGLTLVVGQEFDLKVVMTY >ORGLA06G0205600.1 pep chromosome:AGI1.1:6:21116591:21119079:1 gene:ORGLA06G0205600 transcript:ORGLA06G0205600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMNSLSMVEARLPPGFRFHPRDDELVLDYLERKLLDGGVGGAAAAAAAVTIYGCPVMVDVDLNKCEPWDLPEIACVGGKEWYFYSLRDRKYATGQRTNRATESGYWKATGKDRPISRKGLLVGMRKTLVFYKGRAPKGKKTEWVMHEFRKEGQGDPMKLPLK >ORGLA06G0205500.1 pep chromosome:AGI1.1:6:21095745:21096983:-1 gene:ORGLA06G0205500 transcript:ORGLA06G0205500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASNLPAAAPAAIMPFGGWHGPRVSFSRDAAGAEEAAAVVVCSSPLAAAAVVATTTTPEPAISKDFIDFEFSLGGSATMLPADELFADGKLLPLRKAAAVPEMDAAAPRPPQPEAMPAPSEPMKPLRAAAAAVDAADPYVFSPKAPSCSSRWRELLGLKRAAAQSPKPSPPSAPARTPGRAMNSTAARSLKLLLQRNNGRSSGASASELASAPLLRDSSDSEASLSLASSRFSLSSSSSSSGHDHDDIPRLSLDSAADPNPPRIRLVRSSHRHSTSSSSSSRAGRSPARRRPSPPPPPRCLSVDSPRMNSSGKIVFQGLERSSSSPCTLHAAAKPRSRAVDRSYSSGVRVAPVVLNVPVCSRPVFGFFKDKKDAAAKDAMAARTRSSLGRKTTAAPQGWSGELGRSCG >ORGLA06G0205400.1 pep chromosome:AGI1.1:6:21084846:21094764:1 gene:ORGLA06G0205400 transcript:ORGLA06G0205400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAASKAAGKEKSRRKGGGGGAGGGGGEQLLTDQVLSLRARLHHALALGLAKSDGGPKKWQSTDAGIQSHVLKAASAFLGCLTNEMLRLPPIKESISDILIALEGILQSKNASVLIQATDVSLKLVSSVGNLARQYPVLEIVTCLASQLSASQITIAVSSASTLNCILNTLATARSSIHAEIWEALEKTDAVTSVIGALQNYSPDVHPLNYRMEMMSLLRIILWIWPSSRYHVWSNRNLMGKLAEYCVASEMDVVVRVLKLYAALALCGNGAMVLLNNEDLMAKVGALLGKSNPSIARIEALKFYQILLRSSKGCDLLMAPHYQHIIEGTINAMSRDDERLLTIEGCRTALLVLRYAGDHHRLFWSHAIDDVLYKILTGGCTSSHKANQILCHDKLFNMVSVNFMDIHSYVWDILGNLAVHCKNEYLSVRKGQDSALQALIHCVCSLAADAMQKSNTMKLSKDVHEPALRTVLMMLVSPSGYILSEASSKLLHVLPLGDDCLNILFTSLESNTTRSITASFDNVKIMSNLLSLAGMSINFVCIHCKRNLDVGIVCNDCRDHYSEGLIRILQNASCQNLSPGLKLYISHILSLFGLCGFPSKLGGKMRRALDDNELADLELLLSNGESLKAHTAIISVRCPKLLPSAKSLGSDGKITDEWGRSLYHVRMSDRVDSCGLKKILEYAYTNFVMVDDDNIKPVRTLAKYCHLKSLQEMLQKEQPRWNSDCPRYDLTAALEPVKCSFSFSEVINVPLGWQALDKLVHWFYSGELPKIDPDCRWRNLNSEEQLSQLRPYAELSSLSEFWFLEGVKEESLSVVTSCLSSTSTAASVEFVVFAAQLGQWEMVEAAVGSVAHLYPKLRDSGQLEQLDDDVLNMLRTEYVRRTRRTGIGSAAAQAGARVVTAVYRWGQRADYWQSGGFGDDWNFHMFNSRSSGGLPPWCGAGSHDALAAVRWPSLPGLESHQTAQVIRRGAGRRGEGRDVNVTKQSNAPMRPPETMQREQPQSRARANGRKWPPPRRWRSGIREEQGVPSAKAWQEKRKRTQQQRCALPAATAASRLQL >ORGLA06G0205300.1 pep chromosome:AGI1.1:6:21069758:21070069:-1 gene:ORGLA06G0205300 transcript:ORGLA06G0205300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHLPREHEQRGEAAVAIDDDDGGVQDDDAAVRLALLDAGRALMLCGALASVGSISHNHHGAFVGLLLWLLGVSLLALVPAPPAAGRFAAAVLAYFLSPPWW >ORGLA06G0205200.1 pep chromosome:AGI1.1:6:21026139:21029364:1 gene:ORGLA06G0205200 transcript:ORGLA06G0205200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPSLQSLLLMAASAAAGGDSGLLLAARRRLPAAALAAGGHRIRLLHAFSAASRRGRHEVACCVRTEPAPRPASPVAVRSRSGMEQLAFELRTCAILFSPCFTRRSVAGNTLLNGVSPINFVARCYCTFRRLVVNPPKCCVLNFLSPGTPXGFQSIVLGKSFXLIDSTLSNSCSXNCHINLERFYCFVTVXVNCSSKALCLPCFRSSGAHLFFLGGVHVIVXAFASDLXQLDVVAVILSVDVVSLWITVHSTENYKKGCEQRLGQLIERLKKEGISPKQWRLGTYQRMMCPKCNGGSTEEPSLSVMIRMDGKNAAWQCFRANCGWKGFVEPDGVPKLSQAKNNTECETDQDGEANLAVNKVYRKICEEDLHLEPLCDELVTYFSERMISPETLRRNSVMQRNWSNKIVIAFTYRRDGVLVGCKYREVSKKFSQEANTEKILYGLDDIKRARDIIIVEGEIDKLSMEEAGYRNCVSVPDGAPPKVSSKLPDKDQDKKYQYLWNCKEYLDPASRIILATDADPPGQALAEELARRLGKERCWRVNWPKKNENEICKDANEVCRGIHGFL >ORGLA06G0205100.1 pep chromosome:AGI1.1:6:21020924:21021412:1 gene:ORGLA06G0205100 transcript:ORGLA06G0205100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMRRMSFSDRVGGGGGGDGGGGGAAAAVKRGLMRRLSFSDRAGGGGGGDGVPRGCVPVMVGDNGSDGDGGGGGGERFVVRVEALRHPAFAALLEKAAQEFGYKQEGILRVPCDVSHFQQVLHAATAAAKS >ORGLA06G0205000.1 pep chromosome:AGI1.1:6:21003010:21006536:-1 gene:ORGLA06G0205000 transcript:ORGLA06G0205000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEMGKLLHRPWKWSLNSPLLLLLIVPVMIHVQLKLRRRRKNAAAGTRLPPGPWRLPVIGSLHHLAMNPKAVHRALADLARRCGGGGGGVMYLRLGELPVVVASSRDAAREVLRTHDAAFATRAMSVTVRDSIGDTVGILFSPYGERWRRLRGICSLELLNARRVRSFRPIREEQVARLVGAIAAAAAAPGGDQPPPVNVSWQIAGALTDLTLRAIMGECGFRWREEFLETLGEAQRKASRFGVADLFPSSRLLRAVGSTAVRDVRALNAKLFELVDRAIEQHREAAATTAAGGDDDDGGDDDARDDNECLLNTLMRIQKEGGGTLSMSTVKAVILDMFAGGSETTSTILEWAMSELVKNPQVMQKAQAEIRLALQGRSRITEDDLINLSYPKNIIKETLRLHPVAPLLMPKECQESCKILGYDIPKGSIMLVNVWAIGRDHRYWDDAEVFLPERFEEITVDFGGTNYEFIPFGGGRRICPGITFAHATLELALTALLYHFDWHLPPSVTPDGLDMEEEFGMNVRRKRDLHLHPVIHVGVEKGIMS >ORGLA06G0204900.1 pep chromosome:AGI1.1:6:20988549:20988971:-1 gene:ORGLA06G0204900 transcript:ORGLA06G0204900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLRRLSRVAAADACAAAAYQPLRADRTAKLSASSSSSLAGARRLGCGARVPEGHVPVCVGEEGGPVERYAVRTDLLGQPAFAALLRRAAQEYGYGHPGALRIPCPVADFHQLLLRLSAAAAGDGDDDDEDGGGLVYY >ORGLA06G0204800.1 pep chromosome:AGI1.1:6:20978145:20984934:1 gene:ORGLA06G0204800 transcript:ORGLA06G0204800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G30070) TAIR;Acc:AT2G30070] MDVEGGGGGAPPRGRNSWGWQKGTLLLAYQSFGVVYGDLCISPVYVYKNTFSGKLRLHEEDEEILGVLSLVFWSLTLIPLLKYIILVLGADDNGEGGTFALYSLLCRNSKMGLLNNMRANHGSLSAYNKEEPCKESRNSMLIKAFFEKHYSLRVVLLLFVLMGTSMVIGDGVLTPTMSVLAAVSGLRIKFPELHENYTVLLACVILIGLFALQHYGTRRVGFLFAPILISWLTCIGGIGIYNIIKWNPSVIRALSPYYIYNFFRKAGKDGWSSLGGIVLCLTGAEAMFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHREDLQSSFYKALPDRVFWPVLFIATLATAVGSQAIISATFSIISQCRALGCFPRIKVVHTSSHVHGQIYIPEVNWVLMSLCLAVTIGFRDTEMIGNAYGLAVILVMCATTCLMFLVITTVWNRWVVWAAAFTVVFGSVELLYLSACLAKVPHGGWLPLLLSLTTLLVMSTWHYGTAMKQQHEVQNKVCLDHFLGLSSGIGLVRVPGVGFVYSSTTNGVPPMFAHFVTNFPAFHRVLIFVSLQTLAVPKVSPEERFLVGRIGSPANRLFRCIVRYGYKEGRWDHFNFENQLLMKVVEFLRHQDGSGADRMSAAASGEDETMSVIPATSSSGGSNQHAFDAGTTTSSCEIDATAGGGGRRKVRFDDDGGGGGEEEEEAAEVKELMEEKEAGVSYMIGHTCVFAHESSSAVKKFAVNVVYGFLRRNSRRPAVVLGIPHTSLIEVGMAYRV >ORGLA06G0204700.1 pep chromosome:AGI1.1:6:20957900:20959141:1 gene:ORGLA06G0204700 transcript:ORGLA06G0204700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDVYNFPYLKKMEVSAAIVRVSNYQDEATKAGLNDIQAGWVIDPTTYGDSKTHFFVSWTVLIWMHDIQADYYNKTGCFNLDCDGFVPVNGAPVTPGDTLEQANNQTKISFKIFKDKNDGDWWLYFGYDINNLNRVGFXPKNIFNRMVDHATRIRWAGYAQSYKGSSSPPMGNGQFPGKMSASFQNVMYVDTDGQPYPPPVWPAGLEVYASNTKCYQASIFEDNMFYYVGPGSCTS >ORGLA06G0204600.1 pep chromosome:AGI1.1:6:20949665:20955198:1 gene:ORGLA06G0204600 transcript:ORGLA06G0204600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIEVDLHTDMCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQTGDPTGTGAGGDSVYKFLYGDQARFFDDEIRPELRHSKKGTIAMASAGENCNASQFYITLRDDVDYLDDKHTVFGMVAEGFDTLTKISETYVDDKGRPFKDIRIKHTYVLDDPFDDPPQLSELIPENSPVGKPQDEIAEERLEDSWVPMDETVAPEELEEMIRSKEAHTNAVILESVGDIPDAEIKPPDNVLFVCKLNPVTQDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFETKEACERAFFKMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKRNANKDGCFKCGAPDHIAKDCDQGTEQKNKGPSYVLKDENTQRGGNNRRSYDLVFDEDGENYTDQQDPGSTGRRKIQRTDDRKSGLPPRGDHDRISRERTHSDENGREGGKEGDRDRGIRKHEDYHRYNKSGERSSSRYDDRGYSKHESRGKYRDGNDDYRRQPGGGSRYGREKYEGERRYREDDGHGRSDRHKRDESDNRKRSPDTGKHRREDGGHRETSKHRERRQRDDR >ORGLA06G0204500.1 pep chromosome:AGI1.1:6:20939810:20947887:1 gene:ORGLA06G0204500 transcript:ORGLA06G0204500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIEVDLHTDMCPLTTKNFLKLCKGNEGLVAGGLAFRKQLSGQFWRCRAGATGSGFDVKAMSFPGYSFFLVFLSLHYFVHIQNYLFSRFLYGDQARFFDDEIRPELRHFKMGTIAMASAGENCNASQFYITLRDGVDYLDDKHTVFGMVAEGFDTITKINETYVDDKGRPFKDIRIRHTYVLDDPFDDPPQLSKLIPENSPVGKPQDEIAEERLEDNWVPPDETVAPEELEDTIRSKEAHTNAVILQSLGDIPDAEIKPQDNVLFVRELNKDEDLYTIFSRFGSVTSAEIIRDYKTGDSLCFAFIEFEKKEACERAFFMMDNCLIDDRRIRVDFSQSVSKQWRQFRQSKSNANKDGCFKCGALDLIARDCDQRAEQKNKGPNYILKDENTQRSGNKRRSYDLVFEDGENYNGQQDLRSADRRKIHKIDDRRSGLPPRGDRDRISRERTHIDENDKEGNRDRGNQKHEDYNRYCKPGERSSSRHDDRGYSKHESRSKYRDGDDDYRRQSGGSRYGRDKCDGERRYRGDDGHGRSNRHTR >ORGLA06G0204400.1 pep chromosome:AGI1.1:6:20934676:20937107:1 gene:ORGLA06G0204400 transcript:ORGLA06G0204400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFERRGVRQYNRSEVPRMRWTEELHRQFVEAVECLGGQDEATPKRILQLMGVKGVSISHIKSHLQMYRSGSSNSNHPVSLQKLTSATVNNISKREFVNSEDRCIYASGDRNTASSDKNTYTILRCGRSSMPSIEEIFRNWEQTRGRLLPWNSNVITTEQATTRASRQTTNYSKPLKQLTDCDLTLSIGQLWDDAAGSDADGSSTISEEVAAPSRDEAFVSSADDHFAAPPPRRRRAIC >ORGLA06G0204300.1 pep chromosome:AGI1.1:6:20919473:20922563:-1 gene:ORGLA06G0204300 transcript:ORGLA06G0204300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRCAAAIARAVVEFLDAVLVGFFLSFFGPHPRDRDRDDSPGSGRRDSLAHKDRLGELLSDDDELGLGGRGGSHEDLADDCGSDEELRSEANFLKLCGTLSETPAELHNISYQINMESYIEHDKIPTNVLAVEATPAFESKSSGGFEYGEDHILTPQLNTEDTEHLPLVKSVYQSAIRGNSPFQNIKSINDGSSDSPFHTPLVLRDDMQTPRTVYTSHKGSSGKRVQTRKQFAYPIFRPTENKLQKMQLSDSAKMTQQISSDSVVKGESLNSSHFPLEVSKYQLDRQRLLDAGERSKSNSDENIEVCSLSRWLKSSPAGNTNQMYDENNLIEEGHAFMTSEDNVDVDNHTPRLSKAWDCHCIPNTTRKYGEDQHVSWHSTPFEERLIKVLSDEEVPPTRKLVPGRLLYLEERV >ORGLA06G0204200.1 pep chromosome:AGI1.1:6:20912067:20918538:1 gene:ORGLA06G0204200 transcript:ORGLA06G0204200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MEVSKEEFLRQFGGDYGYPGAPKGVDEMRAAEFKRLEGMAYLDHAGATLYSEAQMADVLKDLASNVYGNPHSQSDSSMAASDLVTAARHQVLKYFNASPREYKCIFTSGATAALKLVGECFPWSRESCYMYTMENHNSVLGIREYALSKGATVLAVDVEEGADLAKDNGSYSLYKISRRTNQRRSKDVLSHNCQNGSLSDISGNNWNIFAFPSECNFSGQKFSLSLVKLIKEGKIPLQQQGKWMVLIDAAKGCATEPPNLTVYPADFVVCSFYKIFGYPTGLGALIVKNEAANLLNKTYFSGGTVAASIADIDFVQKRKNIEQVLEDGTISFLNIASLRHGFKIIEMLTTSAIERHTTSLATYVRNKMLDLKHSNEINVCTIYGQQYSKVEGLKMGPTITFNLKREDGSWFGYREVEKLASLFGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDIINGKPTGAVRISFGYMSTFEDAEKFLKFLQSSFVSLPVQFNNGYMLNLNSLNLIDNSSQKAVSDIHLKSITIYPVKSCQGFSVKSWPLTTGGLMYDREWLLQGSGGEILTQKKVPELGSIRTLIDLELGKLFIESPTRRDKLQLSLLESLADLSEEVDVFGQRYEVQSYDDRVNTWFSEAIGRPCTLVRCSSSKYRSCTYTGLRDRPCRDTQSKLNFVNEGQLLLISEESISDLNSRLNSGKGDCKQKLPVDAMRFRPNLVISGSSPYSEDNWKKLRIGEACFTSMGGCNRCQMINLHQDSGQVLKSKEPLATLASYRRKKGKILFGILLNYEDIMEGENETIAGRWLQVGQQVYPSTE >ORGLA06G0204100.1 pep chromosome:AGI1.1:6:20906917:20909075:1 gene:ORGLA06G0204100 transcript:ORGLA06G0204100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQDSTSKSSSSSISSSTQESEEEVSITIGSLLAQAKNNSGHSLGRRLSQLGSIPHTPRVNGKIPNLDNATLDHERLSERLGNYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKLVMKQLKEFRKQYESYVPMEYKVYLKKMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIVPRDVTPTRELWLSFWCEVHYNSLYATDDLLTRKTKKKHWLF >ORGLA06G0204000.1 pep chromosome:AGI1.1:6:20897852:20903143:-1 gene:ORGLA06G0204000 transcript:ORGLA06G0204000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSTTPNPIDASVVSAAAAVAGGGGGGDGGGGGGGKEKEKQVVAAPLQPPMAVPAPAAAAAMGEEARKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPQKASKNACAVSPAAISQPPPLGEQGCVMSMDTSPVIRNTNPSAVVPSWDNSIAQPLSASRTQGTGAVATNNCSSSIESPSTTWPTSEAVEQENMLRPLRAMPDFAQVYSFLGSIFDPDTSGHLQTLKAMDPIDVETVLLLMRNLSMNLTSPNFAAHLSLLSSCNSGGDQIKSEGMENLGSPQSCHLPFMVTSE >ORGLA06G0203900.1 pep chromosome:AGI1.1:6:20891913:20896643:-1 gene:ORGLA06G0203900 transcript:ORGLA06G0203900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:conserved oligomeric Golgi complex component-related / COG complex component-related [Source:Projected from Arabidopsis thaliana (AT5G51430) TAIR;Acc:AT5G51430] MVVVDASEFGAEGFDPKRWINAALDARHPSEPLDRFLADAEERLRSAADDAAAALERDSADALRRVPLACRDALRLRDDAVSLRSHLASVLQSLSQAEGSSAESITALARIDTVKQRMEAAYATLQDAAGLAQLSQSVEDVFSSGDLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDDMVQPRLVDALSNCKVDAVQDLRGILIRIGRFKSLEVQYTKIHVKPLKKLWEDFDLKQRAKRVEMEKYGGESINSLSFASWLPNFYDETLLYLEQEWKWCLTAFPEEYKSLVPKVLVETMSELNSSFVSRVNLATGDAVPETRSVAKGILDVLSGDLPKSTKLQNKHLGALIDLHNMTGTFARNIQHLFSESDLVVLLNTLKAIYSPYETFKARYGQMERALLSAEMAGIDIRGAIARGVGAQGIELSETVRRMEESIPQIIVLLEAAVERCISLTGGSEADELVLALDDIMLQYISNLQETLKSLRIVCGVDNTAHSDSSKKEAGLEKKEAQRLVDVSEEEEWSIVQGALQILTVADCLTSRTSVFEASLRATLARIGTNFSISGFGSSLDKSTAANGDENAEVPITGRAALDIAAIRLTDLPDKSKKLFTVLEQSKDPRFHALPLTSQRVAAFSDTVNELVYDVLISKVRQRLNEVARLPIWSSVEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGISGGEAGNEEAQFFATEWIFKVAEGATALFMEQLRGIHYITDRGAQQLAADIEYLSNVLSALSMPIPPFLATFHTCISTPRDQVRDLIKSDGGSQLDLPTAHLVCKIRRISSSD >ORGLA06G0203800.1 pep chromosome:AGI1.1:6:20887813:20890515:-1 gene:ORGLA06G0203800 transcript:ORGLA06G0203800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSMSLAAKTPLPFSTLPSSGVAQRPVSVTASLEHKTNDARRKFLKLALGNLGVGLPTLLGAKRALAEEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHSNQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRAQGGLGGPNGPGFPLGFGQSRAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGSNKKFDTDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGRTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLIPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSDIGPWSLMDSGAQSGDVIMRMMARNSMSEKLAEDIDTAVKRLSDEAYEIALSQIRSNREAMDKIVEVLLEKETLSGDEFRAILSEFTEIPVENRVPPATPAALPA >ORGLA06G0203700.1 pep chromosome:AGI1.1:6:20876208:20885567:-1 gene:ORGLA06G0203700 transcript:ORGLA06G0203700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) TAIR;Acc:AT4G00060] MASASASASPRTARQLADALTAHLSLYHTATPPPPSSSSPRAAILRWFASLSPPCRAAAATSLLTPAAAAALLSMLRRLRARGHSSFFVLHPPSPSSAAVAEEGAAAPTVLSRLSRGLLARAAEASRGQGLVFDHLLLFPSSPASSSRLDAITVAEELLGDLDGFVAAMDEISGGRFLCGDGEVDLVAMAGEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAGGGGGGGGGGRKAVRVGKGVKEKAGLAANAFWREKGYVDWWMRLEPRVRARIMGAFFGKGTTALEIVYLKSNISNRSGDAIFFTKLMSAGTVADHILMKLRGILMVVSTESINLELIGDEAPKAAKKKDVEKISGDSRKGKKKSSSSKKLITSSKQMKDIGCSSSDSHDPKVLPDQQGPSVVRTTIEHASEDNLCKEIAPTPKVEQTVGLGDCKNQCNSKKRNKRKGKTKLHNLMKTENPGSGTLKTDAPHIATEAPNKPVEATHVSPHLPSYVHQSKSCIPKAVNCCDSSIALNGTDVKGIGNTKLEDTLHSPRVSSLLTTECSQSVKTSDGFSMNEQVISQNGQNESTLQPSSCLPSRSDTVDRNSVVATEKILPPVIPTNMFHSAISDNGGVMKTGGEYYVYNRNTLGGTSYEWPSVAPHHFVSPEMQQRPATTDRLHLDVGYRWPAQFEQPFLPPNHQMRNPPVEAGCNQVLSSLAVPLSFDWPPVFRGYGKLNQNNALGYDPLYTPQMQSSAWSGFHAPLIQRGSICNEKDRKYFGDSDPRNKSDVGDDTESYWFSEEESDGRGLSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSQVVDDIPVGIPCTYNANGVSSPPSTSVCSQNESPDPLPQSVGHSMTANGMNSESLQSPSSMQDSPEDKTISVSKSVSCGSEVIKGDTLPYAMLRPIVVPISRRPSRSEFKGGHDHRSPCVPSNRRDIPLVRRPPSPVVLSVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFTEDKILNRAQFCLDGPEVVWPSWGNKGSPAGTLGQSIEDTVLQDHLVKISQLSRDQHPDVALPLQPPDMLNCSSSKASLSLMHNALHEEIDQFCKQVSAENLVRKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVISLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLANQDWVRTDSLKTVENTAIPVIMLVAQVPCDTNLSSEYSSVLDSSHEQLSINVLGDQGSPSRSDNSSSEGSSMPMCSRINKDDGNVVQSIRLDISFKSPSHTGLQTTELVRELTQQFPAAVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFTVLENELLQFSAECDTPVSSFNLLKKIMPSIDFDEL >ORGLA06G0203600.1 pep chromosome:AGI1.1:6:20873809:20874267:-1 gene:ORGLA06G0203600 transcript:ORGLA06G0203600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSWNLTEATSAVHDITVNGYSATKSSGENDFPSRRLTVGGYEWEIRYYPKVFVAHGDYRIAFRLVFLGPAGARGVNASFSCRLMDHRSTWTEARWRDASGNQHDCRAETVSRKFHLTRESSDWVKLIKQDDLERSPAILACDSFRVRCVI >ORGLA06G0203500.1 pep chromosome:AGI1.1:6:20870696:20871969:-1 gene:ORGLA06G0203500 transcript:ORGLA06G0203500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSKPVIALVLLVVCIVSCFEVVTAXYDGSSSNGAAATGPMAAVVIVDPNVSRVHGEIVFRTKDIKLAILHPWRYSIASPRVVARRFSSFATLPPQTTPVCYPVRNLVGAREGNYRCIAKILSRGHNSCSSSRRHERNRREEEGEGS >ORGLA06G0203400.1 pep chromosome:AGI1.1:6:20869053:20869811:-1 gene:ORGLA06G0203400 transcript:ORGLA06G0203400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRQNKRNGKVSKPQAEPKKVTEKQEDLISMLPDDIHLHILSMCPYHDAVRTAAVSRRWQHLHTRLPNVRFRMSVLGNFASLGESSEPRVQSMERMLRQRCHDDGGLHDTIETLHIGYRKDVPFECRYANEFVALANASRLELHVQCKRGLPDEDAGEWSLELPPATTELELGLYWYAVRPPRVRGPGVTSLRWLALDGLTVLRPSDFLSTVVFPSLEELHIVDCTLPASIDITSDTMPRLKLLRITDVT >ORGLA06G0203300.1 pep chromosome:AGI1.1:6:20866694:20867050:-1 gene:ORGLA06G0203300 transcript:ORGLA06G0203300.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAKIAFLLAAALLLGLVSVSQAIQGTATFYTTYNPSACYGNQDNGRMIAAASDGLWAGGKICGTMFTVRCVGQPT >ORGLA06G0203200.1 pep chromosome:AGI1.1:6:20863748:20866043:-1 gene:ORGLA06G0203200 transcript:ORGLA06G0203200.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQSGSLGGFGLCGVYVAGVAQLLPGAPVDHALPCFRGEERQSHAFGSANESSPWVLPVKRRELEASGFITGDSFAVRCTITVLSKNTINSAEPSPDLHLQLGELLRSGRFADVEFIVSGVSIAAHRCVLAARSPSLAAAVLKGGTRKKDGSVRVEVKDDMRAGVFRALLHFIYTDTLMELDWREDGSDPLLPRTMVMSLNEAAGRYGLERLKQICENMLGFDDACSADCAVM >ORGLA06G0203100.1 pep chromosome:AGI1.1:6:20849844:20850938:-1 gene:ORGLA06G0203100 transcript:ORGLA06G0203100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTDVTRSNDIQLKIVGHSLTMAMDDGEFFSSRRYCVGGHDWEIRLRPKDPWVGRRDRPLTLKLVLRGAPRTGSGSVKAQLSCCLVDPTQKLRPSEMKTVSHKFHKPGDYSPRAVFMARDELEASGYLTDDSYVVQCAITVLREQPEIAAAAAAAGDSANAAVAPSSELHAYLGALLESKTGADVTFVVSGESFAAHKAILASRSPVFMAELFGAMKVKASERVEVKDMEAPVFKAILHFVYTDTVPELDHRDGEETEAASTATAMAQHLLAGADRYGLERLKLICASKLAERIDVDTVSTTLALAEQHDCSHLKAKCVEFIAAGTAENLDAVLATDGFKHLEASCPSVLTDLVKVARGRKN >ORGLA06G0203000.1 pep chromosome:AGI1.1:6:20842255:20845010:-1 gene:ORGLA06G0203000 transcript:ORGLA06G0203000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:I1Q4G7] MASKRSVGTLTESDLKGKKVFLRADLNVPLDDSQKITDDTRIRASVPTIKFLMGKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVQKLAANLPDGGVLLLENVRFYKEEEKNDPEFANKLASVADLYVNDAFGTAHRAHASTEGVTKYLRPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMIYTFYKAQGYAVGKSLVEEDKLELATSLIEKAKSKGVSLLLPTDIVVADKFAADAESKTVPASAIPDGWMGLDIGPDSIKTFSETLDTTKTVIWNGPMGVFEFEKFAAGTDAIAKKLADITAKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALNDA >ORGLA06G0202900.1 pep chromosome:AGI1.1:6:20833564:20837250:1 gene:ORGLA06G0202900 transcript:ORGLA06G0202900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAAAGVVGGFLQVVFDKYYGSKLEQWAARSGLHGDFLSLKNQLHMVRAMLEAGGGGNAPHNDSLRSLIVELKSAAYAADNVLDEMEYYRLKELVEDTSGRDGGAPSSSARQVVGRILVPAPLLSNPFKRARTGADEALQGQGADTDTPNFDQDAMSSKIKSISCCLEQIAGMVRRIIELDKLVSMASLGHVQPEVVVSLRQTSSFPTETKLFGRDESTNNIINLMLRTDMESRYNNFNVLPIVGIGGVGKTALAQSVYNHQRVVDSFQVRAWACVSDTLDVRRVIADLIDSIDGGQETPKFHRVPSLDATQRTLLTKIEGKRFLIVLDDVWVSSHWEKLCGPFSAGMSGSMVLVTTRQRKIAKAMGTFDSLTLHGLHDNEFWAFFLQCTNITEDHSLARIGRKIALKLYGNPLAAKTMGRFLSENHEEEHWCKFLNRNIWELKQEPDDVMPVLLLSYQHLPLSLQRCFTYCAIFPRGYKFTEQELIFAWMAQGLVPTPGEDQTLEDVGKEYLNELLSCSFFHIIESGHYTIPGLLHDLAQLVAEGEFQATNGKFPISVEACHLYISHSDHARDMGLCHPLDCSGIQMKRRIQKNSWAGLLHLKNLRTIMFSASSSIWSPGSEVVFVQSNWPSTIRLLSLPCTFRKEQLAAVSSFIHLRYLDLRWSRLEELPEAVCKLYLLQVLNIKHCPCLLHLPPRIANLLNFEHLIADEGKHLLTGVPCVGNMTSLLLLDKFCVRKTRGFDIGQLKRLRNLRGLLKVQNLENVDGNEEAANARLSDKRHLTELWLSWSAGSCVQEPSEQYHVLEGLAPHSNVSCLHITGYRGSTTPSWLASNLSLSSLEYLYLDYCSELEILPPLGLLPHLRKLHIVNMHALRRIGSEFYSSGQVVGFPCLEGLFIETMPELEDWNVDDSNVFPSLTSLTVEDCPKLSRIPSFLWSRENKYWFPKLGKINIKYCPELVLSEALLIPRLPWLLDIDIQIWGQTVINLRGGCLEVSEINANTSSGPINAVLQLHWLKHVSSFHIWAQDSLSVHPCKQKTEPSACNSEYMVNSLQTSAEKVEVTGYGITDELLSAILENEICPSSLSISDCPQITSLDLSPLRSLKSLVIHNCVSLRKLFDRQYFTALRDLEVTNASSFAEAWSELLGSRYAEWGQVTTSLESLTVDSTLFLNSPLCAVLTSLKKLTIHSDFRVTSLSRQQVQALLLNLSARSWVYTMLQSTFSSQ >ORGLA06G0202800.1 pep chromosome:AGI1.1:6:20829473:20829977:1 gene:ORGLA06G0202800 transcript:ORGLA06G0202800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHRHTACCALRSTPAAMLWGISYVLVKQLPGAPSPAVSAWPMPRRQGCCRQAPLPLKKPTTTVMPLPXLDGHDLAPTSWSEVSKALEDIKPTLNSNTTENAKSPHGRRWRRGAEVVSAAGGSPVVVDGGEEADAMVVGARG >ORGLA06G0202700.1 pep chromosome:AGI1.1:6:20827035:20828709:-1 gene:ORGLA06G0202700 transcript:ORGLA06G0202700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system H protein [Source:UniProtKB/TrEMBL;Acc:I1Q4G4] MAAAAAASRALWACRTASYLRISSFPRAFSTVLKDLKYADTHEWVKVEGDSATIGVTDHAQDHLGDVVYVELPEVGSTVSQRTNFGAVESVKATSDINAPVSGEIIQVNDELSEKPGFINGSPYEKGWIIKVKISDPSELNSLMDDEKYKKFCEEEDGKH >ORGLA06G0202600.1 pep chromosome:AGI1.1:6:20824106:20825849:1 gene:ORGLA06G0202600 transcript:ORGLA06G0202600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HISTIDINE TRIAD NUCLEOTIDE-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT1G31160) TAIR;Acc:AT1G31160] MAAMAAAAKLTAAASSLLLRRSPLLRPHGLRLSRRFAPQRFVRHIASSTNEEAAAKAAAATADTGGPTIFDKIIAKEIPSNVVYEDEKVLAFRDINPQAPVHVLVIPKIRDGLTGLDKAEPWHVEILGYLLYAAKIVAEKEGIAEGYRVVINNGPKGCQSVYHLHLHVLGGRQMKWPAG >ORGLA06G0202500.1 pep chromosome:AGI1.1:6:20788881:20789633:1 gene:ORGLA06G0202500 transcript:ORGLA06G0202500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPQPAIGVVAGGSQVYPAYPPAATVPTAPAVIPAGSQPAPSFPANPDQLSAQHQLVYQQAQQFHQQLQQQQQRQLQQFWAERLADIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEIFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDMYDFLVDIVPRDDLKEEGVGLPRAGLPPLGVPADSYPYGYYVPQQQVPGAGIAYGGQQGHPGYLWQDPQEQQEEPPAEQQSD >ORGLA06G0202400.1 pep chromosome:AGI1.1:6:20779810:20782995:1 gene:ORGLA06G0202400 transcript:ORGLA06G0202400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPVGVAPVLLLLLLPLAAATAAAESDDRDALMAFKAGVTSDPTGVLRSWNETVHFCRWPGVNCTAGRVTSLDVSMGRLAGELSPAVANLTRLVVLNLTSNAFSGSIPGGLGRLRRMRYLSLCDNAFAGEIPDALRNCTALAVAYLNNNNLVGGVPRWLGALPNLAVLRLSHNSLSGRIPPSLANLTKIFRLELDQNLLEGSIPDGLSRLPALGMLALSQNSLAGEIPVGFFNMTSLRGLALADNAFRGELPGDAGARTPNLQYLFLGGNLLAGPIPASLSNATALVALSLANNSFAGQVPGEIGTLCPLSLELSNNQLTATDDAGGGWEFVDNLTNCSALAEILLDGNKFAGVMPRSVVRLSPQLEALNLAGNRISGVIPPEIESLVGLQTLSLQSNLFSGEIPEAIGKLKNLRELLLEQNELAGPVPSAIGDLTQLLKLDLSGNSLNGSIPPSLGNLHQLTLLNLSGNELTGHVPSELFTLSSLSLLMDLSDNQLDGPIPPDVGQLTKLAFMALSGNRFSGEVPTELESCQSLEFLDLAGNVFVGSIPPSLSGLKGLRRLNLTGNRLSGSIPPELGGMPGLQELYLSRNDLSGGIPASLATMSSLMELDVSYNRLAGQVPVHGVFANTTGLRIAGNTALCGGAARLRLPPCPAPGNSTRRAHLFLKIALPVVAAALCFAVMFAALRWRRKIRSSRTGNAAARSVLNGNYYPRVTYAELAKATDDFADANLVGAGKYGSVYRGTLSLKTKGEFAREDAVVAVKVLDLRQVGASKTFMAECEALRSVKHRNLINIVTCCSSIDMEGNEFRALVFDFMPNYSLDRWLHRAKHTETGKWCGGAGGLGVIQRLDVAVDIADALNYLHNSCNPPIIHCDLKPSNVLLGEDMTACIGDFGLAKLLLDPASHGAAAANTESTIGIRGTIGYVAPEYGTMGMVTASGDVYSFGITLLEIFSGKAPTDGELRDGLTLPEFVAGAFPDNIEEILDVALLLQAEELDGAASSTTSEEESEARVTVRDCLASAIRVGLSCSRRAPYERMAMSVAADEMRLIRDACLRACGK >ORGLA06G0202300.1 pep chromosome:AGI1.1:6:20775979:20778691:-1 gene:ORGLA06G0202300 transcript:ORGLA06G0202300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSHHLVAPPWSGTGSFACYHGEEGKRPHPDESAKIITSIKRLYDEAARRLPIDEITELVGCIFEGGHCLGLADPVGNIILNAIAHHASGRAAAAPHLALPREEGKSLWGILASRSYAGLVAFMSSYFRLVFHDRRRRCQRPRLLPDGGKIKAALRVAALKAAHPAPDELARLMTVQFPAGQLSPIMAXLQGSELLTAGDVMDIKNLLGHQWPQVNIDFLRRPYGLGGGQDGTVTLRTTIGEDGRVALITIAADASRIDSPQLGYISDLTFDCETMEAKLSRRLAGVTRAADDGDEAAGAALNYDLSPCEHILSLKMCLLDAIHGFYIRALAVLPAGDGWTTTRRRGRFIRSLLAAGHCYGPLDPASNIILNTVWYDAAAPPSPDDEADLPGDIFDTDAMLRVECRSLDGLVAAVRAAAAAAGKPISEHEAIEHLWSRQCDLTEILQNSSREKKRNPYAAAGEASDHPQSAMIGSFLVSLSGENLDCLRQWLKPARDFGSSGCVISDVDWEKLNTMIHGHQPIRGLKRKRSSSENPLHTQALSEISTPFRQINFMLLFFFAGNFLLLNLFLYVSFLLKNCSTKSTIVVASNDPWSDAVVAEDNYRSTATAVADDPWSTAVTTDNSWNAAVVATDNNSWSTVVATEDWWSAP >ORGLA06G0202200.1 pep chromosome:AGI1.1:6:20771196:20771504:1 gene:ORGLA06G0202200 transcript:ORGLA06G0202200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGNASSSSTATGRGCGLALGRLVRKLRRQSRMMLSTATSSRPPAAARCQYDPLSYARNFDRSGLGDDGGDVSAQLYHRYTFASRFVLSSSSTAARRQPQ >ORGLA06G0202100.1 pep chromosome:AGI1.1:6:20765479:20766273:1 gene:ORGLA06G0202100 transcript:ORGLA06G0202100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALFSTIATSVESLRVTGANADVDGVAAEKKPPRNDRKRKRNKGASGRTYEETRARYPLLVEAVEALAAAGELGLPPPPHVRGHRLLLERVGEDDARRLERKLKVEELARGKFELRRRRLTAALKEALVKAGGRAPKLETIQEEEDDDDDDDSHGDSKRRKAAAAAAEEECGRGRRQYEEMRDRYPLLVAEVEALAAAGELALPPHVPGLRRLVELVGGCDARRLEDMLKNDALMKVVTNLQRRRLTVNLMSALIKTEEKHK >ORGLA06G0202000.1 pep chromosome:AGI1.1:6:20758848:20762564:-1 gene:ORGLA06G0202000 transcript:ORGLA06G0202000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1Q4F7] MASLAVPLRASATPAIAGTGSGGGSRAADPVKVSCVRSKVTCGFPSVGASSSLASSVEPVRATATQAPLATHQSSSTEKTKVGINGFGRIGRLVLRIATNRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGTIKVVDESTLEINGKKISVTSKRDPSDIPWGNFGAEYVVESSGVFTTTEKASAHLKGGARKVVISAPSADAPMFVVGVNEKNYNPSMNVVSNASCTTNCLAPLAKIVHEEFGIADGLMTTVHATTATQKTVDGPSMKDWRGGRGASQNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYEDVEAAIKEASEGSLKGILGYTDEDVVSNDFIGDTRSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIGHMALVNAKP >ORGLA06G0201900.1 pep chromosome:AGI1.1:6:20756136:20756684:1 gene:ORGLA06G0201900 transcript:ORGLA06G0201900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSHMANCSNVSSPEQQPMPPSSAAAASSPSRPQLDYDVVVILAAMLCALVCALGLNSMLQCVVRCTRRAVADPVGWVEHRRAGAGLKREDVVALPVATYVASPAPSAAGCAICLSDFADGERVRLLPACGHRFHVVCIDRWLLAHCSCPTCRRRPSPEADGHGAVVGEDHHHRLQVLTAA >ORGLA06G0201800.1 pep chromosome:AGI1.1:6:20752059:20752700:1 gene:ORGLA06G0201800 transcript:ORGLA06G0201800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSDTGSSLAQWAELYHDASAAHGGVVANGAAAAATSPASPAGSTGGSPTRAPGVEGPRVGKPARRRSRASRRAPVTLLNTDTTNFRAMVQQFTGIPAPPAGAFAGPGGVPVINFGSDYGFTGAVLPFSDHLQPRRPTFQDHQQLLRPQQQYTGAPFGYGNLQQAGGAGAGAGDMFSHALSSAEDRLLLQSLQSAQMPTSAANHSANGYFA >ORGLA06G0201700.1 pep chromosome:AGI1.1:6:20709011:20712825:1 gene:ORGLA06G0201700 transcript:ORGLA06G0201700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT1G31040) TAIR;Acc:AT1G31040] MGMRPGWVGGLVEESFFVGCPAHESRKKNEKNIFCLGCCASICPHCAPSHRHHPLLQVRRYVYNDVVRLDDLDKLIDCSFVQPYTINSAKVIFLKPRPQSRPFKGSGNICLTCDRILQEPFHFCCLSCKVDHVMMQGGDLSNILYMSGGSSGEPDLAAGFPRFENLRVDGGGGLPDDDDDHQVTTPNSILEDPLHHHHQYYGGGGSSNNGRNTSPAPTTADVPRKKKSGGGGGFFPQIVLSLNNRRKGAPHRSPLA >ORGLA06G0201600.1 pep chromosome:AGI1.1:6:20693117:20695272:1 gene:ORGLA06G0201600 transcript:ORGLA06G0201600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHRLCRLPRLLPLAAAAAASKPYLPGKPSPAPPPPLSSPPPFPSLSRLFSTTPSSSGDSSMVVVGSAESFTSIMSKVEAEKLPAVFYYTAVWCGPCRAMAPVISKLSSQYPKIPIYKVDIDMDGVGSKLSDLKIFSVPTFHFYYQGRKTGEVVGANATKLESTMESLHKQL >ORGLA06G0201500.1 pep chromosome:AGI1.1:6:20680826:20686735:-1 gene:ORGLA06G0201500 transcript:ORGLA06G0201500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRLLDSYDEEMGGGAAAAAAGEEEEAHVRVTGMTCSACTSAVEGAVSARRGVRRVAVSLLQNRAHVVFDPALLKVEDIIEAIEDAGFDAEIIPDTAISQPKAQKTLSAQFRIGGMTCANCVNSVEGILKKLSGVKGAVVALATSLGEVEYDPSVINKDEIVEAIEDAGFEAAFLQSSEQDKILLGLTGLHTERDVNVLHDILKKMIGLRQFDVNAMVSEVEIIFDPEAVGLRSIVDAIETGSNGRLKAHVQNPYARGASNDAHEAAKMLHLLRSSLFLSIPVFFIRMVCPHIPFIRSILMMHCGPFHMGDLLKWILVSIVQFVVGKRFYIAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFHPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPATALLLLKDKEGKYTEEREIDALLVQPGDILKVLPGSKVPADGVVVWGTSHVNESMITGESASIPKEVSSAVIGGTMNLHGVLHIQGNKVGSETVLSQIISLVETAQMSKAPIQKFADYVVASIFVPIVITLSIITFLVWFLCGWVGAYPNSWISGTSNCFVFSLMFAIAVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVNYVIFDKTGTLTQGKAVVTTAKVFSGMDLGYFLKLVASAEASSEHPLAKAIVEYAFHFHFFGKLPTSKNGIEQRKEEILSRWLLQVEDFSALPGKGVQCLINGKRVLVGNRTLITENGVNVPPEAENFLVDLELNAKTGILVSYDDDFVGLMGITDPLKREAAVVVEGLKKMGVHPVMLTGDNWRTAKAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPVAAGALFPFTRLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE >ORGLA06G0201400.1 pep chromosome:AGI1.1:6:20668576:20672609:-1 gene:ORGLA06G0201400 transcript:ORGLA06G0201400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:allantoate amidohydrolase [Source:Projected from Arabidopsis thaliana (AT4G20070) TAIR;Acc:AT4G20070] MALLLSCPRRHPSIHLLILSAYALFLLPILDGLELGGDGLYREILRDETVLRLKELGKISDGEGYLERTFLSPASIRASAVIISWMKDAGLTTWIDQMGNIHGRFELTNSTKEALLIGSHMDTVIDAGMYDGALGIISAISALKVLKVTGRLQRLTRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILQVSDKSGTTVQDVLKLNSLEGTANALGDVRYSPESVGSYVEVHIEQGPVLEALRYPLGVVKGIAGQTRLKVIINGSQGHAGTVPMKLRRDPMVAAAELVLTLETLCKEPNKFLTYDEECGCFTEESVAGLVCTVGELLTWPSASNVIPGQVNFTVDIRAMDDKVRETIVASFSRLVLQRCDDRLVDCAVEHKHAAAATPCDAELTSQLERATRSTISSMATAAALPGVRRAGGETPVLMSGAGHDAMAMARLTKVGMLFVRCRGGVSHSPEESVLDDDVWAAGLALVNFIDQNAVDAAAATAAES >ORGLA06G0201300.1 pep chromosome:AGI1.1:6:20664564:20664773:-1 gene:ORGLA06G0201300 transcript:ORGLA06G0201300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVEEDNKCGGKEEERTLPPQTLSCSSLHLRMLLTLICSSLGGAALICTIEIAVQSLLADEGGKEGPT >ORGLA06G0201200.1 pep chromosome:AGI1.1:6:20653091:20654405:-1 gene:ORGLA06G0201200 transcript:ORGLA06G0201200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G30950) TAIR;Acc:AT1G30950] MDPRVWRRLPQPLVDRVLACLPTPSFLRLRAACRRFYHLLFSSPFLHSHLLLSPHLPFFAFVVPAAGHLLLLDPTATASWSRLPLPLPPVAGGPAAFSPAAASAGLLAFLSDASGHKTLLLANPITRLLAALPISPTPRLSPTVGLAAGPTSIIAVVAGDDLVSPFAVKNISADTFVADAASVPPSGFWAPSSLLPRLSSLDPRAGMAFASGRFYCMSSSPFAVLVFDVAENVWSKVQPPMRRFLRSPALVELGGGREGAAARVALVSAVEKSRLSVPRSVRLWTLRGGGGGGGGGAWTEVARMPPEVHAQFAAAEGGRGFECAAHGDYVVLAPRGPVAQAPTSALVFDSRRDEWRWAPPCPYVVVAHHGGAGAGAAGFRVFAYEPRLATPAIGLLDATAPVALHGMHDG >ORGLA06G0201100.1 pep chromosome:AGI1.1:6:20645557:20646594:-1 gene:ORGLA06G0201100 transcript:ORGLA06G0201100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIIKRYILLALILSIQCGGANGTSREYSPLPPFKDVVGPSTPVAADDVPPPPYCVYPPPPTKPALPAPLPPTPASPGDSPPSIAPAGNPPTPSQAGAPPPSIAPGTGSPPPATTKPPAPGAREAGVWCVANPTVASAVAQTAMDYACASGADCDMVAAPGAPCFLPDTLMAHASYAFNSYWQRTKVAGGTCDFAGAAMLITKDPSKYACRYIFP >ORGLA06G0201000.1 pep chromosome:AGI1.1:6:20642466:20643461:-1 gene:ORGLA06G0201000 transcript:ORGLA06G0201000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44730) TAIR;Acc:AT5G44730] MSLISKLRLITVDVTGTLLAYKGQLGDYYCMAAKAAGKPCPDYQRMHEGFKLAYTEMARQYPCFGFAAKMPNIDWWRMCVKDSFVKAGYEYDEETFEKIFKRIYSSFGSSAPYSVFPDAQPFMRWLRGKGLTVGIVSNAEYRYKDVILPALGLNQGSEWDFGVFSGIVGVEKPDPSIYRIALEMAGKVAPEEALHIGDSMRKDYTPARSIGMHALLLDRFKTADAESWRKSGATVLPDLVAAQEWLSKNLKDEPVAAEQNV >ORGLA06G0200900.1 pep chromosome:AGI1.1:6:20638782:20641637:1 gene:ORGLA06G0200900 transcript:ORGLA06G0200900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHAAGGSTSNGGSGVDGDGGGGGGAARRNTKMPKYSKFTQQELPACKPILTPKWVVSVFFLVGVIFVPVGVVSLLAAQNVVEIVDRYDDACVPANMTDNKLAYIQNPNISKECTRTLTITEDMNQPIFVYYQLDNFYQNHRRYVKSRNDGQLRDAAKANQTSACEPEKTTADGKPIVPCGLIAWSLFNDTYSFTRGNENLTVDKKDISWKSDREHKFGKNVYPSNFQNGLLKGGGTLDPAIPLSEQEDLIVWMRTAALPTFRKLYGRIYVDLKKNDTITVKLSNNYNTYNFGGKKKLVLSTATWLGGKNDFLGFAYVIVGGVCFFLAFAFTLLYLIKPRKLGDHNYLSWNRHPGGR >ORGLA06G0200800.1 pep chromosome:AGI1.1:6:20634627:20635073:1 gene:ORGLA06G0200800 transcript:ORGLA06G0200800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAYKKVNIFLCMQAEKHLQMRVEAQEKYMRSMMEKAHQALASGATWPAADEQAKISPPGRK >ORGLA06G0200700.1 pep chromosome:AGI1.1:6:20629156:20631398:1 gene:ORGLA06G0200700 transcript:ORGLA06G0200700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSPSPSPSSSKKQQQLSGGVGVGGAAAAASSNDRPPLCVQGDSGLVLTTDPKPRLRWTVELHDRFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQHKDFNDHSVKDAMDMQRNAASSSGIMGRSMNDRSVHVNEALRMKMEVQRRFHEQLEVQKHLQMRVEAQGKYMQTILEKAYQAISSSGDCATWHAGYKSLGSQAVLDIGSSMSFPSLQDDLQLYGGSHLDHLHQQHEQMEIRPSIDTFLAFNYSSSTGKSPMVWPGADDGGGEPAKISGDHQLQMTAPATTTMMMEAITMSGGDSMGSKGFEGQMSSKLDMRSPPPQQTVLPVGSERMSSPIVGAKARNISYG >ORGLA06G0200600.1 pep chromosome:AGI1.1:6:20613062:20616101:-1 gene:ORGLA06G0200600 transcript:ORGLA06G0200600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G53645) TAIR;Acc:AT1G53645] TPPRAPGSPVPDDDDGGGADPFSSPAPIGRGRGEAVIPSVSSPPLPGAGRGRGSPPPLGEVAPKQPVPAKLFDAPAAEASSSEPPPPPSPRTLPSAGAGRGVPRMQQPPVEMPQEENRFIRRREEKKKAASAARPAPSGQPKLSPEDAVKRAMELLGGGGDDDGGRGGRGRGARGRERGRGRGRDGGRGRRSADMEEKHGIYLGDNADGDRLQKRLGEDKMKIFNEAFDEAADNALPDPKQDAYLEACHTNNMIEFEPEYHVNFNNPDIEEKPPMSLEDMLQKVKPFIVAYEGIQNQEEWEEAVKDVMARAPHMKELIDMYSGPDVVTAKQQEEELQRVANTLPGNIPSSVKRFTDKTLLSLKNNPGWGFDKKCQFMDKFAREVSELYK >ORGLA06G0200500.1 pep chromosome:AGI1.1:6:20605673:20611888:1 gene:ORGLA06G0200500 transcript:ORGLA06G0200500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAPWLAGIGAVPFLIIVVVAVVGAAVPAMGRFVVEKSSVRVLSPEHIRGHHDAAIGNFGVPDYGGTLTGVVVYPDKKATGCAEFDAKFKSKSRRPVILLLDRGECYFALKAWNAQQAGAAAVLIADNVDEQLLTMDTPEESPETEYIDRISIPSALVNRAFGESLKKMSSPSPSSEAAVEVVVKLDWRESMPHPDERVEYELWTNSNDECGARCDEQMEFVRGFRGHAQIMERGGYALFTPHYITWYCPEAFKLTQQCKSQCINHGRYCAPDPEQDFGEGYEGKDVVVENLRQLCVHRVANETGRPWAWWDYVMDYKIRCSMKEKKYSKGCAEDVVKALGLSLDKVLECMGDPEADTDNAVLAKEQEDQIGRGSRGDVTILPTLVINNVQYRGKLERTAVLKAVCAGFKEGTEPRVCLSNDIETNECLHRNGGCWRDEKTNVTACKDTFRGRVCECPVVNGVQYEGDGYIGCKAVGPGRCTVDNGGCWSETRGHQTFSACSDTALTGCRCPPGFQGDGHKCEDLDECKEKLACTCPDCHCKNTWGNYECKCKGNQIYIRGEDTCIANSMSRFGWFITILVVSCVAGVGIAGYVFYKYRLRSYMDSEIMAIMSQYMPLDSQNNENQPLRQHDSEA >ORGLA06G0200400.1 pep chromosome:AGI1.1:6:20601223:20603144:-1 gene:ORGLA06G0200400 transcript:ORGLA06G0200400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein [Source:Projected from Arabidopsis thaliana (AT5G64380) TAIR;Acc:AT5G64380] MPLSSLSLLPLHRAPLPPTSNYPPPPPPSRALLLPLLRHSPVSRARAVAADGMAAATAAAETPPTLLEYMGQAGAADDLVVLVAHVQSACKRIAALVASPGNAELSRGKAGGGVAVAAGRDAPKPLDELSNEIILSSLRRSGKVAVMASEENDLPIWVSNDGPYVVVTDPLDGSRNIEVSIPTGTIFGIYNRLAELDHLPEEERAQLNSLQSGTHLVASGYVLYSSATIFCISFGAGTHGFTLDHLTGEFVLTHPSIQIPPRGQIYSVNDARYFDWPEGLRKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKSRILSIQPVKLHQRLPLFLGGMEDMLELESYGDVQQKVNPGYEV >ORGLA06G0200300.1 pep chromosome:AGI1.1:6:20591673:20599756:-1 gene:ORGLA06G0200300 transcript:ORGLA06G0200300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSAAAGRDALLDELRALMAAHSPPLHALVVPSEDAHQSEYVSERDKRRQFVSGFTGSAGLALISMKEALLWTDGRYFLQAEQQLTNRWKLMRMGEDPPVEVWIADNLSDEAVIGINPWCISVDTAQRYEHAFSKKHQTLFQLSSDLVDEIWKDRPPVNALPVFVQPVEYAGCSVTEKLKELREKLQHEKARGIIIAALDEVAWLYNIRGNDVHYSPVVHSYSIVTLHSAFFYVDKRKVSVEVQNYMTENGIDIKDYNMVQSDASLLASGQLKGSAVNGSSHGENDMNENSKVWIDSNSCCLALYSKLDQYQVLMLQSPIALPKAVKNPVELDGLRKAHIRDGAAVVQYLAWLDKQMQENYGASGYFTEAKGSQKKEHMKIKLTEVSVSDKLEGFRASKEHFKGLSFPTISSVGPNAAVIHYKPEASSCAELDADKIYLCDSGAQYLDGTTDITRTVHFGKPSEHEKSCYTAVLKGHIALDTAVFPNGTTGHAIDILARIPLWRSGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLIVKEANTKFNFGDKGYLAFEHITWTPYQTKLIDTTLLTPAEIEWVNAYHSDCRKILQPYLNEQEKEWLRKATEPIAARC >ORGLA06G0200200.1 pep chromosome:AGI1.1:6:20585406:20589350:1 gene:ORGLA06G0200200 transcript:ORGLA06G0200200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLKEYLVIESAEVGNEDGRQEDLLVKEGPGEHQENTIRDSDEAKNEDCSNSGSVTEAVVSSEEPSDRSSTEEPSDRSSTEEPSDSSSGGDSSSQSADSDGGSKEAPKMDSKAGNDDSSECTDQSSPRAVLDISVSGSVDSDESSSVEQPAESNHNTQWRNLISGLILRRRKSMARAGTFPQRTKTTGLKRYLERMRSGKNQIDCGAIAPEILPEISKWRPSWRSFDYSELCAATDKFSSENLIGKGGHAEVYKGHLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKGALKWKARFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKWTHHVVFPIEGTFGYMSPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLVIWAKPLLDSNNMKELVDPSLDVGYDPEEMAHILAVASMCIHHSSSLRPSMKSVVRFLKGDRESLEMMQMQRPKLMKPLMFDSGDSEDYTRSSYLNDLDRHKKLALEQ >ORGLA06G0200100.1 pep chromosome:AGI1.1:6:20573695:20574748:-1 gene:ORGLA06G0200100 transcript:ORGLA06G0200100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1Q4D8] MAPSAAAAAAAATASPLSRLLLSLPNPSVKLPVSSPSRNAGAAVAAKNSTPVVALRRREAVAAVLSAAILSHVLPAAAEASGGECPLEVAPNGLAFCDRVVGTGAAAEQGQLIKAHYTGRLEDGTVFDSSYKRGKPLTFRVGVGEVIKGWDQGIVGGEGIPPMLAGGKRSLRLPPELAYGARGAGCRGWEPTSCVIPPNSTLLFDVEYVGRAVG >ORGLA06G0200000.1 pep chromosome:AGI1.1:6:20567740:20568407:-1 gene:ORGLA06G0200000 transcript:ORGLA06G0200000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFSSVMWKILVIAVAIAALLIPSGEGRFVCRGKCEDIRDCDNWCKTAGGYPQGGQCVPPLYQFCCCIE >ORGLA06G0199900.1 pep chromosome:AGI1.1:6:20558972:20562246:-1 gene:ORGLA06G0199900 transcript:ORGLA06G0199900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNPVSSSTSSSLLWDWDATASAEAPPPPGKRGGRDSSSASASAKRGRSAAAGDAAAAAAEAPRCQVEGCGLELGGYKEYYRKHRVCEPHTKCLRVVVAGQDRRFCQQCSRFHAPSEFDQEKRSCRRRLSDHNARRRKPQTDVFAFGSGTLPRSLFDDRQQISFAWDNNAPLNHANTTSSSSWTSDLQLSQVMDISKRSRKAGADSANIRLSNALPTLCNDTNELLPIKGADASETASKLDGALDVQRALSLLSASSRGLTDPGHQTSSIIQFTNSNQNSTLPSVPNEGNSNVPFWVDGQHQAVEPQVFQFTMDTGNTVFPDLERIKPSYESSMFGLNQIH >ORGLA06G0199800.1 pep chromosome:AGI1.1:6:20556048:20557419:1 gene:ORGLA06G0199800 transcript:ORGLA06G0199800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGSRFQNMLGGAGIGGGIGCRGRQENEMNGFHNMPYYHKVGESSHMSVDNADNLNMMNFVGGSVAMSVDNSSVGSNESRTVMLKHPGLRDMPAPSYSVHNSVIHPNRAMAPTLNEDALARVLMDPSHPTEILSNYEEWTIDLGKLDMGAPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAQLMEQQFVQEVMMLSTLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLGLAVKQALDVARGMAYVHALRFIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNRGSRPAIPQDCVDSLSKIMTCCWDANPEVRPSFAEIVVMLENAEIEVMRNVRRARFRCCIAEPMTTD >ORGLA06G0199700.1 pep chromosome:AGI1.1:6:20542487:20542840:-1 gene:ORGLA06G0199700 transcript:ORGLA06G0199700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLSVAVAALLVVGSCATEVTFKVGEGSSGKSLELVTNVAISEVEIKEKGGKDWVALKESSTNTWSLKSEAALKGPFSVRFLVKNGGYRVVDDVIPESFTAGSEYKSGINV >ORGLA06G0199600.1 pep chromosome:AGI1.1:6:20531973:20536652:-1 gene:ORGLA06G0199600 transcript:ORGLA06G0199600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G07180) TAIR;Acc:AT2G07180] MGGCFSLEEHRLQGKTEAGGPDGLRKCKSDSKATASVLAPPKDVEDLQIEGYGNVNIFTYNELRAATKNFRPDQILGEGGFGVVYKGVIDENVRAGFPSTQVAVKELNPEGFQGDKEWLAEVNYLGQLSHPNLVELIGYCCEGSHRLLVYEYMACGSLEKHLFRRVCLNMPWSTRMKIALGAARGLEYLHGAERSIIYRDFKTSNILLDADYNAKLSDFGLARTGPSGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMIIGRRAVDKSRPSREHNLVEWARPLLVHNRKLFRIIDPRMEGQYSTKAAIEVAGLAYRCLSQNPKGRPTMSQVVETFEAVQNMPECQDILLQNCMTGAVTLYEVAKDPTESVEPEKIKQEQPAAKTVTVAPAPVNGKPVPQSRRTRPGNGRSKSEPSLECKLYIPSPDSDGQQPGLEALSSPSRDGSIKDPPDEDLYKI >ORGLA06G0199500.1 pep chromosome:AGI1.1:6:20522891:20526302:-1 gene:ORGLA06G0199500 transcript:ORGLA06G0199500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:I1Q4D2] MLAKLRPLMATAAAAAAKPAPALSFSTAAAEGDEHAHYNHTDACHHLRWTAKESYEYMYARPWSRVVDFYAELVRTGAGAAGLADLFGKDENGYSLDTNGEDYTAPSENQTSTKSSKDRGGRWERVTFKIVLSYHGGSFDGWQKQPGLNTVQGLVEKHLGQFVDEKKAKQLEARSLPLEGCAVVAGRTDKGVTALQQVCSFYTWRKDVKSSDIKDAINKAAPDKLRPLHVSQVSREFHPNFAAKWRRYLYIFPLDEDVKLISGEEQSAKTVEGPECNIKPQSFDVGKVDKIIRQLEGKSLSYKMFARDTQASRSVGPPTECFMFHSRAAVAKLHTADENCEEGTTRAICIELVANRFLRKMVRVLVATAIREAAAGAEEDALLNLMEATCRRATAPPAPPDGLCLVDVGYEDFNRQRCFIVD >ORGLA06G0199400.1 pep chromosome:AGI1.1:6:20516282:20519916:-1 gene:ORGLA06G0199400 transcript:ORGLA06G0199400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAVRLLLLQAAAALALAATAAAAGGPEAATLLAFRGALRGPHGAPPEPLSQWATTPGPCAGAGTGVSLWYGVTCHQRTGQVRGLRLEYLGLQGPAPDMAPLAALRGLRALSIANNNLTGPFPDVSMLPALKMLYMSRNKLAGGIPPAAFAHMRGLRKLFLSDNAFTGPIPTSITSPKLLVLQLSKNRFDGPLPDFNQKELRLVDVSDNNLSGPIPPGLRRFDAKSFQGNKNLCGPPVGAPCPEVPILASPSPSPPSSSWWSPRSLKILMIIALVVVVVGALLAFAGALTAMLARRREATTETQGGGVGGAAANADAARMKATPNPAVTVAHGGGGGGGEQQPHVTVSAVPAKRGGRRDDHGRLVFIQEGRERFELEDLLRASAEVLGSGNFGASYKATLVEGQSMVVKRFKEMNGVGRQDFNEHMRRLGRLVHPNLLPVVAYLYKKDEKLFVTEYMVNGSLAHLLHGGSSMAALDWPRRLKIIKGVTRGLAHLYDELPMLTVPHGHLKSSNVLLDAAFEPILSDYALVPVMTPRHAAQVMVAYKSPECGETGRPSKKSDVWSLGILILEVLTGKFPANYHRQGRTGTDLAGWVHSVVREEWTGEVFDQEMRGARGGEGEMVKLLKVGLGCCESDVDKRWDLRDALARIEELRERDAGAGADDSSATSSVASGGGGGEASRSHSS >ORGLA06G0199300.1 pep chromosome:AGI1.1:6:20514091:20514444:-1 gene:ORGLA06G0199300 transcript:ORGLA06G0199300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLAVAMAALLVVGSCATEVTFKVGEGSSGKSLELVTNVAISEVEIKEKGGKDWVALKESSTNTWLLKSEATLKGPFSVRFLVKNGGYRVVDDVIPESFTAGTEYKSGINI >ORGLA06G0199200.1 pep chromosome:AGI1.1:6:20505787:20511514:-1 gene:ORGLA06G0199200 transcript:ORGLA06G0199200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFWLAVAMAALLVVGSCATEVTFKVGEGSSGKSLELVTNVAISEVEIKEKGGKDWVALKESSTNTWSLKSEAALKGPFSVRFLVKNGGYRVVDDVIPESFTAGSEYKSVVMDRMEAKPALRGAKLSFISYEVTFKVGEASSGKSLELVTNVAISKVEIKEKGSKDWVALKESSTNTWTIKSEAPLKGPFSIRFLVKNGGYRVVDDVIPESFTAGSEYKSGINI >ORGLA06G0199100.1 pep chromosome:AGI1.1:6:20503174:20503932:-1 gene:ORGLA06G0199100 transcript:ORGLA06G0199100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELETEVTDLTAQQLQLEEEHQAWGSEIDSLKAQLQVHGDQFQQLSDQYNDRRGMMDTLEEQLRKSQEHVSQLEEQLRAATISTTGASTSTAVGRDRYFFLTPPYPPAFHALLGEASMLATESAPYLVDPTATQPPVPEMVHTPLIPTPSPQLGSSLETPIQVDSETEGTDTEPEIEPDITDPSEDETPAPRITFLGGPRTLSTARKSTRPPGKRPKPDPEATTSEPWGLRFARARDHPLPAPGSCGWLED >ORGLA06G0199000.1 pep chromosome:AGI1.1:6:20482030:20482386:-1 gene:ORGLA06G0199000 transcript:ORGLA06G0199000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLPCPSLMLVAAVAALLVIGSSGTEVTFKIGKSSTTSTLELITNVAISEVEIKEKGGSDWRGLKESSANTWKIKSDAPLKGPLSVRFLVKNGGYRVVDNVIPETFKAGSVYKSGIQVQ >ORGLA06G0198900.1 pep chromosome:AGI1.1:6:20478918:20479274:-1 gene:ORGLA06G0198900 transcript:ORGLA06G0198900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFLLAAAVAALLVIGSYATELTFKVGQGSSTTSLNLITNVAISEVEVKEKGAGDWTGLKESSANTWTLKTGAKLKGPFSVRFLVKNGGYRVVDDVIPEAFKVGSVYKSGIQLK >ORGLA06G0198800.1 pep chromosome:AGI1.1:6:20475716:20477173:-1 gene:ORGLA06G0198800 transcript:ORGLA06G0198800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKCPSELQLEAFIREEAGAGDRKPGVLSPGDGARKSGVFSPGDGEMSVLDQSTLDGSGGGHQLWWPESVRTPPRAAAAFSATADERTPASISDDPKPTTSANHAPESDSDSDCDSLLEAERSPRLRGTKSTETKRIRRMVSNRESARRSRRRKQAQLSELESQVEQLKGENSSLFKQLTESSQQFNTAVTDNRILKSDVEALRVKVKMAEDMVARAAMSCGLGQLGLAPLLSSRKMCQALDMLSLPRNDACGFKGLNLGRQVQNSPVQSAASLESLDNRLSSEVTSCSADVWP >ORGLA06G0198700.1 pep chromosome:AGI1.1:6:20461728:20467804:-1 gene:ORGLA06G0198700 transcript:ORGLA06G0198700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDRVTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGNDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQLWDFEPKKLGVGDAITGGDLYATVFENTLMKHHVALPPGSMGKISYIAPAGQYSLQDTVLELEFQGIKKQFTMLQTWPVRSPRPVSSKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDQDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERAANADGQKITYSVIKHRMGDLFYRLVSQKFEDPAEGEDVLVAKFQKLYDDLTTGFRNLEDEAR >ORGLA06G0198600.1 pep chromosome:AGI1.1:6:20454910:20458511:-1 gene:ORGLA06G0198600 transcript:ORGLA06G0198600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPSLRHRAVAKKPKWIIILVSLVCFVLIGAYVFPPRRYSQCYLFGSGACATFKDWLPSVTRRERTDEEIISSVVLRDILAMPMPVSKNPKIALMFLTPGTLPFEKLWEKFLQGQEGRYSIYVHASREKPVHTSSLFVGRDIHSDAVVWGKISMVDAEKRLLANALADVDNQFFVLLSDSCVPLHTFDYVYNYLMGTNISFIDCFRDPGPHGNGRYSPEMLPEIEEKDFRKGAQWFAITRRHALLILADSLYYKKFKLYCKPADGRNCIADEHYLPTLFSMVDPGGIANWSVTHVDWSEGKWHPRSYRAADVTYDLLKNITAVDENFHVTSDDKKLMTQKPCLWNGSKRPCYLFARKFYPETLDNLLKLFTSYTSV >ORGLA06G0198500.1 pep chromosome:AGI1.1:6:20450197:20453419:-1 gene:ORGLA06G0198500 transcript:ORGLA06G0198500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cryptochrome 3 [Source:Projected from Arabidopsis thaliana (AT5G24850) TAIR;Acc:AT5G24850] MLHFLSSSSPLNPQFLLPRQSARLRVLLSIPVSAMSSSSSSSSSRGALAAAAVPSLSADEAGAAADEAFLRYTSPSMRRSGGGGVAIVWFRNDLRVLDNEAVVRAWAASDAVLPVYCVDPRIFAGSTHYFGFPKTGALRAQFLIECLEDLKRNLMKKGLDLLIRHGKPEDILPSIAKAVTAHTVYAHKETCSEELLVEHLVRKGLEQIVIPQGGASNQKKPRNPKLQLIWGATLYHVDDLPFSVNNLPDVYTQFRKAVESKSSVRNCSKLPPSLGPPPSSGLDEIGGWGTVPTLESLGLSMTKAEKGMHFVGGESAALGRVHEYFWKKDQLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKQRIANDSTYWVLFELIWRDYFRFISAKYGNSIFHLGGPRNVESKWSQDQALFESWRDGRTGYPLIDANMKELLATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPDGEYVAYWLPELRSIAKERRNFPGASYIKQVVPLKFDGGHQKRDQQFNRQRKPGHMYRRQK >ORGLA06G0198400.1 pep chromosome:AGI1.1:6:20448553:20449469:1 gene:ORGLA06G0198400 transcript:ORGLA06G0198400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEHLLNTANPSSGFIEEMRELEKLRTETMMKSCQSTTSRAGAIRCPVPRKSGRSYKEYDLTQDLSDFIMSKASPPYFTGSPPVRASNPLVHDTQFCAWKLQNVDQSLSIPIPTKGCNVRYCVREGSITKA >ORGLA06G0198300.1 pep chromosome:AGI1.1:6:20440188:20444160:-1 gene:ORGLA06G0198300 transcript:ORGLA06G0198300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPECPARERFSALRGARWRADLGVLPDCASVSTEEFRRAAADSRRRYANLRRRLLVDPHLSKDEENAPDLVVENPLSQNPESTWGQYFRNAELEKMLNQDLSRLYPELGNFFQTTICQSMLGRILLVWSLRYPELGYKQGMHELLAPLLYVLHADVHYFKQVRELHDELFSDDFDGQTFPDRIKLNRSDRTNTIEGSAAKIRSLEDLDSDTRDLFLINDAYGAEGELGIILSEKFMEHDAYCMFESLMHGSMNGAQGVVAITDFYSLSPAPESSTGLTPVREASSAIYHLLASVDSPLHSHLVELGVEPQYFALRWLRVLFGREFSLDNLLFIWDEIFSSPNHSYCADIKNQSDYQFKILCSHRGALILSMAVSMMLHLRSSLLGSEHATSCLVRLLNFPGDTDLKSLIDKAKLLQPFALEANLPSSPLRGKSPLNPPNYWEETWKILQMSEEKSSGGSINRMKVRGLFRRSSPNTESNVSRTKDANFEDSNSTSGTQSTADEHHRSGIVPVNLVNGTLHTPIRERTSHVEQCTAENMITTSNNVLEAGQPEVHRSSSVDVRDALGVACGNLSRDSSTSLSCGTEYDHETHHADEPCASHDDKVVSEPDPLPVHNDKIDEVTIAAIQTCALVDYQQSQQNKPCSVNGKSEVKYQQNFAVHEVGRKETFELGSSSDVADKELLGTLRLLGESMVENIEVIDLLFQPNLHSTSLDKSEEIVLGSMEQAKAKVALEGLKKISELLRRI >ORGLA06G0198200.1 pep chromosome:AGI1.1:6:20437520:20437765:1 gene:ORGLA06G0198200 transcript:ORGLA06G0198200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYDEVEIEDMEWNAELGAYTYPCPCGDLFQITLADLRLGEEIARCPSCSLFLTVVYNEEDFADAKEPPHKPAPRPVAVA >ORGLA06G0198100.1 pep chromosome:AGI1.1:6:20436139:20437110:-1 gene:ORGLA06G0198100 transcript:ORGLA06G0198100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSRALSRAGFLARRVAARRGGVGILAATRCGYHTRRRLPLTAAEVVEGGGAASAAGGASTSEEAGSSSSAMARRMEEAIDGAMARMSEPEWAPFRPGTSYYAPPRPAGAARGLLALVSHAAARMGPVPRALSADEARAVDAASRGFPCTTYFIDGHFPDEVERSDVIPAEDE >ORGLA06G0198000.1 pep chromosome:AGI1.1:6:20432055:20435679:1 gene:ORGLA06G0198000 transcript:ORGLA06G0198000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGTWRKAYGALKDSTKVGLANFNSEYKDLDIAIVKATNHVECPPKERYLRKILFATSANRPRSDVGYSICTLARRLSKTKNWIVALKTLIVIHRLLREGDGTFKEDFLNYSYRGTILQIPQFKDDSSPLDERVECFRILKYDVEADRLVKLPQASGKPEGAACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFDMQKYDAIKALEIYKRAGYQAEKLSAFYEHCKRLELARTFQFPTLRQPPPSFLITMEEYIREAPRVSIASKSLESEEQNSPSDNEDEAPQETEKPVDEEEQEPSEPEEEPQPTAEPVEETEPVPLATTGDLLNFDEEVNPLIANIEESNALALAIVAPGNENKASASQDLFALDKSGWELALVTAPSTHTSRPVDNQLAGGFDKLLLDSLYEDEARRQQIASATYTGSVAGNPFDPNDPFAMSNNFAPPSNVQLAMMQQQHQYYQEQQQQYYQIQQQQQMVTLPPQTYHQQQQTQYSAVPSHDGLSNPFGDPFGGLVAMANPPKQNNSNLV >ORGLA06G0197900.1 pep chromosome:AGI1.1:6:20415919:20416413:-1 gene:ORGLA06G0197900 transcript:ORGLA06G0197900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYSGHESDNTCSCSCNKPKLLHKPCSHVLAACAKIKLDSTSYVSTFCLKDRVLNAWSAEILGWRSLQHLVETGGDNRIYVPDLDLLKAGKGRRQTRHLRNDMDASEAGGPVRRCEDCLQYGHHTRDCKNNKEGTSSTMEPRQQRARRNRRGSQGAHDREEGNLQ >ORGLA06G0197800.1 pep chromosome:AGI1.1:6:20399921:20405961:1 gene:ORGLA06G0197800 transcript:ORGLA06G0197800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGTVRRGGGVGLRALVVVAVAAVAAAALVVARADTAAADVSAINGLYISLGSPKLPGWSGNGGDPCSELWQGVVCTGSSITSVTMNAANLGGQLGGLGNFTSIVTINLSNNKIGGTIPEDLPVTLQHIFLSANQLTGSIPSSLAKLKNLADMSLNDNQLNGQLPDAFGSLTGLVNLDISSNNLTGVLPPSMKNLSSLTTLHMQGNRLSGTLNVLQDLPLKDLNIENNLFSGPVPPKLQSIPNFKKDGNPFNTSIAPSASPPSTPGGPAPTPTPTRPAPSPSPTGPPTPSPTNPNLEPSPPPPSSSAPPPSRTPSNSSDGPTTRDNISSSKKQNSSTLKIVGFVFLGVVLFIVTVLLVIFCLSKYQERRSRYDYNRSQLARVHHTVEHRINPSIVQPRDDAKKDQQSLAAVPKKPLESQREHIIDLEHPNNLARTNSELFAVDPPPPPPPPFLPSFPVEKVTVNPVVPPEKRYISPPRINKPTSATSFSVASLQQYTSSFREENLIRKSRLGKVYLAEFPEGKFLEVMKIDNTNGRISVDEFLDLVQLVSDIRHPNIHELVGYCAEYGQRLLVYNHFSTKTLDDALHDREGVDSTLSWNARLQVALGSGKALEYLHESFQPPIVHQNFEPANVLLDNKFSVRVAECGLEKLLASSSVTQLADRMHSLLNYEPPESRESGIVTEQGDVYSFGVVMLEILTGRKPYDSSLPRAEQHLVRWANSQLHDIESLSRMVDPSIQGQCSEKALSRFADIISGCIREPQFRPPMSEVVQDLARMVNETGEESE >ORGLA06G0197700.1 pep chromosome:AGI1.1:6:20394680:20396070:1 gene:ORGLA06G0197700 transcript:ORGLA06G0197700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 22 [Source:Projected from Arabidopsis thaliana (AT5G05080) TAIR;Acc:AT5G05080] MATNENLPPNVVRQLAKELKSLDESPPEGIKVIVNDDDFTTIFADIEGPAGTPYENGVFRMKLLLSRDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKLLLENYEEYARHARLYTSIHALKPKNKTKSGTISESTTALNVDQSNNAVSKNTPLGPAALSTSTANKAFGSNLQDQNAAPSDPALGASAVPKKDGLHAVKAPVEKKKIDARKKSLKRL >ORGLA06G0197600.1 pep chromosome:AGI1.1:6:20389599:20391329:-1 gene:ORGLA06G0197600 transcript:ORGLA06G0197600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WREEESAPGPGDRESSAAGEICSELGFAGXWIKVLVAQVLALANLKKYLGQISGLLVFLPLCILLMFLRTPSSTCMARPKCHAHLXMSNLARGSPLTTTLALRTLHHXNHRGLQRRRRCPGEELHSPKRRTWWCVRHFXILAKTLLQVXIKLQVVITRGCMIISMSTNLKAINKFCGHKATVDRLNESGKNEQDQIDDAVQMYEKTEPFTFMHCWKLLRNEAKWNNKFLELNNSTSPDRMEPPSTQGHTVAGHAESGNENIDTARPEGRDSAKRRRSKSYAETSSSSTTVEVLQRLQEKSEKTELKXDQQMVEILSRKDEKIKIQRDLFNLQKKHMKMSVKQHKKENEIREKQTEAQLMSVESSIMSIDIEKVPPYLKNYYLGMQRQIMERRGFSSPLNNGD >ORGLA06G0197500.1 pep chromosome:AGI1.1:6:20383154:20383360:-1 gene:ORGLA06G0197500 transcript:ORGLA06G0197500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCGKEEKLLGVQKAPGSCPYCGGGVAATDVEAKWVLCFLPLCLNNKRRFSCTACNRRLVSYPAIVHD >ORGLA06G0197400.1 pep chromosome:AGI1.1:6:20374117:20377536:1 gene:ORGLA06G0197400 transcript:ORGLA06G0197400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G57090) TAIR;Acc:AT5G57090] MITGRDIYDVLAAIVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISTNDPYSMNYRFLAADSLQKLVILAALAVWHNLLSRYRRNGGAAASLDWTITLFSLSTLPNTLVMGIPLLRAMYGDFSGSLMVQIVVLQSVIWYTLMLFLFEYRGAKALISEQFPPDVGASIASFRVDSDVVSLNGREALQADAEVGRDGRVHVVIRRSASASTTGGGGGAARSGVSRAYGASNAMTPRASNLTGVEIYSLQTSREPTPRASSFNQADFYAMFSGSKMASQMASPMAQHGGAGGRAQGLDEQVTNKFASGKAADPPSYPAPNPGMMPAPRKKELGGSNSNSNKELHMFVWSSSASPVSEANLRNAVNHAASTDFASAPPPAAVPVDGATPKGVSGSVTPAAKNGGGEVEIEDGLKSPAAGLAAKFPVSGSPYVAPRKKGGGADVPGLAEAAHPMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVSFRWNIQMPSIIKGSISILSDAGLGMAMFSLGLFMALQPKIISCGKTVATFAMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNCHPQILSTAVIFGMLIALPITILYYVLLGI >ORGLA06G0197300.1 pep chromosome:AGI1.1:6:20348633:20349121:-1 gene:ORGLA06G0197300 transcript:ORGLA06G0197300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELELSSELAALRPIRVTAAAAAAAGSGAATARPDDAGVPEESGSATVRQGGGGGGGGGASVSAAAAAVVESSDGCVTPTSAGSALRPATVCPPAPRKPRPAGKRMTKRCCCGGGGGRPRRPFFPVPHDLAAVFVARAPAATTSPPCPPPAKKIRVHAVG >ORGLA06G0197200.1 pep chromosome:AGI1.1:6:20342032:20343399:1 gene:ORGLA06G0197200 transcript:ORGLA06G0197200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDHAGAWSDLPADVLITILEALDIVDLVRAGAVCQWWNTSSAYVRGLHHLLSRPCTPCLLYTTAAAAGADADADDPNVATLYSLTDHRSYTVTLPGPHVHRRWLGASHGWLATADDDAALHLVIPVTGQQISNLPPVTTVEPVRRLLDDGGAVVPGMYVVYPYDWTLRVEPLVNAPMTLTARELSEYLYLRVFLSSDPSSDIVGGGCVVVLLHRPDGQMSFARLGDTHWTWIRTPTGNELYVDVGFSADGRMLYGIRRDGAIHEFDLGGEPALERTTILPAQDGMMRHTNYLVDAPWLGGGDGGCWLMVCRRMGAANLQAYAAWLADRSLPYDGVWNTHSIKVYRVDPAAGTAAEINDVGGRHALFLGCNSSFGLAMADCPAGILPDHVYYTDNEEQYALDTPECARDIGVYSMGDGSFHRVKPPSPWLDWPLPAWIIPSFGCLGYSNRFLAN >ORGLA06G0197100.1 pep chromosome:AGI1.1:6:20338997:20341209:1 gene:ORGLA06G0197100 transcript:ORGLA06G0197100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G20500) TAIR;Acc:AT5G20500] MAALLGRRFGMAAAALIALAALGSAASGTASKSSFVKSTVKAHDVVIFSKSYCPYCRRAKAVFKELELKKEPYVVELDQREDGWEIQDALSDMVGRRTVPQVFVHGKHLGGSDDTVEAYESGKLAKLLNIDVKEDL >ORGLA06G0197000.1 pep chromosome:AGI1.1:6:20336185:20338031:1 gene:ORGLA06G0197000 transcript:ORGLA06G0197000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 5 [Source:Projected from Arabidopsis thaliana (AT5G20590) TAIR;Acc:AT5G20590] MPPAAALLPWRRLVVPLLAVVSVPFVLPLALPFLLRSSSSRQPLSVHRLDWLPPLSPLPRTPDPSTPPPPPLTLPLAPPTTPPPPPSTLPMAPPTRSSPAASPPPPEKDEGGGTTCDLYDGEWARDEAARPLYAPGTCPYVDEAYACASNGRPDAAYTRWRWAPRRCRLPRFNATDFLATLRGKRLMLVGDSMNRNQFESLLCILREAIPDKTRMFETHGYRISKGRGYFVFKFVDYDCTVEFVRSHFLVREGVRYNRQKNSNPILQIDRIDKSASRWRKVDVLVFNTGHWWTHGKTARGINYYKEGDMLYPQFDSTEAYRRALKTWARWIDKNMDPAKSVVFYRGYSTAHFRGGEWDSGGSCSGETEPTLRGAVIGSYPRKMRVVEEVIGRMRFPVRLLNVTKLTNFRKDGHPSVYGKAAAGKKVSRRKQDCSHWCLPGVPDAWNELIYASLVLEPKPRSWKHH >ORGLA06G0196900.1 pep chromosome:AGI1.1:6:20323506:20331932:-1 gene:ORGLA06G0196900 transcript:ORGLA06G0196900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSQKVWGVQQLNPMPHARSATGEHTFSSLLKQKSPKTSPTKPRGSMAVSMREVDAVFQGAGQKDALEIWRIEKLQAVPVPKESHGRFFTGDSYVILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETERFLSYFKPCIIPEEGGIASGFRHTEINEREHVTRLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDSNHEGKCDVGSVEDGKLMADADAGEFWGLFGGFAPLPRKTFSDLNGKDSAFSSKLICLNKGQTVPVDFDVLTRELLDSTKCYLLDCGSEIYVWMGRETPLEERKRAGSAAEELLREGNRPKSHIVRLMEGFETVIFRSKFSKWPKKADAVVSDESRGKVAALLKRQGFNVKGLAKAAPVKEEPQPQIDCTGNLQVWRVNGTEKTFLSFSEQCKFYSGDCYIFQYSYPGEEGEECLIGTWFGKKSVQDEKTTAISVASKMVESLKFQAVMVRLYEGKEPAEFFSIFQNLVIFKGGVSTGYKKFISENGIEDDTYSENGVALFRVQGSGPENMQAIQVDTAATSLNSSYCYVLHDGDTLFTWIGNLSSSMDQELAERQLDVIKPNLQSRMLKEGSEYDQFWKLLGVKSEYPSQKIAKDQESDPHLFSCTFSKGVLKVREIFNFTQDDLMTEDVFILDCHSCVFVWVGQRVDTKMRAQALSVGEKFLELDILMENSSQETPVYVITEGSEPQFFTRFFTWDSAKSAMHGNSFERRLSIVKDGVKPKLDKPKRRPTTSSSHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPAIRKPSPKSPSSDPTKPPQRAASIAAISASFERPRPTLIPKSIKASPDVNKPQVEASKPKPEANGKDSTPSKDSPTVTPTIQEDLKEGQPENEEGLPVYPYERLRTSSINPVTDIDVTKRETYLSAAEFRERFGMTKEAFAKLPKWKQNRLKIALQLF >ORGLA06G0196800.1 pep chromosome:AGI1.1:6:20316469:20320358:-1 gene:ORGLA06G0196800 transcript:ORGLA06G0196800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (reverse transcriptase) [Source:Projected from Arabidopsis thaliana (AT5G04050) TAIR;Acc:AT5G04050] MLPRLRVLLPGTRGLSTASPAEAAEAPLSAAELELLLRRDHYSASTRRFHSFLPLLSHPSLLLSSALLLRRRAHPSLHSPPPEPPPLAPAAAAAAEAISSPSSHLRLLLPSRVKGRPLPVPTLPLRLATLSAASALDSVFAPRAATFAYRARHAAVRYLRSIPNASWFFRVAIPRQPFGPCHVRRLLSAISGKVDDPGFVEFLRELFASDAVAFELGGCELGRGLPQESELTATLLNIFFDPVDREVIAIREEVHKKNPRVKDDSVLHTPVRVYAVRYLDEMLVVTSGSKMLTIEVRDRILAVLERDLEVKVDKLGSSVHSAESEKMEFLGMEFQAVPPSVLHPPMSEKAKRARKMYLKRKAAKAQELKNARETRRKKLGLKILSHLFKKVRRGHEFEFDFQIESEVQQLFKEWAEEVVTEYFKSPEHCRYWHRLLTSGDFLSLARVRDQLPPELVDSYDKFQETLDRFLMPMRDRGTSDEEERLAEEEERQYEKRTVQDLTELKMRVNAPIELVRKAVKLAGFTNSMGRPRPIKLLICLDDTDIINWYAGVGRRWLDFFCCCRNFKMVKTVVSYHLRFSCFLTLAEKHECTKRQAISHYSKDLKVKNDDGVAEVHFPTECEIRMMGDKNLSDPKPVDGALTMIFVRLAVDDTTYPCVAHFCAETDTVIYRIRLLQNRLNVDPLNEKKWVQGLSAIHESLNKKCLPLCPMHARMLLLTSVSVNCMRNNFISMLLRYIQILAVDYSSIMLKLLVQLVDSVIDLARNLVIPTELIVMVRMYHHDEVLSSMVKVVSCFYLTWPCRDISMLNRKLFMIVVMAGIGFHEMDDKATSPCRRWNIFGGVRSTAAVDTSYAQDLDQSLKGRQIATARSGLHYAIVYHSE >ORGLA06G0196700.1 pep chromosome:AGI1.1:6:20313720:20313974:-1 gene:ORGLA06G0196700 transcript:ORGLA06G0196700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIVNAERGILDLHQDVQTCGYEDVQVMWNMLSSEKEAAPPPPPPRKRALWRLRLPVWPAAVWSPRGRGMQQREPNPTADCNFAM >ORGLA06G0196600.1 pep chromosome:AGI1.1:6:20305121:20307010:1 gene:ORGLA06G0196600 transcript:ORGLA06G0196600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRMNAAGDESPFPFGAMQAPGPGAYVGFDHGAAAVAAAAAAAQRAGMLQHHHHHMYDGLDFAAAMQFGGGQDPPPHPQLLALPPSMAAPPPPPMPMPLQMPMTMPMPGDVYPALGIVKREGGGGGQDAAAGRIGLNLGRRTYFSPGDMLAVDRLLMRSRLGGVFGLGFGGAHHQPPRCQAEGCKADLSGAKHYHRRHKVCEYHAKASVVAASGKQQRFCQQCSRFHVLTEFDEAKRSCRKRLAEHNRRRRKPAAAATTAVAAAKDAAAAPVAAGKKPSGGAATSYTGDNKNVVSMSAAKSPISSNTSVISCLAEQGKHAAAAARPTALTLGGAPPHESSAPQIGAMLHHHHHHQQDHMQVSSLVHINGGGGGGSNNILSCSSVCSSALPSTATNGEVSDQNNDNSHNNGGNNNNMHLFEVDFM >ORGLA06G0196500.1 pep chromosome:AGI1.1:6:20277682:20282374:1 gene:ORGLA06G0196500 transcript:ORGLA06G0196500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homogentisate phytyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G18950) TAIR;Acc:AT2G18950] MDSLRLRPSLLAARAPGAASLPPLRRDHFLPPLCSIHRNGKRPVSLSSQRTQGPSFDQCQKFFGWKSSHHRIPHRPTASSADASGQPLQSSAEAHDSSSIWKPISSSLDAFYRFSRPHTVIGTVKWCCYVSIXAHFSAQDIXVKLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCFEHSFLMLAFRTSGFHLREDKSSRMEIHLSYMVEQLHSRKFIYYYAQISFGLGWAVGSQPLFLALFISFILGTAYSINLPFLRWKRSAVVAALCILAVRAVIVQLAFFLHIQTFVFRRPAVFTRPLIFATAFMTFFSVVIALFKDIPDIEGDRIFGIKSFSVRLGQKKVFWICVGLLEMAYCVAILMGATSACLWSKYATVVGHAILAAILWNRSRSIDLTSKTAITSFYMFIWKLFYAEYLLIPLVR >ORGLA06G0196400.1 pep chromosome:AGI1.1:6:20274782:20275333:-1 gene:ORGLA06G0196400 transcript:ORGLA06G0196400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRRRLVARPVLATALLGAECARWWLAARLLKTAVVGAERRWQAEHTHRQLATRLVLVAAMLGTEQRELRRPRSAADSPRRPHSALTPLREQDASSPTARSDDAPRRCSPTARSDRAPTALPPRRASSASPHQLRLTLAHRVTARAPLQCFASVERGDGYLSSALAATQYAYRICLLHWRLFF >ORGLA06G0196300.1 pep chromosome:AGI1.1:6:20262860:20267962:1 gene:ORGLA06G0196300 transcript:ORGLA06G0196300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAAGGASPAPEAPPPPPRGWISGLVSGAGRILASVLGPDSPAAASGSATTTSATSPSASSSPASSRHPGYIRDHGNSPLFFPKANKLNKSENEAIMKDYSEASLAIISEIEPKDAIMQLLKQETYSRSECNALVKIIQERVVDSNLNGVDAGGLALPINWKTGRQANIGYSSLSPKGLLPATSIPPVQDHVFDNSAGAGASTTIAHDRGPSAHATDKIQSVLKRSYSVAKDTPEDSRRVRPKINGNSLEISKFKQVDVIRTHSGDDNKLSDVPLFGTNNLIYSNIVSIVGSADEKIGIPNKPSAGDDNKNYDSEFLNPCTNKDLKNSFPLKVEPLDVCIPFEQQMMDLSHQKHEHAACDDSCSVSKLMFKEDIETALSLPVGVPLENGSKNRRRRAPNTQRITPARSPAKGSRRKNNDVTVKSETDLLEQSKGSHDVTVKSEIDLLEQSKLVLMEQSPDLGDIPVKRPVGRPRKAK >ORGLA06G0196200.1 pep chromosome:AGI1.1:6:20251641:20252129:1 gene:ORGLA06G0196200 transcript:ORGLA06G0196200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTLGSGGGNRQIRAASAGSGRVVAGGGEGIYNDSGDGDGDIRVSAKEAAGMVTAMGWRRAETADPAAGRPNLAPPRWIRMVRASLRGLVRLAGWQEDGAAVGDGGDRPARRGDSGDSAQRSGATWLARPASAETMRGRSGGAARETRGDDGQPAEGAAAG >ORGLA06G0196100.1 pep chromosome:AGI1.1:6:20234297:20236966:1 gene:ORGLA06G0196100 transcript:ORGLA06G0196100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MXERGDGVHXHALGGDQYLDEHEPCGLGGDGDELQHDAERVEPRLTVGGNGDARGDAELSMLSMEALRKESVRKAGERCSERAGGGAEWRRQASVRPAERSGGERAARGVERSGDSIRGGTGAAGGGRGATSFITPLNHSNDNGSWVIQENCARLVLYAFFLLXLLVDTLKLLATTDGARQEREERREVAGDRGGSRGIPRRWRRWRLWRKTVGKAEEVQPAAAAPVEEEEEDEDSDRHVTGVCTQHERGAGGGGGGPDAEAEEAMSPSMESSEEPSAAAAAISPAAATVSEGPAGAPGPGAAEEEEESGASAMQHGCLPAAVPPRCHGSLAGRRHRYSGEAATPSRAAAPHRRWEQPISAGGEREGIERKEGDXGXHVGSGWVPPFFIILCVKLTCGSHGYYYYFWIELPR >ORGLA06G0196000.1 pep chromosome:AGI1.1:6:20219284:20219373:1 gene:ORGLA06G0196000 transcript:ORGLA06G0196000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLECRHHQHGRWQARIGRVAGNKDLYLGTF >ORGLA06G0195900.1 pep chromosome:AGI1.1:6:20169487:20169979:-1 gene:ORGLA06G0195900 transcript:ORGLA06G0195900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGWRWWRCSSQEATTLGAVATRGASTPKSGRVTARAMVLFLHVVWMAVVMIRTHGSEVDAIGRTTTSLLAVLTATREVQYGLILRSNVLLVSKGRSK >ORGLA06G0195800.1 pep chromosome:AGI1.1:6:20156935:20157630:-1 gene:ORGLA06G0195800 transcript:ORGLA06G0195800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMAMAIAVGLGRGRWLGEESEGGSSASRRMSGRDERRRGGAAVVGRQLGEEERGGGSTRRRTRSGVEPRRGGAPPSATSPPSSPTSTADKAKGWRRREEEAAVVCNFFPQKQVKAGGKGAFDLYYTKSWLLTKAAVAFGLFGWLLAFAKAKAG >ORGLA06G0195700.1 pep chromosome:AGI1.1:6:20153985:20154842:-1 gene:ORGLA06G0195700 transcript:ORGLA06G0195700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLSLLLLLALVLPASGDDAAAAAAAPAGGASFNVTEILGRFPEFGLFSYLISKTHVDRDINSRNTVTVLVPDNSAVDWLLRRSARLPRAALVELLSVHVVLDYFDAAKIAALPPGKPTVSTTLFQTTGNARRRTGFLAITPTAKGGAVFASAAPGALVNATLKRVVAAVPYNISVLQISNFVVPPGVLTRPRPGPGPLPLPSPPLPRMKPMAIAPTPAPVPAPTKMVPIPPSLPLTDPADEDGDEAPAAAPAPSHGNAVKVMSWWSGLGVLVGTMACVFGYL >ORGLA06G0195600.1 pep chromosome:AGI1.1:6:20153086:20153491:1 gene:ORGLA06G0195600 transcript:ORGLA06G0195600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSSGGGKASSSEMRRIDHGSIWHVIAGNIGLGVTLFGLKGLHDMRMEEREEKWNREREERLEAARKEWIQQTQGQRIVIL >ORGLA06G0195500.1 pep chromosome:AGI1.1:6:20150649:20151570:1 gene:ORGLA06G0195500 transcript:ORGLA06G0195500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWFRVGRIAAAARVLWRGPTPASAPSEVRERLAVETVKLFSPGMNQQDRSFWSYLIGYNCGALIILGNANRELDQRAKALAEEREAL >ORGLA06G0195400.1 pep chromosome:AGI1.1:6:20139669:20145986:-1 gene:ORGLA06G0195400 transcript:ORGLA06G0195400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMAAAAEAAQEEETVVFRSKLPDIEIPSHLTLQAYCFEKLPEVAAHPCLIDGQTGAVYSYGEVEELSRRAAAGLRRLGVGKGDVVMSLLRNCPEFAFTFLGAARLGAATTTANPFYTPHEIHRQASAAGARVIVTEACAVEKVRGFAADRGIPVVAVDGDFDDCVGFGEAMLDASIEPLDADEEVHPDDVVALPYSSGTTGLPKGVMLTHRSLVTSVAQQVDGENPNLYFRREDVVLCLLPLFHIYSLNSVLLAGLRAGSAIVIMRKFDLGALVDLTRRHGVTVAPFVPPIVVEIAKSPRVTADDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFEVKSGSCGTVVRNAELKIVDPDTGATLGRNQSGEICIRGEQIMKGYLNDPESTKNTIDKGGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPDIKDAAVVPMIDEIAGEVPVAFIVRIEGSAISENEIKQFVAKEVVFYKRLNKVFFADSIPKSPSGKILRKDLRAKLAAGIPTDDNTQSKS >ORGLA06G0195300.1 pep chromosome:AGI1.1:6:20133570:20136845:1 gene:ORGLA06G0195300 transcript:ORGLA06G0195300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1Q490] MSSYMEAAAAARAAEAKTEGLLRGACALLAAAAALLVGLNTQTETVLFIRKKATVKDVQALWVLAMAAAAAAGYHLLQLLRCFYLSRFADGKPCRHRRAIAWLCFLLDKGCAYMTFATTVAAAQACVVALYGTHALQWTKLCNIYTRFCEQVAGSLVCAMFAAVGTALLSVVSARNLFRLYPSMLSPPPSSFVG >ORGLA06G0195200.1 pep chromosome:AGI1.1:6:20118202:20121553:1 gene:ORGLA06G0195200 transcript:ORGLA06G0195200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline (GMC) oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G73050) TAIR;Acc:AT1G73050] MAAIPTRLHLLLAALLVAPTLAAAQPRGFGGVVAPPPAYARYVVDAAETAAEEAYDYIVVGGGTAGCPLAATLAGPGGGRVLVLERGGAPAEFPPLATAGGFVRTLAMADPAPESDAPAQTFASEDGVPNVRARVLGGATSINAGFYSRAHPDWFRSHGEGGEAMNWDMKLVNSSYEWVERELAFQPVVRGWQAAVRAGLLEANVTPWNGFTMDHVSGTKVGATTFDSSGRRWSAADLLAFARPGRLRVAIRATVTRIIMSPIEPVARRGRSPQPAVAASGVVYQDRLLQQHHALLRPGGEVILSAGSLGSPQLLLLSGIGPANDLTSLGIPVFADVPDVGKHMFDNPRNGISIIPSIPIDHSLIQVVGIPSANGNESYLEAASYIVPLAPILRRGGPFSPSSPLYVTVVTIMEKVPGPLSEGSLWLTSSNPLESPAVRFNYLSRREDLARCVTGMRRVAKVLESTTMDVFRSAMGSLSQDSRRREFRIVGAALPVDWRTNDTALGDFCQQTVATLWHYHGGCVAGSVVDRDFRVFRVRALRVVDGSTFRETPGTNPQATIMMMGRYIGQKMIDERHSRRQVRTSTDSSSNA >ORGLA06G0195100.1 pep chromosome:AGI1.1:6:20092939:20094090:-1 gene:ORGLA06G0195100 transcript:ORGLA06G0195100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G24540) TAIR;Acc:AT2G24540] MSFSSSMSKQQVLEVAGDEVGGGGEEVMELIPGLPEEVAEKCLLHLPFLYHRLFRTVSSTWNRFLTVSPAKFPSAAAASVSLSLPFLFAFAFDPASRRLQCQALDPFSRRWLLLPPVPGGAAAAGSFAVVGLPRRGEIYVIGGVEEGGDKAVRSVAVYSAARNGWEEAAGMGTARGYMAAGEVGGRLVVAGEDGEAEVFDPEEGRWAPAAARRGAAVARYDAAASGGKLYVTEGWAWPFERAPRGAVYDAASDSWSEMARGMREGWTGSCAVAGGRMYIVAEYGEWRLKRYDEPRDEWRMVTGSGVPPEVRRPHVVSGEVEEVGSGGRRRIYVVGAGLDVAIGTVSPSPAIHGGDDERVDWEVVKGPAEFAGLAPCNAQVLYA >ORGLA06G0195000.1 pep chromosome:AGI1.1:6:20087062:20087310:-1 gene:ORGLA06G0195000 transcript:ORGLA06G0195000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRAPCARGHARLPVTVQRLERELGAVEVGGVGDRRWEAAKQADEGGDRWLEDVDGRRWEVDADWGRRRRQCQLARLPLSWWP >ORGLA06G0194900.1 pep chromosome:AGI1.1:6:20083778:20084131:-1 gene:ORGLA06G0194900 transcript:ORGLA06G0194900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFLLAAAVATLFVVGSYATELTFKVNEGSSATSLELITNIAISEVEIKEKGASDWTALKESSSNTWTIKSGSPLKGPFSVRFLVKNGGYRVVDDVIPESFTAGSVYKSGIQL >ORGLA06G0194800.1 pep chromosome:AGI1.1:6:20079594:20083048:-1 gene:ORGLA06G0194800 transcript:ORGLA06G0194800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1Q485] MALAPPLCHLLAAPPPSTSAAADAAHDHAGALAPHRRASPLRRRGRLFLACRVPAAAPSARGVAAEAAAGRPTVLVTEKLGDAGLELLRRFANVDCAYELTAEELRAKVSLVDALVVRSATRVTREVFEAARGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHAIALLAAMARNVAQADASLKAGKWQRNKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMDIISHDPYAPVDRARAIGVDLVSFDEAISTADFISLHMPLTPSTAKLFDDETFANMKKGVRIINVARGGVVDEDALLRALDNGTVSQAALDVFTEEPPPKDSKLVHHEHVTVTPHLGASTSEAQEGVALEIAEAVLGALKGELAATAVNAPMVPAEVLSELSPYVVLAEKLGRLVVQLVAGGSGIKGVKIGYSSSRDPDDLDTRVLRAMVTKGIIEPISSAFVNIVNADYVAKQRGLRISEERILLDGSPEIPIDSIQVHLANVESKFAGALSDEGDIRVEGEVKDGKPHLTLVGPFSVDVSLEGNLILCRQVDQPGIIGKVGSILGKMNVNVNFMSVGRTAPGKQAIMAIGVDEEPEKEALKLIGDIPSVEEFVFIKL >ORGLA06G0194700.1 pep chromosome:AGI1.1:6:20067947:20069149:-1 gene:ORGLA06G0194700 transcript:ORGLA06G0194700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELRKYWGVGGRRCGACEASPAAVHCRGCGGVYLCTACDARPGHARAAHERVWVCEVCEVAPAAVTCKADAAVLCAACDADIHDANPLARRHARVPVAPIGSAAAAAVAAEAMLFGVAAAGAEAEAVEEKAAAEHHHHQQRQQHGALNLNVEAKDMKLDYLFSDLDPYLNVEFARFPHADSVVPNGAGAGAAIELDFTCGLGVGVGGAKQSYSSYTATDLAHSGSSSEVGVVPEAMCGGGGAIDLDFTRPKPQPYMPYTATPPPSHSVVSAQMSSSVVDVGVVPERAAAMGEGREARLMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRADHDADDADADADDPAAIPSSYMLDFGYGVVPSF >ORGLA06G0194600.1 pep chromosome:AGI1.1:6:20058139:20060389:1 gene:ORGLA06G0194600 transcript:ORGLA06G0194600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASSCCGGSKKVEQGCVSASMSSNTWRIFSYKELHAATNGFSEENKLGEGGFGSVYWGKTSDGLQIAVKKLKATNTSKAEMEFAVEVEVLARVRHKNLLGLRGYCAGGAAGDQRMIVYDYMPNLSLLSHLHGQFAADVRLDWARRMAVAVGAAEGLVHLHHEATPHIIHRDIKASNVLLDSGFAPLVADFGFAKLVPEGVVKGTLGYLAPEYAMWGKVSGACDVYSFGILLLELVSGRKPIERLPSGAKRTVTEWAEPLIARGRLADLVDPRLRGAFDAAQLARAVEAAALCVQAEPERRPDMRAVVRILRGDADAKPVRMKSIKYADHLMEMDKSSVYYGEDGGGDGEEDMDDEEVEEYSLMEDKSSVNFGVFGAMPVQTMHDPYVRRFGGGDGIKI >ORGLA06G0194500.1 pep chromosome:AGI1.1:6:20053933:20054487:1 gene:ORGLA06G0194500 transcript:ORGLA06G0194500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVAELASAPASYAHAANGTAAHSPWQSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLDGGSGNGQASGSAAAGEGAEGEKGSAAGAARPALGFREHVVVIMAGDERPTFLAMPATSRPAVELGAAPVASSCGCSHSEEEKKVEEVSSPPPPSERDADDDAASRRHESSSNTRQQESSQ >ORGLA06G0194400.1 pep chromosome:AGI1.1:6:20032584:20038205:1 gene:ORGLA06G0194400 transcript:ORGLA06G0194400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G10720) TAIR;Acc:AT5G10720] MGDEYLAEPEDEVAISMWPENIGDKHQKQFKMEKLGKDQDALEDANFQQKPSSVDLNRLMELANSEKGVSQMQYFVKHWEYKRANTARLLKEQIGLLCQQRKEIEQRKQQILEEQQFQDESYYAVKRQVPILDEVYKDEWKRPSKKNDDLSHNQELKIDAEYDSISYWKERAMQLEKTLEASLQRERSLEEKLEENIKNLQSHTPVEEFSGMLKRADYFLHLVLQSAPIVIAHQDADLRYRFIFNHFPTLADEDVIGKTDYEILSGEGIEEMNNVKKEVMASGKATKREFVFNTPLFGAKTFVTYIEPVFSKSGETIGVNYVAMDITDQVTRREKMADIRVREAVQKAKETELSKSLHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLDKEQYQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLEATTFRPREVVKHVLQTAAASLKKELILEGCIGDNVPLEVTGDVLRIRQILTNLISNAVKFTHEGKVGINLHVLDKQLPGCRIEGGQLHSKAHSAPAAAAEHFSASPRKCDNDTLGCSNHEDACQTGIPSNDNFGEHHEGDEVVWLRCDVYDTGIGIPEKSLPLLFKRYMQASDDHARKYGGTGLGLAICKQLVELMGGTLTVVSKENEGSTFSFVLPCKIPVKEDHSDDPDDMPSSGGDFTTSDIEGSFIFKPQARPYLLTSGVSVMNNTKLIGGNLFYDPPNILEDRKPFSNGFVLAEDHSTNSASTAHQSNGPSVSRTNKEQHDNAMVIELNRQAERVSSSRGDTTSVSGLIHEERGPCRVHEEKSLHKKSKCSPSSNKAKILLVEDNKVNIMVAKSMLEQLGHGIDIVNNGLEAIRAIQKRQYDIILMDVHMPEMDGLQATKFIRSFENTGCWDTSVKPEHDQMIAGSDNLSDCAHMKKQGKRVPIIAMTANSFSESAEECLAAGMDSYISKPVNFQNIKECLQQYLPPQ >ORGLA06G0194300.1 pep chromosome:AGI1.1:6:20010778:20016819:-1 gene:ORGLA06G0194300 transcript:ORGLA06G0194300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGLGGGGGGGGAGGLGLDLSAVIQAAVVGLVLFSAAVVAVRRAASRYFVVDAAGFAASSYDDHHHPHHHLVMTPRGEEQQRQQGGGAAQGAQAAASGGGEPCAACGYIATKKCSGCKRVRYCSQGCQSKHWQSGHKFKCKQMKKSSPADKLSGGGEEDRNKLSGFGPISLVPARRKLNKVIFPYDEFLKLCNWRDLDYLPCGLLNCGNSCFANVVLQCLSCTRPLAAYLLGMDHSRECYKRHEDWCFLCELQCHIQRASESMHPFAPKNILSHLPNIGGNLGFGRQEDAHEFMRFAIDKMQSACLDEFGGEKAVDPSMQETTLIQHIFGGRLQSQVQCTACGMVSNRYENMMDLTVEIHGDADSLEECLDKFTAVEWLDGDNKYKCDGCSDYVKAQKRLTVYQAPNILTITLKRFQSGRFGKLNKRVTFPMKLDLTPYMSSTDGSDQYDLYAVVVHLDMLNASFFGHYICYIKNYRGRWYKIDDCKVMAVDEEEVHAQGAYMLLYSRRTARPRPLIAVEELMKQQQQLKVCPLNGQNHLIQEDVPLEGVPSLKPSEDLEVDFESNNKSLHTMDREPDLDFHVSFDRDKFTNNDIMHPPVSTVSHALDENTRGDSGFPLEESNTMGSMQFGNSTYETSLVHSPAEQCEEPASCIDSVDYMEIDTEAGVKVERWSRPALGDSVGVMGNGTLVPALENGLAGKPIPGFPDKPSRINSFFAEGCQTGDNGAGSSQELNGHCNGEPSCPEQGVLTNGGNTPSPSTQCYENKFATSTNGNYSIGNGDTLSSSNSLHAGKQNAGFTYNGFNPKPYKEPSGSNTYLNNTCNGKPSEDNHNKCAPNLPAKDCQGGMPFLHRGFLLRPCSRGNPGKCDDGLSISNGTSSSFVSSNSKSSNISSSRNGEGGTPFLSPSFRTNHCRESAAMDTSASSVHDLTTSYNISLEQKSIGAAVPSDLIKESWGEDGTTFGTVYQQRATSVDNVSSRHDENGHVILGANNSSYGGENGSNNGILDMNSSSSQRDAASSTMMASEKGIGPKTADQVNYISDAPEHDGLRRRLTSNFPDQNGIDAQ >ORGLA06G0194200.1 pep chromosome:AGI1.1:6:20009437:20009735:-1 gene:ORGLA06G0194200 transcript:ORGLA06G0194200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVVDPLREFAKDSVRLVKRCHKPDRKEFSKVALRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >ORGLA06G0194100.1 pep chromosome:AGI1.1:6:20004161:20005192:-1 gene:ORGLA06G0194100 transcript:ORGLA06G0194100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43770) TAIR;Acc:AT2G43770] MFPPGNNSLALSAPRPGMELANIQQHPNQALGPGGKQRTSSLEAPIMLLSGHQSAVYCMKFNPAGTVIASGSHDKDIFLWYVHGDCKNYMVLRGHKNAVLDLQWTTDGTQIISASPDKTVRVWDIETGKQVKKMAEHSSFVNSCCPARKWPPLVVSGSDDGTAKLWDLRQRGAIQTLPDKYQITAVSFSEAADKVFTGGLDNDVKWWDLRKNEVTEYLKGHQDMITGMQLSPDGSYLLTNAMDNELKIWDLRPYAPENRNIKTLTGHQHNFEKNLLKCSWSPDNRKVTAGSADRMVYIWDTTSRRILYKLPGHNGSVNETAFHPTEPVIGSCGSDKQIYLGEL >ORGLA06G0194000.1 pep chromosome:AGI1.1:6:20002475:20003365:1 gene:ORGLA06G0194000 transcript:ORGLA06G0194000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRHAPPRPLDEPTVSMGEEEQRRSTVTAALLVLVACNLALALSGLSPPPPSAHDDDDAARVEPVGYLASVASSVLAVCVASSAARHGRRRGRLSVEAVLREARRTWTRPAVTALYVELLTTAMASLLLTLRAFLGAAAATGGGAGAELMAVSASAALVAWLGPVLFAHSDIACRMSLVVAAVEDGYQGRAAVDKAEALVTGRRARGIAVALAASLVEQAPSRWCGDGAPAFVVVPAVLAARLAACYACAAFYYQCRAHHDKNTSSVLNLGESSMVDETEADAMDSVLGCFRLT >ORGLA06G0193900.1 pep chromosome:AGI1.1:6:19985279:19986041:-1 gene:ORGLA06G0193900 transcript:ORGLA06G0193900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGASSTMSFEQAFLKNLLLSLQDCSTTKPLDAMSLHERKRAVKSSADFAMATARGGGARWPKAIVLQQQPASTTARARRCGRIVRRCCGRKTRSGAGGGGEMARRLQVRRRAMALRKVIPGGGDAMDEAALLREAMDYVVHLRAQVDVLRRVSEAVQLQRRYTSSTSLRDYSWSKCALKGEEDSSMNMKR >ORGLA06G0193800.1 pep chromosome:AGI1.1:6:19981300:19983546:1 gene:ORGLA06G0193800 transcript:ORGLA06G0193800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSNGLLGLLNAGVLVLAVVVLGGGIWLSNRAATTDCERFMERPVVALGVLLLALSLAGLAGALCGASCLLWLYLLALFLLILALFVFTVFAFVVTNRGAGWVVSGRGYREYRLGDYSTWLQRRVENSANWAKIRSCLQDGKVCEKLGARRETMDQFVGSNLSPIQSGCCKPPTGCNFAYVSETVWTKPSGFNSTDDPDCTTWSNDQTALCYDCQSCKAGVLANLKNDWKKIATVNIIFLIFLIIVYSVGCCAFRNNRRDNSYPAWK >ORGLA06G0193700.1 pep chromosome:AGI1.1:6:19964999:19972157:1 gene:ORGLA06G0193700 transcript:ORGLA06G0193700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMASPLSSWPWALLGSYKYLLYGPVVGKVVQEWREQGRLPLGTSWCLHLILLLALRSLTYQLWFSYGNMLFFTRRRRVVDDGVDFRQIDTEWDWDNMVIMQTLIAAVLVTSRVFPATSDLSAWDLRGWAIAVVLHVAVSEPAFYWAHRALHLGPLFSRYHSLHHSFQATQALTAGFVTPLESLILTLVAWAPLAGAFMAGHGSVSLVYGHILLFDYLRSMGYSNVEVISHKTFQDFPFLRYLIYTPSYLSLHHREKDSNFCLFMPLFDALGGTLNPKSWQLQKEVDLGKNHRVPDFVFLVHVVDVVSSMHVPFAFRACSSLPFATHLVLLPLWPIAFGFMLLQWFCSKTFTVSFYKLRGFLHQTWSVPRYGFQYFIPSAKKGINEMIELAILRADKMGVKVLSLAALNKNEALNGGGTLFVRKHPDLRVRVVHGNTLTAAVILNEIPGDVAEVFLTGATSKLGRAIALYLCRKKIRVLMLTLSTERFMNIQREAPAEFQQYLVQVTKYQAAQNCKTWIVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPEDVEGLGTCEYTMGRGVVHACHAGGVVHFLEGWDHHEVGAIDVDRIDAVWNAALRHGLTPA >ORGLA06G0193600.1 pep chromosome:AGI1.1:6:19942390:19944406:1 gene:ORGLA06G0193600 transcript:ORGLA06G0193600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRAAVFAVLTLLLSVAAIAMARPIRRSDLGLGLGANGGLGVGLGLDIGLGGSGSASSSGQGSGYGAWSGPNGGSYTASGHGLGLGSGYGYGSGSAYGAGNGGSASGCGSGSTSCSGSGSGSVGLGTSINVGVGVGANGGSNGGSDCDTGSGSNYGSSTGSSSGYGSGGVSYRSRGHGGSSSIGSGSGVGLGATSGVGAGSNVGPSGGCSTCGSGSRSGSGAGGGSYSGSTSGSSSSSGSGSNSNSIGGSGSSSGAGSGSSASSGYGGSSSGSMSGSGSSSSSGSTGMSYGSSGAGSFSGSSSGSNSNAGSMSGANSGAGSSSSAYGASGSGSNSMSDSGSSSGSVSASGSGSSNSGAGSGSSSSSWSGSNSGSGTMSGIDAPSSTGTSSTAESNSGSATSVTGANSGSDSSAWSNSNSNSGSTMPSGIGVASNAGSSSGSWSNAGSNTGTLSGAGSSNWSSSTSGSTSSSGLGGGQGVGSRFGSGAQPTFGMGGGGGFGAGYGFGAGAGGWGKHH >ORGLA06G0193500.1 pep chromosome:AGI1.1:6:19938639:19941133:1 gene:ORGLA06G0193500 transcript:ORGLA06G0193500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVAIGVGRRRRRWPEVEERLTQPRRLLRQLSDVDSGRLRRLIRSGDLAPCFDAAEDDAGLNEDCPICFYFYPSLNRSKCCGKGICTECFLQLMPSKSSKKCSCPFCKTASYAVEYRGNRAKREKKLDQQKEQNTSESKKKIQSKSQIADELVQP >ORGLA06G0193400.1 pep chromosome:AGI1.1:6:19935206:19936273:1 gene:ORGLA06G0193400 transcript:ORGLA06G0193400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTTADPHASFLADKGGKVFVAGHRGLVGSAILRHLVSLGFTNVVVRTHAELDLTRQSDVEAFFAAELPRYVVLAAAKVGGIHANSTFPADFIAANLQIQTNVVDAALKCGSVRKLLFLGSSCIYPKFAPQPIPENSLLSGPLEPTNEWYAVAKIAGIKMCQAYRIQHGFDAISAMPTNLYGPQDNFHPENSHVLPALIRRFHEAKASNAAEVVVWGTGSPLREFLHVDDLADAVIFLMDHYSGLEHVNVGSGSEVTIKELAELVKEVVGFQGKLVWDSSKPDGTPRKLMDSSKIQEMGWKPKVPLKEGLVETYKWYVENVISAKK >ORGLA06G0193300.1 pep chromosome:AGI1.1:6:19925939:19926982:-1 gene:ORGLA06G0193300 transcript:ORGLA06G0193300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQQRSSSGSTAKAGDADGDGDAAAVSFLGDKSAKVFIAGHRGMVGSAVHRKLDALGFTNVVVRTRAELDLACQAAVEAFFAAELPRYVILAAAKVGGVHASSAAPAEYLTENLRITVNVVDAARRCGSVRKLLLLASSTIYPADAPQPTPESALLSGPPAPGSEWYAIPKIAGIKMCQAVRAEYGMDAIAAAPNNLYGPRHPFPPEQSHVIPALIRRFHRAKLAGAGEVAVWGSGAAAREFTHVDDVAEALVVLMERYSGEEHVNVGSGEEVTVRELAEAVRGVVGYEGVVAWDAARPEGVARRVVDSGRMRKLGWEPRVALRDGIQDLYRFYLRHECGGQAHHA >ORGLA06G0193200.1 pep chromosome:AGI1.1:6:19921015:19923712:-1 gene:ORGLA06G0193200 transcript:ORGLA06G0193200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTVTETAAAAAANTVARRRHRGGWCCAGGGAEEEEEEVVALSSSSSAPGKKVGAAAGGLPRLVRFEELPDYLKDNEFIRGHYRCEWSVRDALRSAFAWHNETLNVWTHLGGFFLFLWLAVAGGTERPAAAAAGVNAAPGIMTFLVASSANNASWETNSTSLEGKDSPALLGGGEHTLARWPRTVFLVGAITCLAVSATAHLLACHSRRFSRLFWQLDYAGIAVMIVASFFPPVYYAFLGRAVAQVAYLSAITALGALVVAALLAPARSSPRLRHIRARLFVSMGLSGVVPALHALWLNWGHPECYLALSLELVMGLLYAAGAGFYVARVPERWRPGAFDFVGHSHQIFHVLVLAGALTHYAATAILIDWREAAIAAGGGAAAFL >ORGLA06G0193100.1 pep chromosome:AGI1.1:6:19917968:19919893:1 gene:ORGLA06G0193100 transcript:ORGLA06G0193100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAGAACRRASYTLLGPPVERLRAAVSATAAAASTGDPFVDLLDSNYNKPKPTPPAKRLTENYSPTFASSGDPCLDFFFNVVPDTHASTVTSLLSAAWTAEPNTALRLACNLRGVRGTGKSDHEGFYATALWMHDHHPKTLALNAPSVAEFGYLKDLPELLHRIIHGGVSTRTPPPARTRSYYSSRRPRKVRRDPATRAARIAASQEKHRKISDQAAVERRKKRAEAAARAVEMYARDPKYRFLHDRTADLFADLIADDMRKLEDGKVNNLSLASKWCPSLYKCYDRSTLLCESIGRRLFPKGSDAELPEDLPDEYYAYRVRERLRKMALVPLRRALHLPEVYISARRWGDVVYSRVASVAMKNYTDLFLEHDHERFNLFLANVKTGKAKIAAGALLPHDILASVDSHDKEGNEVANLQWKRMVDDLLELGKLNNCLAVCDVSGSMNGRPMDVCVALGLLLSELCDEPWHHRVITFSERPQLHHIKGETLYEKEEFIREMEWGFNTDLQAVFDQLLHVAVSGNLPPERMVKKVFVFSDMEFNQASSRAWETDYEAITRKFNEAGYGGAIPEVVFWNLRDSCSVPVTGNKKGVALVSGFSKNMLKLFLSGGYEKMTPRAVMERAISGREYEKLVVFD >ORGLA06G0193000.1 pep chromosome:AGI1.1:6:19907580:19916597:1 gene:ORGLA06G0193000 transcript:ORGLA06G0193000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:I1Q467] MPLLLFPISPPCVPPPRPRLRRLSPPPPMAAVAPPSLSTPVTILPSVSVALPPLPPPATDDFHWLDLFAFLNSPADSYQIPVEEQEVEVEVEVEVGVERERERERERERARKAEHRRLRQRQVKAETEAWARAADEYRELEREMLDRRLAPALPYVKSLFLGWFEPLRDAIARDQEVQRRKRVKHVYAKYLLLLPADKVAVIVMHKMMGLLMSSKDGVASVRVVQAAHCIGEAVEREFKVQTFFQKTRKKSAGENDLALEKEQAKCRKRVKSLVRRRKLTEAQKIVQQEIELEEWGTESQVKLGTRLIELLLDSAFVQSPADQTPESSPDIRPAFKHVLRQPIVENGRLKKKHWVIECDPLVHEGFESTARHVEIPYLPMLVTPKKWKGYDTGGYFFLPSYIMRTHGVKDQKEAIKSVPRKQLRKVFEALDTLGSTKWRVNRRVHNAVETIWSRGGGIAGLVDKENIPLPERPETEDPDKIQKWKWSLKKAKKANRELHAERCDTELKLSVARKMREEDGFYYPHNLDFRGRAYPMHAHLSHLGSDLCRGVLEYAEGRPLGKSGLRWLKIHLANKYGGGIEKLSHEDKVAFVENQLPDIFDSATNPVDGNCWWMNAEDPFQCLAACMDLSDALKSSSPQCAVSHLPIHQDGSCNGLQHYAALGRDYMGAAAVNLVPGDKPADIYSEIAARVLDVVREDSMEDPATNPTASLARVLVDQVDRKLVKQTVMTSVYGVTYIGARQQITKRLQEKGLITDDKLLYEVSCYATRVTLDALGQMFQSARGIMAWLGDCAKMIASENHPVKWTSPVGLPVVQPYKKYKNYMIRTSLQCLALRREGDAIALQRQKAAFPPNFVHSLDSSHMMMTAIACKKAGLHFAGVHDSFWVHACDVDKMNQILREQFVELYSMPILENLLKEFQTSFPTLEFPPCPSQGDFDVREVLASTYFFN >ORGLA06G0192900.1 pep chromosome:AGI1.1:6:19904113:19904455:1 gene:ORGLA06G0192900 transcript:ORGLA06G0192900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGGCSTCLETIFSVVLPPLGVFFRYGFCSSEFVVSSALTALFYVPGIVYSVWVVILKTPPEPPGIDGERPYYILA >ORGLA06G0192800.1 pep chromosome:AGI1.1:6:19892373:19895447:-1 gene:ORGLA06G0192800 transcript:ORGLA06G0192800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKLSEDGENDKLKFGLSSMQGWRATMEDAHSALLDIDNDTSFFGVFDGHGGRVVAKFCAKYLHREVLRSEAYSAGDLGNAAHKAFFRMDEMMRGQRGWRELQALGDKINQISGMIEGLIWSPRGSDSNDQHDDWAFEEGPHSDFAGPTCGSTACVAIVRNNQLVVANAGDSRCVISRNGQAYNLSRDHKPELEAERERILKAGGYIQMGRVNGTINLSRAIGDIEFKQNKFLSPDKQMLTANPDINTVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEHINTESSLSAVCERVLDRCLAPSTLGGEGCDNMTMILVQFKKPISQNKNVSPAEQSAADKQPTGDTHWSEIHVTEESSS >ORGLA06G0192700.1 pep chromosome:AGI1.1:6:19888508:19891405:-1 gene:ORGLA06G0192700 transcript:ORGLA06G0192700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRFRAGDRRHRPPSAASGPFSDTRRGYFVGAAQAMRGSATPRAGAVGHFRGGLRPPPPTPFGWEEAARLERIISEEVGRRLIEKEVERRLIEEDVRREVAFAHGLHVLPHDPFPRPPPPPPEMPVGMHPHPHEPPPRFQELGAWEGFRPRRQHAGVAAPLRFGQRMLLGGAERRWSPPPPPRPKPKHKLVLREIEPGESSEVPSETKHSESKPLETKPLQTKVSGVKRKVDAIPATTRPGKLQKPAQDWSCALCQVSATSEGALNEHLEGKRHKAKLAHCGASNAIKDGKSSLKEKTANKDDAGPSDAPKKICIQVDGAMHEVVQKSNYLWCDRCKVRCDNNVTMADHLRGKKHSGLNKVWTSINAVRMNKKKEQSAATWEETVNENERTEIPVEAKDDSAGLSTEEDETCHYEIPVKNSKNEGTYLATEVDQSDSEIETPVEIMREGLNMATDATDENVRMEDPLEIKKENPDETNLAPKEEQH >ORGLA06G0192600.1 pep chromosome:AGI1.1:6:19886938:19887268:1 gene:ORGLA06G0192600 transcript:ORGLA06G0192600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDGRKETTAAARAQKEAAAAGISVRESLQHAWASFLGLVRKVTARSEEEAAEADMRAAKMQVEATDEAEAKKKQLAD >ORGLA06G0192500.1 pep chromosome:AGI1.1:6:19882618:19886029:-1 gene:ORGLA06G0192500 transcript:ORGLA06G0192500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESGRRSGGGGVRVCVTGGAGFIGSWLVKKLLGAGYTVHATLRSIGDEVKVGLLRRLVPGDAPPERLRLFEADLYDAATFAPAIAGCQFVFLVATPFLHDATSTKYNNTAEAALDAARVILRQCEESSTVKRVIYTSSMAATSPLKEDSTGFKDSIDESCWTPLAVDYPYRSARFDEYILSKLLSEKELLGHSHAGERRRPAVEVVTVPCSVVAGGTLQGQSTTSLDCVVSPVSRDEGSFRALRLLQRLMGSVPMVHVDDVCDALVFCMEQPSLTGRFLCSAAYPTLDDIVEHFAGKYPHLDLLKETETLPSIQAHTDKLGELGFKYKYGMEEILDESVECAVRLGCLDASKLSLQG >ORGLA06G0192400.1 pep chromosome:AGI1.1:6:19879916:19881855:-1 gene:ORGLA06G0192400 transcript:ORGLA06G0192400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGRSGGVAGDGVRVCVTGGAGFIASWLVKKLLERGCIVHATLRSMGDEEKAGLLRRLVPGAAERLRLFEADLFDAATFAPAIAGCQFVFLIATPYGLEASNSKYKNTADAAVDAVREILRQCAESKTVKRVIHTASISTASPLIDVPGAGVGAAGYRDFIDESCWTPLDVDYPLRSAHFDKYVLSKMMSEKELLGYNDGEGRAFEVVTLPCGLVAGDTVLGRAPETLENAVSPVSRNEPSFAFLRLLQRLVGSVPLVHADDVCDALVFCMDQPSLAGRFLCSAAYPTIHYIVEHFAAKYPHLDVLKEPEREVARVQPAADKLGELGFRYKYGMEEILDGSVGCAARLGYIDAAKLRPQEG >ORGLA06G0192300.1 pep chromosome:AGI1.1:6:19876129:19877174:-1 gene:ORGLA06G0192300 transcript:ORGLA06G0192300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGMLCVSSSPTASASVARGRRQRRRSVEVRCSSVAAAGPGGPVEEHYRTLRLPPGATKGEVKRAFRRLALTYHPDVSKESDSGVHFQRINVAYQMVMGNMREAEERLEYWRLKYGLDDEDLDKYRNHLNDEDDDEWFDV >ORGLA06G0192200.1 pep chromosome:AGI1.1:6:19865345:19869072:-1 gene:ORGLA06G0192200 transcript:ORGLA06G0192200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAASSAAGDSAKQPLLHHQRGNPPHVASVSSPSLPSAPPGALAGGRRFPGGLDVPNLKKRGGGTRSWIRVEAATASVQTLEVDKATMMRRCELPARDLRLLDPLFVYPSTILGRERAIVVNLEQIRCVITADEVLLLNSLDSYVLQYAAELQRRLLQRAEGDELPFEFRALELALEAACSFLDAQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLSEKKLRTEASFYGDQSMLGYNSVGDGTSFSAPVSPVSSPTESRKLEKAFSLCRSRHDSVKSSDNTATEHIQELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFETSVFSIQNAFQWVLIITGVIGAFIFCGFLWFFKYKRLMPL >ORGLA06G0192100.1 pep chromosome:AGI1.1:6:19864550:19864765:-1 gene:ORGLA06G0192100 transcript:ORGLA06G0192100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEKMRAWASMAEDPLKRASAASSSSTSPLRRYSPATLAAGGLLVGAVAYFMFKGKQGQGRQGDQPVRRP >ORGLA06G0192000.1 pep chromosome:AGI1.1:6:19861493:19864080:1 gene:ORGLA06G0192000 transcript:ORGLA06G0192000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:I1Q457] MATREVPLLLLLVVVMVLAGAGAARGFYLPGVAPRDFRKKDQLAVKVNQLSSIKTQLPYSYYSLPFCRPATIVDSAENLGEVLRGDRIENSLYVFEMREPRLCQIVCKTALTHQEAKDFREKIDDEYRINMILDNLPLVVPIRSLLDDHDAPTSYQLGVHVGIKGQYAGSNEEKHFIYNHLSFLVKYHRDENTDLARIVGFEVKPFSTKHEYDGEWKENETRLKTCDPHSRRLVVDSDSPQEVEAGKEIIFTYDVNFEESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMLAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPARAGTLCVFVGTGVQFLGMLLVTLLFAILGLLSPSNRGGLMTAMLLVWAFMGVLAGYAAARLYRGFRGSEWKAVAMRTALAFPGAAFAVFFVLNALIWGERSSGAVPFTTMTALVLLWFGISVPLVFVGSYLGFKRPAATEDYPVRTNKIPRPIPEQPWYMNPAMSVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFAILVVTCAEIAVVLCYFQLCGEDYEWWWRSYLTAGSSALYLFLYAAFYFFTKLDITKVVSGVLYFGYMLIASAAFFVLTGTIGFYACFWFTRLIYSSVKID >ORGLA06G0191900.1 pep chromosome:AGI1.1:6:19829396:19830957:-1 gene:ORGLA06G0191900 transcript:ORGLA06G0191900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETTTMMKVLVRVREFDVEKDLPAVEELERRCQVGLSGDMAAVHDHADDGDGAAAKEKKKTKTKTKKKKAGMSLCVEQIGDPLARVRHAPEHVMLVAEYGEEEEKKKVVGVIKACVKTVSRGGKQEKPFVKVANLLGLRVSPSHRRLGIGTALVRRAEEWCVARGAEHATIATTESNAASLALFTGRFGYAPFRRPEFIGHPVHAHRLPVARGHRVFQLPPEVAAAAYARLLPPQDAEFLPADMPALLAHKLTLGTFVAVAADGASFAVLSVWDSTRSLSLRVSGAPALLRASLAALRALDRGAPWLHLPSIPDIFRPFGAYLLYGLRMSGPDGPALLRSLCHHAHNVARKNPACAVVAADISPDDPAAAAVPRWRRFCCNEDVWCIKNLNPDEHDADDWAAPPPPPGRHLFVDPREF >ORGLA06G0191800.1 pep chromosome:AGI1.1:6:19827487:19828179:-1 gene:ORGLA06G0191800 transcript:ORGLA06G0191800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAMDQADEVHKEKVCYYLDHIVQAKYGVFTVHRGALVRMVQAPGHGMDGLLKKKSGLTDTGVGGAYPILVPCKNLGVVVVTDITLTDRLSPAPRAATGAERCRAKSGL >ORGLA06G0191700.1 pep chromosome:AGI1.1:6:19823197:19825397:1 gene:ORGLA06G0191700 transcript:ORGLA06G0191700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGQ >ORGLA06G0191600.1 pep chromosome:AGI1.1:6:19810016:19814125:-1 gene:ORGLA06G0191600 transcript:ORGLA06G0191600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSARGRGRLLLLLLLAAAVAAGAPAAPAVAEVATCKAWLVQSIPTDMPHLRRVPGVLSTADVLQWLSGNATKSLDVLAQYWQFLAQPKNPKSGDYGYSESEMVRFGADKGQRVYKALEKAADRKIKIRIVQHSGFAPDFDKESADLAAGRPNVQNVTLLFGDWWGSGVVHAKVWISDKKDVYIGSANNDWKSLSQVKELGIYFADCPQIAKTVEIYFQNLWKLSTLNSTAYTKVAWDKQWQAFRKVPCWSHFLKPEERCRSPLPPSVDVPYVDGYPSLANPKMLDFSFETPGYKSSTKEHHLSYLSYAPPELSFDKFQADEQGWLDTIKSVKFGGVVRISTMDWLGQSQYATQTVFWPSLSSAISEVIFSKNATVRILVAYWTHFIPNTEKYLKSLLYSNILCTSSSYNHCMGKVEIKYYVVPGYNKTGPALAQGAATGNRYPDFTRVNHGKYAVSDVRANIGTSNLIWDYFYTTAGVSFGTYSPAIVSQLQDIFDADWFSPYTVPVKPLEASA >ORGLA06G0191500.1 pep chromosome:AGI1.1:6:19804195:19806656:-1 gene:ORGLA06G0191500 transcript:ORGLA06G0191500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMAGAPRVRSLNVAETDADARPVLVPGGNKARSGPAAARKPSPKPLRKADTAARTPEKPAAAAAPPAKEEEGAKKNAGGGVGKGSSPLPSPRRAQPQPPPARKAAHDAPVHLNLSLNASCSSDASVESLRGRDSSGGRLERSWSRVAPAVPRRGKTPVKAAAAAAAAEKVAADAEVVAPATPEAGKRRCAWVTPTSDPCYVIFHDEEWGVPVHDDRRLFELLVLSGALAELTWPEILKRRQLFREIFVDFDPVAISKINEKKLVAPGSVANSLLSEQKLRAVVENARQILKIVDEFGSFNRYCWGFLNHKPIVSKFRYPRQVPVKSPKADMISKDMVRRGFRGVGPTIIYSFMQAAGLTNDHLVSCFRFKECNEAPTLCTSDTSNANSEADLSADELRTKICSKEMAAKAELSRTIGTLIIS >ORGLA06G0191400.1 pep chromosome:AGI1.1:6:19797897:19800545:1 gene:ORGLA06G0191400 transcript:ORGLA06G0191400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLTLLLLLCLASPPLAAAAAAACGTNTSLVGYEADLWMSQHQLRGRVEVLDGCSFRVAALDLLAGSASARWWRAEGPDLDSLARGAPAAGDPLNRTFLSESLVFRLLPGVSWPLVPVLAAFDPLTSSLFGFVRLSNDSSADSEAPTMFDSCAQLSPRLRVRWTLHGTSDSIDIGLEAAVGSEYYIAFGWAAPGAPEPSMIGADVAVTGFTEDGLPFADDYYVTKYSECTVRADGAVEGVCPDTIYEQGNDTAAGAVNNTRLVYGHRRDGVSFVRFSRPLVSPDKKYDVPVNATANMTVIWAIGLLRPPDSLQPYYLPLGHGAPAGTAFGFATLNVSASGGCVGPLDAEDKEDQDRITAERNTPLVVTAGPSLHYPNPPNPDKVLYINKKEAPLLKVERGVPVTFSVEAGHDAPLYITSDAVGGNATSRNATEVVFAGGARAEGVPAAPAELVWLPDRNTPDVVYYQSLYDPKMGWKIQVVDGGLSDMYNNSVLLDDQQVTFFWTLSGDSINIAARGERKSGYLAVGFGSAMVNSYAYVGWIDGNGTGHVASYFIDGEDGAGVHETSENLTHTRCRSENGAIVFELTRPLSPSCSGRVECRNIVDPTTPLRVIWAMGSQWSSGQLTVSNMHSITSNRPVRVLLLAGTAEAEEELRPVLAVHGFMMFVAWGLLVPGGIMAARYLKHLKSGDLWFQAHTYLQSSAMAVMFLGLLFAIAELRGFSFKSTHAKIGTAAFVLACLQPINAYLRPHLLAENGEILPMKNRVIWEYLHIITGRSAVVVGAIALFTGLQHLGDRYGSKNIKGLTCGLILWVVGVTLVVVYLEFMAARRRRGGGADDLSGKWVLGNTDEDDSVDLLQSTKMESDSIEPMEMQLEPLKG >ORGLA06G0191300.1 pep chromosome:AGI1.1:6:19762699:19770907:1 gene:ORGLA06G0191300 transcript:ORGLA06G0191300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 5 [Source:Projected from Arabidopsis thaliana (AT5G25150) TAIR;Acc:AT5G25150] MEDEEMEKKVQQYLQRKGFRLTELALQEERNRISTSSVSDVALARSENDPARYYDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHSFMDLVAEGHTQEARSFFHTFREDHELMHSRDLQKLEGILSPSHLEEMELARSLRQNQFRIKLCEYSYELLLQYLQKTQALVVLGIINERTTFDVSPGQPSLISDDTDVVALVGTKKDLAKQINLKEVHWGLLEDSVEERMEKTLLESDKTEAESKDADAEDNNKRKSSEGGKQGGSVKKVKKDKIAGATGKTNKSETSIVSVAPRVKPELTLPVIPVEVEQSILEDLRNRAQLNSLALPSVSFYTFLNTHNGLNCSSISHDGSLVVGGFSDSSVKVWDMSKIGQPPKTSSPQGENGLSQGERTSASDYGKRPYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVSPLGGHSSCVWSLAYRALLFNLFCHCCSCEGALLASGSADCTVKLWDVASSTKVLKTDDTSTNRLRMLKTLRTKSTPVYTLRFSRRNLLFAAGALSLGS >ORGLA06G0191200.1 pep chromosome:AGI1.1:6:19736534:19738306:1 gene:ORGLA06G0191200 transcript:ORGLA06G0191200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAASRDASGGTWAVGFPEWPATTPPRRGDYYYLSVLDLDSLSPLAVSRRRRLLSEWPCVAWWKAVSERGDCTYGTTCLFVPQEVTGGSPQSISRIRVAARIQADGGAGPLARVGKGDGDAGPTDNGGGPACFSGLHYKAPLPRPSAGGHRCGAVATPSVTDEVAPSALLLGHIAPEQRKRVWKVVGPTDGKWSRLAKFCY >ORGLA06G0191100.1 pep chromosome:AGI1.1:6:19731771:19733140:-1 gene:ORGLA06G0191100 transcript:ORGLA06G0191100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMLPPPSQSVSSRPPSWLYIPPRRRHGTFTSSCAFRLSPSSPSSPPPPVLDFQCIQFMDSWIEQTSLSLDLNVGLPSTARRSSAPAAPIKVLVEENFLSFKKDHEVEALEAELRRASEENKKLTEMLRAVVAKYTELQGQVNDMMSAAAVNAGNHQSSTSEGGSVSPSRKRIRSVDSLDDAAHHRKPSPPFVAAAAAAAYASPDQMECTSAAAAAAAKRVVREDCKPKVSKRFVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSADDNTVLVATYEGEHNHAQPPHHDAGSKTAAAAKHSQHQPPPSAAAAVVRQQQEQAAAAGPSTEVAARKNLAEQMAATLTRDPGFKAALVTALSGRILELSPTKN >ORGLA06G0191000.1 pep chromosome:AGI1.1:6:19718113:19719392:-1 gene:ORGLA06G0191000 transcript:ORGLA06G0191000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGPPRSRRRGRCCRGLGGPGRRVGLGGRGRRRRRGGVVVEEEIAVEKNGEPVAAAAAATVASTSVFGNESHTRTPDLGVGLSLVFLLAKTSDEFNKMAKVRAEMETLLREIKEQVRQSSSGGVGDDDASKPRCNLESAASSCLTDTNENERASARMMEDQATSSSNHMEEEEVSCEKSAEEYECCFPRMDVLEEEFHAELDLLQVNYGSDVQLFLPEEHDAEQPDEITECREEFNDDVGREDEVVEDEDYDDEAEYNGVNAVELERRLHELLHQRNQERIEELELALKRAEKKLVEKEMEVSMWKDTAKLALRQDSSTML >ORGLA06G0190900.1 pep chromosome:AGI1.1:6:19713742:19714290:-1 gene:ORGLA06G0190900 transcript:ORGLA06G0190900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLHRRKPTTTMTTRRRRRKMPLLPASLPSSGGRLGSPPSPPPSCASPALARRPPLRQPPMPRAHAAAGDGGPRASASCSGAWPGQRNLTAIDGFPPPFGRHFTVHCLRRSPSIPRASSLAGQYLYFVWNLLALTLASLLDLETAFCHILFPPKCGGSSSAEPHAQRVARRLQHRPFHLR >ORGLA06G0190800.1 pep chromosome:AGI1.1:6:19711029:19713615:-1 gene:ORGLA06G0190800 transcript:ORGLA06G0190800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRAPLLIGGGRLGFLLFGGQSPAASRTLCGIGLEATALAAADVAVPAAVEDGDRAWRYPRWLPAQGWPSNAKSELQLLDFSLALAPAIALAVVADTISSCSSLYIVCSAACVPLGILKLGSEFLADVQYYNNFQFTSYCGGHLMPKYLDQLLQNVGNMEWNVVLAPRMVILLMITKEVLPNLMFFFSNNLKTQSTSVSYCVSAGRSRFTPDPVATHGTVLVSGKEKVHRRSLNLSSSYKIILEPQNQIQRIPPIHPSVLPLPPRGDAAAGLGCSPWSRRRSGSGGGAGWGS >ORGLA06G0190700.1 pep chromosome:AGI1.1:6:19703164:19703514:-1 gene:ORGLA06G0190700 transcript:ORGLA06G0190700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTGIRCNAIRRRRRLLLLLPISPLIFSSLCTLACISLTLYKLSYKGKQKLWGNLTICHWIHAIVTGVFATLRHFGAASTMRLVAWMLIHGRAAALGAGNHRLVLSGVASVPW >ORGLA06G0190600.1 pep chromosome:AGI1.1:6:19699214:19700006:-1 gene:ORGLA06G0190600 transcript:ORGLA06G0190600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSPHLHPASCCAIVLLLPPHTLCWVFGMAAMRKEAVCSGASRERWWLTLISAFSEPFLDALHVVLHIGMEATALAAADVEVPVAEGVGTVEAVSALAFCLRVVLVPRMVIPINDNQGRSIYIS >ORGLA06G0190500.1 pep chromosome:AGI1.1:6:19687281:19687646:-1 gene:ORGLA06G0190500 transcript:ORGLA06G0190500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLYIHIALFCFPGRLRTERIHANWRYQPSESSNNNYHGIKCVEHCHMSVLISLLRQVTNKATILYIHSSKEQNKPSFYVLFIFGLYQHFFSCFVLLLLSSPNNRHYGFGSELFANAIFVM >ORGLA06G0190400.1 pep chromosome:AGI1.1:6:19678774:19683845:-1 gene:ORGLA06G0190400 transcript:ORGLA06G0190400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G24280) TAIR;Acc:AT2G24280] MAAPASPLHPLAAIAAALLLLLVAAPSAAAAASPVFPGVPPLQLQALQQRRPASSAGGSTYVVAAAAAAAAGGNGTAAAAKPFTAHYFPQELDHFTFTPNASAVFYQKYLVNDTFWRRSAAAGETPAGPIFVYTGNEGDIEWFATNTGFMFDIAPSFGALLVFIEHRFYGESKPFGNESNSSPEKLGYLTSTQALADFAVLITSLKHNLSAVSSPVVVFGGSYGGMLASWFRLKYPHVTIGAVASSAPILQFDYITPWSSFYDAVSQDYKSESFNCFSVIKAAWDLIDERGSTDAGLLQLSKTFRACKTVKSVYSFRNWLWTAFVYTAMVDYPTPANFLMNLPAYPIKEMCKIIDGFPAGADIVDKAFAAASLYYNYTGDQTCFQLEDGEDPHGLSGWGWQACTEMVMPMTISNESMFPPFTFTYEGKSDDCFQSYGVRPRPHWITTEYGGNRIDLVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIALVTEKGAHHLDFRSATKDDPDWVVEQRRQEVKIIQGWIDQYNEDLAQISK >ORGLA06G0190300.1 pep chromosome:AGI1.1:6:19672784:19676750:1 gene:ORGLA06G0190300 transcript:ORGLA06G0190300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQATAARKFPEGLRVLAVDDSPVCLMLLEALLRRCKYQPTMTRDAATALQMLRERPGDFDLVISDVHMLDMDGFKLLELIGLEMDLPVIMQSANGELETMMKGVTHGACDYLVKPVSLKDIQNIWQHVWRKRKLDIRNHSGGYNDGGELVGATRTKRKYTRKMRNDGDNYGENKENMDSTLKRQRVVWTPELHRDFVIAVHELGVDRAVPRKILRMMKVDYMTRENIASHLQKYRLYLKRISTQTGMDPDQFPEKWKYMNELDALKNYCENGRYRLTPAIASSSSSNPFARMNSASALATNGFLPTHSVQLKNSQRNMAMGTVGHGGSPGNNPVFQPLQNSSNARKCFPSGPSGSSFANISNGLVLDTDDSGSSYAGMFCKSMWETSNGSPSCHSGNSSANKSNNGVSAPANQFQVQSKCGFSAPANQFPVQSNCGFSAPANQYQVQSNGGFSVPANQFPVQSNGEFLAPTNQFPVQYPEVNNQPLVQMNQSSTNHFSTIGNDYQFPDLANCSKYWQPTAPSMFPDLGHNDGTSFRPSQANIANINQLSSFAASSGQEPMFGDELHGQMSPIMSTISLSDFDDQMGSFNIGNDTSPAEMMHDNFSLGSDSNTSSSTPTDSSFGSTFPDFHLDSPEMPAQMLNGGDEDGILLPVLDDTVDQQDLFDQLDENNGREKLGSGRCVRKGPFECFF >ORGLA06G0190200.1 pep chromosome:AGI1.1:6:19664839:19666637:1 gene:ORGLA06G0190200 transcript:ORGLA06G0190200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35 [Source:UniProtKB/TrEMBL;Acc:I1Q439] MAMSLSLARVALPPLLQQQGGAPRKLHPGALAFPAKSFFGAPLAAVAVSPASAAAASPLRRRPSSASAVVAAGKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLSKKNTKRRKRLSKMIQVNKSDYNNVTGALPYLKVNRNAE >ORGLA06G0190100.1 pep chromosome:AGI1.1:6:19657960:19661263:-1 gene:ORGLA06G0190100 transcript:ORGLA06G0190100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSAAAACSAIKPAAHQHTVQVQEDKRGLEFRARFGTRKLSWGGKLSVENSSLHQCQSLTRSIRRQKRQHSPVLQVRCYAIAGDQHESIATEFEEICKEVPQKLGAFYRFCRPHTIFGTIIGITSVSLLPMRSLDDFTMKALWGFLEALSSALCMNIYVVGLNQLYDIQIDKVNKPSLPLASGEFSVATGAVLVLTSLIMSIAIGIRSKSAPLLCALFISFFLGSAYSVDAPLLRWKRNAFLAASCILFVRAVLVQLAFFAHMQQHVLKRPLAPTKSVVFATLFMCCFSSVIALFKDIPDIDGDRHFGVESLSVRLGPERVYWLCINILLTAYGAAILAGASSTNLCQMIITVFGHGLLAFALWQRAQHCDVENKAWITSFYMFIWKLFYAEYFLIPFVQ >ORGLA06G0190000.1 pep chromosome:AGI1.1:6:19646540:19649839:-1 gene:ORGLA06G0190000 transcript:ORGLA06G0190000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAADAEACAVAAAADIICSLRGADLAGWTPPWGTAAAKGKEVVVEEEEEELAWPTVARGKRSRSSRRRSPSGSGSAATKGRWARGSPASPLDYSGGSGSGSAASTSGGEDGAFCSPPPPPPPVVTATAATTPTAAPTPSPAKRVCLVRLTPTLRRGAAAAIHKGRWVPVRLPLPSLQPRAAACRFCPICPFASSLTSGGNPVISPALILDLTSSIAFVAVGSGPCRTAPADPTDPASPARRTATTEEDESRQVQRLPEIQQLVRSLTVENDGLREEMVALQRACTALSKENCKLEVSGICCYLTKSSLSLLCTCGVQSLPGWGAECAIIIKPK >ORGLA06G0189900.1 pep chromosome:AGI1.1:6:19634266:19637207:-1 gene:ORGLA06G0189900 transcript:ORGLA06G0189900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHYQDHALAMDAAAAAAETGGHHHPGFVGAGGVVGGGGGGWEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAXXXXXXGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGSGATMSDDEDNQVDSESNMFDGNDGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEILRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKRKRSNAGDGKAEQSW >ORGLA06G0189800.1 pep chromosome:AGI1.1:6:19630497:19632883:1 gene:ORGLA06G0189800 transcript:ORGLA06G0189800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:delta subunit of Mt ATP synthase [Source:Projected from Arabidopsis thaliana (AT5G13450) TAIR;Acc:AT5G13450] MAARHLRSGLPLLRAHLSQEGSRGFASQVAKPTGKDIKVPEALYGGTGNYASALFLTAAKANLLDKVETEIRDVVEASKKSPLFSQFIKDLSVPKETRVKAITEIFAEAGFSDVTKNFLAVLADNGRLKHIDRIAERFVDLTMAHKGEVKVLVRTVIPLPEKEEKELKETLQDILGKNKTILIEQKIDYSIMGGLVIQFGQKVFDMSIKTRAKQMEMFLRQPLDI >ORGLA06G0189700.1 pep chromosome:AGI1.1:6:19621868:19626865:1 gene:ORGLA06G0189700 transcript:ORGLA06G0189700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDAGGGAGERMKLLCSLGGRILPRPGDGTLRYAGGDTRIVSVPRGVALGELFGRLAEAYGGATGASFAVKYQLPDEGLDALISVSSPEDLDNMIEEYDKLAGASPKLRVFIFPISDAAGGGGGEEAEGGGFDAGLRYLEAVNGIVRKDSVASLSSTQNSDGGPPPPAPTSGGGGGGGGSPTALSPTSTCSNDAARSAFGVAAPPPLVDVFSNAAAPAPVPVKPQEIAAEVRAPPQANPQPEAARYRQPLSQLPPLPPVFMNDHREAMQGLNQAMPGNGGRLEDCNMCLKALPHAHSDPVVNDYGSDMHGGPGPETVPVYMSLRPEDVARIMMPERAVPVPMGAYGYTHMHPVPHEREMMYAQQVEGIPNTVLIDPSGLHQHVYVHQQQQVPPQQLPSTYGFNQIPVIPNEKDRVVSPGSAHSDISSSHQHIMQQPPPPQQQQLPSGQGMAQYPVKQNSPNNQLTGEGSLSGNARHREDGLTRRDNVSPVAPAAVPTYMANVDRMMDSLRLSPSEASSASTEQRKPVMPPENGVPQNAIPEHSQGFPDTRAREVSQSNTNTFFDVSEPKVVLPTEPAPSPSIASSYLHNVQHTNVSHMPHMMSIGGPYSSYVVATVGPGGVPPSAYGVDLVYANSAVNPLSERKDVPHEVAPNANAQVPAAALVNHAPNVDQIQESGLQGQQFGNDDPWKVVTNTHALPPRPKRVASRENISPKDPHSHNSLLNCKGPDLNIPAEDVALHQQSDHKGDDMTSPDLVSVEDSLPTSNTKSSEPQPPVVNDGVGAVTGKVDAEAHANEVNKSRPADWISGFPATDDLGRLQIIKNNDLEELQELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKPSEQDKMRNDFWNEASKLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALLKNAKTLDKRKRLIIAMDTAFGMEYLHNKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYADLHYGVIIGGIVSNTLRPAVPDSCDPEWRSLMEQCWSTEPSERPTFTEIAGRLRSMAASHKVQP >ORGLA06G0189600.1 pep chromosome:AGI1.1:6:19609331:19609621:1 gene:ORGLA06G0189600 transcript:ORGLA06G0189600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVSTQASMCLGERRVLELKQSVSRMVRSGKRTSRRVTGAVVGGGGGDAVEEEQVGRRGGVEAAGEDLEKGGLWRRRWGRRWRGARWGGRGRRHC >ORGLA06G0189500.1 pep chromosome:AGI1.1:6:19606378:19606686:1 gene:ORGLA06G0189500 transcript:ORGLA06G0189500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPPTSPSSLRHRLRTTVCCCFGSPGDRRSGEKLRWRRRVAAGEFGYDPLSYALNFDDGDGDDDAADDAAAAFRYKNFSSRLPPSPVAAPARRSTAIAIS >ORGLA06G0189400.1 pep chromosome:AGI1.1:6:19597575:19600213:-1 gene:ORGLA06G0189400 transcript:ORGLA06G0189400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41040) TAIR;Acc:AT2G41040] MELAVRAAAAASCSSGASLQLPRARAPLRLLGHRRLPARRVAVEAAAIAVEPENKVPQSNNSEAEVFACPVCYEPLIRKGPSGINLPSIYRSGFKCSKCNKSFTSKDIFLDLTVTSGTKEYSELKPARTELFRSPLVSFLYERGWRQNFNRSGFPGLDEEFQMAQDYFQSVAGGVLLDVSCGSGLFTRKFAKSGSYSAVIALDFSENMLCQCYEFIQQDDTLVNTNLALVRADISRLPFASSSIDAIHAGAAIHCWPSPSNAVAEISRVLRPGGVFVATTFLSSPRNNPFSVEALRPLRQIVGPVNTSYNYFTEGELEDLCKSCGLVNYSSKVQRSFIMFSGQKP >ORGLA06G0189300.1 pep chromosome:AGI1.1:6:19581518:19594539:-1 gene:ORGLA06G0189300 transcript:ORGLA06G0189300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XLIQPVMLLLFLLSFADDDDDEDYDEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKPSAAPTDPSEQDYDAKAEDAVDYEDIDEEYDGPEVEAATEEDHLLSKKDYFSSNAVYASVNSKVSVFDEENYDEDEEPPNDNDLPSDNIVQNCTSASAEQLDMAPSNDNLAVEKMSSSLSEPEESFESEAFQQKEMVAEEQLESKTATSLPVLCIEDGSVILKFSEIFGAQEPVRKAKMDRHKRPVNKELQITNFTDIVEEDEEVFLRSTIQNLSALKHIKTNDNFVESDSDESTSDVALRLKDSCLSEQPMKDKDIPTAVQSPVFPDFYPLEHENWENDIVWGNSPTTAIQPCLTSCAISKESLDDHNEDQAEGYVSGCWDVQNKFHSSSVMADPFGHTEIPDSTSYHSPENSYSPLRKETAQENNSLDEPNNITQPVKIDTTRHLNKFSLLNKELLEGSWLDNIVWDPSEDVPKPKLIFDLKDDHMLFEILDEKNGDHLRSHARAMIVTRPMKTSAVENVDHNNQAIALSGRFNISNDKFYSNRKMSQQARSHAKKRATMGLKLVHSVPAQKLQTMKPKLSIKEIANFHRPKAKWYPHENKLTARFQGDECSHGPMTAIVMTLGGKGVKFLVNAEETPLSVKSKASKKLEFKPSEKIKLFCSGKELQDDISLAMQNVRPNSILHVVRTEIHLWPKAQRLPGENKPLRPPGAFRKKSDLSVKDGHVFLMEYCEERPLLLANAGMAARLCTYYQKTSPSDQTATSLRSNSDGLGTMLAIDPADKSPFLGNIRSGSHQSCLETNMYRAPVFPHKVATTDYLLVRSPKGMLSLRRIDKLYAVGQQVKKSVSWIYHRLTRRATNFKILFSWQEPHMEVFSPGTKNMQNYILNRILVYVYREFRAREKPGIIPQIRADELPIQPPITEAIKGPKGHLFYIQRPDFRIPSEEELRRLLTPENVCCYESMQAGQYRLKHLGIEKLTQPVGLASAMNQLPDEAIELAAAAHIERELQITSWNLTSNFVACTNQDKENIERLEITGVGDPSGRGLGFSYVRVTPKAPVSNSTHKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEEQIDKLTRWHRIAMVRKLSSEQAASGVTMDEIPVSKFARGQRMSFLQLQQQTKEKCQEIWDRQIQSLSAMDGNENGSDTEANSDLDSFAGDLENLLDAEEFDDEDVGNTDIRSDKMDGMRGLKMRRCHTQAQINEEIQDDVAEAALVEKLLEESDSDMKRKKQPVETTNYSTPMYNQGNKMKQGKAGQMIKSSAYAGALTPKESTPREAKEVENFAEGSLPSKLRTKTGFDANDDIILVKRKNIPGKDGFKEKRQGARGDTLVCGACGQLGHMRTNKLCPKYGEDPETSEMDVNSIRSHPPDIVSNALKTSNKRLVAKVSSEAFETEGPESIEKAKPVPVKFKCGAPEKSLDRNMSISASLVSDKRMMDATDSKSTGKVNKIKISNKIKYDDYPPDTPKPSVVIRPPAEVEKDLPRKKIIIKQPKVLGDQQRPTELRSGQEPRKTRKIVELSSFEKRDREDDNGFSGQPIQINSSHDRGWGLVGKRSKGIMESGESWRAFEEQRERQEQRLIEARIYDARREDELQKAKKKNKKKKKHEFRDDDLLDPRPYKNDRRVPERGRAAKRRTPADMTEYTPPAKRHRGGEVELSNILEKIVDHLRTMSCSFLFRKPVTKKEAPDYFDIIERPMDLGTIRDKVRKMEYKNREDFRHDVAQIALNAHTYNLNRHPHIPPLADELLELCDYLLEESADVLDDAEYAIED >ORGLA06G0189200.1 pep chromosome:AGI1.1:6:19576961:19580183:-1 gene:ORGLA06G0189200 transcript:ORGLA06G0189200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSSPRWRALLLLFVFASSFFASAAAAVSRTNSSSAFVLAAAQTRRKDPLRGLRYYTGGWNISDKHYLQSVGFSAAPVFVVAAVWFASLALAAFVACCCCSGAGGGNGNGGYSYSRAIFAVSLALLLVFTAVAIIGCIVLYDGQGKFHGSTTATLRFVVNQSDGAVASLRGFTGFIEAAKAAAVEKTTLPADLQGKVDDVVRRVDASADDLTARTTTNSRKIRTALETIRTILIVVAAVMLALAFLGLVFSLCGLKSLVYTLVIFGWILVTATFILSGTFLLLHNAVGDTCVAMDEWVLHPQGHTALDDILPCVDAAATSDALRRSKEVNYQIVSVLNNLLATVANANVPASSPPSPPASYRQSGPPVPLLCNPYNGDLSDRACAAGEVAAADAPRAWRGYVCRATGAAPSSEVCATTGRLTPTMYDQMVAAANASAGLTQYGPVLADLADCSYVRRAFQAVTAAHCPGLRRHSGRMYQALLAVSVAVAAAVAAWVAHSRERRRRSETRRFQVSPYRFPIEEKSLLKSPRRPYRRGDSGRMAR >ORGLA06G0189100.1 pep chromosome:AGI1.1:6:19566753:19567484:-1 gene:ORGLA06G0189100 transcript:ORGLA06G0189100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYAWLAKTGLAPALAYEYGRLFNQNELERGDAAHFDHDLLKSMGIAVAKHRLEILKLAKKDSSSAADAGGGDSSSSSYAQLIARKAGRCIARCARRLARPRGVGGGRGSSVTVVPRICSGDDAVRVGAVQAAAAAAATRRRRSVKKMVLMITDGGATAARGGVGFAAGRFSGSQKASLMFHDCYEEEDDDYDHEEEARCGDGAEGGDEDAEEERCSDGGGGGGGTDVEIKWDSMFQDLKPT >ORGLA06G0189000.1 pep chromosome:AGI1.1:6:19553549:19565443:1 gene:ORGLA06G0189000 transcript:ORGLA06G0189000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVCEGKDFSFPAEEERVLKLWSELDAFHEQLRRTKGGEEFIFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVSRRFGWDCHGLPVEFEIDKQLGITNRQQVFDLGIGKYNETCRGIVTKYVAEWEAVVTRTGRWIDFKDDYKTMDINFMESVWWVFSQLWEKDLVYKGFKVMPYSTGCKTALSNFEAALDYRTVPDPAIMVSFPIIGDADNAALVAWTTTPWTLPSNLALCVNANLVYVKVKDKSTGAVYVVAESRLGQLPAKAKSSGKKQAPSKGGTAEVVQGGLDTEAYELLAKFPGSSLVGLKYKPLFDFFLELQETAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIIEASGLVVAVDDDGCFIEKISEFSGRYVKEADRDIINSVKDKGRLVSKGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIRDQLLECNKQTYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPLWISQDGEEIVVMDSIEKLERLSGVKVNDLHRHHVDGITIPSSRGPEYGVLKRVEDVFDCWFESGSMPYAYIHYPFENRELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPVEVIDEYGADALRLYLINSPVVRAESLRFKRSGVFGVVKDVFLPWYNAYRFLVQNAKRLEIEGFSAFSPIDQASLLKSSNVLDHWINSATESLVSFVHQEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEEDCRVSLSTLYHALVTTCVAMAPFTPFFTETLYQNLRKVSTKPEDSIHFCSFPSTTGERDERVEQSVNRMMTIIDLARNIRERHNKALKTPLKEMVIVHPDNEFLEDITGKLKEYVMEEMNVKTVTPCNDPMLYASLRAEPNFSVLGKRLGKDMGKVSNEVKKMTQEQILAFEQSGEISFFGHCLKLDDIKVIRQFKRPANVAENEIDAAGDGDVLVVLDLRADQSLFEAGVAREVVNRIQKLRKTAQLEPTDLVDVYYKPMDDGKNTLVEIVQSQDQYIRDALGNPLIPKMAAPPDAVMICEESHNVQDMSFVIYIARVSPVVTNDLLVHAAGNREHFDALKVYLLSRSISRLKNEFQAGNGKITVDFIEGFPPIDLQLGKHVFLSTGDFYLATRS >ORGLA06G0188900.1 pep chromosome:AGI1.1:6:19546575:19549786:-1 gene:ORGLA06G0188900 transcript:ORGLA06G0188900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYALFGEVVMDGIVRVEPMGGFDGTATAYYLQCKDCGSLGSVSLIPGKGKALTPDSKNMVMLIHCDGYIPIAFSPAPYWIATKVNGDQHELHFSEDGFEGYGDNDELILTSAKFTVERLKKKMSFKWHIKAKDRANHRLSQVEYKYKIEYCKIQEKYRNGHLIRPQEKDRNSRRDKNSKDSQKIIKAVESEEEAGRVLSVGSRKGARESGQSGLGQIGRRKAWPATA >ORGLA06G0188800.1 pep chromosome:AGI1.1:6:19541697:19541999:1 gene:ORGLA06G0188800 transcript:ORGLA06G0188800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSTEVVGEHEEGLALXLYSQDFLPKEQGQHHSYRSLVLARLQRDSACAVVMSARMAIAADGVTTFNLMPANVMVFEALATRQWRYRVTIELYVLMLYNNG >ORGLA06G0188700.1 pep chromosome:AGI1.1:6:19539980:19540621:1 gene:ORGLA06G0188700 transcript:ORGLA06G0188700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERVAAAAGGVHGLQRAPGAMGGGTSSLLSAAVQEMLMVQKSNKAIKKPPRATPRLPPVTGERRGGSGRSVDRGEATAPAPKRAARAWQPPAKRVARSMLRRGAPPSPEEKRKVLTCCCARLPPGLRCALHQCAPGQSWMRSHCGGGAIAATSEAVAPRACGRGGWLFSEYARWRRSVWMPSRFYLERVDGQPRPPPGELDAGRRPPAAGEL >ORGLA06G0188600.1 pep chromosome:AGI1.1:6:19537120:19539379:-1 gene:ORGLA06G0188600 transcript:ORGLA06G0188600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Mannose-6-phosphate receptor, binding (InterPro:IPR009011), Glucosidase II b /.../bunit-like (InterPro:IPR012913); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G35080) TAIR;Acc:AT5G35080] MGLTGGARVVLFVVAAAAAALTDAADQIFTSSGAPFGRNSREPRYHVEFHPVDAPFNPENGQESVPMTSHVGKHYTCFLPVEETKTMKSIIPQNATNVIIESERRVKPKDPDELLEILKDQCFYRHEGWWSYEFCYYGKIRQVHVEGEKVIQEYVLGEYDADATDAYYENQTSDSADEDDNLIDTSKRYHVHLYTNGTVCDLTDMPRETEVRFVCSEPTVVISSIKEISSCKYVLTVQSPMLCKNPLFQQEKRTLSIHCNELLAEAEATVDDESLPKEAQIIIPDPDGLHNYAAYAT >ORGLA06G0188500.1 pep chromosome:AGI1.1:6:19533607:19535079:-1 gene:ORGLA06G0188500 transcript:ORGLA06G0188500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G80510) TAIR;Acc:AT1G80510] MNTNYSSLPLTSIELQSNQRTSEVANGVGYNGHAKISKQDSFLVDGEDVDGDAAGGGGGENDDLPLIGDGPAGPPEGSGVPAAVFNLATSIIGAGIMALPATMKVLGVAVGLVSILVMGILSEVTIELLVRFAVYCRALSYGEVVHKALGRPASIVAQMCVIINNAGVLIVYLIIIGDVMSGSLKHIGVMDQLIGHGEWDNRRLLILVVLVIFLSPLCALEKIDSLSLSSAASVALAVMFVVVSCIIALVKVVEGKISMPRMGPDFSSRAAMLDLLVVIPIMTNAYICHFNVQPIYNELKEKTPHNMYKIGRITTVLCVVVYALTAVSGYLLFGEDTESDVLTNFDKDLGIRFSSILNFIVRIGYVIHLVLVFPVVHFSLRQTVDSLIFGELAPHSRKKMLTLTVVLLALIYLGSTMIPNIWVAFKFTGATTGLALGFIFPALIALRLDKEGKSLGKGERLLSIVMLGLAMVVSIIGVIGNVYSLRSKSA >ORGLA06G0188400.1 pep chromosome:AGI1.1:6:19524450:19532377:1 gene:ORGLA06G0188400 transcript:ORGLA06G0188400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G04940) TAIR;Acc:AT4G04940] MGIFEPFRAIGYITAGGVPFSVQRLGTETFVAVSVGKAFHVYNCAKLNLVLSGPQLPKKIRALASYKDYTFAAYGSDIAVFKRTDQVVTWSKHEEKINILYPFGEYILSADIKGNVFIWSFRGSEPNSEPVGNISLGDKFTPTCIMHPDTYLNKVIIGSEEGPLQLWNISTKKKLYEFKGWNSSVCCCVSSPALDVVAVGCSDGTIHVHNIRYDEELMSFNHQIRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKRRLHSVIREAHDASIVSLHFFANEPILMSSASDNSIKYAVYQMWIFDTNDGDARLLRFRSGHSAPPRCIRFYGNGKCILSAGQDRAFRLFSVVQDQQSRELSQRHVSKRAKKLGLKEEEIKLKPVIAFDCAEIRSRDWCNVVTCHTDTPQAYVWRLQNFVIGEHILTPSSGSKSPIKACAISACGNFTFLGTEGGWIEKFNLQSGISRGSYIDTSLAIKRAHDGEVVGLACDATNGSLISAGYGGDIKVWDFKSCKLKSRLDIGKSVTKIAYHRANGLLATVADDMVLILFDTVAMKMVRRFEGHTDRVTDLCFSEDGKWLISSSMDGTLRIWDISLARQIDAMHVDVSITSLSMSPNMDVLATTHVDQNGVYLWVNQALFSPSTNVDNYSSGKHVRNVHLPTVSSTEKSEEEPIHISEDSKESKVKPYVIMDHQIPNMITLSLLPKSQWQSLANLDIIKVRNKPIEPPKKPEKAPFFLPTVPSLSGEILFEPAANSKETDSSTEDTSHKKMADLSSHFSRLLQSCGDTKNYSAFTDYLKGLSPSSLDMELRVLQLIDDEPQNLEQRPELQSISLLLDYFTHELSCRNNFEFVQAVLKLFLKIHGETIRRHSMLQDKVKKLLDVQSLVWQKIDKVFQSARCMVTFLSNSQF >ORGLA06G0188300.1 pep chromosome:AGI1.1:6:19517180:19522944:1 gene:ORGLA06G0188300 transcript:ORGLA06G0188300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q420] MASEIEVLEDTTTTMAASAAPVAPASPSPSPAAAADGEEGEGPAPAAEDDSLKNDVYTAAAYGDLEKLQRLVEGEGHPVTEPDGLGYHALQWAALNNRVAAAQYILEHGADVNAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDVADLYGYQATHVAAQYGQTAFIYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLYLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKDDLMVKDKTGLTPAQLAADKSHLQVAFFLDNAKRVYDRGCDGNTNFGKLSKLGLAPVLWCIIVGLLATYIHSVISGQYIMDMTAPFGLFAWSGVFLATAGLVMFYKCSRKDPGYIKANIRDSQNQRDDEPLLKLELDNPALLSGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFITLEVFAMIITGSAAIIRMVRDPASPASFIPWLSYSAFNHTGALSFFIMDLFLFFGVAVLAVVQASQIAKNITTNEMANSMRYSYLRGPGGRFRNPFDHGARKNCSEFLLNGYNEDIERLDHTSHTDEEMGMIQMTNAVSQNGEGPSHHGNGTGHSCAESHAHSKSQSQVSSSQCCDHSKKTDRTPLGLGLGLGRNSASRQYVRSLLPL >ORGLA06G0188200.1 pep chromosome:AGI1.1:6:19504048:19513084:1 gene:ORGLA06G0188200 transcript:ORGLA06G0188200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAPTPEPIRLYKSRITSFIQFTVWWASKTSFLNVSHCSQLVKLSFLEEKLEKQPDHYLPNMVHLNMSFCPKLQELPTGLFKHMRKLLFLNFSGCTSLEDLPEFVEHDTGCSMLEVLDLSGCAKLPALPESSTELRELRCLNLSGCSKLQNFLKLIPRWKFGTLEYLNISGVGAKSDSEAPGTSAEDQSSQDPIKELELGMLQEDIITQGLFRLKYLSIGGFTLYSEQGIARMVDLLTLPNFNVRLQDDGRCSNILILQQILDVTHRQLNIKCLENVVFSEEAKQLELDRMRQFHSLGFEWSLSGMVSSVKQRAVLGNLRPHRNLQSLSIKGYICTEFPDWINKINDTLPDLVKLVFSDINGCHYIPISQLPKLQELEINNMPRLNKIYGTLPNLVKLILSHIERCDHIPVLGNLPNLQELEIYNMPQLHDARIGPCNKLRRLTLVGLPNEATVHLFYDICTHTEVQMVESSHGCDEEMTETGQEFNTLPGCSFRKNEVRRSEEISRGPSTEKGKVASAAIPELDYLQIGSCHDLKLHPTPPKSKEYFVKNSSLSLPVDKEDTSSFPSRPYDQYTEGCSHSGQGTSIPPHEHAMQKSIPRLKSKLHIEGSRDQLRQWTELLSTHLDELTITDPFFYDSKYLEEESTVSEHDSLESIYGLSQSCIAKLEKINLPPSHQYGLGECYNYDNTLEFIGRIDSQVPYINIGKVDTFSVKPIEEGGIFCSSNIPLLYKHYDGQSSSLVIKNLENLKGSLGEVQELVKYQQVRLVWSRSDFIEDSSMAEDKAILQKLRPHHDLETIELEGYRGDEFCYWMMNINSSLPNLVTVKLSNIANCQCLPPLGQLANLEVLHISDMPSVRKVDGHIYGTEKPFRKLRELELSTMKNLEEWSTTTLLLTDHNDHQLSQSEEVFPNLQVLLIANCPRMRFVPGFPRSRECTLKKSCSILLSFEQFIGSSNLALIALKINDSGSSSDIVKFLQGCVNLEHLTIDSCIDLITLPEPIKNCHCLRKLEITNCWNFSVLPEWLGELTFLQKLDIQASKLEYLPQSIQRLTALERLVLNKCNYKLRERCTSGEDKEKIKHIKTIDMNEVPLMYLTPSYIMLLQQVTSSQFIDLHIGGLECMIGLREMENLELQTKKELSSLSLEWSYAYADSSDKYASSERGMQNRAVFEKLQPHDSLEILCIKNYAGVDFPRWMSLLPNLVQLKIVGMPFEYLHLDQFQNLKELFLSRVQFAHLHLNGLQNLIELSLSGLKFGHLHIDQLQNLRELKVSGVEFQHLHLERLQNITELKLSEERFERLHIDQLQNLKELKFSSVEFGHLHLERLQSITKLELCKKQFEHLHLVQLENLRQLYLFTVKFECVVLHQLQNLEELHLSQIESQKSNKPVFIECSQPLRKLQKIVMTKIINKGLQISVQGGEGDKNLFPGLQHLEMELCENLRFQPSIPRSTHYIISGEIYFDKFNYRRILGSFLFPSFKQVMGTSIPGSTSRMEIKNTSGLSSERWKSIPHLELLNITELTIDKCVDSCPVPKCILGWKSLQKLEIRRCEDIESLPEWLGEMSCLTELIVETYWMEALHPCIRRLTNLQSLTLITCLNRFKERCKSGDDWINIKHIPHIQITDRNGRTEIISPSCT >ORGLA06G0188100.1 pep chromosome:AGI1.1:6:19494687:19499348:1 gene:ORGLA06G0188100 transcript:ORGLA06G0188100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESXREDLAMAAILPDLATQVLVPAAAVVGIAFAVVQWVLVSKVKMTAERRGGEGSPGAAAGKDGASEYLIEEEEGLNEHNVVEKCSEIQHAISEGATSFLFTEYKYVGLFMGIFAVLIFLFLGSVEGFSTKSQPCHYSKDRMCKPALANAIFSTVAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLVVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLLISSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTVVMTVGIALVSWLGLPYSFTIFNFGAQKTVYNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNSIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLSPLIVGIFFGVETLSGLLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWF >ORGLA06G0188000.1 pep chromosome:AGI1.1:6:19484927:19488130:1 gene:ORGLA06G0188000 transcript:ORGLA06G0188000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLLLVLFAVVAACVGAAAAYQPGSAEGHTIAGRIKIDAASAIAKGFGLPAKTSNTKVILNGGQRVTFARPDGYFAFHNVPAGTHLIEVSSLGYLFSPVRVDISARNPGHIQAALTENRRVLNELVLEPLREEQYYEKREPFSIMSLLKSPMGMMLGFMVIMVFVMPKMMENIDPEEIKQAQEQMRNSPVPSFSGLLARANS >ORGLA06G0187900.1 pep chromosome:AGI1.1:6:19476112:19480114:-1 gene:ORGLA06G0187900 transcript:ORGLA06G0187900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 26 [Source:Projected from Arabidopsis thaliana (AT5G34850) TAIR;Acc:AT5G34850] MGWRFALLLLHVLLCLVNGVSCGRTSSYVRTEYPSTDIPLESEWFAVPNGYNAPQQVHITQGDYNGKAAIVSWVTVAEPGTSEVLYGKNEHQYDQRAEGTVTNYTFYDYKSGYIHHCLVDGLEYNTKYYYKIGSGDSAREFWFETPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKTEGQTVLFVGDLSYADRYQHNDGVRWDSWGRLVERSTAYQPWIWSAGNHEIEYRPDLGETSTFKPYLHRCHTPYLASKSSSPMWYAVRRASAHIIVLSSYSPFVKYTPQWTWLKYELKHVDREKTPWLIVLMHSPMYNSNEAHYMEGESMRAAFEKWFVKYKVDLVFAGHVHAYERSYRISNINYNITSGNRYPVPDKSAPVYITVGDGGNQEGLASRFSDPQPDYSAFREASYGHSILQLKNRTHAIYQWNRNDDGKHVPADNVVFHNQYWASNTRRRRLKKKHFHLDQIEDLISVF >ORGLA06G0187800.1 pep chromosome:AGI1.1:6:19463681:19471390:-1 gene:ORGLA06G0187800 transcript:ORGLA06G0187800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNDNWINSYLDAILDAGKGAAASASASAVGGGGGAGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQEKNTRLENMTWRIWNLARKKKEYFHAQLEKEEANRLLKRRLETERPRVETTSDMSEDLFEGEKGEDAGDPSVAYGDSTTGNTPRISSVDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSCPGVYRVDLFTRQILAPNFDRSYGEPVEPLASTSFKNFKQERGENSGAYIIRIPFGPKDKYLAKEHLWPFIQEFVDGALSHIVKMSRAIGEEISCGHPAWPAVIHGHYASAGVAAALLSGALNVPMVFTGHFLGKDKLEELLKQGRQTREQINMTYKIMCRIEAEELALDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHMIHDFDMDGEEDGPSPASEDPSIWSEIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKRHKHSEVPDIYRLAVRTKGAFVNVPYFEQFGVTLIEAAMHGLPVIATKNGAPVEIHQVLDNGLLVDPHDQHAIADALYKLLSEKQLWSKCRENGLKNIHQFSWPEHCKNYLSRISTLGPRHPAFASNEDRIKAPIKGRKHVTVIAVDSVSKEDLIRIVRNSIEAARKENLSGSTGFVLSTSLTIGEIHSLLMSAGMLPTDFHAFICNSGSDLYYPSCTGDTPSNSRVTFALDRSYQSHIEYHWGGEGLRKYLVKWASSVVERRGRIEKQVIFEDPEHSSTYCLAFKVVNPNHLPPLKELQKLMRIQSLRCHALYNHGATRLSVIPIHASRSKALRYLSVRWGIELQNVVVLVGETGDSDYEELFGGLHKTVILKGEFNTSANRIHSVRRYPLQDVVALDSPNIIGIEGYGTDDMRSALKQLDIRAQ >ORGLA06G0187700.1 pep chromosome:AGI1.1:6:19456455:19459233:-1 gene:ORGLA06G0187700 transcript:ORGLA06G0187700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heptahelical transmembrane protein1 [Source:Projected from Arabidopsis thaliana (AT5G20270) TAIR;Acc:AT5G20270] MGEEAAMATMESAYHDELAPAAAAAPAKGGGSKKKRKQQKREEKRKECRLVSYHELPDYMKENEFILDYYRSEWPILNALLSLFSWHNETINIWTHLLGFVLFFGLTVLHLGQYFPQVADLIGHLSWPISKVAENVSSNIGDVLSGAASFMQASPASSAGAMAAAWPVTAAAAATTRWPFFVFLAGAMFCLLSSAACHLLSCHSHRLNLFLIRLDYTGIAVMIVVSFFPPIYYIFQCEPRWQVVYLSAITAAGVATVYALMSPRLSAARYRAHRALLFVAMGLSGVVPAAHAVAVNWHEPRRNVTLAYEGAMAASYLAGTAFYLTRVPERWRPGMFDLCGHSHQIFHALVIAGALAHYAAAIVFIQARDEMGCPAP >ORGLA06G0187600.1 pep chromosome:AGI1.1:6:19451396:19453922:-1 gene:ORGLA06G0187600 transcript:ORGLA06G0187600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRALEAHCVGRRIARCAVADDPKVVVAAAGGRVAFERAMVGRTIVAARRRGKNLWLRLDAPPFPSFQFGMAGAIYIKGVPVTKYKRSVVSSTDEWPSKYSKFFVQLDDGLEFSFTDKRRFARVRLFEDPETVPPISELGPDALFEPMSSDSFADSLSRKKIGIKALLLDQSFISGIGNWIADEVLYQSRTHPLQIASSLSRESCEALHQSIQEVVKYAVEVDADCDCFPVEWLFHHRWGKKPGKVNGQKIEFITAGGRTTAYVPQLQKLTGMQSSKVVIANPEQVAENDDAKEIDTDPEDADNLNTRKRGATSRVARGKQKRDAIGALSRKTRKNGGGKEKPGSDYSEDDAETAEPNKVSTSSKGEKADPVRRSSRTASKPRQVNKLKER >ORGLA06G0187500.1 pep chromosome:AGI1.1:6:19448349:19449119:1 gene:ORGLA06G0187500 transcript:ORGLA06G0187500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G10940) TAIR;Acc:AT2G10940] MAGSTKLRPFLLTLLLLLSTTVAPILAEYDPECDCDKPKHPKPSHPSPSPGHPKGPKNPTPRPPKGPTYPSPVTRPPPLVAPPKVPVTHPPKGPVTRPPPVTYPTPPVTTPPVVVGPPVTYPTPPVITPPVVVGPPVTYPTPPVTYPTPPVTYPTPPTTTPCPPPPPATTQRCPVDSLKIGACVDLLGGLVHVGIGDPVVNKCCPLLEGLVELEAAVCLCTTIRLKLLNINIYLPLALQLLLTCGKNPPPGYTCSI >ORGLA06G0187400.1 pep chromosome:AGI1.1:6:19433149:19433766:1 gene:ORGLA06G0187400 transcript:ORGLA06G0187400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPEKLMTQIFDLKFTSKSLQRQARKCEKEEKEQKLKVKKAIEKGNMDGARIYAENAIRKRTEHMNYLRLASRLDAVVARLDTQAKMQVIGKSMANIVKSLDSALATGNLQKMSETMDNFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAAKEKEKAVDEDDLSRRLAELKARG >ORGLA06G0187300.1 pep chromosome:AGI1.1:6:19429153:19430858:1 gene:ORGLA06G0187300 transcript:ORGLA06G0187300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKRDGDMDFYTDRRVLTVLIPEVDIRRRVLAYIGFYVVASMSIVLGVDPFMNCSTLIHWLLCQPRVAADHPLHVLPQRRWAHLLHIRLATSPSPVLPAAMLPPFLNASISLAGVTLENARPNTDLPDPLNPGRKKRDGTGHRPGSGEGRGAS >ORGLA06G0187200.1 pep chromosome:AGI1.1:6:19420883:19423776:-1 gene:ORGLA06G0187200 transcript:ORGLA06G0187200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:I1Q409] MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPQTFASLVSALLYEKRFGPYFCQPVIAGLGEDNEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELFETISQALQSSVDRDCLSGWGGFVLLVTPTEVKECVIKGRMD >ORGLA06G0187100.1 pep chromosome:AGI1.1:6:19411592:19418914:-1 gene:ORGLA06G0187100 transcript:ORGLA06G0187100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSFSSARKKAPSPPKHRHDGTSGLPFGMDWSPPPKRWEGRNTIWPHNPQTGWSYCVMIPSWIAQTPEASATADNFLKSIVFYRIHVGIQSPEGISSSHGVLRRFSDFLKLSSDLKQEFPRKGIPPAPPKHAFSRINSSRVLLEERRNALEEWMQKLLSDIELSRSAPVAAFLELEAAARSYYQDWNQRPSEVGSSAKSSADSSPRPDEHGSGVLSESSLMNSAFAHGNGLTGATGNGMLGESILDQPNERVSSMSNHRKKNHVFLEHGVRNGSIDTYKGVVSEEDHDSNPGHARKDSAESIGSDLSSLRGSELSVPGVSSSLWDGPVDLPSGIDGHSQTEQFTGLDMQLLYDMDAQIILPADQRPKLTRLLISMDRRQVTAKTDMEDLIARLNQEVAVKEYLATKVKDLEVELEATKQKDKEILHQAVLTEREKITQLQWDKDELYRKYSEMESNLKIEQNEKTRVQSEKTTASGEKEMLLEELETKRKEVESLQQHIGEFEAKSKADIKVLVKEVKSLRNSQKEMKKVLNQYHEEKTELERIVNREKQRSTRARFSREKILHECRLLRERLQECTAKFVADEQDTMTIDLSSLPDALDLVTTSDNRIRLLVAEAQLLSRDDEQGSSDDGDNSDGKSSVTMSSEDAYVTDEETTKMLSDLLIDNAQLRLRLNSLIRNAVNTAVKTEKEGSDGTVPKKTVLNWLLDR >ORGLA06G0187000.1 pep chromosome:AGI1.1:6:19404917:19407740:-1 gene:ORGLA06G0187000 transcript:ORGLA06G0187000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSAIFADDLLPPSPPSPHSHHHPAKRSRRSPHRRREAHLHHLSSLFPGMDPQLLEGALDASGDDLDSAIKSLNNLRLESTEAILSATGCKSENGLPTAVYPSVEGIVNNGGVSTANEHPAAADSCQTGNSGSEWVELFVREMTNASDMGDARARALRALEVLEKSIVERTGADAAQNLQKENMMLKEQLTVVLRENAVLKRAVAIQHERQKEFDERSQEVQSLKQLVVQYQEQLRTLEINNYALTMHLKQAQQNNSIPGHFNPDVF >ORGLA06G0186900.1 pep chromosome:AGI1.1:6:19385910:19387533:-1 gene:ORGLA06G0186900 transcript:ORGLA06G0186900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYLFLATVLILSLAFVKLWPRNNGENLPPGPWQLPVIGSLHHLAGKLPHRAMRDLARRHGELMLLRLGELPVVVASSPAAAREVMRTHDAAVATRPQTATLRALTRDGLGVAFAPQGEHWRCLRKLCVTELLGARRVRCLRRARESEAAALVASLSSSSSTTTSEPVNVSSLVARYVTDAVVRAVVGDRISDRDAFLERLEEGVKVAAGFTLADVFPSSRLARVLSGAARRAEAHSREMTRLMDGVIEEHRQRRAATGWRDEDLLDVLLRIQKDGGLQIPLDMGTIRAVIIDLFSAGSETTGTTLQWAMAELMRNPAALRKAQAEVRGVLAGHTHVTEDALPDLHYLHLVIKETLRLHVAVPLLLPRECQEPRRRVLGYDVPERAMVLVNAWAICRDAAVWGPDAEEFRPERFDGGGAVDFRGTDFEFVPFGAGRRMCPGVAFAVAIMELGLASLLFHFDWELAGGAAAAGELDMAEGLGITARRKSDLWLHATVRVPVPNTETS >ORGLA06G0186800.1 pep chromosome:AGI1.1:6:19381770:19382909:1 gene:ORGLA06G0186800 transcript:ORGLA06G0186800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKSNPLQGSPWSLLNLLVLIIVAAMICGELRHRRRRRRRGENGGATRLPPGPWRLPFVGSLHHLAVMRPRGVVVHRALAELARRHDAPVMYLRLGELPVVVASSPEAAREVLKTHDAAFATRAMSVTVRESIGDKVGILFSPYGKKWRQLRGICTLELLSVKRVRSFRPIREEQVARLVDAIAAAAAAASSTAEAVNVSRQITGPMTDLALRAIMGECFRWREEFLETLAEALKKTTGFGVADMFPSSRLLRAVGSTVRDVKLLNAKLFELVECAIEQHREQIRAAHDDDDDAHGHGDKECLLNTLMRIQKEGDDLDDTLTMATVKAVILVSIYSTLAATSINFYRTCFILKKSSRLLLITVKLYYFLSSAYISRA >ORGLA06G0186700.1 pep chromosome:AGI1.1:6:19372123:19373973:-1 gene:ORGLA06G0186700 transcript:ORGLA06G0186700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPVYLLFLAALIILPMANLIRSARHRRRAGIRRPPPGPWALPVIGHLHHLAGKLPHHHKLRDLAARHGPLMLLRFGELPVVVASSAGAAREITKTHDLAFATRPVTRTARLTLPEGGEGIIFAPYGDGWRQLRKICTLELLSARRVQSFRAVREEEVRRLLLAVASPSPEGTTATASVVNLSRMISSCVADSSVRAIIGSGRFKDRETFLRLMERGIKLFSGPSLPDLFPSSRLAMLVSRVPGRMRRQRKEMMEFMDTIIEEHQAAREASMELEKEDLVDVLLRVQRDGSLQFSLTTDNIKAAIAVSYNLKLTWMLFFFRVFXLTFNTPSVSYYKTFXHCPYSYRCYMYRSINIDLYKCGXCXKVLXYEMEEVVNRRRNLTYFDKSDSLEDSCATPPLILLTPATPTVKIGGGLTCXGLGMWSRXGRGESGDRGIPHLRWMLGEGGREGSDSEAVAASLKPWGXQWAVSRVGDRANXGYVXFTPKFGSLVEIGTMXLKSCICMKSLMXWKVGSLEKKTLELNRAXKDSCIIRWXATIAYPPAITTGDREKVEQGKGDDKDVRWWWTKKPMEDGSIEGSSASLASVGGDVSDVNRQQXEGWGGGGEWQRWQLGH >ORGLA06G0186600.1 pep chromosome:AGI1.1:6:19367917:19369905:-1 gene:ORGLA06G0186600 transcript:ORGLA06G0186600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSVLAYLLVVALLAIVPLVYFGWVARRPGEGGRLPPSPWGLPVIGHLHHLAGALPHHAMRDLARRHGPLMLLRLGELPVVVASSAEAAREVMRTRDIEFATRPMSRMTRLVFPAGTEGIIFAPYGDEWRELRKVCTVELLSARRVQSFRAVREEEVGRLLRAVAATSSASPPAQAAVNLSALLSAYAADSAVRAIIGSRFRDRDKYLMLLERGLKLFARHTLPDLYPSSRLAMWLSRMPRRMMQHRREAYAFTDAIIREHQENRAAGAGDGDGDDKEDLLDVLLRIQREGDLQFPLSTERIKTTVGDMFAGGSETAGTALQWIMAELIRNPRVMHKVQDEVRQTLAGRDRVTEDAISNLNYMHLVIKEALRLHPPVPLLLPRECRNTCQVLGFDVPKGAMVLVNAWAISRDPQYWDEPEEFIPERFADSNIDFKGTNFEYTPFGAGRRMCPGIAFGLANVELTLASLLYHFDWQLPDGMDTADLDMTEEMVVSARRLHDLLLVPVVHVPLPVASS >ORGLA06G0186500.1 pep chromosome:AGI1.1:6:19359894:19361591:-1 gene:ORGLA06G0186500 transcript:ORGLA06G0186500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLVYVLVLVPLAVVPFVYFNRVARRRGGDVRLPPSPWGLPVIGHLHHLVGALPHVAMRDLARRHGPLMLLRLGELPVVVASSAEAAREVMKTRDLDFATRPMSRMARLVFPEGGEGIIFAPYGDRWRELRKICTVELLSARRVQSFRPVREEEAGRLLRAVAAASPGQAVNLSELLSAHAADSSVRAIMGDRFRDRDAFLAMLERGLKLFAKPALPDLYPSSRLAMRLSRMPRRMKQHHRDMVAFLDAIIQEHQENRSAAADDDNDLLDVLLRIQREGDLQFPLSSESIKATIGDMLVGGSETAATTLHWIMAELVRNPKVMQKAQDEVRRELIGHRKVTEDTLCRLNYMHMVIKEALRLHPPGSLLLPRECRRTCQVLGYDIPKGATVFVNVSAIGRDPKYWDEAEEFIPERFEHSDVDFKGTHFEYTPFGAGRRMCPGMAFGLANVELTLASLLYHFNWELPSGIHAENLDMTEEMRFTTRRLHDLVLIPVVHVPLPTI >ORGLA06G0186400.1 pep chromosome:AGI1.1:6:19349854:19350432:1 gene:ORGLA06G0186400 transcript:ORGLA06G0186400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRNPTVMHKATAEVRHAFAAAGAVSEDALGELRYLQLVIRETLRLHPPLPLLLPRECAIGRDERYWPGGSPEEFRPERFDDGEATAAVDFRGADFELLPFGGGRRMCPGMAFGLANVELPLSSLLFHFDWEVPGMADPTKLDMTEAFGITSRRKENLHLRPLLRVSVPGV >ORGLA06G0186300.1 pep chromosome:AGI1.1:6:19339801:19344575:1 gene:ORGLA06G0186300 transcript:ORGLA06G0186300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDYFYLGLALASLLVVLFARRRRSAAHGDGGLRLPPGPWQLPVIGSLHHLAGKLPHRAMRDLARRHGPVMMLRLGEVPTLVVSSRDAAREVMRAHDAAFASRPLSATVRVLTSGGRGIIFAPYGGSWRQLRKIAVTELLTARRVASFRAIREEEVAAMLRAVAAAAAAGRAVELRAALSALVADTTVRAVIGDRCKDRDVFLRKLQRTIELSAGFNPADLWPSSRLAGRLGGAVREAEECHDTVYGILDGIIQEHMERTSSGSCGAGDGDGDDDGEDLLDVLLRIQKEGGLEFPLDMLAIKQVIFDIFGAGSETSATTLEWVMAELIRNPKAMRKATAEVRRAFAADRVVLESALSKLHYMHLVIRETFRLHTPLPLLLPRECREPCRVLGYDVPRGTQVLVNVWAIGRDERYWPGGSPEEFRPERFEDGEAAAAVDFRGADFELLPFGAGRRMCPGLAFGLANVELALASLLFHFDWEAPDMADPAEFDMTEGFGITARRKADLPLRPTLRVPVLVSGV >ORGLA06G0186200.1 pep chromosome:AGI1.1:6:19329497:19331185:1 gene:ORGLA06G0186200 transcript:ORGLA06G0186200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYFYFGLALVSLLVVLFAKRRRSAAAAHGDAGLRLPPGPWQLPVIGSLHHLAGKLPHRAMRDLARRHGPVMMLRLGEVPTLVVSSRDAAREVMRTHDAAFASRPLSASVRAATKGGRDIAFAPYGDYWRQLRRIAVTELLSARRVLSFRPIREEEVAATLRAVAAAAADGRIVELRAALCALVADSTVRAVVGERCAGLDVFLRQLDRAIELAAGLNVADLWPSSRLAGRLSGAVRQAERCRDTMFAVLDGIIQAHLEKTGSGGAGEDILDVLLRIHKEGGLEFPLDMDAVKCVVVDVISGGCETSATTLGWAFAELIRNPAAMKKATAEVRRDFEAAGAVSESALAVGELPYLRLVVRETLRLHPPLPLLLPRECREPCRVLGYDVPRGAQVLVNAWAIGRDERYWPGGSPEEFRPERFGDGEAAAAVDFKGADFELLPFGGGRRMCPGMAFGLANVELPLASLLFHFDWEASGVADPTEFDMTEAFGITARRKANLLLRPILRVPVPGV >ORGLA06G0186100.1 pep chromosome:AGI1.1:6:19325362:19325813:-1 gene:ORGLA06G0186100 transcript:ORGLA06G0186100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGSAREVRAVEREAGVAQEVRPMEGGRTGARGASSGGGQLGARGAAGGEGGDLGVRESCRWVWRGLRRTKAGRRGAPVQGSHMSAELEWWWSIGAPAVELHVGGCRAKAQSWLL >ORGLA06G0186000.1 pep chromosome:AGI1.1:6:19308390:19312612:1 gene:ORGLA06G0186000 transcript:ORGLA06G0186000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLHTYLYLGLALVSLLAVQLARRRRSSAAHGSGALRLPPGPWQLPVIGSLHHLVGKLPHQAMRDLARRHGPVMMLRLGEVPTLVVSSREAAREVTKTHDTSFASRPLSATTRVFSNGGRDIVFAPYGDYWRQLRKIAVTELLSARRVASFRAIREEEVAAMLRAVAASAAAGRAVEMRPLLSAFVSDSTVRAVMGDRFPHLDVFLRELDRSIELVVGFNPADLWPSSRLAGCLTGTMRQAKKCWDTMSSILESTIQEHLQKNSSGGGGGAEATDEDLIDVLLRIQKEGGLQFPFDMDVIKSVIHNVFGAGSETSATTLGWAIAELIRNPAAMKKATIEVRQTFAAAGVVSEAALSELRYLHLVIKETLRLHPPGPLLLPRECREQCKVLGYDVPRGTQVLVNAWAIGRDPRYWPGGSPEEFRPERFSDGEPAAVLDFKGTDFELLPFGAGRRMCPGMAFGLANMELPLASLLFHFDWEVPGMTDPTKLDMTEAFGISVRRKADLIISPILRVPVPGV >ORGLA06G0185900.1 pep chromosome:AGI1.1:6:19290154:19295490:1 gene:ORGLA06G0185900 transcript:ORGLA06G0185900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDAKMEDASHGYVYLAMAVVALLGVLLTKRSRTATAQRPPPGPWQLPVIGSLHHLAGKLPHHAMRDLARRHGPVMMLRLGEVPTLVVSSPEAAQEVMRTNDAVFATRALSATVRAATMGGRDIAFAPYGDRWRQLRKIAATQLLSARRVASFRAIREEEVATVLRAVAAAAADGRAVEMRAALCVVVADSTARAMVGESCQERDAFLREIDRSMELVSGFNPEDLWPSSRLAGRLSGAVRKIEASLHTVLGILDRIIQKRLQEKIGGAGGGAAGEDILDVLLRIHKDGGAGGLQVPLDMDDITLVITDLFSGGGETVATLLVWAMAELIRNPMAMQKATAEVRRAFTLPGVVSEGEGTLGELRYLHLVIRETFRLHPPGPLLLPRECSEPCQVLGYDVPRGTQVLVNVWAIGRDERCWPAVAGGGSPEEFWPERFEDGAEAVDLRGNNFKLLPFGAGRRICPGVAFALANIELTLASLLFHFDWEVPGMADPAKLDMTETLGITARRKGDLLLRPVLRMPVPGGY >ORGLA06G0185800.1 pep chromosome:AGI1.1:6:19277787:19284583:1 gene:ORGLA06G0185800 transcript:ORGLA06G0185800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDAKMADVLSQGYVYLAMALVALLGVLLTKRSRTATAQRRLPPGPWQLPVIGSLHHLIGKLPHHAMRDLTRRHGPVMMLRLGEVPTLVVSSPEAAQEVMRTHDAVFATRALSATVRAGTMGGRDIAFAPYGDYWRQLRKIAATELLSAPRVASFRAIREEEVAGTLRTVAAAAADGRAVELRAALCALVTDSTSRAVVGDRCKESDALIRAFDRSMELASGFNPADLWPSSRLAGLLSGGVREIEANLHMVFGILDRLIEKRLQQKKTAPSSAAGEDILDALLRIHKEGGGLQFPLDMDSIKLIIADLFSGGGETVATLLVWAMAELIRNPMAMQKATTEVRRAFALAGAVSEGKGALGELRYLHLVIKEASRLHPPAPLLLPRECSEPCQVLGYDVPRGTQVLVNAWAIGRDERCWTGGSGDGSSPEEFRPERFEDGAEAVDLRGNNFELLPFGAGRRMCPGMAFALANIELTLASLLFHFDWEVPDMADPAKLDMTETLGITARRKGDLLLRPVLRMPVPGVY >ORGLA06G0185700.1 pep chromosome:AGI1.1:6:19262948:19265660:-1 gene:ORGLA06G0185700 transcript:ORGLA06G0185700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paxneb protein-related [Source:Projected from Arabidopsis thaliana (AT3G11220) TAIR;Acc:AT3G11220] MAAAAGGQTVGRSSFSRAAAPHVASSSTAAGVKLGPNGAAFVSSGIPDLDRILGGGFLIGSVVMIMEDSDAPHHLLLLRSFMAQGVVHKQPLLFAGPMKEPRLFLGTLPAVASSKEDGRQRGMGAGTSSDGRTSDEALRIAWQYKKYFGEEKTSHAEHRDNKQEFSNDFDLRKPLERHLLNAQNIECVSTQEGDTLGVLQDRCSTFLSKLPRKDGGNAHAGRIAIQSLCAPQCGYFEKDWDMVSFIRSLKAMVRASNAVAVITFPNTVLSSSFCKRWQHLADTLLSIKAIPDEDKELAKLLTGYQDMVGFLHVHKVAQTNSQVPVILEASTFSLKLRKRRSLVLERLNQAPVDGSGGPSLDASGSCSSSSQGSQLDF >ORGLA06G0185600.1 pep chromosome:AGI1.1:6:19259611:19262163:1 gene:ORGLA06G0185600 transcript:ORGLA06G0185600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32250) TAIR;Acc:AT4G32250] MAGQRSDSQSDESFEYMLLERDPDLYRTVFSGPSQISPWIDPSVLTLQHRIGRGPFGDVWIATHHQRTEDHDRYHEVAVKMLHPIREDQLQAFSVRFDEIFSKCQGLSNVCFLHGISTQNGRICIAMKFYEGSIGDKMARLKGGRIPLSDVLRYGADLARGIIDLHSRGILILNLKPCNFLLDEHDHAVLGDFGIPSLLFGLSLPNPDLIQRLGTPNYMAPEQWQPSIRGPISYETDSWGFACSILEMLSGIQPWRGKSPDEVYQLVVLKKEKPIFPYNLPPAIENVLSGCFEYDFRDRPQMTDILDAFESAKDVDYENTDQGNSENLRMVSPALPSRTNWSFFKDKLQVGDKVRSRKLKNTCSPTTMEVPDGTIVGMEDNGERDGYILVRIHGLHDPLKVRSSTVERVTYGFAAGDWVRLREDEKKRSQVGILHSIDRSGTVYVGLIGVDTLWKGEYSDLQMAEAYCVGQFVRLKANISSPQFEWQRKRGGGLATGRISQILPNGCLVIKFPGKFNLGEVCSCLADPSEVEVVSFDKCEGIVKKYEHLEDFHWAVRPLFIAVGFFTALKLGIFVGKGIARPRSRKVASVSDQSDHQQLQQQEVQNNANAAWLPPTVANMLFRDGPTLSG >ORGLA06G0185500.1 pep chromosome:AGI1.1:6:19249129:19251225:-1 gene:ORGLA06G0185500 transcript:ORGLA06G0185500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLTGGCLVELELYNLLVPEQQQQWIVAKILKETNPPSSSVAVTVDTAAAAPLLEPKPDTGNLSDLDSAVGTCACFAVMVIIILLVFYALLK >ORGLA06G0185400.1 pep chromosome:AGI1.1:6:19245901:19248530:1 gene:ORGLA06G0185400 transcript:ORGLA06G0185400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G79915) TAIR;Acc:AT1G79915] METGDGRGGGAEEEEEEEEQVMSEVHLGCPPRFSGLYVSRFSFSSRPLGPSAGSDGGECSGVREQVAASSSSCGSPDAVTVDEDGDLVLDRRRNRGRSDHVLTVQHGITSSLRSVGLQVWKAALLLTDFVLHKSFTSSEFNGVTAIEIGAGTGLVGLALARVAKKIFITDRGSDILDNCLANVQLNSSMLKFDEAKACVRELDWKMSWPPPVFKCDSSDPSSKYLWYTSEIEAAEKATTLFAADVIYSDDLTDLFFSIAKKLMSHGAEKVLYLTLEKRYNFSMDELDVVANGYKHFRSFFTVQDESGALDDNSCRPDFVGEQMDLAEVPQYIREYDRGKDLEMWKIMYNPNPE >ORGLA06G0185300.1 pep chromosome:AGI1.1:6:19236979:19237401:-1 gene:ORGLA06G0185300 transcript:ORGLA06G0185300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFYGVGSIALAMHEDDEEEGSGRVFGFAAGDLVRPAVVTQQLFPMTAAAAAVVPESTEQRHVAAAAEQWARPPSRKTRRGPRSRSSQYRGVTFYRRTGRWESHIWSVRLLSSSCIYTCAYLRTFALLSYTWQLYSVK >ORGLA06G0185200.1 pep chromosome:AGI1.1:6:19234214:19236883:-1 gene:ORGLA06G0185200 transcript:ORGLA06G0185200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRDCGKQVYLGGFDTAQAAARAYDQAAIKFRGVEADINFTLDDYKEDIKKMNNFSKEEFVQVLRRQGAGFVRGSSRFRGVTLHKCGKWEARIGQLMGKKYVYLGLYDTEMEAAKAYDKAAIKCCGKEAVTNFDTQAYEDELNLQSWDSELDLELSLGCSGGERAAGEVLHSAPSNQRTSLTFMLPEEEEMTACHRQRSIWARPSLAPAMPDGGAVIRPDQHQHHPSSRNMLLMSQVISSSGGGGGSGRQGAAELHMRPRHGWSSGGNNWAPPYAARPRLPGAEDDDDDDDDSAAAASSGFPMGQVATASSSSRPSSSSCSSRRSSTAAATATTGR >ORGLA06G0185100.1 pep chromosome:AGI1.1:6:19224881:19231355:-1 gene:ORGLA06G0185100 transcript:ORGLA06G0185100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34100) TAIR;Acc:AT4G34100] MADVAADRPPAAEQEEARPPSSTAAVAEEDEEEEEGDVCRICRNPGDDEHPLRYPCACSGSIKFVHQDCLLQWLDHSNSRQCEVCKHAFSFSPVYADNAPSRLPFQELIVGVGMKACHVLQFVLRLAFVLSVWLMIIPFITYWIWRLTFVRSLGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHDAERDDGGRERHGARAVRRLPGPNNRVPAADGNIDELAEAQGLGAGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLASNAIFLIVVIFVPFSLGRIVLYYLSWFFSSASSPMLARMMPFTETAISLANDTLKSALNAVKNLSADSHNEGVIGHVIEVVTQSLKINATGLTVMQASGKSSLIKGTAIGSSYLSDLTTLAVGYMFIFCLVFLYIGSLALLRYARGERFTIGRLYGIATILEAIPSLCRQFFAGMKHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTLKMLGATIAQRVEFFTMSPLASSSIHWLVGIIYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVILVFLPVKLAMRVAPSIFPLDITIFDPFTEIPVDVLLFQICIPFAIEHFKPRATIKALLHHWFAAVGWALGLTDFLLPRHEENGGQENWNGRAGRDRVHGGREMVAPQLEQRMIQHVADNLNGRGNANDSNEVAEESDVDDQGDSEYGFVLRIVLLLVLAWMTLLIFNAGMIVIPISLGRLVFEAIPRLPITHGIKCNDLFSFSIGCYIIWSAAAGTRYAIDYIRSRRLAFLVQQICKWCSIVVKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDESWRTKFERVREDGFSRLRGLWVLHEIIMPIVTKLLTALCVPYVLARGVFPVLGYPLIVNSAVYRFAWLGCLIFSALFFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDSPHSSEPGTTTASDDDEHEQALIPRDQEGELGLRFRRHIMRGNQPRMAA >ORGLA06G0185000.1 pep chromosome:AGI1.1:6:19220772:19221514:-1 gene:ORGLA06G0185000 transcript:ORGLA06G0185000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFDVSAMKNKPGKISAASTTHSVLPVPVPAVPYAAPSPSYALPPAGYPAVPPYQSYPASHVPAPYPTSAYPHPPPPLLARDVEHAAYPPTSTTYPPQPYPPQPQGQTYPPQPQGETYPPQPQRETYPPQPQVQPYPPKPQGQPYPPQPQGQPYPPQPYGQTYPPPPEGQPTYPPAPYPSTYPPAPY >ORGLA06G0184900.1 pep chromosome:AGI1.1:6:19217079:19219657:-1 gene:ORGLA06G0184900 transcript:ORGLA06G0184900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLATVVLLLVAAARQAPLAAGDHSANPRLPTCASAPDVAAPQEHGDGGGVGGGGRRILDITHAVRAELPVLGSCDGVGALVRLKKSMANGSRSNLSELRMSVHTGTHVDAPGHMWQPHFDAGLDVDTLDLGLLNGPALLVDVPRHSNITAEVMESLNIPRGVRRVLFRTMNTDKRLMWQKESDLSFVGFTEDGAQWLVGYTDIKLVGVDYLSVASYEHMIPAHVVFLKSKEIVIVEALKLDDVEPGMYMLHCLPLRLAGAEGSPVRCILIK >ORGLA06G0184800.1 pep chromosome:AGI1.1:6:19204055:19206641:-1 gene:ORGLA06G0184800 transcript:ORGLA06G0184800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVEHLRHLDLSGNSLNGSLPPTLLNATELRVLSLADNDISGVLPDGGSVPYSRSLQELNLSNNALAGRLPPALCRLPSLAVLGLANNYLAGELPIGGLAALEVVDLSANYFNGSLPSDFGGSRLRFLNISSNKLTGALPTELSAVVPANSTVDLSHNNFTGTVPQAGPFAVQPAAAYEGNPELCGPPLKKMCSIPSSLSNPPNATDSPPAFAAIPKNPTRPSPGAQAQAPRGQEKLRPAAILAIVAGDLAGVGLLFMLFLYIYHIRKKRRQRRHHHHQQQQDSPLQHKSNRAIGDVKTLDIAGAREEKASTSTGCCIGRKNDSSDESSDCSASSGAETSDDDDDGDLKKRSMSFIGRSTPQHHSKKHDHPHHQAAAAPPAPATLVTVDGDGELEMETLLKASAYILGATGSSIVYKAVLADGTALAVRRIGESGGADKLKDFEAQVRAVARFRHPNILRLRGFYWGADEKLLIHDYATNGSLANIAFSRRFGASSPLQLSLEARLRIARGVARGLAFIHEKKGVHGNVKPSNILLGADMEPWIGDFGLDRLLSGEAVHRSTGASARLFGSKRSMHSTSSLPDLSQMPGAGASPCGSSSAATSAVAAAAPPPYQAPECLKNLRPNTKWDVYSFGMVLLELLSGRVYSEVELCQWHAGFVVEERSRLLRMADPTLRGEADGREDALLACFKLAFACCAMAPGKRPAMRDAVLVLDRIPCSSSSASTTTTTTAAAAIP >ORGLA06G0184700.1 pep chromosome:AGI1.1:6:19199269:19201281:1 gene:ORGLA06G0184700 transcript:ORGLA06G0184700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSFRVFVYPDGDPGTFYQTPRKLTGKYASEGYFFQNIRESRFRTDDLEQAHLFFVPSYDRSILFLDLYWWCPLCSSFRGHWGVGVDHFFVTCHDVGVRAFEGLPFIIKNSIRVVCSPSYNAGYIPHKDVALPQILQPFALPAGGNDIENRTILGFWAGHRNSKIRVILARIWENDTELAISNNRINRAIGNLVYQKQFFRTKFCVCPGGSQVNSARISDSIHYGCVPVILSDYYDLPFSGILNWRKFAVVLKESDVSELKSILKSLSQKEFVSLHKSLVQVQKHFEWHSPPVLYDAFHMIMYELWLRHHVIKY >ORGLA06G0184600.1 pep chromosome:AGI1.1:6:19190152:19192442:1 gene:ORGLA06G0184600 transcript:ORGLA06G0184600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAPAWAPPIVSSASAVSARPRRGASAGAGAVRCELAASAPSSAAGSLAPRWAQRTVVIPPQRRGCHLITPKIVNGIRDDLSEFKCGMAHLFLQHTSASLTINENYDSDVQFDTETFLSRIVPEGPSAPWRHTIEGPDDMPAHIKSSMFGCALTIPITDGRLNMGTWQGIWLCEHRDYATPRQIVITLNGI >ORGLA06G0184500.1 pep chromosome:AGI1.1:6:19186072:19188240:-1 gene:ORGLA06G0184500 transcript:ORGLA06G0184500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLLSPAAAPRPPLPAPRRPSLPPRATSILTPAPRSPALRVVDAFAAGRWGGRAASRLPAAAAAAAAAAEAGPPDPAPSPAEDEAERAMLAQVSKRLEKTAQYFKTLGTLGFWSQLVCTTVSAGILSFSAVATGNATSPFTFFATSIGIVAAFISVFWAFGYIRLSQRLKRTANKPAKAPPRADVVKNLKNGIVLNVLGMGAAILGMQATVGALVAKALTTSAVPYYQGISPGQSPVLSLDVFLVQASANTILSHFLGLSSTLELLRSVTLTKVEAASTPRSQPA >ORGLA06G0184400.1 pep chromosome:AGI1.1:6:19184100:19185172:1 gene:ORGLA06G0184400 transcript:ORGLA06G0184400.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVIHSSLTSLLSLSSAKKCRAYILASHAGLCTNIACPYRHVKVNLNAPVCEDFLKGYCAYGDECHKKHSYVCPVFEATGECPQGSRCKLHHPKSKVKSKSRRPDFLQNSSWGRYFDASIDHQDETRKVSLDEDEREKPQRVFSDGDLGFISLDDDADEDVTALDASDDIPLMELDSGDLSAQTDNLDALIKPLRIMRTARV >ORGLA06G0184300.1 pep chromosome:AGI1.1:6:19176147:19184015:1 gene:ORGLA06G0184300 transcript:ORGLA06G0184300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPFDHPLHRRHYSDHHHFPPGGSGGSGGAASAAARSRYEYGGGGGYESHSHHQYHLPDHHHHHHHPPPRVQHHHHHHHQQLPAPTPPRPPPPPLPQHRLEPPPPHYGFPPRGHPDAYSPPPYHDPSPHHHYHCHGGDDFLPADEIRRVGGGHHHHHHHPQLQQLLPWEEAEEERRRYGGATQQLRLSPSGPRKRQRCAVHDADVESTSSSGPPPRRQRQQPHPDYALDDSFVDRNNAHPGYMVHEGFSIHSDSKVSRKIQMPTQMALPGSPHGTSAGYARRAPQKVAPSRVSVWHRIEENPAMYEPSSPPPHMPKEVHVLPCKSNNVAPASKELASVISVDCRGKSADGNDGDSNTGTKKNPVKKNEKVLASVLVKPPMEPKEKEVAAKKMLKKPDKVQKNAVHSNIRSLVSTPCPGAGAKKVKKIVIKKIVRKINGKGNQNSTPVVSEKRDGIDANACEKEEGEITTSSFEKDVISAHDPIAVSDTAGFGNAVNDQKQKNTNFTNPSGRNAASANGSMEIPDPPNGSGSAHPGKEEVLSPKNPVDNSNASLVVEPIEVLEKSGTEHPRKEHDMSSIGSGVNDAFADANNHTQKEVGEMNVAVAINSVRVSDAREVPRCDDSSMEESKVPKDVDANNAVCMDGVASNCDTTEVCGIEDARRECGKKLIGINDEKAFLLNNSARSSSTSDTCMTAVEGAQKKEGIILTGSSEKSIGFLGDSVGTHRTTEFGASKDAPNEGDDMPSHPSEKDFMSLNSCGGLNYTEVSEKEDIQEKEDRVPMESIVACTSSGNEDIQVNEGRKPMELSEANAFSGSGDSQGKECRIPMGSSETNTSSVNHVNASNEKDFSLSEDTQKKESHRPIESCENTTFEIMHHEEAPSTEEVITGVSLGRKVVEGPTRSNERCSGARGNSATTLKFGLACATEDNQMEDLLNNRTALNETDDPLDAEDSPVFVPPSSRNVESTYASPLYDPMEDSTSDGILNIGLGRNTTSKAAELLDLHRDHISSENDSLIHSRGTSSVSGNREQSVPTALTLGSNIYFSSAETDDWPEERHELVVEGQQGLTVETTSKLDSPGKIEVLNGAGFISTGIQNWLSLPPSINSMEMSGQFLNNGFTVSKGRLGLDQSMDDATSVSQDHDIAQDMDQRGSEDAFFSQDHSIRLCGSDLPHSHLLAPKESSMNGEDQSGIVLTGLHPSSSVNVLGHYGYQTDDIPVDKLNKLPSALKSSDAMDADQVSSQVCVNPDHTNDSNTENAGVESNAKQDLLSSWIEAIVSEAKKEHPPCKSTPLTVGLPDKLLEPKDSDRKTLLETVVPSAVKSPQINFASSTLQKVAPKQVTLPSSSREPTRANQNARHRTWHRGNIASSSSSLHASQPLGLPPKLPPKKNDKAQNSYIRKGNALIRNPSNGNHPHSSTGHDTQNNLNKPVVRRSMNFVRKADTKDLANSNISVERPKTPPLPLHTKSSCPTTLLEPLSQTLQKQHGHEAEKEDLTGQPKSGVDNSSIKSAQKSEPSDPSKVVYVRPKSNQLVAAQRQHPIDLVNSPTDKILSLQAPIASDLYLKKRKNQIVLSSCSPSDGLSTKETLPAENSNSEEKKDLMIACSISGIPGVKDRPQKALQTTNNVGRFSHVWTLNGQQPQRKGFMGSSHMNAFPRILPWKRKIFCKNFRSSHMSNVSSIRIVRKLLQTRKRDMIYTVSTDGFSLRKSGVLSVGGSSLKWSRSLEKRSQKVNKEATLALAEVERRKREKRKRQSLHDKGDHQFESVTGNQLRNSCQSSSDLRKPSTCNEYVRVSKGNQLVRNPKNVIRMLASDKVRWSLHTVRSRLAKKQQYCQFFTRFGECKKPRGKCPYIHDRAKVTICTKFLKGLCSNTSCKLTHKVRSFFLILY >ORGLA06G0184200.1 pep chromosome:AGI1.1:6:19168286:19168763:-1 gene:ORGLA06G0184200 transcript:ORGLA06G0184200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTKKPPMKDGLLGLGPSPQTFGFTAPLMHSAKTRTGGRPVFDMIYSMNIDLSKVCKEQIKTDNRRSYASNSNISLMQLLLSLNSPLSTNRSKVISNQGEKREANRSRIVQGRDSRKS >ORGLA06G0184100.1 pep chromosome:AGI1.1:6:19160488:19161417:-1 gene:ORGLA06G0184100 transcript:ORGLA06G0184100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGVEADCDRIRGPWSPEEDEALRRLVERHGARNWTAIGREIPGRSGKSCRLRWCNQLSPQVERRPFTAEEDATILRAHARLGNRWAAIARLLQGRTDNAVKNHWNCSLKRKLAVATTTTTTTGAAAAPGVVADAAELVERPCKRFSPTPDSPSGSGSGSDRSDLSHGGGFGQIFRPVARTGAFEPVDCAISRRQEEDPFTSLSLSLPGTDQRFNHDSAHSHFQELPSSPSPPPPPPPAAAASTTQYPFTPEFAAAMQEMIRAEVHKYMASVGVRAGCGDAGGADLHMPQLVEGVMRAAAERVGRMH >ORGLA06G0184000.1 pep chromosome:AGI1.1:6:19156729:19157007:1 gene:ORGLA06G0184000 transcript:ORGLA06G0184000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLRQRVIVFTLVVVACLVLATTTKMADARMLKRMERDGDAVVESPAVDLEAMDGNTEGAGDGGLQWLKSVSLDMLGGIKDSGPSPGAGH >ORGLA06G0183900.1 pep chromosome:AGI1.1:6:19143131:19143424:-1 gene:ORGLA06G0183900 transcript:ORGLA06G0183900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDDGGGDDAWVGSDADLLFEGMVLFTLSLSVDPDPKPPVVKAPDPELPTPHHDADAVAVAGADVAASHLASTRGSFPFTSRRPRFAPPAAEGGA >ORGLA06G0183800.1 pep chromosome:AGI1.1:6:19139407:19139745:1 gene:ORGLA06G0183800 transcript:ORGLA06G0183800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAQTWVVVAALALALVMLPRRPAVVGAESVAAATAEKSMGIGGAGKPKCQPGAATGPCRVGAVHDPENSEEEGLFSMRATPPPTAAPPADEDYFDPDLSNDDDLVVLGH >ORGLA06G0183700.1 pep chromosome:AGI1.1:6:19128946:19135085:-1 gene:ORGLA06G0183700 transcript:ORGLA06G0183700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAALAAAAAASAALLVLAAFAGGVEARECGSAWLPAAKKVPAAAEAKVPAVIVFGDSTVDTGNNNAVATMLKSNFPPYGRDLGAATGRFCNGRLPPDFMSEALGLPPLVPAYLDPAYGIADFARGVCFASAGTGLDNATAGVLAVIPLWKEVEYFKEYQRRLRRHAGRAAARRIVRDALYVVSIGTNDFLENYFLLVTGRFKQFTVGEFEDFLVAQAAGFLAAIHRLGARRVAFAGLSAIGCLPLERTLNALRGGCVEEYNQVARDYNVKLNAMIAGLQSSLPGLKIAYVPVYDDMLNLINNPSTLGLENVEQGCCATGMFEMSYLCNEKNPLTCPDADKYFFWDSFHPTEKVNRFFANSTLQICLRELLS >ORGLA06G0183600.1 pep chromosome:AGI1.1:6:19126850:19128551:1 gene:ORGLA06G0183600 transcript:ORGLA06G0183600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCFRLPRPPGGETNQAAAASASPRRPSLPFASSLFAGSPSTSGKQPWPADADDMEKKRWDSMESWSMLLDTAMGPSGEPTSSRDSGRREEWMADLSHLFIGNKFASGANSRIYRGIYKQRAVAVKMVRIPERDEARRAVLEDQFNSEVAFLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSSETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLETACQATKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKNLRPPLSTSCSPVLNNLIKRCWSANPARRPEFSYIVSVLEKYDHCVKEGMPIMAHQELRIWSSFAKIFRMGCITNNLSIPVHA >ORGLA06G0183500.1 pep chromosome:AGI1.1:6:19115526:19122309:-1 gene:ORGLA06G0183500 transcript:ORGLA06G0183500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIGSGMYVSGPAPDRGKERRQLSSGSVATPPYTGGDVSRSGELGRMFDIGGAGVSPASSRRSSGPLPRPLPLLPSPASGPLSQLSHSGLLVGPSPPPPPQTQQSPAGSWRKSSRRREEAAAAPEAARGRARLGVSVACYVAASVAATAGLGAGAFFLVAWHRWEVLAAAGGAVAAVAAAFAWNVRRRDAEAERFFRRLPDTVFDQSDMPIGELVKITGQVTCGHQPLGARFHDAARCIFTSVQLYERRGCCFRWQQTHSETRTANFYISDRNTGKRFYVRAGEGGKITWMIKQKTDSFDGERKGASRNLKSWMASNDLSCDGTVRVKEGFIREGDTASVIGVLKKHHAYDIVDAPSGVVTTGCQFTRYYHILSNTDVRIGVEYTSSILVIVRLGVVIGDGRLTCSYSTCDFVDKEMAPSDIRS >ORGLA06G0183400.1 pep chromosome:AGI1.1:6:19110231:19110524:1 gene:ORGLA06G0183400 transcript:ORGLA06G0183400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGTAAAAEEGDGNSKGLGSGGYGGCRXQRRRXWLWRARMETRSVKKTTAMVDEGAAAATKDEGGVCRQPCGRGQRRWRQTRTATQWNATAAMAE >ORGLA06G0183300.1 pep chromosome:AGI1.1:6:19088245:19091944:1 gene:ORGLA06G0183300 transcript:ORGLA06G0183300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPSVPKFGTWEADNVGYTLYFDKIRENKGATAPPLRHPYNHNDPAENPGVIRAADNLAAAPSSRPATSSGHRESQRHHQQPPGSHQHHRRSGSVASDPGDFQSKFAPPPQFRPSPSPSQYDHHSSDHRHGHGHHPPHAGYNCGGGGRRAPSPSPQVHAASRRHHHGRHHQQVAPKARSASASPQHNIYGRQRASAVPKFGVWDEQNGEAAVQGFTVMFDNVKRSRAVARGAGAAGVVPRSPPQEISAANMRHSRDHSLMSKMFGCFQPTTRE >ORGLA06G0183200.1 pep chromosome:AGI1.1:6:19082154:19083323:1 gene:ORGLA06G0183200 transcript:ORGLA06G0183200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPVKQKTTTWLVELLREYCQRAAAQATAGNGAVAAAAAVEGEINTDGLAALAAEAEGFAAANGEGWVAPAVEGEGEGFVGAPVVEGEEGLTAELPVAAERKYRGARKRPWGKYAAEIRIRNTMGVKERVWLGTFGTAEEAAWAYDTAATVIHGDKATTNFPRAPLRPATTPVMRSMLVFFGIAHLVRSLVPRARGPRGRGGGAGGRGRSRRRRAAAAAAPSAPASEAPPPPPPPSSALVPEPELQVQGGRGERGRGRGRRRGGGRRGRRGRGRTPARVVAEDSPMLQATTPAAAPALAPAPANQAIFQPMIIPPGGGVVAPDDFLLSAISDDEPVLPHKKPKLLGVYTPPDSPDQFVMEFFADLGDGDDILSSSFWQDPAGDGEDTQ >ORGLA06G0183100.1 pep chromosome:AGI1.1:6:19075522:19075827:-1 gene:ORGLA06G0183100 transcript:ORGLA06G0183100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRVPNLATFFLILAAGDGCGDACDGHAMLEKTPLGKKVVMTTSWAINTDFSGYGTTVAVASSVVTGAGAGVATAEAADMYDTGKIKEFGSSDGAGADTK >ORGLA06G0183000.1 pep chromosome:AGI1.1:6:19074524:19075126:1 gene:ORGLA06G0183000 transcript:ORGLA06G0183000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPELATAAATRLPEKRILTAHEVVIATLFPNGIFSYTAGPSHAPPPPPPASDSFFQVVIPPGGVPTNYAVHEALDFDPNIFFADVPDGEQGEPVLLSSMSTLLDVDTSSSVAADDASSSAQAAVDVASLSAQAAVDVCSSSVQAVINVPSSSAQAAIDVPSSSAQAATDVASSLTQGAAGNERPIVFDFDLNEPSSNYE >ORGLA06G0182900.1 pep chromosome:AGI1.1:6:19071349:19072302:1 gene:ORGLA06G0182900 transcript:ORGLA06G0182900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPPPAATAAAAAPVPKKRKLLTASTLSGSGGPRRGRRRVAEATFAGRGRGVWSGRRRGRGRIPTEVTVGTPSPSAPTPAPASATAPLIQSNVVISPVPPTLAASGIAAWPPVPAIPELTTVAAAPLPEKPVLTAHDVVMATLFPNGVFSYTAGSSHAPPPPPPPPPVASGSFFQEVIPPGGVPTNYAIHEAPDFDPNVFFADVPDGEQDEPVLLSSTSTLLDVDNSLTLVADDAASSAQAAVDVASSSAQAAIDVPSSSVLAVVDVPSSSAQAAIDVPASSAQAATDVASLSTQCAAGNERPIVFVFDLNEPASNFE >ORGLA06G0182800.1 pep chromosome:AGI1.1:6:19062904:19064714:-1 gene:ORGLA06G0182800 transcript:ORGLA06G0182800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPPRPASTAARDLDQDAHRPEGDLDQSGIGSMRHRVVPPIGVDGWKAQGLFIGEQVTDEEVCTDGTQSGYFTDLLVNDVDESQFAAPTSDPISNEAPTAAKSSQGRTKNFTTQEDILLVSAWLNVGMDPIQGVDQSQGTYWARIHEYFHANKEFESTRSESSLLNRWSAIQHDVNIFCGCMSRIEARNQSGSRVDDKIANACELFKEEDKKHRKFNLMHCWNILKDKPKWMDNRKKVGCAKKPSNKKQKTVANSSPTSVEPADLDVYCSDAQPSVRPDGKKAAKQKLRQGRTIEAVDYLMEKKKEADVVRELKKEEMCKKAFALQEERCKRAFALQEERNKLEREKFEFQKKEAEKAEKVEEERILGLDLSTMN >ORGLA06G0182700.1 pep chromosome:AGI1.1:6:19054781:19056955:-1 gene:ORGLA06G0182700 transcript:ORGLA06G0182700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase [Source:UniProtKB/TrEMBL;Acc:I1Q3W4] MARILYLINLVVLFLGFNLHRALSWRNQSYMNDIADRCAPSPHPLSMCQSRAAAFGYPCEEYKVTTEDGYILSLKRIPHGPHDSNTSTEMRPPVLLFHGLMVDGATWVMSTPKQSLGFILADNGFDVWIANSRGTNSSRNHTSLSTKDPAYWEWSWDELASYDLPAVLQFAYDHTGEKIHYIGHSLGTLMILAAFSEHKLLDVVRSAVLLCPIAYLSRTKSKLLKLAAHIFLAETVHWLGFHEFNPVGPVAHEVLSQICGDPEINCYDLFSAVAGPDCCLNTSTFCAFLEHAPQSTSVRNLVHLSQLVRNGGVSRFDYGNAKNNMKHYNQPRPPPYNLSSIPNHVPIFLTHGGEDYLGDVPDTRHLLRTLVKKHNSDSIEVIYVPDYAHADFIMAYNAPELIYGPMVDFFKRH >ORGLA06G0182600.1 pep chromosome:AGI1.1:6:19047229:19049496:-1 gene:ORGLA06G0182600 transcript:ORGLA06G0182600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSASSAAAGEPLLPAAASAKGGGGGGGRASMLQRCVSRADDELQWFRSCLRWVCMDHSGPWGAALSWLLFLLLAVAVPAAAHFLLAFRASRRPFSAVVQVSLSAASAAGFLCLSSSFRRIGLRRLLYLDKLRTKSDRVRLNYTARLSFSFRLLASLVAPCFAAEAAYKVWWYATSGDRVPFFGNDVLSNAVACSVEMAAWMYRSAIYLLTCVLFRLICHLQGLRLEEFAGTLLVEVEEGRAGVERVLREHLDIRKQLKVISHRFRKFIVASLLIATASQFASLLLTTRHDSVDDLLNTSELALCSVVLMSGLIIILSSAAKITHQAQALTGQTTKWHACCTIEPVPDEEAEPGSNHSSMLEVEPVSDSDGESSEETGDEDLLENTKIMLPQAHVISFQKRQALVTYLENNRAGITVFGFTLDRSYLHTIFMLEWTLFLWLLGKTIGFS >ORGLA06G0182500.1 pep chromosome:AGI1.1:6:19045888:19046268:-1 gene:ORGLA06G0182500 transcript:ORGLA06G0182500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRRPAGDEGAATQKGRAAGGGSTEEQHQRLVPRPQRRASAGGRQATPASCAASTAAAATAACQRRRPARSRWRCRTLLLLLLPPGTRSRHIKGSTSWDLSTAIAAIKSGIKACKFGIQQKQARN >ORGLA06G0182400.1 pep chromosome:AGI1.1:6:19045475:19045765:1 gene:ORGLA06G0182400 transcript:ORGLA06G0182400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQETTSRPASGESVEERPRGGELDAREVELDEPIADGEAVVEAELGRVCVHGRRGLAVVGDQALDKGPEAKRRQWRSGELLAGALLRAAVAVLD >ORGLA06G0182300.1 pep chromosome:AGI1.1:6:19042310:19042573:-1 gene:ORGLA06G0182300 transcript:ORGLA06G0182300.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPRHRGSPGGTPGLHGLPMEMTTGEMEAAIVALPAKKEALREAPSSSPRRLPLQARAAVEPTRLIILPFQYSMEASLICKSEVKLSIA >ORGLA06G0182200.1 pep chromosome:AGI1.1:6:19037167:19040968:-1 gene:ORGLA06G0182200 transcript:ORGLA06G0182200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAARAPPAAEAQSLVESFCGVTSATPQEAAFFLESHNWALESAVRSFYDSADGDASAAAADAADPPPRQPPPPPPASDGADSDDEDYVVGGGDEDQDDEDYVGDGDGDGEGDDDDDEDAALAAEEAAASDERRRPLKRLKRGQNARGGSGSGKGNARTLSDLGGGKDSAGSEDSEDDEYKPPQELYTGGEKSGMVVRDRSKRKNRADEIFKEAKRKGAKKGSFEARRKSKSFAGTGRLLTGESAEPVAPQSPESIVHNIYFWTNGFTVNDGPLRSFDDPANASFLKSIKNSECPSELEPADKKSQVNVNLIRKEEKCPEPVKRAAPFHGAAKTLGTPSDNNSTPPEATSAAAAASSTETASKTVTITVDDSLPSTSLQIRFVDGSRMVVRFNTSHTIADVRAFIDTTRPGEAGDYTLQAGFPPKPLDDMSKTIEEAGVANSVIIQTA >ORGLA06G0182100.1 pep chromosome:AGI1.1:6:19027415:19030794:-1 gene:ORGLA06G0182100 transcript:ORGLA06G0182100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNLTALSELDVSFNNLNGNLPISLRSLSNISGIYLQNNQLSGTVNVLSNLSLTTLNIANNNFSGSIPQEFSSISHLILGGNSFLNVPSSPPSTITSPPQGQPDFPQGPTTAPNIPEIPIDQGSDKKQRLRTGLVIGIVIGSMAAACGVLFALVLCLHNVRKSKDGGISESKDVASTFAVNIDRASNREIWDHTQQDAPVSSSVLPPMGKMTPERVYSTNSSMSKKMKVSVTVNPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSASLSLYEEDNFLEVVSSISRLRHPNIVPLAGYCVEHGQRLLVYEHIGNGTLHDILHFFDDTSKILTWNHRMRIALGTARALEYLHEVCLPPVVHRNLKSANILLDKEYSPHLSDCGLAALTPNPEREVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTARKPLDSSRERSEQSLVTWATPQLHDIDALAKMVDPAMDGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLVQRASMVRRQSGEDVGLSYRGPDREGGTADAI >ORGLA06G0182000.1 pep chromosome:AGI1.1:6:19018148:19021948:-1 gene:ORGLA06G0182000 transcript:ORGLA06G0182000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 10 [Source:Projected from Arabidopsis thaliana (AT4G25434) TAIR;Acc:AT4G25434] MAASASASASAAATLPTTLLLRRLLLLSPRRHPTTPAPRRFRSCCCSSSSSSPAAAPAHPHPPAGGRRLRGELRSRAMSSSTNSTVLAESVATGSSGDVELLPFVNDKHGGVIVEMTAPMDPQLFSASLKASLSKWREQGIRGVWIKLSISLANLIQYAVEEGFWYHHAEETYLMLAYWLPATTHTLPVNATHRVGVGAFVMNDKREVLAVQEKSGVLRGLGVWKFPTGVVEPGEDINLGAVREVKEETGIDTEFVEVLAFRQSHKAFFDKSDLFFVCILRPLSFDITKQDSEIEAAQWMPVEEFAAQPFVQKHELVRYILEVGLAKVDKDYAGFSPILIKSAFTDKKSFFYMNRRDLDKASESSSTQKKTEKPLFMEKL >ORGLA06G0181900.1 pep chromosome:AGI1.1:6:19017515:19017994:1 gene:ORGLA06G0181900 transcript:ORGLA06G0181900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDGNGGGLDGFVWSYHGGDEGIMVLMCSALLRLEPIGRLAQANSAPELHQARRGGRSLRVGSNQTSSRCSVLIVGGKSFMKHKRRMGIEEGAKVTLYVFQISSDETRSKQKTKVVQKRENSSEVQVSNKCFSLFVSL >ORGLA06G0181800.1 pep chromosome:AGI1.1:6:19015097:19015882:1 gene:ORGLA06G0181800 transcript:ORGLA06G0181800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGRSDDEQVESAAGGTSRSKDMTSSGNDSKSSQGGSNPPPIRQREGQFDYSPAILCKCGYNDSKMDFLSGNNPSRRWYEPETTPYLRIYPDRTWPPLFGGCLDAGREWDRAAQRGIFPPDAG >ORGLA06G0181700.1 pep chromosome:AGI1.1:6:19011823:19014393:-1 gene:ORGLA06G0181700 transcript:ORGLA06G0181700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase, type II family protein [Source:Projected from Arabidopsis thaliana (AT1G74350) TAIR;Acc:AT1G74350] MSFLAPGRGRLLRVLPTRWHRLPPPQGSGGYGACFRRCKAHFLLDGVEDAVEGAAEPQQPPVSLAKSLASLTEESAVAAQRQRKPLPRMERKRLAELRIKKRVKAQYLNGKFHDLMANVVASTDTLEDAYDIVRLNSNIDMSSARDDVCFATLAELLRTGEFDVRANVYAVVAKRREGGRLVLPRLNLRIIQEAVRVVLEVVYRPHFSKISHGCRSGRGHQSALRFISNEIGIPDWCFTIPMHKEVDRNVLSKIICLIQEKIDDNQLVTFMQHMFDAEVINLVFGGFPKGHGLPQEGVLAPILMNIYLDSFDHEVFRICMRHEGLGSEAADVSDNQESNLRHWFRSQISGLKDREENSDNQTDYPLKTKLYACRYMDEIFVAVVGSRDIAEDIKSEMITYLRKTLFLEVDDRLYLMPVRSNSRGLQFAGSMVRVTTKESAALKAVHKLKEKVHLFASQKQEIWDAMNLRLGKKWLAYGLRRVKESEIKSLGLSTPLLDHIAQFRKDGMKTDHWFKTLLKVWMQDINAKREADESILLSKYIAEPSLPQDLKDAFNNFQKQAKDYISSETAATEALLSSLKNKESAITCTDGAVIKIHAPISYIHKCLNRYGLINLEGFPKHVSALVLQDDELIISWFAGIIQRWMRWFSEVDNFKELKLMLVECVRKSCIRTLSAKYRMYEKITEKRFELDDYGIPMAEDFEAIMAPLESSSSVCTDEALMYGISSSGLFVLTLSRVRVPSRQFDCFVMGCQSASLSMYVLHVKERQRFPGFRTGFSSSIHGSLDGRRVGLCTQHVKDLYLGHISLQSVDFGVLIDDSNKIP >ORGLA06G0181600.1 pep chromosome:AGI1.1:6:19008639:19010529:1 gene:ORGLA06G0181600 transcript:ORGLA06G0181600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIPKSRVRQLVMQPLNCVIFLLGLAILSVTFGPFVTIAHRELMMATYSEKGPENKLDHGVDETRTYEEIKSKIFAGRKMAFGVAVMENHPKDARSKPSSGEISNYSSNSRVPSSLKDSSSSTMKARPSVDNIKLEGSTSEQTLNIPNPQHIIILPFKPYYRHLSLGSKKEQKGSSICCNSYRTNEDWKEKMLESRDEVLRLLNKDYHANPHRRPPVHN >ORGLA06G0181500.1 pep chromosome:AGI1.1:6:19004007:19004963:1 gene:ORGLA06G0181500 transcript:ORGLA06G0181500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGELARVWAAIRSGGDPVANDGMQKSTMMWKESKVGGEARRWSLGSRNKDGGEWRGWCAVSSLSSGASIDGVIELEDATTTMDLELEVAGAADGVGLIELTGERG >ORGLA06G0181400.1 pep chromosome:AGI1.1:6:18998722:19002377:1 gene:ORGLA06G0181400 transcript:ORGLA06G0181400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVASTVAARFAFFPPAPPSYGVEPPPSPSPAAAAEDGAVVELSGVPRRAGVEARRLPTGRGTEVVAMYVRQPGARLTLLYSHGNAADLGQMYELFVELSSHLNVNLMGYDYSGYGQSSGKPSEQNTYSDIEAAYRCLVETYGATEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKVPLVKCPVLVIHGTADEVVDCSHGRALWELSKIKYEPLWVKGGNHCNLELYPEYIKHLKKFVMAIEKLPPTKDESSGSSGPSDPCEIGSESMQSSRKSTDVKDKSRSSIDHRHSVDRREKPRGSIDRRDKSRKSIDHPDKPRASVDQPDRPRRSIDRFGGMMRSVTSVKLCNIDCFKVTYASGS >ORGLA06G0181300.1 pep chromosome:AGI1.1:6:18991255:18993374:1 gene:ORGLA06G0181300 transcript:ORGLA06G0181300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSESPNGSQQIVQKETRDETTPLLPVKVEEEGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIIVVALLTEASIDMLVRCSHQGKITSYGWLMGEAYGQWGRIALQASVVINNIGVMIVYMIIIGDVLSGTSSTGVHHRGILEGWFGAHLWNSRAIVLLATTLFVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIIKLFNGTVAMPKLFPELDGLSSIWKLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLFLCSSVYIATSFFAYLLFGEGTLDDVLANFDANLGIPFSYVFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISRDNKRFAIITISLLTVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMVILRDPYGIASKRDKILAVTMIVLAVLSNSVALYSDAMNIFRKEEEA >ORGLA06G0181200.1 pep chromosome:AGI1.1:6:18981086:18982102:-1 gene:ORGLA06G0181200 transcript:ORGLA06G0181200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIAADDLFLDAAADHHPAGFSSSSSSYFASFSEVVEEEDEERHPHHHHRLLDDDAAGFDHDETLAAPAPAPGSPFSFASDPDPDPDIDLELRLSSRSPPFWDCLEDDLADEEMVGGGFEWEEIADAAVPAPGAVAAGGGGGGGAGGDGGLVGDGDVFGFLDEREILGAMEGLDSGDDESGFSDEPFDFGDEGDDIGDIFRSVGWEVLPVPLDEDDFEVLPGHVADAAAGGAPPAARAAVERLQVVAVGGGGEEAAAASRGCAVCKDGIAQGELATQLPCAHLYHGACIEPWLAIRNSCPVCRYELPTDDPEYEKRRVKRRSSGDSVAQLGTPMQI >ORGLA06G0181100.1 pep chromosome:AGI1.1:6:18976923:18977441:1 gene:ORGLA06G0181100 transcript:ORGLA06G0181100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQSFGAVDLAASFFFSSSSSSPQPGLVGVHGDRFLGGGGGDGGDGGSSYDGGGDGGAASGKGTRRDERKERRLASNRESARRSRVRRRRQLDELSSHVAELRAANHRLAVELNRAAARHAQMARENARLAEEARALRERLPLRGGGGGDGEAEEEAGGGCGAEAILAPMD >ORGLA06G0181000.1 pep chromosome:AGI1.1:6:18970307:18971725:-1 gene:ORGLA06G0181000 transcript:ORGLA06G0181000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPGRTARALCLLCLALLLLGQDTHSRKLLLQEKHSHGVGNGTTTTQEPSREIGGSTGSNNNGQLPFDSAKWEEFHTDYIYTQDVKNP >ORGLA06G0180900.1 pep chromosome:AGI1.1:6:18955474:18955866:1 gene:ORGLA06G0180900 transcript:ORGLA06G0180900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGVKLVGGGGGGVAATSSSAAAGGGGGHPGMWRTPTPYLFLGFAVMMGLIAVALLVLVCTRRKNHGDAGSSSSASAAASVKVLVPLDREPKVVVIMAGDTAPSFLASAKPLSSFVLPPPPPPAAAGEP >ORGLA06G0180800.1 pep chromosome:AGI1.1:6:18932923:18935161:-1 gene:ORGLA06G0180800 transcript:ORGLA06G0180800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEIVAVLQVGGEFSTDADGLMSYSGGEAHAMLVKSDWTFSAFKHEISSTLNNIRVDQFVFKYFLPKNKKTLISISNDKDLHRMVEFHAESETTYIYVMKKKVDNRASIVVADSGTPVDTTAVVPTTQDGSKRQKICATWKNVITGVGQVFDGPKDFRDALHKYAIAHKFHYRFIKNDSSRVTAECTGEDCPWRIHASKSPAKKQFMIKKISESHTCESETVKSHRLASQRWVASVIKEKLRDSPNYRPRDIASDLQREYGLCLNYSQAWRGRSIAQKELHSTHDEVTSQLPWFCGRIMQTNPQSVATVVPMEDSKFRLFVAFHASLHGFEHGCRPLLFLDVISAKPNKQWKLLTATSVDSEGDVFPVAFAVVDDESSEHWHWFLEQLKSSVSASRAITFISNGENGLWDEVPLVFPDSHHGYCVDYLIEEFKTQLDDAWTEEARDVMVEHLKKAIYACTVDEFNQYIELIKGESDKLAEWLLEIKPERWSDAFFKGSRHGQYSCNFPSTIVEWIPTRYELSIVQLVDMIRCKLMEMMYTRRESSNAWAELLTPSANQKLQEEVSKAHTLNVIPSESNENGNVFKVCDDSVNVVNIDAWECTCRKWHISGLPCMHAIAVIERIGHCPYDYCVKYFRTECYRLTYSMSINPIPDVMLPPAILSDQSQSPVTHATPIRTRRRVGRPKEKPADPRIAIKRAVRCSRCQGYGHNKATCKVPLST >ORGLA06G0180700.1 pep chromosome:AGI1.1:6:18924675:18927528:-1 gene:ORGLA06G0180700 transcript:ORGLA06G0180700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSAGSLRRRPKFAAPLLSPACLHRLSVPGEFAARLDDDDAAGVGEEEEESGRRAAAVLVVGPLGKVWRVELRRSPAGDGEAWLGGGWSELAAAHGLGEGWGVVLRLERRGVASLRVFDPGFCLARFCTPHAGMRTKDRPRFIKLLQQEDLEKMKIPEKFVQQQLTETYTNNHQNAIIVCPLGKFWRVELQREQPDVLLRDGWAPFLAAHDLSEGNILLVRYEGNMVFTVEVFLQNGCLKEYKTAALYLTDGTEGPSNAPQQSAAKVGVSPVKWKRTRRIEGTCLEGPNRKSRASPISVKVEQHKKHVSIVSQNSFTKEMTAYSIHSLLSVRGTFCSQIGLLEACAITLKISMKKKGSWRVAFKTANTYGYINGPGWRKFCLENEVKEG >ORGLA06G0180600.1 pep chromosome:AGI1.1:6:18920094:18920956:1 gene:ORGLA06G0180600 transcript:ORGLA06G0180600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNLSCFGSAAAGSGYGDIADDQPAAAAAYELRRSSRKVRPSDEDRLWYVGERDVDRKAAEFIAKFHASARFVEKFQXGLIIYQYXVVNSTKMLWLVRXLTQNLFGTQFRCELSADSDWHPLASHIRNADFLLSNFPILTKKIFM >ORGLA06G0180500.1 pep chromosome:AGI1.1:6:18912639:18913397:1 gene:ORGLA06G0180500 transcript:ORGLA06G0180500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILFLLFFFPFFSSSLLLFLSLPKKGSDDVGGRTGGRQSGGSGCGKSRGAGDTAGEQKRSAEAAARPPPPHSPIEINKLCFDFLNADTDTTSTMLRWIMAKLVKNPSIQSKIHDKITVKTGDEKVEVSEEDVHGMPYLRAVVLEVLWKHSPGHFVLPQKAMEDMEVGGYRIPMGATVNFMVAEISRDEQEWAKPMEFIPKRFLPNGDSKGVDVTGNKGIHMMPFGVKRRICVGLNFAMHHLEYFVANMVREFK >ORGLA06G0180400.1 pep chromosome:AGI1.1:6:18878726:18881428:1 gene:ORGLA06G0180400 transcript:ORGLA06G0180400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDFFTLTEMKDGISTVARIGELVSEIKKLKSAAELNTADLIRQCATAANTLASTKNEECLQHFVQLNGVGFLHQWLQDAQNCGEDISNAAEDLIVAVLSALECLPVENAQITSCGVLHTVEHLLSHSNTDINKKAGVLCHKWRSVPKCTSDVHDMVAKERNPDQLKLPEPKPESGGANEAAIAGDKSKSEVMVCSSVPLPNHSQTNDNCDIVKQSLVMIPPNSDGNAIIGDGNPSVPSLACHNGLENVPVTEESSANNDAKSGAAQVSLPDGTAEAKSSGTNNPENPFVSNKMDVQDQNVSISVDIKKGESFLEDMPHSEKNTVGGWDLAPLDMQDSSDDESTRKEEGPTSSSDTDVKGAVNELRLKRCMTSFGDSSKAADKKSKAEKGDTSTPLAEYDDTDALEVARLVAIEVEREVIDYRGPFCGSPDINSRRSDSPDLEARRQPEPPMDEPDNDNKSSTTGEDSGSSSSMKEDGSGITDDSGTFSRKHTRSMKLGGIDLNENQCTEEVDCHTKSTLSNSINLSTPIAVAASRTSSVFPARLHFEGELGWKGSAATSAFRPASPRRTPDGEKSVSASSQRTGNALFDLNVSESDNATAGEPLSAAILPLSSDIVRKDASATVGLNSLELDLNCPCDDEEAAITTSNVPSFWNRQQCNGDWSHPSSSSSSRQPAVRNFDLNDNTPIVDGFSRGADESSVKTSGRDVSDHSAVTILGKRIVLGQKEHSHQNEHNFLGPSVESRDPARSMQSYGHTPPDYSVVSYSSHSALSFPSPFYAPGTVPYMVDAKGTPVIPPLPGFGVPTVPSLGVGTSHPSLSSRAIPPSSELSYFHPSMDLNYGRSYEGARREGASYWPVSFQGQTMFVDERMGNMSQGGSSGVSVLKRKEPDSGWDLYPRR >ORGLA06G0180300.1 pep chromosome:AGI1.1:6:18859911:18860327:-1 gene:ORGLA06G0180300 transcript:ORGLA06G0180300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASSCPYLVTAAGAVSGSAMGAVAVGANDGSPPPAHIWRRRREWSTARRREQRWWERTAAHLLPCISGDGGGSGRQLTSSCLDPVAAGLLPPGSDSRTGRRRKQRWWEGLFPRWRLASSRLDPATEGLFPPRTGGGS >ORGLA06G0180200.1 pep chromosome:AGI1.1:6:18851936:18852475:1 gene:ORGLA06G0180200 transcript:ORGLA06G0180200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLRPPEHQVAGNCASTDKLGPLVPRVYKLLQAGERREHEAAFFPGGGGEGGGGGEAGRGRQRRQEGRQIRARLGEGEGRRHRGRGAARRSHAEERHGGAASREVAMRRSGVAARSAASTHSTLWRRTTGGGWARGRGGRLEAGGGAAEEAGGGERAAVSGKRRGRRRGRPDPVSLLP >ORGLA06G0180100.1 pep chromosome:AGI1.1:6:18807525:18810356:1 gene:ORGLA06G0180100 transcript:ORGLA06G0180100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAAPWEEPLEVSSDDSLSSDSDDEAGKGKGDNAFGLPNSTKAAAPDAMSKKKKPGGVDFNALSRHGYRGGPSVLTVPPPKVEPNWSWSTGKDRNDKDQTESYEERERTRAAVTEGEKLIGVRNPQPRQMEKENKDASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT >ORGLA06G0180000.1 pep chromosome:AGI1.1:6:18802890:18803126:1 gene:ORGLA06G0180000 transcript:ORGLA06G0180000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TWEGGCGRSCGTGRRRSTSTIWRGERGEAGRKKKANPSSSSYTSPPRQWSNLIDEAEANGVDGEPDRESEGGVGGRSV >ORGLA06G0179900.1 pep chromosome:AGI1.1:6:18796629:18800290:1 gene:ORGLA06G0179900 transcript:ORGLA06G0179900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tryptophan synthase beta type 2 [Source:Projected from Arabidopsis thaliana (AT5G38530) TAIR;Acc:AT5G38530] MATTASVRPPLLRQAAGSEKASLLFKPKQRASVRRRSFTARASSNPVSIPKQWYNLVADLPVKPPPPLHPQTHQPLNPSDLSPLFPDELIRQEVTEERFIDIPEEVAEVYKLWRPTPLIRARRLEKLLDTPAKIYYKYEGTSPAGSHKPNTAVPQAWYNAAAGVRSVVTETGAGQWGSALSFASSLFGLTCEVWQVRASYDQKPYRRLMMETWGATVHPSPSAATESGRRILERDPASPGSLGIAISEAVEVAARSADTKYCLGSVLNHVLLHQTVIGEECLEQLAAAGDVPDVVIGCTGGGSNFGGLVFPFMREKLAGRMSPEFKAVEPAACPTLTKGVYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMEAIAIQQTECFHGTPCVEICADGGDHPGAGADARDRGGDQGGDGVQEDGGEEGDPDGHVRARPLRPGVLREVPEGRHGRPLPLRREAAGSPRRRPQNLINAIQK >ORGLA06G0179800.1 pep chromosome:AGI1.1:6:18768508:18768738:-1 gene:ORGLA06G0179800 transcript:ORGLA06G0179800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRGGGREWRRSDDSDDEDGSDDERQRGDDGDNDRSGNSATTTRRRTAAGSVGYAITATTIGFFPFFSCAGGII >ORGLA06G0179700.1 pep chromosome:AGI1.1:6:18760026:18767605:-1 gene:ORGLA06G0179700 transcript:ORGLA06G0179700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:I1Q3T4] EDEDGGGGDLPRGAAGGGARXGGRRGRHHRHLQXPQPGDRRRAAHHHFGIYPLSEEHSGDWPDLIKKAKEGGLDAIETYVFWNGHEPHRRQYNFVGNYDIVRFFKEIQNAGLYAILRIGPYICGEWNYGGLPAWLRDIPGMQFRLHNAPFENEMEIFTTLIVNKMKDANMFAGQGGPIILAQIENEYGNIMGQLNNNQSASEYIHWCADMANKQNVGVPWIMCQQDSDVPHNVVNTCNGFYCHDWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGGPYITTSYDYDAPLDEYGNLRQPKYGHLKDLHSVIKSIEKILVHGEYVDTNYSDKVTVTKYTLDSTSACFINNRNDNMDVNVTLDGTTHLLPAWSVSILPDCKTVAFNSAMIKAQTTVMVNKANMVEKEPESLKWSWMRENLTPFMTDEKGSYRKNELLEQIVTSTDQSDYLWYRTSLDHKGEASYTLFVNTTGHELYAFVNGMLVGQNHSPNGHFVFQLESPAKLHDGKNYISLLSATIGLKNYGPLFEKMPAGIVGGPVKLIGNNGKGIDLSNSSWSYKAGLAGEYRQIHLDKPGCTWDDNNGTVPINKPFTWYKTTFQAPAGEDTVVVDLLGLNKGVAWVNGNNLGRYWPSYTAAEMGGCHHCDYRGVFQAEGDGQKCLTGCGEPSQRFYHVPRSFLKNGEPNTLILFEEAGGDPSHVSFRTVAAGSVCASAEVGDTITLSCGQHSKTISAINVTSFGVARGQCGAYEGGCESKAAYKAITEACLGKESCTVQITNAVTGSGCLSNVLTVQASC >ORGLA06G0179600.1 pep chromosome:AGI1.1:6:18733642:18735353:-1 gene:ORGLA06G0179600 transcript:ORGLA06G0179600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNPTQREIDWHSRALVLEILGSIIFIDSSGDSVPAMYLQFMDNLATRTEYNWGGTVLAMLYMQLNNGAEKARSEIFGPLVLLQLWSWSRLPLGRPKNIIQKTDEVEEQEEEESDGYPIFGAKWCSYHEFPTPHNCEFHYPERVMRQFGRKQLIPPPPPHGEVELRKLRKVKHVGGKVCDWNKFHAKYVQQYDGIEATIVQEDCPFDAASLKEYTCWFQANGMFAMFFDSQCLGGLENSIPYPQDNMEWTGYMPSGPPLAHIGLREIKNAAWGIKCCITNGCKKIGKSILITCQGNIRDLNLEYKLQNMLSEAGLPIKVEEIPSYDDATTACTPSPPNESSVDVFDEWMISGKGFSRYIDLGVETTNRVPTTQDTSQVTQCLENEDLVASREASAPLHPGERTSSHSESSIQVVDWTSTERTPMFKKNTPS >ORGLA06G0179500.1 pep chromosome:AGI1.1:6:18720231:18721562:-1 gene:ORGLA06G0179500 transcript:ORGLA06G0179500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP-interactive CRIB motif-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT4G28560) TAIR;Acc:AT4G28560] MRMILGRLLVVAVLAAAAAAAESEPRLPAAEQEGVYAVLEAVNPGFPWRASFPDDLCLAGPHGVSCDDDDGGNASHVVGISLGYVSDFSANPSCAAPSAATLLTSGLLAASFPRLRSLFVYGCFVGDDDARPLPPLPWRLPPTLQDLVLVNNPALTGRLAISAASLPLLRRLVVASSGLSGDLPSTPFPRLEQLVLSGSRFAGRIPSALVQGLANVKILDLSSNLLAGGIPRAIGGLTQLVKLDLSSNTLAGPIPGELGGLASLELLDLSNNRLTGGVPAALRGMTAIREMYLSGNRRLGGRVPADMFAGLKGISAVGLSDAGLTGTIPASLGESLRNVTYLGLDGNLLEGEVPPALAKMAGRVRLHGNRAVCISPEFLAGAPRPRIAGVPSCNATQAAPVTRRPVVMPVPLASAEKPAAAPPPPPTRIGSCVVVAMLLLMLS >ORGLA06G0179400.1 pep chromosome:AGI1.1:6:18716628:18717152:-1 gene:ORGLA06G0179400 transcript:ORGLA06G0179400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGERDVGDAKDGEDATHGALPGGEVSAAAAATTSPCVARPSGAASXRQEAASYHCSSTSSSSSRRCYWSYAREASVRHRPPAPPLPLAVAPLPSTRCFPSFLTRGGAADDDATHAVPFAHATTPSTCAAARVALLPPCEKATREGQEGKSRIGERKGNAGTSPDAAKSSQER >ORGLA06G0179300.1 pep chromosome:AGI1.1:6:18708385:18710293:1 gene:ORGLA06G0179300 transcript:ORGLA06G0179300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKRSDVVDGVVMCHAVRTGLVPTPKPHADPVRVVETLVGGGAPMDFDDINSITTHTKGKERKRKIRTKKRSCLPPPSHSDAGMYKYDDEVEEDYEKELRPAS >ORGLA06G0179200.1 pep chromosome:AGI1.1:6:18701701:18702123:1 gene:ORGLA06G0179200 transcript:ORGLA06G0179200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLGHLQPRPPLHSLLPSSALSSLSTPPLSSPPHPPRCPPAAGQRGGAGSGATQATRRAVGRRMCRRRKGQAQVADGGSGRRWPMPGATRAQLADGGRTVKVATGVSSLPSSFTLHARSRWGRENRMGASVMGWREER >ORGLA06G0179100.1 pep chromosome:AGI1.1:6:18691619:18691879:-1 gene:ORGLA06G0179100 transcript:ORGLA06G0179100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGGSTVLAAGGGGRGVVDGGGGGAEGANGAAAGEEDAIEQGVGAAVTDAEAEPPLDDLSAQVAHLCRKNAHVVTALGLTS >ORGLA06G0179000.1 pep chromosome:AGI1.1:6:18690394:18690606:-1 gene:ORGLA06G0179000 transcript:ORGLA06G0179000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAGGGGGGASSSAVEEELRALMEKRQVKMMLSNRESARMRKQRHLDDLTAQVAHLHRENVHVATALGLTT >ORGLA06G0178900.1 pep chromosome:AGI1.1:6:18678236:18679351:-1 gene:ORGLA06G0178900 transcript:ORGLA06G0178900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1Q3S6] MQTTRSYRSKFLSPTAMYSSSSMKPSSPSQANQDADDAKTYKELYQRCTDLVSSWPSRQGLSYLQLFRHEKGWYNGVTPLVGTMVADELFAARPSDIVVATLPKSGTTWIKALLYATVHRREHPADAAGDHPFNSLGPHECVKFLEYQLYRADEAPDLDALPDPRLFATHAPFDLLPRAVVAAAPPSGCKVVYVCRDPKDTLVSLLQFVNEYKSRNGRELVAVNAAVGFFCDGVSPFGPYWEHVLGYWRAHRERPERVLFLRYEEMKRDPVGHVRRLAEFAGVPFTSPEEDGGAVDAIVRLCSFDNMVGLEATKGGRTQLTTTTVPNSAFFRRGEVGDWANHLSPEMAQRIDAITEAKFAGFGLAPSLIEL >ORGLA06G0178800.1 pep chromosome:AGI1.1:6:18677599:18678084:1 gene:ORGLA06G0178800 transcript:ORGLA06G0178800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFPPVVQHLGYRHIGQVQQHDRRWAAERGSRDNVDDGDRDCGSVGWGGRRLRLRPMGKRPAAAVGKKEEAAAAAEGAEVGSGRGGGEEAGSGGGVSGKDAAERRQRSCEDVASVHMGRRRRSEXSLRAWRSEVFASCGGERNRAGVNKIARMDSRDSSK >ORGLA06G0178700.1 pep chromosome:AGI1.1:6:18675199:18676387:-1 gene:ORGLA06G0178700 transcript:ORGLA06G0178700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSKLTANELKSQRARERYTALSVEEKGALVQRNLENRERKNSASTSGTDVAAIVSDVGPIDHYANFPNSVRKSLLMDAEVRNSLFKEPVLHDAIKIGVNFWNQELLQPAEQNNAPGEPKVVIVEDDEVVIEPLPKKEHTSNKG >ORGLA06G0178600.1 pep chromosome:AGI1.1:6:18642114:18642320:-1 gene:ORGLA06G0178600 transcript:ORGLA06G0178600.1 gene_biotype:protein_coding transcript_biotype:protein_coding APPPQTSARFALISPPDALVRGLSAATTGYGLAGPGRSHGAREFHPESEEAEDYAFLGREVDEARHRRG >ORGLA06G0178500.1 pep chromosome:AGI1.1:6:18640486:18642041:-1 gene:ORGLA06G0178500 transcript:ORGLA06G0178500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYFYPSVPSLYPLRLHLLRPRGYLPSTASPASTIVLRRLAHPPSASALATSAGPPSPPGAPRIQRARAPALGWSRQLRRCARQRPPRRACARRPSVTISALAKKASRPDGQPLVYVIPWSSHYMVNESTEPTLGRCNANFHEGK >ORGLA06G0178400.1 pep chromosome:AGI1.1:6:18630600:18632314:1 gene:ORGLA06G0178400 transcript:ORGLA06G0178400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTRLMALTGLPLDMDVETKSASAIHACAIDLWKCPLFATPSASLVLRELVHEQANDLNDDDMDTTEAGIGDKNEAFIDDENENYMDFEYVRHQLLAQGQKHVIRTQCKSKENHVKENIKQYKVNDVQGTNQTRSLLMSTKSDMEQAVD >ORGLA06G0178300.1 pep chromosome:AGI1.1:6:18608792:18613128:-1 gene:ORGLA06G0178300 transcript:ORGLA06G0178300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1Q3S0] MKSPSPVDPESPSSPDCKGGSSSKRRRLPWRMTMSLAYQSLGVVYGDLSTSPLYVYKAAFAEDIQHSETNEEILGVLSFVFWTLTLVPLLKYVCVVLRADDNGEGGTFALYSLLCRHARAALLPPGGGGGGGEPGDEDQFLDAGAAKKAAANGNALALSGRRGGGGAAAGVRRLLERHKVLQRVLLVLALVGTCMVIGDGVLTPAISVFSAVSGLELSMEKHQHKYVEVPIACFVLVCLFCLQHYGTHRVGFLFAPIVITWLLCISMIGVYNIVHWEPNVYRALSPYYMYKFLKKTQRGGWMSLGGILLCITGSEAMFADLGHFNQLSIQIAFTCMVYPSLILAYMGQAAYLCKHHIIESDYRIGFYVSVPEKIRWPVLAIAILAAVVGSQAVITGTFSMIKQCTALGCFPRVKIVHTSDKVHGQIYIPEINWILMILCLAITIGFRDTKHLGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLAFGFIIFFGTIEALYFSASLIKFREGAWVPIVLAFIFMAIMCIWHYGTIKKYEFDLQNKVSINWLLGLSPNLGIVRVRGIGLIHTELDSGIPAIFSHFVTNLPAFHQVLIFLCIKNVPIPHVSPEERFLVGRIGPKEYRIYRCIVRYGYHDVHKDDQEFEKELVCSVAEFIRSGAAAAADAAASSKPKNVCGGGAEESEKEEEERMSVIPSGSIRMMEEDGGAGAPSSEDTVGGSGSGRGSSRGGGGAREIMSPSLSPPPVVVAPRKRVRFVLPAASPRPDAGVREELQELMDAREAGMAFILGHSYVKAKSGSSFFRRLVINFCYDFLRRNSRGPNYAVTIPHASTLEVGMIYYV >ORGLA06G0178200.1 pep chromosome:AGI1.1:6:18607225:18608526:-1 gene:ORGLA06G0178200 transcript:ORGLA06G0178200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGLARMLRSLCVAGDLARAVGLLWRSTVCPGEGTYALLLQECVNRRDARMGKRIHARMVSVGFGGGVYIVTKLLIFYVKIGELGVARKVFDGMPQRSVVAWNAMISGCARGGAEARAVEMFGSMRAEGMRPDQFTFASVLCACARLAALEHGRRVHGVMVKSRVGGGNVFVDSALVDMYLKCSSPEEARRAFAAAPTRNVTMWTAVISGHGQHGRAAEALALFDRMTRVDGLRPNDVTFLAVLSACAHAGLVGEGLRHLSSMSSGYGLTPRGEHYAAAVDMLARVGRLGDAYELVKNLPDCQEHSVVWGALLGAGRKHGDVRLVELAARRFFRLQPGNAGKYVVLANAYAAREMWGRVAGAHEAMRSLGIKKDPAWSAVEVRGKRHTFLAGDSYHDERSAIYAACNALAAAVAEQSVPPVMDGDDASHCS >ORGLA06G0178100.1 pep chromosome:AGI1.1:6:18601781:18605901:1 gene:ORGLA06G0178100 transcript:ORGLA06G0178100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAVLPEQIAAMWEQVKAPVVVPLLRLSVAACLAMSVMLFVEKVYMSVVLVGVHLFGRRPDRRYRCDPIVAAGADNDDPELADANAAFPMVLIQIPMYNEREVYKLSIGAACGLSWPSDRVIVQVLDDSTDPVIKEMVQVECKRWESKGVRIKYEIRDNRVGYKAGALREGMKHGYVRDCDYVAIFDADFQPDPDFLARTIPFLVHNPDIALVQARWKFVNANECLMTRMQEMSLDYHFKVEQEVGSSTHAFFGFNGTAGVWRISAMNEAGGWKDRTTVEDMDLAVRAGLKGWKFVYLGDLMVKSELPSTFKAFRYQQHRWSCGPANLFRKMLVEIATNKKVTLWKKIYVIYNFFLVRKIIGHIVTFVFYCLVVPATVLIPEVEIPRWGYVYLPSIVTILNSIGTPRSLHLLIFWVLFENVMSLHRTKATLIGLLETGRVNEWVVTEKLGDALKLKLPGKAFRRPRMRIGDRVNALELGFSAYLSFCGCYDIAYGKGYYSLFLFLQSITFFIIGVGYVGTIVPH >ORGLA06G0178000.1 pep chromosome:AGI1.1:6:18590261:18590959:1 gene:ORGLA06G0178000 transcript:ORGLA06G0178000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASTLASLSATAAAAAGKRLLLSSPSRSLSLSLASRGRIAVMPHLRAGILSAAPRRAVSASAPAAATIAVGDKLPDATLSYFDSPDGELKTVTVRDLTAGKKVVLFAVPGAFTPTCTQKHVPGFVAKAGELRAKGVDAVACVSVNDAFVMRAWKESLGVGDEVLLLSDGNGELARAMGVELDLSDKPAGLGVRSRRYALLAEDGVVKVLNLEEGGAFTTSSAEEMLKAL >ORGLA06G0177900.1 pep chromosome:AGI1.1:6:18577020:18586654:-1 gene:ORGLA06G0177900 transcript:ORGLA06G0177900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein [Source:Projected from Arabidopsis thaliana (AT5G42390) TAIR;Acc:AT5G42390] MASFPSPPLAAAAAAAPPRLAPGLPLAAAAVRRPSSLARRSSIALAAPANPLRCTHRRAVSPRLRRRTEAVGAASAAIGSLGEEREGCLSCFPRGRRRGRPGLARFAPCALPHTYGLSSLHSGLTGAKIRRRHVLHAAGPDEPHVASPTWSETALDKHYVDQPIGKEELEGFLNTPLPSHPKLVRGQLKNGLQYLILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSERFPIGLEEQIHKWDPDKIRRFHERWYYPANATLYLVGEVDDIPRAIREIEAVFEHTLPEGEAAPMSTASPFGAMASLFAPKLPGGLAASLTGERSPAADKIKPVKRERQAIRPPVEHKWSLPGVAQDAKPPAIFQHELIQSFSINMFCKIPVNQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALRHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDYGKPDAPLPAAIVACVPKKVHMDGVGETDFEIHPEEITDSIKAGLEEPIYPEPELEVPKELITQSELEDLKLQRKPSFASLSKEENVVKIFDDETGIAQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGDNMEVSIVGDFTEEEVESCVLDYLGTVSAPKSSKIQEHIEKISFLPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFATEGNDLFNVIRSSSGDAQVSESANTDLTERKHIDVRSHSLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSNKIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKEISCIKELTMLYESATIEDLYLAYEHLKVDESSLFACIGIAGAESGEETTDDELDMGLHGMGPIGGRGLSTMTRPTT >ORGLA06G0177800.1 pep chromosome:AGI1.1:6:18574348:18576348:1 gene:ORGLA06G0177800 transcript:ORGLA06G0177800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLPRFLLLVVLLAAPMAAAQQQYEANAQGDCYTDNGSSVLGYTCGTAASPPPPCTAYLTFRSAPPSYASPITVSYLLNASVPAVAAANSVPVSPPVARDGLLLVPVPCACTAAGYYQHDAGYVIQFDDETYFVMANDTYQGLTTCQALMAQNPAHDSLDLYPGIRLTVPLRCACPSPAQAAAGVRYLVTYLLGWDDDSSTVADRFGADYQAVLFANNFTDDSTVYPFTTMLVPLKHRPKPDVTVLPEPGPPSPAPAPAVSAPPPPAVPSSESGSGRWKKSFRGRCIGIGVGVGFAVLASGALLALFLLRRRWRWRGNGELHDVPLAPDKEGAKATPPPWMLPTTVADVDVRDAVGSMAVYEYGELERATAGFAEERRIGDSSVYRAVINGDVAAAVKRVAGDVGAEVSVLGRVSHSCLVRLFGLCVHRGDTYLVFELAENGALSDWIRGDNGGRALSWRQRMQAALDVADGLNYLHNYTRPPYVHKNLKSSNVLLDADFRAKVSNFGLARAVAGAGGQMTSRVVGTQGYMAPEYLEHGLIGPHLDVFAFGVVLLELLSGKEAAPARDGGEGGDGEALALLLWEEAEGQLVVDGDDDDARGKVAAFMDSRLRGDYPSEVALAMAALALRCVAREPRARPSMVEVFLSLSALHGTTLDWAPHATLS >ORGLA06G0177700.1 pep chromosome:AGI1.1:6:18567596:18567991:-1 gene:ORGLA06G0177700 transcript:ORGLA06G0177700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAARGLRPSGGSDVGDGRAGLMWWQGRSDEDRRSGINTAAAVAGALGTGHGHVNPMWQQLPRRRRPCKFVLRKGSMRCRLHICENDGGWLCCKVCAIHSTMHILQLGIMIFLLNNYHYVSQKKYAVFIAN >ORGLA06G0177600.1 pep chromosome:AGI1.1:6:18559648:18561585:-1 gene:ORGLA06G0177600 transcript:ORGLA06G0177600.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARRASKTHRRTRPDLPRVSPARQRVSTTIRRAHRLHXHLLSRRLHLQRNHRHHVLHRLPHLPLRPPALLLPALRRLPPQRDGLRRRRRQLCPPRRLPRRRHAAPPRPCPLLLQPGHRLLPTQHHLHRSGRXFLLHLQRHVPRLTTYQSIIANNPASEAMSPVINDSLVVPLRCACPSATTGRISNLLTYVVQEGDNVTSIARRFNSTHGDVLAANNLSSNASLFPFNTLLVPLVHPPHSRVVLANTTITSTTPPESQKFYVSSPCSNGLLAGLGIGVGCGVSAWAAVLAVFLLWRRRRRRPVGDSSGMARETPLVAAVRGAVETLAAYSYADIETATAGFEEERRVAAGSSVYRAVINGESFAVKRVAAGGDDVRGEVDVLGRVNHSGLVRLRGLCANGDDTYLVLEFAENGALSEWLHPGSAAACLRRVLGWKQRVLVALDVAGGLNYLHHFTNPPYVHKNLNSGNVLLDANLRAKVSSLGFARAVAVAVAAGDDSIALMTHHVVGTHDYLAPEYLEHGLISPKLDVFSFGVILLELLSGKTAAFVTDDDGQSTLLWQAADRLVDGDGAWLKLRAFMDPQLQGHYPIGVASAVAALAVRCVAREPRARPSMEEVFVTLSAVYNLTVVWDPQNYSASASMVLGR >ORGLA06G0177500.1 pep chromosome:AGI1.1:6:18558648:18559305:1 gene:ORGLA06G0177500 transcript:ORGLA06G0177500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCHNCSLPELVAPLAGITATNLNLLLEIKLRPTRFKYLLYESCTRYKQQWLKQLRHTAYNSPHQMVEKMPESSGWLRRRMRRGGGGDVDAPATADAAGGGGDVYAAALKPRRPWWGRCDGCSAASMRRRPRRPR >ORGLA06G0177400.1 pep chromosome:AGI1.1:6:18558149:18558454:1 gene:ORGLA06G0177400 transcript:ORGLA06G0177400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRCIGGSGDSSATAGMAVWMRRLCCIGGGGDSSGSEGNGLDATAALHRREAGDGWMPMKLMKFKDKRATLTTGWNALVGANLFERADVCVFLFTEVPM >ORGLA06G0177300.1 pep chromosome:AGI1.1:6:18544165:18544773:1 gene:ORGLA06G0177300 transcript:ORGLA06G0177300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSCNHRSSTLLRHHQPAAPPPAQVIAADGSLTEVAAAAAFCPVSVSDVLGGNAGRLFLCSSDALYFDVDVPALDGGELLRPGQIYFLLPVSMLGRPLSGVDMAALAVRASDALVARARPRHQRGGGGGVKKVRIMPMLAANGCGGDDRDGEINEKLNERTLGESVMTRPCCPASSGDKLAAAAPPVKRLLSTIDEDAE >ORGLA06G0177200.1 pep chromosome:AGI1.1:6:18531310:18535282:1 gene:ORGLA06G0177200 transcript:ORGLA06G0177200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVGMMETSPDDGGGGEAMAAAQQTEEDMGPPWLRPLLSTSFFVACASHPELSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMRWDPSLTFAIRPKRGQDSGDGGSGSDYDSFSPKKARRAAAGYDQLGRFDRGMIRWSDDEGSKSNTAPITPTTPPINRCRPSRRKGIPHRAPFYG >ORGLA06G0177100.1 pep chromosome:AGI1.1:6:18517904:18519943:1 gene:ORGLA06G0177100 transcript:ORGLA06G0177100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDAAGGGGGGGGGIAAGPAPALLCFDLKPFLAALTVLTLITAAWQLRSYSSVLPSPFPVCADPAALSPPRALAVRAVASSGNASVSSDPGGSPASLPEVGNKKPAAAADPNRREFRAAGSAAALFVQMGAYRGGPYTFAVIGLASKPTHVYGKPWFRCEWVPNANGSAAAAAARPMRAANTYHMLPDWGYGRVYTVVVVNCTFARVPNADNAGGKLVLNAYYGASPARYERIVAMEEAPGAYDAAEFRPPHRYDYLYCGSSLYGNLSAARVREWMAYHARFFGPRSHFVFHDAGGVSPAVRAALEPWVRAGRATLQDVRAQAEYDGWYYNQFLVVNDCLHRYRHAARWTFFFDVDEYIFLPDGRSLEAVLAELEPYTQFTIEQNPMSSRLCARNPDEPETDYSNEWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENVIGNTTHKTEHLIRYYHYHNTINVIGEVCREFVPIPPNGGLIWSEKTPWYYDDSMKRIADTVREFERKTIGDVRV >ORGLA06G0177000.1 pep chromosome:AGI1.1:6:18506349:18511718:1 gene:ORGLA06G0177000 transcript:ORGLA06G0177000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMWSGRHMHVGWEKRAVARALKQRYTLRRFSRAFWNLEGISFSLVSQENSEHPFFRKSGNLHGSQTHIEPEKCQLPGKCATHEDEDRDNMIFLVFYMFVVLLGEFCSSCSCAQVYVVYMGKGLQGSTENRHDMLRLHHQMLTAVHDGSLTNWMLGLSMEKAEASHVYTYSNGFQGFAAKLNKQQAMKLANMPGVISVFPNTKRSLHTTHSWDFMGLSVDAAAELPELSSKNQENVIIGFIDTGIWPESPSFRDHGMPPVPTRWRGQCQRGEANSPSNFTCNRKIIGGRYYLRGYQTEESGQSRSAIKFISPRDSSGHGSHTASIAAGRFVRNMNYRGLGTGGGRGGAPMARIAAYKTCWDSGCYDADILAAFDDAIADGVDIISVSLGPDYPQGGYFTDAISIGSFHATSNGILVVSSAGNAGRKGSATNLAPWILTVAAGTTDRSFPSYIRLANGTLIMGESLSTYHMHTSVRTISASEANASSFTPYQSSFCLDSSLNRTKARGKILICHRAKGSSDSRVSKSMVVKEAGALGMILIDEMEDHVANHFALPATVVGKATGDKILSYISSTRFSAKYCSYFQCGSTMILPAKTILGSRDAPRVAAFSSRGPNSLTPEILKPDIAAPGLNILAAWSPAKEDKHFNILSGTSMACPHVTGIAALVKGAYPSWSPSAIKSAIMTTATVLGNKRNAIATDPNGRTATPFDFGSGFADPIKALNPGIIFDAHPEDYKSFLCSIGYDDHSLHLITQDNSSCTDRAPSSAAALNYPSITIPNLKKSYSVTRTMTNVGFRGSAYHAFVSAPLGINVTVTPKVLVFENYGAKKTFTVNFHVDVPQRDHVFGSLLWHGKDARLMMPLVVKVDTAAKA >ORGLA06G0176900.1 pep chromosome:AGI1.1:6:18485170:18485430:1 gene:ORGLA06G0176900 transcript:ORGLA06G0176900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRKREWIRRKVAAAAAGSGSPRWSRRVRAVSTAVLHRRRRLGLGLGLGVRVYENVVFYVLWVVESVVVLANLCFFFLRFGFRL >ORGLA06G0176800.1 pep chromosome:AGI1.1:6:18481898:18483246:-1 gene:ORGLA06G0176800 transcript:ORGLA06G0176800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNNDLGGLGGRPATSQANPFESALYGAGPGLIRSGLGAYGEKFLGSSSEFMQSNITQYLSNPQYYFQVNSQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPIQDINAPDLYIPLMAFGTYVVIAGYALGVLGRFTPEALTLQFTKGLLGWFLQVILIRVLLYTLGSGEAPLLDIVAYAGYGFAGTSLAMLVRVFWSPSYYFVLPWFSICTGVFLVKTMKRVLLGAPRSYERHPSRNHYFLLFLAVVQFPMLFWLGNISG >ORGLA06G0176700.1 pep chromosome:AGI1.1:6:18480641:18481075:1 gene:ORGLA06G0176700 transcript:ORGLA06G0176700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMANATVVFFFLAAAAVISCHAARAGNSTATAAAAGDCKLSDITVTAARTGKVVEGQPEYEVAVANGCACPQNGVRVSCPGGGGGGVPSVEPVDESKIRADEVGLCLVNDGMPVAKGSPVTFVYAWKQPLEFAAAQATPRCS >ORGLA06G0176600.1 pep chromosome:AGI1.1:6:18477399:18477881:-1 gene:ORGLA06G0176600 transcript:ORGLA06G0176600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSRSSTVTSSPTTYSWITWRIRRSLTLGIAKLLNNQQVHYTITKIMGTKGYAAPEWFVEIICCGRLPPDNQRIGTMVPLLNWVESLIEDGRMSEVSTRGGRRERAGAPMVLGSSMADSAERYARVAYMVHTGGPIYEANNTRGGAHAHGCCPSASLI >ORGLA06G0176500.1 pep chromosome:AGI1.1:6:18471743:18474316:-1 gene:ORGLA06G0176500 transcript:ORGLA06G0176500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1QDS8] MKSFSFVPLLLIALLLHQSSCLLQVEAENLTAGSTLRPPHYITSPSGDFAFGFRALGSGRPDGRFLLAVWFNDAVQEKAVVWYARDPGSGSAVTATAQSVFSVTLAGQLSLAGTAGSNMATGAGATRVSKRSDADFSAGRFSLYVQADGNVVLYLNLAAGNVDPYNAYWATGTNQPGNTQDGNTTLFFASPGRVYYQVKDGTVHDLTTPMAKANYYQRATLDPDGVVRVYVRRRSPTSSTSTTTANASWAVAGMFPGDGCSMGTRGLDGFCGPNSYCVVSDDGRLDCACPSGYSFVDAQLRYRGCSPAFAPPRCDFVGDDVANRSGEFVIAKLPNTTWTASPYKVYSYTAEEQCGDLCLNDCFCVAALFDGTRCTKMASLTGAGRQGSNVTAKALIKVRTRSTPPAAAVARRRVPPLRYILLLGFSAFLLLAATTSLVLLHRRIRRRSSSDHDMVMRLFTRKELYDATNGFQRLLGRGGFGEVYHGVANSLHLLHSPDTDIAVKKLIVSNEYTEREFANEVQSIGRIHHRSLVRMIGYCKEREQRMLVFEFMPGGSLRSFLFHQQPRRRPPPPPWTWRAEAALAIAKGIEYLHEGCASPIIHCDIKPDNILLDDKNNPKIADFGISRLLGDEQLHTTVTNVRGTRGYIAPEWLHGDRRIDTKVDVYSFGVVLLEMICCRRCQDPITSQLHQDDNGDCDDDTVTLFGWAAGLVSHGRVEVLLRSDDDAAEDLERVERFARVAFWCIVHNPSLRPTIHQVVQMLEGVVEVHAPPHLLSYTDSSSSSFIHTDSPALRPRGSSCPVELNLI >ORGLA06G0176400.1 pep chromosome:AGI1.1:6:18465199:18465441:1 gene:ORGLA06G0176400 transcript:ORGLA06G0176400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGGRPLARLTPRPSPRARHRPQGAPQAQAHVQRRAGARREARLRLARAREQAWVLLDRRRQREGAGWLQEPRRRRVN >ORGLA06G0176300.1 pep chromosome:AGI1.1:6:18457288:18458344:-1 gene:ORGLA06G0176300 transcript:ORGLA06G0176300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVPDDALADILRRLPPRSLAAARCVCKPWRDLVDGRALLLPRLLPHSVHGVLINYIDHDRPHLFSRRRSRSRSRSSSSPAASSGGGDIDGNLSSVPPKGDMDWWHVMDHCDGLLLCAVEWGNRLCVCNPATRRWATLPRCPESPKPIRYGTGGAYLAFDPAAASPPHYEVFLIPGLPEKPPPPPPKQKAKAITAPPFCLDSLLASLDGACWTMEEVEPPPPPSPPASSMGDADLYRLMEWPPSPYKVYVFSSRSGRWEERAFVREGGETAATTTTVDDMEPWECPLEGPRQGYTGTACPGREHCMCILVVLLLPGKFIQLRMST >ORGLA06G0176200.1 pep chromosome:AGI1.1:6:18449748:18453598:1 gene:ORGLA06G0176200 transcript:ORGLA06G0176200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELTVTGWFLSPIIREMQDTALSYIRGQFSWEKDQEKDLERLDTILTEILAIVDAIEKREIKDGNQRKLLRKLKDAIYSAVDVLDSFQYMALKSKVDSQAMVSRVTSSCVYLGKRVVGTDKFRRKLTDMLKKLDEVKTTADTLFKLVSFDSATAKLLPVTQARVTSPLKEENHIYGRKDDLDRLRDLLLMQSDSSAPGPSNSCVPVISIIGVGGIGKTSLAQLAFRDERIRASFGLRIWVCVSDIYDETTLARDILESVTGENYRSVTKLDELKNVLQEKISQKNFFLVLDDVWYDENRTNWENELVWDGVLSTLDTGLGGSKILVTTRTNKASELLRAGACLQLGGLNRDDYWMLFKSCAFGEKHPGLFQELKEIGMQIAERLNGLPLAAKVIGRLLNVDLDSSHWKKVLESDISGDVMKVLRLSYQHLPIHLQLCFSFCSLFPKSWRFDPRRLTDMWISQGFVQKEDESDNDMNVEDVAKGYFNDLVQRSFFERSLLDLPIEYVMHDLINDLARNVSKDEYTRIESEKQKEIPPNIRHLSISAHLWAGMKKTEMKNLRTLLVWSKSWPCWKLSLPNGVFKKSKYIRVLDLTGCCLERLPTSVKNLKHLRYLAFRVPEKPLPTALVQLYHLEVLVTRGHSCRGSECFQLPTNMKKNLLKLRKAYLFNVGGATISGFGGQTLLHGPGEFHVKKESGHRLGELKEMNNIRGRLSVRFLENVEHQQQAVDAHLDCKEHIKHLQLEWSDLPRPITSELDPYVLEALRPHPDLERLNITGYKGERSPSWFETNWMKALTSIVLQNCMGWVQLPPLGQLPLLEDLVLRNMHAVKQIGQEFYGNGDTKGFPKLEEIVFDGMPNWEKWSGIEDGSLLPCLTRLYIAKCPKLQEAPPLNARPKVEVAITSDSLPSSCLFDSLMASASYLILLVNCCSFLSSLNTDQLSHVEELNVKSCTDQMPACGFIGLSSLKVLRISNCSALLSSVCVEAGEELDTCFFPQSLSELEIVDCNIQSSLLPRYLQGLTNLSTLMINSCDSMDLLSFAYGPHHLTSLEAIIIKDCIFLSSLDGFENLIALRKLVVADCKNFCFLPADLNALISLKTLAIYGCPKMKFLPQNGVPASLQLILLSLLHPELDRQLQRREGTEWDKIAHVPEKKLEVELIDLLTLFPSSSF >ORGLA06G0176100.1 pep chromosome:AGI1.1:6:18437044:18437607:-1 gene:ORGLA06G0176100 transcript:ORGLA06G0176100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECSYNSMSPSTPLPPPPSAAAAKKGSPEAAAAHKERPKIRIIHIIAPEIIKTDVANFRDLVQRLTGKQQQQQQESAETTLLPPSPVAVLDEKKEKVTTKKRPAPAEDESMMRKKKKKIKCEVKVEEGHGFGYDHLDHTDLWMDLNPGGFLSFLEEEDVFQGMAADLFQSPLGSSRMDFVGEMYAS >ORGLA06G0176000.1 pep chromosome:AGI1.1:6:18425166:18431830:1 gene:ORGLA06G0176000 transcript:ORGLA06G0176000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLPIPQGLSFLRSVGWFEERKADAAAARQRHSPRLKLQTDREVYRPGDSVTATIEICTPAGLKDDAASAETGEDAPSLLVDGLSFEIKGIEKLDSQWFSVSKPLPGSKQRRGEHLFLDCSAPSLIPKVIIASGQTKTYIVRVELPKILPPSYRGISVRYIYYVKSILFGRSIVLGNGDQNIAPVNTAVQLEARVPLQICVSQKGSNLLNEEVNFPLPIEQPDIFWREKDEDSEWSKANDNTDLEEGYDSSKDEVSSVSSYNKANPESSLRSSVSMHSLSSRLSTSEPLYSRERPSFPSYSPIPRLSVSEISDDHGGGVLSPQRKLNHLLSDHHPSNGQMFSLDPDRSNDDAGPPLTPKYVEPAGSEGFMRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGSGTRRCLEVSVTLETSETVNPRVIHPSRRSSPSITKVHSEHYEVVADLHQTSFLFSVPIDGPMSFSTSKVSVQWSLRFEFFTTPEGIDSSRYEHPLLVEKREKGDWVLPITVYAPPLRRQAIHGRNDRSVLVGNLFNS >ORGLA06G0175900.1 pep chromosome:AGI1.1:6:18421211:18421564:1 gene:ORGLA06G0175900 transcript:ORGLA06G0175900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGSRRWACVRVMAGTILGGALGFYVMHRLETSHKAKMEERLRRYEARMSMAAKGKEEEEAQQRLQDEAAPQHKDQARLLPDS >ORGLA06G0175800.1 pep chromosome:AGI1.1:6:18412177:18415418:-1 gene:ORGLA06G0175800 transcript:ORGLA06G0175800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein N-terminal asparagine amidohydrolase family protein [Source:Projected from Arabidopsis thaliana (AT2G44420) TAIR;Acc:AT2G44420] MLLVDGEPVSASASPTSGSSRGGSGGRELVAALMGNPGLRAASERLTAEPERRISSVEAEAAAAPRHVYVFQREFATVDPARVELVGTDEVTTCVGVVIRNNKTGMTSISHMDFPKIVEGGLKQMLELLGDDNAPFDVHLIGGFDDVSTKVVHSAGRKHIKQEGYSYPLCCRILEVLHKSRQQFHLRTFCVLGSNTTTDSYGNTRPIIGGFVVETSSGAVNPASFEMNSRCPDEIVRRIRVSVSSYDPNWQGRLLETYDTHSDAFEIAPACWMPDWAEMASSLNQLSDSEVLLQCSTSPAAEPPHFVENERRIWRYLIENPYWQDTFPKYKPRVFHRTSDGRWSRYSIVSSS >ORGLA06G0175700.1 pep chromosome:AGI1.1:6:18406979:18409670:-1 gene:ORGLA06G0175700 transcript:ORGLA06G0175700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGKRAAPEGTNGAAKRARASESSQVGVGSKLKPCTKFFSTSGCPFGSSCHFLHNFPGGYQAAAKMTSHGGTAVAAPPGRMPLGPGAPNGPPTSSVKTRMCNKYNTAEGCKWGSKCHFAHGERELGKPMLLDNSMPHPMGSMPFEAPPMPGPDIVPPSTFGASATAKISVDASLAGGIIGKGGTNTKHISRMTGAKLAIRDNESNPNLKNIELEGTFDQIKHASAMVTELIVRISGNAPPAKNPGRGSHAGGPGSNFKTKLCENFNKGSCTFGDRCHFAHGESELRKPPAAA >ORGLA06G0175600.1 pep chromosome:AGI1.1:6:18403465:18406130:1 gene:ORGLA06G0175600 transcript:ORGLA06G0175600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEAEAEGRGGEPVTPGTPAPDLSQATDDRRLLRSRYLAVKSRISDDKDDMARADSVKFRAVFTQVEDLHRLVQRPREQIADAEALLDIATSLVASVRTHSALGITPSDFVSGMLKKFGEKRRDDDEAASLRWLDVGLYTSRIFMAVPGCSTMVGPMNTEVLPRRVHVCRKRTAKPRGSECPEQLPDSSNAAKTDTDRNMSVIFDVLRKKKNARLENLVLNRKSFAQTVENIFALSFLVKDGRVEISVNDEGHHLVYPRNAPAASAITSGKVVYNHFVFRFDFKDWKLMKDMVVDGEELMQHRPPAPQVGTHGSSTTTTGGANEGPETETPAAPAHSTAIRKLCRNRGLVMHDMQEEAATTTTGGRTMEEKRSAMDAQVSQLASKRRHLFQDDDDDDGDLE >ORGLA06G0175500.1 pep chromosome:AGI1.1:6:18385313:18385513:-1 gene:ORGLA06G0175500 transcript:ORGLA06G0175500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLISGKFYSTLLKTACLFGSTPRSTKASTTNVDKAPSQTLRLLDHSRTVGGYRQGIRNEEMVYPPR >ORGLA06G0175400.1 pep chromosome:AGI1.1:6:18377932:18381141:-1 gene:ORGLA06G0175400 transcript:ORGLA06G0175400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAAPSSSPFVSSRRLSSPAASLRVRTPRCVMGSEQVRVVVEEEGKTKKRMGVAEPRSAPPAVWTPRAPAQEARLAALRTDGRDSRLKIFSGTANRPLAQEIASYLGVDLGKVLIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFVMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLSANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIHGQPVILDYLASKTISKDLVVVSPDVGGVVRARAFAKKLSDAPLAIVDKRRQGHNMSEVMHLIGDVKGKVAIMVDDMIDTAGTITSAAALLKQEGAEAVYACSTHAVFSPPAIERLSGGIFEEVIVTNSILLPEHKCFPQLTVLSMANLVAETIWHVHRDGSVSSIFQ >ORGLA06G0175300.1 pep chromosome:AGI1.1:6:18333512:18334820:1 gene:ORGLA06G0175300 transcript:ORGLA06G0175300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHTFYMEVSKKGLGNITARSPVDCFGAEGYVWLDFSYLHAIYRRDKMDVNYIGVLCIDHYICLLIYPKDGTVVVLDPLDNRHQSYKEFLTILQYAYQYYKFKGGEQTRTREKLLLPRLERRTSFDDTGITNVQRDLCHFIHHECCHVKGDFFDPEGALVTSDEFKDLREWNTSMS >ORGLA06G0175200.1 pep chromosome:AGI1.1:6:18321202:18322515:-1 gene:ORGLA06G0175200 transcript:ORGLA06G0175200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSLLPRFPSSPSGRHHAGPTGGWPPPSPRLGRRRRRDPRRRCAVPHPRSDRLLQLRHAADPVEFNEPIHIVVAAPPQPPTQMQAGVNMSSKEDSGLPPTTSAFALTARLSCSTSRRRGASPRGSTLDQSPSAARVRKKPPTVEPELKLLPDPEFPRAWLWRCTPLWRGRRIRTPLSLAGVPNAVEGLSSSGAVR >ORGLA06G0175100.1 pep chromosome:AGI1.1:6:18198549:18198893:1 gene:ORGLA06G0175100 transcript:ORGLA06G0175100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRRRPPPRALPCPAHLLPHPQALSARFSSPPVAAVTLFCVGLHGAATTVASTRKRPMDQWWEHLARTHSLKNQTLACDGKQARGCQVRSPLRWFPFLFAFLQFLLALPTVR >ORGLA06G0175000.1 pep chromosome:AGI1.1:6:18182236:18188485:1 gene:ORGLA06G0175000 transcript:ORGLA06G0175000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTTRDEDETRRRRPFHRLHLSLSDLHSSLSLSLSLYPAMADEPLDAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEHGLLPIPKLVFPEGTLTQTLAQTKERLIAAAGGDGDASAAPRVGAAALAEALQIPRELAALVLGTLAAVLPAEEEAEDADLRDVLLFLYIQSYKRLVPRAHKDSPAVTDVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEAHQLSYLQKHMVNILSLLADSVDGEGDESMVLTAETFEHLGFLLQFSEGTPLSQVATFFANSDPDMPAAPVPAAQVHDWILQNIAASLENTAEKLTAKENSQQSASDPDVTMAEAVTNSRIHSSSPTGTAVPNNQGHYRNTTFLEGFSKTSVVKQASDIKGHSIKVLNCHDSVIYILAPVKYATVYGCSDTTIVLGAVGKVVKVEHCERVQIIAASKRICIANCRECIFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLVQVGVDSNINKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATCLDPDLFTNFLIPSWFEAQGPTKYNPFTLPEVYWASQRKKHVSLEDIQKNIRELELDDTRKKELASALHAQFKDWLYGNTHLLIKFA >ORGLA06G0174900.1 pep chromosome:AGI1.1:6:18169431:18176204:-1 gene:ORGLA06G0174900 transcript:ORGLA06G0174900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPSTSGMIQASSSLHGSITRRNPEGYDMPSDLDQALLLYFDGQEQDKPSTQEEPHKPLNFVKETLNIFPSQPMDGEPTPTPKASMSAPPIAGFSRRSPAPAAADGRPLTLGKTSKAAFKKEGGSGSGGAMAASASSELKGPKTPDPKTLRRLAQNREAARKSRLRKKAYIQQLETGRIRLAHLEQEIQFTRAQGAFCGAGILSPDAALFNLEYERWQEAHHQVISRLRAAVEEHRPDGELQPHVDEAMSHYGVLMAHKARLVGADPLHLLSGLWKGAVEQCFLWIGGFRPSELIKVVIRHVEPLTEQQLAAVYSAQQAARQEEDALDGGLQALLRSLSDVVSSDAPSSSQQTPPVMYHPSAAAAMAAASFMGQYGSYSNLQLAMDKLANLSIFLRQADEERMRTLHALRRMLTVRQAARCFVAVDDYFGRLRALALFWTTTRPHPAAG >ORGLA06G0174800.1 pep chromosome:AGI1.1:6:18163386:18165860:-1 gene:ORGLA06G0174800 transcript:ORGLA06G0174800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTGGHHHDAHHEDFQLKDTNPLLGEQWPKGAAGPARPAVGGGIAGWLGLEKPSSTYDLVEQMFFLYVRVVKAKDLPPNPITGSPMDPYVEVKLGNYKGTTKHYDRRANPEWDQVFAFSKSRVQSNVLEVYLKDKEMLGRDDYVGRVVFDLAEVPTRVPPDSPLAPQWYRLEERRVGGGGDGGGLKVRGELMLAVWIGTQADEAFPEAWHSDAATVRGEGVASVRSKAYVSPKLWYLRVNVIEAQDVQPQARGRAPEVFVKAQVGNQILKTSVVAAPTLNPRWNEDLVFVVAEPFEEQLVLTVEDRVTPRKDDLLGRAALPLALFEKRLDHRPFVQSRWFDLEKFGIGGAIEGETRRELRFASRVHVRACLEGAYHVMDESTMYISDTRPTARQLWKPPVGVLEVGILGAAGLQPMKNRDGRGTTDAYCVAKYGQKWVRTRTMLGTFSPTWNEQYTWEVFDPCTVITIGVFDNNHLGNGNGNGNNAGGGGGGSPPARDARVGKIRIRLSTLETDRVYTHAYPLIVLQPSGVKKMGELRLAVRFTCLSLMNMVHLYTQPLLPRMHYLHPFTVTQLDALRYQAMGIVAARLGRAEPPLRREVVEYMLDVESHMWSMRRSKANFFRAVSLFSGAAAAARWFADVCHWKNVATTALVHVLLLILVWYPELILPTVFLYMFMIGLWNYRRRPRHPPHMDTKMSWAEAVHPDELDEEFDTFPTSRQQDVVYMRYDRLRSVAGRIQTVVGDMATQGERLQSLLGWRDPRATCLFVVFCLVAAVVLYVTPFRVVALVAGLYLLRHPRFRSRLPAVPSNFFRRLPSRADSML >ORGLA06G0174700.1 pep chromosome:AGI1.1:6:18162716:18162907:1 gene:ORGLA06G0174700 transcript:ORGLA06G0174700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLYVLTPKRIIWDCEVKEIIFSTNSGQIGVLPNHAPINRAVDMGPLRIRLLNDQWLTAVL >ORGLA06G0174600.1 pep chromosome:AGI1.1:6:18143866:18145527:-1 gene:ORGLA06G0174600 transcript:ORGLA06G0174600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQLVARGLFKPLLLFVAGLIVLYALRRRRHRRSSGLRLPPSPFGLPILGHLHLLAPLPHQALHRLAARHGPLLFLRLGSVPCVAACSPDAAREVLKTHESAFLDRPKPAAVHRLTYGGQDFSFSPYGPYWRFMKRACVHELLAGRTLDRLRHVRREEVARLVGSLSRSAADGGERVDVGAALMGLTGDIVSRMVMGRRWTGDDNDAEEMRSVVAETAELTGTFNLQDYIGVFKYWDVQGLGKRIDAVHRKFDAMMERILTAREAKRKLRRQAAADGEDDEKDLLDMLFDMHEDEAAEMRLTRDNIKAFMLDIFAAGTDTTTITLEWALSELINNPPVLRKLQAELDAVVGGARLADESDIPSLPYLQAVAKETLRLHPTGPLVVRRSLERATVAGYDVPAGATVFVNVWAIGRDAAWWPEPTAFRPERFVSGGGGGGTAADVRGQHFHLLPFGSGRRICPGASLAMLVVQAALAAMVQCFEWSPVGGAPVDMEEGPGLTLPRKRPLVCTVSPRIHPLPAAASASLT >ORGLA06G0174500.1 pep chromosome:AGI1.1:6:18134131:18138900:-1 gene:ORGLA06G0174500 transcript:ORGLA06G0174500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKELVPGCSKVSGTALVLDEIINHVQSLQRQVEYLSMRLAAVNPRVDFGGLDNFLTTECGRITGLNYKNGMDLEQVTWPDMGVHGARNLMQLQQQFWHGDLAHPLQPPSQWEKRTDTNPPVFSNSSSSLFGYDLASSGAPAQTGNKLKTEL >ORGLA06G0174400.1 pep chromosome:AGI1.1:6:18128379:18130410:1 gene:ORGLA06G0174400 transcript:ORGLA06G0174400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANVLGGDVVADDFNYFSGVSTIFVANIQEVKDRVSQIELLFCSQLFPHVQAMWKAAKDAWMEREAALLSQLEELSSGKRHAEEKALQLGCSLDEMKGKLAYAERSVAGHEVEKKRLLGRLEEEIGNKDEVIRRLEREIAEKAADFSRERDAHQRLLQLVELKDKYLLLEQNKRRDAEEMALQLGNSLEDMKGNFERLIARHEVEKEQIPGRLEEEMGKKDEVIGRLESEIAEKAADVSRERDAHQRMLQQVELKDKDLLLEQNKRKDLIEDYTKLKTLYKDLKSQYNFLVGKIGQNEGSKSPVVNVVDRKTSGSPPSKRKLKDLVDTKKENNQAVSKTVDEKNGPASSAKAQGTHHASSVRSQFSNSRLCLPSRTTNPPPKNATSNSKTEAASSFTRPSLHWRETRARKEPGVVDPHDDFLDTPLEAVKNMIRNPKTPEEAQALAASPPKDMDFNNSDDETQDVNIATQGQKNMPVPKQQSTISIQPPNKGFKYTEPVRKKADRENLKGVECKQCKKFYDAVLPDGRTNGDGADSTSMRCEHHDGVSRHRYRYAPPLTPEGFWNIGFESEM >ORGLA06G0174300.1 pep chromosome:AGI1.1:6:18119630:18123908:-1 gene:ORGLA06G0174300 transcript:ORGLA06G0174300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G13770) TAIR;Acc:AT3G13770] MALPGASARFHEYEAAITACIERRALWEGRQVHARMITARYRPAVFLGTRLVTMYVRCGALDDARNVLDRMPERSVVSWTTMISGYSQTERHVEALDLFIKMLRAGCIPNEYTLATVLTSCSGPQSIYQGKQVHSLLVKTNFESHMFVGSSLLDMYAKSENIQEARRVFDTLPERDVVSCTAIISGYAQKGLDEEALDLFRQLYSEGMQCNHVTFTTLVTALSGLASLDYGKQVHALILRKELPFFVALQNSLIDMYSKCGKLLYSRRVFDNMLERSVVSWNAMLMGYGRHGLGHEVISLFKDLHKEVKPDSVTLLAVLSGCSHGGLVDEGLDIFDTVVKEQSALLHTGHYGCIIDLLGRSGRLEKALNLIENMPFESTPSIWGSLLGACRVHANVHVGELVAQKLLEMEPENAGNYVILSNIYAAAGMWKDVFKVRKLMLEKTVTKEPGQSWIILDKVIHTFHSSERFHPSKKDINAKIKEIFVDIKAAGFVPDLSCVLHDVDDEQKERMLLGHSEKLAITFGLMNTPPGLTIRTEDWSMIFLIQVIPLELHRDDLLMAILFITRSPIRSQKLHTNHLTFTSKGKNNMI >ORGLA06G0174200.1 pep chromosome:AGI1.1:6:18114843:18118905:-1 gene:ORGLA06G0174200 transcript:ORGLA06G0174200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRSLAGGAAAAVVVLAAAAAAAAFLSLLDGAAALHLCTDRLFNDTQGRHSDGLPHLNQAEEATWMGLLPRRAGPRDELDWLALYRSITRGGGDVGGEPAGFLSPASLHDVRVDPYGANMYWQGQQTNLEYLLYLDPDRLTWTFRQQAKLPTVGEPYGGWEAPDGQLRGHFTGHYLSAAAHMWTSTHNDALREKMTKVVDILYSCQKKMNTGYLSAYPESMFDAYDELAEAWSPYYTIHKIMQGLLDQYTLAGNPKGLEIVVWMTDYFSTRVKKLIQEYSIQRHWEAINEETGGFNDVMYQLYAITKNQKHLTMAHLFDKPCFLGPLGLHDDDISGLHVNTHVPVIVGAQKRYEVVGDQLYKEIATFFFDVVNSSHTFATGGTSTMEHWHDPKRLVDEIKISSNEETCATYNLLKVSRNLFRWTKEGKYADHYERLLINGIMGNQRGKEPGVMIYFLPMGPGRSKSISGMPTSGLPPKNPGGWGNANATFWCCYGTGIESFSKLGDSIYFLEEGEIPGLYIIQYIPSTFDWKAAGLTVKQQAKPLSSTDSHFEVSIFISSKGDARPANVNVRIPSWTSVDGAIATLNGQKLNLTSAGDFLSVTKLWGDDTLSLKFPITLRTEPIKDDRPEYSSIQAVLFGPHLLAGLTHGNQTVKTSNDSNSGLTPGVWEVNATHAAAAVAGWVTPVSQSLNSQLVTLTQRDGDAQAAAAFVLSVSIADGALTMQESPVAGSDACVHATFRAYHSPSGASAIDAATGRLQGRDVALEPFDRPGMAVTDALSVGRPEPATRFNAVAGLDGLPGTVSLELSTRPGCFVAAPTTAYLAGAKAQVSCRKPTAAAAGEDDDDTAFRRAASFTQAAPLRLYHPLSFSATGTDRNFLLEPLHSLQDEFYTVYFNVLTK >ORGLA06G0174100.1 pep chromosome:AGI1.1:6:18107362:18113040:-1 gene:ORGLA06G0174100 transcript:ORGLA06G0174100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAAAAVVMVVAVVAVAVAKECTNIPTQLSSHTVRARLQSSSAAEWRWREEYFHGDHLNPTDEAAWMDLMPLAAASASEFDWAMLYRSLKGAAVAGDEGGGGGGGGFGFLEEVSLHDVRLDMDGGGDGVYGRAQQTNLEYLLLLEVDRLVWSFRTQAGLPAPGKPYGGWEGPDVELRGHFVGHYLSAAAKMWASTHNGTLAGKMAAVVDALHDCQAAAGTGYLSAFPAEFFDRFEAIRPVWAPYYTIHKIMQGLLDQHTVAGNGKALGMVVAMADYFAGRVRSVIQRYTIERHWTSLNEETGGMNDVLYQLYTITKDQRHLVLAHLFDKPCFLGLLAVQADSLSGFHANTHIPVVIGGQMRYEVTGDPLYKEIATFFMDIVNSSHSYATGGTSVSEFWSNPKHLAEALTTETEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKAVSYHGWGTQYNSFWCCYGTGIESFSKLGDSIYFEQKGDKPGLYIIQYIPSTFNWRTAGLTVTQQVKPLSSSDQYLQVSLSISAAKTNGQYATLNVRIPSWTSMNGAKATLNDKDLQLASPGTFLTISKQWDSGDHLLLQFPINLRTEAIKDDRPQVASLNAILFGPFLLAGLTTGDWDAKTGGAATAASDWITPVPASYNSQLVTLTQESGGKTMLLSTVNDTSLAMLERPEGAGGTDAAVRATFRVVPPGSRAELRQRAGAGAGEGAARLKVAAATIEPFGLPGTAVSNGLAVVRAGNSSSTLFNVVPGLDGKPGSVSLELGSKPGCFLVAGAGAKVHVGCRTRGGGAAAAAAGFEQAASFAQAEPLRRYHAISFFASGVRRSFLLEPLFTLRDEFYTIYFNLAA >ORGLA06G0174000.1 pep chromosome:AGI1.1:6:18105175:18105690:1 gene:ORGLA06G0174000 transcript:ORGLA06G0174000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKETGCQQPEGPILCINNCGFFGSTATMNMCSKCHKEMIMKQEQAKLAASSIDSIVNGGDSGKEPIIAGHAEVAVAQVEVKTLVAQPAEIAGPSEGVTVNPKGSEGPNRCSTCRKRVGLTGFNCRCGNLYCAMHRYSDKHDCQFDYRTAARDAIAKANPVVKAEKLDKI >ORGLA06G0173900.1 pep chromosome:AGI1.1:6:18098261:18098647:-1 gene:ORGLA06G0173900 transcript:ORGLA06G0173900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVGQPRHARAFTPLLWCHSLTTVALCFGRRRSDRCQSSATVPNPPRYHQSASGPLLPPPSPPAGGNGSPDVEGVALADFFFGPELDDLMQWLGDGDAGQKGTLPASSSSSSYAQRGGAERAGGRRP >ORGLA06G0173800.1 pep chromosome:AGI1.1:6:18094435:18095892:1 gene:ORGLA06G0173800 transcript:ORGLA06G0173800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPGAGAVGSAAAAAAPPASDSEASTAVADAAKAMARTAKATAIFFISIVSQPAGFGGGAAWVDGDAPPPTTTRGARVEAVVALAFKTAVTDDPSGALPTTRAGGPA >ORGLA06G0173700.1 pep chromosome:AGI1.1:6:18081687:18082334:1 gene:ORGLA06G0173700 transcript:ORGLA06G0173700.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSERFCPTWRTRGEDVGGGASGSGGRGGGWAEGGGARGRWAVVHGGGRGGGARRGGVAEGTAARGSRSAHGSWPVLAVEYTQSPEEEDAEETNDDEEAAAELELTLAVGTAASVKKRYNRNEHQSPGQSFSSSSSTEYDVLVTSVRDWQAHHSGTTTDADAASPPPASSPLSRRYQRE >ORGLA06G0173600.1 pep chromosome:AGI1.1:6:18071602:18074352:1 gene:ORGLA06G0173600 transcript:ORGLA06G0173600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTHTHKTQLLYLSISLFITVVCLLLHPLCYLLFSFSSYLELAPYYQSSSMGDPYTNFLRGYHHHHRSHHPLHFPPPPPPPPPPYAASFSGLYSSYLHPPPPPSSPPIREALPLLSLTPSTTHDDDHHHRRHDQDHHHHHKQGQEKNSHGGGGAASGSNNDDKRESPSAAAAADDQAAEVTVALHIGLPSPSPSDAAAAAGNQAAAVAAAEASAAGGGSSRMQVEEEGGEEEDEDEAAAAAATATLPLGCASIGIGKLTKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGRGHAACGIDSFDDLDADDDPSSDLDHASASASRVG >ORGLA06G0173500.1 pep chromosome:AGI1.1:6:18042254:18043457:1 gene:ORGLA06G0173500 transcript:ORGLA06G0173500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRSDGVEESENGPTDEDNGVSDGENEDDLGADAEKWKRQEIDEMEYDDDAEKEEGFDMDSESEEDTKSKPESEDHQAKLDEELEESEEGHVLDSSNKGENSKAKQATARLEDEINEAEDEKAQVTIKFKKNIKWTIHYESTGLNFEVHYALQEQPHILLAQIAQRTARSVFVKACKNIDRCEVNKPKKIDNNTINTPITLQTAGVNFEVFHKLVDYLDINEVRSNDIHAMLNTYGVEAARATIIEEVKGVFGAYGIHVDMRHLNLIADFMTFDGGYRPMSRLGMGQFSTSPFGKMTFETATKFIVEAASHGESDTLDGPSASVCLGKPVKVGTGSFGLLQNFSLEQPVAM >ORGLA06G0173400.1 pep chromosome:AGI1.1:6:18011535:18017587:-1 gene:ORGLA06G0173400 transcript:ORGLA06G0173400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system P protein [Source:UniProtKB/TrEMBL;Acc:I1Q3M1] MERARRLANRALLRRLLAAATAESPAAPSRGISTLAKGSRPRAPPRPAPHQYTTGRRPVSASALQPSDTFPRRHNSATPAEQAAMASECGFGTVDALIDATVPAAIRAPEMRFSGRFDAGFTESEMIEHMQRLAAMNRAYKSFIGMGYYNTHVPAVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMVADLTGLPMSNASLLDEATAAAEAMAMCNGILKSKKKTFLIASNCHPQTIDVCQTRAAGFDLNVVVADAKDFDYGSGDVCGVLVQYPGTEGEVLDYAEFVRDAHAHGVKVVMATDLLALTSLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIADRVHGLAGTFAQGLKKLGTVTVQELPFFDTVKVKVADANAIAQEACKNEMNLRVVDATTITVAFDETTTLEDVDKLFKVFNGGKPVNFTAESLAPEVSSSIPSSLVRKSPYLTHPIFNMYHTEHELLRYLYKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPNFANMHPFAPTDQAAGYHEMFDDLGDLLCKITGFDSFSLQPNAGASGEYAGLMVIRAYHRARGDYHRDVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICMIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVITTGGFPLPEKTDPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMTKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKTTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIESGKADVNNNVLKQSAPHPPQLLMSDSWTKPYSREYAAFPAAWLRGAKFWPTTCRVDNVYGDRNLICTLQQGSQVAEEAAAATA >ORGLA06G0173300.1 pep chromosome:AGI1.1:6:18001366:18005946:-1 gene:ORGLA06G0173300 transcript:ORGLA06G0173300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREPQDLRLGERGLLEEMLMNEEVEDGEVKPLGRVESMDETPGEVAKLRCRHGARGAAVVASAWRGSNTGSFGLVRSYITITFRGFRPPRLARLIRSPSSPCSKTCRLITPEGIITAISHRSTMNGIELSSEDKAILVETLKALAEQHVDVLESLAPSVRKRVDVLMEIQSQYDELEAKFFEEKAALEAKYQKLYGPLYSKRFKIVSGVLEVEGKTEEREEKGVPDFWLNAMKNNEILAEEIHESDEEALKYLKDIKWCRIDDPKGFKFEFFFYTNPFFKNQVLTKTYHMIDEDDEPILEKAIGTEIEWHPGYCLTQEVLTKESSESTKPITKTEECESFFNFFSPPQVPDDDAKIDENTAEELQNQMERDYDIASTIRDKIIPHAVSWFTREAVQDEDYGASWVDDEEEDDDDDEYSDEEA >ORGLA06G0173200.1 pep chromosome:AGI1.1:6:17999508:18000098:1 gene:ORGLA06G0173200 transcript:ORGLA06G0173200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARVPISRFPVGAVGLGTSGCVYASVNLEFXGLPLSHSVHAEXFLVVNAAAVGESKLCAIAISHMPCGQCRQFLQEIRGTGGIRIIVTSSDAKWRTVSSLLPRPFGPHDLLPKHVPLVLKPHDSPLVGNPATAVITNGFANGDLEACLREAAEAAARAAHALYSECPSRFAVADGEGRVYAGGYAWSPWRIIRH >ORGLA06G0173100.1 pep chromosome:AGI1.1:6:17995321:17997132:1 gene:ORGLA06G0173100 transcript:ORGLA06G0173100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRNHDAAMVLFFFLLMVTTYANAHGHSKKPEEITEGVYGAAAAVAAGPGGTFDITKLGAVGNGRADSTGAVMAAWRSACAGAGKQTILIPKGDFMTGAMELRGPCNGAVTIQLDGNLLGSNDLSKYPGKKMPNWVEVRHVDNFVISGKGKLDGQGPGVWSKNSCAKNYNCKLLPNTLVLNTVNNGVVSGITLLNAKFFHMNIYRCKDIKISGVTISAPGDSPNTDGIHMGDSSKITIAATTIGTGDDCISIGPGTDGVNITGVTCGPGHGISIGSLGRYKDERDVRDVSVTRCVLRKTTNGLRIKSYEDSVSPVTVSKVSYDGVVMDHVDNPIIIDQKYCPNSICTSKGDSKVSVRDVTFRNITGSSNTPAVVQLLCSGKLPCSGVAMQDVRVLYGGSDKKTTAVCDHALGKSIGCLKELACL >ORGLA06G0173000.1 pep chromosome:AGI1.1:6:17991036:17993201:1 gene:ORGLA06G0173000 transcript:ORGLA06G0173000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLQITMSSLIITSWWWLQATCTARQLVFDVRDFGAVADGQTDNSKAFERAWAKACAAPGRAAVVVPAGGGGGGGGGGYLLHPVVFRGPCKGFVEVRVAGVVRAPAGLDAFRGYHEWINFAGIDGLLVTGGGTFDGRGASSWHLNDCPWKPDCVPPPSSIKLGSVRNATITGVTSLDSKFFHVTIVGSHDVEVSHVSIRAPRDSPNTDGVHIQGSTGVRITDTAVATGDDCVSVGPGSADVTVSGVSCGPGHGISVGSLGRSPGEADVRRLRVSNCTIAGTANGVRIKTWRGGQRSSAAVVSGLVFEDIVMRRVRNPIIIDQEYCPYLSCHHQSERRPSVVRISDVKFRNIRGVSATQVAVKLSCSAASPCRGVELRDIDLRYVRRGVATVSRCANVAGGVAGGTLVPPPCI >ORGLA06G0172900.1 pep chromosome:AGI1.1:6:17986538:17987176:-1 gene:ORGLA06G0172900 transcript:ORGLA06G0172900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQQVVPGAAVHDDNNGRDVHDTPNTKVAGEEEEGAPLAVAEDELHNNGGPNSKEQEIVVITKEQEAAAITVVVDDTADGDGDGDGDGDGDGDGDGDGGGHDIAHEVEAKLAVETPPAAADAKEAEPEEEGGGGRRRVQAKKTTEKAASKAAIVPVNDDDDDDQAHEDVVVAAPVAAEHQETAEAAAAAAGEEEEAPEDKEEDACEKSKVHEE >ORGLA06G0172800.1 pep chromosome:AGI1.1:6:17984011:17985858:-1 gene:ORGLA06G0172800 transcript:ORGLA06G0172800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03540) TAIR;Acc:AT1G03540] MPSSAPAHLAVLRLLDSGDLAAAARLAAAGAGPSSSSSPSPVSLAAVLLRHPPPRLGCCLHGRAARAGLLADRYLANALLAFYVRLPRHLPHALRAFDDLPRRDVVAHSSILAAFLRAGMPRRALASLRDMLAGADDDVSPNAHALSAAVKACAVLRDRNAGACLHGSVLVRGFGDDSVVLSSLVDMYGHVAAPGDARKVFEEMRAPDGICYTSLISAFVRNDWFEEAVRWFRSMLMMNGVRPDGCTFGSMMTALGNSKRGSQARQAHAQVVTRGLCGNVIVESSTLDMYAKCGLMVEARKVFDRMQVSNEVSRCALLGGYCQNGEYEKVIALFKEMDKEDGDWYSLGTVLRACAGLSSVKPGKEIHCRFLRMAGWRDVVVESALVDLYAKCGAVDYAYSVFEASTVRNTITWNAMIGGFAQNGHGERAINLFNRMVREGPRPDYISFIGVLFACSHTGMVEQGRNYFNSMCKDYGIAPGIEHYNCMVDLFSRVELLEEAEDLINKSPFRNDSSLWADILGASATHSNPDVAERVSKKMMELEPQYHLSYILLENVYRTVGRWEDALEIRRLMESRKVKKEPGMSWVDANRSKLHVCNSNEEVSELVTSMEMDIS >ORGLA06G0172700.1 pep chromosome:AGI1.1:6:17977231:17979198:-1 gene:ORGLA06G0172700 transcript:ORGLA06G0172700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGGIVRRVFSKSPCSSAGGGGRGCHNERGSADHKRRWSSLRLYLCGDEISAAAEDENDDDDDGTVSVKSFETCAMPQEPQAAALTVARRANGVDGVADADGHPEEHGSTSIPIKDIAPPTAAEPATDSQVEAATMIQSVFRGFMARRQLQKLKCSENGCCTTDEPRSPTTASIAASVEVQVGESLSNFRLSDDSAAAAATSAQHRSSQRSRPQAFRVKEEWDDSTVSSNVSRMRMQSRIEATTRRERALAYAFSQQLRSCGGGGGGTTKKRAARSDQAEFNVGWSWLERWMATRQASSEASADDCMSKNAADAGSTAAAAGGRRVIVVRRRHDLGAGAGEEESCGSNDVSVVSFDGSSGSLSCYKPGSKSRLRGGGRSLPRRKVASSDHRLHARSHKVSKKVHRRDQEQEREEAAAEAYDGNQPPTDY >ORGLA06G0172600.1 pep chromosome:AGI1.1:6:17973489:17976410:1 gene:ORGLA06G0172600 transcript:ORGLA06G0172600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein MAP65-1a [Source:UniProtKB/TrEMBL;Acc:C0JA30] MGVAGHNDPLIGETTCGSLLQQLQLIWDEVGESDEDRDKMLLQLEQECLDVYRRKVDQASNSRARLLQQLANAKSELSRLLCALGELSISSIPDKTTGTIKEQLEAISPFLEKLCREKDKRVREFADVQLQIQTIRGEIAGSLQVGDHMETPRVNEDDLSTKKLNEFLSELQALQKEKSNRLHKILDFVSSVHDLCSVLGMDFLSTVTEVHPSLNDSVGAESKSISDATLSKLSKMVIQLKEEKSKRLERIQALASQLTDLWNLMDTSADERQLFDHVTCNISSTLDEVTAPGALDIDLIEQAELEVERLDQLKASRMKDIAFKRQTELEDIYAQAHITIDTSAARDRILTVIDSSIFEPSELLADMENQILKAKEEALSRKDILEKVERWMSACEEESWLEDYSQDDNRYSATRGAHLNLKRAEKARLLVSKIPVIVDTLMAKTRAWEQEHGMPFSYDGVHLLAMLDEYKVLRQQKEEEKRRMRDQKKINDQLAAEQEKLFGSKPSPARPQSSRKAPGPRANGGAVNGTPNRRLSAHQNGGGRSVSRDGRRDSGRPAAPVNYVAICKEESSNNNPAASSP >ORGLA06G0172500.1 pep chromosome:AGI1.1:6:17962982:17968032:1 gene:ORGLA06G0172500 transcript:ORGLA06G0172500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase nepenthesin-1 [Source:UniProtKB/TrEMBL;Acc:C0JA29] MAAAAARCAGHGGGGGFLLRRRLLAAAAFLAAFGLCAAAADDAATGRGQGHDHVMLSVEDMFPDSSSSSPSCDAPPRDHRHDATSSTTRMTIVHRHGPCSPLAAAHGEPPSHGEILAADQSRAESIQHRVSTTTTGRVNPKRSRHRQQQPPSAPAPAASLSSSTASLPASPGRALGTGNYVVTVGLGTPASRYTVVFDTGSDTTWVQCQPCVVACYEQREKLFDPASSSTYANVSCAAPACSDLDVSGCSGGHCLYGVQYGDGSYSIGFFAMDTLTLSSYDAVKGFRFGCGERNDGLFGEAAGLLGLGRGKTSLPVQTYGKYGGVFAHCLPPRSTGTGYLDFGAGSPPATTTTPMLTGNGPTFYYVGMTGIRVGGRLLPIAPSVFAAAGTIVDSGTVITRLPPAAYSSLRSAFAAAMAARGYRKAAAVSLLDTCYDFTGMSQVAIPTVSLLFQGGAALDVDASGIMYTVSASQVCLAFAGNEDGGDVGIVGNTQLKTFGVAYDIGKKVVGFSPGAC >ORGLA06G0172400.1 pep chromosome:AGI1.1:6:17957266:17957832:-1 gene:ORGLA06G0172400 transcript:ORGLA06G0172400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPFIIQVLKCSWEQQDPFSTNRFRKRGCRRNANSSLVLLPRIGYGRLTDWGIEASEIKRFAPSAAQSTNQRFTFWPNVESLRGFGQKSRDGRILTTKWTNGMTAPRSNIGGTSSSNPPAPQEPLYEHY >ORGLA06G0172300.1 pep chromosome:AGI1.1:6:17947953:17953614:-1 gene:ORGLA06G0172300 transcript:ORGLA06G0172300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:I1Q3L0] MADELVTPSQYSSSCFQQHKGGLVLDGYLTCLQLHMALFYWIEELEFECSVGIASRVDDRFSVDGFFSLCYECTFLKHKDQKALYSALERLKEELMLLGFISFVLSLSEGFIVGICVSENAMHLMLPCKKESYQLSEGVKLCKKKGEVPLLSVEALHQLHIFIFILGLVHVVFCATTILLGGAKIRKWKLWETEIQQEMQQKLQQRDATPGRIAHNQQGEFVSERTKGLWMKLAVVSWIIAFFKQFHDSVSKSDYKALRSAFGLDSESGISSLMEQELFRDWFFHLGLGIVHCALPLQLQAFNDYDFAESQLIKESLDSVLIQVICSYVTLPLYAIVTHMDGGIKLQGIGSGLHESVAGWALDARRKKEEQQSSHGGATTGATEGSNYRSDHFGASPRSALAPPPPPSPDLVEIVSVAAADDDGDDSRHRR >ORGLA06G0172200.1 pep chromosome:AGI1.1:6:17946691:17946966:1 gene:ORGLA06G0172200 transcript:ORGLA06G0172200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDACLPQPQARTAAGRPAGRTCRRDVSIWASIRDDDETAPRRRPRPNNPADVESAIIGKHARKRPSGRPPAMAGQAATTAAYTTFIIVQL >ORGLA06G0172100.1 pep chromosome:AGI1.1:6:17930149:17931657:1 gene:ORGLA06G0172100 transcript:ORGLA06G0172100.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAAIASPDVGRARSPSLLNPPSSDAPSPPPPSPPPPPPSPAAHRRCSSSGGGGGDDDGYGGGDGMLRSLHSSSSSDTDNNSGGCKNNGGGGGEAAATVEGGGDQRAVAAAAPSTRDLLLACADLLQRGDLPAARRAAEIVLAAAASPRGDAADRLAYHFARALALRVDAKAGHGHVVVGGGAARPASSGAYLAFNQIAPFLRFAHLTANQAILEAVDGARRVHILDLDAVHGVQWPPLLQAIAERADPALGPPEVRVTGAGADRDTLLRTGNRLRAFARSIHLPFHFTPLLLSCATTAPHHVAGTSTDAAATASTAAAATGLEFHPDETLAVNCVMFLHNLAGHDELAAFLKWVKAMSPAVVTIAEREAGGGGDHIDDLPRRVGVAMDHYSAVFEALEATVPPGSRERLAVEQEVLGREIEAAVGPSGGRWWRGIERWGGAARAAGFAARPLSAFAVSQARLLLRLHYPSEGYLVQEARGACFLGWQTRPLLSVSAWQPSSS >ORGLA06G0172000.1 pep chromosome:AGI1.1:6:17912481:17914323:-1 gene:ORGLA06G0172000 transcript:ORGLA06G0172000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFDGDAGGVVVDAEAYALRQMHWHSPSEHAVDGRRYDLELHMLHQSETRDGRYAVVAQLFDIGHRRDATLDMVITLCSTSSTIYTILVTSNTIDLTDKVNPAQEKNTAVEEDYEKVYRCNGIANIYRSGTFNTKYDTPYRYDDISMIRAARVAAAGGAPLREVVHDGDTGRQSNTNSSKTACQIFMFIA >ORGLA06G0171900.1 pep chromosome:AGI1.1:6:17911922:17912266:1 gene:ORGLA06G0171900 transcript:ORGLA06G0171900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uncharacterized protein [Source:UniProtKB/TrEMBL;Acc:C0JA24] MAAKRKEPETAAAEEDPSDWIGAVASVFRMLGEMREREKREEEELREERELAAWVAATRAESYARFNMRLPTPEEEAAFARDHAHEIDLSVLRPEDYGESKRRVGNDGILRRLD >ORGLA06G0171800.1 pep chromosome:AGI1.1:6:17909437:17911436:1 gene:ORGLA06G0171800 transcript:ORGLA06G0171800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVELGAPPSPEPLSPLRELIAVPTVVYVADEDPKFAALADQAYAGFPFLFVKISLKALAYKRMDLNELDEEHSAHYLRLRLEENQLQEAMEGMAAGGEEMRVALARMETLKKSVQFHFRRMQYAAHELRKVVLKEEEMYKKLVTLTN >ORGLA06G0171700.1 pep chromosome:AGI1.1:6:17903814:17908292:1 gene:ORGLA06G0171700 transcript:ORGLA06G0171700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uncharacterized protein [Source:UniProtKB/TrEMBL;Acc:C0JA22] MAAAAVGAYRSAGRRRDAFAAAAEDAGSATTRGRAAAAGGSGGLLRRSRSLSRFPPPSPSPEDAATPSSRFVNKVRGGGRGGAGLPPEISLDDLADEFFRARAESEDDDDEEEAVVVVRGEESRGRLRFPAPAEKGGGRRSSTARYARETESSRQRGRSVSRPPAERRGGATAVANGGAAAAGRQRYASVDRRASMDRHRWCDSDNDMDISHRYGSRGINTKSSNNSLQNSSFHKTAKVNQSLRKSTSQKDFLHSRDSSSSHSSITDDEFRDSFHSRNQKGIRAVYTLEKDRLSNNEDENALYDVMRKEVRQAVDEIRTQLEKVVTKSEPSEKATSADAQPTQVINELRRSYTSKLEESEMRKQELLAQLAAEEQRGHELTKIVKELLPTPKKNMNSERQPRYRRRSNDRARVSRRLTEEAEQYFEDFLSNVEDTDFSSFDGERSDTSSSRRDVVQNTKIETPIALPKVASPVEADGVVLPWLQWETSNDLQTSPCKPKIQGASTACSTSSRTMSSRGSWSPGDHDSAAGSKDTLLTRFEEAASRRSSCPDNTQRSSFHIDDYMHLRRSHDLLLERWRQKERIGDGGLILCSRSSIM >ORGLA06G0171600.1 pep chromosome:AGI1.1:6:17892605:17896760:-1 gene:ORGLA06G0171600 transcript:ORGLA06G0171600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uncharacterized protein [Source:UniProtKB/TrEMBL;Acc:C0JA21] MIEQFVNFVIRPPRAEYNPDQYLWEPEFTLAGRKYKRIDLELTNGRDQTLKCSHYVPAVIPDNTALPCVIYCHGNSGCRADANEAAVILLPSNITLFTLDFAGSGLSGGEYVSLGWHEKQDLKCAVSFLRNNKEVSCIGLWGRSMGAVTSLLYGAEDPSIAGLVLDSAFSNLYDLMMELVDVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLDVVQFAPKTFIPALFGHASNDMFIQPHHTDRIHQAYAGDKNLIKFDGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSVCSNKLDKYYNLGAFKVGAGTNESLLYEIINGLRAAGPDAGSSSAAAANFTNATKSVVELLTERVNQLSIKTDNDLDFLLDENHNLTEMDTHTAESHLEDKSHRQNEECCSYTSSNRESWGRCSSLGAASDGSSLGERPEIPSHKHKSMTLRALATPLRRIRRKPLAIPKERKNRSLWKRLKQERQEMGESLTQRFRLCLQGQAQHKRTKSS >ORGLA06G0171500.1 pep chromosome:AGI1.1:6:17888650:17890173:-1 gene:ORGLA06G0171500 transcript:ORGLA06G0171500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ion binding protein [Source:UniProtKB/TrEMBL;Acc:C0JA20] MGGILGRHDTMKRSSHGSKLETKMVESMQQRASHGTSLKSFDSIIMKFPKIDESLRKCKIIFEQFDEDSNGEIDKQELKHCFQKLEISFTEEEINDLFEACDINEDMGMKFNEFIVFLCLIYLLNEPAVSEAKIKMGLGNLEATFETLVDAFVFLDKNKDGYVSKEEMVQSMNETATGERSSGRIAMKRFEEMDWDKNGMVTFKEFLFAFTRWVGIDENEDDDE >ORGLA06G0171400.1 pep chromosome:AGI1.1:6:17885245:17888082:1 gene:ORGLA06G0171400 transcript:ORGLA06G0171400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-CC type transfactor [Source:UniProtKB/TrEMBL;Acc:C0JA19] MYEPKPFSSIVLAHNDPVSHNQQIERINNNVVSNSGGNSSNSNFAARQRLRWTDDLHDRFVDAVTQLGGPDRATPKGILRIMGVQGLTIYHVKSHLQKYRLAKYIPDPTADGAKSDKKDLGDLLADIESSSGMEIGEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGRYLQKIIEEQQRLSGVLGESGKLGALGPAPGEPYQDSNKTDPSTPVPTSESPIRDKAGSGLFKTISSHDDCREPLTPDSSCRAGSPLESPPRASKRIRVSSDIDHRGNNEFPPPLKVPEPSSGSDFRQESSVLLSSSAVHFDSLESLDADENVFTNGSGSDD >ORGLA06G0171300.1 pep chromosome:AGI1.1:6:17879934:17882653:1 gene:ORGLA06G0171300 transcript:ORGLA06G0171300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRDKMRKLKELLHKSENRICADCSSPDPKWASANIGVFICLKCSGIHRSLGTHISKVSLAATRKKKLFDLLDESMIVLSVTLDEWTDDEINSMLEVGGNSYANAIYEAFLPGGYHKPHPDSSQEERADFIRSKYELQEFLKPSLRIVSNKSSLQAMDSRKDIGNASNSYSFKSEAGMVEFIGIIKVKVIRGTKLAVRDILSSDPYVVLTLGQQKAKTKVIKSNLNPVWNEVLTLSVPQKYGPLKLQVYDHDVLSRDDIMGEAEVDLQPMITAAMAFGDPGLLSDMQIGRWLMSRDNALARDSAVSVVGGRVKQEVSLRLQNVECGEVDLELEWIALNQ >ORGLA06G0171200.1 pep chromosome:AGI1.1:6:17873684:17878778:1 gene:ORGLA06G0171200 transcript:ORGLA06G0171200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease [Source:UniProtKB/TrEMBL;Acc:C0JA17] MVITTAMSARSMSTRLELLVVFVFIVAPALAATKPSYIVYLGGRHSHGDDGGVISLEEAHRTAAESHYDLLGSVLGDREKARDAIFYSYTKNINGFAARLEAEEAAAVAERPGVVSVFPDRGRRMHTTRSWQFLGLERPDGSVPPWSPWEAARYGQHIIIGNLDSGVWPESLSFNDRELGPIPNYWKGACRNEHDKTFKCNSKLIGARYFNNGYAKVIGVPLNDTHKTPRDGNGHGTLHVGHRRRFWLCAAPRRSASSAASARGGSPRARVAAYRVCYPPFNGSDACYDSDILAAFEAAIADGVHVISASVGADPNDYLEDAIAIGALHAVKAGITVVCSASNFGPDPGTVTNVAPWILTVAASTMDRAFPAHLVFNRNRVEGQSLSPTWLRGKTFYTMISAANAAVPGYPPADALLCELGALDGKKVMGKIVVCMRGGNPRVEKGEEVSRAGGAAMILVNDEASGNDVIADAHVLPAVHINHADGHALLAYINSTKGAKAFITRAKTVVGVKPAPVMAAFSSQGPNTVNPEILKPDVTAPGVSVIAAWSGAAGPTGLPYDQRRVAFNAQSGTSMSCPQVSGVAGLIKTLHPDWSPAAIKSAIMTTATELGNDMRPIMNSSMSPATPFSCGAGHVFPHRAMDPGLVYDLTVDDHLSFLCTIGYNATALALFNGAPFRCPDDPLDPLDFNYPSITAFDLAPAGPPATARRRVRNVGPPATYTAAVVREPEGVQVTVTPTTLTFESTGEVRTFWVKFAVRDPAPAANYAFGAIVWSDGNHQVRSPIVVKTQES >ORGLA06G0171100.1 pep chromosome:AGI1.1:6:17858266:17858691:-1 gene:ORGLA06G0171100 transcript:ORGLA06G0171100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hAT family dimerisation domain [Source:Projected from Arabidopsis thaliana (AT2G19960) TAIR;Acc:AT2G19960] LDNTSLKYSCDNLEATLKRDEKSDIDANELYTELRFLQDFIPKENMGPLEILKFLKRHDCFPNASIAYRILLTIHVTVASAERSFSKLKLLKSYLRSTLTQERLNSLAMIALESGLLEKINYEHIIEDFISKNTKRIMLFK >ORGLA06G0171000.1 pep chromosome:AGI1.1:6:17857572:17857991:-1 gene:ORGLA06G0171000 transcript:ORGLA06G0171000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGPTAADQSRGRARGGDGDAEAGAPLTGLESESTESSLETDDSRGSRPPENPKRMAARKSISEEKEEETCDMAEGGGLGTAAAAGRRGRVTADAGGGGEDSGLYRSTNQSGSNPPFVNGEMEIGFYIITKRKK >ORGLA06G0170900.1 pep chromosome:AGI1.1:6:17842957:17846925:-1 gene:ORGLA06G0170900 transcript:ORGLA06G0170900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDSKPSYSYSSSYDYGNSSSGYNSRYPAYPANASSSQNTRYAPSMENYVQPETHARLQRKYSRIGDDYRSLNQVTEALAQAGLESSNLIVGIDFTKSNEWTGKLSFNRRCLHDIGNTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALERYREIVPTLRLAGPTSFAPMIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQSGQLSPQERDTIDAIVKASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTDIMSKSIAADRKEAEFALSALMEIPTQYKATLDLQLLGRRQRIQPRIPLPPPMRNAYSRSTSFDQHSGVYSRSSSFGPQTSGFQQSESFKQRQPVATTAPDTYTSESSLEGRLLCAICMDKSKDLAFGCGHQTCYECGKNLVRCPMCQQHITTRIRLY >ORGLA06G0170800.1 pep chromosome:AGI1.1:6:17840974:17842224:1 gene:ORGLA06G0170800 transcript:ORGLA06G0170800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:I1Q3J5] MKLLILLQYVFCKQILICLEFDYYWSHMYCVLCKYGGADELIKTAKYIATPGKGILAADESTGTIGKRLASINVENVEPNRQALRELLFTTPGAFQYLSGVILFEETLYQSTAAGTPFVDVLKAGGVVPGIKVDKGTVDIAGTNGETTTQGLDSLGARCAKYYEAGARFAKWRAVLKIGAAGEPSELAVKQNAEGLARYALICQENGLVPIVEPEILTDGAHDIKTCAAVTERVLAAVYKSLNDHKVLLEGTLLKPNMVTPGSDSPKVGAEVIGEYTVAALRRTVPPAVPGIVFLSGGQSEEEASQNLNAMNKLEVLKPWTLTFSFGRALQQSTIKKWGGKKENVAAAQAAFLARCKANSEATLGKYGGAAGDAATSESLYVKGYTY >ORGLA06G0170700.1 pep chromosome:AGI1.1:6:17837125:17839672:1 gene:ORGLA06G0170700 transcript:ORGLA06G0170700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein [Source:UniProtKB/TrEMBL;Acc:I1Q3J4] MQAWFSGTGPSASSASSSSSSPPQPSLLAEWNSYAAARSAEEEDGGGGGFGIDIEAAVRSANDRVSGTFGVVSKGVLGLPGSFKSTTSSVPSSKSLVYFGLFLASGIFLVFIAFTIFLPVMVIMPQKFAICFTAGCAFIIGSFFALKGPKNQLYHMISKERLPFTMGFVGSMAATIYVSMVLHSYILSVFFSCLQVLALAYYAISYFPGGSAGMKFLSSALVSSVLRCFGR >ORGLA06G0170600.1 pep chromosome:AGI1.1:6:17831654:17835958:1 gene:ORGLA06G0170600 transcript:ORGLA06G0170600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVFGKVFGKSKAQSQATALASIDKLSETLEMLEKKENLLVKKANLEVEKAKTFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMHKATNIDDVDKTMDEINDNMENMRQIQDLLSAPIGAAADFDEDELEAELADLEGEELEAELLAPTTTAPTAPVRVQQPTRPSAQSSKTEDDELAALQAEMAM >ORGLA06G0170500.1 pep chromosome:AGI1.1:6:17828468:17829552:1 gene:ORGLA06G0170500 transcript:ORGLA06G0170500.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLPPIPAAGSPSTILPPPRCTRSSRRQVVAAVLLHRSPPHEVLPWLGSHRAVLCIACLHQSPLHRRGELQLWSSSPVGEQQVNPVREYNERGVLGEMSRPAPHLQCMCSGECLWILDLRPPQRLGSSVKLHLEECDHNNDTCCHDFYHSCTHCP >ORGLA06G0170400.1 pep chromosome:AGI1.1:6:17820456:17825840:-1 gene:ORGLA06G0170400 transcript:ORGLA06G0170400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPELADSDADDSDADASPSPSPSRSPSPSARSPSGSPSRPAALMDVDGGDDDDDADPSQSAVVLAEDKKYYPTAEEVYGPGVEALVMDEDEQPLEQPIVAPPRVVRFEVGTRAEATSTYATTDFLLGLAANPALVRNVALVGHLQHGKTVFMDMLVEQTHEVDTFDSEGERHVRFTDTRVDEQERRVSIKAVPMSLVLEGGNGKSYLCNIMDTPGHVNFSDEMTAALRIADGAVLVVDAAEGVMVNTERAIRHATQERLPIVVVINKVDRLITELKLPPNDAYFKLRHTLEAINDLISSCSTTVGGTQLVDPAAGNVCFASGSAGWSFTLQSFAHLYLKIHGIQFDHEKFASRLWGDLYYHPDTRTFKKKPPKEGANRSFVEFVLEPLYKIYSQVVGESKGKVEATLSELGVTLSNAAYKLNVRPLLRLACRSIFGTSTGFTDMLVKHIPSVKDAAPRKIEHIYTGPQDSTIVDAMKKCDPHAPLMVNVTKLYPKSDCSVFDAFGRVYSGTIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVPISKAPAGSWVLIEGVDASIMKTATICPMKMDEDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAVTKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMVAEPLEKGLAEDIENGLVSLDSRQKEITDFFRQRYQWDVLAARSIWAFGPEKQGPNILLDDTLSVEVDKNLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKILNANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYIEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPIYVVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKNIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMNELAQQAADLHLQMM >ORGLA06G0170300.1 pep chromosome:AGI1.1:6:17811871:17819390:-1 gene:ORGLA06G0170300 transcript:ORGLA06G0170300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTSPASCPPPSRRRRRRTRRSRGGAPAASASRRSPRCTCSTATRTSRPPRRSAPSPSATRPRPRPPPSPPGSPRRRKARRRRGRRRRSSCARRSASSTTAMSTRPPLAAPPDPSSPTMMRIFFGPVSRSFIQSGRPSDSGMSEDGNHDITLDSETFSMHYRNIAPPDDFSVNSVGSLRTPNSASTGPLKEQTGSGYGVKSCNSHDALTDMSLLADNPERYDYAKLSPTLSNLLQQVEDVHELISPKNGTGTVTPDHSSALAACKKKNREEKSSIVNGISSSELDTIGSREEHVPIRNSVPTSTDPIQEDNAMTVDVNEKSQVTSEDIPNTPKAVVQTFQIPQGSISSLRSKRRQLFSPITLSASNVVSQDASSLGSEFVKHSKRIVALADRLKFGLYESPATKIQEMPCNALMTDDQPSHECNSIQDSDLDRGGRKRSSSENGHAAQKRPQKISKPPRSPATSLKQLPCVSLSSSMMEENQSVTHGNQQSINVDWNKVASMVSNATSQVFSTSISKVKPQQLDMIEDMLGGIQRARNFKRLSTAVRIQDCGNDKQKRLAEARSLVDKLLYEKAKLQINHVKLEKLQNRAQVCKDGIQECRYLKSKISDQKGVPLDSTTLITASDRQEGLALITEKMHALDMIKKKVERARSSLEFFCNTKGDISCDDFIKAAEQQLEMRNQCRIINQQARLWKLNDLVKRENKRDIVLNYCSLLFQRIVLNISDMSGIFVSNSLNGTKIGQAFPNLNASVAFNFVFKAEGTHRVSDLRSLQKMTTETSLLLGNLIDVLKEIKMAKLELLNLTAAAFDMASQTCQLALSLCFMSFKSGKRISFTIDMTDLNRAVYPSELLINVREAQTTVAQPSLDEFMSSLRDLQSGRLMILRLCRMGSQLIHELPS >ORGLA06G0170200.1 pep chromosome:AGI1.1:6:17808674:17809069:1 gene:ORGLA06G0170200 transcript:ORGLA06G0170200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPRSRSSCWGAPGWPRRRASARRRRTPARSGAGSTAAAAAPPPPPPGSPDPSAAAAARWTRSPAYDKVPCRCNARQKLRHVCCSSSEMAGPFFSPSLAFVMQGREKRMMILEALHLHFSCKCYSYY >ORGLA06G0170100.1 pep chromosome:AGI1.1:6:17800561:17805947:1 gene:ORGLA06G0170100 transcript:ORGLA06G0170100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRSLSSSARLAAAEWAAPMKLQVRVVEARGLPAVRVDGTSDPFVKLQLGKRRAKTAVARRTLAPAWDEEFSFLVGDIAEELVVSVLNEDKYFSNDLLGKVRVPLADVMETDDLSLGTAWYQLQPKSKKSKKKSRGEVCLCISLSTRTHVSEESQSVNPASDDASSSDRSIEHKDAVLSTTSSYIDLSACASAMDRASQSSMEQLADSIVDQPPRSSMEQLAVAEPGAAAAEGDAMSNSSSVVEVLSRYFFGNKPADVVPSAASDAESVDQFQEPKVCSEDHETPESGTSSESSLDELLKTMESKDQGCEMPANLPGGVLIDESYVAAPTELNSLLFSKNSDFWPAVSELQGTSGFQIEPWKLDNNETCLQRTLTYTKAASKLVKAVKATEEQKYLKAVGNSFAVHSVVSTPDVPCGGCFKIEILYCITPGPSLSSEEQTSHLTVSWRVNFVQSTMMKGMIESGAKQGMAEGFAHFSEILSQKIKVAEADDANSNKEKILSSLHAQKESGWRLIVRFLFNFTFIFSVIIASYVIAHLHLSKPNAMHGLEYFGIDLPDSIGEVVVCAVLILQGQNIFNIIKRFLNAWKQKGSDHGVKAHGDGWLMTVALIEGTGITNSNSKELFDMYAVFTCNAKRKTSSVKFQTSEPKWNEIYEFDAMDDPPSRMDVAIHDANGPFDQSPIGHAEVNFLKSNLSDLTDVWLPLEGKCDQTSNPKIHLRIFLNNSRGTEVVMNYLAKMRKEVGKKINLRSAQTNAAFRKLFNLPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIIGFYSNIFGHKTKFFFLWDDVDDIQVIPPTLSIGSPSLTIILRKGRGLEAKHGAKGTDPNGRLKYYFQSFVSFNDAHRIIMAIWKMRSLSPEQQGDMIEKESDTKELQLEEGGTLFTHEDVKMSEIFSSALSVDVESLMEMFSGGPLEHRMMQKAGCIDYSPTEWELVSRNIYQRQISYKFDKNLSRYGGEATTTQQRYALVNQEGWAIEEVMSLQGVLLGDCFNVQMKYTVVNVPSKPNTSSVQVLLGIAWLKSTKQQKKITKSVISNSSIRLKELFAEVEKDLTSRSGAS >ORGLA06G0170000.1 pep chromosome:AGI1.1:6:17796027:17799588:1 gene:ORGLA06G0170000 transcript:ORGLA06G0170000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEDAAAARRRAAATDYRKKLLTCRELEARARTARDNLKNAKKDFGKTEDDLKSLQSVGQIIGEVLRPLDSERFIVKASSGPRYVVGCRSKVDKEKLIAGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFSYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNDAKKLESSAHYSADFGKE >ORGLA06G0169900.1 pep chromosome:AGI1.1:6:17789440:17795047:1 gene:ORGLA06G0169900 transcript:ORGLA06G0169900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT3G13220) TAIR;Acc:AT3G13220] MMEISSNEEMMEMAIVEQLPPSSHHLNGGSVEVDMEEDHVWPTKDGPLPIFLKFENVEYKVKLTPKNPLTAARVAFASHKSTEDQGSCKHILKGIGGSVDPGEILALMGPSGSGKTTLLKILGGRLSGGVKGQITYNDTPYSPCLKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPARMSKQQKRDRVDAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSAAKLLVVLRRLARSAARRTVITTIHQPSSRMFHMFDKLLLVAEGHAIYHGGARGCMRHFAALGFSPGIAMNPAEFLLDLATGNLDGISSPASLLLPSAAAASPDSPEFRSHVIKYLQARHRAAGEEEAAAAAAREGGGGGGAGRDEAAKQLRMAVRMRKDRRGGIGWLEQFAVLSRRTFRERAADYLDKMRLAQSVGVALLLGLLWWKSQTSNEAQLRDQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYRLSAYYASSTVCDAVPHVVYPVLFTAILYFMADLRRTVPCFCLTLLATLLIVLTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLTYNCGSRGGCQRLQSSPSFGTVDLDGGMREVWILLAMAVAYRLLAYLCLRKRISLMPL >ORGLA06G0169800.1 pep chromosome:AGI1.1:6:17775855:17780338:-1 gene:ORGLA06G0169800 transcript:ORGLA06G0169800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAACDAAVEELTRLLDQVEEPLKQTFQNVHQGYPTDTLVRFLKAREWHVSKACDMLVDSLNWRIQNEIDSILEKPIIPVDLYRSIRETQLVGLSGYSKEEDVYGSKVLPTVGGIPVFAIGVGQSTYDKASVHYYVQSHIQINEYRDRIVLPMASKKFGRPISTCIKVLDMTGLKLSALNQMKILTAISTVDDLNYPEKAETYYIVNAPYIFSACWKVVKPLLQERTRKKVHVLHGCGRDELLKREGSGSSKNSSNDVNNCFSLDHPFHQELYHYIEEQALNQELIKQGSLHVNIPDQDPEDAKIVEVIEAEFHKLGEQNGSVNGEHKE >ORGLA06G0169700.1 pep chromosome:AGI1.1:6:17772518:17775255:1 gene:ORGLA06G0169700 transcript:ORGLA06G0169700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPSLTANTASSMGNAEAVVVLPANGGARRRADKVVHPAPMPDRAAGGAMERKGGGVGGGGEVGGWRRPEWCSAAGVAGVLRRHPAAAAFGCGLLLFMAVEYTIPMVPPAAPPIDLGFAATAALHAGIAARPWLNSLLAALNTVFVAMQAAYILWAILGEGRPRAAVAAMMMFTCRGALGCATQLPLPAEFLGSGMDFPVGNVSFFLFFSGHVAGAVIAAEDMRRAGRRGMARLYDALNLLQGVRLLACRGHYTIDLAVGVGAGLLFDMLAGRYLDGKNAVDGGAAVAPGSRCCSCHKALLSQ >ORGLA06G0169600.1 pep chromosome:AGI1.1:6:17760783:17763281:1 gene:ORGLA06G0169600 transcript:ORGLA06G0169600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGTEATTTTTTSGCEADCGVPAMKATLMMMSCRSRSRSGRAHWMLLLFCLLAFPSHGPRAVEAFPGGYGINYGRIANNIPSPDKVVQLLRASKIRNVKIYDSDHSVLDAFKGSGLNLVIAIPNELVKDFAANESRSIDWLNENVQPYLPQTRIVGITVGNEVLGGQDTSLAEPLVQAVKNVYNGLKKFHLQDKIELFTPHSEAVFATSYPPSACVFKEDVMVYMKPLLDFFQQIGSPFYVNAYPFLAYISDPEHIDINYALFKPNPGIVDPNTSLHYDNMFDAQIDAAYAALQAAGYRDMEVRVAETGWASSGDQTEAGASVENARTYNFNLRKRLFLRKGTPLKPKRPVKAYIFALFNENSKPGPSSERHYGLFNADGRIAYDIGYEGLLPSSAPSYFLSLRKIQAGGWIVHYSATVILSVFIFLALVT >ORGLA06G0169500.1 pep chromosome:AGI1.1:6:17748900:17753825:1 gene:ORGLA06G0169500 transcript:ORGLA06G0169500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGGRRWQSPAAAAAAEGEDAAGGYGVGGAGGPSRRPPRRGLNRASPYGTAAPRRLLPTLPVASRIFPSVAQDHAVAAAAASDDNQMAWRESLEVTNETHRHSIERNTNSTALDNKASLLQEGDCRNQNDGSGLAEIENIIKQKHFSRDETERLIDIMRSRTPDLFNEYQRVPRSSTKGFEAIPFSDRWSTPAKEIDVRSPCGTEVFVPSNVLDVASSPIELAKAYMEAQTSASVQESQKRKFRALSHGVEVENSSSKVFPKIATDSPVCWPGSVVRNYPNYLTPQSNKGRTLPPTSSRTTYIGSVFPRSNKYTGSRDAYNNSSGKPQFSSPFPVGSKAIFEDKTAPLGAVLGAQPSTYSKEAYGDTVGATTPLFAKKGSASKKNDGSALQGHHGEGTTESGSSLGFVSMVDNMPHSKSAALSVHPKSSKTAHKILQHLERTIPSPTAKPLELRWTSAKRTTSSVVTNIQHNGPATDNHRHSSINDSGSAQQEISDANKVLAPPSSSNAVESSPKIENSGTKSMPSSSQHTSESDSATTSAAQVLDKSTGNGLAFTFPVPKTSMSLPEPPPTPTLSQPPSIPSADGADIPKFTFGSSSATGKLVFSFDLPSSSHSAEEAAPTFKFGSDTKRELSFDVAGKDAVCF >ORGLA06G0169400.1 pep chromosome:AGI1.1:6:17737472:17741251:-1 gene:ORGLA06G0169400 transcript:ORGLA06G0169400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAEIADAMGTVGIDNGASRKLLSNESLEERGEEHDVQADGAHSGESEVINPAEEVGGEATSQPEDVKPRVSKGSQSHSPKVTTKSQRQSPQSGDKSQARKNSPGSTYPKAPIARVSDPDLVDSSSCNDGADIKKKAEKSSFRPVARASQSLEDSKEKKKTQKTSNQCSVKNDEEEPNCEKVKPQRVGSTPAYGFAFKCDERAEKRREFYSKLEEKIHAQELEKSNMQAKSKETEEAELKKLRKSLNFRANPMPSFYKEPPPPKVELKKIPTTRARSPKLGRSKNTSSVSTEESTVPSSRPARLSLDERASQNGVKKVPAANTVRKPQRKSLPKLPSEQTVTEQVENNTSATDPVRELIRAQVTPDDQFGG >ORGLA06G0169300.1 pep chromosome:AGI1.1:6:17735791:17736707:1 gene:ORGLA06G0169300 transcript:ORGLA06G0169300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASSPLLGHAACASAAAAAAEHVGAAVVVVPVSPAAARQRGPPLCRATSEGDLMAAVSARPRALSVASSASISVEEGVEEEEEEEEDVLGAAVPLRRLLTSTGLDADMGRGREGDAAVVEEGVGGGGGGRKVCNGGGGGSGGKGDGGRRDADAHYRRMIQADPANPLLLGNYARFLKEVEGDAARAQEYCERAIVANPGDGDALALYAGLVWETTRDADRADAYFTRAVHAAPDDCYVLGSYAGFLWDAEEDDDDHGGEQPPPPFMGAAQPPSITAAS >ORGLA06G0169200.1 pep chromosome:AGI1.1:6:17722760:17723708:-1 gene:ORGLA06G0169200 transcript:ORGLA06G0169200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRARDPVVLEAGWEMVAGHGGGAGGGVGAGGGAKWWRRWRLVVMAAAEPKLRWAANTVRQLGVWLPPGKIRSPSPDLGREHRALVDVLVPRRFGRRVHCGGGVNSTVRRVAWRRRNGLVVRGDTDNGFVVEPAVTLSGGAAVLSTCTFPDICPLATATLSAKVGHVGRASVGERASILLSHPLPIQPNCMDGASVCRGSSFPMAIDWRRGAGAVAVHRRFSLVVAAERVGVQFLGETNFGRKLCLRAGNNDTCDSGTFGVVPFLKVSSRRPLVLLMQWVLL >ORGLA06G0169100.1 pep chromosome:AGI1.1:6:17700630:17705886:-1 gene:ORGLA06G0169100 transcript:ORGLA06G0169100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G35410) TAIR;Acc:AT5G35410] MGGEEGMAAGRKKRVGRYEVGRTIGQGTFAKVKFAVDADTGAAVAMKVLDKDTILNHRMLHQIKREISIMKIVRHPNIVRLNEVLAGKTKIYIILELITGGELFDKIARQGKLRENEARKYFQQLIDAIDYCHSKGVYHRDLKPENLLLDSRGNLKVSDFGLSTLAQKGVGLLHTTCGTPNYVAPEVLSNNGYDGSAADVWSCGVILYVLMAGYLPFEEDDLPTLYDKITAGQFSCPYWFSPGATSLIHRILYPNPKTRITIEQIREDTWFKKTYVAIKRGEDENVDLDDVQAVFDNIEDKYVSEQVTHNDGGPLVMNAFEMITLSQGLDLSALFDRQQEFVKRQTRFVSRKPAKTIVATIEVVAETMGLKVHSQNYKLRLEGVSSNRMSPFAVVLQVFEVAPSLFMVDVRKVAGDTLEYHRFYKNLCNKMESIIWRPIEVSAKSALLRTATC >ORGLA06G0169000.1 pep chromosome:AGI1.1:6:17694672:17696747:1 gene:ORGLA06G0169000 transcript:ORGLA06G0169000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFHDYRDGGVLVMEPAASAALFGGVRSRKRARVTAVPPCGFVSAAAEAVEVVEEEGLTAAKRQKQQQQQREAPSLDALPDECLFEILRRVKGARARCASAAVSRRWLALLGGIRSSEIKREPAAAAVPDLNQVFVDEDEEEEDEFEVPLGGGCSSERCLEGREATDVGLMAVAVADALRGSLESLVIRGSHPTRGVTDAGISAAARGCPSLLSLALWHVPQVTDAGLAEIAAGCPSLARLDITGCPLITDKGLAAIAQGCPDLKVVTVEACPGVADEGLKAIGRCCAKLQSVNIKNCAHVGDQGVSGLVCSAAASLAKVRLQGLSITDASLAVIGYYGKAITDLTLARLPAVGERGFWVMANALGLQKLRFMSVSSCPGVTDLALASIAKFCPSLKQLSLKKCGQVSDGRLKDFAESAKVLESLQIEECNKVTLMGILAFLLNCSPKFKALSLVKCNGIKDICSAPAQLPLCKSLRSLTIKDCPGFTDASLAVVGMICPQLENVDLSGLGAVTDNGLLPLIKSSESGLVHVDLNGCENLTDATVSALVKAHGSSLARLSLEGCSRITDASLFAISEGCTDLAELDLSNCMVSDYGVAVLASARQLKLRVLSLSGCLKVTQKSVPFLGSMSASLEGLNLQFNFIGNHNIASLEKQLWWCDILA >ORGLA06G0168900.1 pep chromosome:AGI1.1:6:17668626:17676049:-1 gene:ORGLA06G0168900 transcript:ORGLA06G0168900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XKTRKPAGLCLCRLRLRSRRARVVLKLQQPAMQPDSTDRLAGRHAGCSERAADILCKLHRTKQMIIFRKFTTKSKKHSMQSCKPRLHRWIVLNPNGKLTIYSYFPISFANTDACTHTSXSQLQISHPHGVHPPYAISYPTSLPVYYSTSLSAAAAHLVSTPVSTLPRMRMEGSSPMEEEEEAARLAGSQGESPAMLHPNGDGDGDGGDDEGDASGGEEEQGGVARAGVRRRGGGGRVRVRGRIPLKKGPWTPDEDKRLREYVEAHGEGNWNRVQRNAGLNRCGKSCRLRWANHLKPDLKKGPFSKEEEEMIIKLHLWLGNKWAKMANSLPGRTDNEIKNFWNTRCKRIQRTGEPLYPKEFNHFKLTDLEVMNCESPDESRAKKRTNEVLQGNGQSYKEVFFDNLDYSRPENYIRPNCVTPNSLPVDATSPFGSAIATQDQSVPFGSAIVSGHPILDGNFSTSGTIQRPMNVELPSLQYPNYDFNNNNAWSYHDPLGHPIDQVDFGSLRSEYLSPNNNGLLDALVHGGHGQGELANSQGSFDACFPRVQCNQVIQSNAFSLSSSFPIIGDDLLENSCHAFVGINNNASSLFLDSQPPPLVDPTFWRHDVSLEPNLPVYCQFNEELPPSNEQFAKDADDAFGHGGLSDETNLAAAGQGDEHDLENPWASMPGACDIPDFPSE >ORGLA06G0168800.1 pep chromosome:AGI1.1:6:17664649:17666500:1 gene:ORGLA06G0168800 transcript:ORGLA06G0168800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPSRLPSVVVHLPRCTATGGPPHCRLTAEASSVLPNMSVASPWVDDDDLTEISIYEVIAWSAEEAMELIKQTPPPPPPPPPPPSPPATHDVGQPPPPPSLAAPPPAQHDMGKLLRMYARLLRRLESQAIDMYAGSRRLVEYHVMAWGAYEATRPALLGLGFMAGPGIEEVLIECINRGNAAVATAAATGDGQPRLLAAFGIKPESLPTNPAERRFVAGILYAALEMRNCVRRRVRWLRRVERFNQRRREEEAEAAMRREEEEARRKLEVQKVLEGYEEFLNFK >ORGLA06G0168700.1 pep chromosome:AGI1.1:6:17641238:17643660:1 gene:ORGLA06G0168700 transcript:ORGLA06G0168700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFILGCPVLCLPGGAGHGGGAGRGRLLPPPVARFAGAGRPLRLTVAQAAANPGPWLAWDDDSTKVVTPYKAIAWSAAEALDLLEQTPPPSAGSAASQDVQEIISTFKNLKELADSDEVPMEPLAATCTLLRYHWYLWQCYHGDPVTRGFPDGLLSFLHGCISFACGPDGYALPYYLNIFGIKADKLPKEAWAKDLVTVAMYASPGTRLVVGKYEKHLLDVFRMRLIADEGKQEESKIRAEEASHRKWRPDHFVDDDDDGMLGLGGV >ORGLA06G0168600.1 pep chromosome:AGI1.1:6:17633605:17636170:-1 gene:ORGLA06G0168600 transcript:ORGLA06G0168600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPPRPRHPVADNDDLVGEILLRIPPDDPTRLVRASAVCKRWRRVLADPSFAARHRAFHPRAAAAAAAPVLGVLHNPADRELDRFVPAAASSFRAAAGDRRKHHILDCRHGRVVLYDYDSHYPTDGHIVWDPITGEQHRIPNVMDALTHPAVISGAAAGGGGGSASFIVAFVGVQNWERHFWDAHACFYSSETGEWSVHINIHLDLDGYHLEDRPAALVGGDTLYFVGKSGILLRYRYGLPLRCGRDILGYGITSADVLSVVDPPPGAKRRLRLGYTVVMAAPESDGGGLRLGVLHRHKLALWDREEDGSAAAAARWVWRVAIDLEQVLPWPVGNTKGKERACLAAVAEDPNVIFVGTEEDGVFAVELDSLRIKKVCELGKSQGRFFPFVSYCAESFLSQSDSDTIAKASSDCGQFGDLIECIVIMILVFHFLYTFRHSWDIVQFNSNPTTFAQDKLRRRTAGSIQQILLTGPQWLLSRVPRQ >ORGLA06G0168500.1 pep chromosome:AGI1.1:6:17627379:17627891:1 gene:ORGLA06G0168500 transcript:ORGLA06G0168500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSAGGSHAGLALAATAMALSGTLVLFSLCRANKPPHHDDAPARLRPCLSSSEKRKREKARRGSKKRVRFAADVVDNDSNASSRPAAAEPSCRNAAATAATAMPANREALYRGMLRGRSMLRVACSY >ORGLA06G0168400.1 pep chromosome:AGI1.1:6:17613436:17617406:1 gene:ORGLA06G0168400 transcript:ORGLA06G0168400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAAHAVDPRGGASPPPVAKAEAATAAAAAAGGCEPARKAGAVTMEHVLLALHETEAEREARIREMFAFFDVDGRGQLDYAQIEAGLAALQIPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPNEVTIENIYHHWERVCLVDIGEQAVIPEGISKSVNASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVMHSIKDIWSQGGMLAFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSEVGPSERLVAGGLAGAVAQTAIYPVDLVKTRLQTYSCVDGKVPSLGALSRDILMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDVSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSESAYRGMSDVFWRTLQHEGVSGFYKGILPNLLKVVPAASITYLVYEAMKKNLSLD >ORGLA06G0168300.1 pep chromosome:AGI1.1:6:17606460:17609427:1 gene:ORGLA06G0168300 transcript:ORGLA06G0168300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:I1Q3H0] MAHLLLHGTLEATILEADHLSNPTRATGAAPGIFRKFVEGFEDSLGLGKGATRLYATIDLGRARVGRTRVVDDEPVNPRWYEVFHIYCAHFAADVVFSVKAAQPIGATLIGRAYLPVRELLSGEAIERRLDILDAGRRRISHGPTIHVRLQFRDVAGDRHGWGRGVSGARYPGVPYTFFSQRPGCRVTLYQDAHVPDAFAPRIPLAGGGYYRQGRCWEDVFDAISNAKHLIYLTGWSVYTEITLIRDGTRQRPGGNATLGELLKRKASEGVRVLLLVWDDRTSVESLGMKWGFMSTHDAETADYFRGTDVRCVLCPRNPDAGRSAIMGAQIAYMITHHQKTVIVDHDMPVPRGGGSRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTAHHSDFHQPNLDGAAVTKGGPREPWHDIHSKIEGPAAWDVLYNFEQRWRKQGGDKDLLLDLKAMADLIIPPSPVMFPDDGEAWSVQLFRSIDGGACFGFPSTPEAAARSGLVSGKNNTIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFAWKADGIRPEDIEALHLIPREISLKIVNKIEAGERFAVYVVLPMWPEGPPASGSVQAILDWQRRTMEMMYYDIAVALEAKRINADPRDYLTFFCLGNREVKLNGEYEPAGRPLDGTDYDKAQKARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPCHLNTKGLVARGQIHGFRMSLWYEHLGMLHDNFLNPESLECVQRVNKMADKYWDLYASDELNDDLPGHLLTYPVRVTKEGTVTELPGAKFFPDTQAPVIGTKGNLPPFLTT >ORGLA06G0168200.1 pep chromosome:AGI1.1:6:17599606:17602331:1 gene:ORGLA06G0168200 transcript:ORGLA06G0168200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:I1Q3G9] MAHLLMHGTLDATIFEATNLTNPTRLTGNAPEGFRKWWEGLENGLEKTTGLGPGGTRLYATVDLGRARLGRTRVIDDEPVSPRWDERFHFYCAHFAENVVFSVKVALSVDAKLIGRAYLPVRDLLSGEAVERKLDILGDDKKKLPHGPTIHVRLQFKDVAADGGGKWWGGGVGDAAYPGVPCTYFKQHAGCRVTLYQDAHAPDTFAPRIPLAGGAHYQQGRCWEDVFDAISNAKHLIYITGWSVFTDITLIRDPSRQRPGGDATIGELLKRKASEGVRVLMLVWNDVSSIQALHAIGIKLSVAQTHDEDTLAYFEDSDVHCVLCPRQADAAAGSSFIMGTKVSLLATHHQKTVIVDHDMPAGTGGGGSDIRRIVSFVGGLDLCDGRYDTQSHSLFRTLDAAHHKDFHQPSIDDAELAKGGPREPWHDIHSKLEGPIAWDVLYNFEQRWRKQSGHADLLVNLTALEHLITPPSPVKLPGTNNDDHHDDAWNVQLFRSIDGGACDGFPSSPEAAARLDLVSGKNNVIERSIQDAYIHAIRRARDFIYIENQYFIGSSYGWRPGGGVRPEDVEAVNLIPRELSLKIVSKIAAGERFAVYVVVPMWPEGHPGNEAMQAILDWQRRTMEMMYYDIAVALKANHSDADPRDYLTFFCLGNREAKSHGEYVPAHRPDQDTDYAKAQNARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPHHLNVNGQAARGQIHGFRMSLWYEHLGMLHDDFVHPGSLECVRRVNAMADRHWQLYAGEELHGDLPGHLLTYPVAVEKDGGAVTALPGAEFFPDTEAKVIGTLASSAYMIPYLTS >ORGLA06G0168100.1 pep chromosome:AGI1.1:6:17585380:17589951:-1 gene:ORGLA06G0168100 transcript:ORGLA06G0168100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:I1Q3G8] MAEQQLMHGTLDATIFEATNLTNPTRLTGSAPEGIRKWWEGVEKTTGVGQGGTRLYATVDLGKARLGRTRVIDDEPVNPRWDERFHLYCAHFADNVVFSVKVSLPIDAALIGRAYLPVGDLLSGEVVERKLDILDEHKKKLPHGPTIHVRLQFKDVAVDGDGKWWGAGVGNAGYAGVPCTYFKQHTGCRVTLYQDAHVPDTFAPTIPLAGGAHYQQGRCWEDVFDAISNAKHLIYITGWSVFTDITLIRDPSRQRPGGDATLGELLKRKASEGVRVLMLVWNDVTSLQILQSLGIKWGFSQTHDAETFQYFEDTDVHCVVCARHPDAGGSIVMGVKVPFASTHHQKTVIVDHDMPAGAGSGLRSIVSFVGGLDLCDGRYDTQSHSLFRTLDAAHHKDFHQPSIDDAELAKGGPREPWHDIHSRLEGPVAWDVLYNFEQRWRKQSGHADLLVNLTALEHLIAPQSAMKLPVIGNDDHEAWNVQVFRSIDGGACDGFPSSPDAAARLDLVSGKNNVIERSIQDAYIHAIRRARDFIYIENQYFIGSSYGWRPDDGVRPEDVEAVNLIPRELSLKIMSKIAAGERFTVYVVVPMWPEGHPDSQAMQAILDWQRRTMEMMYADIAGALKAKRMDADPRDYLTFFCLGNREVKRSGEYVPGHHPRDGTPYAKAQKTRRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPHHLNIGGQLARGQIHGFRMSLWYEHLGGEPHDDFLHPGSLECVQRVNAMADRHWQLYAGEELHGDLPGHLLTYPIAVAKDGTVAALPGAKFFPDTEAPVLGKKAINPLMTPDITS >ORGLA06G0168000.1 pep chromosome:AGI1.1:6:17577600:17579315:1 gene:ORGLA06G0168000 transcript:ORGLA06G0168000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWGGLSVNCGERHNHLLCQYAGTNTEAWTVCTTPEMDLMGVRNQGLLALCQPGRLQGFTFLQVNGGGKSELGGFGYRKWMTMIRPATPLSETKSTRGVNPLANPVPVQLEGTVWPVVSDHSLAKHSTGQMHPVR >ORGLA06G0167900.1 pep chromosome:AGI1.1:6:17575849:17576813:-1 gene:ORGLA06G0167900 transcript:ORGLA06G0167900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVARNATGELTPAAAVAGRDGRVGGGSGSSSSMTANGGGNSLSQILSAKLRKCCKTPSPSLTCLRLDPEKSHIGVWQKRAGARADSSWVMTVELNKDTAVSSAATVAAATAASSSDQPTPSDSTVTTTSTSTTGSPSPPPPAMDDEERIALQMIEELLGRSGPGSPSHGLLHGGEGSLVI >ORGLA06G0167800.1 pep chromosome:AGI1.1:6:17553420:17557455:-1 gene:ORGLA06G0167800 transcript:ORGLA06G0167800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSSQIVETLPEWRDKFLSYKDLKKRLKLIGGGGGGEERQAKRARVAADGGEEEAAAAAMTPEEAGFMRLLEAELDKFNSFFVEKEEEYIIRQKELQDRVARAAGRESKEELMRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKQCEAMLDQLLPSNELSVSSEDGRGDSTNEDKPSNPSSSLVNGGAIPELDEIEYMESMYMKGTVAALRSLKEIRSGSSTVSAFSLPPLQGDSSPEEQQELWNKIPVIEQAAK >ORGLA06G0167700.1 pep chromosome:AGI1.1:6:17551522:17552304:-1 gene:ORGLA06G0167700 transcript:ORGLA06G0167700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit RPC6 [Source:UniProtKB/TrEMBL;Acc:I1Q3G4] MPPRKRPPPPAKAPEAPPETKPKISPPAKPSAAAPPPPKAVLSDTVLAALSQHERPIYKLVFAGGDKGMSQTEIRIKTGMPTSTLTKHLRGLTSKGVLKVVNSVHKRAEKIYMDVRIDPSPEITGGTWYRNGQLDSDAVASARRRCLDQIDKLGVATAESIHEGISRECSNLAYSTEQVRDILRTMALDREVEEVRSTGAGEFGDLRAGRVCYRRGGPVQGGMMERIPCGVCPRIDECSPDGVISPSTCVYYKKWLQMDF >ORGLA06G0167600.1 pep chromosome:AGI1.1:6:17531958:17535745:-1 gene:ORGLA06G0167600 transcript:ORGLA06G0167600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAASLTAPNALAATSLPFLHGRKSGGGGVSVHAGAPSPSRAVAVVARRLWGSASSSRRMVVAAATAAEMAPAASGEEGKPFVEEMRAVAMRLHTKDQAKEGEKEPQAPPVARWEPSVDGYLRFLVDSKLVFETLETIVDRAAVPWYAEFRNTGLERSEQLKKDLEWFKEQGHTIPEPSAPGTTYASYLEELAEKDSQAFICHFYNVYFAHTAGGRMIGKKVSENILNKKELEFYKWEGNLSQLLQNVRNKLNEVASSWTREEKDHCLDETEKSFSYSGDLLRHIFT >ORGLA06G0167500.1 pep chromosome:AGI1.1:6:17525670:17528967:-1 gene:ORGLA06G0167500 transcript:ORGLA06G0167500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESRYVAVRHHVEGLPSEDDFEVKAARVRWWPESGEVLVRNLYLSVDPYQLNRMKRRSASHLAVDGIVPGERIDAYGAGEVVASACEEYKEGDVVTGVLGWEDYTLFRPSPGVLMSKLAASDDLPLSHHLSALGTSGMTAYAGLYEVGRPEAGEKVFVSAASGSVGSLVGQFAKLAGCYVVGCAGTNAKVDLLKNKLGFDDAFNYKDEPDMKSALKRYFPDGIDIYFDNVGGETLEAALANMNTYGRVALCGVISEYTDAGHRAVPDLLEVIYKRITIRGFFAWDFLTRFAEFTGVISDWIRQGKVQVIEDISDGLESVPSAFAALFSGDNIGKKMVKLA >ORGLA06G0167400.1 pep chromosome:AGI1.1:6:17521421:17524599:1 gene:ORGLA06G0167400 transcript:ORGLA06G0167400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETKPPSPGTAGVAGGGYHRRWAAPLLASVLLSSLLIAASLFFSSSRALLLSFSPLPSAASAEPLFVEAKLRQQQQMRGAAASGGRGRGAVPRIAYLVSGSAGDGAALRRTLRALYHPSNMYVVHLDLEAPATERAELAAAVRADPVYSRFRNVKVVTRANLVTYRGPTMVANTLHAAAILLREGGEWDWFINLSASDYPLVTQDDLLYVLSDLPRQLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHQFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTLNDFDGMVNSNAPFARKFGREDPVLDKIDQELLGRQPDGFVAGGWMDLLNTTTVKGSFTVERVQDLRPGPGADRLKKLVTGLLTQEGFDDKHCL >ORGLA06G0167300.1 pep chromosome:AGI1.1:6:17505754:17508118:-1 gene:ORGLA06G0167300 transcript:ORGLA06G0167300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVAMSAKSKNSVLTLEKKQGWSVPQLPELQSPWDLHEDKGFSLSLHGSASPHGGLFASVGLKVSTAAPAVAPSPAEHDFKIPFADHCIKYVSSAVGYQVPGTEAESVNEEEVVDGKAVKKAKKRGLKLKIKIGNPHLRRLVSGAVAGAVSRTCVAPLETIRTHLMVGSSGDSMTEVFQSIMKTEGWTGLFRGNFVNVIRVAPSKAIELFAFDTAKKFLTPKADESPKTPFPPSLIAGALAGVSSTLCTYPLELIKTRLTIEKDVYNNFLHAFVKILREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLKKLYRKTFKQEEISNIATLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRQVYKNVFHALYCIMENEGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEDDQDSE >ORGLA06G0167200.1 pep chromosome:AGI1.1:6:17503427:17504943:1 gene:ORGLA06G0167200 transcript:ORGLA06G0167200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVSNLSITEPHKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDSRSRPMQKAKIEILLGKTEKFDELMAAAAEEREAAAAAEGEEQG >ORGLA06G0167100.1 pep chromosome:AGI1.1:6:17494540:17496981:-1 gene:ORGLA06G0167100 transcript:ORGLA06G0167100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1Q3F8] MDAHSAFMFLLALIHLLLQISARDFLSPGSSLSVERSSDVLYSPDGTFTCGFYNISPNSSIFAVWFSNSAEKTVVWSANLGRPVYTWGSKIKLNIDGNMVLQDYGGQIVWTNNVSSSNVQEARLLERGNLIVKGQGDTILWQSFASPTDTLLPNQIINGTIKLVSSTSSNRLLVPGHYSFHFDDQHLLTLFDDEKDISFIYWPNPFINMWAKKRISFNTTTLGVLDSSGHFLGSDNASFMAADWGPGIMRRLTLDYDGNLRLYSLNKTDGTWLVTWMAFTNLCFVRGLCGMNGICVYTPKPACVCAPGHEINDPSDLSKGCKPKFTISCDRKQKIRFVKLPTTEFLGYDQSTHQQVSLSTCKNICMSDCSCKGFSYWQGNGNCYPKSSLVGGVTSQSLPGSTYLKLPEALKVPESSIPRSQPSGRQYGPNCSAENQYSIANFSDISRSGQSESRFFYFYGFLSAIFLIEVILIALGWWFILRMEGRQLTGVWPAESGYEMITSHFRRYTYKELQRATRKFKEELGRGASGVVYKGILKDKRAVAVKKLADISQCEEEFQHELSVISKIYHMNLVRVWGYCSDGPHRMLVSEYVENGSLDKKLFGSEASQTLLEWKQRFKIALGVAKGLAYLHHECLEWVIHCDVKPENILLDDNLEPKITDFGLAKLLNRGGSNKNVSRIHGTRGYIAPEWVSSLPITAKVDVYSFGVVLLELLKGSRVSEWAKTEDEDDEVEKVLRRAVRMLAENVKLQEDSERSWITNFIDSRLNGQFNYLQARTMIKLAVSCIEEDRSKRPTMENVAQMLLSVDEENIIT >ORGLA06G0167000.1 pep chromosome:AGI1.1:6:17487650:17492662:1 gene:ORGLA06G0167000 transcript:ORGLA06G0167000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAKSVEAGGEPGGGGGGAWSTVSRSGRSSYSAGGGVGGGKVGELAEGLAGVEIGGERRLDKYDIPVEVSGEDVPPPADGFEAAGLVEAVLRNVARCGYESPTPVQRYSMPIALAGRDLMACAQTGSGKTAAFCLPVVSGLVAAGGSGIGHRERSSFNRAAAKPRALVLAPTRELAAQINEEAKKFSFQTGLRVVVAYGGTPMYNQLRDLERGADILVATPGRLVDMVERSKVSLEAIKYLVMDEADRMLDMGFEPQIRKIVERMNMPRKSVRQTMLFSATFPPEIQRLASDFLSNYIFITVGRVGSSTDLIMQKVELLSDGEKRGYLLDLLQRQSVGVANSKLQQPLTLVFVETKREADSLRYWLYSKGFPATAIHGDRTQQERESALRSFKTGLTPIMVATDVASRGLDVPNVAHVINYDLPKSVEDYVHRIGRTGRAGKAGSATAFFTESDHSLAKGLLELMTEAKQDVPDWLVQYAERPYYGGSSYGGRNRRSGGGGNRFAGRDFRQGSDYDYSGGGGGYSGGGGGGGYSGGGGGYSGGGRGGGYSSGGRGGYSGGGGGGGGGGDPYRASAPPPRYYPSYPMGTADINASGWD >ORGLA06G0166900.1 pep chromosome:AGI1.1:6:17476190:17478190:1 gene:ORGLA06G0166900 transcript:ORGLA06G0166900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAVAVAAAVLLLLHVAARVADAVWWRPRRLEAHFAGQGVRGPPYRFLVGCVREMVALMAEATAKPMPPAAPHNALPRVLAFYHYWRKIYGPTFLIWFGPTPRLTVAEPEMVREIFLTRAEAFDRYEAHPVVRQLEGDGLVSLHGDKWAHHRRVLTPGFYPDNLNRLVPHVGRSVAALAERWRAMACAGGGEVEVDVAEWFQAVAEEAITRATFGRSYDSGRVVFRMQARLMAFASEAFRKVLVPGYRFLPTKKNRMSWGLDREIRRGLVRLIGRRSGGDGGEEDETTTELKDKQDSGFNDLLGLMINAGVNRTMPVEDMVEECKTFFFAGKQTTTNLLTWATVLLAMHPDWQDRARREVLAVCGDAAGELPTKDHLPKLKTLGMILNETLRLYPPAVATIRRAKFDVTLGGGGDGDAGGIHIPRDTELLVPIMAIHHDARLWGPDAAQFNPARFAGGAARAAKHPLAFIPFGLGSRMCIGQSLAILEAKLTMAVLLQRFDLALSPTYVHAPTVLMLLHPQYGAPLIFRPRQSQPSN >ORGLA06G0166800.1 pep chromosome:AGI1.1:6:17461029:17463214:1 gene:ORGLA06G0166800 transcript:ORGLA06G0166800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding nuclear protein [Source:UniProtKB/TrEMBL;Acc:I1Q3F5] MSRAQALPDPAAVGYPSFKLILVGDGGTGKTTFVKRHITGEFEKRYEPTIGVEVRPLDFHTSRGKVRFCCWDTAGQEKFGGLRDGYYIHGHCAIIMFDVTSRLTYKNVPTWHKDICRVCDNIPIVLCGNKVDMKNRQVKAKMVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLTGDMNLRFVEELALLPADVTIDLIAQQKIETEIAAAAAMPLPDEDEDGLMD >ORGLA06G0166700.1 pep chromosome:AGI1.1:6:17456838:17459476:1 gene:ORGLA06G0166700 transcript:ORGLA06G0166700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADVSRPSSSAPTAAAAGADGHGAKGSAFRGDGLRPYYQSRIHDLELQIRQGTDNLSRLEAQRNVLNSQVKTLGEELKVLHEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDVDKSIDITKLSPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMQSGSGGGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRMDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVNQEDFLMAVAKVMKKDTEKNMSLRKLWK >ORGLA06G0166600.1 pep chromosome:AGI1.1:6:17438241:17438726:-1 gene:ORGLA06G0166600 transcript:ORGLA06G0166600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAMHHYLLLANQQRHRALADVAVRRRQLLLDSGRVFMLLGAVILMHMLTTTGGGASSGCTRGAEPCVALLLWLLGAALAMLSLVAGRFPVLAAAIAEELGDHLLGGLXSLXFSSVSGGHLLLRAFALELSTDLCVLHSCLISALHVMLSKHHLFSVXFN >ORGLA06G0166500.1 pep chromosome:AGI1.1:6:17431923:17432270:-1 gene:ORGLA06G0166500 transcript:ORGLA06G0166500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAVRYLLRADQRRGGRRRRAQLLAAAGRYHRRRRLLLLYSGRVLMLLAAVALVHLLTAACTGQVEFFAVLVAFLLWLLGAAFAVMSLVAGQFPVLAAAAVARTLRGWLLGGL >ORGLA06G0166400.1 pep chromosome:AGI1.1:6:17421938:17429120:-1 gene:ORGLA06G0166400 transcript:ORGLA06G0166400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDGNPMLRNGETGDWIGTFQGHKGAVWSCCLDTNALRAASGSADFSAKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGIEKILRVYDMNRPDAAPRELDKAPGNVRTVAWLHSDQTILSSCSDMGGVRLWDVRTGKIVQTLETKAPVTSAEVSQDSRFITTADGSSVKFWDANHFGLVKSYDMPCTVESASLEPKSGSKFIVGGEDMWVHVFDFFTGEEITCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSPPNADDNEAVNSNGKPTVGVNEVARKIEGFHIPKEEEQQQQQAEG >ORGLA06G0166300.1 pep chromosome:AGI1.1:6:17417504:17419063:1 gene:ORGLA06G0166300 transcript:ORGLA06G0166300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1Q3F0] METSAAAAPNGGAAAAEQQQRRRLPDFQQSVRLKYVKLGYHYLISHGMYLLLSPLMALVAVQLSTVSPGDIADLWEQLRFNLLSVVACSTLLVFLSTLYFLTRPRPVYLLDFACYKPDPQRKCTRETFMRCSSLTGSFTDANLDFQRKILERSGLGEDTYLPPAVLRVPPNPCMDEARKEARTVMFGAIDQLLEKTGVKPKDIGVVVVNCSLFNPTPSLSAMVVNHYKLRGNVISYNLGGMGCSAGLLSVDLAKDLLQVHPNSYALVVSMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNRRSDRRRSKYELVHTVRTHKGANDKCFGCVTQEEDEIGKIGVSLSKDLMAVAGDALKTNITTLGPLVLPLSEQLLFMATLVAKKVLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELTDWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIRKRDRIWQIAFGSGFKCNSAVWKALRTVNPAKEKNPWMDEIDNFPVEVPKISKVGNA >ORGLA06G0166200.1 pep chromosome:AGI1.1:6:17398125:17400980:1 gene:ORGLA06G0166200 transcript:ORGLA06G0166200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding family protein [Source:Projected from Arabidopsis thaliana (AT5G20140) TAIR;Acc:AT5G20140] MAATSLLSRLLILPPPAPAAAASLRQRKPAAAHSLSSRGRRPRLAVQAVAPAAAEEEKGGLPAAEAERLAEFLREDLPHLFDDVGVDRSAYDDRVRFRDPITRHDTIDGYLLNIRLLKLLFRPDFYLHHVEQTGPYEITTRWTMVMKFVLLPWKPELVFTGLSIMGVNPQNLKFCSHVDIWDSIQNNEYFSFEGLGDVFKQLRIYKTPDIETPKYLILKRTANYEIRSYPPFLIVEAKGDKLTGSSGFNNVTGYIFGKNASSEKIAMTTPVFTQASDDKLSDVSIQIVLPMNKDLDSLPAPNTEAVNLRKVEGGIAAVKKFSGRPKEEIVIQKEKELRSQLLKDVLKPQHGCLLARYNDPRTQSFIMRNEVLIWLNDFTLE >ORGLA06G0166100.1 pep chromosome:AGI1.1:6:17395285:17397294:1 gene:ORGLA06G0166100 transcript:ORGLA06G0166100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTSSEDDELVEGYMDVEDDTGTSNTDQGTGLMPSEMRSIRPRPSSVGNGRLMAADGLGTNDDPCLGMEFESDGAARAFYNAYALRLGFGIRVARSRSERRKGVELLIMKRFVCLKEGHHKKKDAEPSDKKKRKRLSIRDGCPAMMEVVRRGPEKWVITKLVLEHTHVILSPDRVREVQLRRLSGKCAEHDNQLQELRRNVFGDTDAQGLFNYLKRMQSENSGFFYSIQVDSKNCVSNAVWADARARMSYTYFGDAVYFDTTYSKNENMLPFAAFTGVNHHGDTVPFGCALVLDRTESSYTWLFETWLTAVGRRLPFSFTTDEGKAIASAVAKVFPQCFHRLCRWRILSRCKKKLSDDYVRFPNLHDELRRCINECYTEVAFDMFWGTILDKYGLRENSWLRSIFEARHRWVPAYLTTSSFFAELTLTQRGETIGRFFRNNFSTRVPLDEFTTKFDQHIDNLYVHETQKDLGSSHPEQILKTNIALEKQARSIYTNAVFEIFQTELFEALQHYAVKVHQDGPYSKYYVDRDDPPTRHTVFYNVAEKKAWCDCCRYAFSAILCRHVLGVFILAGIMVLPETCIAKRWTKRAKTGPELIGHNLENGNCYMDSSTSRYNDLIHDAIKCAEKGAVSADNFRVAKEILRKAFMEIKCLGEKLTDDDLQQVDSR >ORGLA06G0166000.1 pep chromosome:AGI1.1:6:17392477:17393238:-1 gene:ORGLA06G0166000 transcript:ORGLA06G0166000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWSLTAGGADEGKEAEEAVEGERGGKLYSTLTKAPCLYGSTPRSTKASTTNVDKALSQTLRLLDRSRTVPGSRQGMRNEEMVYPPR >ORGLA06G0165900.1 pep chromosome:AGI1.1:6:17388345:17391110:1 gene:ORGLA06G0165900 transcript:ORGLA06G0165900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G11330) TAIR;Acc:AT5G11330] MVGKRVAVVVGGSVAGLACAHAVAEAGWEAVVVEKAAAPGAGSGTGAGLGLDAQSMETLARWIPGRLDAATLPLAVDLNRATDGETKAGRTLTRDEGFGFRAAHWGDLHRRLHEALPAGVTVLWGHQFVSFEMAPEGDGDGGVVVTARVLRTGETVEVAGDLLVAADGCTSAIRRRFLPELKLRYSGYCAWRGVFDFTGKEGCTTMVDIRRAYPELGNCLYFDLAYKTHAVLYELPKNRLNWLWYINGDEPELMGSSVTMKVSEATVSEMKEEAERVWCPELARLIGETAEPFVNVIYDAEPLPGLSWAGGRVALVGDAAHPTTPHGLRSTNMSILDARVLGCCLARWGGDGNAEPTSTPRRALAEYEAARRPVVAAQVLHARRLGRLKQGLGMGSAGDGEGFDARTATEEEISQLRQSSMPYFSGAPTIE >ORGLA06G0165800.1 pep chromosome:AGI1.1:6:17386642:17388234:-1 gene:ORGLA06G0165800 transcript:ORGLA06G0165800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G31430) TAIR;Acc:AT1G31430] MAMAAARRGHGMPLWECNALIRTLARRGSFARVMAVYYDLRARGLVADSFTYPFVLRAVGVLKLSVEGRKAHAAAVKTGFRWDAYTGSSLMEMYTMLGRVDIARKVFDEMPSRALVLWNMMVRCYIRCGWYSAAVALSEQMERSGVTPDRVTLVTAVTACSRARDLSLGRRIHVYMDNVFGFNLPVANALLDMYTKNDCLEEAVKLFEQMPARNIISWTILVSGYGLAGQLDKARVLFNQCKEKDLILWTAMINACVQHGCFEEALTLFRDMQMQRVEPDRFTVVTLLTCCANLGALDQGEWIHQYAEQRKMKIDAVLGTALIDMYSKCGHIEKSLEVFWRMQRRDATAWTAIICGLATNGQAGRALELFQDMQRSKVKPDGVTFIGVLSACCHGGLVDEGRKQFHAMREVYQIEPRVEHYSCLVNLLGRAGLLDEAERLIGDVPINKDAMPLFGALLTACKAHGSVEMSERLTKRICEQDSQITDVNLLMSNVYATASRWEDVIRVRGKMAHPTVKKTAGCSLIEVKGY >ORGLA06G0165700.1 pep chromosome:AGI1.1:6:17379271:17385540:1 gene:ORGLA06G0165700 transcript:ORGLA06G0165700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stabilizer of iron transporter SufD / Polynucleotidyl transferase [Source:Projected from Arabidopsis thaliana (AT5G43810) TAIR;Acc:AT5G43810] MLEVLDMAPPRHQPAAGKAGGGRGHGHGHGHGHGGGGGGPAARKQPLQSSMAQPKAETAAAVAPPEGGKKCGGGGGRRRGGRGRGXXXXXXXXXXXXXXXXXXVAPAARAVIGPPVASKGLSFCRRPGFGTVGARCVVKANHFLAELPDKDLTQYDVKITPEVSSRSVNRAILSELVRLYRDSDLGGRLPAYDGRKNLYTAGTLPFDAREFVVRLTDDDDGTGVPPREREYRVAIKFAARADLHHLRQFIAGRQADAPQEALQVLDIVLRELANRRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHPHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCRRPREQEMDILQTVQQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVNHWACINFSRSVQETTARGFCQELAQMCQISGMEFNSEPVIPIYSARPDQVEKALKHVYNMSLNKLKGKELELLLAILPDNNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMSENQTTSKSSTGTNGTSVKPLPAVKEKVKRVMFYC >ORGLA06G0165600.1 pep chromosome:AGI1.1:6:17351664:17355797:1 gene:ORGLA06G0165600 transcript:ORGLA06G0165600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT2G25620) TAIR;Acc:AT2G25620] MEEHRLGGGGGGGGGGGRPPIPGAAGRKLPGLSRHASFVRSPANSTKSGTEKTFENMDADAYMPVVRSGGWADIGSRHTMEDVFICSDNLMKEFGVESFEDGPSAFYGVFDGHGGKHAADFVCSNLARFIVEDEDFPREIEKALSSAFLQTDAAFADACSVNSSLASGTTALAALVVGRSLLVANAGDCRAVLCCRGKAIEMSRDHKPSCNREKVRIEASGGYVYDGYLNGQLNVARAIGDWHMEGMKACDGLGPLSAEPEVMIRNLTEEDEFLIIGCDGIWDVFRSQNAVDFARRKLQEHNDPVTCCKELVDEAIKRKSGDNLSVVVICFNSRPPPVLTTPRPRVQRSISAEGLRELQSFLDSLAD >ORGLA06G0165500.1 pep chromosome:AGI1.1:6:17348034:17348907:1 gene:ORGLA06G0165500 transcript:ORGLA06G0165500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1Q3E2] MSTSSGADSSPPVSGLDYDDTALTLALPGSSSSSSSTADPERKRAAHADHADAKPPSPKARAVGWPPVRAYRRNALREDAARAKLVKVAVDGAPYLRKVDLAAHAGYAPLLRALHGMFASCLAVRGGGGGDGEGTKLVDLVTGAEYVPTYEDKDGDWMLVGDVPWKMFVESCKRIRLMKSSEAVNLSPRRSSR >ORGLA06G0165400.1 pep chromosome:AGI1.1:6:17323677:17327160:1 gene:ORGLA06G0165400 transcript:ORGLA06G0165400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:I1Q3E1] MAGSIAASAFLPGSPAAAPPKSVLGERPDSLDVRGIAAKPGSSSSAAALRAGKTRTHAAIPKVNGGSSALADPEHDTMSSSSSSAAPRTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKRPDMLTDTFGFGRMIHEGLMFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKSAGLLGDGFGSTPEMSKRDLFWVVSQMQAIVERYPCWGDTVEVDTWVGAHGKNGMRRDWHIRDSVTGHTILKATSKWVMMHKLTRRLARIPDEVRTEIEPYFFEHASIVDEDNRKLPKLPDIEGANVAKYVRTGLTPRWADLDINQHVNNVKYIGWILESAPISILEKHELASIVLDYKRECGRDSVLQSHTTVYTDCNKHSGQTTLHCEHLLSLESGPTIVKARTMWRPKGTRPQESIIPSSL >ORGLA06G0165300.1 pep chromosome:AGI1.1:6:17309492:17309992:-1 gene:ORGLA06G0165300 transcript:ORGLA06G0165300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLVVGTGHAAVGFPAARVAHRRHVALVVKGQADMDMEQTKGKDGSVVSGDPVLEHEPCQTC >ORGLA06G0165200.1 pep chromosome:AGI1.1:6:17306063:17306191:-1 gene:ORGLA06G0165200 transcript:ORGLA06G0165200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCKAMDFAGLLTLLELLKLFLNLIQTESENLILMKVLMYL >ORGLA06G0165100.1 pep chromosome:AGI1.1:6:17300933:17305817:-1 gene:ORGLA06G0165100 transcript:ORGLA06G0165100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEELASKELSDWRLAKAEELAKMVVLPSKEVDVRRLVRKTHKGEFQVEVEETDGISVEVGIGGDLLSHVPSRPTEGQTKTDDKSVHTEEKDSDNSEQDGLIVTGGNNMPSNLEHTENEKTDLMQELMVDDLKDTENLPPIMSLDEFMETLDSEPPFEDDSTQTVKDDPNSIEKTDISLKSEDSSKNVDSASASDSQLDPQTLSPQDKFESKLQSPKKDAGSILFPVEQIKEDLLVKSSPEKANAENIDTGSQSIPESITDCKSAPDALLTHDSVWEGTIQLSLSSLTNVVAIFKSGEKTSTNEWRHFLDIKGRVRLSAFQEFLEQLPKSRSRAIMVTELRWKEGSLESGRQHLLQTIDSYIADERVGLVKPADGVELYLCPSQGKAAQILAEHLPKEHASSLTVTGTSAIGVVVWRRPHVSPRIPARNDGSRNQSISRKQHAVIASAVPLSSKPTNERQHHGQDVVTDDVPPGFGPGVVREDDDLPEYDFVTVPNAAANVVPSRQAHRSQQQHSQAASRRPVDHVREMVRKYGSRSAAAAQPWEEDDDDDDIPEWDPNQSNLNLQQTRHAIPQPPLPPPGPVHQQMHAYHQQHQQQQQHYQSIQQYHATQESQNTLSQAYYVQSHSQQHSVPVQQLTHVQPGWQTTAQWLAAGAAAAAHSGLPANNVVQQYCTSATPDGSGQGYATGNQGSMPWNLQ >ORGLA06G0165000.1 pep chromosome:AGI1.1:6:17296315:17298073:1 gene:ORGLA06G0165000 transcript:ORGLA06G0165000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSAAAAAAAAAATVTRVAQRVVAPSAATPGGALPLSWLDRYPTQRALIESLHVFKGRADAAVAPAAAIERALAAALVSYYPIAGRLAERGDGGELVVDCTGEGVWFIEATASCSLEDVDYLEYPLMVDKDELLPHPTYPASESHPEDSLILLVQVTQFACGGFVVGFRFSHAVADGPGAAQFMTAVGEIARGRAAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDISTDYIDHFKARFLEQTGHRCSAFEVLIAKAWQSRTRAAGFAPGSPVHVCFAMNARPVLRRALPDGFYGNCYYIMRVTAAAGAVADASVNDVVRLIREGKKRLPGEFARWSGGGGGGGEDDPYRITSDYRTLLVSDWSRLGFAEVDYGWGAPVHVVPLTNLDYIATCILVRPSAHKPGARLITQCVAADAVDAFHNDMMRLD >ORGLA06G0164900.1 pep chromosome:AGI1.1:6:17267586:17269243:1 gene:ORGLA06G0164900 transcript:ORGLA06G0164900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGSTFCSSAVTVLYSEASTEAAGKGGDGKREPEWWRRRWLTRQQRAGASFPIAIDLDLGVSACVMAAVFEDAVHEPSQAIRPTPTMLHGQITGTLTDLTLRAIMGECGFRWREEFLETLGEAQKKATRFGVANLFPSSRLLPAVGSRSGDGRRELTRELAGVAVEVGWS >ORGLA06G0164800.1 pep chromosome:AGI1.1:6:17263062:17263247:-1 gene:ORGLA06G0164800 transcript:ORGLA06G0164800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSGLGEYGWGREGAKGKVGAGAAGGRRCWRRHRCSRATVLEEMQGWLAGATGLYGVFYS >ORGLA06G0164700.1 pep chromosome:AGI1.1:6:17246800:17248664:-1 gene:ORGLA06G0164700 transcript:ORGLA06G0164700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFAVTKVSEGPVRPSAATPSETLPLAWVDRYPTHRGLVESVHVYLRRDDAAVEAPCADGGVIVEGKKKNNKPPAAVVRGALADALVHYYPFAGRIVEDERSPGRPAVLCSGEGVYFVEAAANCTLADVNHLERPLLLSKEDLVPCPTPEQWPVEPHNSLAMIQVTTFTCGGFVIGLRTNHAVADGTGAAQFMNAVGDLARGLPEPRVKPIWARDRFPDPDIKPGPLPELPVLPLQYIAFDFPAAYLGKLKAQYAGTAGASKICSAFDIVIAKLWQCRTRAIAADPAAAVKLCFFASARQVLGLETGYWGNAIFPVKVSAAAGEVAASSVIELVGVVREAKRRMAGECLRWAEGRTGGADPFQMTFDYESVYVSDWSKLGFNDVDYGYGAPSAAGPLVNCDLISSVIVMRAPAPLAGTRLLASCVTKEHADDFAARMREDLV >ORGLA06G0164600.1 pep chromosome:AGI1.1:6:17239630:17240698:1 gene:ORGLA06G0164600 transcript:ORGLA06G0164600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDELIHERRHRRWEVGDKGCPVGQKNHGLIGPDAMLSETSDIRQADKGGSEKRTSESTTIKQQVL >ORGLA06G0164500.1 pep chromosome:AGI1.1:6:17234891:17236036:1 gene:ORGLA06G0164500 transcript:ORGLA06G0164500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNELIPGLPEEVARECLIRVGFDQLPAVRRISRQWKAEVESPAYNRLRKAEGLARPALALVQARRELAEAGPAADKQSSAGGVPGNSYRMVLLDPAEGRWTPLPEVGGASGSLPLFCQVAAVDGGVEGRKRLVVVGGWDPETWAPTDSVLVYDFLTGAWRRGAAMPGPRRSFFACAAVGGKVFVAGGHDEEKNALRSALAYDPDADAWAALPDMAEERDEPRGLCVDGKFLVVGGYPTPAQGRFVGSAEWFDPATSTWSAVQEGFVDDGACPRTCSAAPEAGDRMYMLRDGHLVARHGAISSAPAAWRPVAPVPEDARTAAAVSVIPDGRVVVIGSDCHGGDQTVYTLREEAGKPASWARAPAPPEFSGHVQAACLLEI >ORGLA06G0164400.1 pep chromosome:AGI1.1:6:17222556:17228306:-1 gene:ORGLA06G0164400 transcript:ORGLA06G0164400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLERSEQMPMPASRAVPGGLVFDQSKDWWQPGIVDDRSSDILRSPAAQSPAPGGRSSDGVGGRERGAAGGQQGAEPAVRRVRGGVGGQQGARPQRRRRQDGAAVRAGDARQRPWHRRQGGPQPRAEAAAPGAAWPRPRRVDAEDVLDELDYFRIQDELDGTCEAADEHAKGCVVHNLFLNTRHTALSVASNLVLLPCAGDDHPDADGRRSGEDKNRQIGSSCAGGGQVMNRQIGSSPSRTLHAAADEEAVASNCCMHKLSPSARGNTHHIGSQFLRCTCSCGRVLQREDTMKTPKLKFDRVDLSQRMKRIVEQLKPLCAKVSTILNLELLESNRSIGQYIAMSLNAEFSKKPGHAPVLPSGGIGKTTLAQYIYKEVHNYFDVTVWVCVTPNFNVYRLKEDIAKSIPQLKDEKNSGPHDLIVQSLGSKFLLVLDDMWNCGHEDEWKYLLASLKKGQTKGNIILVTTHFLAVVEMVKTIDSPIQLKGLDPQEFWELFKASVFGDEKSANDHANLLETGKMISKKSEGFPFGSENSWEDPNKKIEDIGLSRLNDLVSYGFFEKHVEDGSSYYVMHDLLHELALKVSSYECLTICSSNVKSIQIPPSIRHLSIVVDDMDVNDRVTFENIKKDFITLKLSLPNIISRFYHLRILDVRQCKGHFGLPRDMNNLVKLRHFVVQDDKLYSDIANVGKLKCLQDLRRFEVKRQVKAFAISQIGQLDELKGSLGIYDLENAKAAEEAKLLNKSHLHKLILDWNVNRSTKDYSQEEHILENHRPHSNLRELHIQGHGGTTCPSWLGPNLSIKGLQSLCINGVCWDKFPPLGGLWLVNKHGEKFLACASGRSFQYLKRLELVAIPRLAKWAGNDACCVLSLLEEFIVRECPELIELPFSHSTCPWSRQEMNLSQFSRLQNLEIAKCPKLLPLSPLPWTSSPCHVLIKEVGSHFHLLDYQRNNQSEQGLQIEGKDGPLDSTFWKLLALSNLTELRELKMKKCPPLPLKHLKLLSALRRLSITDSGIALLPTDCESTVTYHFLVEQLEIYECSASGIEMTQLLSYFPKLMNLRIEKCQKITGLGVAGQEMMATLASPPSLSYNKSEDAQIGNDQQQPRGGNGIASVVTGLLLLPHQLQNLDIRHCSKLILQLDSFVGDTTRNLIRGVGGGLQYLRSLQSLCIKHCPNFLSSYSPSLSCFPFPSSLQDLAIIDCVRGMETLVQNLSSLTRLSIWDFGDLRSGSMCSLLTQGHLRVLAVHKTPEFFVGSKPSGLQQLYTDDIVGVFVEPTCRLLSSSLTKLSLSMNHKVERFTKEQNMALQLLSSLEVLIFVQCSKLQSLPAGLHRLTSLKRLEIAYCPNIHSLPKGCFPSSLEVLHVYESQSEELKRQCRKLKGTIAIIEDEDYLELVTNTHLFDLAQFTFCLAQH >ORGLA06G0164300.1 pep chromosome:AGI1.1:6:17211662:17218387:1 gene:ORGLA06G0164300 transcript:ORGLA06G0164300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHVTMRALLSRIHPPGPGSISTTSFLQCPSTAASASKTLAPTLTFRRFAAMAAAAAEEFVKGRVTPNGVAVITLDRPKALNAMNLEMDLRYKAFLDEWETNPSVKCVLVESSSPRAFSAGGDVKRLANDCTMPEIIEVFTAEYSLICKIHEYTKPYICLMDGVTMGFGIGLSGHGRYRIITERTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGSYLGMTGKRISSPADALFIGLGTHYVPSANLGPLRESLLSANFTDDPHRDVESLLTKFKNEPESGPQLDKFLPYIISSFGPDKSVAESVEELKKCSQSDDAAVAEWANEALAGLKKGAPFSLCLTQKHFSQVASAYRNNEHYLSKLAGVMKVEYRIALRSSVRNDFVEGVRAVLVDKDQNPKWKPATLEDVDKGEVESVFEPLAAEAELNV >ORGLA06G0164200.1 pep chromosome:AGI1.1:6:17201172:17202590:-1 gene:ORGLA06G0164200 transcript:ORGLA06G0164200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q3C9] MSPTPRPHFLVLTFPLQGHIAPALRLARRLLAAAPDALVTFSTAAAAHRRMFAEGEGGDGDGRLELLPFSDGTENGFVKRGDAAELGAYMASFHASGRRSVGEMVDALAARGRPVSSVVYTLLLPWAADVARDRGVPSALYWIQPVAVLAIYCHYFHGLGGVVDEHRRDHSFVLEFPGLPPMAAGDLPSFLTEATDPSDYFHSIFTTFRDLFDALDRETPKATVLVNVFQELEADTLAAVGAYDVLPIGPVLPSGDDAALFKQNDAKYMEWLDTKPAGSVVYVAFGSLTVMAKGQVDELLHGLEESGRPYLCVVRKDNKAAVAETGDATAAAAAAAARRNGVVVEWCDQVRVLSHAAVGCFVTHCGWNSVLESIASGVPMVGVPRMSDQQMNARLVERDWRVGVRAEVDGGDGVLRAAELRRRVEEVMGDGEAAEVRRSAAAWKRAVAEALGKGGSSDRNLTAFVEGARSGI >ORGLA06G0164100.1 pep chromosome:AGI1.1:6:17188649:17190130:1 gene:ORGLA06G0164100 transcript:ORGLA06G0164100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q3C8] MAMEKSPPPAPHFLFVVSGIQGHINPARRLAARLMASAPAARVTFSTAVSAHRLMFPSLPSPAGEDVDDTGVAYVPHSDGYDDGYKPGVHARDDYMARTRAAGTESLSAIVAALAARGRPVTCIVYTFLVVWAPAVARALGIPSAIYWIQPAAAFAVYYHYFHGHGEALASCANDPARGAVVRLPGMPLLRSDELPSAVSIVSPEHKHYLLLAMLRDLFEDLDELKPRVLVNTFDALEPDALRAVPDLEVVAVGPVVPDGEASLSSSSTDMFRRDDASACVDWLDTKPARSVVYVSFGTLLSMSKRQEEEMRRGLEATGRPYLWVARQGAVDGGATLDSAPTPAADAGGGGGEGDAQGMVVEWCDQMKVLSHPAVGCFVTHCGWNSALESITRGVPMVAVPQWTDQPTVAWLVEARMGAGVRARLDGEGVVERGELQRCVELAMAGGGDGGVRARAERWRERAAEAVAAGGSSERNLRAFASGAVTQAVCSSR >ORGLA06G0164000.1 pep chromosome:AGI1.1:6:17181537:17185053:1 gene:ORGLA06G0164000 transcript:ORGLA06G0164000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARKGRGGGGPPRPRVVDGGGGSMVSRVAVLAFCVAGIWSAYITQGVLQETLSTKRFGPEARRFDHLAFLNFAQNVVCFVWSFIMIKLWSSGGSSSAGRAPLRKYWGVSITNTVGPAMGIEALKFISYPAQVLAKSSKMIPVMLMGTILYGVKYTFPEYICTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYTLCFLNLAFDGYTNSTQDLIKSRYPKTNPWDIMLGMNLWGTIYNAVIMFVAPLLFSNWPYANGFEAVRFCQENPEVAWDILLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVISSVISGNPLSLKQWGSVVMVFSGLSLQIFLKWKRKKVRDHKE >ORGLA06G0163900.1 pep chromosome:AGI1.1:6:17171353:17171820:-1 gene:ORGLA06G0163900 transcript:ORGLA06G0163900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multiprotein bridging factor 1C [Source:Projected from Arabidopsis thaliana (AT3G24500) TAIR;Acc:AT3G24500] MPTRRLSGNITQDWEPVVLRRTKPKAADLKSTRAVNQAMRTGAPVETVRKAAAGTNKAAAGAAAPARKLDESTEPAGLGRVGAEVRGAIQKARVAKGWSQAELAKRINERAQVVQEYESGKAVPVQAVLAKMERALEVKLRGKAVGAPAAPAGAK >ORGLA06G0163800.1 pep chromosome:AGI1.1:6:17167556:17169924:1 gene:ORGLA06G0163800 transcript:ORGLA06G0163800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSERGKVMAKYAEVVERHADELAALESLDAGKPLAAARAVDVGECVGILRYFAGAADKIHGETLKMSRQLQGYTLREPLGVAGLIVPWNFPAIMFFSKVSPALAAGCTVVVKPAEQTPLSALFLAHLSKQAGVPDGVINVVTGFGPTAGAAISSHMDVDVVAFTGSTEVGRLIMEASAKSNLKPVALELGGKSPFIVFDDADLDKAVELAIGGNFFNKGEACVAGSRVFVQEGIYDRFEQKLADTMKSWVVGDPFDPRVNQGPQVDKAQYERVLGYIEQGKAEGATVLTGGNPCGKKGYYIEPTIFTNVKDDMVIAREEIFGPVMCLMKFKTVEEAIERANGTRYGLAAGLVTRDIDVANRMARSIRAGVVWVNCYFAMDRSCPFGGRKMSGFGKDDSMHALDKFLAVKSVVTPVHGSPWF >ORGLA06G0163700.1 pep chromosome:AGI1.1:6:17158742:17159964:1 gene:ORGLA06G0163700 transcript:ORGLA06G0163700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPSWRDGKATMESPSSIPTLKCACGASATVQISNTPRNPRRRWLQCGNSGCCFLWIWEDLLNEYAEEMVAYCHAGEYDHMQETIDILRQYLDDEKNEKAKICEVLDAKENELKSTIETLNQCRLECLAMKKQLEEVKFSRARLLYLTLVITVLFACLMFSGSTYAYNSTDIHEQHYWFIAALLAKYLRDGAGYIVTANRLLQVHRHTCITSSSQPLAISKILREEAAGITVEDRXIGS >ORGLA06G0163600.1 pep chromosome:AGI1.1:6:17153115:17153453:1 gene:ORGLA06G0163600 transcript:ORGLA06G0163600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPTASALDVAARPLRPTPPLAPSIPPHGPSAGGGRARPIHRRAPSRGRRRSRARFLHRQAPTHGCSASEVELVQSTVELLSWSPASSSLWSPASSPRGHGAGEVELLPEVTG >ORGLA06G0163500.1 pep chromosome:AGI1.1:6:17149715:17151692:-1 gene:ORGLA06G0163500 transcript:ORGLA06G0163500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hemoglobin 3 [Source:Projected from Arabidopsis thaliana (AT4G32690) TAIR;Acc:AT4G32690] MQSLQDKASEWSGVAAGDAFAIDDGNVFEALGGTTQPFVDLSTNFYTRVYEDEEEWFRQIFAGSKKEDAIRNQYEFLVQRMGGPQLFSQRRGHPALIARHRPFPVTHQAAERWLHHMQQAVDTTDSIDAATKTKMMYFFRHTAYFLVAGNEMTRQGHGTSCKCKHGESKPAE >ORGLA06G0163400.1 pep chromosome:AGI1.1:6:17146069:17146503:1 gene:ORGLA06G0163400 transcript:ORGLA06G0163400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFARSARSLALLQLAALLVAYAVITSTAEMLVDPSDPFQPNDPGSGWLDRVPRAAAGVDRVPRAAAAGVDRVPRAAAATVDRVPRAAAGVDRVPRAAAGTDRVPRAAGDHE >ORGLA06G0163300.1 pep chromosome:AGI1.1:6:17142246:17142875:1 gene:ORGLA06G0163300 transcript:ORGLA06G0163300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSGRGRSRGGYRVRSCYATRGYGYRSKCIRGKRTSRLGVSSALFKSLSLLRRRQCQRLPPHCRQSSPHTPAPYGRRRAGSAAGARCHPHQRHEPTAREWGRRRGGQASISDQVVGALRSDRPHHAEPFLDKILTATREFFHLSPDEKVMYNNMVDADVAAVRGSFPRSTASTTSTPTGRSSTGATGYASRSSQRRNVGWISSQNTC >ORGLA06G0163200.1 pep chromosome:AGI1.1:6:17141025:17141399:1 gene:ORGLA06G0163200 transcript:ORGLA06G0163200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTSIFPFPAPAMLCATTGLAAPAQADYVPTPFRALCRTVRRRRRHRRRRCRHRRTNRSRLRDELMMTVHAGRPAVMMPLNYICIWLIKYVRVIKTYAHQRLHTHIVRAANGRALALLSGVQR >ORGLA06G0163100.1 pep chromosome:AGI1.1:6:17134970:17135724:1 gene:ORGLA06G0163100 transcript:ORGLA06G0163100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAGRTSLLATLVVVVSAVIMACSVDVCHGAREGAFSRPDPAPADHRPKDDDVYVPGGGGEGGYGPRGPCYDPLIPGHGGGLIPIRPDLPAPGYGRGRYPCFRPLPYHPTPPLPPPQNGDTPPP >ORGLA06G0163000.1 pep chromosome:AGI1.1:6:17122562:17122765:-1 gene:ORGLA06G0163000 transcript:ORGLA06G0163000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESPSGMSGLRPFQIMEVMENNHDELDEVGFVRKDLYNFFTRYEMKNIKGHDAEDVLKYLTRKHEEDP >ORGLA06G0162900.1 pep chromosome:AGI1.1:6:17121806:17122561:-1 gene:ORGLA06G0162900 transcript:ORGLA06G0162900.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFFKYTTDEGGRLRNVFGPDAESRLDYAAFGAVVIFDSTYCVNKYNLPFIPFIGVNHHRSTTIFGCGILSNESVNSYCWLLEIFLEAMRQVHPKSLISDGDLAMAKAISKVMPRAYHRLCTWYIEENMSPHLRKPKLDELRKLIYESMDEEEFERRWADFKENGGTGNGQWIALMYRLREKWAAAYTDGKYLLGMRSNQRSESLSSKLHTLLKRNMSLMCLVKHVKLCIQRLRKKEAQLDAKSTNSVPFVE >ORGLA06G0162800.1 pep chromosome:AGI1.1:6:17120719:17120913:1 gene:ORGLA06G0162800 transcript:ORGLA06G0162800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSFTSTKSSSNGYFDRIIAVLMAVCMAIINLVRSVKDLAAKRLPDKNESQHKYSTLYPDSMPKE >ORGLA06G0162700.1 pep chromosome:AGI1.1:6:17119674:17120081:-1 gene:ORGLA06G0162700 transcript:ORGLA06G0162700.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDFKEISKDEYFAKNNEFATWSKEEKGKFFSDLSSESARDLFEKFVKTWNKGKLPSQYYEGITRGPRSAHRWNIKAS >ORGLA06G0162600.1 pep chromosome:AGI1.1:6:17115663:17118048:-1 gene:ORGLA06G0162600 transcript:ORGLA06G0162600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTLVCSPHAMSEVILHLSPPQKKAIEVSRLGNLLKINKIHIYRDLCNEIARSYDKEKKAFNINGTFVTMTLDDVDCLLGLPSKGDEIFEAPKINKPELFNLYKKEGQTTITLEALREAIINSSSYDDHFIRRFILFSIGSFICPTTQRYVRSEYLNLVDDVDKMRELNXCSLTLNXLLKGILKFREKETNIEGNVCLLQIWYWEKLRIDKLAATIYHSGRERPLIQYWDKIKEKKRLSYLFGKGQVVDDIRGTIDCKEIPNENAHDNNSETRTNEDFVCTSEEVHSITSIEQSADITLQERIQESIQTLQDNFNDFTKDFWPRMRALILDCMENDSKCPERKDTTHVFEDVEQEQIDPREHVSNHNEEYYINQNENMTCETKDNSNQSNQSRKRLTGPTGRTYKPTNRTDFIYETRGKKKDIIRTQAQTRKTIVYIEKEDLTQQIIDKGPPKNALRGQKKKKNEWPDTVKKFKRVDXKRRPFHYLHXXHGGXQSDGAYXTSGGXMDKNESTNTTRILEXXCHGCIHPMPPL >ORGLA06G0162500.1 pep chromosome:AGI1.1:6:17115164:17115559:-1 gene:ORGLA06G0162500 transcript:ORGLA06G0162500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLAHESVGGFVSHCGWNSALESLAAGKPVLAWPMIAEQHLNARHIVDIVGTGVRVDSGGGAAVVGRAEVEEKIRMLMDAGGEAAQRMRARAAWARRAAMSAVSDGGTSRVALQKLVGELQRSYDDVVIKVG >ORGLA06G0162400.1 pep chromosome:AGI1.1:6:17105139:17106596:1 gene:ORGLA06G0162400 transcript:ORGLA06G0162400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q3B1] MAASANRAAVNGAAGSGEPEARRDHVIIFPFMAKGHTLPLLHFAAALSVHHKSLRVTLVTTPANLAFARRHLPGSVHLVVLPFPSLQPPLLPAGVESTDALPSMSLYPAFLRATALLREPFAEFMASLSSSPPLVVVSDFFLGFTHGVASDAGVRRVVFHGMSCFSMAICKSLVVSPHVGGGAAPFHVSRMPEHVTITPEEIPPTVASFADPDNPIARFMIENVESTDVRSWGVLVNSFAAVDGDYVASFESFYQPGARAWLVGPLFLASGDTPERDEENDDPEGCLEWLDERASRPGSVVYVSFGTQAHVADEQLDELARGLVRSGHPFLWAVRSNTWSPPVDVGPDQGRVVRGWVPQRGVLAHEAVGGFVSHCGWNSVMESLAAGKPVLAWPMMAEQALNARHVVDVVGAGVKVDAAVGSGAVVGSAEVEEKVRRVMDAGGEEGRRMRTQAAWAQRAARSAVSDGGTSRVALQKLIGDLQESY >ORGLA06G0162300.1 pep chromosome:AGI1.1:6:17099163:17100705:-1 gene:ORGLA06G0162300 transcript:ORGLA06G0162300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT5G64790) TAIR;Acc:AT5G64790] MAPPPRLPAGAAALLLLLAVASRAAADGNAVDVGVNWGSQLSHPLLPKSVVQMLKENGILKVKLFDADPWPVGALVDSGIEVMLGIPNDMLEKMNSYGNAQDWVKENVTSYGDKLKITYVAVGNEPFLKAYNGSFMKTTFPALKNIQKALNEAGVGDKVKATVPLNADVYVSPDNKPSSGAFRPDIQGLMTDMVKFLHEHGSPFVVNIYPFLSLYQSDDFPFEFAFVDGGKTIQDKGGISYSNVFDANYDTLVTALKKAGVPSLKVVVGEVGWPTDGDKNANLKLARRYYDGLLKKLSKKEGTPLRPGKMDVYMFGLFDEDMKSILPGNFERHWGIFTYDGKPKFPMDLSGHGNDKPLAGVPGVEYLPKQWCVFDDGAEDKSKLPGNIQYACASGDCTALGYGCSCNGLDEKSNISYAFNMYFQMQDQDVRACDFDGLAKITTKNASARGCAFPIQIISAAAPAVAGVGLSAAALLALLMVLV >ORGLA06G0162200.1 pep chromosome:AGI1.1:6:17096984:17098004:1 gene:ORGLA06G0162200 transcript:ORGLA06G0162200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSSSFKKYADASPASGVGGSDMEAGGEGVVNLDRFFEDVEGVKEDMKGLEALYKRLQSTNEETKTAHDARAVKALRSRMDGDVEQVLRRAKAVKGKLEALDRDNATSRKVPGCGPGSSTDRTRTSVVAGLGKKLKDIMDDFQGLRTRMAAEYKETVARRYYTVTGEKAEDSTIDSLIESGESESFLQKAIQEQGRGQVMDTISEIQERHDAVKDIERSLLDLHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTVELEVAREHQKSSRKWACVAVLAGIILIAVLILPVLINLRILTLR >ORGLA06G0162100.1 pep chromosome:AGI1.1:6:17093200:17096010:1 gene:ORGLA06G0162100 transcript:ORGLA06G0162100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G09750) TAIR;Acc:AT4G09750] MFIQKAWRTAAFGVYGFTQFTKSGFVEHAKKFREEDMQIRLDGKNCLVTGANSGIGFATAEGLASRGATVYMLCRNKERGETALSQIRSKTGNMNVHLEICDLSSISEVKSFATKFSSTDKPLHVLVNNAGLLEHKRVTTPEGLELNFAVNVAATYTLTELVMPLLEKAAPDARVITVSSGGMYTEPLNKDLQFGENNFDGTQQYARNKRVQVALTERWSEKCSNKGVGFYSMHPGWADTPGVSKSLPGLSEKLSGNLRSNDEGADTVIWLALQPKEKLTSGSFYFDRAEAPKHLKFAGTAASHGQIGSIVDSLRSICGI >ORGLA06G0162000.1 pep chromosome:AGI1.1:6:17085438:17086109:-1 gene:ORGLA06G0162000 transcript:ORGLA06G0162000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARVRRANPPPRXSDRAQGKTQCRMNLARRIRPVVGEWAVAAPASHPPRFRTRKKGMTPPTWGRTRPTARREDGAKGSWAASSLRVQMVPPSYPALEARGRTKAASAGPPHRESHRSEVAVLPPWVAPWWPDLETVARSGWRGGAAGMAXVERRLVGSGLLGEEEEEKGNGMVEVNPCVCFLVVRLSLASSPWVRGWRRATAAVYASGYEEIRPRGICV >ORGLA06G0161900.1 pep chromosome:AGI1.1:6:17069201:17069620:1 gene:ORGLA06G0161900 transcript:ORGLA06G0161900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDGGSGVGGADARRRPSRLGGRMETASLGQAMLARGYGRWLGGRVDPTTLGRIRRQLGADTTSPPLGAAAAASDWVDQPPKLTKATDLLLGAVAVALGQRRHPWKRQICGGDDDDDGGDGHCKDDDCSDGCDDDGFGS >ORGLA06G0161800.1 pep chromosome:AGI1.1:6:17056278:17059920:-1 gene:ORGLA06G0161800 transcript:ORGLA06G0161800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G34110) TAIR;Acc:AT1G34110] MAHRGDRFLVVVVVVVVLGVVVRPAAALSADGKALLSLLPAAAPSPVLPSWDPTAATPCSWQGVTCSPQSRVVSLSLPNTFLNLSSLPPQLASLSSLQLLNLSTCNISGAIPPAYASLAALRVLDLSSNALYGDIPASLGALSGLQYLLLNSNRLTGAIPRSLASLAALQVLCVQDNLLNGTIPASLGALTALQQFRVGGNPGLSGPIPASLGALSNLTVFGAAATALSGAIPEELGNLANLQTLALYDTGVSGPIPAALGGCAELRNLYLHMNKLTGPIPPELGRLQKLTSLLLWGNALSGRIPPELSNCSALVVLDLSGNRLAGEVPGALGRLAALEQLHLSDNQLAGRIPAELSNCSSLTALQLDKNGLTGAIPPQLGELRALQVLFLWGNALSGAIPPSLGNCTELYALDLSRNRLAGGIPDEVFALQKLSKLLLLGNALSGRLPPSVADCSSLVRLRLGENQLAGEIPREIGKLPNLVFLDLYSNKFTGALPGELANITVLELLDVHNNSFTGAIPPQFGELMNLEQLDLSMNKLTGEIPASFGNFSYLNKLILSGNMLSGTLPKSIRNLQKLTMLELSNNSFSGPIPPEIGALSSLSISLDLSSNRFTGELPDEMSSLTQLQSLDLSSNGLYGSISVLSGLTSLTSLNISYNNFSGAIPVTPFFKTLSSSSYINNPNLCESYDGHTCASDMVRRTALKTVKTVILVCAVLGSITLLLVVVWILINRSRTLAGKKAMSMSVAGGDDFSHPWTFTPFQKLNFCVDNILECLRDENVIGKGCSGVVYRAEMPNGEIIAVKKLWKTSKEEPIDAFAAEIQILGHIRHRNIVKLLGYCSNKSVKLLLYNYIPNGNLQQLLKDNRSLDWDTRYKIAVGAAQGLAYLHHDCVPAILHRDVKCNNILLDTKYEAYLADFGLAKLMNSPNYHHAMSRIAGSYGYIAPEYGYTTKITEKSDVYSYGVVLLEILSGRSAVEAVVGDSLHIVEWAKKKMGSYEPAVNILDPKLRGMPDQLVQEMLQTLGIAIFCVNPAPAERPTMKEVVAFLKEVKCSPEEWGKISQQPLIKPGSQQG >ORGLA06G0161700.1 pep chromosome:AGI1.1:6:17045035:17049441:-1 gene:ORGLA06G0161700 transcript:ORGLA06G0161700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:I1Q3A4] MAIPTPSSSVVDQPSPPAPASAAAASQPLAGDPAPEAAAAAEGAGGDQTAPAAAAATGASLYVGDLEASVGEDQLVALFSQVAPVASAYVCRDIAGGSKSLGYGYVNFMSREDATRAMENLNFTVVNGKPIRVMFSNRDPTLRKSGLANVFIKNLEPNIDNKSLYEMFLSFGAILSSKVATDFNGKSKGYGFIQFESESSAKDAINGLNGMLANGQKIFVGLFMRRQEREHTGDANNFTNVYVKNLPKHFSDDDLLNEFSSFGAITSAIVMRDANGLSRCFGFVNFEKSECARNAVRNLNGKSIGDMVLYVGRAQKKSERQAELKAKFEHDKNQKFEKLQTVNLYLKNLDDDINDEHLRKLFECFGEVASCKVMLDSHGRSKGCGFVSFATVEDANNAILKMNGKMVGKKPLYVAVAQRKEERKAFLAAHFARVRALATMAPTLGPNISPHHFNFGHGVPALFPPPPPPAGFGFQPNFVPNMMVPYNMQRHPGQRSGPPHGGMPRQMHNPHQMFHQNANQGFRHMPNRRNGVANPAMLHQHHRFSSPMQPMQQAVKHVVPVGELQAPSNNLQTSLASANPEQQREILGDMLFPLVEELVNEKAYKVTGMLLELDKTEVLNLVESPDTLRDKVAEAMKVLELEATATAAASGSGDGDAAAPSFSSAA >ORGLA06G0161600.1 pep chromosome:AGI1.1:6:17040173:17044327:1 gene:ORGLA06G0161600 transcript:ORGLA06G0161600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSRGERVGVVFVLVVVVLCVDVSTLVAAQPLVSSQAKTLLWVRRLLGFPPALDALAGAPDACALPPTPSLTVACAGGQVTELSVLGGRAPDAALPANFSADALFTTLTRLPALSRLTLASLGVWGELPGAKLHRLQALRVLNLTGNCLYGAVPEHLSRMYSLQSLVLSRNRLNGTVPKLSGLAFLDELDLGHNRLGPAFPEVGNAVVRLVLADNNFTGKIPAEVSSLGQLQFLDVSGNRLQGWIPSSIFALPALRHIDLSRNQLAGQLPASTACADALAFVDVSDNLLAGARPACMRGNSSARTVLDAGNCFRDARSQRPSTYCNPGALAAVLPPAQGTGGEQGSGGKGGQVGMALGIVGGVVAGAALIALVMMAVLRRARRQNPEVSVLPKSLPPAKKKAAADGGKAPAKVTQRIVTPAEKRHASQAARVNTLEVPAYRVYTLEELQEATNNFGSSNLIKNSPVVKNYNGQLQDGSRVSLRCLKLKPKYSPQSLTQYMEIISKLRHRHLVSIIGHCIVEDQENPNIASSLCLLSECVTNGSLRSHLTEWRKREMLKWPQRVSAAIGVARGIQFLHDVTAPGIVHNDLSIENILLDKTLTSKISNFNLPLISTSKNGKIFSESPFATSEDNDLGSVPSTEQGDKDDIYQFGLILLEVITGKPTESPKDLDSLKTQISEAIAEDPDLLKDMADPTIRGTFAVESLSTVAEIALNCTASDTSSRPSIEDVLWNLQYSMQVQDGWASSESLSMSTRSQG >ORGLA06G0161500.1 pep chromosome:AGI1.1:6:17027033:17029763:1 gene:ORGLA06G0161500 transcript:ORGLA06G0161500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGDQSAGSAARASKLRYPLRSASRGKGAADAPPTSGSVARSFIPCRPKPSLDVSKSVCGLDLSSVKDNSAKPPRRHSIQTKPGVSPRPTPTGTITPVSLVRSRRSDSQGKFETPISEVSMSTARRKFSTLSSTSYWMTQIRLAEAASKHSISLGFFKLALESECEPLDRMRDELKAYVVRHGLATELEEPVKDILQVYNIVEDFEKLKITVNSSEQPKKSDKAAHSATNVSPKGNLKPRSLNSDAAQSKEAAKKDNIQKKPDAKVRGSYNRNPAKEAISKNTGKKAKKQVKGQKEDCNGGSEALPVGTEQEPVDVVKEVTNEDKENMGDSEMPMDVGIAQEI >ORGLA06G0161400.1 pep chromosome:AGI1.1:6:17014736:17022156:-1 gene:ORGLA06G0161400 transcript:ORGLA06G0161400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAAAASRPPSFTAQTNALLRKNLIFQKRNRKGTIRLIIVPIYLCLLLTILQRVINSVLDKPKFRCGCKCVDVNGTGSCQNVCGIQYSTLDQAGSCPIPNPPEWPALLQLPRPEYRAMQESSLYTGFPDASCRKSQSCAATIPFTGANETLSNIVMQNLFTSSPLSNLSDNASISSLLLGTDVPGTYTGFIEPAFVSDRPIYVLRPQCKASDSVTVPITFGDINIRKEMLCIQGLPLWRNSSAIINEETFNGYRKGKTQEGINEIPMAYDFQDSNEKHFSVLALYNSTYQNVSYVPMPFGLLHISRSLNAVSNAYLQFLRGSGVKMLLAFTKEMPKQETRLRFDFSSVIGPLFFEWVVALLFPVMLTYLVYEKQHKLRTMMKMHGLGDGPYWIIYYAYFLILSMVYLVLFVIFGSVIGLNFFKINDYSIQFVFFFSFMNLQIVLAFLTATFFSKVNTAQAIAYLYIFGSGLIAGSLIRNFIEGGKFPKHWITVLEIIPAFSLYRGLYELGQYAIRASEAGSHGMRWSDLNDHANGMRDALIIIILEWLVLLPVAYYLDHSASVGHKSSFLSLLKNLLKKNPTWRRVSINEVVNDAVHVEMVKQDIIKERETVDQVLQQQSSGYAVVCDDLKKVYHGKDGNPDKFAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGLTRPTSGNAFVREFSIQTDMEKIYNSMGVCPQNDMLWEMLTGREHLQFYGRLKSLNGSDLDTAVNESLRSVNLLHGGAPDKQVRKYSGGMKRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWDAVKQAKRDRAIVLTTHSMEEAEVLCDRLCIMVDGSLQCIGTPKELIARYGGYYVLTMTTSPEFEQEVENLARKLSPNARKVYHLSGTQKYELPKQQVRIADVFMAVENFKRRTEVQAWGLADTTMEDVFVKVAKGAQSSEELS >ORGLA06G0161300.1 pep chromosome:AGI1.1:6:17008282:17009442:-1 gene:ORGLA06G0161300 transcript:ORGLA06G0161300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSLREAFDRVIEKRASSSAKAQEVIDQIVSEVEQAITKMQMMNTDSMGSADHSSILAELKAKLNELAPLNQLEGCQKELNVALSKYLKLLEKSFSPDISKAYRNVDFEASTINSIIANHFYRQGLFDLGDSFVRECGESDGAHLKLQFQEMYSILEAMQVRNLQPALSWAAKNHDQLLQNGSMLELKLHQLQFVEILTKGSRDEALKYARTHLVPFASLHKAEIQKLMACLLWADRLDQSPYAEFMSSTHWEKLAEELTHQFCSLLGQSSESPLGVAVSAGFQGLPTLLKLTTVMAAKKQEWQAMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDENPPMLMPCGHVVSKQSIMKLSKSSSRPFKCPYCPSEAVASQCKQLHF >ORGLA06G0161200.1 pep chromosome:AGI1.1:6:17003041:17006547:1 gene:ORGLA06G0161200 transcript:ORGLA06G0161200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRVFSTGVICHLIFHFLFFQPLAISDETETDRDALLCFKSQLSGPTGVLASWNNASLLPCNWHGVTCSRRAPRRVIAIDLPSEGIIGSISPCIANITSLTRLQLSNNSFHGGIPSELGFLNELQNLDLSMNSLEGNIPSELSSCSQLQILDLQNNSLQGEIPPSLSQCVHLQQILLGNNKLQGSIPSAFGDLPKLRVLFLANNRLSGDIPPSLGSSLTLTYVDLGNNALTGGIPKPMLNSSSLQQLILNRNSLSGELPKALLNTLSLIGIYLNQNSFIGSIPPVTTISPQVQYLDLGENHLTGTIPSSLGNLSSLLQLRLSQNCLDGSIPESLGHIPTLQTLLLNLNNFSGTIPPPLFNMSSLTFLTVANNSLTGRLPLEIGYTLPNIEGLILLANKFKGSIPTSLLNSTHLQMLYLADNKLTGIMPSFGSLTNLEDLDVAYNMLEAGDWGFISSLSNCTRLTKLMLDGNNLQGNLPSSVGNLSSSLQRLWLRNNKISGPIPQEIGNLKSLTELYMDYNQLTGNIPLTIGNLHKLGILSFAQNRLSGQIPDNIGKLVQLNYLNLDRNNLSGSIPLSIGYCTQLEILNLAHNSLNGTIPETIFKISSLSMVLDLSYNYLSGSISDEVGNLVNLNKLIISYNRLSGDIPSTLSQCVVLEYLEMQSNFFVGSIPQTFVNMLGIKVMDISHNNLSGEIPQFLTLLRSLQVLNLSFNNFHGVVPSSGIFANASVVSIEGNDHLCTETPTTGMPLCSKLVDKKRNHSRSLVLVLTIVIPIVAITFTLLCLAKIICMKRMQEEPHVQQLNEHRNITYEDVLKATNRFSSTNLLGSGSFGTVYKGNLHFPFKEKGNLHLQEEHIAIKIFNLDIHGSNKSFVAECETLQNVRHRNLVKIITLCSSVDSTGADFKAIVFPYFPNGNLDMWLHPKSHEHSSQTKVLTLRQRINIALDVAFALDYLHNQCELPLVHCDLKPSNILLDSDMVAHVSDFGLARFVYTRSNAHKDISTSLACLKGSIGYIPPEYGMNEDISTKGDVYSFGILLLEMVTGSSPTDENFNGGTTLHDFVDRALPDNTHEVVDPTMLQDDISVADMMERCFVPLVKIGLSCSMALPRERPEMGQVSTMILRIKHAASNMGVR >ORGLA06G0161100.1 pep chromosome:AGI1.1:6:17000639:17001500:-1 gene:ORGLA06G0161100 transcript:ORGLA06G0161100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLASRARALSAPRRRALALAARPAHLSAFPSRLAPPRYVPHGRVQLAAFRPTRVPTVALLAPGVTPSSMSTIVQGFKH >ORGLA06G0161000.1 pep chromosome:AGI1.1:6:16992013:16994965:-1 gene:ORGLA06G0161000 transcript:ORGLA06G0161000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLFVGVLLTCFLLLSSAFGNGSGRVFSTSQAGKCVGAMGGTAVCKELVNGYYIIHEKGNERTGYITNTYEVRYGFIATMDVYGFSLTPGQLVKPGDERPVFDLYCKTSDPSSPLTDPSHMDEDCPGFRPERGAYIRSGDPIPDISQPNGAKQYITLKVFKDMASGDWLVHYGFNNKHPELVGRIPLSFFKSLSYSTINMWFGGIVVTNVTFQPTPLPPPMGNGYMAVDGGNMAASMKNLQFIDEQGRAWSAENDLIGFSTNENVYTFTSIVGDQLLYGGPFRQASLGAILRTHVLYSFLLMFFFYYLFS >ORGLA06G0160900.1 pep chromosome:AGI1.1:6:16983132:16983605:-1 gene:ORGLA06G0160900 transcript:ORGLA06G0160900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTKLFLERRSSSREERLPSEGGIVPEMQLSLKERYLMGEDMAAIAGIEPTKLFCWRKMAVSDEVLNRDFGTSPLRLLLMSISTWRDELFAKDSGMLPVISLFPRSTYLREKLLPRDGGISSVSLLLVRTSACSLERFPRAEGILPCKLFLLRLIS >ORGLA06G0160800.1 pep chromosome:AGI1.1:6:16975274:16978775:1 gene:ORGLA06G0160800 transcript:ORGLA06G0160800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLGVLSSGLVWLCLSTIFLSLPLAISDEHEDDRQALLCFKSQLSGPTGVLATWSNASQEFCNWHGVSCSTRSPRRVTAIDLASEGFSGSISPCIANLTTLTRLQLSDNSLYGSIPSEIGQLGQLNNLNLSMNSLEGNIPSELSSCSKLEILDLSNNSIQGEIPASLSRCNHLKYVDLSKNKLHGRIPSGFGELPRLEVIVLTTNRLTGDIPASLGCSLSLTYVNLESNALTGIIPESIGNSSSLEVLVLTSNNLTGEIPKPLFNSSSLTAIYLDENSFVGSIPPVTATSPPLQYLYLGGNKLSGTIPSSLGNISSLLDLSLTENNLIGSIPDSLGHIPTLRLLSLDTNNLTGHVPSSIFNLSSLKILSMVNNSLTGELPSYLGYTLPNIEALALSNNRFKGSIPPTLLNASHLSSLYLRNNSLTGLIPFFGSLPNMEKLMLSYNKLEADDWSFMSSLSNCSKLTKLLIDRNNLKGNIPPEIGNLNNLVVLAMAQNNLSGQIPDTIGNLVKLTDLKMSGNIPSTMGHCVVLESLEMQSNLFTGSIPKSFVNLAGIQKMDISRNNLSGKIPDFLANFSLLYDLNLSFNNFEGEVPAGGIFRNASVVSIEGNNGLCARTSVEGIPLCSVQAHKNRRHKSLVLVLVIVIPIISIAIISLVFAVFLWRKRIQVKTNFPQYNEHRLKNITYEDIVKATNKFSSDNLIGSGSFAMVYKGYLELQEDEVAIKVFNLGTYGAHKSFIAECETLRNVRHRNLVKIITLCSSVDSTGADFKALVFQYMRNGNLDTWLHPKAHELSQRKALTISQRVNITLDVAFALDYLHNQCATPLIHSDLKPSNVLLDLNMVAYVSDFGLAKFVYSGLTAHEDTSTSLACLKGSIGYIPPEYGMSKDISTKGDVYSFGILLLEIITGRSPTDGKFNGSTTLHDFVARAFPNNIYQVVDPTMLHVDLDATNLMDNCIIPFVKIGLSCSMSLPKDRPEMVQVASMILEIKHATSNSHDRLS >ORGLA06G0160700.1 pep chromosome:AGI1.1:6:16948815:16955151:1 gene:ORGLA06G0160700 transcript:ORGLA06G0160700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSIGVYKAVFAALGALMLGTLVYTCVTDGSPFRLELLTPWLVATLIDFYVNVTAISTWVIYKEVNWISSFFWVVLLYCFGSIATCAYVVVKLFEIKTSGPSQDPLDLLFLRQGNLSQRKSSFVIIGRIIFSILGAMMAAVVIYTVITDGLPFRKDLLTPWMAATLLDFYINVFAISVWVAHKESNWISTAIWICLLICFGSITTCGYIVIQLFQVSYQDPIYHVLLNTRNKSLNAEQGRLPSIIPSRSVKA >ORGLA06G0160600.1 pep chromosome:AGI1.1:6:16935262:16939324:1 gene:ORGLA06G0160600 transcript:ORGLA06G0160600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKIFKPSTLTSTTRNYYPRPTYADLQFEEMPQIQNMTYYNGKEIVEWNLDGFTEYQIFTLCHQMIMYANACIANGNKEREAANMIVIGFSGQLKGWWNNYLNETQRQEILCAVKRDDQGRPLPDRDGNGNPTGNISDALATLIYNIIYHFAGNYHDIYEKNREQLINLKCKTMSDFRWYKDTFLSKLYTLPEPNQDFWKEKYISGLPPLFAEKVRNSLRKEGGGSINYHYLDIGKITQKIQLVGAELCNDLKIKDQLKKQRILGKREMGDFCYQFGFQDPYVYRKRKTHSKPMTKPNDKSKMSFQATKRKPKRIYNKNIRTQDTESKETICYKCGLKGHIANRCFKSKVKKEIQALLDSESEDVKEKLEAILNNIDNDSSSDEEKNAEINCCQDSGCSCYEPDNSEEESDENILVLTSLEEFVLDTFETIQDPEEKRRVLEKFLSRVKTDKDKLKKDIQTSCGRQKNKKEKAHALNGLAYYFKNFVPSDQKITEKRAKLQDIPHHEERLLDYREEKSRDGQDKLPMEVEQSMATDKNTKVFSDKILISTDPASSMVVFHININNLLQSFPHNKPSLSTKKHDTTPQTPYILHNHPNSFLPQYILRTQPKAPCRSCAYKDSCQKKLKSQNPMSTTYENLQPRLSFRTEQTLSCFGQDILDLVWRKFKERQMKIFLGLQDYFFDLLEGRERNPAIRVIIYMLPLLRLDDQAISDPSYRFLVLKAEINLQRFRNLPTYNHEEISLQTIIDHGLVNSIYATLDQILQSDLGSAVKDVCRRLGHGRYRIIFSSIPPKFTPPVRPAIHYIYIMNGQFNFQEDGPSKLSDEEEEIYTTNANHENWRLFSEAAEIEEAITTDYEYQLVFQNKITRIFISKYYNQSYEYFKGAGRIIKPDFGNECSKKSYYRSLAQWFQKSEPSEIDTVQSAPMIINEDNE >ORGLA06G0160500.1 pep chromosome:AGI1.1:6:16917772:16919121:1 gene:ORGLA06G0160500 transcript:ORGLA06G0160500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSQDQSNCSDTSIISGNLEDLMWEEINDPMEAEIEDQIEAEVEAQLEAELAGSSTWRGGYTRRYINRDHEEDHNRLFAKYFGNNPLYTDDQFRRRFRMRKHLFLHIVEALGVWSPYFQLRRDAFGKVGLSPLQKCTAAMRMLAYGTPADLMDESYGVAETTAIECLINFVQGVRLLFGQQYLRPTQEDIQRLLQFGEAHGFPGMLGSIDCMHWEWQNCPVAWKGQFTRGDYGVPTIMLEAVASADIWIWHAFFGAAGSNNDINVLDQSPLFTEVLQGRAPEVQFTVNGTNYNMGYYLADGIYPEWAAFVKSIKRPQNDKVKLFAQRQESAREDVERAFGVVQKRWAIIRHPARLWEREELADIMYACIILHNMIVEDERGAYDIPDDNTYEQGQFSAQMSELDHGPIYGFADILEKNAEIRDRATHRRLKQDLMDHMWQKFGGQQH >ORGLA06G0160400.1 pep chromosome:AGI1.1:6:16906913:16908601:1 gene:ORGLA06G0160400 transcript:ORGLA06G0160400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGGGRAELAAAVHEAVAAQTAAPSPSTMATAVEEAEYNKRSLIDLGQIKHNISDEINSLDYFLLPVDYVIVELLEVATQIKQPTYYKKSSTNSHLH >ORGLA06G0160300.1 pep chromosome:AGI1.1:6:16891724:16900696:-1 gene:ORGLA06G0160300 transcript:ORGLA06G0160300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQEDGAAAREAERWEGYVDWRNRPAVRGRHGGMLAASFVLVVEVLENLAFLANASNLVTYLMNFMHYSPSQSATTVTNFMGTAFLLALLGGFLSDAFFTTYAIYLISAFVEFLGLVVLTIQARTPSLMPPGCAKVAGAACEPVSGPKKAMLFAGLYVTALGIGGIKGSLPSHGAEQFDEHAPRGRKGRSTFFNYFVFCLSVGALIAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFVAGSRLYRNKVPTGSPLTTIAKVVLAAALARRGGAQSASNGAVIDRAPSPTGSTDMKEYCKPGDICGVADRAAEVATEPSQELVFLNRAVQRQPRCGALSCTVQEVEDVKIVLMVLPIFFSTIMLNSCLAQLSTFSVEQAATMDTRVGGLKVPPASLPVFPVTFIILLAPVYDHIIIPFARRATGTEIGITHLQRIGTGLVLSIVAMAVAAVVEVKRKNVASNAGMLDAAAPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPARMRSLATSLSWASLALGYYLSSVLVTVVNSATGRGGRRAWLQGGNLNHYHLERFYWVMCVLSTLNYLFFLFWAIRYKYRNAGVIKG >ORGLA06G0160200.1 pep chromosome:AGI1.1:6:16810148:16814840:1 gene:ORGLA06G0160200 transcript:ORGLA06G0160200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEASKGRSGGGRERRASSSRQRTDVASELELQEASSSAVSPPHPNPGDAEAAPAAVAIPPAPASLHDLAQGAEAASAAGRSNEKEEQVELRKVQKDIELGALVAGFSFSVAMTGFFLSPQATGRQAIYIDISMFLAFSSFVCGCTFMLLSMQRLSAREEHISGFHHAISKCLFYLCCVLPVLTILCLLLVMPRKPYIYVGLGVLAAAVVPVALMHWYVSRKTQLETNDTAPEDVEQNAMSRKTQKTNGTAPEDDDEQKAMESSYKITSAIVPMSLAGLVGVLFGVYKGGSSSGGAGGDISGSVHVVIMCMFITSMLSMLLMMLWMKVLESKKPKLREFFVRATIPRANAALLALLAIAAFSASFGILRWYMVAAFLTLALAATVQFVIQHCTREQNAVRASHNETQLKWMADMASKTTPWSLGIVMAIFGGFLGDDDKSKDKMVVLKVCMFLSTSAFTSGLGLMYLTMRPGESARGGSSKAAMTILAWSVMVLLSAAALAIYGVEVMKS >ORGLA06G0160100.1 pep chromosome:AGI1.1:6:16802838:16803008:1 gene:ORGLA06G0160100 transcript:ORGLA06G0160100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEAGKQPAMSPSPNTINALRIGCRQRKSSAARGWARGRMTTKGVFNSHQNCKFG >ORGLA06G0160000.1 pep chromosome:AGI1.1:6:16794612:16795040:1 gene:ORGLA06G0160000 transcript:ORGLA06G0160000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVILYSFHPKGFLFFLSLQGEQLCRKPNDAQRDLERGVLVTIFSSAVLMDYLVDGPPWTEGSNGGLVFTATLLLAFATFICGSALMLVGLAGRLFSGGRCVAVVSMCLVVALSAQTLLRLLICAFPYVQDLTSLCRSCMPER >ORGLA06G0159900.1 pep chromosome:AGI1.1:6:16779471:16781106:1 gene:ORGLA06G0159900 transcript:ORGLA06G0159900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRGSDNSTIMSSDLGSGIKRRLTLDFDGNLRLYSLMNDGTWILVSEYVDNDSLDKILFGGQGSQALLEWKQRFNIALEVAKGLAYLHHECLEWVIHCNVKPENILLDENLEPKIADFGLAKLLNRGGSNLNVSRIQGTRGYLASEWVSSLPITAKVDVYSFGVVLLELLKGARVFDLETNKDEEVEMVLGRIIRMLAENSKSDGDEQSWIPDFIDFRLNGQFNNLQARAM >ORGLA06G0159800.1 pep chromosome:AGI1.1:6:16766358:16770208:1 gene:ORGLA06G0159800 transcript:ORGLA06G0159800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLHNLLLLLVCFFSTLLLAQVMSAAAGMAAVKVSTTPIFSKIPRAQTTKDFQVLLRIEAPPLVDLKGRVPIDLVMVLDVDVESVSLXPVKKAMKFAIQQLSDKDSIAIFGPSMSREVIPKFMSIHGSQRVAKKKVDELEGRRIAGPARSSLVEALKMLEEQPASSSDGRAKFIVLVTDGEDITRFNSGMPEWFTAALAKYPVHAFGLGASHDAAALRLIAQRSHGTYSFLDDGNVDKVASALALCLGGLKSVAAVGARVVLKAASGSGVRIDRISSGDYASSVSQVDGGASGEIVIGALYAGEVKSFVVHLYVPAAPPALRTVEGVCCDQQQLLVASLDGQLYTSGGVDVDDAAAPVDLVVERPNAAVLVPSAIVVNQIFQFSVLKMFDTFIDKEILHRTPVTGRNDVDVDDLGRKLLARWEELVLEHQFWVGLDLGSLDGEITAVANSLSKQYIVGTAYIFSWMSSYKMQRPTAMGSPANVVGVFVTLEVHLTLQVAITLPESGGDEGECHECEYTCEEQLPPAPPLLEASGHDGSSYRLNAAYEGVVSLDDINQFMIKIYQGMVKANNLKQCQPRAVA >ORGLA06G0159700.1 pep chromosome:AGI1.1:6:16759796:16763270:1 gene:ORGLA06G0159700 transcript:ORGLA06G0159700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLHNLMLLLPCLIFSTLLHIEAMSVVAPVKVSTTPIFPTIPRAQTNKDFQVLLRVEAPPTADLNGHVPIDVVAVLDVSGSMNDPVAASLESNLQATRLDVLKASMKFIIRKLDDGDRLSIVAFNDGSVKEYSSGLLDVSGDGRSIAGKKIDRLQARGGSGSALMPELQEAVKILDERQGNSQNSVGFILLLTDGDDTTGFRWSRDVIHGAVGKYPVHTFALGAAHDPEALLHIAQESRGTYSFVDDGNLDKIAGALAVCLGGLKTVAAVDTRVSLKAAELGGARIVRVDSGGYESSVACGGASGEVVVGVLYAGEVKSFVVHLHVPAASSTTTFSSVECGYCDAAATVCDHHHHHHCHHRHHQQQLLAIGYSYSHAPGGEAVSIEGHGVFVERPEVAVFSVDGGRQRQTLLPSPVVMQHMVRFELLELVAGFAETEMLSKKGTMQLRGGGARAGDVLQGKWEEFRRARQFWGGVELDGLEEEVDAMVASLRSGLAYVSSWVSSHQMQRATAMGSPEKVIAEFMTPAMVIMLEEARKLPPPLPAAAEAARERRPGCEGGGDLHYVIRQRLELWSKVRREVPLMYQPSSEQEDVQLTALFREASLEAIDRAMHHDIYLMKIYLTMNQMI >ORGLA06G0159600.1 pep chromosome:AGI1.1:6:16747044:16749449:1 gene:ORGLA06G0159600 transcript:ORGLA06G0159600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1Q383] MDAIFTSLLLLTLIHLLLYSSSALESLLPGSPLSVERSSDLLYSPDRTFTCGFYNISPNASTFSIWFSNSSEKTVVWSANPLHPVYTWESKFELKSDGGMLLKDYNGQVVWTNIKLISTNRLLAPGHFSFHFDDQYLLSLFYDEKDLSIYWPDPAQNIWVKHRKPFNSTANGAVDSQGHFLGSDDANFTAADLGPRIMRRLTLDYDGNLRLYSLNDSSGTWSVTWMAFPQLCNVRGVCGINGICVYRPAPTCVCAPGYQFSDPSDWSKGCSPKFNITREQKVRLLRLPNTDFLGNDIRAYPHVSLHDCKKICLNDSNCVGFAYWQGRGDCYPKTALLSGVSLIGSTGTMYIKLPQELKVSDHQVPRSQPFDQKYVKYCTTVDKYFVPDFLDKLKSGQNESKYLYFYGFLSAIFVAEVLFIIFGSLILQREDKQLREIAEVGYEMITNHFRRYNYRELVTATRRFQDAIGQGASGVVYKGVLKDKRVVAVKKLLHINQGEEEFKHELSVIGRIYHMNLVRVWGFCSDDPHRILVSEYVENGSLDKILFDSQESQALLEWEQRFKIALGVAKGLAYLHHECLEWVIHCDIKPENILLDENLEPKIADFGLAKLLHRGGSNLTVSRIQGTRGYLAPERVSSLPITAKVDVYSFGVVLLELLKGARVSDLETNKDEEVEMVLGRIIRMLAENLKSDGDEQSWIADFIDARLNTQFNNLQARVMMELAVSCLEEDRARRPTMESVVEMLVSVDEAG >ORGLA06G0159500.1 pep chromosome:AGI1.1:6:16742992:16743804:1 gene:ORGLA06G0159500 transcript:ORGLA06G0159500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDAPPLPPPPVRYCGVLEDYEQQVDEEPPSPKSPSRVSSPLPLPEQKLSAAYRAELRRQNALIDNGPRYRFRRPGESRRKKKKKKNKKKPKQHYTPPPPPPEPNPPWPAYEVFPTMEPDDPDRMRQSVMCAEAALEHYNAALDVDGRGGGVKYELVRAIISGVIITCRAGYGHVNFIARAAASGGGTLRQEERLFFAEVRNDGESWIPTCLRSLDDEADRVGGLAAADDPPVEIPEITSPSRRNFCFSCNGEIKHPKDGASYHAGHFP >ORGLA06G0159400.1 pep chromosome:AGI1.1:6:16739022:16741433:1 gene:ORGLA06G0159400 transcript:ORGLA06G0159400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1Q381] MVASSASLLLLLIHRLLCISAHDFLSPGASLSEDNVLYSPDGDFACGLYKISPNSCTFSIWFTNSADKTVVWSANPLHPVYTQGSKMELKSDGSMVLTDNSGQIVWTNNVSSSNGEQVQAQLLNTGNLIVKGKGDTILWQSFDSPTDTLLPTQNNTVRIKLTSTNRLLVPGRYSFHFNDQFQLSLFYEENGIPFIYWPNLTRTISGRERMLYNIIPTGTLNSSGHFLESENLTFMAADWGLGIMRRLTLDYDGNLRLYSLNNSSGTWSVTWMAFPQLCNVRGVCGINSICVYTPVPACACPPGYDFIDPSDQSKGCSPRVNITCDVQQKVMFVSLPNTQFLDYDLSPLRYVSLGACENICLKDCNCMGFVYWQGIGKCYPKSVLLSGVSLPHIGSTGTMYLKLPMEEALEKLQLSERSIPQSRPFGPKYGPDCNANKNLDEHKSGQNESKYLYFYGFLSAIFLAEVTFIVFGWFILRREDKLARGISEVGYEMVTNHFRRYAYRELMIATRKFQGEIGRGASGIVYKGILKDMRAVAVKKLLDINQGEEEFKHELSVIGRIYHMNLVRVWGFCSDDPHRMLISEYVENGSLDKILFGDKGSQALLGWKQRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDENMEPKIADFGLAKLLNRGGSKLNVSRIQGTRDYLAPEWVSSLPITAKVDVYSFGVVLLELLKGARVSDLETNEDEEVEMVLGRIIRTLAESLKSDGDGQSWIVEFIDTRLNGRFNDLQARAMMKLAVSCLEEDRGRRPTMESVVEVLVSVDEASSTI >ORGLA06G0159300.1 pep chromosome:AGI1.1:6:16730736:16733213:1 gene:ORGLA06G0159300 transcript:ORGLA06G0159300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1Q380] MVASSASLLLLFTLIHLLLCISAQDFLKPGSSLSVQDVLHSPDGTFTCGFYKISPNASTFSIWFSNLTENPVVWSANPLHPVYTWGSKVELKFDGGMFLKDYAGQIVWANNVSSSDTQYAQAQLLDTGNLVVKGESGNTLWQSFDSPTDTLLPTQSITAATKLVSTNRLLVPGHYSFRFDDQYLLSLFDDEKNISFIYWPNPSMTIWAKLRSPFNSSTNGVLDSWGHFLGSDNATFIAADWGPGTVRRLTLDYDGNLRLYSLDKVDRTWSVTWMAFPQLCKVRGLCGQNGICVYTPVPACACAPGYEIIDPSDRSKGCSPKVNLSCDGQKVKFVALRNTDFLGYDLSVYRFVPLGFCKNICLNDCRCKGFAYWEGTGDCYPKSVLLGGVTLSNFGSTGTMYLKLPEGVNVSRSSFPHSQPLGPKYGPNCNTTNNISIADFLDTLNSGQSISKFLYFYGFLSAIFLAEVLFVILGWFILRREAKQLRGVWPDEAGYEMIANHFRRYTYRELVLATRKFKDGLGRGASGVVYKGVLKDNRVVAVKKLVDVNEGEEEFQHELSVISRIYHTNLVRVWGFCSDGPHRILVSEFVENGSLDKILFGSEGSQNLLGWKQRFNIALGVAKGLAYLHHECSEWVIHCDMKPENILLGENMEPKIADFGLAKLLNRDGSNIDISRIRGTRGYLAPEWVYSLPITAKVDVYSFGVVLLELLKGVRVSELEKNDDEDVKMALGRVIRLCSEQLKSDGDDEFWIADFIDTRLNGQFNSAQARMVMELAVSCLEEDRVRRPTMEYVVQKLVSVDEISSTPAIEGSEELDSTGPSSLSY >ORGLA06G0159200.1 pep chromosome:AGI1.1:6:16722940:16728555:1 gene:ORGLA06G0159200 transcript:ORGLA06G0159200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:I1Q379] MPSHADLDRQISQLRECKFLGEAEVRALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGNSPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALVENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLTLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >ORGLA06G0159100.1 pep chromosome:AGI1.1:6:16708492:16717275:-1 gene:ORGLA06G0159100 transcript:ORGLA06G0159100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 12 growth stages; CONTAINS InterPro DOMAIN/s: RNA polymerase II-associated protein 1, C-terminal (InterPro:IPR013929), RNA polymerase II-associated protein 1, N-terminal ( /.../ro:IPR013930); Has 276 Blast hits to 220 proteins in 102 species: Archae - 0; Bacteria - 2; Metazoa - 151; Fungi - 65; Plants - 41; Viruses - 0; Other Eukaryotes - 17 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G38440) TAIR;Acc:AT4G38440] MDDAAERRRRQQQQPGAAHPARRKVVEEPFDPSPPPAAAVAPPSSRLVGAIVEKGFSSGAAAAAPSSAPSPTVLPFPVARHRSHGPHWKPAARDAAKAEGEGEEEEGMDVDETDYQPVAAAAGPVKRKEKKGMDFSRWREFVADDAPPKRRQAKPLQPKKQTAQKIDAGVVAATAGGGAQEKRSRGIGMQLEIGNGKEELGGAGLMSDVVPRKPMKHVDARDDVRNVELQGEGMELDSGEPSLTAEINAENMARLAGMSAGEIAEAQAEILNRMDPALVEMLKRRGKEKSGRRKDGGKGKGGEISGPGKISKAMPGEWLSAGEHSGHSWKAWSERVERIRSCRFTLEGDILGFQSCQEQQHGKKVHVETVGERDFLRTEGDPTAVGYTINEAVALSRSMVPGQRVLALQLLASILNRALQNLHKTDLIDNIKESNGDDKFNDWQAVWAYAIGPEPELVLSLRMSLDDNHDSVVLNCAKVINAMLSYEMNEMYFDVLEKVVYQGKDICTAPVFRSKPDQNGGFLEGGFWKYNTKPSNILPHYGENDEEEGDEKHTIQDDVVVSGQDVAAGLVRMGILPRICFLLEMDPHPILEDNLVSILLGLARHSPQSADAILNCPRLVQSVVKLLVKQGSMEIHSSQIKGVNLLKVLSKYNRQTCFNFVNNGVFHQAMWHWYRKAYTLEDWIRSGKEPCKLTSALMVEQLRFWRTCISYGFCITHFTDFFPILCLWLSPPMFQKLSESNVVAEFSSIATESYLVLGALAQRLPLLHSVEQLSKQDMGLSGIQVETWSWSHAVPMVDLALSWLCLNDIPYVCSLISGQSKNILEGSYFALVISSVLGMLDSILERISPDSTHDGKSYCLPWIPDFVPKIGLGVITNGFFNFLDDNAVELEQHTSFHGSSLVQGLFHLRSQGNVDTSLCSISCFQRLLQLSCSIDRVIQNATTNCTEHLKESKTGIAGRILEQGICSFWRNNLLDMLTSLLPMISSQWSILQNIEMFGRGGPAPGVGLGWGAYGGGFWSLNFLLAQLDSHFVLELMKILSTGPEGLVIVNKSVNPIVQEGNNVTDSVAITSERISSVLSVSLMAGPGQISTLEKAFDILFHPSVLKFLKSSVLDSHMKLAKAFEWDITEDEYLHFSSVLNSHFRSRWLVIKKKHSDEFTRNNNGTNVPKIPETLETIQEETELAEAVNPPCSVLAVEWAHQRLPLPVHWILSAVCCIDDPKANLSTSYAVDVSKAGLFFLLGLEAISAAPCLHAPLVWKMHALSASIRSSMDLLLEDRSRDIFHALQELYGLHLDRLCHKYDSAHSVKKEGSASVDEEKVTRTEVLRFQEKIHASYTTFVESLIEQFAAVSYGDVLFGRQVAIYLHRSGEPTIRLAAWNALSNAYVLELLPPPDKCVGDVQGYLEPLEDDEGILESYAKSWTSGALDKAFQRDAMSFTVARHHLSGFVFQCSGSGKVRNKLVKSLIRCYAQKRHHEDMLKGFVLQGIAQDSQRNDEVSRRFEIMRDACEMNSSLLAEVERLKTSIDR >ORGLA06G0159000.1 pep chromosome:AGI1.1:6:16690631:16694676:-1 gene:ORGLA06G0159000 transcript:ORGLA06G0159000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSLASSSGGGDAASASASASAAAAAGAIVVAVAVRGDGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMYAEDRRARAQEEVFLPLRRLFARTTVETVILEEPSVTAALVRYAADSGVRNLVVGSTSLNWFKRILRLRDVPSTVLKAMPCSCNVFVVSRHRLTIKFANQARTSKSSACVRTQSISHKSFSRIQKNWLLDKQSLHDHPEDGTPKSSGDTSYAGSHTCSSRSTSTNAGKSSGSHGRSLFGSLGRKTPGRDVNTDPDAIGRLKEIPYVALSSIDEDLQSQPVDEVAKLRKELQDTLVMYDKACEDLVHAKKKIKVLSSECTEEAKKVQDALHREELLKQKVADEKTRHLEAVTEVEMAKTLFAQEAFSKHKAEIVADMVTAEKTKVMDALLSTGKSCRRYSKREIQLATDNFSDAKKIGEGGYGNVYRCTLDHTEVAVKVIQQDSSDKIDEFLREVEILSQLHHPNLVLLLGFCPEIGCLVYEYMENGSLEDQLINNKGQQSLHWFLRIQIIFEVACGLAFLHATKPEPIVHRDLKPGNILLDKNYVSKIGDVGLAKLISDIVPEGLTEYRDTAVAGTLYYMDPEYQLTGTIRPKSDVYALGIIILQLLTGKRPHGLILSAEEAIKKDSISDVLDSSQIDWPIAEAEILAKLAVRCTALKCRDRPSLESEVLPEIESILSRITASPTLRSPNAAVPSHFICPILQEVMDDPYVAADGHTYEHRAIKAWLKKHKTSPVTKQRLQYLSIIPNHSLRVAIQQWKSQSS >ORGLA06G0158900.1 pep chromosome:AGI1.1:6:16686170:16689639:1 gene:ORGLA06G0158900 transcript:ORGLA06G0158900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPPPPLRHALEEMPIAIETEKAKDQHYELPTTFFKLVLGRNLKYSSCYFPDESSTLEDAEVAMLELYCERAQLQDGQTILDVGCGWGSLSLYIAKKYSKCSITGICNSTTQKAFIEEQCRENELSNVEIIVADISKFEMERSFDRIISIEMFEHMKNYKALLKKLSRWMKEDSLLFVHYFCHKTFAYHFEDNNEDDWITRYFFTGGTMPSANLLLYFQDDVSIANHWLVSGTHYARTSEEWLKRMDKNITSIRPIFEKTYGKESATKWIAYWRTFFISVAELFGYNNGDEWMVAHFLFRKK >ORGLA06G0158800.1 pep chromosome:AGI1.1:6:16679682:16680725:1 gene:ORGLA06G0158800 transcript:ORGLA06G0158800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHPRDDDLDLAAGEAAAPVKSGDGGETPTMGAAMDKERQIPVDPVSLRHLGMVADEDSPLSAPSVLTEVVVRSSSPMLPPLRRPTFVAASLPCSATSSPVHGAAETDKPAAATPSPTAAMRALARQHSVALAHYVAAPGAAAPVLARSASRAEGRSMVPHDDEGDAEAPKAIAAGEDEGFNCGALCMFIPGFSKKKSSAAAAAAVVSSMQRQQSVGARPRRSSSVSRLASLERFECGSWSPPPPMAPAEHLAQEVAKSSCADDTEAPVKMAFVFDHGEPRGILKKSASSRQEPARPSASSSQRHVRFSTAAAAAAASCPTSPCVTPRLARARAEFNAFLEAAQSA >ORGLA06G0158700.1 pep chromosome:AGI1.1:6:16676748:16677848:-1 gene:ORGLA06G0158700 transcript:ORGLA06G0158700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACC oxidase 1 [Source:Projected from Arabidopsis thaliana (AT2G19590) TAIR;Acc:AT2G19590] MEIPVIKMDELHGEKRSETLQLLHDACAQWGFFWLENHGINEDLMYKIKGLVNKQYEQSMEKNFYNSETAKNLGPDNVVSNVDWECSFMYRHQPESNIHDIPELVRTTLPEYAEEVIKLAERLAEVMSENLGLDKDYLKKAFSNPSVGIKAAKYPRCSHPEFVMGLRGHTDAGGIILLLQDDLVPGLEFLKDGRWMPIPPTQGNRIFVNLGDQVEVISNGIYKSICHQVVPNKNGSRLSIATFYNPGPDAIVFPAQKLTYPSQYRFKDYLEFYSTTKFTDKVSRFQTTKMIFK >ORGLA06G0158600.1 pep chromosome:AGI1.1:6:16672969:16674423:1 gene:ORGLA06G0158600 transcript:ORGLA06G0158600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Fanconi Anaemia group E protein, C-terminal (InterPro:IPR021025); Has 41 Blast hits to 41 proteins in 17 species: Archae - 0; Bacteria - 0; Metazoa - 10; Fungi - 0; Plants - 27; Viruses - 0; Other Eukaryotes - 4 (source: /.../Link). [Source:Projected from Arabidopsis thaliana (AT4G29560) TAIR;Acc:AT4G29560] MEQWLPLFRYLLASPAPNAAAFSSSSSSSGDDDVHCPTAPPPAAALLRLLLSPAPTLPASDPPAILFQTLPPLAQSQALSFLASSAGLLDSALARSLATRILSEPSGRYGLWARRGARHLLDGLPQGGGIDAPGEFLDGFHEPPQWLKEAAARTRPALPWLPLDRHSVKVGVCSGRYGFDRVGLDSLVLEKDEDSEMQEAKCVPSPSQPAALGTLSVQRALALQKEILMAESILVAQRVAKDLQQLCVESGNAEAVLSIVQPWKADDDTVRVLLSSLVLDGDGMHRKGPALMLCSLFLPKLLEIQRPVSSVLLSAALDLCKRHPAAALEAILLPLVLRKEGLNVPQCDVLTRIVKDCMHPLHVTAFCHRLLSGDEREWRPVCMPEHRSNISSNLVWTESLFALLYSILNQDICLTSSSTENLVSVIDEMASKLPRSLKFGNFLLCFISKCWRVSKIHSVLLERAAEKTDTFLTKAILAKLRTAN >ORGLA06G0158500.1 pep chromosome:AGI1.1:6:16664524:16670453:1 gene:ORGLA06G0158500 transcript:ORGLA06G0158500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:I1Q372] MSGGAVAFLLLVATAAVANAAVTYDHRSLTINGQRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPVQGQYYFSDRYDLVRFVKLVKQAGLYVNLRIGPYVCAEWNYGGFPVWLKYVPGISFRTDNGPFKAAMQTFVEKIVSMMKSEGLFEWQGGPIILAQVENEYGPMESVMGSGAKSYVDWAAKMAVATNAGVPWIMCKQDDAPDPVINTCNGFYCDDFTPNSKNKPSMWTEAWSGWFTAFGGTVPQRPVEDLAFAVARFIQKGGSFINYYMYHGGTNFDRTAGGPFIATSYDYDAPIDEYGLLRQPKWGHLTNLHKAIKQAEPALVAGDPTVQNIGNYEKAYVFRSSSGDCAAFLSNFHTSAAARVAFNGRRYDLPAWSISVLPDCRTAVYNTATVTAASSPAKMNPAGGFTWQSYGEATNSLDETAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSGEQFLKSGQWPQLTVYSAGHSVQVFVNGQYFGNAYGGYDGPKLTYSGYVKMWQGSNKISILSSAVGLPNVGTHYETWNIGVLGPVTLSGLNEGKRDLSKQKWTYQIGLKGEKLGVHSVSGSSSVEWGGAAGKQPVTWHRAYFNAPAGGAPVALDLGSMGKGQAWVNGHLIGRYWSYKASGNCGGCSYAGTYSEKKCQANCGDASQRWYHVPRSWLNPSGNLVVLLEEFGGDLSGVTLMTRTT >ORGLA06G0158400.1 pep chromosome:AGI1.1:6:16640772:16642962:-1 gene:ORGLA06G0158400 transcript:ORGLA06G0158400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetraspanin2 [Source:Projected from Arabidopsis thaliana (AT2G19580) TAIR;Acc:AT2G19580] MAVSNNITACVNFLALVCAVPVVATGVWFASKQGDDCARVARWPLAILGAALLLVALAGFAGAYWNRRGLLAAYLFAMAALITLLLALLVFAFAVTRPSGAYPAFARAYDDYRLDGYSTWLRDRVAGDPRRWEGIRACLAASDTCRKLAQESVFFITPEQFYQSHLTPLQSGCCKPPTVCGYAYVSPTVWVNPANPAADADCAAWGNDPSQLCYECSSCKAGMLGTLREQWRRANVALVIATVALIFFYVIGCSAFKNAQTEDLFRRYKWRN >ORGLA06G0158300.1 pep chromosome:AGI1.1:6:16635174:16637994:-1 gene:ORGLA06G0158300 transcript:ORGLA06G0158300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRAQLTTFLIVTSFLSTVTYLGAPVHGGVLTSYDVSSLDIMSKIHTEHDATTKASSDFGHIVHATPNGVFRPTFPADIAALIRLSLSQPTPFTVAPRGKGHSSRGQAFAPGGIVVDMSALGDHGHHTSHRIDVSVDRMYVDAGGEQLWIDVLHTALKHGLTPRVWTDYLRITVGGTLSNAGIGGQAFRHGPQISNVHELDVVTGMGEMITCSPEVNSALFFAVLGGLGQFGVITRARIRLEPAPKRVKWVRIAYSDVHPFTTDQELLISKRASGSGFDYVEGQVQLNWTLTQGRRSSSFFSATDLARLAGLAIDTGSVAIYYIEGAMYYDDNTAASVDQKLDALLEELSFVRGFVFVRDASYVEFLDRVGREEQNLRSAGAWDVPHPWLNLFVPRSRILHFDAAVFKGILRNANPVGLILMYPMNKDMWDDRMTAMTPDEDVFYAVGLLRSAVAGGSGGDVEQLERENAAVLELCDLAGGGIGCRQYLPHHASRDGWRRHFGAKWGRVADLKARIKIVDSKEWRNF >ORGLA06G0158200.1 pep chromosome:AGI1.1:6:16608178:16609591:1 gene:ORGLA06G0158200 transcript:ORGLA06G0158200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYMSQLSAALPLMEGEHHHHHQDHHQGHFQAFSMQPKDPPVLFPFVISRRSSSSSPSDSTTLSYGSDHHLTQQQQHQHQALLEPQHMIGGSSAGIFATPFPTVESIRDDMIERSPFDPYDTDKLQASCGLAKVVAGGKWSAVPAAKMKITRKMGEPSSGVTGGAATTAAPKKPRRRPAQAYEDHGHGGAMGQAFGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMASGLPASPNAAGPKAAAAAAAAAQPKVKKEKRADVDRSSLPFKKRCKAVQVEDHQTPPAATNAAAAAAIEETAESATVAPPPAPATRGGTLVDSIGLSWSKTHAAATAAATASCSFRPSPVAPGFAAAVQDEITDAAMLLMTLSCGLVRS >ORGLA06G0158100.1 pep chromosome:AGI1.1:6:16592134:16595298:-1 gene:ORGLA06G0158100 transcript:ORGLA06G0158100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHCGSGNKNAFKALIAAEYTGVKVELTKNFEMGVSNKTPEFLKMNPLGKIPVLETPEGAVFESNAIARYVARLKDNSSLCGSSLIDYSHIEQWMDFSATEVDANIGRWLYPRLGFGPYVPALEEFAITSLKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYYGFVRILIKSFTSEFPHVERYFWTMVNQPNFKRVIGDFKQAESVPPVQKKAAPPKESKAKEAKKEAPKEAPKPKVEASEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREIAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSTPPFKVKGLWLFRGQDIPKFVMDEVYDMELYEWTKVDLSDEAQKERVNAMIEDQEPFEGEDLLDAKCFK >ORGLA06G0158000.1 pep chromosome:AGI1.1:6:16586667:16589608:1 gene:ORGLA06G0158000 transcript:ORGLA06G0158000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKPSRPLPLAAPPRAARLHRCAPANQKHPPLVLSRFLRARLAAADASPDPIRGRFLPVSRLQLSWSPEKGLCLPPPAAPEGRRQCLPPPTAPRLPRPDRLVLGPAAGQGRPDRLQCQGLKAVNKIGLSSERNYSRGHVTFVTVFTTYNSDPAEASKLPSNVVTVGKHSYSKVGRSMAILNTFIGFIQVSMPRSNVIILTDPNSKLTHGSAVILPIEGNYSRGNLMLQRIRSYIAFLEQRLEELETVEDINHLIFTDSDIAVVTDLGHIFEMYPHCHLALTFRNNKGQPLNSGFVAVRGTRDGIFKAIEFFKEVLEAYHLKYMEASRMLGDQLALAWVVKSYLPSVFSKFSKHEAFTGEVNGTSILFLPCAVYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLEAWNFYNSTSELSDMLCLILRSGRTKYDF >ORGLA06G0157900.1 pep chromosome:AGI1.1:6:16579786:16583486:-1 gene:ORGLA06G0157900 transcript:ORGLA06G0157900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) TAIR;Acc:AT5G26040] MASSAPSAAAGATPPDPLRRDRILSSKLYLDVPGSKAPVVYSPAYDIAFLGIEKLHPFDSSKWGRICKFLTKEGHLEKNRVVEPLEATKDDLLVVHSESYLNSLKSSLKVASIVELPPVAFIPNWLVQQKLLYPFRKQVGGSILSAKLALERGWAINVGGGFHHCSAEQGGGFCAYADISLCIQFAFVRLNISRVMIIDLDAHQGNGHEKDFANDGRVYTLDMYNAGIYPYDHVAKRYIDQKVELVSGTKTEDYLDQLDKALKVAESRFQPQLIVYNAGTDILDGDPLGRLKISPQGVVIRDEKVFRFAKDQSIPLLMLTSGGYMKSSARVIADSIINLSNKNLIELGSQLG >ORGLA06G0157800.1 pep chromosome:AGI1.1:6:16575638:16579483:1 gene:ORGLA06G0157800 transcript:ORGLA06G0157800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFALRPDARARAMGRAAARLPGCLYLCLWAPAAAIAGGVQPNHLFCLDAWIGGGGGVGAGGGGGDRALELFEAYRGALCAAVSGCVPGWAYKEGAACMELTEHDLAASASLQVQQQFYHETGTKMAVFMGCDSGEIEVGLSAASATATAAVVGEMQQSILEELLQMPPPPPSPSSSSLLSLSVGSPEYSSLVRSMATSVGASAAADPSPVHGGLLAPVYGEFPGSDDDAAMAQAMLAVISTPAPPPPPWRPPRRRARSSSSPRRATAFKAYNAALSPRARPRPGAPGQRMIKTGISLLASVHMQTRSRELAAARQRDTHAAPPPPPPPPPPSSSQLHHMISERRRRERINDSFQTLRALLPLPPDSKKDKAAILASTTEYMDKLISQVSELGEKNRQLEAQLAARSGEAQWLAASGGGGGGESSSERVQVDVVIAGSSASTDQPREVSIRVTVRAECDVSELVVSVLARLREMGRFAVVSVDAGRRSSSFAQASLTLRVMNYKYKTNSVTVGKSQLIVHTEKYKAGDVCDETSLKEAVAKAVDSAAGGATATDIAVAFFKFQRTPFMHSKNYDQSDDLEGEAKLLPLDS >ORGLA06G0157700.1 pep chromosome:AGI1.1:6:16567391:16568812:-1 gene:ORGLA06G0157700 transcript:ORGLA06G0157700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERTDEAAAKVIGHKCAIHYVEEYSRRGNYNRTYDMWVWTDEPRAIPRGGSFAITDADEEGLPTDIPLPEMDPLRNPPPSEPKNGWTYNVLVHVDTLEDLHTRKARAYKWDYEFXDDGISFKEYPLPCRAEPDHARGPDDEDDDKEDHSRSRHRSRSLWDRISGRSSSWSREPDRRDGQHRDQERRGRSRGKERYDHRSRSHRSREPSEGREDVLEILESHLDPMLHEAAVNHSIYSPDTNSPHVSSPVFVTSFAGPTAAPTLSSPAAAKDSQIDEFINDIATPIQPPLLPTPDVNVRKPSRVKVKIPAIASAQRHSERLLCKRRANAKFESFAQEILSKKFGIMNDSASFDDNVKKLYLQRYKKPLSPASLKTIADLVEKGGYKAIRLKVPKKKASVAPL >ORGLA06G0157600.1 pep chromosome:AGI1.1:6:16554770:16555225:-1 gene:ORGLA06G0157600 transcript:ORGLA06G0157600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPIASASNRVTTPLAGSRVVNHMPPTRAVARPCDTAGSYTRATATSQSPWKGHQVEGVQHLAQFILVVVDIAKHVHWLLRELGDGSQNICHLPEPLHLVEACEGDAGVWVEVKQHLDELPCVRCQPRRAAEVTLPHLVIDAHQVLVLEQ >ORGLA06G0157500.1 pep chromosome:AGI1.1:6:16530824:16552257:-1 gene:ORGLA06G0157500 transcript:ORGLA06G0157500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVSGGAGGVGAAAVVGVFVAAAVVGGFVAAVALVERAGVIAPRKRPNAPPAVPGLPIIGNLHQLKEKKPHQTFAKWAEIYGPIYTIRTGASSVVVLNSTEVAKEAMVAKFSSISTRKLSKALTVLTRDKSMVATSDYGDFHKMVKRYVMSSMLGTSAQKQFRDTRDMMIHNMLSTFHKLVKDDPHAPLIFRDVFKDELFRLSMIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFPYLSWVPNKSFETRVFTTETRRTAVMRALIKQQKERIVRGEAKTCYLDFLLAENTLTDEQLTMLVWEALIEAADTTLVTTEWAMYELAKNPDKQARNIVTLFQLIVGILGIINQSFLFLAFFLLSCQERLYQEIREVCGDETVTEEHLPRLPYLNAVFHETLRRHSPVPLIPPRFVHEDTKLAGYDVPAGTEMVINLYGCNMNRKEWESPEEWVPERFAGGRLEVADMYKTMAFGAGRRACAGSLQAMHIACAAVARFVQEFGWRLREGDEEKVDTVQLTAYKLHPLHVHLTPRGRV >ORGLA06G0157400.1 pep chromosome:AGI1.1:6:16506208:16515304:-1 gene:ORGLA06G0157400 transcript:ORGLA06G0157400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAAGAGGIGVAAAAVGGFIAAATLAVAPPKNRRNPPPAVPGLPIIGNLHQLKEKKPHQTFTKWAEIYGPIYTIRTGASSVVVLNSTEVAKEAMVAKFSSISTRKLSKALTVLSHDKSMVATSDSGDFHKMGKRYIMLSMLGTSAQKQFRDTRDMIINNMLSTFHQLVKDDPHAPLIFRDVFKDELFRLSMIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFPYLSWVPNKSFETRVFTTESRRTAVMRALIKQQKERIVRGEARTCYLDFLLAENTLTDEQLMMLVWEALIEAADTTLVTTEWAMYELAKNPDKQERLYQEIREVCGDEAVTEEHLPWLPYLNAVFQETLRRHSPVPLIPPRFVNEDTKLAGYDVPAGTEMVINLYGCNMNKKEWESPEEWAPERFAGGRFKVADMYKTMAFGAGRRVCAGSLQATHIACAAIARFVQEFGWRLREGDEEKVDTVQLTAYKLHPLHVHLTPRGRM >ORGLA06G0157300.1 pep chromosome:AGI1.1:6:16478101:16484984:-1 gene:ORGLA06G0157300 transcript:ORGLA06G0157300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMLVAGAGAAAVAAVGGLVAAAALADKLVAAPPPRKNRANPPPAVPGLPIIGNLHQLKEKKPHQTFAKWSETYGPIYTIKTGASPVVVLNSTEVAKEAMIDKFSSISTRKLPKAMSVLTRKSMVAISDYGDYQKMAKRNIMIGMLGFNAQKQFRGTRERMISNVLSTLHKLVSLDPHSPLNFRDVYINELFSLSLIQSLGEDVSSVYVEEFGREISKDEIFDVLVHEMMMCAVEADWRDYFPYLSWLPNKSFDTIVSTTEFRRDAVMNALIKKQKERIARGEARASYIDFLLEAERSAQLTDDQLMLLLSESILAAADTVLVTTEWTMYEIAKNPDKQELLYQEIREACGGEAVTEDDLPRLPYLNAVFHETLRLHSPVPVLPPRFVHDDTTLAGYDVAAGTQMMINVYACHMDEKVWESPGEWSPERFLGEGFEVADRYKTMAFGAGRRTCAGSLQAMNIACVAVARLVQELEWRLREGDGDKEDTMQFTALKLDPLHVHLKPRGRM >ORGLA06G0157200.1 pep chromosome:AGI1.1:6:16463308:16463877:1 gene:ORGLA06G0157200 transcript:ORGLA06G0157200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPHIVLVAHHGCAASLITFSGLAVSLDVHSAAAIASLPASSVAAVTLPEVKLDDNPTGGLAPYSPPSLSTSPWTPHRTPPDGEILHLLHLYYLVLATEGQDKRQRRRRRGQQGGGGRGVGRVAGEADPRADAMADAAGDGAAGRRGDVPRQEAAVQPCRRHVQPAYVTACWRSHRGELRQLRHTR >ORGLA06G0157100.1 pep chromosome:AGI1.1:6:16433537:16443093:-1 gene:ORGLA06G0157100 transcript:ORGLA06G0157100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAAGAGGIGVAAAAAVVAATLAVVPPKDRGNNPPPAVPGLPVIGNMHQLKEKKPHHTFTKWSKTYGPIYTIKTGASSVVVLNSTEVAKEAMIEKFSSISTKKLPKALSVISRKNMVSISDYGDFYKMAKRNIMLAILGFNAQKHFCDTRERMVSNVLSSLHKLVAVDPHSPLNFREVYTTELFGLSLIQNLGEDVCSVYVEEFGREISKEEIFHVLVHEILSCVVEPDWRDYFPYLSWLPNKSFETIVSSTEFRRDAVMNALIKRQKERIARGEARISYIDFLLEAKNSTQLTDHQLMLLLAESIAAAVDTVLVTTEWAMYELAKNPDKQERLYREIREVCGGKAVTEEDLPRLPYLDAVLHETLRLHSPVPVLPTRFVHDDTTLAGYDVPAGTQVMINVFGCHMDEEAWESPGEWSPERFLGEGFKLADRYKTLAFGAGRRTCAGSQQAVSIACVAIARFVQELQWTLREGDGDKEDTMQYTALKLHPLHVHLKPRGS >ORGLA06G0157000.1 pep chromosome:AGI1.1:6:16432087:16432617:1 gene:ORGLA06G0157000 transcript:ORGLA06G0157000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAHGRVVCLVRHSAARLAGNTLSRRTYSSGPEYSRPCRLTNAANCAPSSSLGGYPSITCQMMPPPLPDALEAGAANNDHHDEKDEPCNSHGGSEEAAMEAGGVDAYPLTAVRGTAMARHMRLITSNLAPSDDAYYPRAVMVNKAILRSRRRNWDDG >ORGLA06G0156900.1 pep chromosome:AGI1.1:6:16426754:16427392:1 gene:ORGLA06G0156900 transcript:ORGLA06G0156900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLFCSGKAAARGEFVKLVFPGGHVELLDRAVPAAEVMARHPRFCVARPDVFRDPAAAGAVAAPDAVLALGRKYYVVPRSTVRRLQIMHASSSPHAGGGGGVSLKRHLAGAGGHERGYKVVGRRKSWLRLLVSGGGGEPQQSGPRDGGVSHGGKDEAAVVGDVSDVRETKENGKPPRNGGSPARRRRRLASPASSASYSWQPSLHSITEE >ORGLA06G0156800.1 pep chromosome:AGI1.1:6:16408082:16412690:-1 gene:ORGLA06G0156800 transcript:ORGLA06G0156800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVKDGAADLEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKSLEKGKHAEGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRSGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDATH >ORGLA06G0156700.1 pep chromosome:AGI1.1:6:16399954:16404017:-1 gene:ORGLA06G0156700 transcript:ORGLA06G0156700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XAIASGTGCCRGRRLLLAAALLLSAASPARAFYLPGVAPRDFQKDDELQVKVNKLSSTKTQLPYDYYFLDYCKPEAIKNSAENLGEVLRGDRIENSVYNFKMRRDETCKVVCRSKLSPEAAKNFKEKIDDEYRVNMILDNLPVVVPRQTREGSQTPSFEHGYRVGYKLKDDKYYINNHLSFKVLYHEDSNSPDARIVGFHVIPSSIKHEYSAWDDKNPTVQTCNANNKITPGSHTPQDVVPEAYVVFSYDVTFEASEIIWASRWDVYLLSSDSQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLDNQDEAQEETGWKLVHGDVFRPPVHSGLLCVYVGTGVQFFGMTLVTMMFALLGFLSPANRGGLMTAMVLLWVFMGVLAGYTSSRLYKMFKGTEWKKITLKTAFMFPGIIFALFFFLNALIWGEKSSGAVPFGTMFALFLLWFGISVPLVFVGSFLGFKQPAIEDPVKTNKIPRQIPEQAWYLQPAFSILAGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYAIFYFFNKLEITKLVSGILYFGYMLIISYAFFVLTGTIGFYACFWFVRKIYASVKID >ORGLA06G0156600.1 pep chromosome:AGI1.1:6:16395671:16399383:1 gene:ORGLA06G0156600 transcript:ORGLA06G0156600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVQLLVAAAAAAMAAATVEVTWDVEYILWAPDCQQRVMIGINGRFPGPNITARAGDVISVTMNNKMHTEGVVIHWHGIRQFGTPWADGTASISQCAVNPGETFIYKFVADKPGTYFYHGHFGMQRAAGLYGSLIVLDSPEQPEPFRHQYDDGGELPMMLLSDWWHQNVYAQAAGLDGKDRHFEWIGEPQTILINGRGQFECTLGPTRKSFERLLNENVETCVDDQKMCSDQEKCLRRSECGPYCPRSQCAPVVFNVQQGKTYRLRIASTTSLSLLNVKIQGHKMTVVEADGNHVEPFVVDDIDIYSGESYSVLLKADQKPASYWISVGVRGRHPKTVPALAILSYGNGNAAAPSLQLPAGEPPVTPAWNDTQRSKAFTYSIRARKDTNQPPPAAADRQIFLLNTQNLMDGRYRWSINNVSLTLPATPYLGAFHHGLQDSAFDASGEPPAAFPEDYDVMRPPANNATTASDRVFRLRHGAVVDVVLQNANMLREEVSETHPWHLHGHDFWVLGYGDGRYDPAAHAAGLNAADPPLRNTAVVFPHGWTALRFVANNTGAWAFHCHIEPHLHMGMGVVFIEGEDRMHELDVPKDAMACGLVARTAATPLTPATPLPPSPAPAP >ORGLA06G0156500.1 pep chromosome:AGI1.1:6:16381779:16382174:-1 gene:ORGLA06G0156500 transcript:ORGLA06G0156500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKDAGDRSLDRGRGGGGGVALALGAGMGALVDLVDGAMAGSRVVGAVGRAGVAGVIGAAVGAVVVGTAAGAGVFLEETGGVVVGAAAGSYTTAAAIELHLLLERGGDGGPTRKSGSGMQIPAGHARWIG >ORGLA06G0156400.1 pep chromosome:AGI1.1:6:16369025:16373688:1 gene:ORGLA06G0156400 transcript:ORGLA06G0156400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSLLFLVCFFTVAMSECAAAAKARHFRWEVSNMFWSPDCEEKVVIGINGQFPGPTIRAKAGDTIVVHLKNGLHTEGVVIHWHGIRQIGTPWADGTASISQCAINPEETFTYRFVVDKPGTYFYHGHYGMQRAAGLYGSLIVDVADGDEEPFKYDGEINLLLSDWYHESIYTQMVGLSSNPFRWIGEPQSLLINGRGQFNCSLAAAHTPGAKQCAAAGAAGNRHCAPVILPVLPNKTYRLRVASTTSLASLNLAVGNHKLTVVEADGNYVEPFAVDDIDIYSGDSYSVLLTTDQDTSANYWVSVGVRGRQPRTAPALAVLNYRPNRASRLPAAAPPATPAWDDFARSKAFTYRILGRAGVTPPPPATSDRRIELLNTQNRMGGGHVKWSINNVSMVLPATPYLGSLKMGLRSALPSAARPSDTFGRGYDVTRPPANPNTTVGDNVYVLAHNATVDVVLQNANALARNVSEVHPWHLHGHDFWVLGYGDGAFRGDAGDAAALNLRNPPLRNTAVIFPYGWTAIRFVADNPGVWAFHCHIEPHLHMGMGVIFAEAVDRVSELPKAAVSCGATATALMAGAGGHV >ORGLA06G0156300.1 pep chromosome:AGI1.1:6:16361437:16363335:1 gene:ORGLA06G0156300 transcript:ORGLA06G0156300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAISSPVPVDWYPTLAVVMVAVGLMFTASFFIYEATSSRRNRSLAKEIATATIASVFLGFGSLFVLLASGVYV >ORGLA06G0156200.1 pep chromosome:AGI1.1:6:16341352:16342020:1 gene:ORGLA06G0156200 transcript:ORGLA06G0156200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDRLRSFNLSGRFSAAASAPAAPEAAAVVGGVLDLGARDEATTAAVVKDVGGGREREEEVEDEQERAQAAHVVERSKSEATAAAADLPRLPARLRKSASDQSAFAHFEAEKKAAAAEVEREAVEARRPATTREPPRVWLRVADEDPEPEEFDDEADDDEPEMDDDDADAGAGEVDARADDFINNFRHQLKLQRIDSYLRHRDMLRRGHAAAAAAAVGSDL >ORGLA06G0156100.1 pep chromosome:AGI1.1:6:16324216:16326147:1 gene:ORGLA06G0156100 transcript:ORGLA06G0156100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFEKMESSSYIPFIRQIAASVSAASCDAVVGGGGDKDEECRDEAAALRLKMVAVAAILIAGAAGVAIPLVGRRRRGGGGGGGGGASSGGLFVLAKAFAAGVILATGFVHMLHDAEHALSNPCLPHSPWRRFPFPGFVAMLAALATLVVDFVGTHFYERKHRQEEAAAAAEEAAAALLEDGGALPVGDGEGRDGRGGKRDAMHIVGIHAHAAAHRHSHAYVHGACHGGAVNDAHAHGHGHGHEEGPSARHVVVSQILELGIVSHSVIIGLSLGVSQSPCTIKPLVAALSFHQFFEGFALGGCISEAQLKNFSAFLMAFFFAITTPAGITVGAAVASFYNPNSPRALVVEGILDSMSAGILIYMALVDLIAADFLSRKMSCNPRLQVGSYIALFLGAMAMAALALWA >ORGLA06G0156000.1 pep chromosome:AGI1.1:6:16319847:16321710:1 gene:ORGLA06G0156000 transcript:ORGLA06G0156000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKHTKMPRGFGFVTFSDPSVIDKVLQDEHTIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGIPPSLTEDKLKEHFSSYGKVVEHQIMLDHGTGRSRGFGFVTFENEDAVERVMSEGRMHDLAGKQVEIKKAEPKKPGGGDSSSNGRHSHGSGGGHRSSYRGSGGGNSGSSSSGGYGGYGGGYRSAAAAYYGSTGYAGYGRGYGYGGNPAFGSGFGSGYGGSMYGGPYGAYGAYGGAYGGGGAYGAPGGYGAGGYGAYGGAGGMGGGGSTSGRGSSRYHPYGK >ORGLA06G0155900.1 pep chromosome:AGI1.1:6:16309083:16314662:1 gene:ORGLA06G0155900 transcript:ORGLA06G0155900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:I1Q346] MGRSGGRRRDRLRWSKLYTFACFRSSHSNNEAVGGGPAAAGGSAVGGPGFTRVVHCNNSAVHRRKPLKYPTNYISTTKYNILTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMIKEGVEDWRRFMQDMKVNNRKVAVHKGEGEFEYRHWEDLAVGDVVKVEKDHFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFQGLIRCEDPNPSLYTFIGNLEYERQIYAIDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSTIEKKMDLIIYILFTVLVLISLISSIGFAVRIKYDLPNWWYLQPEKSNKLDDPTRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDLHMFDEDTGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVELAAAKQMASGDDGQDIHVQDVWENNEDEIQLVEGVTFSVGRTRKSSIKGFSFEDDRLMQGNWTKEPNSSTILMFFRILAVCHTAIPEVNEATGALTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKFSSSNGPVEREFKILNLLEFNSKRKRMSVILKDEDGQILLFCKGADSIIFDRLAKNGRMIEADTSKHLNDYGEAGLRTLALSYRVLDESEYSSWNAEFLKAKTSIGPDRELQLERVSELIERDLILVGATAVEDKLQSGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMRRICLSIPTDDQVAQDANKAAKESLMSQIANGSQMVKLEKDPDAAFALVIDGKALTFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPRNLFFDWYRILGWMANGLYSSLAIFFLNICIFYDQAIRSGGQTADMAAVGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSVGTWYLFIIIYGSALRSRDNYQILLEVLGPAPLYWAATLLVTAACNMPYLIHISYQRLCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQRTKIGFTARVDAKIKQIRGKLHKKAPSLTIHTVS >ORGLA06G0155800.1 pep chromosome:AGI1.1:6:16288546:16290492:-1 gene:ORGLA06G0155800 transcript:ORGLA06G0155800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELEAADGGGGRSTEPPVSLSGDSPKAVLGRGAAADRTVSRRHVTLRLLGSGGDEEEEPRVAFEVVGRNPVVVRSVGGGGGGSRVFRRGEAGELRDGDGLALSLRSPSSVWAVRRSSSKGGDGDGDVEAEVLDAVARRERRTRERKERERRAAEEAMEVTADEEAAVAAEAASNGDSDAEAEDLNFDLASIEPVREFGFLSMGHEFDKYPKGRICPPKDWNWFLEEVRKGSDDEDDEGGKFKGKGANKKNEGQREDEDWIGESEDEKDSLSRGSSVKRSKYVTRSKEPKKPRKEKTETKDKNKNSGDEDDEEDETLGGFIVNEEDEPMEEVSEEEEDEFDDDDDDD >ORGLA06G0155700.1 pep chromosome:AGI1.1:6:16286471:16287569:1 gene:ORGLA06G0155700 transcript:ORGLA06G0155700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSTVKQEEVEVVVLDGEEEAAAPLPAAMGVGAAVAPFLVKTFEMVEDPATDAVVSWGGAARNSFVVWDPHAFAAGLLPLHFKHAKFSSFLRQLNTYGFRKVSADRWEFSNEDFLGGQRHLLANIRRRRRGAGTGSTTPRAVNCGGGGGEGEVERLRRDKEALARELARLRRQQQEARAQLLDMERRVRGTERRQEQCTAFLARALRSPDVLHNIARRHAAAAPVEGKKRRMLADDDDGLTFEALALAAAADTSHSTGGAVITDMIWYELLGEEQAEIDIEVDQLVAAAAANTASEAEPWEEMGEEEVQELVQQIDCLASPSS >ORGLA06G0155600.1 pep chromosome:AGI1.1:6:16282253:16285069:-1 gene:ORGLA06G0155600 transcript:ORGLA06G0155600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 711, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT2G26170) TAIR;Acc:AT2G26170] MEALVVAAAAARDQPWLPLPWSWLAGGGAGVVLLVVYFYAPWWGVRRVPGPAALPVVGHLPLLAAHGPDVFAVLAKKYGPIFRFHLGRQPLVIVAEAELCKEVGIRQFKSIANRSLPAPIAGSPLHQKGLFFTSVMRERLYCRDARWSAMRNTIISLYQPSHLAGLIPTMHSCVARAADAIAAAAAAPEQRDVDFSDLSLKLATDVIGKAAFGVDFGLTAAAAAAPRSDDTADADRGEAAEFIREHVHSTTSLKMDLSGSLSIVLGLVAPALQGPARRLLSRVPATADWRTARTNERLRARVGAVVARRERAGGEARRARRDFLSAVLNARDGGSDKMRALLTPDYVGALTYEHLLAGSATTAFTLSSAVYLVAGHPDVEAKLLDEVDRFGPPDAVPTADDLEHKFPYLDQVIKEAMRFYTVSPLIARETSEQVEVGGYTLPKGTWVWLAPGVLSRDEAQFRDAGEFRPERFDAGGEEERRRHAYAHVPFGLGPRACPGRRFALQEVKLAMAHLYRRFVFRRSPRMESPPELQFGMVLSFRRGVKLTAVERRNAATA >ORGLA06G0155500.1 pep chromosome:AGI1.1:6:16277231:16279300:1 gene:ORGLA06G0155500 transcript:ORGLA06G0155500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G02150) TAIR;Acc:AT2G02150] MLLPLPPRRRLLLLLYPRRCPAAAAFSTLPTPPAAAAAAPISHRLRLLRSLRSVPADRLLSHPLPSTAHACLAAHLLARDRLYAHSRRILSRLVALRRPHLAASLVDLLHRGALALGPRRSALASVVDTLLSVLADRGLLGDAVRAVARVRELRVPPNTRTCNHILLRLARDRSGRLVRRLFEQLPAPNVFTFNIVIDFLCKEGELAEARSLFSRMKEMGCLPDVVTYNSLIDGYGKCGELDEVEQLVEEMRRSGCKADVVTYNALINCFCKFGRMETAYGYFAAMKREGVMANVVTFSTFVDAFCKEGLVREAMKLFAQMRVRGMALNEFTYTCLIDGTCKAGRLDDAIVLLDEMVRQGVPLNVVTYTVLVDGLCKERKVAEAEDVLRMMEKAGVRANELLYTTLIHGHFMNKNSEKALGLLSEMKNKGLDLDVSLYGALIQGLCNVHKLDEAKSLLTKMDESGLEPNYIIYTTMMDACFKSGKVPEAIAMLQKILDSGFQPNVITYCALIDGLCKAGSIDEAISHFNKMRDLGLDPNVQAYTALVDGLCKNGCLNKAVQLFNEMVDKGMSLDKVVYTALLDGYLKQGNLHDAFALKAKMIDSGLQLDLFCYTCFISGFCNLNMMEEAREVFSEMIGHGIAPDRAVYNCLISKYQKLGNLEEAISLHDEMERVLPSCTDNDTATDGKT >ORGLA06G0155400.1 pep chromosome:AGI1.1:6:16254780:16258901:-1 gene:ORGLA06G0155400 transcript:ORGLA06G0155400.1 gene_biotype:protein_coding transcript_biotype:protein_coding XWRQGGGGAQRWXFXETLGAARACSTTLSPSPTRVVWKWTLLQMEEVTHIYYXEKIHRFTSTKXNQCSXQEYQRYLVPXHCYLKPPSSLSLIWYLCFKIPHPDVFIVQNPPSVPTLAAVKLASWLRGATFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMKHELDQKWGINAKVLYDQSPEFFHPASLTEKHELFSRLGNSICSAMGNDDCISIEKEVEDRNTTVFTSRIDGEIFLKPNRPALVVSSTSWTPDEDFSILLEATLMYDRRVAATLGEDDSMDERKLWIDMKNGKQFVYPRLLFIITGKGPDRKKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIDELVKVNNNGLLFSTSSELADELMMLFKGFPEECDDLKSLKVGALNTGSSSKWSTEWERYALPLVNQVIG >ORGLA06G0155300.1 pep chromosome:AGI1.1:6:16250654:16253413:-1 gene:ORGLA06G0155300 transcript:ORGLA06G0155300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEEGRTGIPSLLSSAVGEETIASNIAQLIGWTPLIEMKNIPKKEGIQTRLIGKMETYQPLFSVKDRTALSMIEDAEEKGIITPGVTTLIEPTSGNLGIGLVLVAIQKGYRFIAVMPARYSPDKQMLLRFLGAELILTDPAGGYKGAMDKVEDLMKIMPNYHCFNQATNPANPEAHFKWTGPEIWKDTAGKVDFFVTAAGTGGTLSGVGRYLKIKNPSINIVCVEPSESAIISGGSPGSHKIQGTGPGFIPKTLDRSIIDEVVTVSSEESMAMARRLAKEEGLLVGISSGANVSACIKIAAREENKGKMIVTMFPSGGERYMSSDLFADVREECANMTF >ORGLA06G0155200.1 pep chromosome:AGI1.1:6:16225117:16229087:-1 gene:ORGLA06G0155200 transcript:ORGLA06G0155200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDAEKRGSISPGDTLIEATSGNLGIGLAAVAIQKGYKFIAVIPNNYPPDKQKLIKYLGAEVRTTEGPYRNMEKKVEELKKSIKNSYNLDQMVNEANVEAHYKWTGPEIWKDTAGKVDIFVTSVGSGGTLAGVGKYLKEKNQSIRIVAVEPAESPVLSGGKASKHRIQGIGVGFETEILKAHKPIINYEVKTIRSDDSITKARMLAREEGLLVGISAGANIAVCLELAAKEENKGKMIVTMLPSGADRYLSSDLFKY >ORGLA06G0155100.1 pep chromosome:AGI1.1:6:16207674:16211159:-1 gene:ORGLA06G0155100 transcript:ORGLA06G0155100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEIKHGEEGPDMAAPEEEEQGRKGIPSLLSSSEENIASNITQLIGWTPLVEMKNIAKNEGVQARLVGKMEAYQPLCSVKDRSALRMIEDAEEKGLIIPGVTTLIEPTSGNLGIGLVLVAVQKGYRFIAVMPAKYSLDKQMLLRFLGAELILTDPAIGFNGMMDKVEELMKSIPNSHCLNQVTNPANPEAHFKWTGPEIWKDTAGKVDVFVASVGSGGTLTGVGRYLKMKNPSINIVCVEPSESPVISGGSPGPHKIQGTGAGFIPEILDKSVIDEVVTVSTEESMAMARRLAKEEGLLVGISSGANVAACIKIAAREENKGKMIVTMFPSGGERYMNSDLFAPVREECDNMTF >ORGLA06G0155000.1 pep chromosome:AGI1.1:6:16206379:16206558:1 gene:ORGLA06G0155000 transcript:ORGLA06G0155000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWTSSLHKLFFSPWYQKPSQTVLWLFTDSKMMIMMMKDLHRLSFSPSLIDDGGGAWSW >ORGLA06G0154900.1 pep chromosome:AGI1.1:6:16200066:16200470:-1 gene:ORGLA06G0154900 transcript:ORGLA06G0154900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSASYTEKSRSAATVAGAGAGDLRCHSAYYVTSTYSAPPPPPPPPPLWYDDAGSGKASKIKKKKAAATWPSSSASKGRVWGGLGLGDTAEMQRRRRVAGYRVYGVEGKVKVSLKSSMRWIKGKCTRVVDGWW >ORGLA06G0154800.1 pep chromosome:AGI1.1:6:16192179:16198482:1 gene:ORGLA06G0154800 transcript:ORGLA06G0154800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q335] MAPPPSLAPDRAGGEPDDALRLRARAAAAAAGDAPAPQQQQLLWYRASAPAHRRVRESPLSSDAIFRQSHAGLLNLCIVVLVAVNSRLIIENLMKYGLLIRAGFWFSGTSLADWPLLMCCLTLPTFPLAALVVEKLAQRKLISKHMVILLHIVISTSVLVYPVVVILKCDSAVLSGFVLMFLASIIWLKLVSFAHTNYDIRMLSKSIEKGVTHDISIDPENIKWPTFKRLSYFMLAPTLCYQPSYPRTTYIRKGWVVRQLIKCLVFTGLMGFIIEQYINPIVKNSKHPLKGNFLNAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTVEEYWRMWNMPVHKWVIRHIYFPCIRNGFSKGVAILISFLVSAAFHELCVAVPCHIFKFWAFIGIMFQIPLVFLTKYLQDKFNNTMVGNMIFWFFFSILGQPMCVLLYYHDVMNRQQAQTNR >ORGLA06G0154700.1 pep chromosome:AGI1.1:6:16169470:16176131:1 gene:ORGLA06G0154700 transcript:ORGLA06G0154700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:I1Q334] MMNPDGGDGDRPEAAGAGSSSAQQGHPTMEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDARDNASRREMERQDAPITRHPEFRYKSEFQSHEPEFDYLKSLEIEEKINKIRWCQTANNSLSLLSTNDKTIKYWKVQEKKVKQVSVMNLDSRSVGTGTTSSASTSSSRGLLPNGGCSDKSSFLNSDILFPPGGYPSLRLPVVVASQDVNLVARCRRVYAHAHDYHINSISTNSDGETYISADDLRINLWNLEINNQSFNIVDVKPPNMEDLTEVITCAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEAPGSRSFFTEIIASISDIKFSRDGRYILSRDYMTLKLWDLNMDSGPVSTFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYGNLFRVFGCTPGSTEATTLEASRNPMRRQIVNPTRPTRTLTSLARGVRRGGENQGVDANGNSFDFSTKLLHLAWHPTENSIACAAANSLYMYYA >ORGLA06G0154600.1 pep chromosome:AGI1.1:6:16167975:16168457:-1 gene:ORGLA06G0154600 transcript:ORGLA06G0154600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIDDKVIYFQAVTGISDHNLCTEILAAHDWDLQLVVSSITANPSSLDPAPIAEEGGFGRATPVSVSAFAAAAQDCVETDDASLLCGLWWGWAHRRFDLSRCLGRRSHSWGSTATPSASSSLATAPVEEWAALGYQRGWPHRRKRGKGSCETDKWVPH >ORGLA06G0154500.1 pep chromosome:AGI1.1:6:16161843:16162214:-1 gene:ORGLA06G0154500 transcript:ORGLA06G0154500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPNPDCSVSTSGDEVPLPIHRTSLLRARXAKCSRIASFRRHTPPVLFRRRRRLPHASLASVFFPFPVAAHGMAAAALSINAWWRTAVAAPPSTSDASILGVGVNRPVPSMAYLPSTRSHRL >ORGLA06G0154400.1 pep chromosome:AGI1.1:6:16151672:16155791:-1 gene:ORGLA06G0154400 transcript:ORGLA06G0154400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSVDVERISFGGKEHHIQTNHGSVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEASSLLLHNFCIYHISPPGHEQLGAAPVSPSSPVASVDELADQVSDVLDFFGLGPVMCLGVTAGAYILTLFATKYRERVLGLILVSPLCRTPSWTEWFHNKVMSNLLYYYGMCNMVKDCLLQRYFSKVGVQGCSAVPESDIVQASRSFLDQRQSMNVWRFIHTINERHDLTESLKELQCRTLIFVGQNSQFHAEAVHMTSKLDERYSALIEVQGCGSVVTEEQPHAMLMPLEYFLMGYGLYRPSQISCSPRSPLNPFCISPELLSPESMGVKLKPIKTRANLEV >ORGLA06G0154300.1 pep chromosome:AGI1.1:6:16130846:16133321:-1 gene:ORGLA06G0154300 transcript:ORGLA06G0154300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPKGSNPYGQQQSYGGQQSYGQIPGSSGFSSSAATGGADGSRFGARVGQGAAGQYGGPYASVYGTQQVGGLGGKGPASSSIPNLPEPSKFSSGSVGSSIARPNDDYMAVRGYGQKLDQYGSDYTLERRMYGDHSANLGRRDGLTDLDRRYPEHVSGGHQGSSMRHPQLLKPQLQSGSDIRQADYFAGRSAPIHQGSQDIGAYGRVEADHRNLSILGTAPYGGQQSASLLGGAPRTNIDSLSYGQGSSSSGYGMGLPPGRDYASGKGLLHPSSDSDYRDSILSRVHPGISMVDERAVDRVGYRRELDLRDEERRRDLLLEREKERDRERERELRDLRDRERERERERDRERLRERERERELERERERLRERRMKERERDRKHPADSRREHTPPRTPGDRRRSSSVRAEKPLRRPSPRRDAVHRWTSMFSL >ORGLA06G0154200.1 pep chromosome:AGI1.1:6:16111975:16115048:-1 gene:ORGLA06G0154200 transcript:ORGLA06G0154200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRSTSLSLDGLLDYTANDLEESVFELSLFAESFSEMLQHKMGCVILSFLEKLYKRYVIKRNQRKRQREEDLKKEDKKTSEKRPKTNDETLSESGPSNQGESVEMIKAGKGTAHSAGQPNKDDETKMNAGHSAAAQDELVKEGKEKMSADHSTAPPKELIKEGEENMNTDNSVAVRVEPVADEKMEDEEPDYEEDPEEVELYEDDEEMDEAAAEELVEQNEDNLNDKAKQEVTTEEDGNENTEERESENNANMLEKAASGEDKQSVVEKSASVEGKQSVSEKGDKAVGKEVKTTRSQKGDSTKDEVVDKELLQAFRYFDQNRAGYLKVDDLRCILHNLGKFLSNRDVKDLVQIALVESNSARDNRIIYTKLAKKVDL >ORGLA06G0154100.1 pep chromosome:AGI1.1:6:16108315:16110900:-1 gene:ORGLA06G0154100 transcript:ORGLA06G0154100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT1G24510) TAIR;Acc:AT1G24510] THFRLQSRSTPKNVNRCKRTLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELVYGIIVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSPEKLGKAGIVREKSFGTTKDRMLYIEQCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSVAVEAAADRYPGVEQYAIRSFADALDAIPLALAENSGLSPIDTLTAVKSQQVKESNPHCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVISPSDY >ORGLA06G0154000.1 pep chromosome:AGI1.1:6:16079928:16087576:1 gene:ORGLA06G0154000 transcript:ORGLA06G0154000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 4 [Source:Projected from Arabidopsis thaliana (AT2G19600) TAIR;Acc:AT2G19600] CCRVAARGPSASSVSSSSSSLPSRRWSHSAPRRRLRRGRGGVVEEEEVRVGEAAAAVARGEGDDAVVAAAVAGGEVAGEAGDGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRPEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATFGGIAFACLGQPVITGYLLAGSIIGPGGFSFVSEMVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVAVVGGLLQIILFMLLCGISATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMERNSINALHGQVTVGTLILQDCAVGLLFALLPILSGASGLLQGVASMAKSLVVLITFLTILSILSRTGVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFIVAVVVKGFGYSNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDSNQVELGLKSDGLRIDSGKRINLIVQGSHDS >ORGLA06G0153900.1 pep chromosome:AGI1.1:6:16066628:16070176:1 gene:ORGLA06G0153900 transcript:ORGLA06G0153900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITIEQPHLDAIADRKVAGGGGGDNAAELVLDGGFVVPDSNAFGNAFRNYEAESERKETVEEFYRVNHINQTYDFVRRMREEYGRVDKTEMGIWECIELLNEFIDDSDPDLDMPQIEHLLQTAEAIRKDFPNEDWLHLTGLIHDLGKVLLHPSFGELPQWSVVGDTFPVGCAFDECNVHFKYFKENPDYLNPKLNTKFGAYSEGCGLDNVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYMHLMNDEDKENLKWLHVFNKYDLYSKSNERIDVEKVKPYYMSLIEKYFPAKLRW >ORGLA06G0153800.1 pep chromosome:AGI1.1:6:16052788:16055888:1 gene:ORGLA06G0153800 transcript:ORGLA06G0153800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVGATLYLYLYLFLLLLLHLHCHQCKPAAAAAAASFVVHGGGGATARAAAVRAVFVFGSSLVDNGNNNHLNGSGAVRADYAPYGVDFPLGATGRFSNGRNVIDALGELLRLPAAGLLPPFADPATRGRAALHGVNFASGGSGILDLTGKNKGEVLSLKQQITNFEAVTLPDLRAHLQGATTATTTTGHKMKGQDFFDQCYLPKSLFIIGTGGNDYLLNYFNAGSGPTRAPLSEFTSSLLTKLSNHLQRLYDLGARKFVLFSIQPLGCTPVVRTFLNATSDACIEPMNHAALLFNSGLRSIVKNHNGGVRSHMPGASFVYVNSYKIISDIIQHPAKYGIRKTSRACCEVSRGGVLCQKGGPICSDRTKYAFFDGLHPTDVVNARLARKAYGSNSPDKVYPINVKKLAML >ORGLA06G0153700.1 pep chromosome:AGI1.1:6:16048600:16050977:-1 gene:ORGLA06G0153700 transcript:ORGLA06G0153700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQRGEDRRGGKRATDERRDLEVRHAPCTAPPLCALRNAAAVRDVDGREMGMGETRERISYKIRKPVQTKRGKKGRKKAADKEVQGLIVLVRDKRTILQCAHPDRLAKLLGPEDTLDIGCLG >ORGLA06G0153600.1 pep chromosome:AGI1.1:6:16037151:16041087:-1 gene:ORGLA06G0153600 transcript:ORGLA06G0153600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVSGGGAGAAGAGAEGEGAYTVVLNVYDLTPLNNYLHWCGLGIFHSAVEVHGSEYSFGAHDHPTSGVFEVEPKCCPGFMYRCSVFIGRTSLNPLEFRDFIQRMASEYHGDTYHLISKNCNHFTDDLSTRLTGKPIPGWVNRLAKLGAFCNCLLPESMRLESTGTKHLADCHFSDDSHTSSNEHFEDEDLEDKHLLSQSSVSEDAIVKEVHR >ORGLA06G0153500.1 pep chromosome:AGI1.1:6:16018002:16026074:-1 gene:ORGLA06G0153500 transcript:ORGLA06G0153500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVAEDQAGGGGGGHRRLIGSRIEEHRKYMSEESCCPRCGHKIDRKLDWVGLPAGVKFDPTDQELIEHLEAKVRPGGEAAAHPLIDEFIPTIEGEDGICYTHPEKLPGVSKDGLSRHFFHRPSKAYTTGTRKRRKIQPPAAAASSSGGGGNASSSSSASAAAAVARHGHQQQQQQQRSETRWHKTGKTRAVVGGGRQRGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGEAEEERDGELVVSKIFYQTQPRQCAAADAAATASASAVDRRTTSGRDRAAAAAAAAAAAAPMASANVSVAAFHGGAAGIDEFSFAQFRSSFEEAGMGASSSDHQSAMVDQRRQQQQHDDDEHDHRRGGGGHHYVGQQQSVAATFHVVSSPADPIARLMSPPPAHQGTVMLRQPEPPYIYHNQEDERPHQPRKFDGRSTSGSGLEEVIMGCTSRRSKGGETSGGKDGTEWQYPSFWPSDSQDHHGDKDDIEAKQKGETEKRKRRGKTKFLKIHIYQEIFHEQGNSKDFXYFAFWHLVRIEVAGEFPSV >ORGLA06G0153400.1 pep chromosome:AGI1.1:6:15983919:15989558:-1 gene:ORGLA06G0153400 transcript:ORGLA06G0153400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACNAAAAAAVAEQPQKQYGITKPISLAEPAEVDLQKTAELEKFLVEAGLYESPEESARREEVLGELDKIIKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILAQTEEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPPDLDISQGSVLYDVDEVTVRSLNGCRVADQILRLVPNVENFRTTLRCLKYWAKKRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIEEDELGFPVWDPRKYHRDRSHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIDISKANWSALFEPFQFFEAYKNYLQVDIIAEDGEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGAKIQEGQQFDIRGTVDEFRHDIGMYGYWRPGMELAVSHVRRKQIPSYVFPEGYKRPRPSRHINHPQQSNKNDVEDGTANRSPDGQPKRKHDTAGVYDSEPGRSVKRASISPSISPVHQKTSSPPSGNIADASGASGGSPVSLANGNLEQANCLNSPLASEKSLDSVTSGSKCVGVEAVCPSDATKEHDNCGSNMKNCTTTTVAVSLKRVAEKVVSELVGSESLGGNKSGELLERAEDMGSALVENVHFGGNGVVQTGLPEELEV >ORGLA06G0153300.1 pep chromosome:AGI1.1:6:15953964:15955670:-1 gene:ORGLA06G0153300 transcript:ORGLA06G0153300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1Q320] MCTTTSAPSVPEVATPADGGGHHVYVSLPQCTDGGDVEGGHCRPVVHQVKCRGGDDDGGGGGRGGGVVMPAAGETVREAAALCRLACPIALTALMLYSRSALSMLFLGSLGDLPLAAGSLAVAFANITGYSVLSGLSLGMDPLCSQAFGARQPRLLGLTLYRSVLFLLCCSLPLSALWLNMAKILLFLGQDRDITAMAQDYLLFSLPDLFSFSLIHPLRVYLRSQGITQPLAVAAAAAVVFHVPANYVLVGRLRLGAPGVAAAASASNFVLLAVLLAYVARRDEALREAGGPTAEWLAGWGPLARLAAPSCVSVCLEWWWYEVMILLCGLLPEPRPAVASMGVLMQTTALVYVFPSSLGFGVSTRVGNELGANRPGRARAAAHVAVAGAAAMGLAAMAFAAGMRHAWGRLFTADADILRLTAAALPVVGLCELGNCPQTVGCGVLRGTARPARAAHVNLGAFYLVGMPVAVVLAFGLGVGFVGLWVGLLAAQVCCAGLMLCVVGSTDWEAQARRAQALTSSAAVSGKADAAEGGGRWPEKGEHQEGEKRRHVALISSEEADPETAEVL >ORGLA06G0153200.1 pep chromosome:AGI1.1:6:15916932:15919685:1 gene:ORGLA06G0153200 transcript:ORGLA06G0153200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPHLVHTILLAQSLLLFTCLFLHSNCETITRDDEKAVLLSLERSWGGSVTVNWSSVIYEDQCNWPGINCTDGFVTGISLTGHGLNSLPAAICSLTKLSHIDLSRNSISGSFPTALYNCSNLRYLDLSYNSLVNSLPSNIDRLSPRLVYLNLASNSLSGNIPSSIGQLKVLTNLYLDANQFNGSYPAEIGNISALRVLRLGDNPFLSGPIYPQFGNLTNLEYLSMSKMNIIGKIPAAMSKANNVMFFDLSGNHLSGSIPSWIWSLKRLVTLQLYANHLSGQINAPIESTNLVEIDVSSNNLSGQIPEDIGQLEELERLFLSNNHFTGSIPDSVALLPKLTNVQLFQNSFEGILPQELGKHSLLFNLETHYNNFSGTLPEGLCSKGALAYISMSANMFSAGLTEVQIQEVNLSGRLPSNWASNLVEIDLSNNKFSGRLPNTIRWLKSLGVLDLSENRFSGPIIPEIEFMNLTFLNLSDNQFSGQIPLLLQNEKFKQSFLSNLGLCSSNHFADYPVCNERHLKNRLLIIFLALGLTSVLLIWLFGLLRIKVLPRRQNENTTTPRWKLTAFHNINFNYQDIICGLADNNLIGSGGSGKVYKICLHNNSYRFVAAKKIVSDRSRSNMLEKHFQAEVEILGSIRHANVVRLLSSMSSTESKVLIYEYMENGSLYQWLHQKDMRNNNEPLSWPRRMSIAIDAARGLCYMHHDCSPPIAHCDVKPSNILLDYEFKAKIADLGLARALAKAGEPESISTMVGSFGYMAPEFGSSRKINEKVDVYSFGVVLLELTTGRFANGGGGYENLAQWAWRRFQDEDFQLIDVIDGDIQDPAYLQEVQLVFKLGLICTGAKPLSRPSMKEVLQVLQR >ORGLA06G0153100.1 pep chromosome:AGI1.1:6:15892717:15911677:-1 gene:ORGLA06G0153100 transcript:ORGLA06G0153100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTCLLPVVIGGVLLLSAGECSSQLAAGDRDTLVAIRKGWGNPRRLASWDPDSASDHCSWDGVTCSDGGGGGRVVTELSLSDMKLTWTLPAAMCDFVNLTRLDLSNTGLPGTFPGATLYRCSQLRFLDLANNTLHGALPRDIGNLSPVMEHLNLSWNSFSGAVPPGVAALSALKSLHLNSNRFTGVYPAAEIGKLAGLECLTLADNAFAPAPVPVAFAKLTKLTYLWMSDMSIIGEIPEALSSLTELTLLDLSSNNLTGAIPAWVWRHEKLEYLYLYENNLTGELPRNVTTVNLIEIDLSINQLRGEMSEDFGNLRNLTLLSLYMNKITGTIPASIGLLPKLSDILLFQNNLSGELPPELGKHSPLSSIDISNNNLSGPLPETLCANGKLIGIFASTNISGNLPANLRDCILLTHLVLPNNRFSGDFPEKIWLLPELVMVKIQNNNFTGALPAVISPNIQWIEMGNNMFSGSIPRTAITLRGFWAENNQLDCELPADMSKLANLTDLSVPDNRITGPIPATIKLLLNLKSLNLSGNQLTGPIP >ORGLA06G0153000.1 pep chromosome:AGI1.1:6:15865698:15868996:1 gene:ORGLA06G0153000 transcript:ORGLA06G0153000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTYLPLLPALVAGVLLLAAGCAAAAGDRDTLVAIRKGWGNPRHLASWDPASAAAADHCSWEGVTCSNATTGGGGGAGVVTELSLHDMNLTGTVPAAVCDLASLTRLDLSNNQLTGAFPAAALSRCARLRFLDLANNALDGALPQHVGRLSPAMEHLNLSSNRLSGAVPPEVAALPALRSLLLDTNRFTGAYPAAEIANLTALERLTLADNAFAPAPVPPAFAKLTKLTYLWMSKMNITGEIPEAFSSLTELTLLDMSGNKLTGAIPAWVFRHQKLERLYLYENSLSGELPRNVTTANLVEIDLSSNQLGGEISEDFGNLKNLSLLFLYFNKVTGAIPASIGRLPNLTDLRLFGNELSGELPPELGKNSPLANFEVSNNNLSGALPETLCANGKLFDIVVFNNSFSGELPANLGDCVLLNNLMLYNNRFTGDFPEKIWSFQKLTTVMIQNNGFTGALPAEISTNISRIEMGNNMFSGSIPTSATKLTVFRAENNLLAGELPADMSNLTDLTDFSVPGNRISGSIPASIRLLVKLNSLNLSSNRISGVIPPASFGTLPALTILDLSGNELTGDIPADLGYLNFNSLNVSSNRLTGEVPLTLQGAAYDRSFLGNSLCARPGSGTNLPTCPGGGGGGGGHDELSKGLIVLFSMLAGIVLVGSAGIAWLLLRRRKDSQDVTDWKMTQFTPLDFSESDVLGNIREENVIGSGGSGKVYRIHLASRGGGGATATAGRMVAVKKIWNARKLDAKLDKEFEAEVTVLGNIRHNNIVKLLCCISSQDAKLLVYEYMENGSLDRWLHHRDRDGAPAPLDWPTRLAIAVDAARGLSYMHHDCAQAIVHRDVKSSNILLDPEFQAKIADFGLARMLVKSGEPESVSAIGGTFGYMAPEYGYSKRVNEKVDVYSFGVVLLELTTGKVANDAAADFCLAEWAWRRYQKGPPFDDVIDADIREQASLPDIMSVFTLGVICTGENPPARPSMKEVLHHLIRCDRMSAQGPEACQLDYVDGAAPLLEAKKGSRRRSSESGRWDDDDNDDSGNFVVHVV >ORGLA06G0152900.1 pep chromosome:AGI1.1:6:15841145:15841605:-1 gene:ORGLA06G0152900 transcript:ORGLA06G0152900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFEGLLIVDVRDAWLASTSSTAAHPSPLPIAKRRLPRGTLAISAENKKAREGREWGDGFGWGSTACPMAHRCHSLDSHGSASSHASIGSITLTLGLSSPPLFANVTRALPPCPIPIDHSFFPI >ORGLA06G0152800.1 pep chromosome:AGI1.1:6:15833652:15834266:1 gene:ORGLA06G0152800 transcript:ORGLA06G0152800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRFATTAPLLALAVLAAVASVAVATAPAGKDPGGFVVTGRVYCDPCRAGFETNVSKSIPGATVSVECRHYGAGRESLKAEATTDEKGWYKVEIDQDHQEEICEVVLDKSSDPACSETEKTRDRSRVPLTSNNGLKQNGIRYANPIAFFRKEPLADCGSILQKYDLQDAPETP >ORGLA06G0152700.1 pep chromosome:AGI1.1:6:15825092:15828778:-1 gene:ORGLA06G0152700 transcript:ORGLA06G0152700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRKKCKAAAVATTDGGGEDRISALPDEVLQRALSFLPSRDVVRTCVLSRRWRHQWKSVPALRIYAFDDCRDVQHLSDFVTNFLLRRNRLALHECDIICFDEGDGCEVFRENARQWIRYAVSCQVRVLRVSVEAHTRLFGAPLKAQRLKRLELFSVELGAFSLDFSSCRELEELELGGCIIKDKVKRILSESLRRLRIEGCDFFRNRTRISCPNLISLEITDFTLYTPVLMSMPSLASAFIRFGEHCADSCDCYYYGEFGPDYTGCHHSTVKGNGTVLLNGLSDAIQLELISGAGVFIFRRDFRCCPTFNKLKTLLLNEWCMAADSSALIYFLQHSPVLEKLTLQLRKSPRTMVKRGSMNKNQNEKFLVSKHLKLVEIKYCEDEMVQQVLHVLSACGIPSEKIIIQRISSWASGGFSFEQRK >ORGLA06G0152600.1 pep chromosome:AGI1.1:6:15819279:15824490:1 gene:ORGLA06G0152600 transcript:ORGLA06G0152600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTNGNGGGAASAMDVYLPRTQGDVDDDGKERRTGTVWTATAHIITAVIGSGVLSLAWAMAQLGWVAGPITLLLFAAITFYTCGLLSDCYRVGDPATGKRNYTYTDAVKSYLGGWHVWFCGFCQYVNMFGTGIGYTITASISAAAINKSNCYHWRGHGTDCSQNTSAYIIGFGVLQALFCQLPNFHQLWWLSIIAAVMSFSYAAIAVGLSLAQTIMDPLGRTTLTGTVVGVDVDATQKVWLTFQALGNVAFAYSYAIILIEIQDTLRSSPPENATMRRATAAGISTTTGFYLLCGCLGYSAFGNAAPGNILTGFGFYEPYWLVDVANACIVVHLVGGFQVFCQPLFAAVEGGVARRCPGLLGGGAGRASGVNVFRLVWRTAFVAVITLLAILMPFFNSILGILGSIAFWPLTVFFPVEMYIRQRQLPRFSAKWVALQSLSLVCFLVTVAACAASIQGVLDSLKTYVPFKTRS >ORGLA06G0152500.1 pep chromosome:AGI1.1:6:15803414:15809782:1 gene:ORGLA06G0152500 transcript:ORGLA06G0152500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEMAVRNGDGGGGYYATHPHGGAGGEDVDDDGKQRRTGNVWTASAHIITAVIGSGVLSLAWATAQLGWVVGPVTLMLFALITYYTSGLLADCYRTGDPVSGKRNYTYMDAVAAYLGGWQVWSCGVFQYVNLVGTAIGYTITASISAAAVHKANCYHKNGHDADCGVYDTTYMIVFGVVQIFFSMLPNFSDLSWLSILAAVMSFSYSTIAVGLSLARTISGATGKTTLTGVEVGVDVTSAQKIWLAFQALGDIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLLGVSTTTAFYMLCGCLGYAAFGNAAPGNMLTGFGFYEPYWLIDFANVCIVVHLVGAYQVFCQPIFAAVETFAARRWPGSEFITRERPVVAGRSFSVNMFRLTWRTAFVVVSTVLAIVMPFFNDILGFLGAVGFWPLTVYYPVEMYIRQRRIQRYTSRWVALQTLSLLCFLVSLASAVASIEGVSESLKHYVPFKTKS >ORGLA06G0152400.1 pep chromosome:AGI1.1:6:15785978:15789522:-1 gene:ORGLA06G0152400 transcript:ORGLA06G0152400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:initiator tRNA phosphoribosyl transferase family protein [Source:Projected from Arabidopsis thaliana (AT2G40570) TAIR;Acc:AT2G40570] MAAAAASSESADAAAAGPSTLSIYKAARRIKRRESTLYNALRSVADDAAFVAEIAALWPALPLVANLRCGLWYLPPRAVAATCYFKSTDGHAGNWAFSTARLNLHLALLAGERGGCIIVDSTRRGKRFPDSMSKTIPIWCCVLNRAIERYRLQTNNKSAAVANKDTEKISESSNWDNSVHLPVWVLETEKNAIEGRIEEWTTQFESCGADIRSLALSLKKPLRPLWISQRTRIWLNEVPELESWDFTPIILVSASASGAVAPQRMTSEFSWHYIPGAGDDEESWARGLTPTLFWKHSYDLLDGGPDLCNQLVADIVEKDRVYRAQRGEYSPQITAKPLKCSSHDGPFSNGDHTSIVQPMDSDPPTVTAMDKQNSSDGHILFWIGTSNLAVASTLQVGDSLAEVDCILNCDSTSNLPLTSSENSYLELPMVGSKDDRFSLMKNLPKAVSFANRNLIAGKKLLICCQNGEDISICVALAIITRLFDCDGFFDHGNSFLKGDVTKLEMRKRLVFVCKYAVNARPSRGNLKQVYGFLCSEKEQFLV >ORGLA06G0152300.1 pep chromosome:AGI1.1:6:15781785:15784938:1 gene:ORGLA06G0152300 transcript:ORGLA06G0152300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24 [Source:UniProtKB/TrEMBL;Acc:I1Q310] MSESKAAAAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKADLKEKLAKLYEVKDSNCIFVFKFRTHFGGGKSTGFGLIYDNLDAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >ORGLA06G0152200.1 pep chromosome:AGI1.1:6:15755479:15768159:-1 gene:ORGLA06G0152200 transcript:ORGLA06G0152200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVWESGRRMSRSIGRGMGMEAWGVDEAFMPQNSGGGGGSRGRRRSGRGGTADDDEEALRWAAIERLPTYSRMRTAILSSAEEEAAAAAAGAGKQQYKEVDVRRLGVGERQEFIERVFRVAEEDNQRFLQKLRNRIDRVGIELPTVEVRFEELMVQARCHVGSRALPTLLNTARNIAEAALGLVGVRPGRQATLTILRGVSGAVRPSRMTLLLGPPSSGKTTLLLALAGKLDPSLRRGGEVTYNGFELEEFVAQKTAAYISQTDVHVGEMTVKETLDFSARCQGVGTKYDLLTELARREKEAGIRPEPEVDLFMKATSMEGVESSLQTDYTLRILGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFELFDDIILLSEGQIVYQGPREYVLEFFESCGFRCPERKGTADFLQEVTSKKDQEQYWADKHRPYRYISVSEFAQRFKRFHVGLQLENHLSVPFDKTRSHQAALVFSKQSVSTTELLKASFAKEWLLIKRNSFVYIFKTIQLIIVALVASTVFLRTQMHTRNLDDGFVYIGALLFSLIVNMFNGFAELSLTITRLPVFFKHRDLLFYPAWIFTLPNVILRIPFSIIESIVWVIVTYYTIGFAPEADRFFKQLLLVFLIQQMAGGLFRATAGLCRSMIIAQTGGALALLIFFVLGGFLLPKAFIPKWWIWGYWVSPLMYGYNALAVNEFYSPRWMNKFVLDNNGVPKRLGIALMEGANIFTDKNWFWIGAAGLLGFTIFFNVLFTLSLVYLNPLGKPQAVISEETAKEAEGNGDARHTVRNGSTKSNGGNHKEMREMRLSARLSNSSSNGVSRLMSIGSNEAGPRRGMVLPFTPLSMSFDDVNYYVDMPAEMKQQGVVDDRLQLLRDVTGSFRPAVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDMRISGYPKNQATFARISGYCEQNDIHSPQVTVRESLIYSAFLRLPEKIGDQEITDDIKIQFVDEVMELVELDNLKDALVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGQLGRNSQKMIEYFEAIPGVPKIKDKYNPATWMLEVSSVAAEVRLNMDFAEYYKTSDLYKQNKVLVNQLSQPEPGTSDLHFPTKYSQSTIGQFRACLWKQWLTYWRSPDYNLVRFSFTLFTALLLGTIFWKIGTKMGNANSLRMVIGAMYTAVMFIGINNCATVQPIVSIERTVFYRERAAGMYSAMPYAIAQVVMEIPYVFVQTAYYTLIVYAMMSFQWTAAKFFWFFFVSYFSFLYFTYYGMMTVAISPNHEVAAIFAAAFYSLFNLFSGFFIPRPRIPKWWIWYYWLCPLAWTVYGLIVTQYGDLEQIISVPGQSNQTISYYVTHHFGYHRKFMPVVAPVLVLFAVFFAFMYAICIKKLNFQHR >ORGLA06G0152100.1 pep chromosome:AGI1.1:6:15745657:15754448:1 gene:ORGLA06G0152100 transcript:ORGLA06G0152100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASDEGTGSAAAAAAAGEGDVGRFLAAVERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNVRATFSCLFLRSFLLXXLPVCSKITLXKHVKRNTNQGXDCXRHLQVEQVTKHMXTQMQQMEKHMFLQIMQCTRXRWRKTKLKIKNDXPRKMXYCWXRTRKKMXLDXQRIKKTWQNCXRTRKIXLDXXRRRKKWFGXXGRRKTWLVXIMAGLKININXQIKMXLIQLSTGMKSLSXXRRKKIVMIQLXNXIXNWKLXNPHMKGPAFCXILRRKRYFSFXWTRRALNIXYHNLSKSLQXKEAHIRHIFKNWRLGLFRQIINXNKGXRKWSSCXKTLKQEXEILRNCXNQEAKLGSKRKSGXTNLLVYKYRIFRIXGCLLFPSGMKSYTARKDGLKKFVTSDKALRYXQMLLKIIMLLLKKTENYSMRFRSXKVAKQTVLLIYMLISLTINFLYYMLIYQEISESIAGXGLFFLERIRHLLQLNMLVIMVNXFXQILQNEERKDISCSNSTKFLAPLLVKMRYSRKFNHXLDQFLMAIMFVFLRMVKLDQGKHTQXLDLKMQPRRIGVLTTELXMTYSISHGVEETRXCIKLVFRXLKYTMNKSMISSATVVQRRNILLDHRLGILNASQPNGLAVPDATMHPVNSSSDVIELMRTGLEIRSVGATALNERSSRSHSVVTMHIQGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGHAKTLMFVQVNPDVSSYAETLSTLKFAERVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRISGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >ORGLA06G0152000.1 pep chromosome:AGI1.1:6:15731091:15731891:-1 gene:ORGLA06G0152000 transcript:ORGLA06G0152000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLWHVVRAVLFMLRKGMSKRKLAMDLHLLLHRGKIAGNKALGKIMNTTTATASHGHGHAADAASTAAGEAAAAAPFSCGRALDPALAVYDPRGAGLEVEFSCSNTPSYPSSFHLIPTKRRRRNNNGSNGRRRGGGGRGANGGEPGWYNYDAADIARVFEILNSSDQLLGDGGAAVAATPSPALWRTSFGGRSPAPVRQLRITDSPFPIRDDGGEDAGAGLVDLEAEEFINKFYEQLRTQQQSLATATPDYYAGYSRPVTGVAY >ORGLA06G0151900.1 pep chromosome:AGI1.1:6:15707236:15708495:-1 gene:ORGLA06G0151900 transcript:ORGLA06G0151900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHSNSRHQRNRGSRVKTLLQATLLLGVVVWLMYQVKHSYDKKNEYLEDAENQLAHDDRSMFQGRKERGGSYGDGILEKGGENPDVVSKPDEENIGETVFEKDNTDSHDDDIRNTDRSKAGEGHGSSADGNTEANSNDEDGTTNHSEGEKSDAESNSSDAESKGEDHSTGDDMPQSNTVLEESSAETNRISHEEVAHGDESTNEDQSNVKSDGSNEEEAEKKEAVDSQNASESLSDDAKGGTDDEHSSGTLPDETGNLPSGQNENSQSDATSTTSSEAVHIETGSENEDAAKSSGTASGDDDKGSANEASDSNETKSDEGNVAAEVSNDQAANTEAGNSQEASAAEAANGSSEETKPVENQNDGNTESSNNGEQVDIKMETSASTNAEQKESQVGDGSSGSNGSNDSGAEQTGTTETQ >ORGLA06G0151800.1 pep chromosome:AGI1.1:6:15703950:15704627:-1 gene:ORGLA06G0151800 transcript:ORGLA06G0151800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPFIAMASNMQGVVNVDGNAEKRRRTSSDALQRTVSDVSYELHHHVGAKGTTMVDDAAAAEQKQQLDAIAEVEDARCECCGMSEECTPEYIRGVRARFAGRLVCGLCAEAVAEEAARRGGAGGVEAALRAHTAVCKRFNGFGRTHPVLFQAEAMREILRKRSKLGPRSRSSINPREARQAGAAAAAKAAAAGASAAGGGIARSSSCLPFITDEFSQRVNIN >ORGLA06G0151700.1 pep chromosome:AGI1.1:6:15701648:15702424:1 gene:ORGLA06G0151700 transcript:ORGLA06G0151700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIM zinc finger family protein / mitogen-activated protein kinase kinase kinase (MAPKKK)-related [Source:Projected from Arabidopsis thaliana (AT5G11620) TAIR;Acc:AT5G11620] MEPVTAAAAAAASEEQVTRRVASRIIRALQHQLRLLHRAGPEFFVLGATGNVYTVTLAAAPACTCPDPSVPCKHILFVLLRVLGLSLDEACVWRQSLRPCQVARLVAAPTCAEADVVAGPRARERFHQLWSARAAAEESRRRRRRRDDQAAAAGASGRLDGAACPVCLEEMSPPAAAAAMLLTCATCRNSVHGECFARWKRSRGRRAATCVVCRARWRQPSREQEKEPYINLSAYMNDAGGDVDMVAADGDDGGLCAG >ORGLA06G0151600.1 pep chromosome:AGI1.1:6:15695639:15696381:-1 gene:ORGLA06G0151600 transcript:ORGLA06G0151600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDQLVSFLCFFLIVSAVAGGLCVSATVLPMRIGKQYVVGGRSGWRTPPPASVDLYVKWAAGIRFYVADSIEFVYKNDSVVKVDKFGYYHCNATAAAANDGSVLFLLDSPGFAYFSSADADHCKKGQRLMINVESAPSPAPAPAASPIAKPPAAVTAATPPPPPPPPSPSPSPSPAPQEAATTSAATSSSSATVAHALLLAAMAMMGLILGEW >ORGLA06G0151500.1 pep chromosome:AGI1.1:6:15650330:15652521:-1 gene:ORGLA06G0151500 transcript:ORGLA06G0151500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLTRSHIVGDVLDQFSNSVPLTVMYDGRPVFNGKEFRSSAVSMKPRVEIGGDDFRFAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPSSTDDSFGREIVTYESPSPTMGIHRIVMVLYQQLGRGTVFAPQVRQNFNLRSFARRFNLGKPVAAMYFNCQRPTGTGGRRPT >ORGLA06G0151400.1 pep chromosome:AGI1.1:6:15638715:15639827:1 gene:ORGLA06G0151400 transcript:ORGLA06G0151400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREVDDSYTNGSVVEVVSMEEGSKMDKEDDHQNPQAPDGGDVVVCGMPMSFTFLQMLLAEFLATFFLMFAGLGAITVEEKKGAVTFPGVAVAWGAAVMAMVYAVGHVSGAHLNPAVTLGFAVAGRFPWRRAPAYALAQTAAATAASVVLRLMFGGRHAPVPATLPGGAHAQSLVIEFVITFYLMFVIMAVATDDQAVGHMAGVAVGGTIMLNVLFAGPVSGASMNPARSIGPALVGSKYTALWVYILGPFAGAATGAWAYSLIRLTGDRTD >ORGLA06G0151300.1 pep chromosome:AGI1.1:6:15624044:15630549:-1 gene:ORGLA06G0151300 transcript:ORGLA06G0151300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDRLYPPIDPYALEPPQFGDDAACGGGGGGGEVRVRDPSAVMWDEEPEASPSSPEEPVTEGTHTFEQGPKVHLAGNNVVGSAGVGDVYEYSREMTTMQELVENTYYDCALRDQTGMWVPPSVPPMTKHDHEEWQKGFGANGGYFAEEDLWDIDEENKEMTMWDVLAAMVSAGKDKVLSVVSYDFGRQGMSLISHLLLEEACKDKADTLEDASVGLEHALLEAEPTVWLPDSAAPSCMLCGARFHPIICSRHHCRFCGGLFCGGCSKGRSLMPPKFSTSEPQRVCDVCGVRLECIQPYLMNKISRACQIPTNDLTDLSTLRSWLNLPWARTMEYEIYKAVNSIYGYFKVGSLKPEKSIPDSILRQAKGLAIITVVNVGMMVTYKIGTGLVVARRADGSWSPPSAISTYGVGYGVQAGGELADYIIVLRNTDAIRTFSGNAHLSVGAGISASAGHLGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSVVSTRHSANAQFYGGPIKASEILLGSVSRPAAAATLYRALSKLFEKVENYSSLDF >ORGLA06G0151200.1 pep chromosome:AGI1.1:6:15618973:15621461:1 gene:ORGLA06G0151200 transcript:ORGLA06G0151200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGAGGGGGGGGLGGTRVPTWRERENNRRRERRRRAIAAKIYAGLRAYGNYNLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGFKPPQAERPDPIGRSASPSPCSSYQPSPRASYNPSPASSSFPSSGSSSHITIGGNSLIGGVEGSSLIPWLKTLPLSSSYASSSKFPQLHHLYFNGGSISAPVTPPSSSPTRTPRLRTDWENASVQPPWASANYTSLPNSTPPSPGHKIAPDPAWLAGFQISSAGPSSPTYNLVSPNPFGIFKEAIASTSRVCTPGQSGTCSPVMGGMPAHHDVQMVDGAPDDFAFGSSSNGNNESPGLVKAWEGERIHEECASDELELTLGSSKTRADPS >ORGLA06G0151100.1 pep chromosome:AGI1.1:6:15601608:15607982:1 gene:ORGLA06G0151100 transcript:ORGLA06G0151100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSAVPGAIILLVGGSLLAQCLDPTLPAANTSSSWTNSADDQIRYGDGSIVRVVLLLSHSHGASSDDAAFACGFFCGAPCDRKSFLFGVFLVSTNSTGGVAAAAAAPPPVVVWSANRDRPVRDNATLQLSDAGDLVLRDAVGAFVWSTNTSAGHAVTGVRLSDSGNLVLFDDSGSPVWQSFDHPADVLLPGQYLRPGMRLTVNALAADFSEGSLYVSVGNNAMSGFVGHNPPQLYFTAPVSDTMDTLANTMDAPVSISAFGRSPSSSSEILISLPVAHSVQYIRAVSDGHMRLYGWNSSSWVIMYEVLQKYTPAVTASTRWLVAAMASALVPGIAVAHQRFIPLQSTEIGLVSDVSWRRRFLAGMYEVLKWWSFQM >ORGLA06G0151000.1 pep chromosome:AGI1.1:6:15587854:15589620:1 gene:ORGLA06G0151000 transcript:ORGLA06G0151000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYVALKNGSLEVFTSFQETKAPDYHIQLPENSFGLEFARLDWDGHMRLYQWINYSAWVPSDIFDITDPCAYPLACGAYGICSHGQCSCPDVAIGQSGLFELVDAKGVNHGCFLTSSLTCGSARKTRFLAVPNVTHFNFVYNWTTNEDHCKLSCMDDCSCRASFFQHKDISSGFCFLAFNIFSMINFSAQSYSSNFSSSAFLKIQDSTHKSLLSKEKRAIVLVAGSLSFVTSVIVAVLIVLRRKRDEPLEDEYFIDQLPELPTRFSFVDLKSATGDFSRKIGAGGFGSVFEGQIGDKHVAVKRLDNIGQGKREFLAEVQTIGSINHIHLVRLIGFCVEKTHRLLVYEYMPNGSLDKWIFQNHQADPLDWKTRLKIISDVAKGLAYLHSDCRQTIAHLDIKPENILLDEVFTAKISDFGLAKLIDREQSSVMTRLRGRLGYLAPEWLTSVITEKVDVYSFGVVIMEILCSRRNLDYSQPEESCHLISMLQEKAKNNQLMDLIDPCFFDMELHMDDVLRMMNLAMWCLQVDNNRRPSMSMVVKILEGTMDVETELDFDLVNIDPMVVAHRAAQRNSITLQVDSVLSGPR >ORGLA06G0150900.1 pep chromosome:AGI1.1:6:15577021:15577605:1 gene:ORGLA06G0150900 transcript:ORGLA06G0150900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLPEIVSFSPESDGSSSSSAPLIGGDGELVVQLVPRDVSDGILGKFADTSEFDFDYDQSGLWSPLVLRPEVLLLAQTPAGRRRRHHHHRCRWKRKKMLCYCFW >ORGLA06G0150800.1 pep chromosome:AGI1.1:6:15567488:15572334:-1 gene:ORGLA06G0150800 transcript:ORGLA06G0150800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVQTDEGKAFAERESLYFMETSALESTNVENAFAEVLTQIYRIVSKRSVEAGDDAGSGPGKGEKINIKDDVSAVKKGGCCSG >ORGLA06G0150700.1 pep chromosome:AGI1.1:6:15561352:15561609:1 gene:ORGLA06G0150700 transcript:ORGLA06G0150700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGEDRGVSAIRRAEASFSRCGDAGVEVVESGKVGGSDDGMEAVTVGRRGGKAGRESDGGDAGLEAVESGKIGGGDGGVEAVAV >ORGLA06G0150600.1 pep chromosome:AGI1.1:6:15543619:15544467:-1 gene:ORGLA06G0150600 transcript:ORGLA06G0150600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPPAAAAAAAEDVLSLYDACWFRRLVLLSPSSPAAVAADVAPASPPVASQREEEEEEEEEEREVKRSPPGTLRHRRTRSDEAATAALDGLEPLRIPNGHRARLETILSGKDGLAAALPQPQPMAERRRAAVRRPGGRRRRQRRGRSMSELEFEEVKGLQDLGFTFSEDDVDAELASIVPGLRRRRSDEDDAREAPAAAAASAEEEAASSRRIGSAPAGTSSSFSSAPRRPYLSEAWDDEEEEMRRMLRNWRIPPAGDGDGADLKEHLRLWAHTVASAVR >ORGLA06G0150500.1 pep chromosome:AGI1.1:6:15536203:15537327:-1 gene:ORGLA06G0150500 transcript:ORGLA06G0150500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALEAGGLFRGLLLLLLYSLYPMLLRLGHDRVTKLMVMVSFADVRKEDGRRRSGWASRSLVPRAMRPICLEMAKTTVTVGVGMARDRQRLPIAQVRSLCSYIVHAAVTAELLRDSVEVLEEVIDGCHIVLGKVQHATVEDDL >ORGLA06G0150400.1 pep chromosome:AGI1.1:6:15526267:15528526:-1 gene:ORGLA06G0150400 transcript:ORGLA06G0150400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >ORGLA06G0150300.1 pep chromosome:AGI1.1:6:15520039:15521625:-1 gene:ORGLA06G0150300 transcript:ORGLA06G0150300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDANMAMSSRSLGALAAFVFLCTAASMSSSMAATDSFLQCLSASIPSQLLYTQSSPSYTSVLDAGIRNPKFLTNTTRPVWIITPTNASHVEAAVLCGRRNGVRLRIRSGGHDYEGLSYRSERPETFAVLDLVNIRAVRVDAASATAWVDSGATLGEMYYAIGKAGGRIAFPAGLCPTVGVGGHFSGGGFGMLLRKYGLAADNVVDAVLVDAKGRLLDKNSMGSDVFWALRGGAGESFGIVLSWKVKLVAVPPTVTVFNVPVTVSQGAIDVVTRWQAVAPSLPDDLFIRVLVQGQRASFQSLYLGTCDALLPVMRSRFPELGMNRSDCREMTWIQSVPYIYLGSSATVEDILNRTIAMDTSNKATSDYVRQAIGRDTWSAIFGWLARPNAGLMILDPYGGQIGSVAEAATPFPHRGGVLYNIQYMNFWSAAGGGGGGAAQRAWIRDFYAFMAPFVSKDPREAYANYRDLDLGENVVGTGGVSSYDAGKVWGEKYFRGNYQRLAMAKAQIDADDYFRNEQSIPPLVAGK >ORGLA06G0150200.1 pep chromosome:AGI1.1:6:15511980:15512414:-1 gene:ORGLA06G0150200 transcript:ORGLA06G0150200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRILSTPTAQRSSAPFIAHCLPLPYLCSVQVVPGIPVSHPPPPSHRRSRLSSTSMGSLDLGAAQEDRRHSRRRTRSSSGFLLLPCRMGLLAGDLLPSHPQVTPSIPRCCHTLSHSSPCPLPDRLIWIPEAGVSSMDICYSC >ORGLA06G0150100.1 pep chromosome:AGI1.1:6:15511010:15511204:-1 gene:ORGLA06G0150100 transcript:ORGLA06G0150100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSATVQDMVPPLATLEMRSSLFPIGHRWSRRPPHLPPPTEPRSSPAAAAAAKLHRCHRLRPY >ORGLA06G0150000.1 pep chromosome:AGI1.1:6:15505766:15506171:-1 gene:ORGLA06G0150000 transcript:ORGLA06G0150000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAKEAGLLNGVIPHLVDDGVFILQYADDTIIFLEHDLQQEFRCILGSFLIKIGNLLKRELRKSLVVGKASIYLLVVDWC >ORGLA06G0149900.1 pep chromosome:AGI1.1:6:15497774:15499360:-1 gene:ORGLA06G0149900 transcript:ORGLA06G0149900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKTLLLFIIFCIFSSCYIPSPAIASDHDPSSKGFIHCLTKKSIPPWLIHTRSSSSYTSILKSSIRNPKFLNTTASATPLCIVMAKKTSHIQAAVVCGRRHRVRVRARSGGHDYEGLSYRAEDRRERFAVVDLSGMRSVRVDAARGTAWVQSGATLGELYHAIWSSAPRLGFAAGVCPTVGVGGHFSGGGFGMLQRKYGLAVDHVVNATLVDARGGLLGRDAMGEDLFWAIRGGGGGSFGIVASWHIKLVPVPPTVTVFDVVRTPEHGAIDVLTKWQEIAPRLPDDIMVRVIAEPRRVTFEAMYLGTCDELLPLMHHRFPDLAMTRADCNEMTWIESIPYIHLGSNATVADILNRSSISRVNTKNRSDYVRHPIPKSIWKKIFAKLQQLTNFGEVQLFIDPYGAKISRIHESATPFPHREGVLYNIQYITYWSGDANGTLALKWSRDLYKFMEPYGSKNPREAYANYRDLDLGRNKVVNGISSYHHGKVWGEKYFRGNFERLAKVKAKVDPDDYFRNEQSIPPLFE >ORGLA06G0149800.1 pep chromosome:AGI1.1:6:15493480:15495060:-1 gene:ORGLA06G0149800 transcript:ORGLA06G0149800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSLALVLAVCCCCCYAASVAPLSSADSSGEFLQCLAAGVPSQLVVTRGSSSFASVLASSVRNPRFLAPGTVRPLCVVTPTNASHVQAAVLCGRRHGVRLRVRSGGHDYEGLSYRSVRQEVFAVVDLAGLRSVRVNRRAATAWVDSGATVGEMYYAVAKADAGLAFPAGLCPTIGVGGHFSGGGIGMMMRKYGLSVDNVLDAMVVDANGRLLDKKAMGRDYFWALRGGGGESFGIVLSWKVRLVAVPRTVTVFNIQKTLAQGAVDAVTKWQTLAPAALPDELTIRVVVQNKQALFQSLYLGTCDQLLPVMGSRFPELGMTRADCREMSWLQSMVYINGGTSSTPVEVLLNRTTSLSVYTKNKSDYVKQAIPSASWEKIFPWFDGAAGAGLIILEPHGGRVGSIADGNTPYPHRSGVLYNIQYVAFWPTTTATPAVPDWIKNVHAFMEPFVTSNPRDAYVNYRDLDIGENAVAGGVTSYENGRVWGEKYFGAANFRRLALTKGKVDASDYFRNEQSIPPLVSTK >ORGLA06G0149700.1 pep chromosome:AGI1.1:6:15469637:15471223:-1 gene:ORGLA06G0149700 transcript:ORGLA06G0149700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFRNLSLVLTISFLSCHYLSVTSLASSDGFVRCLLQKIPGELVLTPSSSSFADVLVSSIRNPMFFNNATARPLCIVTPADASHVQAAVLCGRAEGVRLRARSGGHDYEGLSYRSARRGEVFAVVDLGARLRAVSVSGGGDAAAWVESGASLGELYYTVAKSNPGLAFPAGVCPTIGVGGHLSGGGISMMSRKYGLAADNILDAKLVNANGELVDRAAMGEDLFWAIRGGGGESFGIVVSWKVRLVQVPTTVTVFVIGRNVDQGAADVVARWQDVAPSLPPELTIRVIVRGQRATFQSLYLGSCADLVPTMSSMFPELGMTSADCREMSWLQSAALIQFWNPSTPVEALLNRRTSLSTFTKAKSDYVRRAIPSDVWKNILPWFTMNGSGQMLLEPMGGFVGGVPAAATPYPHRSGVLYNIQYIAYWSGDGTAANRWISGLYAFMEPYVSSDPREAYVNFRDLDIGENAVAPNDVSTFESGKVWGEKYFAGNFERLAAVKAAVDPTDYFRNEQSIPPFVGPKQVRKA >ORGLA06G0149600.1 pep chromosome:AGI1.1:6:15468634:15469110:-1 gene:ORGLA06G0149600 transcript:ORGLA06G0149600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVEMSELLSAHHVAMYGAVRVKELQRLLAHLTKNTSSAKPIDLSECFLNLANDVLCRVAFGRRFPRDEGDKLSAVLANAQDLLPGSPSATSSLSSSPSPAPSLDSATASRSASPTSARPATLSWTCTSAATASASPATAKRTSSTSSSVSRQREK >ORGLA06G0149500.1 pep chromosome:AGI1.1:6:15449608:15451203:-1 gene:ORGLA06G0149500 transcript:ORGLA06G0149500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSMTTTSRALALVLLSSCCLLVAVDAAYAKKPNLSKNDFLSCLAAGIPARQLYAKGSPSYGSVLTSTIRNLRYLSSKTCNPLYIVTPTDVKHIQVAVSCGRRHNVRIRVRSGGHDYEGLSYRSEIPEPFAIVDLVNMRNVTVDGKARTAWVESGAQIGELYYGISKASPTLAFPAGVCPTIGVGGHFSGGGFGMLLRKFGLASDNVLDVKVVDANGKVQDRKSMGEDYLWAVRGGGGESFGIVVSWKLRLLPVPATVTVIQMPKMVNEGAVDLLTKWQSLAPTFPEDLMIRVMAQAQKAVFEGLYLGTCDALLPLVTSRFPELGVNRSHCNEMSWVQSIAFIHLGKNATVKDILNRTSSIRAFGKYKSDYVTQPLSKATWDTIYKDWFSKPGSGIMIMDPYGATISKPGEADTPFPHRKGMLYNIQYITFWFGEGAPAEAPIKWIRDFYAFMEPYVTKNPRQAYVNYRDLDLGVNAVEAGANVSSYKAGKVWGEKYFKGNFERLARTKAKVDPTDFFRNEQSIPPLLA >ORGLA06G0149400.1 pep chromosome:AGI1.1:6:15432738:15434342:-1 gene:ORGLA06G0149400 transcript:ORGLA06G0149400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPMSFAFTLLAACISVLHHAPAAAAAAPANQIAGFLDCLAASLPAGVVYTHASRSYQSVLESSIKNLLFDTPATPTPVAVVEATDASHVQAAVRCGVGHGVSVRSRSGGHDYEGLSYRSLDAARAFAVVDMAGGALRAVRVDVRGRAAWVGSGATLGEVYYAIANKTSRLGFPGSIGPTVGVGGFLSGGGFGLMLRKHGLASDHVLDATMVDAKGRLLDRAAMGEDLFWAIRGGGGGNFGIVLSWKLRLVPVPATVTVFTVHRSRNQSATDLLAKWQRVAPSLPSDVFLRVVVQNQNAQFESLYLGTRAGLVAAMADAFPELNVTASDCIEMTWVQSVLYFAFYGTGKPPEMLLDRGTGRPDRYFKAKSDYVQEPMPSQVWETTWSWLLKDGAGLLILDPYGGEMARVAPAATPFPHRQALYNIQYYGFWSESGEAAAAKHMGWIRGVYGEMEPYVSKNPRGAYVNYRDLDLGVNDDGDGGGGVASARYEKATVWGRAYFKANFERLAAVKAKVDPDNYFKNEQSIPPLPS >ORGLA06G0149300.1 pep chromosome:AGI1.1:6:15423284:15424891:-1 gene:ORGLA06G0149300 transcript:ORGLA06G0149300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPTAASRLLVLILCTLAISCSSGIAGFAAGDDDAFIRCLAAAAVPPRLVHTPGSASYAPTLVSSIRNLRFVTPGTPRPLAIVAAAEAGHAQAAVRCGRRHGVRVRARSGGHDYEGLSYLSLDRRERFAVLDLAALRDVRVDADRAEAWVGSGATLGELYYAVGAASRTLAFPAGVCPTVGVGGHISGGGFGTLMRRYGLAADNVLDAVLVDADGRLLNRTTMGEGLFWAIRGGGGESFGVVLSWKLRLVRVPETVTVFTIRRPRNQSATDLITKWQEISPSLPRDVILRVVVQSQHAQFESLFLGRCRRLARLMRARFPELGMTQSDCEEITWIQSTVYFAFYSSSKPLELLLDRGTEPDRYFKAKSDYVQEPIPRHAWESTWPWLEEHDAGLLILDPYGGEMARVSPAATPFPHRKGNLYNLQYYSFWFEHGAETLERHLSWVRGLYGEMEPYVSKNPRTGYVNYRDMDLGRNEIEGNVTSYTKGKVWGEKYFRGNFERLAAVKAMVDPDDFFRNEQSIPPLPAAKGWSSI >ORGLA06G0149200.1 pep chromosome:AGI1.1:6:15414309:15418944:-1 gene:ORGLA06G0149200 transcript:ORGLA06G0149200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:I1Q2X9] MHTRSRRLGFPPXIQVTSSPHLTFPLSPPIARAWRCHEPPPLPRGLLWRGGDXWGPWRRGRWRRGSATWSRPPRTPSSASPRRSSPTPPRTKSTSASCGAYRDDSGKPVVLECVREAERRIAGSMNMEYLPMGGSIKMIEESLKLAYGENCEFIKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWANHHNIWRDAQVPQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTFTYYHPESRGLDFAGLMDDIKNAPDGSFFLLHACAHNPTGVDPSEEQWREISHQFKVKKHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCDDEMQAVAVKSQLQQIARPMYSNPPVHGALIVSTILGDPELKSLWLKEVKGMADRIIGMRTALKENLEKLGSPMSWEHITNQIGMFCYSGMTPEQVDRLTKEFHIYMTRNGRISMAGITTGNVAYLANAIHEVTKTK >ORGLA06G0149100.1 pep chromosome:AGI1.1:6:15404423:15410904:1 gene:ORGLA06G0149100 transcript:ORGLA06G0149100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPGGPDLAGAGGAVAVAVDAMQVDDPPRASAEEKHGPTIMGGNDPVTGHIISTTIGGKNDEPKRTISYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRSMDHCNVISLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYKDMKQRMPLIYVKLYMYQIFRGLAYIHTVPGVCHRDIKPQNILVDPLTHQVKVCDFGSAKMLIKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFKFPQIKACPWHKIFHKRMPPEAIDLVSRLLQYSPNLRCTALEACAHSFFDELREPHAKLPNGRPFPPLFNFKQELANTHPELVSRLLPEHAQRHSGF >ORGLA06G0149000.1 pep chromosome:AGI1.1:6:15400903:15401139:-1 gene:ORGLA06G0149000 transcript:ORGLA06G0149000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSGGRPPSPLGIRLPSLPFLPSPNPAEGRGVSSGAAEGERRGLWEHGSDGRPPSPARIRLPSPPLPSLPRPGGGEERRR >ORGLA06G0148900.1 pep chromosome:AGI1.1:6:15399565:15399951:-1 gene:ORGLA06G0148900 transcript:ORGLA06G0148900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRAEVGERDGVCTRRGSALLASRSLSPDPPPLHRPSPPAYCHHRQIRARGSRLCRIPGEGGGSPAAPGRLPPLELKKAKRLEPEEKEKEAAPAPAVWLAGARAXRGCTVLALEWKIRGNTERRRGK >ORGLA06G0148800.1 pep chromosome:AGI1.1:6:15389346:15390533:-1 gene:ORGLA06G0148800 transcript:ORGLA06G0148800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q2X5] MAGVVITGRALVAAVAVVVAVLLGGAAEAQQLSPNFYSRTCPNLASIVRSGMASAVRTEPRMGASILRLFFHDCFVNGCDGSILLDDTSTFTGEKSAGPNANSARGFEVIDAIKTQVEASCKGTVSCADILALAARDGVNLLGGPTWSVALGRKDSRTASQSAANSNLPGPGSSLATLISMFGNQGLSARDMTALSGAHTIGRAQCQFFRSRIYTERNINASFASLRQQTCPRSGGDANLAPFDVQTPDAFDNAYYQNLVSQRGLLHSDQELFNGGSQDGLVRQYSTNPSQFSSDFVSAMVKMGNLLPSSGTATEVRLNCRKVN >ORGLA06G0148700.1 pep chromosome:AGI1.1:6:15376392:15379919:-1 gene:ORGLA06G0148700 transcript:ORGLA06G0148700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G29200) TAIR;Acc:AT1G29200] MEGSCGGGGGGGAVQMAAWQGRVGATNIGRLRHGKTSSSSSAAVAARGGGVTAWHLRVFAGVVGVMGCLLLVASLMMSAVHQVQFRNAAISRSFRGLQELKQNSVRTEEAEQIMHPRLLQMATSVVTKNESDSDSVKFSLWEEPYKQARKWKPCAAKHSLPDEVPEENNNGFILISANGGLNQQRVAVCNAVVVAALLNATLVLPRFLYSSVWKDTSQFGDIYQEDYFVNYMKSDVHIVKDLPPHLQSLDLEAIGSQITDMDISKEAAPSEFIKAVLPILQQNGVVHFLGFGNRLGFDSVPVHLQRLRCRCNFHALKFVPEIQRAGSLLVQRLRRAGAMRTEMDKQLFGSNMLDVPALLAGGEPSRYLALHMRFEEDMVAYSLCDFGGGDAEREELQAYRETHFPTLAMRLRNTSVSPEEQRSLGRCPLTPEEAGLVLTALGYDRGTFIYVAGSQIYGGAARLRPLTRLFPNLVTKEDVLSSAELAPFKNFSSRLAALDFIACASADVFAVTDSGSQLSSLVSGYRVYHGRGRAPTLHPNRKRYAQILGEEEGIGWGGFERRVRNMVEEYKRVSARPRGRSVYRQPRTPGCMCRAAGDGSVDF >ORGLA06G0148600.1 pep chromosome:AGI1.1:6:15337978:15339115:-1 gene:ORGLA06G0148600 transcript:ORGLA06G0148600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGGKGDGKTDSTKAVDEAWKAACAGTGKQTIVFPKGDFVTGPLNFTGPCKGDIVIQLDGNLLGSTDLALFKVNWMEIKRVDNLEISGKGKIDGQGAAVWSKNTCAKKYDCKILPNHLVLDFVNNWLVSGISLVNPKFFHMNMFKCKNITIKDLTITAPEDSPNTDDIHMGDSSKISIIDTVIGTGDDCISVGPGTEGVNISGVTCGPNYGISVGSLGRYKDEKDVTDVTVKNCVLKKSTNGVRIKSYEDAASKFTYENIKMEDVANPIIIIDTKYCPNKICTANGNFKVTIKDITFKNITGTTSTPEAVSLFCSDKLPCTGVTLNDINVEYAGKNNKTMAVCKNAKGTATGCLKELSCF >ORGLA06G0148500.1 pep chromosome:AGI1.1:6:15307281:15309761:1 gene:ORGLA06G0148500 transcript:ORGLA06G0148500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSFSPLFDASGSLTLASPMPLHSHLLLLLLALSTSVVAGSVSSSPSACAGGGGGGGDAAIVAAAFRGVRNFQLPPCGAVRELRLPSRNLTGAVAWAALANLSGLAVLDLSGNALQGAIPGGFWRAPSLRHVDVSGNQLGGALRVVEASPRLESLNVSGNRFTGVAGAEALAGLRVLDVSANRIRAVPQGLRRLARVSRLDLSRNAMQGRFPGDLPPLAGLRFLNVSYNNFSGVVDGGAVKKFGHSAFVHSGNTSLVFSENSKARRPPPPPSPPPSHPHRSGGKNDTATPARRTRTRSRRKHLSVVTVAVVCGVVSVAMLLCLVGCVACGVLRCRKNRGKEAEEEKRKAHWGGMDEEEVVAVAAAAAKGGSAAPVVLFERPLMELTLADLAEATSGFGRESQLAERGGRSGAAYRAVLPGDMHVVVRVVDGAMAGVGEDDDPATAATAFRDLARLRHPNILPLLGYCIAGKEKLLLYEYMEKGDLHRWLHELPAGRPDMEDDTGGDIWEVAEDKRSISDWPTRHRIALGIARGLAFLHQGWAGSGRPVVHGHLVPTNILLGEDLEPRISDFGHPSDTTPEGDVYSFGVLVLELITGQAGWDEASVSWARGIIRDHKGLDIVDPRVRDEAGGGPETSTVEREMVECLRVGYLCTAQSPEKRPTMQQVVGVLKDIRVAPASSSST >ORGLA06G0148400.1 pep chromosome:AGI1.1:6:15287048:15290469:-1 gene:ORGLA06G0148400 transcript:ORGLA06G0148400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGDVLMHYVTRSNSQNAIWEDAYQVITQDPEDCLTLIHRSYIYNVNMAIESAKVLHRKIDSIMVTTDRLKPSTLTGSAPGQRLMVTDDFESPVTGEGLSEVRATSNGPQLKPVTGIRIINHSSNNWNNMNITRQNSWVKEDLLAMDLSSLCVTGGKPTHVDAGGDDLVAEEIKKRRSTYVDGGGGSGVKKGEGGGDWQPWSPGVPDPVVPNHLETGSGAHHLEAITGDHHRSSGTKKRSPELRDEEDPPEISRIRQGWEGRRGGAGAADGGRGGGRGPTTAAVSTTRGSAAIDTSSRASTSAHASRPLAPLTMEPAMEAASLGPNTVRPSAGARGE >ORGLA06G0148300.1 pep chromosome:AGI1.1:6:15282918:15283566:1 gene:ORGLA06G0148300 transcript:ORGLA06G0148300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGLTTTVQDFTASPSRFSINNPILSESFQTFVDGCMHCPRSCNFNLQAASSLIILVVSARQKISYNLSNAPLLKVYCSSNLPSCLFPNRRNHAGFAIRVELEPPMQPWLTGLLLTLLRFNDKLRGNPLLSPVMLTPKSTTQQQTSLCRFHRDSRWGLMACQVECTSNEAQGSSRCGSATRWLVVICFYL >ORGLA06G0148200.1 pep chromosome:AGI1.1:6:15278251:15279801:-1 gene:ORGLA06G0148200 transcript:ORGLA06G0148200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1Q2W9] MFRSMGTGTGTKPPAMTTERYEFGPLVGEGNFAKVYLGRHRATGEEVAIKVMDKEKLVRLGATELIKREIAVMQRLRHPNVVRIHEVMANKRRICVVMEYVRGGALYRYFRRGPSGGAAGLREHEARRFFQQLVSAVAYCHSRGVFHRDIKLDNLLVDEQGNLKVADFGLSALADMERREAHLQTVCGTPLFLAPEVFKRRGYDGAKADVWACGVVLYVLLTGRKPFPDEHVSRLYRLIGQNQFQCPPSFSPDLARLVRRLLQPDPDRRITIPEIMEMKWFKRGFKEVTYYIDSNDRLRSLDGLDGEPELYDSDTDTIESSSSSESPTPVAGTPRGMHTSVSAPALSELDRMEDSASLPLTLPLPLPPRPRMPRPKSLNAFDIIASSPSFDLSGLFEERGERMRFVSGAPVADIIAKLQEIAGMVSFTARTKDCQVSIEATRNGQKGALAISAKVFELTRELVMVQVCKKAGDTAEYRRFCDNELKAGLRGLVVDALPPPVEGGGHGGAAAAAEAE >ORGLA06G0148100.1 pep chromosome:AGI1.1:6:15246178:15248465:-1 gene:ORGLA06G0148100 transcript:ORGLA06G0148100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKEKEVVEIFEHDHDNKIRSPENSVPPAMVLDLNEGFGEGSEEGGVGEDGDEEDDDEDDDDDDDGGSTSEVAVGGRSSSNNSSTNHNSGSDKDHDMNSSSSKADGGGDRVPTVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHESGHERGAISSVFSPMEFHMRRGDHRFHHHDMFFQRAAAAGVSPFSSRMLHESGGGFFASRNPGLPESSRLYGLFQRRQQAPMQKFDFKNCNSFSRNQEWAFNQQHAAARAAGAVNGHGPAKGLIHEMIFRKEGKPTSHLFDVRDAIASTRVTSATTAAAADHRLDGAGKAGNFDWIGSSSSRPLTRTMSAAAAATMGDHHHLRWRGGAAGSGNTTASSDPVVASEAALGSLLERAKTKATEAMRLETPVKMTAAAVAAEEINGRTPDLQLSLSPNAGDHRSAGKKRKFLSEQEVDSDKQQLPLTLSLSLRGGGDNGGGGGGEAAGRLEEEMTGSSSSKKAALGLSTLDLTMSIKALE >ORGLA06G0148000.1 pep chromosome:AGI1.1:6:15207576:15207878:1 gene:ORGLA06G0148000 transcript:ORGLA06G0148000.1 gene_biotype:protein_coding transcript_biotype:protein_coding AYHNAKIYKERTKHWHDKRTKIKKFKPGDKVLMFNSRVKLFGHGKLRSKWEGPFDVINTSSHGAITLRDESGNIFKVNGQRLKIFLEPNKTLDEEVDIID >ORGLA06G0147900.1 pep chromosome:AGI1.1:6:15203230:15203688:-1 gene:ORGLA06G0147900 transcript:ORGLA06G0147900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLDRVNTVVISTADAAREVMRDQDNTFASRPRLTVPRRLLYGCTDIAFAPHGAYWCAARRASVLHLLGPATVRGYYAVREEEVGELLQLVEVAASGGVMRLSELLSAFAKDVAVRNMLGIRGGDLTSSVLGGLHSCLDLKRMEPYVPRVS >ORGLA06G0147800.1 pep chromosome:AGI1.1:6:15182005:15188155:-1 gene:ORGLA06G0147800 transcript:ORGLA06G0147800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDLPKRKKTRGEIFFGPTKSTTRYWYFRVLNRFGSLDLVGQRHHNLNKDGVDKASSSNDDIGHLLTPAHQAAGFSLFDLFRIVDATDNFSLENKIGEGGFGRVYKGQLNGLPVAVKRCFVESSPERLSDFENEIKFIPRLQHRNIVTLKGYCIEGKERILVYEYMQNKSLDKFIFGPRTDWSLYWDRLFAIIEGIAQGIVYLHLHSGLKIIHRDLKLSNILLDSEMNPKISDFGTARSGFPNKGRRTDTVSGTYGYMAPEYSTRGIFSGKSDVFSFGSLLLEIVSGKRNGTWYSIRERKSISLHEYAWRLVFEEKNPKRLIRSSLRISVGGDAPHLMGQIVGCAHIALLCVQEDPEDRPSMWDVVLMLHGGVAALSALPTPKQPARRYGGREQRPKFREVLANGHDWDKKTVTVLMR >ORGLA06G0147700.1 pep chromosome:AGI1.1:6:15150122:15151290:1 gene:ORGLA06G0147700 transcript:ORGLA06G0147700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLLEILRLLECPDVMRCAAVCTAWRAAYRDLRRRGIAASRQTPCLIYRSAAAGLNAIGMYSLSDQRPYTIPIPDPISEQHWFGSSNGWLITADCRSDIILLNPITGRRIALPPATTMQHVTLVLNEEGFLTNPSXLHRHAHPSAIXSALFCRGRRQXLELARSRLYFRXLYLPXRMVLRGNFHGSNSCLQSSWAFRCAQNNLSEDPRQXYAPGIHRSGSMGGPSSDLQNSRHPCKRATTQPSSSYIGVQSVQGQFGXAEACEDDRNWXTRSLCWAQCFGLPFCXGPSHFDAESCLLHXXRFXNSFFFQKFSSXCRSVQHREXHCHKSGISXTLDIFTASHLVYSXSHRI >ORGLA06G0147600.1 pep chromosome:AGI1.1:6:15135478:15136240:-1 gene:ORGLA06G0147600 transcript:ORGLA06G0147600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G29100) TAIR;Acc:AT1G29100] MTIVEMQMNIDCDGCEDNVRKALQRLQGVDYVDVDRVRGKVTVTGSASQKKVLRAARRSGRIAVLWPSAYDTDHRHHHQAYYAQPAYHHHHHYQQTIKPAAAAAVAVAVAARAPHHHQHYSSVQHGRMSGRGKMVSSYNYHVHGYFDSDLHGYSGGHHHGDVVPAAARSYFSDENPHACAVM >ORGLA06G0147500.1 pep chromosome:AGI1.1:6:15131422:15133808:1 gene:ORGLA06G0147500 transcript:ORGLA06G0147500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRHSTHHPTLLLRRHYPNFCALAPLRPTRQLPSLPQQQLQQKQHVGHDPSPSEPTTAAASPATAAAAGALRVGIVGFGNFGQFIAGGIQRQGHAVLATSRSDYSGYCARHGIRFFATADELCEAGPDVLLVCSSILSTEAVVRAIPFRKLRAGTLVADVLSVKQFPRNLLLEILPPGFGIVCTHPMFGPESGKHGWSGLPFVYDKVRVAKEGDQAAKCEQFLSIFEREGCRMVEMLCEEHDRYAAGSQFITHTIGRILSQLNLESTPINTKGYETLLQLTKNTISDSFDLYYGLFMYNVNATEQIDNLDRAFEKVKQMLYGRLHNVLRKQIVERVPIP >ORGLA06G0147400.1 pep chromosome:AGI1.1:6:15117678:15124987:1 gene:ORGLA06G0147400 transcript:ORGLA06G0147400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAEAPIRADAVPAAAAAAAAAXXXXXXXXXXDAAAAVEAEAAGKDGGEEVREYKSDARKLEELFKKLNPSAEEFVPLARRRVGGDGARRLSADAPVFVSPAIDFYSQHPVQQPPPIQVLPVVVGGGGGAGLDSSSDGSTNGQPNRRRRSSFNQGRRRMGGRPRRTDREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPNSVLRFAFIEFADDVGARAALTLGGTVLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKNVPEDAVKSFFEGMCGEVARLRLLGDYVHSTCIAFVEFVQADSAILALSCSGMVLGALPVRVSPSKTPVRPRSPRVTSH >ORGLA06G0147300.1 pep chromosome:AGI1.1:6:15109010:15114675:1 gene:ORGLA06G0147300 transcript:ORGLA06G0147300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRCGGSHVLIGIRGPREDTVEQLIMVTMKRVMDLNGLESGHMQQKNMNREGQKREGEFTLREMTGGLCKTCLSGDIEVLSGTIYIIYPICKEPTDINPSGFAVLEHWMTNPGVHFVLKISQYILLSFLEVTVSYGQLAFSSGFFSLVYWDHDGDDILQGNHITVGQRRKKVTGRKVRGIDIDQEEDSLFLLCCLM >ORGLA06G0147200.1 pep chromosome:AGI1.1:6:15103966:15104364:1 gene:ORGLA06G0147200 transcript:ORGLA06G0147200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSRAALLVAVLLLLLVSSLSVRAEADQVARAPALAPDVAAASWVQQGSQEAAAPGRPGMGMGKNGAARWRRTAGRRGRGGGGGTGAWAFSAMLPRGFVPPSGSSACHNDMPAAAADAEFFVCGGGDGSP >ORGLA06G0147100.1 pep chromosome:AGI1.1:6:15092555:15095736:-1 gene:ORGLA06G0147100 transcript:ORGLA06G0147100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:I1Q2V8] MGKNASRLAVAAVVVFALLLSLRQLEAADDVSVSCSDVVADVTPCLGFLQGDDDHPSGECCDGLSGLVAAAATTEDRQAACECLKSAVSGQFTAVEAAPARDLPADCGLSLPYTFSPDVDCSQSQGHNQAFKQPNNSSTGPQLPPRN >ORGLA06G0147000.1 pep chromosome:AGI1.1:6:15089934:15091724:1 gene:ORGLA06G0147000 transcript:ORGLA06G0147000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cationic amino acid transporter 8 [Source:Projected from Arabidopsis thaliana (AT1G17120) TAIR;Acc:AT1G17120] MATAAAAGEGGSGRRYWRWSKADFFPEPSFASWRSYGGALAATVPRLVDRVGSRSSEAAEAGTLRAVSENPLRRCLSWLDLAFLGFGSVVGSGVFVLTGQEARFDAGPAIPLAYAAAGFSALLSSFCYAELATEIPSAGGSFSYLRVELGDIAAFLAAGNILLEAVVGAAGLGRSWTSYLAALLGRDTDSLRIHVPALAEGFNLLDPIAVVVLVSTSAVAMSGARLTSTLNSLASVVGIAIIAFVLAAGFSHFDAGNLAPSFFPFGAAGVFRAAGVVYWSYTGFDMVATMAEETKNPGRDVPLGLISSMSSITVVYCLMSLALVGMQRYTEIDANAAYSVAFAAAGMRWARYVVALGALKGMTSGLLVGALGQARYTTQIARTHMIPPYFALVHPTTGTPIYATVAVTLGAACVALFSSLDVLASVSSISTLFIFALVAVALLVRRYHVAGATTPGQLRTFLAFLALVVLSSIGVSAYYNSRYARRWPGYAAFGCGWAAGAAGLAACAEKQRAPRVYGAPLVPWLPAMSIATNLFLMGSLGTAAYARFGICTAAMLVYYVLFGVHATYDVAHADDAAADNLEHGKIAAAPAPTTPA >ORGLA06G0146900.1 pep chromosome:AGI1.1:6:15076930:15077226:1 gene:ORGLA06G0146900 transcript:ORGLA06G0146900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAGGWQWRRRFLAKSAQFPASEGIAHVSHYDSMQHAAFRETAKRCGLRRETAKTGWGSTVKLGAFESGGGTQCCGRRNTDVGRGEYRQTINRNTDD >ORGLA06G0146800.1 pep chromosome:AGI1.1:6:15076604:15076861:-1 gene:ORGLA06G0146800 transcript:ORGLA06G0146800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRGAPVPQHRALSGGDNQKGLNAKKNDATRSFPSLSPSSSFVSCLREVGGNSLEDERRRRHPDLPQHLGDEEGDGGWRHTEE >ORGLA06G0146700.1 pep chromosome:AGI1.1:6:15067544:15068290:1 gene:ORGLA06G0146700 transcript:ORGLA06G0146700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDGDDSTRRSWTVEEGANGDERKEILDSQINAGEGGHSDRWGRGETGGELLSEGSGEQDAHPLELIDERDDDRLGSLPRSGEGEATTELPRSRHRSSVLPLARRLLVREEAVRVVVTRGAPMGWSMGAREEAELHAAARGRGRRALLVLSRVSVLLLLASVDTGVEEADEVDKAHTTDEENVDLTRMTEKCTTMAWLQFYQISMAPNRYRK >ORGLA06G0146600.1 pep chromosome:AGI1.1:6:15066527:15067021:-1 gene:ORGLA06G0146600 transcript:ORGLA06G0146600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLVEEHRSGAEVHTGHELCERKARELLVELGLPDGLLPLPSLEEVGYNRAAGFVWLRQTQAGGATHTFDTIGKQVWYAGEVTAFVEKGRMHGVAGVKSKELLIWVSISEIVLSPSGTKLVFRTPAGLGRALPFTAFQLNPAPPEPEKKDAAADEADAAATN >ORGLA06G0146500.1 pep chromosome:AGI1.1:6:15048650:15050364:-1 gene:ORGLA06G0146500 transcript:ORGLA06G0146500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQIRIIMKSFMSQANKVQGVIPYAQKIGLPESRSLYTVLRSPHIDKKSREQFSMHVKKQFLVQKAETHELQKKLFWLKRLRLLGAQYEIQISFKTRLDKMLDHVDTVYNPCLDGTDLQCLMLPRKINNYVGSFETGNVVRTSLSLIQPYLVYSKDQLFELKHNNSIIRNIEHEQGGRRSSHGMNK >ORGLA06G0146400.1 pep chromosome:AGI1.1:6:15041176:15041482:-1 gene:ORGLA06G0146400 transcript:ORGLA06G0146400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRVEDDWIGYKLGNMVRRMLVATTRSSKAFYRRWVEDAGCWWSGGHTRSIKGRIG >ORGLA06G0146300.1 pep chromosome:AGI1.1:6:15023114:15023737:-1 gene:ORGLA06G0146300 transcript:ORGLA06G0146300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHVLQGGGGAAVPVPDQSSYGGGGAGGHQADVVVVDGAFLMELLDDTPAAAAAAEQQPEGDDADDRLSRVMRSLEAELGGAGAAPAPTSAPPLGSSSGDGGSPASGDGGRLDQLDDVLSLSDFDGGGSSRPGSCSAPPFEYWARAELPPAMGHDMGGGWCVDGDGDGLAAAVAGYEFVREPCYYTYGYGYNESSHVEQPYSPLWE >ORGLA06G0146200.1 pep chromosome:AGI1.1:6:15014396:15018160:1 gene:ORGLA06G0146200 transcript:ORGLA06G0146200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAFSSSASEPLRPDLVAHLVAYYDPPQRRSFVRGVRVAVTRKHFADALCLPCKPSPAAAPPPPEDADPAAVAAAAMELLQAYVLPPFQGDDMCILPPEVAAAEQAVRDGSAHRVDWAGLIWGLVEKEMQDLPKRDDGLCYYGAYLQRLIWAQKPELFERTEEGERGGEVVLEVSDMDEEDGEDDTDVKSKSMEELESGDADADAKNSNLEKSEAGGADLRSNCLEELVSGDADVRGTSAEELESHVEDKVSKGLEETRAEDVDANHMDLDESEAVDEDAKGKSFGESEMGFVSVEEVSVTHEVMLPNYEEVATEGDGDTAMAAVENDAGSLAETVVMTHEEFVAVPEDDEEEADGDEENDATGLSLGIGSANDYDSTDGEEDANVENLGEGDSGNEEAEESEEDAFGQYRGEDMNWTMGDEKDHGSDFVNLQFDNLNKGDDEIRNEVSYDDGFSGKMGSLHGMTSTNLLQAMSSIPATYNVSENAPDLSSGEFLAMGADAHKNGLDLGTGSSYFFENNGKRHIGEIEEYNDPMPGHEQFDQRNPNKRMRNSNNSSIPPGSSVFNAHFAEPFQSLMSKASMFYEQKERELQDVLVEKQYLANMLQEKEQIIQSLNSARFEQENKWQAELRRFEHDLNVMAQLVTGYRRALKQNRASFDEYRKKFPCDKPRYCDVAGGGGLVLSVKELEKKRLEEVQQKLAIANEMIENFQHEWFSKLDDWARSIHFIWCRTEELIREINLLREKRKATVTNPATEEAKVTTPATELAEVNPSYRRSGRYNPSYRKSGRYNPSYRRMSSHFPDIQRTEKIMIGGKENVDVTKG >ORGLA06G0146100.1 pep chromosome:AGI1.1:6:15010928:15012937:-1 gene:ORGLA06G0146100 transcript:ORGLA06G0146100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDIVESSCIIISAYKYHHLSLGGITTSNYTAICDTITKTLVIPGTYMVSDKTWYDGQRFALIGGYEQARWCCMLKIGNQDGELVLVQFDIGGVTIFSLMSPLRKGQIDGDVKVDAEHVGVDSHDVQDSQAGDERAA >ORGLA06G0146000.1 pep chromosome:AGI1.1:6:15002947:15009398:1 gene:ORGLA06G0146000 transcript:ORGLA06G0146000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:UniProtKB/TrEMBL;Acc:I1Q2U7] MALNAPVLVLKDSLKRESGTKVHHANIQAAKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKHYHPTVICRAYTKALEDALAVLDKIAMHVDVNDRAAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKVEKVPGGQLEDSRVLKGVMFNKDVVAPGKMRRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWQVLLEMEEEYIKNLCAQILKFKPDLVVTEKGLSDLAIHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTRAGLFEVKKIGDEFFTFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSAALKQKSSSVEGVEKWPYEAAALAFEAIPRTLAQNCGLNVIRIMTQLQGKHANGENAWVGIDGRSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIEQEGDADNEQMIPE >ORGLA06G0145900.1 pep chromosome:AGI1.1:6:14998363:15001064:-1 gene:ORGLA06G0145900 transcript:ORGLA06G0145900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plasmodesmata callose-binding protein 5 [Source:Projected from Arabidopsis thaliana (AT3G58100) TAIR;Acc:AT3G58100] MPAASSPLPLPLLLLLLLAVAGAGAAGAGPGVGVSGGGQLWCVAKNNADDAALQAAVDWACGPAGGADCRAIQQGGACYDPPDLLAHASYAFNDYFLRAGGAPAAPAACDFSGAAALTALNPSHGSCVFPSSTSPKNGSFTGTTTYGPAGADLSKSSSRQLNFWSLLLCICLSVTFFDAFPSDTFS >ORGLA06G0145800.1 pep chromosome:AGI1.1:6:14997350:14997799:1 gene:ORGLA06G0145800 transcript:ORGLA06G0145800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEMSPDNLLFFCSVAASAAAGFCLFSLYRRLARRCHHHHVAPAGGSEEEEERRRLTAVASGLPAFTYDRLVRHSGKGASWTECAVCLGVIHVGAMVKLLPACAHIYHVDCIDLWLSSHPTCPLCRCRVDHPGQGQETARQLAQLSPA >ORGLA06G0145700.1 pep chromosome:AGI1.1:6:14991570:14992046:1 gene:ORGLA06G0145700 transcript:ORGLA06G0145700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCALCQGHRNSSRASAAAAAAAAAAAAQSRPPPQMRSGGDGGDDGGGGERQLGRSSASPSAPPWPTALVPAFTYSRSVRRNVGGDDGEEEETAAAAAACSVCLGAFQLGETVRLLPACLHLYHAECIDPWLDAHTTCPLCRSDTGDPSPVDAGRIPPV >ORGLA06G0145600.1 pep chromosome:AGI1.1:6:14985157:14985681:1 gene:ORGLA06G0145600 transcript:ORGLA06G0145600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSGSTTTSMPPVPQENQSVGKGTAIFSYTCVGLTGVALVAVVAFYCNRHVRRRSPVVAAEGAGGVGGREDDGRGVADVAAKIPEFAYAGSARHGGGGECSVCLGAVQGGEAVRRLPACKHLYHVECIDMWLASHATCPICRTEVEPPPEDDDGRPAPAADESSPTEALPPV >ORGLA06G0145500.1 pep chromosome:AGI1.1:6:14980708:14981208:1 gene:ORGLA06G0145500 transcript:ORGLA06G0145500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSTPPVAPDESRPPVVKGPKIFSYTCAGLVTGVALVAVVVFYCNRHVRRRAHVVVAGAGGREDDDVRGVAGVAAKIPEFAYTGSASGGEGAAQCSVCLGAVRGGEMVRRLPACKHLYHVECIDMWLASHATCPLCRTEVEPPPGDGGGRPAPAADESSPTEALPPV >ORGLA06G0145400.1 pep chromosome:AGI1.1:6:14961057:14962425:-1 gene:ORGLA06G0145400 transcript:ORGLA06G0145400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERDRLGLRGLLPPNVVSSQPTDRPIQRAEEGFATVRGALVKRPNFDCERPHPNSHENGTSMDLIQEVKRGREDELHLSFMKLP >ORGLA06G0145300.1 pep chromosome:AGI1.1:6:14953487:14953996:1 gene:ORGLA06G0145300 transcript:ORGLA06G0145300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFAPTGYQQPPPPPAASASPGGWIASTAIFMSIFFSTFLLAMAVSVYCCLLCRDRVRSDRDDTGAVAERARGGGIVAPLPVEALPPAYPYVVGSSEDGGATAASGGGRECAVCLGAVREGEMVRRLPACEHVYHADCIDRWLAAHRTCPLCRRELDPGKNPPDQLPV >ORGLA06G0145200.1 pep chromosome:AGI1.1:6:14951006:14951581:-1 gene:ORGLA06G0145200 transcript:ORGLA06G0145200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVETCCGGGGGQAASSSGPPPRGLIVVSTVFLSIFLATFLTLMSLAFCCCRRWRERDAVSGYVDGEGAVVVVAAGADSGGGEPFPVEALPPAYAYVVGSSEDGGATAASGGGGRECAVCLGAVREGEMVRRLPACEHVYHAGCIDRWLAAHRTCPLCRRELDPGKLAAGAPPAPAQQQVDPTDQLPV >ORGLA06G0145100.1 pep chromosome:AGI1.1:6:14946546:14947349:1 gene:ORGLA06G0145100 transcript:ORGLA06G0145100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRSVWADNFAAESAILRAVAPRAVYAAINVQYPGCVVSASAAAGDRRCYYDLTAEERYKVVRANADELKPLQLGLAVRTADGGRFAWEFNLNEFDLAADGDMCEPGSVDYLRRRGMDFNALPWSGVGAASLGRLLWSSGLLAARPSWATFAGAYHVAYFARILMLAVAVAGGGGAARRLPADVGGFEEMVRSLLGHHVYDVRLLRGPLADVARQLGAAVPADAAAAGLAGAGALMALQAFEALREQCRGVMPHRGVLCGIQAN >ORGLA06G0145000.1 pep chromosome:AGI1.1:6:14943800:14944300:1 gene:ORGLA06G0145000 transcript:ORGLA06G0145000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLAGARWVLLLLAAVTVLLCLQLSPRDNAVDGAAAAAAAAGPAQTADLPLQQPAALPREAPAEGDLRGLGASSSPVFVVAVLPAYAWRKKAAGDGDDGDGECAICLGEVRRGQVVKQLPACTHLFHARCIDKWLITSQGTCPVCRTPVDSAAALQAVRVADQPP >ORGLA06G0144900.1 pep chromosome:AGI1.1:6:14934842:14935865:-1 gene:ORGLA06G0144900 transcript:ORGLA06G0144900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGWVELEEVAPRCKRAVAQRAAWVLSVEAMRLQILGFGVAVVAGFRENGGGGRWALVRSEIGSQRDKELGAANTDALVHSQAVGTGLTDKAIPDAGGGGIGGGTLPAYSGRRRSVLSPVAREREDRERRGRRR >ORGLA06G0144800.1 pep chromosome:AGI1.1:6:14930018:14930773:1 gene:ORGLA06G0144800 transcript:ORGLA06G0144800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPWLDLPFTLLTLLLATRLAYDYYGVVAATFTGSFSLQIFLFYCFARWYRHTIAARAAADADGDGGGGAVADEEAAPPVLIPLLEGRGGGGGGAGAASSLANRCFAVVFMVFVPLVIVVFERSQADVVAYALCLANILVMVVWLSPDAAADPASAAKSFLRLSDDEDEGSGSGSGHGAAEDKCCVCLAGMREAQALRDLPRCGHRFHAKCIGKWLTAHPTCPVCRATAVPPPPPLPAGGDHADDAITPV >ORGLA06G0144700.1 pep chromosome:AGI1.1:6:14913965:14917021:-1 gene:ORGLA06G0144700 transcript:ORGLA06G0144700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKDEAVKAKALAEKKMREKDFAGAKRMINKAQNLSKDVDSNISQMLTVCDIHCASATKVNGEIDWYGILQVPVTADDTLIKKQYRKLALLLHPDKNNFAGAEAAFKLVGEANMTLTDRSKRSVYDMKRNASVRIGSARVPYQQSRRTAPVRPTTTPVNLHNVHQSQQHKPSNPSDSQTFWTICPTCGMRYQYYLSILKKALRCQNCLKPFVALDLNEQAVPSGANQRSAGVWKSSGAPQNFPGSQANVGQQAQNSANPVHANFGSHNAHVETKRGADGNEAGGLKNKRKFAKATGNSSKASSVAGSKKRRKAMFESSESSASDTSTDSEEEIIEDGPAASNVGPDQHPRRSSRQKQEVKYNEDSDGDDTDCHGNGDDGFVSSPSLKRLRKGGLFHGGENNETKLNADTTGPGHDGPTNGVNNYNNTEGIERGSACAEQIKRETMSGGVNSAEKEKLSHSVSNNGLESNSDDAPNEVICADSEFFDFNQLRHVNQFKANQIWACYDSQSCMPRYYARITKVKHVPKFMLNFIWLEFDPKNKAEAVWSSGDLPVSCGRFKHGVSDTAKESSMFSHAIFYEKNKTRNSYEIYPRKGEVWALFKGWDIDWSADADKHKNYEYEVVQVLSDLTSSTSIIVMPLVKIKGFVSLFIQSKEASPYVIPQDDTLRFSHCVPRHTMIGTEKEGIPEGAIELDPAALPLNFGVAFASVVPESCCSVKVQGSGAEHIGSSSGNNCHKGSVDVGESQHATCANTGFATRTTKAEINEHNARSAVEGTDDDEEPDDFAQAEVLYPESEFFEFSEIRSIHKFQPGQIWALYSDVDKFPNYYACIKTVDVKNNELQVRWLDACPQSEEERRLVREDLTVACGTFKISSFHGIQTYNGTEYLSHPVQAKPGRRNEYEIVPCQGDIWAVFKNWRTGWTAKDYKKCDYELVEIFGHTDSSIQVQLLRKVDGYRAVFMPDRREGAVKTIRKDEYPKFSHQIPCFHLTNERGGKLRGFLELDPLSVPEMFLFTESI >ORGLA06G0144600.1 pep chromosome:AGI1.1:6:14911891:14912541:-1 gene:ORGLA06G0144600 transcript:ORGLA06G0144600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLSDKRRRARAAMSSSPAPVESPWLTMRAMRRVGGADGGGGQRSALMVASYPVLLLLVVLAAFVKYVWIALALYSALLLLFSCASRRLALAERLPSPLGGGGGGGGVAAVTAAEELQGAAARGGVSGETLASIPAFAYDASAPGGGGEAAAQCAVCLEALRGGETARRLPSCAHTFHVACIDMWLGSHATCPVCRRRVERKHKGGVLPPMPPV >ORGLA06G0144500.1 pep chromosome:AGI1.1:6:14904487:14909547:1 gene:ORGLA06G0144500 transcript:ORGLA06G0144500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:I1Q2T2] MHPIKLCARSPRPSSKKRKRPAAAAATPPESEPEPVHDTAACNSEGEDNATGKRREHNNKKMKEEKSKRKKKQGEGKKGSGILTDKLFSDLPISDLTANAIRDMNYTHLTEIQARSIPPLMLGSDVMASAKTGSGKTLAFLIPAIELLCRLRFSPRNGTGVIVLCPTRELAIHTHNVAKELMRYHSQTLGYVIGGIDLRGEAEQLAKGINVLVATPGRLLDHMQKTKSFKYECLKCLIIDEADRILEQNFEEQMKQIFKLLPRQGRQTVLFSATQTEKVEDFAKLTFGSKEERQRTLVYVGVDDHESKATVEGLKQGYCVIPSERRFLVLYAFLKKALSEKTKDYIVQYDPPDETKDYIHRVGRTARGDNGKGSAILFLLPKELQLLIHLKAANISVSEYVFRQELVPKLQPYLHYDSSFEQEKIVGGNYILNXSAKEAYKSYLLAYKSHSMKDIFAIHQLDLTSVAASFCFGEPPKVNLDLESSASKHRKKRNVNTGRRHGIGPSNPYGRKGGDDRRQFARF >ORGLA06G0144400.1 pep chromosome:AGI1.1:6:14890767:14891801:1 gene:ORGLA06G0144400 transcript:ORGLA06G0144400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPSDPSSGGGDGGSAVPGTASSNFTLLYIIIAVLVGVILYMAIRYGRSVMSEWRHLQAGGGGGEPRAALLGLSSDDIDALPTFTYRARGAAASPLVGGGGRRGGGSGKGKGATTVVVECVVCLQELADGDVVRVLPACRHFFHGGCIDLWLRAHSTCPVCRAHPEPDGVRLSDVVAVSPPLPQLRRCGLSPERPTAASRALADILARSPLRGNTTSTTTTTTTGGTSSKSPSSPVQAAIINYVQASRSPSPTAYHSLNERWPSSPTPVVVVRSKSPSPSSPPIGGLSLQTTTAAAARGVGVVEGVDAGATTSASASAPTQVVALSREGGGSRSKSPSPVPH >ORGLA06G0144300.1 pep chromosome:AGI1.1:6:14884445:14885233:1 gene:ORGLA06G0144300 transcript:ORGLA06G0144300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDSASSTLQYTGIGAFVAIVGIVVLAVIFYTRSSARHAAPGRAPDAVTALQGQQQQRGLGLGPDDVSVLPTFTYHAAATASPGRCGLIGRGDAKAAADCCAVCLDELGEGAVVRMLPSCKHYFHATCVDVWLLSRATCPVCRGSPGQEKVRLGLASLSPPLPQLRRCAPSPPKEAAAAAAADTSRANDDDSSAAASRSPSPIRSSTRFDLAAAAIDAAARSPAMSPSPTRPWTPERVARVSRSPSPVTTTATTDLHVVEV >ORGLA06G0144200.1 pep chromosome:AGI1.1:6:14877201:14877575:-1 gene:ORGLA06G0144200 transcript:ORGLA06G0144200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDHRGDKENVPPQSAAAAAARLHGAVAVKKLKLKRLGKERRRVPLRDITNLFLAATAAADSAEAPPRWQPLEGSSERPEAEFPPPPAPAPATATATATAQSWLAGGVVLKLGRCSLRKEFR >ORGLA06G0144100.1 pep chromosome:AGI1.1:6:14859597:14859818:-1 gene:ORGLA06G0144100 transcript:ORGLA06G0144100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFVSCTRGFPKVFRTPSMSLVRGFRLPTSCINRGGV >ORGLA06G0144000.1 pep chromosome:AGI1.1:6:14857689:14857967:-1 gene:ORGLA06G0144000 transcript:ORGLA06G0144000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALCFCVVLVLVLVLASSPAPLSDDRRAAGLLGRRGLQQDAIVVDGSPTAAATATTTTTTAWPRPDTPPDNWYDGTKRLSPGGPNPQHH >ORGLA06G0143900.1 pep chromosome:AGI1.1:6:14851086:14851946:-1 gene:ORGLA06G0143900 transcript:ORGLA06G0143900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFVDNNVNTNLNQGVPTSTTLVWTQVGEIVFPVYTTMPISASPSMAGNENAVGTTQNNSLSKDPPAGAEIGTSTTSEPEKDPSAAKPCLFDMNHEPTRMTSEVTRSWCPIHKTRKHTLQACWVFLNVHAEIRACKERGIQRTSPTCDVHCPIHKTKNHDISSCKVFLSAMKTPPPKVQQSRIPLRDKDKEQGATLISDRFVGVIDIDPHEPSVLHLLEDYGSSSTTTSREVLAIDESGTSAHANAEVENQVTTPAQHIRVVNAILRETPYDPVLNDDLARWTE >ORGLA06G0143800.1 pep chromosome:AGI1.1:6:14835817:14836038:1 gene:ORGLA06G0143800 transcript:ORGLA06G0143800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAMCFFICAVFLLAVTTPGVPRLAGSVPLGRRWLQDSAVVVSGGRLTPAITAAYNGTKRLSPGGPNPQHH >ORGLA06G0143700.1 pep chromosome:AGI1.1:6:14794667:14796940:1 gene:ORGLA06G0143700 transcript:ORGLA06G0143700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNNIKKLLLFPRPGDEYLAGIDGFLQFAYREKSLEDKIRCPCKECVNKWLLTRDEVYDYLVCHGMLLGYSPWGCHGETTSFISANSRGTESQSRGMDGNMHQLVQDAFGNTNNDPPVNEYDVQNSLNSGPDHETKAFYNLLRDAHDPLWEGCELTRLSFLVLLFHIKSVNKWSNKSLNDLLAILQQAIPNGKNLPGTFAEAKRIIGKLGLNYVKIHVCPNNCQLYRKAKANDDFCSKCGTSRWKNKEDKTTLTKKERRRATPRKVLRYFPIKPRLKRLFMHKETAIALRWHDEGRTKDGVLRHPADSEAWKSIDSRNPQFASDSRNIRFAMASDGFNPFGILSSTYSCWPVVLIPYNLPPWLCMKASSIMLALIIPGPSYPGKDFHVFKEPVYEELFDLFEVGTPTYDASQNEMFQLRATVLFTISDYPGIGIFAGYSVNGEFACITCREETCSKRLKHGHKYCFMGHRRFLPLDHELRYNENSFDGTEEHRVEPLAYSETSVLQKIEKINDFEKSKTWKCRSGLFSLPYWDLNVLHHNLDVMHIEKNVCDNIYGTLLGVEGKSKDNLQARLDLQEMNIRPDLHPIRKANNKYYLPPASYTMSKREKQQFCKVLHDIKVPDGYAGNISKCINVSQGKISGLKSHDCHILMQELLPVALRGVLPDNVTSVLFDLCGYFRELSSKVLYIDVLDKLEEQIKMTLCCLEMIFPPGFFTIMVHLVVHLATEAQLGGPVCYRCMYFVERYISLTNIISFVI >ORGLA06G0143600.1 pep chromosome:AGI1.1:6:14787816:14791344:-1 gene:ORGLA06G0143600 transcript:ORGLA06G0143600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKRLNIVHSSCHHGNGEGSSSGQSLPQVEPPLPYEDEVIHVEQQDDEPLNMPVQDEEVKKRKGTTLRYVWDLPPGKRIVVKCNRLGQPIGDEGGLLGQFLGTLARNGAYCPLDKMTWRKIKADEGDLTILQFVQTKFLYPPSCVQWILKSIGRDWRRYKAALKDKYFNPKKKHSALYKLCPDDVEKDQWIPLIKYWKSKKGKALSAKNKRSRSMLQNPHSAGTKSYARWSEDLVTLENLIDEQPELAQNDQGRVAWEGDALNKVLGKEKPGQVHGMGLLPVPKQVYGRTTSSQEHKHHYSEXLIIGXRDTCXRGSGGIKKISQNTGSAYXGVGKQRNKQWEXXTNYGNISENFXXWYXRGSSTDXXKEQKKMXGTAKYAPXQYSGSLWQKAPGGXXQRRITMSRXFIITATPCSXSSAGDEXKEAPKFREICKDYRKTGHSEEDCTSHGPKXGAFFFNEGWYHNNLGDCKISXQRNCGICYLFEXXPKRQSGWCXDWXXVHXSGYQSSTXGRXRARSKDQWL >ORGLA06G0143500.1 pep chromosome:AGI1.1:6:14777291:14778171:-1 gene:ORGLA06G0143500 transcript:ORGLA06G0143500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPLSEGPCIAPRARGDTGGNSSSPATTPPHLLLPRLAAAQACTENHTAARAAAAGPSPLSMEASEVXAHDQYQDDRGHDGGGLELARLIEVWPQHSRAVEGMDVARCGASLLDLARERPCGSVGPCAMGQLGGAATFGSCDNDAVASGIDGEQGHSHIDWCLASGGSLPYSEALDVMGRRWCCHIGRRLAAGALVLQGLRRRGIGTMAVGVGGPFGALRRVRVGRRNGTGVVEFMCW >ORGLA06G0143400.1 pep chromosome:AGI1.1:6:14773449:14773793:-1 gene:ORGLA06G0143400 transcript:ORGLA06G0143400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAVVMALFLACTLDRAWTPTGAARTMTMMMVQRGEVSAVVAVNGGGGGRGGRGNNGGAQPEQRKEFVGMAAFTRSLAVAPPPPPSVHGDREVPSGPDPIHHGASPSSASP >ORGLA06G0143300.1 pep chromosome:AGI1.1:6:14730747:14734225:-1 gene:ORGLA06G0143300 transcript:ORGLA06G0143300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIASSCRHTQTAAAMAPGSRCSRRQQLLVAVAVVLLLAAAPTGCSAARSKKSYEAIFSFGDSLSDAGNLIADGIPKSLTTARAPYGMTFFGRPTGRCSNGRLVVDFLAEHFGLPLPPASKAHGADFSKGANFAITGATALEYSFFKQHGIDQRIWNTGSINTQIGWLQDMKPSLCKSDQECKDYFGKSLFVVGEFGGNDYNAPLFSGVAFSEVKTYVPLVAKAIANGVEKLIELGAKDLLVPGVLPIGCFPLYLTLYNTSSKADYNARTGCLRRYNRLAFHHNRELKQQLDELQKKYPETKIMYGDYFKAAMQFVVSPGNFGFSSAMQACCGAGGQGNYNFNLKKKCGEEGASVCSNPSSYVSWDGIHMTEAAYRYVANGWLNGPYAEPPILK >ORGLA06G0143200.1 pep chromosome:AGI1.1:6:14720594:14721147:-1 gene:ORGLA06G0143200 transcript:ORGLA06G0143200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIVFFDKTAACPPPHRWPRLPTTGTIGHTSLPLVAAPPYRRRGRRRLPLKFRRWLRLSTAGAGAVACPPTSPRLTAASAGAPPRTCLPHRRCPEVQPGRSIRCRLVGGLADFNVSASTTSWMSSMNLAASSLSMSHQFPVSVHHLNLFGS >ORGLA06G0143100.1 pep chromosome:AGI1.1:6:14709649:14712466:-1 gene:ORGLA06G0143100 transcript:ORGLA06G0143100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRRGGAGAWVAAVLAAALQVLAVSAAGKYRAVFNFGDSLVDAGNLVTDGIPDYLATARPPYGQTYFGYPTGRCSDGRLVVDFIAQEFGLPLLPPSKAKNASFARGANFAITGATALDTDFFERRGLGKTVWNSGSLFTQIQWLRDIKPSFCSSTQDCKDFFAKSLFVVGEFGGNDYNAPLFAGKDLREAYNLMPHVVQGISDGVEQLIAEGARDLIVPGVMPSGCFPVYLTMYKEPKEGYGSRSGCLKRFNTFSWVHNSMLKRALAKLRAKHPGVRIIYGDYFTPVVQFLLQPEKFGFYKQLPRACCGAPGTGPYNFNLTAKCGEPGATACADPKTHWSWDGIHLTEAAYGHIARGWLHGPFGDQPIVQNS >ORGLA06G0143000.1 pep chromosome:AGI1.1:6:14703656:14704983:1 gene:ORGLA06G0143000 transcript:ORGLA06G0143000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTALLSRAAGVLVLVVVVAAAAAAPRCDLFQGRWAADESYPLYDASRCPFVPDVFDCRRNGRPDAAYLNLRWFPSSCRLPRFDGVELLRRWRGKTVLFVGDSLSMNQWASLACMLHAAVPADGRVSFTSGEPVSSVRFLDYGVSVVLYYSRFLVDVVDDEPGLGRVLKLDSMRDAAAWLGADVLVFNTWHWWTYRGASQVYVDRRRARTYTTTHDVSFLDTDTVSHVYIYVMCRWDYVQEGNKTYRDMDRLTAFSKGLSTWARWVDANIDASRTKVFYQGISPSHYYTSSSSSSNDDGDGEVAPAPPPSAADGGCYRQTRPLQESTTADGGGGALLPEQVVVRGVVGSMATAVSLLDVTRMSQLRIDAHPSVYGGPGREGMDCTHWCIAGLPDAWNHIMYAMLLTQQRT >ORGLA06G0142900.1 pep chromosome:AGI1.1:6:14694518:14699246:-1 gene:ORGLA06G0142900 transcript:ORGLA06G0142900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAKKVLVPIASGTEPMEAVITVDVLRRAGADVSVASVDPGSAQVGGAWGVKLAADALLDDLADAEFDLISLPGGMPGSSNLRDCKLLENMVKKHAGKGKLYAAICAAPAVALGSWGLLNGLKATCYPSFMDKLPSEVNAVESRVQIDGNCVTSRGPGTAMEYSVVLVEQLYGKEKADEVAGPMVMRPQHGVEFSLKELNSTSWNVGETPQILVPIANGTEEMEATMIIDILRRAKANVVVASLEETLEIVASRKVKMVADVLLDDALKQQYDLILLPGGLGGAQAYAKSDKLIGLIKKQAEANKLYGAICASPAIALEPHGLLKGKKATSFPGMWNKLSDQSECKNRVVVDGNLITSQGPGTSMEFSLAIVEKLFGRERAVELAKTMVFM >ORGLA06G0142800.1 pep chromosome:AGI1.1:6:14683845:14688212:1 gene:ORGLA06G0142800 transcript:ORGLA06G0142800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLCVLLCILVASEVVGVPRCAAALGINYGQVGNNLPSPAQVVSLLASLRIGKVRIYDVNPQVLAAFAGTGIELIVTVPNDLVRPMAASPGEALQWVSSSVRPYFPATRVTGIAVGNEVLTDDDEALKAGLVPAMRNLHAALAQLGMDGYVHVSTASSLAVLATSYPPSQGAFTAEVAPLMAQFLRFLAETNAPFWINAYPYFAYKGDPTRVSLDYALSNPYHVGAIDPYTRLQYTSMLYAQVDAVAYATSQLGYNNIPVYVSETGWPSKGDTNEVGATVENARAYNRNLLLRQAAGEGTPLRPRQRLEVYLFALFNENMKPGPTSERNYGLYQPDGRTMVYNVGLVQQSTSAASLSLAASPATRTQCPNASSTLRKSFWHKQNGLTIVDQKPLQDQRGDAPFQGGKRKIKGSSCCQEEKLEEATLGCGRHYLGDGTEL >ORGLA06G0142700.1 pep chromosome:AGI1.1:6:14649342:14650223:-1 gene:ORGLA06G0142700 transcript:ORGLA06G0142700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPGPRWKKGKDGKDFASLAAANPMSAIVSELKASFISSKPVAILSGPGGSAVLGVGPEQAVILNRAAFGHAIENATAQKHWFQLSPEEVFYLCHALNCIRVDSLDNKQMSEIELWDYFRSGSESFPEMYKAYAHLRLKNWVVRSGLQYGADFVAYRHHPALVHSEFAVVVVPEGAEFGNRCGRLEVWSDLLCALRASGSVAKTLLVLTISSSSKCELSSPDCLEQLVVHERTITRWIPQQCREQRCEPSRDEVNREELIIGKESVVFNHWGVILGFTVLSGLLVYRLKFRQ >ORGLA06G0142600.1 pep chromosome:AGI1.1:6:14646306:14647149:1 gene:ORGLA06G0142600 transcript:ORGLA06G0142600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHGAASREQQQHDGGGGGVKVKFIETQFVSSDAASFKAVVQRLTGQSAPSPSAPATSARPSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPVKQEAAAPPPNLEDLHELRDFSDLFYPTSAGGGGRRVDGGGYGYPYY >ORGLA06G0142500.1 pep chromosome:AGI1.1:6:14639454:14642315:1 gene:ORGLA06G0142500 transcript:ORGLA06G0142500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein [Source:Projected from Arabidopsis thaliana (AT3G12685) TAIR;Acc:AT3G12685] MAMAQSLLGVPFSRLLPSSSSSSPPTPTTIPPPPLPSSSWSPPSRRRRRAVAAASSLHLAPEDIAELVRNKVLIAATAASAVGQLCKPFTSSGKDGAAGAFDLRAAVRSGGMPSTHSAAVVAVATSLGLERGFADSIFGMSVVFAAIVMYDAQGVRREVGNHARVLNKLLTLREKITQNPDSNSLSSSTSELHSSKPETVAELVSVAEKLGSSQGSSANPFPIHSSGTKSSRLNALQSSETEVTEFTQLKEAYTEECDRLSESVGHTELQVAAGALLGFLVTLVVYATL >ORGLA06G0142400.1 pep chromosome:AGI1.1:6:14634000:14637707:1 gene:ORGLA06G0142400 transcript:ORGLA06G0142400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIPLIKFPKRNLKLPSSSPPPPAAQPADQHASLLSRLGVKSEAPSSSGEIKNYRFRSDVPSPPSYTAVGGPASLLPKRKPLSEEEIEAIMQGGIY >ORGLA06G0142300.1 pep chromosome:AGI1.1:6:14607659:14608111:1 gene:ORGLA06G0142300 transcript:ORGLA06G0142300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLNPASKARRHGGMPPPEDMDEEEGWCYATPEPASATATATTTIGHLLREEEPAEEEDEEETAASAAAAAAAGVKVKVVLKRAELEWLMSQLKTGDRRLEDVLNQMATARALSSALSAAPPPPPHRAGDGWRPRLECILECHELAAT >ORGLA06G0142200.1 pep chromosome:AGI1.1:6:14576562:14582470:1 gene:ORGLA06G0142200 transcript:ORGLA06G0142200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-related [Source:Projected from Arabidopsis thaliana (AT4G23860) TAIR;Acc:AT4G23860] MAGEGSGGGGGAGAFEDEAEPTVTIGEYIEGIEAEELEADLVLGGDDGKECTYGGGYLKRQAVFSCLTCVPAGVAGVCTACSLACHDGHEVVELWTKRKFRCDCGNSKFGSHVCKLCPEKDPENPANSYNHNFKGSYCTCGRPYPDPEAEKQVEMIQCCICEDWFHEDHIGLNSIEEIPRDEEEEPLYEDFICPKCSPKCYFLKLYPDTIWASNKQSSAPQAETTNSTVMNGNSSLGDIEKSENGALINHLNCEKTSDNENCPKDSVAPEKASLDDSSDGKCKLGMNISSNTPSADSEKKMPFFMSKSWREVICRCETCTDFYAQQGVAYLIDKEDSIEEYEKIAKQKREKKLEQQEGVEANFLNSLDHVQKIEILSGINDMKNELQSFLETFDSSKPVTSEDIRAVFENLAKKKKQRLS >ORGLA06G0142100.1 pep chromosome:AGI1.1:6:14575180:14575722:1 gene:ORGLA06G0142100 transcript:ORGLA06G0142100.1 gene_biotype:protein_coding transcript_biotype:protein_coding XKLLHINIQYLSKPREAKSXGDRDRHNIHHPAHHIKAKEKPRAGEIEIHTKSDVMPYEPQRNCRLLAVVEEEERPHASPTPIVTESNRNPSGASFFSPLDDSLAWRQRRRRLEGLLLRIPYRRTLETPRGLGHAFEASCIAGIREKPWLKEQNFSNFQDRDKMRLEVLSAALQCVRACMA >ORGLA06G0142000.1 pep chromosome:AGI1.1:6:14555034:14555429:1 gene:ORGLA06G0142000 transcript:ORGLA06G0142000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPRLDYPRSRCLRPTVRLAQLPHVVIESPCNNAMGHRPIAYKTTIPAGVTPSLLRWASASYRPPPTATVDGLLLCRVGFMLSPASASHQSPTIVVHITPTRGCTTSPPWPHHTASPRLHAAPRSFWF >ORGLA06G0141900.1 pep chromosome:AGI1.1:6:14546140:14547597:-1 gene:ORGLA06G0141900 transcript:ORGLA06G0141900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1Q2Q6] MKKKVCVVGGGLVGLAAARELQREGHDVSVLEQRGGVGGQWLYDHTAAIDGADPLGVAGVQSSVYASLRLITPREVTGFSDFPFSPTTVAGGGDARRFPSHAEFLRYLRDFCDAFGLMDVVRLNTRVLRVAADRDGWAVRSRRGEVETEEVFDAVVVAVGSYTQPRLPSIDGMEAWPGRQLHSHSYRVPDSFRGEVVVVVGCGFSGKDIALELRRVAREVHLSVRSTEEAMASPAMSKMLARYGNLHLRPQIARLCEEEDGAVVAFADGSRVAADTVVYCTGYSYSYPFLDTGGKVTVDDDNRVGPLFEHVFPPELAPSLSFLGIPNMVTTRFFEAQARWVAQVLSGRRALPPAGDMLRAAEEHARAMDAAGVARRRAHDVPDLGEEFCERSCGFPRLEEWEKELIWTSITAMRDDLESFRDDFRVTDLVADGLRRHGWILAPAPEPEPLRQEDVVAGDGEGEGVQPVQANQAAVASPLGFINSA >ORGLA06G0141800.1 pep chromosome:AGI1.1:6:14541375:14545433:1 gene:ORGLA06G0141800 transcript:ORGLA06G0141800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAKDGSAAAAQTRGSGDDGSHKPLPPCCLKAKAAAAESEAKCHATVVSGWFTEPRSHSGKTSKVQYFNNPMWPGEAHSLKVENILYQGKSPYQEILVFESSTYGNVLVLDGIVQLTEKDECAYQEMVTHLPLCSIPSPKSVLVVGGGDGGVLREIARHASVENIDICEIDQLVIDVCKDFFPQLSVGFKDPRVQLHVGDAVDFLRNAPEGKYDAIIVDSSDPIGPAQELVEKPFFQTIARALKPGGVLCNQAESMWLHTHLIQDMLSICRETFKGAVHYAWTSVPTYPSGVIGFLLCAKEGPAVNFLSPVNPIEKLEGAMEAGREIRFYNSEVHRAAFVLPTFVRRELESHNTCAEKDKSETKPVAKPKKMKIMPNSAIPTAS >ORGLA06G0141700.1 pep chromosome:AGI1.1:6:14536418:14536863:1 gene:ORGLA06G0141700 transcript:ORGLA06G0141700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSLKKQMATVVEGSARQRCTFEWNTSNIEMAGRWRMLIMLLWRRRRGTRKGKESTWGHHTGRALQTSSQWMRNCAGEVEPFKDRVFSAQLPAFLTPPAMMLAINRVS >ORGLA06G0141600.1 pep chromosome:AGI1.1:6:14530497:14532254:-1 gene:ORGLA06G0141600 transcript:ORGLA06G0141600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGGAGGAAAGKLPMVSHRRVQWRLADERCELREEEMEYIRRFHRHEPSSNQCTSFAAKHIKAPLHTVWSLVRRFDQPQLFKPFVRNCVMRENIIATGCIREVNVQSGLPATRSTERLELLDDNEHILKVKFIGGDHMLKNYSSILTVHSEVIDGQLGTLVVESFIVDVPEGNTKDDISYFIENVLRCNLRTLADVSEERLANP >ORGLA06G0141500.1 pep chromosome:AGI1.1:6:14525346:14529532:1 gene:ORGLA06G0141500 transcript:ORGLA06G0141500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLRPTCNAPHPGLPDPMWVARGHRMPLLGGRHPAYWVFDEEVETLMTSKWTVPWLDVDDLKVDSQVAYFLICSHSLNNMRVEGLQVSETLWTSKEPTLNTNLPNTSSINVFSHYTLSEKRSHNAHQPVSPAPAGSLPATAPPAPLVAPIITVNMEALAMLPHISIKKQLKARTTIFVKXREYLVLIKEKRVRQAIDLVTNKGDIINFSSRREPFEDVTSA >ORGLA06G0141400.1 pep chromosome:AGI1.1:6:14520248:14521789:-1 gene:ORGLA06G0141400 transcript:ORGLA06G0141400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRHTEVTARSSTLLDGSAVGSACEEGPSMRKHNTDEKEVEIKEIFLGRENDKRSSESKGAAGVDKGQGVQIIACDGWFGVAVRVGQLVIYVLTMAI >ORGLA06G0141300.1 pep chromosome:AGI1.1:6:14507539:14509299:-1 gene:ORGLA06G0141300 transcript:ORGLA06G0141300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVGAGGAAAGKLPMVSHRRVQRRLADERCELREEEMEYIRQFHRHEPGSNQCTSFVAKHIKAPLQTVWSLVRRFDQPQLFKPFVRKCVMRENIIATGCVREVNVQSGLPATRSTERLELLDDNEHILKVKFIGGDHMLKNYSSILTIHSEVIDGQLGTLVVESFVVDIPEGNTKDDICYFIENVLRCNLMTLADVSEERLANP >ORGLA06G0141200.1 pep chromosome:AGI1.1:6:14486708:14491449:1 gene:ORGLA06G0141200 transcript:ORGLA06G0141200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKSRDTDQWMSYRLLPENLKERIRRHEKYRWHQTSGVDEELLLMNLPKDLRRAIKRHLCLSLLMRVPMFENMDDPLLDALCDRLKSVLYTEGSCIIREEDPVYEMLFIMRGDLMSVTTDGGITGFFKSDVLKGGDFCGEELLTWALDPTSVSRLPSSTRTVETMSEVEAFALTAEDLKYVATQFRRLYRKQLRHTFRAPLNCSSEIVEEQENTLF >ORGLA06G0141100.1 pep chromosome:AGI1.1:6:14483227:14484377:1 gene:ORGLA06G0141100 transcript:ORGLA06G0141100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRHNALSSLKERTAGIFAFLGNLVHSETLERSVLHEMKLTTGTLHPQGPFLQSWNKIFVLSCIFAVSVDPLFFYIPVINESNTCWYLDKKLEITASVLRFFTDIFYILHIIFQFRTGYIASSPTTFDRGVLVEDRYAIAKRYLSTYFLIDVFAVLPLPQMVILVVLPNLRSSEVAKAKNILMFIVLCQYVPRLIRIRPLYLQITRSAGVITETPWPGAVLILLIYLLASHVSILFNNSG >ORGLA06G0141000.1 pep chromosome:AGI1.1:6:14466927:14473819:1 gene:ORGLA06G0141000 transcript:ORGLA06G0141000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGREDKYVRFEDWRSEQSVMSPRRHNALSSLKERTAGVFAFLGNLVHSETLKRSVLHERKLTTRTLHPQGPFLQSWNKIFVLSCIFAVSVDPLFFYIPVINDNNTCWYLDKKLEITASVLRFFTDIFYILHIIFQFRTGYIASSLTTFGRGVLVEDRYAIAKRYLSTYFLIDVFAVLPLPQVVILVVLPNLGGSEVTKAKNILMFIVICQYVPRLIRIRPLYLQITRSAGVITETPWAGAVLNLLIYLLASHVLGALWYLLSIERKDACWRDMCSNNSTVCNQAYLYCGDKENSILRTACLPIDSNDIDPNFGIYVPALNNVSQSTNFLAKLFYCVWWGLQNLSSLGQNLKTSTYAWENLFAVFVSISGLVLFALLIGNVQTYLQSAHLREEEMRVKSRDTDQWMSYRLLPENLKERIRRHEKYRWHQTSGVDEELLLMNLPKDLRRAIKRHLCLSLLMRVPMFENMDDQLLNALCDRLKPVLYTEGSCIIREEDPVNEMLFIMRGNLMSMTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPTSVSSLPSSTRTVKTMSEVEAFALRAEDLKFVATQFRRLHSKQLQHTFRFYSQHWRTWAACFIQAAWHRYCRKKIEDSLREKEKRLQFAIVNDGATTLSFRAAIYASRFAGNMMRILRRNATRKARLQESVPARLLQKPAEPNFAAEEQ >ORGLA06G0140900.1 pep chromosome:AGI1.1:6:14459720:14462699:-1 gene:ORGLA06G0140900 transcript:ORGLA06G0140900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAGLRALVGIEAAGRGRRVAASPSPGGTPAASRGLPGWPGFCGVGCGSSSSSSFAPPRMQARRAAGSAARTRSPSQSNGWITGGSASEDGRLSWGYSSFKGRRPSMEDRFSIKMTTINEQTVSLFGVFDGHGGSLAAEYLKEHLFENLVNHPELLRDTKLAISQTFLKTDADFLESVSSNPFRDDGSTAVTAILVGNHLYVGNVGDSRVVALKAGKAVPLSEDHKPNRKDEQKRIEDAGGIVVFDDTWRVNGLLAMSRAFGNRALKHYVKAEPDIQEKVVDESLEYLILATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVAHSRLTLDNITCIVLQFHHGKSTNSN >ORGLA06G0140800.1 pep chromosome:AGI1.1:6:14455918:14457547:-1 gene:ORGLA06G0140800 transcript:ORGLA06G0140800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHLVGLLRRAAASSTSAASSRAGPHPSLHAPGPLRNGGSAPRFFSSRGGAGAASKGLGDDEVELYSLLLGVSIGDEGEASSRGPAASRGGRRGRNSKRQPPRSRFDGDGVGCRLHTLGHGGPRAAEYLKKHLFKNLVKHPKFLKDTKLAISNVFSPSFRLYLFYQTFLKTDADFLQSISSDRYRDDGSTAVAAILIGNRLYVANVGDSRAVALKAGKAVPLSEDHKPNKKDERKRIEDAGGIVVSDEIYEGLMEFWQCPVHLAIV >ORGLA06G0140700.1 pep chromosome:AGI1.1:6:14445148:14452526:-1 gene:ORGLA06G0140700 transcript:ORGLA06G0140700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHYLLGLLRRATASPTPASRRAGPPLPGLLQDPLRNGAAAAGPRFFSSRAGPGAGAAAKSLIEDEAELSDWISDLKTDSFHLGLSSGDEGEASTRGPAASRGGRRGRDSRGPPPRSRFGGGDFGGDRRGFERRGRMVSNNELGDDDDFEDEVGFGSARGRRDRGGRQSEFSHRGRRGNDRGGRQSEFSYGGRRANDFDDDGGGFRSTRGQRGRGGRLANVLRRGYDFDDEPGFQSPKGQRGQGGRYSDLDDDEGGFGSLRGRRGRGGRMSGVSRRGGRGSDLDDSEDDEYSRGSSPRGRRGRGGRMSGVSRKGGRGSDLDDSEDDENDSIDSRGRRRDYGTRGRNVGSLGPRRGGRGGDADFSDRRSRGGKMFDFGLSEDDSELGEVDEDDGPSGFEDDLFDDEGGEKNLVESPAKNSAPFESIKGEPVDQEGVVHTRESGGGDSYLSQTSIKLWTYGEISKDAIVLILSLNCNFSSPYHVLTSLIYIGKDVLAKAKTGTGKTVAFLLPAIEVVSKLPPIDRDQKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLMGVKVLILDEADRLLDMGFRTDIERIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVEEGSEETHSQVKQMHVVAPLDKQFSILYGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQMGVPTDREQYIHRLGRTGRRGNEGSGILLLAPWEEYFLRSIKDLPITEATLPLMDLDTKRKVEKALAHVEVKDKELAYQAWLGYYNSNKFIGRDKYQLVSLANEFSRSLGLNNPPAVPKLVLRKMGLNNIPGLRSK >ORGLA06G0140600.1 pep chromosome:AGI1.1:6:14436565:14439070:-1 gene:ORGLA06G0140600 transcript:ORGLA06G0140600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCTGGAGGVAAGRLPAVSLQQAQWKLVDERCELREEEVEYVRWFHRYELVATGATPSLPSTSGCPSKLGLPSTRSIERLGFPDDNDHTLRVKFIGGDHMLKDYSSTLIIHLEVIDGQLVTLVIESFVVDILEGNTKDEICYFIENLLKFNLRTLRV >ORGLA06G0140500.1 pep chromosome:AGI1.1:6:14431673:14434263:-1 gene:ORGLA06G0140500 transcript:ORGLA06G0140500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XTVALVVLGEQQXGGCRRVASSGHSGGXWMSGVNSGRRRWSTCNQCNSFIAKHVRAPLQNVWSLVRRFDQPQIYKPFVRKCVMRGNVETGSVREIIVQSGLPATRSIERLEFLDDNEHILRVKFIGGDHMLKKCGP >ORGLA06G0140400.1 pep chromosome:AGI1.1:6:14425021:14425455:-1 gene:ORGLA06G0140400 transcript:ORGLA06G0140400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALALVATGPPPSPTSMHGTISAPAPAQPQLLLSLLQPNRTPVLQRLCLAALPGCTELLSYALRGLICRSNDLLQCAADAAASRREAAGGVSSIPKGGAVARRKTMCDITNLRRTSAAVEQGGTVCAVDAGMEGITRLVKDLISL >ORGLA06G0140300.1 pep chromosome:AGI1.1:6:14419967:14423489:1 gene:ORGLA06G0140300 transcript:ORGLA06G0140300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALCDQLLLVDSDGGEFIPHHADADADDLFTILETWEDCANVVAGGAPATTTTLGSPIAAAACISGVVGGQNHQQLPEPAAAKTVPATNNKRREEEVADRDGDGDDDDGSPQKRHKCCSPESSTTDVAAATTPKTAHIAVERNRRKQMNENLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLHSLEAKKQRKVYTDQVLSPRPPATVAASCCSPRPPPLSPRLPPQLLKSTPPLSPRLAVPISPRTPPTPGSPYRLLRLPPPPPPASGSNYASPAMTPTHHETAAPSLDAIAAELSAYASRQALGGGLLLPDVKVEFAGANLVLKTVSQRSPGQAVKIIAALEGRSLEILHAKISTVDGTAVNSFTVKIGIECELSAEELVQVIQQTFT >ORGLA06G0140200.1 pep chromosome:AGI1.1:6:14396039:14397221:-1 gene:ORGLA06G0140200 transcript:ORGLA06G0140200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGGGVVSIRQSTGAGAGSTREWRWGLQKEERGGGGGLRDWDARDEKLENITAHRIALKSGSGSRSPEYVESGDSSSASENISDDEDDNVAAKNRTSNNAQAKNSKAVHPARRSNRRLKNINTLIDNDKVLDCRWTVYEFLDFIVCSRILYELTSVFKDIV >ORGLA06G0140100.1 pep chromosome:AGI1.1:6:14390119:14390547:1 gene:ORGLA06G0140100 transcript:ORGLA06G0140100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFVGGVLDGRVTAEPGHGGPAQVLASSGRHTMMRCVGRDGDNGLRRSEHVAAERAEEEKPSPLNALSHLCLSSSFAPPLLSFSRADGGRGEATRPSRRHAPVDAEKEPPLPLATRAPLPRRSPPLALPIDRRGGRRKRVG >ORGLA06G0140000.1 pep chromosome:AGI1.1:6:14387500:14388090:-1 gene:ORGLA06G0140000 transcript:ORGLA06G0140000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVVEAAAAADGRHHHKAVVAADGGGGGGAGTAALVWDCGSALYDSYELTSFRLQLDAAVLSCGGRSLSMPHLPSSSSTQQQQMPAGRRRRRRRRLPAMLRRLFGKVLLRLRFPVASRAARGGWYDYGAHGDGRPGSPWSGALTSIPEESASPENGPSSSPLVDDGPSALRKAQSERFVGSKTASSMVQFDVVL >ORGLA06G0139900.1 pep chromosome:AGI1.1:6:14382557:14383019:-1 gene:ORGLA06G0139900 transcript:ORGLA06G0139900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGYPNPPDAAIPDAATLREQWRFAVRQYSRWYSHAWGTAILAGAAFFGLGWLVKGSNPLPSRAEPHASNAKEEGLPMSNEF >ORGLA06G0139800.1 pep chromosome:AGI1.1:6:14376796:14379401:1 gene:ORGLA06G0139800 transcript:ORGLA06G0139800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGGXGDGEPCPQTDRAAQRGVQAANGRXGENQFSQEXARGHACCPSQGWQHASXPAXXAGQDLGKQSPGAVVXHGGRHRRLPGACRWQCACQPRLPRTACAHDVRLVHQDQGSPSDIWRRPRHQHQTRGDVQGAXKIHSLXSSGXPSCHTIVYSXSSQPVIEYRCGKACWYXWPKEXHHKDPFPGGXQLALGKMIKVSIVGSGGMGKTTLARAVYDSVKGKFQCSAFVPLGQNQDLKRVFMDVLNDLDKEKFDNIHSTKKDVRLLMKEVYDFLKNKRYIIVIDDIWKFDAWDMIAKVLGDSSCGSRVIITTHISEIAEEVGHVYEIKQLSDVDSRRLLHRRILSGEDQCPDDDYDLEEVCDEILRKCEGVPLAIVTTSSLLESKPREDWSELYRSIALGAKDNRHVDNTMKILSLSFYHLPYHLRTCLLYLSAFPEDYLIGKQTLIWRWIGEGFVCKEEGRDLYEV >ORGLA06G0139700.1 pep chromosome:AGI1.1:6:14370080:14372928:-1 gene:ORGLA06G0139700 transcript:ORGLA06G0139700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPALPRVAPSDPQPADAIDAITMKKLPKTKLNPPLQTLLLTFSIRTPPEASRLVGLFPALRLFHIGLHPGNRRRAEESDEEWRDTASNERRAARTRHGARGQAKRGSNIVSEGFVKSMEQDLKRLVVEKYGGSNQPEQRLPTIYRVPGEMKRRYEEGNSYSYLPVAVQIGLLRYPRQQSRDEGYRVLELYKWRCVRSLIGRHHLLQEPTRTPELLRRCLSAINGFLPRILASYNFDAEALDVGQRHVVLGTMLLDGCFILRRLLKFARIASEEQSGAKASSSSSRSGTGSASSGGQDDDEDRAVLFGRCWVWSFVTCDLLLLENQIPFCVVQKLFHQLRTRTDADDTSDVLVAGALRLFSSLRPRKLYSSPISCRDVHVPCAPPPAPLLPLRRLPTRRRRGAGRRP >ORGLA06G0139600.1 pep chromosome:AGI1.1:6:14363225:14363569:-1 gene:ORGLA06G0139600 transcript:ORGLA06G0139600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEKPTPPPPPPPHPPAPEAVPRVRGFGGGPPGGGGGGGYPNPPDAAIPDAATLREQWRFAVRQYSRWYSHAWGTAILAGAAFFGLGWLVKGSNPLPSRAEHHASNAKEEEG >ORGLA06G0139500.1 pep chromosome:AGI1.1:6:14357291:14359936:1 gene:ORGLA06G0139500 transcript:ORGLA06G0139500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGEPPAPQQRKAAGGGGLKLLLSVLLVGLALRLLVNPSAYLLLSSSSTTTTAAVAPGQGDDAVLAGGGSLPSNGSCDLFHGKWVPDSSGPDYTNNSCRFIETPQNCMTNGRPDSGYLYWRWKPYGCEMSRFEGEKFLEAMRGKHWALIGDSILRNHVQSLLCLLAKVEEPTQVYHDKTFKSRKWHFALHNITVSLIWAPFLVEAEIFEDDDGVSTSELQLHLDILDSNWTRQWNSFDYLVISTGQWFPKTAVYWENGAVTGCHYCQDKSIAELTFEYAFRKSLRKTFQFITSSPHKPVVFYRTWSPSHFENGEWSSGGTCKRTVPFKPGETGDRELDMKMWRIEREEFAKAVVHDRHNNAGRLKLLDTFELSLQRPDGHPGPYRTYHPFEKATSAKVQNDCLHWCLPGPIDAWNDIIMQMLAIN >ORGLA06G0139400.1 pep chromosome:AGI1.1:6:14343154:14348215:1 gene:ORGLA06G0139400 transcript:ORGLA06G0139400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEGAAAAAARIRLVRCPKCDKFLPELPAYSVYVCGGCGAALQAKKKYSAQGSDNSDNGHVKYLEVLESVAEAPEAMDGATADGRSIPNRISALHSRSVYNHEDNRMARGPSTSTGEATIRNDGREAKYMRIRNVENADMMKSVRGRGISDISPRSPIDGIPPTSYQAESLVNYQLQSKYRFSNREHANDRELDGPSRVRGLEKDRAELLRMLDELRDQVQQSCEVTDAPSRSATTNRPADASSSHGAHDQPNQLRHDPSVLHWNGSHHSPSLNVQSPNIPQVHAPLPTRQNLHGYAEPIPHARASSYHAGAGYPCRNVDNFFFGHHDPDPLLSCHHEGLYHQPVCSCFNCYHREFLPVQGTPLGFTDQRAPYLMNSYGAYPVEGPLYGQQRYTSRGTNTSLQRNHLRTNVRKKPAQTCEPIAGGAPFTICYNCYEVLRIPMKHSLLGKEYKLMCGSCSHAILVNLDGSRLNVSEPAPGINLSAALQNGIGDSMRNNGHANADERLLPQYCFSNGSHESQEKDLESSSSESDSKHTPLGTDSENTPQSRDLPSEANVISHVPSLPHHDRCGFSPSEDSGKGSRSTHSEHEKAILFTESCKRNSIKDVCVANETQSPVDEFDYTLYAQDMLNLPQNVGHTRSTKAGDSFLTNLIKRSFKMNNGTRNGRARIFVNGFPISDRAVRKAEKLAGEICPGDYWYDYRAGFWGVMGRPCLGMIPPYIPEFNYPMPKNCGGGNTGIFINGRELHQKDLDLLVSRGLSDSPGRSYIVENSGKVSDEVSGEELYGLGKLAPTVEKMRRGFGMRVPRIIQ >ORGLA06G0139300.1 pep chromosome:AGI1.1:6:14298292:14298932:1 gene:ORGLA06G0139300 transcript:ORGLA06G0139300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVESLKSVEVPDSVDALDIVNALDSVEGLESEMALDSVEVPDSVEVVPESVEVVQCPRCGTFHAGGVFGEACFQARRRARRCARCGLLHEDYDLIARFLLNMEKFDCELYIPDGEKLQMDGETILLPEHVIKKLDEIYSMKELEDAKMKQEYETSNAFSKQGCFT >ORGLA06G0139200.1 pep chromosome:AGI1.1:6:14291838:14297147:-1 gene:ORGLA06G0139200 transcript:ORGLA06G0139200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G41760) TAIR;Acc:AT5G41760] MQWYLVAALLTVLTSSQGILTTLSQSNGKYKYDYATIPFLAELFKLSFSSFFLWKECQSSSPPRMTKEWRSIRLYLVPSVIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAVVLLAVGTTTSQVKGCGDAPCDSLFSAPFQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVIFNMGWLIYGDFKAGFERGPWWQRLFNGYSITTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSVYLFNVRATLQLFLGIVICIISLQMYFMPVNMLVELPQALPVTSK >ORGLA06G0139100.1 pep chromosome:AGI1.1:6:14286922:14287218:-1 gene:ORGLA06G0139100 transcript:ORGLA06G0139100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPAVVVSASAAKMAGGGQQPSTERKETSSAFGGGCCGGGFQMPLHYPRYKKADYEAMPEWRVDCLLREYGLPVDGGVEEKRRFAMGAFLWPDQY >ORGLA06G0139000.1 pep chromosome:AGI1.1:6:14269227:14271110:1 gene:ORGLA06G0139000 transcript:ORGLA06G0139000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQLGFLEFTGTNPRNVEKWTTVSPPVSQPMACSSQLDVLAQDSMHNPLSRGIKRKWVDLSLGLGNSSSSSDSSKQSMGTCCTMSSAKDRDDGSSVDLDMNFQFTLYNEGTSKLDSYDCNGKKVLEKPVDLELSLNFGPCESAVTNVDFSAATKQQAVFLQSCNMSSVPTVDEGSTSARWKSGGKLLPYLYQSRNNTGHFSSKELPGSSNQSQDLAPLPTMIQTPQSPVTSTSGVVSFQQRCNSTKICSQPGCAKGARGSSGRCIAHGGGRRCQREGCKKGAEGKTIFCKAHGGGRRCEHLGCTKSAEGRTDFCIAHGGGRRCSRDGCRKAARGKSGLCIKHGGGKRCQKLNCTKSAEGQSGFCIAHGGGRRCKHDGCTKGAQGSTNFCKAHGGGKRCTHPNCSKGAEGSTALCKAHGGGKRCSAEGCPKSVHGGTEFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCQFTGCSKSAQGSTDFCKAHGGGKRCLWGQSGSGLGDGSGTCERFARGKKGLCVAHNALVEDSRVRGGQTVGTIALPGSTGADSDVSHGTLPGNSFNFGETFAANTKQAFHHVQSPVPEGRVHGGNIAAMLANSMDYQKQLNFTGASTSDRNWL >ORGLA06G0138900.1 pep chromosome:AGI1.1:6:14262401:14263147:1 gene:ORGLA06G0138900 transcript:ORGLA06G0138900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKQPGDVPMSAAASEADLAQLSIAITAGEDLGPLVRRVFTCRCPEPLLASLWAAARDRETEIEELCRAHFHDFICAIDNLRSLLADADALKGSLSGSHAVLLSFAALLLASLESFLVARGLAGNLSSALASSRRRVRLLVLANRANAHLQGGNHNLYLALRAVPLTATSPSAPPHPPPHGAQPRPPRPCPRRHPRRECSGAAAAVVLLRSRRMEEKMGRWDRHVGREQKRCGRGDGKGDGSSRYDE >ORGLA06G0138800.1 pep chromosome:AGI1.1:6:14245090:14246197:-1 gene:ORGLA06G0138800 transcript:ORGLA06G0138800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q2M5] MAFRCKGGVAWVALLVAVAALASTAQGFPNPFGHEEFTESYYDETCPNAQSIIRSVMERHAAADPRTAPAILRLFFHDCFVNGCDASILLNATDSMESEKDAEPNATLAGFDVIDGIKSELERSCPATVSCADVLALAARDAVAMLGGPSWGVLLGRKDSLTASIDMANKDLPNPKDSLAELIRMFEKNGLDERDLTALSGAHTVGMAHDCKNYDDRIYSRFGQGGDSIDPSFAALCRHECEQKHDKATAPFDERTPAKFDNAYYVDLLARRGLLTSDQELYTQGCETGDLVKTYAMNGNVFFADFTRAMVKMGNIRPKHWWTPAEVRLKCSVANTHY >ORGLA06G0138700.1 pep chromosome:AGI1.1:6:14236216:14238034:-1 gene:ORGLA06G0138700 transcript:ORGLA06G0138700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q2M4] MESEKDAEPNATLAGFDVIDGIKSELERSCPATVSCADVLALAARDAVAMLGGPSWGVLLGRKDSLTASIDMAKEDLPNPKDSLAELIRMFEKNGLDERDLTALSGAHTVGVAHDCKNYDDRIYSRVGQGGDSIDPSFAAQRRQECEQKHGNATAPFDERTPAKFDNAYYIDLLARRGLLTSDQELYTQGCETGDLVKTYAMNGNVFFADFTRAMVKMGNIRPKHWWTPAEVRYSRLETIYWCT >ORGLA06G0138600.1 pep chromosome:AGI1.1:6:14229493:14230629:-1 gene:ORGLA06G0138600 transcript:ORGLA06G0138600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q2M3] MAFRCKGGVASVALLVAVAALASTAQGFPNPFGHEEFTESYYDDTCPNAQSIVRSVMERHAAANPRTAPAILRLFFHDCFVNGCDASILLNATDSMESEKDAKPNASVVGYDVIEGIKSELERSCPATVSCADVLALAARDAVAMLGGPSWGVLLGRKDSLAARMDMANKDLPRPTDSLAELIRMFKENNLDERDLTALSGAHTVGRTHSCEHYEERIYSLVGQGGDSIDPSFAAQRRQECEQKHGNATAPFDERTPAKFDNAYYVDLLARRGLLTSDQELYTQGCETGNLVKTYAMNGDVFFADFARAMVKMGNMRPKHWWPTPIIDAPLVNYTVEYNTNMLDTHL >ORGLA06G0138500.1 pep chromosome:AGI1.1:6:14213195:14214366:-1 gene:ORGLA06G0138500 transcript:ORGLA06G0138500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q2M2] MAFSSRAVVAVASVALLVAALASSAAAEGYPVEYTESYYDNTCPNAQNIVRSVMERSVAANPRMAPAILRLFFHDCFVNGCDGSLLLNSTDSFESEKKETPNASLAGFDVIDDIKSELERSCPATVSCADVLALASRDAVAMLGGPSWGVLLGRKDSRYVTKNATEELPDPRNGHLDVLLGVFGKHGLDERDLTALSGAHTVGKAHSCDNFEDRIDSGEGYDDIDPSYAAELRQTCQRPDNCEEAGVPFDERTPMKFDTLYYQDLLFKRGLLATDQALYTPGSWAGELVLTYSRNQEAFFADFARAMVKMGNIRPDPWTPTEVRIKCSVANGHY >ORGLA06G0138400.1 pep chromosome:AGI1.1:6:14208705:14209791:-1 gene:ORGLA06G0138400 transcript:ORGLA06G0138400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q2M1] MGISKSFFVASLSLLLAVLASTGEGSHQPVVMPVAMELSAKYYRKTCPNVQNAVRTVMEHRLDMAPAVLRLFFHDCFVNGCDASVLLNRTDTMESEKDAEPANTSLAGFDVIDEIKSVLEHDCPATVSCADILALASRDAVALLGGPSWSVPLGRMDSRQASKAAAEDANNLPNPNSDLGELLRVFETHGLDARDFTALSGAHTVGKAHSCDNYRDRVYGDHNIDPSFAALRRRSCEQGRGEAPFDEQTPMRFDNKYYQDLLHRRGLLTSDQELYTHGGEVSSDLVEVYAKNRKAFFADFARAMVKMGEIRPPEWMPVEVRLNCGMVNN >ORGLA06G0138300.1 pep chromosome:AGI1.1:6:14204714:14205442:-1 gene:ORGLA06G0138300 transcript:ORGLA06G0138300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACACTTTDGSGDVCLRPSASDGLPTHQACTSKAAPDGETRRCQHQRRRCCVVCLVATIATLVLLGVAVLVLSLTVFRVRDPAARLVSVRVVGVSPNLAPPSPQINVTLLLTVAVHNPNPASFTYSSGGGGGGGGGLTYRGAHVGDAVVEAGRIPSRGDGTVQMEMTVLSSSFTGDFMAELIRDIEAGAVPFDASARIPGKVAVFGVLKLHAVAYSDCHVVFGVPEMGIRSQECHDRATL >ORGLA06G0138200.1 pep chromosome:AGI1.1:6:14188408:14189455:1 gene:ORGLA06G0138200 transcript:ORGLA06G0138200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q2L9] MALSNGLVVASFTLFLLVALAFADESRPELSPAYYKKTCPNVENAVRTVMAHRVEMAPAILRLFFHDCFVTGCDASVLLDRTDSMDSEKDAEPANTSLAGFDVIDEIKSVLEHDCPATVSCADILALASRDAVALLGGPSWSVPLGRMDSRRASKDDAESVDNLPNPNSDLGELLRVFETHGLDARDLTALSGAHTVGKAHSCDNYRDRVYGSNNGNIDPSFAALRRRSCEQGSGEAPFDEQTPMRFDNKYFQDLLQRRGLLTSDQEFYTHGGEVSDLVEVYPTNREAFFADFARAMVKMGNIRPPQWMPLEVRLNCRMVNN >ORGLA06G0138100.1 pep chromosome:AGI1.1:6:14171667:14172555:1 gene:ORGLA06G0138100 transcript:ORGLA06G0138100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASVVAKAAAAVTTTNPVARRHAGGWKVPEQQIWRQGGARGGENAPGQQIQRRGGARAIDQAVGRRLAARMVTGRTGGARAVDPAAGRNPGGRSSGGEEHGXASGAWTRESHGGERASGVPAWLRLRAGDSEKKEEDQSGFGVESS >ORGLA06G0138000.1 pep chromosome:AGI1.1:6:14168902:14169877:-1 gene:ORGLA06G0138000 transcript:ORGLA06G0138000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVHVSATLFLHMSDIRDFDIVLCGVNVVVDSSQPHEDSLLLAACAIAGITYCWMRPSTVLPGMHTMYSWSYRQKLRATFGMAPEPCADCCLQLFCDRCSLSQMYRELKNRGVNPANGN >ORGLA06G0137900.1 pep chromosome:AGI1.1:6:14122097:14127401:1 gene:ORGLA06G0137900 transcript:ORGLA06G0137900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDDDWFWGPTPVVVGETTSKPQPPVAGKTKKVEEQHPRRPGEPDCSYYVKFGSGKFGISCVYNHPDPRRQHGADDKKPEQFPRRPGEPDCSYYVKFGSCKFGMNCRFNHPPRMPVPPQQEYFSGNACHCHHSEGKSKVKQVKLNVLGLPLRAGTGLCSYYMNRGICKFGTNCKFDHPDPGSDHEKWVVSSNANQVSSQVNIYSVLDRGESNERTVTSEEVHQTRDQLLQLGQNVDVPKDILKCCQDINVELNGEEKIWGFGAEKDHVQTPSYKRFDATDSRDWHSQSAQTHWEQKFWDNFSEAKEPYALGWKQEKFNKHDQSSFHFDSKDQWLKYRKCTPWKSTFVKAEVPLSIQRGIISGKDEVLKTLKSTLNTFTPKMFDLQKGQLIETRITSADILKDVINLIFEKVVAEPAFYSTYAQICTYLNQNLTPFPPEDCGEEITFKQALSSKCQEIFESARTVRAEIDKLIGQDREMEQRDKERVVKLETLANIHFIRALLKKKLITNKIIDHIVQAVMECGRFRFESLGKVDLLNIIFEGMLDSDSAGAESNICVNAMIGGNKSSIAENDVEMTCKNVNRQNEEAILQKSYNEVPNNSMDPQKNYADGAFSYLVGNEKPTNFESSVRISRAGCSISEIMELVVDAGAVEGSDEHFIATLLFIKPEYREIFLTLDTRQGRLGWLKRMYKVKE >ORGLA06G0137800.1 pep chromosome:AGI1.1:6:14062660:14062914:-1 gene:ORGLA06G0137800 transcript:ORGLA06G0137800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGMIYAEDLGYMSTPCLSPPSDVDDLNPPEDPNNIIVLHPAFIDDGDIDIIQEDIYNFRYDQTPPRDAQSSATRFLRHKRD >ORGLA06G0137700.1 pep chromosome:AGI1.1:6:14055733:14057119:1 gene:ORGLA06G0137700 transcript:ORGLA06G0137700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTDDVNSTPWSLPKRFIQEPARFVSPVVVGPGMPSSDVSLSIQLRHFLLTNGGCMDSVKLLEIDSSVAYGNDVLESFSDGSLTEGLFIDVFSLILFKDDMKYRLDTYGKRIFIPTSISVTLVDIMDSNNYTLIGALVSDHHGALAKRIVKRLSDALQAVVPKSFCRFGGFRKNMMECPKMQICSNDCAFYIMRFMEAYDGNRESIKNLSIPTDSVIVRSSTLHQLMFSEYNQATPLHSDI >ORGLA06G0137600.1 pep chromosome:AGI1.1:6:13993590:13993950:1 gene:ORGLA06G0137600 transcript:ORGLA06G0137600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKIKVVFGKRKRLLQKTWRVRKGSKEDMTSRKGTLAVLQATQQYQPMGILSVRDAQGLWEIHXX >ORGLA06G0137500.1 pep chromosome:AGI1.1:6:13946328:13948259:-1 gene:ORGLA06G0137500 transcript:ORGLA06G0137500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGRGSVWQTIGRKGVRDAPTEESDVLDFCKLGCTSSVCSTINTFAVNEEGNGAVDSCNNARYRFCSKEAEVVTVAS >ORGLA06G0137400.1 pep chromosome:AGI1.1:6:13943071:13943490:-1 gene:ORGLA06G0137400 transcript:ORGLA06G0137400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRKETTLGQDEPDSRRRERERAATLVTTTPVAGAPRHLRRFIRLLASLLQCWKRSIGGRGAAFGCRTMRASDSLATAARAVKLACGRGRDEAAARARRRRGVRAHRRGRRARCRLAGGTSTPACYRCRRRRRHAAR >ORGLA06G0137300.1 pep chromosome:AGI1.1:6:13935429:13936176:-1 gene:ORGLA06G0137300 transcript:ORGLA06G0137300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKSLIACMLVLGLVLQQEKIQVEAKSCCPSTIARNVYNSCRFAGGSRDTCAKLSGCKIVDGKCKPPYIHHSLHPESKGLDVLDFCKMGCTSSVCSTMNTCAGNEEGNHVVDRCNDACYRFCTKEDYHRCFLSKCNTQAEGASHIEAY >ORGLA06G0137200.1 pep chromosome:AGI1.1:6:13864716:13865424:-1 gene:ORGLA06G0137200 transcript:ORGLA06G0137200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKSLIVCVLVLGLVLQHEHIQVEAKSCCPSTTARNIYNSCRFTGASRDKCCKISGCKIVDGKCKPPFIHRTLHPDSEESDVLDFCKLGCTSSVCSNMNTFAGNEEGNHAVDRCNEACYRFCTNEAEIVTVAS >ORGLA06G0137100.1 pep chromosome:AGI1.1:6:13836525:13845105:-1 gene:ORGLA06G0137100 transcript:ORGLA06G0137100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPPKLPVPGRRNILVTSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNVIYICGTDEYGTATETKAMEEKCSPKEICDKYHAVHSEVYKWFDIKFDKFGRTSSPQQTEVCQAIFQKLMENNWLTENTMQQLYCDTCQRFLADRLVEGKCPTEGCNYEAARGDQCENCSKLLNPTELIDPKCKVCKNTPRIRDTDHLFLELPLLSDKLVNYINETSVAGMWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITASYTPDWEKWWKDPDNVELFQFMGKDNVPFHTVMFPSTLLGTGEKWTMMKTISVTEYLNYEAGKFSKSHGIGVFGNDAKDTNIPPEVWRYYLLTNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPAGAGYDSIVPDAPNAESHPLTKALAEKTNKWVEQYLEAMEKVKLKQGLKSAMGISSDGNAYLQESQFWKLYKEDPAACAVVMKTSVGVVYLLACLLEPFMPSFSNEVLRQLNMTPEESLSFCDDKGEIAKAKRPWDFVSAGHKIGKPSPLFKELKDEEVESFRNKFAGSQAERSSKAQADAEAKKVADKLKDTKLSDGGQKKEQKKQSGGSKSKNAEVDVTVAKLDIRVGLIRKAQKHPDADSLYVEEIDVGEEAPRTVVSGLVKFIPLEEMQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESAAVGERVTFAGYSGEPEASLNAKSKTWEKLSADLHSNGELVACYKDVPFTTSAGVCKVKSIASGEIR >ORGLA06G0137000.1 pep chromosome:AGI1.1:6:13809954:13814281:-1 gene:ORGLA06G0137000 transcript:ORGLA06G0137000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPQPPPVAATVPVRGLKCRGGDAAAEPAMAGTPNRGQERGGEEGEEEEKAVLRRGLAAARARRKAGPVTPSPSWKLEASPPRPEEPVADSSAAAAAAGAMGRRSSAVAASARQLGATLWEIRDVIKVAGAGRQIRRRGRRGGVAGDDDEADRPQSSCGGGEHLSTSLMEHDKLHGERCHARQSLSPASYTSSIGAATINIVSPTRSLDRRARFREAGSQLKTSTELLKVLNRIWSLEEQHAADVLAMKGLKSELQHAHARVQELLQERRRYHYEIDSLVRQVSEDKMTQKSKDQEKVKAALRSLQEEIEDERHLRKHSESLHRKLKKELSEMKSAFVKAVKDLEKEKKATHLLENLCDEFAFGIRNYEEEVRLLKQKHIKQYEHKFDKSVVHISEAWLDERMQMQNADPKATLAERISITERLSSEIHSFLNTRRSSKPKDDKLYISNEKQDASLCRQSLESVHLHGATSAPRLAEDDNDNSVASDLHCFELSMHGHTIQNNDLIGTRQRVTSCMYSPMRRLEFSNGVPVEGSRISTMSPCSMKDKARPNGIREQLNASTPEISPCNDAKNAPRCAQDETVMTQVSQRLHDDLLKIKSEAPQHAYLGQKSNDHHSRAGQFRDQCTTSGNVYDLRSPARQLNQRSSLDHEINEASPTHPLEGKSTTLKAKLLQARLEGQHARMRASGYSLTSTRRK >ORGLA06G0136900.1 pep chromosome:AGI1.1:6:13784043:13784958:1 gene:ORGLA06G0136900 transcript:ORGLA06G0136900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHGTIRTLMIESAADDHLCHLMVMAFMARGHSFKLSVFNQLAHLLVFSPLPRQAISNNGEVGLSRHTPSPFLTFPSSTLACNPNDGKARHEHKEDHGDEHWLEAFVSGGLAAAYVEIG >ORGLA06G0136800.1 pep chromosome:AGI1.1:6:13777737:13782904:-1 gene:ORGLA06G0136800 transcript:ORGLA06G0136800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSRASRGPSFAFAFNSVNFSDRVLRIEIVAGDDAAGAKGAAGEGCSSLADWAHQRKRRREELRREKESGKYTDLETCKVEAEECDTYEENNEEPVAMIEESPPDIGQDGEDGDSCDSSWSMECTQVLRVKSIYISSAILAAESPFFYKLFSNGMKESDQRHATLRITASEENALMELLSFMYSGKLTTNQPTLLLDILMIADKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPSSISMAAAVQPLTDTAKAFLANKYKDLTKLQDEAMNIPLAGIEAILWSNDLQVASEDAIYDFVIKWARSQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACNDLDHEQATKCVTEALLYKADAPHRQRTLAADVLTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFHCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWSSFMADDSLFFIEGVLHLRAELTIKQP >ORGLA06G0136700.1 pep chromosome:AGI1.1:6:13775552:13776154:-1 gene:ORGLA06G0136700 transcript:ORGLA06G0136700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDQTASSSETTTAVADDTSSQQDAPPHPLQVTSPDSSLPSPSPRQRRCVAAYMDLTREECGRLFPSGRLRSQPLRLAGRPFYLTARCNMDQRDTFRCFGLFLAMEVDDEEEEEGSPSPAASVTVEYDFAARTRQQSGDEFVSMYKGHYTFAAGKSCGYRNLLGTPWASFMGDGGGDSVFFIDGVLHLRAELCVKEDA >ORGLA06G0136600.1 pep chromosome:AGI1.1:6:13770396:13770845:-1 gene:ORGLA06G0136600 transcript:ORGLA06G0136600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVILSLLALAASSASAQFDACTYGQCQQQPFMQPIMNPCNEFVRQQCSPVSLPWEQSRRLQLSSCEVMRQQCCQQMRLMAQQYHCQAICTMVQSIMQQVQFDAGFVGEPQAQAQAQVALNLPSMCGVYPRYCSTPCNVATGHCGSW >ORGLA06G0136500.1 pep chromosome:AGI1.1:6:13764794:13765141:-1 gene:ORGLA06G0136500 transcript:ORGLA06G0136500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIMNSCNEFVRQQCSPVSLPWEQSHRLQLSSCQVMRQQCCRRMRLMAQQYRCQAICTMVQAIMQQEQLDASLFGVPQAQAQAQVALNLRSMCGVYPRYCNTPCIVATTRCGSW >ORGLA06G0136400.1 pep chromosome:AGI1.1:6:13749288:13751225:-1 gene:ORGLA06G0136400 transcript:ORGLA06G0136400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARGWTQKYAMG >ORGLA06G0136300.1 pep chromosome:AGI1.1:6:13745625:13746378:1 gene:ORGLA06G0136300 transcript:ORGLA06G0136300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPLDRACLGEGRNCHVHLLLGLQGSAGAAAVVQGALRQCAADEQCGGFGVAVEERMVGEEELARDTYSLMHESQLVLTSAAFRGQCSPATAYCCCSTIAATKSTSLACPNTKALTRRRCHAERPMRGLEWRSVAVTALSPSRPRPPSWHRSSSAMTNAH >ORGLA06G0136200.1 pep chromosome:AGI1.1:6:13736012:13739656:-1 gene:ORGLA06G0136200 transcript:ORGLA06G0136200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSGFQLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMMTFCTLHVAQRLHFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSESIKLSLLVLLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQILTNTIQKRLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFAHKYTTPVLGFIMLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYILLHDPFNARNILGILIAIFGMGLYSYFSVKEGKKKATNDPLPVSQMPEKETEPLLATKDNSDTKKANGVSHDC >ORGLA06G0136100.1 pep chromosome:AGI1.1:6:13730860:13733160:1 gene:ORGLA06G0136100 transcript:ORGLA06G0136100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53360) TAIR;Acc:AT3G53360] MQNPNGTILQLYHAGRLAAALRAFESLPSSSPASAAAAPAPLTAATYAALVSACSRLRSLPQGRRVHRHLVASSSSSPDAQLAGNTVLGNHLITMYGRCAAPDSARQVFDEMPARNPVSWASVIAAHVQNGRAGDALGLFSSMLRSGTAADQFALGSAVRACTELGDVGTGRQVHAHALKSERGSDLIVQNALVTMYSKNGLVDDGFMLFERIKDKDLISWGSIIAGFAQQGFEMEALQVFREMIVEGSHHPNEFHFGSAFRACGAVGSWEYGEQIHGLSIKYRLDRDLYAGCSLSDMYARCKNLDSARVAFYRIEAPDLVSWNSIVNAYSVEGLLSEALVLFSEMRDSGLRPDGITVRGLLCACVGRDALYHGRLIHSCLVKLGLDGDVSVCNSLLSMYARCSDLPSAMDVFHEIKDQDVVTWNSILTACAQHNHPEEVLKLFSLLNKSEPSLDRISLNNVLSASAELGYFEMVKQVHAYAFKAGLVDDRMLSNTLIDTYAKCGSLDDAMRLFEIMGNNRDVFSWSSLIVGYAQFGYAKEALDSFSRMRSLGIRPNHVTFIGVLTACSRVGFVNEGCYYYSIMEPEYGIVPTREHCSCIVDLLARAGKLTEAANFIDQMPFEPDIIMWKTLLAASKMHNDMEMGKRAAEGILNIDPSHSAAYVLLCNIYAASGNWNEFARLKKAMRTSGVKKSPGKSWVKLKGELKVFIVEDRSHPESEEIYAMLELIGMEMIKAGYVPKHSWKHAIVDHIDSDLFNEEMLAEYG >ORGLA06G0136000.1 pep chromosome:AGI1.1:6:13726432:13728264:1 gene:ORGLA06G0136000 transcript:ORGLA06G0136000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPASSSWAAGLQERIWDLHDKLSHAILSLSASAHRCCRRRAPEGRVVVKGWRRQGGCGDCGLEQEAATAATMADARSLHAVRAALEDLEGHLHFLHNIQLRQVAERDAAIARLQQSRILLATRLAEHRWKKHEVIEEALAFVDDALDKSRFVSPEDVRGTHTHSQSVENQCPKIHDSNFLVRFLSCALAIAKNSLRFERIGGALGNTAMFAVSMLAFLQLQQVAFGKQTPAVQCRRVNYFHSQMSVKNTKEKHLVVLLARG >ORGLA06G0135900.1 pep chromosome:AGI1.1:6:13715507:13717818:1 gene:ORGLA06G0135900 transcript:ORGLA06G0135900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWTSLGLAAVAVVVVGIAMPAAASAAAPAQPPAPAPSSDGTSIDQGIAYVLMLVALVLTYLIHPLDASSPYKLF >ORGLA06G0135800.1 pep chromosome:AGI1.1:6:13706248:13710395:1 gene:ORGLA06G0135800 transcript:ORGLA06G0135800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:Projected from Arabidopsis thaliana (AT5G60750) TAIR;Acc:AT5G60750] MSSSASCLAPPPLRLPCSSSSSPSPAAAARFGSRRRAVAAAAPAKGWRLVRVSCFRQEDVPTTSDDGPGFEHISRPESSRGAEASGEEGEGEGEGSSGQRERGSGERDDWFLRAQEIKRNLRERIFRFQTQRWTVPWTGKTIAQVMILWIATFWFVGSWIVPFLAHAAGFSKESLTHRGQALYSLLTDITEGLTGIAILHHCLGRFRPLPPGWFEFNLKGRWYLDVALGCLLFPLVNFLSHININLIPMSSGPVAGVSSVEQSIVARDPVAMVLYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPLPWSILVSAAAFALAHFNAQRVMPLVFLGVVMGGVFARSRNLLASMVLHSLWNGFVFLDLMK >ORGLA06G0135700.1 pep chromosome:AGI1.1:6:13670909:13702935:-1 gene:ORGLA06G0135700 transcript:ORGLA06G0135700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MAKRGGAAGEPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIERGDAISVYSRASTLQGWLVDGKRTDPMAYAGVAQCLGEIYRLFGHKITAGLIETSNIVAKLMKYHEDFVRQDALLLLENALEGSGGGGSGAAYLEAFRIIMRGGVSDKSFIVRVAAARCLKAFANIGGPGLGMAEIDTSMSCCVKGLEDNVSAVRDSFAEALGSLLALAVNPDAQVKKGVKKQSTSGKKFDDGLQKHLILPFVRANGANAKKLRIGLALSWVFFLQLTCSHSKLVRFYTHTHHYSYLLWVLWYLPGTITLCLFLSWSQLLATPVELSSAAQVHSSPTPGGDYSGYLAMIHMKYGTPDSELQNYAVQVTEILQGNASPDPHALACVLYVLRVGVADQMTEPTQREFLVFLGRKLESSNYTALMRVATLRILSYLLRSLGEVPSEFKDILDNTVVAALSHSSAHVIIIFGDTTKIRRHGGIGYHFSLVRVEAALTLRALAEVDPTCVGGLVSYGITTLHALTETLSFDKGKIMNLELDSLHGQASVLAALVAISPKLLLGYPARLPKSVLEVSKKMLNGFSRNPVAASAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHVQDWASELRVLSVAIEALTAFIRSFVSPIMTNANGGILLNPVLAYLGGALSLISSLSSKKLPNVNSALNLFTTRTLMAYQSLSNPMVYKSEHQQMLQLCSSPFSDPSGWEESSCLKFLLDKRDNSLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDVEMSNFPQPESVSKMLVNQMLLCYGSIFACQDNTVKIRLLNNLDQCLKSGKKQSWFMTVVTNSCVALLSGLKEFLTLRGAQSLSTDILSMVQSTFKGILLESEISTAQRRAACEGLGLLARIGNDAFTARMARSLLGELITPIDLSYTASVTLSLGCIHRAAGGMALSTLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVIGPELAPEISSSSETATLIESVRFAQQLVLFAPQAVPVHSHVQSLIPTLYSRQPSLRHLAVSTLRHLIERDPAAMINQNIEENLFSMLDEETDSEYWRWVKQHQCRTIWPLQGHCHGGGTFHPAAGAEFSSLFSVSYTLAMVMGVMDCLAEVLATSIARNTSEGLSSSGHDPIDSNAENDIYYGADEDNMISSSKQEKTNWSANKFSQFPQRNKHLRYRTRVFAAECVSHVPVAVGTEPAHFDLLLARSAVAEGVHLSNDWLILKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFGMAVDPEFPGHILLEQFQAQLVSAVRTAISTASSPLLLEAGLELVTKVMTSSVIGGDRVALNRLFLLICRPLNDIEDLFYPSFADWVVLKCYTYQFLRMKENIPDEHQQLAPLLANSSSLLGKYWIGALKDYSLISFGLHSRINHKPFLDGIQSFLVSSKAKEYLDEVWALILQATALDAAPLEFEMDDSEDTLGQTFISGRSMVKLDLTEFKFLWGLSVLVLCHTQPSMSNSAIKINLDRNNEKKIGGLVVCAGLDNPRPCDQMLLVLSSLTSQVFFSMNFLTVDTCQELLQALTYADCSSAPVVCLFSQIIRLCPDNFFEVEEFVFVALEFYSWYLATILQSRCGSSQECLSNSLISELSVATETMACRMKNEHWWKLMMLLVSTSYQSFQQVPSNLCLSNIISFLQNTLPIMKKYLQERAEPGDECANCEVALGALVSLVAYLCTQCSNRISMLDNKISDSYKLLAKILYFCLGEAIALAKLVDEIGYHGENCTSNELMSGSFRHCTQVVQASLCSTTIQVQMLGVHVLKVSAQRELAEGSQTATHSFMVLFVELLADVFSVIQTALKGCSSKDSVSVIDECLKLLFLFHTLAQSKKCPQEATMLLLDALLMVFYSSSATGSQELTEVNNISKKLFSHFIQIPSAAIHIKDIMLSAAPTKRQLLQDMIRASVTQGQTIVPGHISANSEQNAQGGFSQEPGLNATDADEEKNEKQVSDDDWDDDWDNFQSLPAHGTNNGADSATAASPLPEQGSVASPHDEQIPQVNINQEVSDVDVSDGTTEGLSSFDKYLKEPSTSHFSDTAQQVESKSQEFSCKDHEESPKHPKVHCTGSSAHVTKEETDDESQQIHGDQFVSRESKNYDLYLSNEIAGSAGEEENDTSGEIRRATGDVLDENISSVDDSNLNNISDGTEDESNKACDKVLVANEKSETVITDSGEKVSASSDEVKSDLYPENVDTKPESSGGEIAESGS >ORGLA06G0135600.1 pep chromosome:AGI1.1:6:13669730:13670087:1 gene:ORGLA06G0135600 transcript:ORGLA06G0135600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVVVVVVGLLGVALGAKPNTVDSAKGSGRGQQRRWRGDRDSPAFDSSVEARAMAFSEISYSNSVKMVPYDDDAMPAMNTLMLRGHRLSAGMRMVLG >ORGLA06G0135500.1 pep chromosome:AGI1.1:6:13659852:13660280:-1 gene:ORGLA06G0135500 transcript:ORGLA06G0135500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XGDGGGWRGHRRRSRGPPLSRTSRSRLHPCLATTRPWWCAQRSRKEAEERPNRKSCKQCMDMYMRPFLLNVFFSKRFVHAKVVHRGTSECIIETGAWXRDGQRPEVSVEGGDAGTVGRGRQHAGERRQSPSMPVRRTFLQLLL >ORGLA06G0135400.1 pep chromosome:AGI1.1:6:13648837:13649997:-1 gene:ORGLA06G0135400 transcript:ORGLA06G0135400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAWWYAGGGGGGGSNNWDLGAVVRFGCGGGRVSPAAALLGEAWEYDDDPFSSFLAPPMTAQQAALPAVWEEGDDGDAAWMPPLPGLQTGGGWGDQAPMVVDELCGALVVAPPPPPKQQEVLQVQQQPPSADNTQPTTDQQGSGGDGESTRAGGSRKKQTRKEVVRVAASGPAPDSWAWRKYGQKPIKGSPYPRGYYRCSSNKNCAARKQVERCRLDPSFLLLTYTGAHSGHDVPLHRNSLAGTTRHKPPPPPPLPSAADKSPATAAEAATASQSPGLSPTTPLRASSMELHGEDDAEAELQVEEDDMAIDDEDDDDVADETISTVPWGTPISDAIIAASYEWR >ORGLA06G0135300.1 pep chromosome:AGI1.1:6:13629740:13630339:-1 gene:ORGLA06G0135300 transcript:ORGLA06G0135300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGGTSKGKQKIEMCCIDGKEKRQVTFSKRRRGLFKKASEISTLSGASVAIVSFSKAGNVFAFGSPSVDAVLRRHVVAGPSTSTSHAHAGGDVFADDGGDNPEVLNALKRATDEAAAEVAAEDARQSGVEGKITEAMAAGRRRFWWDAANVEALGEAELPVFERALHKLRGAVVRRRSGAKPPPPPPRRSKKKLLN >ORGLA06G0135200.1 pep chromosome:AGI1.1:6:13617411:13618043:-1 gene:ORGLA06G0135200 transcript:ORGLA06G0135200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSRGRPSLGRQRIEIRRIDNSGRRQVTFSKRRNGLFKKASELSTLCGASVAVVAFSSAGNVFAFGQPTVDAVVRRFDPLHADGADPAPAAVEDGGGGGDDVVVADPEELDALRRAEEQTKAQVAAEQARMRDVGDKVTQAMAGRTLWWEADVEALGEAELPEFVRALERLRDSVRRHASTLASTATPLPPPPEQEEEVPELDVSDYSF >ORGLA06G0135100.1 pep chromosome:AGI1.1:6:13613855:13615000:-1 gene:ORGLA06G0135100 transcript:ORGLA06G0135100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVDPSRAVPVDMATEWIPTTPTPCSKAALAGMVFFGALERLASSSIMAAPATLVPSPCQCGTRARGWADVAKRGANHTGTVPHITALAVLAHSPKDFFVEIEEKEKDHYRNVSLMVMSPLFFPCPYKSSRFPYPSKSSHIYMFT >ORGLA06G0135000.1 pep chromosome:AGI1.1:6:13602566:13604342:-1 gene:ORGLA06G0135000 transcript:ORGLA06G0135000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPLEWSXSPARIAFFHCCCFRFGVRTKCLFCRGGAEHARCSGILVVVFPNSKSEPKGLSTNELLNTLRELRVDSILLASYSKLIPVELVQAYPRSIWNIHPSLLPAFGGKGYYGLKVHKAVVASRARYSGPTVHFVDEHYDIGRTLAQRVVSMLANDTLEQLATRVLHEEHQVYVDVVTALCDDRIVWREDGVPIIRSRTNPDEYT >ORGLA06G0134900.1 pep chromosome:AGI1.1:6:13588132:13599610:-1 gene:ORGLA06G0134900 transcript:ORGLA06G0134900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G11270) TAIR;Acc:AT4G11270] MKCHSVAALWSPSPPSHHITAAAATPAALFTGAADGTILHWPLLPPPSPFPHPSSLLCAHAAAITSLCPLPSSPPCLLASCAAGVLSLFSSSASVSASLRCLRRRSLPPWAGSPSLVAPLPPSSSSAGSSSASVAILCHAPDDGGRHVSAVVVVDARTLVVLRTAFHGALSVAPPRAIAVAVDAGVEDASVSVVLADAQGRAQVVPVAEGAAVEGDSPRRLSASSASSVTSAEAVDGRVEAVSLSDDGKVVALVMKNSCLLKCISEGVVLGEVTLPSDLLCKEGEAGMKGWLVGGFFLRGGEWGAHGSENGNVVRSLVLWIINGGAIVYRVEVGTGSFGCKAVCEIPDIVSERGDGSLVQFCQSGNQLIRVESRPYKIAGSLLWKPFVSIWSMDHLELNIANNIEKPPLSKILGEGGLQGEEFRSDHSHSFCKSNNGVDINSLICSSNSNGLGRHGGTVSSSMVLSEDSYTPYAVVYGFHNGDIEVIRFLNLLPAAKFGSGGIYPHISERFFLGHTGAILCLAAHHMHAQPDSRTFNRVLISGSFDSTIRVWDLDAGTILSVMHHHVAPVKQIMLPPAWTHQPWDDCFLSVGEDGLVALVSLQTMRVERMFPGHPSYPSMVAWDGVKGYIACLCRNLHSCNDSGSVLYIWDLKTGARERIITGTSSQSTFEHFCRGISKNAVTGSILGGTTSASSLLVPIFKDTSLLQSHANKKGLSISSVSTNHHNANTNSVTVSVPAASDVMGKMSATDEAHELHGNSSGKVASGQCINNRRKHPIKCSCPYPGIASLRFDLTAIMSTQGMANNNSDRQLRDHFYRDNVNDSIQAETCDNTSGMHVIDSPSRESLEGRLLRFSLCFLHLWGVDHELDKLLVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELWKASSEFCAMRSLCIVSLAQRMITLSRSCTNASSALAAFYTRNFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCAAPRSIPKPLHLQKNKVFDSQLPTSDQMDNIITAIRSASVSSYGQLKADNEDAGREDCDTSEISSWLESFENQEWLSWIGGTSQDAVASNIIVAAALVVWYPSIVKPKLAHLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKVCLGTDMTHFLSDVLFQIECLSSAPSNNAVYKTAVAVTMREALVGTLLPSLAMADIVGFFGVIQSQIWATSSDSPVHVISLKTLIRVVRGSPKALAPYLDKAISYVLHTMDPSNLIMRKACIINSMMALREIARVFPMVALNESMTRLAVGDAIGEIHNATIRVYDIESVTKIRILDASGPPGLPSLLDGSSNTTATILITALSFSLEGEGLVAFSENGLMIRWWSLGNAWWERLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIISSILGHDKHQNSETKTRELDEADNLKLLLHNLDLSYRLQWVSGKTIKLTRHGQELGTFQL >ORGLA06G0134800.1 pep chromosome:AGI1.1:6:13582463:13582931:-1 gene:ORGLA06G0134800 transcript:ORGLA06G0134800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPRGRPSKGRQAIEIRRIEDKPGGTSPSPRGGPGCSGRPRSSPSSPPLLRARPRVNDCEPEALRRAADEAKVEVARLRDVAGRRFWWWWEATNVERSGRRSCRSSPGRLGGSGPPSCAATRS >ORGLA06G0134700.1 pep chromosome:AGI1.1:6:13547401:13549968:1 gene:ORGLA06G0134700 transcript:ORGLA06G0134700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAAAPAPTTNFADIHSVWHGNFDAESAQLLAVAPRAVHVAVSVQYPGCAVAQAGTGGRKKYGSLTAEERYDMVKANIDELHPIQVGLAIRANDDDGGSGELVVFEFNLRGFDINNPADLRDPASIAHLRGRGVDFGRLPCAGVEPHRLRLLLLGSGLLQARPSWAMFTGAYHVGYLMKILTGAELPSGLDAFTAMATGTLGEGVYDVKRLAAEVNTACGFSLREIAACVGVVPVAAQHGMVAGAGAVSTLQCFEALRERLGQLQGRVRHPTLRRAARPPRRAYINVQYPGCPVPGGEPRPFEQLTAEQRYGVLKANVDALRAIQVGLAIRTGDGGGEAFVFESNLNGFDVGNPDLPRQRDARSIAHLRCEPAASTSPEAAARRRRLATFAGGYHVAYAVKLLTGRDRAPGQLDGFTRLVASIFVRRVYDVKRIAREHEPVHVGALTSLAERLGVFIVYLYGIYIRLGMGCHA >ORGLA06G0134600.1 pep chromosome:AGI1.1:6:13540862:13541599:-1 gene:ORGLA06G0134600 transcript:ORGLA06G0134600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCSSRRRRHGSRRTWCPTRRRSARTGSTRRALSSGSASRGVPDAHLVFLVGNMVTEEALPTYQSMANWFESARDVTSADGTAWARWICRWSAEENRHGDVLNRYMYLSGRLDMRQVERTVHRLISSGMAMYAPMSPYHGFSYVAFQERATEATRHATSAPMATMRSPASAAPSPPTRAPRGRLHPRRQDAPRRRPRHLRRDRRRLVLLRRLEQWQQVLLHPWLHLLKHLQPRRRRRRPVRLW >ORGLA06G0134500.1 pep chromosome:AGI1.1:6:13539142:13539627:1 gene:ORGLA06G0134500 transcript:ORGLA06G0134500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKANVNELHPIQVGLAIRTDDGGCELVVFEFNLRGFDINNPANLRDPASIAHLRGRGVDFGRLPHARIEPHRLRSLLLGSGLLQTRPSWATFTGAYHIGYLMKILMGAEVPSGLDAFMAMATATLGEGVYDVKRLAVEVNTASRFSLREIAACLGVVPAVA >ORGLA06G0134400.1 pep chromosome:AGI1.1:6:13530865:13534324:1 gene:ORGLA06G0134400 transcript:ORGLA06G0134400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:I1NW54] MADPAAEETVPAPPPTPAVDPAEGASDAPQPVELPADTAAASPEKVSSPPPEPAPAVRSRGFRLLGEDTSVHKALGGGKTADVLLWKDKKTSAVVIGGATVIWILFEVLDYHLLTLLSHVMIGALAILFLWSKATTFIKKSPPDIPVVQIPEDVAVNVSRALRGDINRALHLFREIALGHDLKKFLGVIVALWVLSEVGSCCDFLTLIYVAVLMLHTVPILYDKYQDKVDHFAGRAHSEACKHYEVLDAKVLSKIPRGPAKPKKN >ORGLA06G0134300.1 pep chromosome:AGI1.1:6:13526479:13527666:-1 gene:ORGLA06G0134300 transcript:ORGLA06G0134300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVWKSKEKAQMTNLQEGQLHAAQLEDGLCSAXCLXAAPTSMSMSYRTLRWERSSSKESMFVVSTSTK >ORGLA06G0134200.1 pep chromosome:AGI1.1:6:13516175:13518049:-1 gene:ORGLA06G0134200 transcript:ORGLA06G0134200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTTTVTEPDNADASPSPSPSPSTPPKKVIMYELAARNIYYAKPAAAAVATTTVASLARLLRPCGAAQPPSPEYILRDVSLTARPGEILAVVGPSGAGKSTLLDILAARTAPTHGRLLLNAAPLRPSSFRRLSAHVPQMDVALPLLTVAETFAFAASLLYPAAAEASAAVAALLADLRLGHAAHTRVSATRLSGGERRRVSIGLALLRDPGVLLLDEPTSGLDSSSAHVVVGCLRAVAAARGTTVVLSIHQPSSRLLSAVDSLLLLSRGAVVHHGSVDSLDAALLSHGLAVPAQLNPLEFALEVLDQMPHPSASSPEPKTTEELAAVTSSKSSSSSTSPCSRIHEVVVLYKRAWKVVYRSKQLLLTNFLESVVVGTLLGSIYINAGDGEGGAHKRLGLFAFTLTFLLTSTTETLPTFVSERPIVLAETASGLYRLSSHAAAATLVFLPYLLAVALLYSACVYFLVGLCASAAAFAAFVMVVWAVVLTANSFVLFVSSFAPDYIAGMSLVSVSLAGFFLFSGYFLSRGSMPPYWVFMHYVSPYKYALDALLANEYTCAATRCFGVAGPAAGDCSETGADVLAEKGLTAKERWTGVQVLFGFFLLYRVLYWVVLSRRAARAKR >ORGLA06G0134100.1 pep chromosome:AGI1.1:6:13510401:13511126:1 gene:ORGLA06G0134100 transcript:ORGLA06G0134100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPKLRPSSVDHNLGLGRSLVRVSPDASVVLGTAAQWDNERERLTVSTLHVPLPPRGAAESRMAVDGLDIRTKEEGHGVDSRWSGQRGGEYATASLAENDVGLPTGKADVTSVSLRRLTVTGTGTACFFILLPAGCHKPHHG >ORGLA06G0134000.1 pep chromosome:AGI1.1:6:13505651:13507171:1 gene:ORGLA06G0134000 transcript:ORGLA06G0134000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSPGHSPRHLSPSPAPSTPRPSSPTPSSASASASASASALAAAATTTTSSKRRRPEVLDEDTYVAAVERIIERDFFPDLPRLRDRLDWLQALRSRDPLVLRDAQLKILERRRRAQRQRQGGPVPTPTLATSTALRSPSFLATPSVAPSVAGDEGADVDDDVEAALSLDDFFRRFTSEDNESFSRILEKVNHRRRERYAHLLEPGEVAKAPQLEDAKRDRITDGYGTSGQPPSTLEGAKFTAKNLLMYYPADRGEAPLTDEERAERLKGMTKEIDRSNTRFHGKSSVDDGAKEEEAAAILYAPVAGSTPGGMAYHDPDKAKKYDLEDLRKTPNPFYVESGKNANNGYSFVRTPSPAPGVDESPFMTWGEIDGTPLRLDPEETPGGSGGSDRAHFKIPPPPARDVKAHLLSRDAARKIKERTKMFHKPPLPSPVRGGSASPRTFSPAAQKFVRNAIAKSSRTIDESLRASYRGTTPSATTPKTRFSRDPSLGSRSPSTRQGSTPPW >ORGLA06G0133900.1 pep chromosome:AGI1.1:6:13492173:13492522:-1 gene:ORGLA06G0133900 transcript:ORGLA06G0133900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHGADRGPMIDDEIDESSETKIDEAATRRTAWSRPSTSRTRPTHRPSRRRPRGDR >ORGLA06G0133800.1 pep chromosome:AGI1.1:6:13461500:13467471:1 gene:ORGLA06G0133800 transcript:ORGLA06G0133800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASELGIRSCSLEDLDGMSGSRKTHSSWTTSCTVQHSGYGLQVKSDAPKQLSNATITTMDRFCFEMALKEFSSKDLWNRGTSQEFYVIXTNLYENAINFHXFQRIPKYITTQDFLEVXHCVSIFESSCWNYYXFSNFIQNALLGIMSLDHKLQPVMNCMMAXXVVQNIKHCTDLRRESIEHPLAIAXSKGSXRHHIHSQFERLINGGLRNVIYTIRSQNQNHSLEEMLSHQSANGCDICIDINKHDSVFPNKKQISMIVMIKNIITERLTGDRSLPAIVSFFFSSFSKFINLKFTHLKFIHLKFIKRMFIRQKFIDPIQIEIRIQIFYIFLFXXVYTPKVYTSKVYPPKVYRANVYKSKVYIPNSNSNLDSNIIYIXYFYTQSLCVQSLRYKVYVHEXEYXIFFLIYFXNLXCNRKAKKRDERQVLGGGTGDRXEDREAITRPLATPTSLCYCSQSAIVMDLASPSQNQTNQPTFSHNLEQAGPPPLLVVADVTYSPDLPSPPRSPDADYXIARHQLQFVAXTQVENHLLLLETNQLIISSVGDREMISAICWIPRGAAKTVPLVAAPPTREIHAAIGAAGQDRLNFSFLEEIDTDDDGMDDIDGAQEEDEVALQSDGCSVDYIADGLRELDMDNYDDEDGVIKDLCSGSSDLYYPSNDMDPYLKNKNNGLVSILEEMEDGHPYLYPYDEIVLLGIPLCVPWSDCGETQKDEKIQDWKPETLYLIGIDWNKEYTNILASASADKTVKIWDVAAGKCVTTLEHHDAKVKLWDISSNQPSVIASLNPKVGAIFSISFSKDNPFLLAVGGQKGNLKVWNTLTEPLVANKIGKHGSS >ORGLA06G0133700.1 pep chromosome:AGI1.1:6:13444687:13446204:-1 gene:ORGLA06G0133700 transcript:ORGLA06G0133700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHELWVLWATLAVSLLCYLYLTSHRLGSRRRRWPPGPRPLPLLGNLLDLRGGNLHHTLARLARAHGAPVMRLQLGLSPAVVISSPGAAREAFTAHDRRLAARAVPDANHALGFCDRSMIWLPSADPMWRTLRGVVAAHAFSPRALAAARAVRERKVRDLVAYLRGRAGREVDVKDAVYGGVLNLVSSALFSADVVDVGGESAQGFRELVEELIESIAKPNVSDLFPFLRPFDLQGWRRWTSGHLAKIYKVLDDIIDRRSAEDDAAMDKRGDFLDVLLELMSTGKIAREYLTNILFDVFTAGSDTMSLTVVWAMAELLRNPGVMGKARAEIDAALGGREAVEEADVARMPYVQAVLKEAMRLHPVAPVMLPRKAAEDGVEIGGFEVPRGCAVIFNTWAIMRDPAAWERPDEFVPERFVGRSRATEEMDFRGKDFGFLPFGSGRRLCPGVPMAERVLPLIMASLLHAFEWRLPDGMSAEQLDVSEKFTTANVLAVPLKAVPVVIAC >ORGLA06G0133600.1 pep chromosome:AGI1.1:6:13434001:13434349:1 gene:ORGLA06G0133600 transcript:ORGLA06G0133600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADISVEIGIIGTLVDDGPSEDEGRRVDDGPEDTMMGAKNMLRRLMGEVGEKARGVKWGEDEWKGGRHLKY >ORGLA06G0133500.1 pep chromosome:AGI1.1:6:13414574:13416211:-1 gene:ORGLA06G0133500 transcript:ORGLA06G0133500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVFCCLLALLPLLHYLITLFLHGSRDSDLRLPPGPWRLPLIGSLHHLFFGALPHRALRDLARRHGPLMLLAFGDAPVVVVASTAAAAREILRTHDDNFSSRPLSAVVKVCTRRGAGITFAPYGEHWRQVRKICRLELLSPRRILAFRAIREEEAARLVRAIGVASPPLVTNLSELLGNYVTDTTVHIVMGERFRERDALLRYVDEAVRLAGSLTMADLFPSSRLARAMSSTTLRRAEAFVESLMEFMDRVIREHLEKKRSCQGGEREEDLIDVLLRLQAEGSLHFELTMGIIRAVIFDLFSGGSETATTTLQWAMAELMRNPGVMSRAQAEIREAYKDKMEVTEEGLTNLTYLQCIIKETLRLHTPGPLALPRECQEQCQILGYDMPKGAMVLVNVWAICTDNEFWDESEKFMPERFEGSTIEHKGNNFEFIPFGAGRRICPGMQFGIANIELALANLLFHFDWTLPEGTLHSDLDMTETMGITARRKEDLYVHAIPFVQLP >ORGLA06G0133400.1 pep chromosome:AGI1.1:6:13406198:13407188:-1 gene:ORGLA06G0133400 transcript:ORGLA06G0133400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQMMYAGERSAAAREMAMEMMAPDQRQMGSSCSLLGRFISRVFKCRGRQGRMRGERMDYYGATAYPAAQTCYVSPAAPARAVAFATANAMRPEPMQAHAVVAMPMPGATYGAASPRPGGGKRKKKKSKNKRVRFAPAGAEPVPTDAPPPAAHYAPPAAAAASGGGQLHHQQHYPSADAAAEPYSTAAHGHGHGRYAYAPSPLARWEMLGSAGTPRRHEYFSGEYRWCYPTPVREGIYSLATDANRLTTIFSEENPNACAIV >ORGLA06G0133300.1 pep chromosome:AGI1.1:6:13384730:13394014:-1 gene:ORGLA06G0133300 transcript:ORGLA06G0133300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase, long form protein [Source:Projected from Arabidopsis thaliana (AT3G52200) TAIR;Acc:AT3G52200] MANIRALLIGLVRARGSLVDIGRCVSSSRPSYLASLGRHYKVPMEIRWLSSTGFPPHLVVGMPALSPTMNQGNIAKWRKQEGEKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVQVGQPIAVTVEDLEDIKNIPADASFGGEQKEQSIASEAQKVETYAAKESSIITRISPAAKLLIKEHRLDQSVLNASGPRGTLLKGDVLAALKLGASSSSTKQKNAPAAPSSQPTHDFQAQSVTIPQQNDAYEDIPNSQIRKVIAKRLLESKQTTPHLYLSQDVILDPLLAFRNELKEQHGVKVSVNDIVIKAVALALRNVPEANAYWNNDKEQAQKCVSVDISIAVATEKGLMTPIIRNADQKTISAISSEVKQLAEKARAGKLAPNEFQGGTFSISNLGMYPVDHFCAIINPPQSGILAVGRGNKIIEPVVDSDGTEKATVVTKMSLTLSADHRVFDGQVGGWPRKIFPMQLGAWLLHLQPVQK >ORGLA06G0133200.1 pep chromosome:AGI1.1:6:13379129:13380553:-1 gene:ORGLA06G0133200 transcript:ORGLA06G0133200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPEFDPADVRAGRDLIHRLTADAAGIQRRVLREILSRNSGTEYLRRFLGGAAGDDDDVRDAFKRRVPVSGYEDVKPYVDRVASGGEPSSALLCSDPITCLSSSSGTSGGQQKLLPSTAEELDRKVFFYAVRALVRNMSLHTDHGEDDDGGGGEGMYLMFVFHGDRTLSGLPIQSALTTYYHSRQFQECDIGGFDKCTSPLEAILCPYGEQSMYCQLLCGLLHRCRVDRVGASFAAGLVRGIKFLENHWEEMCSNIRSGQLSDWITHAPLRDAVTGQYLQGSDPALADEIASECARKPWDGIVRKLWPRARYIRTIVTGSMSQHIPILEVYGGGLPLVSPIYASTECTARINLRPLDPPSHVSYALLPNIAYFEFLEVKDENGEKVQGTTRLDDNLGEV >ORGLA06G0133100.1 pep chromosome:AGI1.1:6:13372610:13374886:1 gene:ORGLA06G0133100 transcript:ORGLA06G0133100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGSLIVXLGNALASEAVELAKSLLGLEGSALKRLFSEIRDVKGELESIRALLQAAERFKDADETTSAFVKQIRRLAFGIEDAVDEFTYQLGEGGGRMPFKRMCKIGTWSRLAANLQDIKVSLKSAAERRIRYDLKGVVVRGVKSVVGSSSNSNWRSDSVHFKRDDDLVGVDKNRDLLMRWVQDQQQRHRIVSVWGMGGIGKTALVANVYNAVKDDFDTCAWITVSQSYDADDLLRTTVQEFRKNDRKKDFPDDEGASSYRRLVETIRSYLENKRYVLVLDDVWSTNVWFDSKDAFGGANIIGRIILTSRNYDVALLAPETNIINLQPLVKSHAWDLFCKEAFWKNGNRDCPPELLQLAQNFVDKCHGLPIAIICIGRLLSFQGSTHSDWEKVHKNLEMQLTNNSVMDMMNIILKISLADLPHNIKNRFLYCSMFPEAFVMKTKSLVRLWVAEGFIDETEQKSPEETAEDYLTELVNRCLLLVMKRNESGCVKEFQMHDVLRVLALSKAREQQFCIAFNHSSTHLIGEARRLSVQRGDIAQIAGHAPHFRSLLLLKNSTTFTSLTTISRSAKLLSVLDLMDSSIDRLPKEVFGLFNLRFLGLRRTKITKLPRSIGRLKISLCWMPLRVKLXSYHXRSQSFISXHIXLSPQNQWXVLCNLFLPLVCQHLSVFVPXQACEHCXXWKLALNWFITXVLSYSXEHSESARSCT >ORGLA06G0133000.1 pep chromosome:AGI1.1:6:13365082:13367004:-1 gene:ORGLA06G0133000 transcript:ORGLA06G0133000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20090) TAIR;Acc:AT4G20090] MPPPLPLRRRRLPDPPLPAAARLSRSEPFVSSSSDDDDDDSPLSADLFPRAGAPTLLTVARGLAAADDPVPSASTVLAFLRRLPHDASPHLFPHLVAALSRSRGGGGGGPLLALRLFLAPLHPAAVTHHSFNSALLRFPLPPHLLPPFFSRSLRRFPGRLAPTLLSFNLLLKCVCSSLVPRDPRRYLDVALRILHEIIPGWDLAPDKFTYSTVVSALADAGRVDDAVALVHEMVADGVVAAEAFNPVLRAMLRAGDVKGAAKLFGFMQLKGCVPTAATYNVLVHGLLVCGRAGAAMGVMRRMEREGVVPGVMTYGAVVDGLVRCGRVKDAWKVAEEMERNGLARNEFVYSTVITGFCKSGEIDCALKVWEAMVASPVRPNVVLYSAMIGGLANFGKMTEAELLFREMIDSKCAPNIITYGSMIQGYFKIGDTSRALSVWEEMIGAGCVPNAVSYSILINGLCNVGRLKDAMMVWKHMLDRGCAPDTIAYTSMIKGLCVSGMVDGGLRLFYDMLASGHADPDVISYNVLLDGLLLAKDLPRAMDLLNRMLDQGCDPDTVTCNIFLREFGAGERKGREFLEGLVVRLCDRRRNMAAGEVLMVMLAKYIVPEAPIWEIVVRDVCRRKKVWRVIDKCWDEIWGP >ORGLA06G0132900.1 pep chromosome:AGI1.1:6:13353621:13354034:-1 gene:ORGLA06G0132900 transcript:ORGLA06G0132900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASLLHAVAALMSTCTRRLQRAARRVSSAAAGAGKQGASSRAVVPWRKALSLPAAATAKVKAAAAGARREEGDSGGLWRKEILMGERCQPLDFSGVIYYDADGRRLAHPPPPRSPMRSPLPVSGKLAANARAAY >ORGLA06G0132800.1 pep chromosome:AGI1.1:6:13343798:13350520:1 gene:ORGLA06G0132800 transcript:ORGLA06G0132800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAYTLRLSPPPPSPSPRRQQHHHAPLLPQRPRSRRGATARAAAAASWAPTDRGSDDGLGGWWLPVPEQQQQQKQPAERGREVGIGIAGSRRALAVGLGASAAIALVGMMWHLPSSRKCLQQFVHAPLHYVQEKLSTLESKETPEEDAGDREWDNIDVSKTANDERVDTKTDDSSQNHMPAGGVHVLFRAPVDPMHEEAFSILKKLQIIEKDASSSDFCSRREFARWFIKLHSKLERKKMHRIIPNRLTFGSVRSAFDDIDADDPDFLYIQSLGESGIVSSKLSNFLGTSTSGSSSDSGNSNFLPNSYLSRFDLVNWKALVEHPFATELDQKMLSKNVRILDLRAWPDVPSSILIDLMGGEQSIISKVFGNTRCLQPHKPVTKAQAAAALTSGRMEEVIRDELNRLEAENQSQLSVMGEIMEELINRGDIKRYWEDKMKVEEIREVAVDKQLQHVLQELANEKTDREKELAVLLKERTALEHQNQELMNLRSEIDGMYDRLAMESLEVMTEEQNLEKLSFDVNRKHQAVSESKSYLEAEKEALTMLRPILVAMVFEYFGLGWKKKQHVYMNELKYLRGLFSLNIFMFCGIRASAVSYKQFLVRSRILMLPSSKDAVSPGQLSFTLVYTIPFE >ORGLA06G0132700.1 pep chromosome:AGI1.1:6:13338151:13341199:1 gene:ORGLA06G0132700 transcript:ORGLA06G0132700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G57180) TAIR;Acc:AT3G57180] MAKPLLLPATVAAAEAARLPSRLAVGAAPPFRVLPFFLCPPPQSRSLSFSPVSAVSTAGKRGRSPPPPPSPVISEGRDDEDAAVGRPVCPGCGVFMQDADPNLPGFFKNPSRLSDDEMGEDGSPPLAAEPDGFLGDDEEDGAPSESDLAAELDGLDSDLDEFLEEEDENGEDGAEMKADIDAKIDGFSSDWDSDWDEEMEDEEEKWRKELDGFTPPGVGYGKITEETLERWKKEKLSKSERKRRAREAKKAEAEEDAAVVCARCHSLRNYGHVKNDKAENLIPDFDFDRFISSRLMKRSAGTPVIVMVADCADFDGSFPKRAAKSLFKALEGRGTSKLSETPRLVLVGTKVDLLPWQQMGVRLEKWVRGRAKAFGAPKLDAVFLISVHKDLSVRNLISYVKELAGPRSNVWVIGAQNAGKSTLINAFAKKQGVKITRLTEAAVPGTTLGILRITGVLPAKAKMYDTPGLLHPYIMSMRLNSEERKMVEIRKELRPRSFRVKAGQSVHIGGLTRLDVLKASVQTIYITVWASPSVSLHLGKTENAEELRDKHFGIRLQPPIRPERVAELGHWTERQIDVSGVSWDVNSMDIAISGLGWYSLGLKGNATVAVWTFDGIDVTQRDAMILHRAQFLERPGFWLPIAIANAIGEETRKKNERRKKAEQRDDLLLEESAEDDVEVLI >ORGLA06G0132600.1 pep chromosome:AGI1.1:6:13328097:13330361:-1 gene:ORGLA06G0132600 transcript:ORGLA06G0132600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVDPLVVGRVIGDVVDLFVPTTAMSVRCGTKDLTNGCEIKPSVAAAPPAVQIAGRVNELFALVMTDPDAPSPSEPTMREWLHWLVVNIPGGTDPSQGDVVVPYMGPRPPVGIHRYVMVLFQQKARVAAPPPDEDAARARFSTRAFADRHDLGLPVAALYFNAQKEPANRRRRY >ORGLA06G0132500.1 pep chromosome:AGI1.1:6:13308286:13308834:1 gene:ORGLA06G0132500 transcript:ORGLA06G0132500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVTKGDLRADDLIPNHALRCIIQAWCVANHCRGVERIPTPRVPVTLAQAGEVLGLGEVEAAARAGDAARCGAAVREVGRLAWESDRDRRCLASSGAASALAAVVASFAAVSDSSASSVLLNDVQASLVLVMPLDEKAIMAIGSSTASVALLANVAKHDDLQRRLQAVVIIREIVVLSSCC >ORGLA06G0132400.1 pep chromosome:AGI1.1:6:13294133:13300975:1 gene:ORGLA06G0132400 transcript:ORGLA06G0132400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT1G79150) TAIR;Acc:AT1G79150] MGKSSSKKKKDKVILPPQLPPEVDDDDVVVSDEDVEFFRGNEGHARALATLDRKSIDSYVTRVAHHDEDEVERLYEERERRRKAVEALRPKNHDDDDFEVDRVDALPVKTLQGELVYNNAKKARFDDSSNNVESKSEDKVGNSKQTIQKGERKEKSKSKKGDGKLQNVQAQTEASNGKLQSKVLEEVKEELSAEELFEKKKAQLAEIGMSMLEDPESHIRSLNDMLNICNDKDQKVVKLGLMSLLAVFRDIIPSYRIRQLTEKELTVEVSKDVKKMRYYEYTLLRSYKAYLQKLISLEKQPNFSALAVRCMCTLLDTAPHFNFRESILASVARNLSSPDDAVRKMCCETIRSLFVDEGKHRGEATIEAVRLIADHVKLNDCQLHPDSIEVFLSLRFDDDLGKDDREEEKGKPKKNKRRQNQEVPKQLPVSDNKKAKQELISKAREEVDAELRSVSFTLDPKERRRIQKETLSALFETYFRILKHSMSISNSRGKVINVSPDGSHPLLAPCLEGLGKFSHLIDLDFMGELVACLKKLSGYTDHHSGIVHDNTLNVSERLQCCIVAFKVWRSNLEALNVDLQDFFVQLFNLILEYWPDRDRGEVLADALKTLLWEGKQQDMIRAAAFIKRLATFALSFGSAEAMAALITLKHLLQKNSKCRNMLENDSGGGSLSCLVAKYDPEAKDPYLSGGLASVLWELSLLQKHYDSSVSSMASNILSMANLNPTQNPVPISNANPLEAYRDLSMERKLSKPANKLLPLNCRKKRRGKEFVALSPAALEGSDCVAGGDELKEKLKNHFAVLRGISENERLRAELNHTLSSINLYKEYKKQKKSRKSKAVKKKVSRA >ORGLA06G0132300.1 pep chromosome:AGI1.1:6:13278655:13290559:1 gene:ORGLA06G0132300 transcript:ORGLA06G0132300.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRYRKERYPSGSRKPNPSIHPSHTALTIELFDIRADERILRGSCCGRALVGRFTLDANSELKVTLNPAPQGSVAEINLEATNTSGSLILHWGALRPDRGEWLLPSRKPDGTTVYKNRALRTPFIKSGDNSTLKIEIDDPAVQAIEFLIFDEARNNWSVLFGLPILPCFLECRRYQITTLYFGQEEYEAARTELIEELNKGVSLEKLRAKLTKTPEATDSNAPGSESTVTTKVPEELVQVQAYIRWEKAGKPNYAPEKQLVEFEEARKELQSELDKGTSVEQLRNKILKGNIETKVSKQLKDKKYFSVERIQRKKRDIVQLLKKHKPTVMEAQVETPKQPTVLDLFTKSLQEQDNCEVLSRKLFKFGDKEILEKPKFTWQQTIWSHLYFTGRCQKRMESGRQCGPVLMGCFVTVKAPPSSILPSGSSLLDKACETSFSEYELNGLHCQDTGDAGKGTAKALLERIADLEEDAQRSLMHRFNIAADLVDQARDNGLLGIIGIFVWIRFMATRQLIWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYQEILRMIMSAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKSDFDIGVYWDTLKKDGITKERLLSYDRPIHSEPNFRSEQKDGLLRDLGNYMRSLKMEGTLIQSLRMAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPKLLEFVLDHVEDKSAEPLLEGLLEARAELHPLLLGSPERMKDLIFLDIALDSTFRTAVERSYEELNNVEPEKIMYFISLVLENLALSTDDNEDILYCLKGWNQALEMAKQKNNQWALYAKAFLDRTRLALASKGEQYYNLMQPSAEYLGSLLNIDQWAVNIFTEEIIRGGSAATLSALLNRIDPVLRNVAQLGSWQVISPVEVSGYIVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLSELQGHDGKVFSFKPTSADITYREIPESELQSGSLNAEAGQAVPSVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSVAIPFGTFEKVLSDEINKEVAQTIQMLKGKLAQDDFSALGEIRKTVLNLTAPTQLIKELKEKMLGSGMPWPGDEGDQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKNDLDSPKVLGFPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDRLVKVHHNFCSSLKNLFGITTKLTACFSVPMDEEDEVILDYTTDPLITDQGFQKSILSSIARAGHAIEELYGSPQDVEGAVKEGKLYVVQTRPQMGKAREDYYQVLGVTVNSTPQEIKEAYRKLQKRHHILILLATRVMHDYTLLLNEAYKVLMRN >ORGLA06G0132200.1 pep chromosome:AGI1.1:6:13276808:13277518:-1 gene:ORGLA06G0132200 transcript:ORGLA06G0132200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGTPHPRGTNHDEIGATRPLLPRQCRGELGLLNLHQQRQQEGWCMQSGCFMVCRFSEAVDRLGQLLAEAAFGKSYSWEKLPQKGP >ORGLA06G0132100.1 pep chromosome:AGI1.1:6:13270576:13271608:1 gene:ORGLA06G0132100 transcript:ORGLA06G0132100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IINFSALGQLKAIQLLDGSNYVEWRNNVPISLAMLDYDLAIREDPPEEPQPAEELNIIGEEYDNLIWAYNKKLVNWEKSNRMCLIYVKGAISPEVIGGIIDSNDIKTYLANIEESFEFAPETYANMLKEEWTIQELILHSVEEEERQKAEKQKIKDWLNLTNAFDKGKKGLSRGILXXEXRARGXAEARRDQGLHFSCSFKYKSLLPLLCVIWTLAEKLHTLHSVETRTVQTVAKRGVNTXSN >ORGLA06G0132000.1 pep chromosome:AGI1.1:6:13260518:13260847:-1 gene:ORGLA06G0132000 transcript:ORGLA06G0132000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHWGHRPERAKHHRVPSLIPEDFAVVDFKEETIVSRGENTTGRQPLREEPQKMTLMEESHNIHNQAKHQCSKELSDEEDSEKGESSGQKNGDDKKDSKKHGSNGEKRV >ORGLA06G0131900.1 pep chromosome:AGI1.1:6:13243323:13243973:1 gene:ORGLA06G0131900 transcript:ORGLA06G0131900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSDSSSGVSSGSGTAMASPRAFQRRWAPADEISLLEAAASHRQRHGGMPSPGDLAAALRGRLLTEDHHLDARAVSRQLASLRRRYAAAWRRLSSRGVVPVKDHDLRIYRLSKSLWEGSRGCGARKPIARHEAREFGELEALYPCLSAEVEAVEASRLCAVPGVFRRSFRRIGDEKAAELEAKAKRLRLAELKVGVRLDMLRKEVAETILELID >ORGLA06G0131800.1 pep chromosome:AGI1.1:6:13241538:13241825:-1 gene:ORGLA06G0131800 transcript:ORGLA06G0131800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHVVTVDVIDSELDAAVAVAEMELDGAAVTVPESKLDSAVAACEAIFYDSDEVHVVEDSFAGDTEVPDTQVAVDIVAVDVAGSNPYKYWKKTV >ORGLA06G0131700.1 pep chromosome:AGI1.1:6:13236605:13238429:-1 gene:ORGLA06G0131700 transcript:ORGLA06G0131700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSDEWLAADKLQHVLACFLIALAGAALAGRSSRSPLRRRPAALGCAASLAAGAAKEIADEAGFFGSSGASLRDAAADLVGATLAAVALAILRRLRRPRGEGKARDADHRAGISMSSGTLLVLRLCQAMAKLRPPLVPSNEKVEAEMTDLPVLFCKWQSPISGNYIHNADKSYNFFGRYVYL >ORGLA06G0131600.1 pep chromosome:AGI1.1:6:13226093:13230187:1 gene:ORGLA06G0131600 transcript:ORGLA06G0131600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLHCALLLLAVAAAAVHHLPPAASLPAMPNPASLEPSLLFPSSSGASSQPAQPGPGAASSTIPAFPEQSEAAAATSVCQLAPSPPLLPAVLASCNAGGGALPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSRPGLAGCSRCLRALNQNMISKFCVPNGIKVLPSIKQMYGIGVTASTMVIFFCANAIVIRHASHEVGEFREIDLNGGNATKAANASHGGAQAQQQQQRQRDCPLMGLTWLLHRNATRHGAAATAVIQALMAADDATGRPATCSLPSDDLPVAVASSEINGAAAAKLAGGGLNIGRLLLRHAVVLAAFAMILSSQYCF >ORGLA06G0131500.1 pep chromosome:AGI1.1:6:13218706:13220324:1 gene:ORGLA06G0131500 transcript:ORGLA06G0131500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAELCCLLALLPLVYCLLTLFHGSRESDLRLPPGPWRLPLIGSLHHLFGRTLPHRALRDLARLHGPLMLLSFGQAAPVVIASTAIAAREIMRTHDDNFSTRPLSTVLKVCTRYGAGMTFVPYGEHWRQVRKICSLELLSPRRILKFRSIREEEVARLVLAIASSSTPTPTPPAPVNLSKLLSNYMTDATVHIIIGQCFRDRDTLVRYVDEAVRLASSLTMADLFPSWRLPRVMCATTLHRAEVFVESVMEFMDRVISEHLEKRSCQGGDREEDLIDVLLRLQAEGNLEFELTTSIIKAIIFELLAGGSEAPITTLQWAMAELMRNPDVMSRAQAEVREAYKEKMKVTEEGLTNLPYLHCIIKETLRLHTPGPFVLPRECQEQCQILGYDVPKRATVVVNIWAICRDAEIWDEPEKFMPDRFEGSAIEHKGNHFEFIPFGAGRRICPGMNFALANMELALASLLFYFDWSLPEDVLPGDLDMTETMGLTARRKEDLYVCAIPFVQLP >ORGLA06G0131400.1 pep chromosome:AGI1.1:6:13192974:13195405:1 gene:ORGLA06G0131400 transcript:ORGLA06G0131400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVAGERWSGGGGGHHRRLMGASSSLAPAPAGEDDAGKSGSSKDAMKIMVSVLVVVIFCTLLYCVYCWRWRKRNAVRRAQMERLRPMSSSDLPLMDLASIHAATDSFSKANKLGEGGFGPVEQGVLPGGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAERDEKLLVYEFLPNGSLDAFLFNEGKSAQLGWATRHNIIVGIARGLLYLHEDSLLKVVHRDLKASNVLLDDKMSPKISDFGMAKIFEDECNEVNTGRVVGTYGYMAPEFALEGVYSVKSDVFSFGVLLLEILSGQRNGALYLEEHQQSLIQDAWKLWTEGLAAEFMDPALGRGYAAEEAWRCYHVGLLCVQEDADARPTMSNVLLALISDHMNLPEPSRPPMFTRLRRALLLAPPLMTTKTDSTASPVSVNDVSITVIEPR >ORGLA06G0131300.1 pep chromosome:AGI1.1:6:13177906:13178244:1 gene:ORGLA06G0131300 transcript:ORGLA06G0131300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVDPRWLNRRCLRSHWSSLCRRRFWDLGAVDRRRAARRTIAALRRRCRRVSGDAPPLAPPCAEPFWLGPSHRRTPLLCSVTSGRKKTTGRKKRTREGEIMTCGPHFISVQ >ORGLA06G0131200.1 pep chromosome:AGI1.1:6:13164598:13165627:1 gene:ORGLA06G0131200 transcript:ORGLA06G0131200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAGDEAMSMPWHDVGVVVDPEAAGTAPFDAGAGYVPSYGQCQYYYYYDDHHHHPCSTELIHAGDAGSAVAVAYDGVDGWVHAAAAATSPSSSSALTFDGHGAEEHSAVSWMDMDMDAHGAAPPLIGYGPTAATSSPSSCFSSGGSGDSGMVMVTTTTPRSAAASGSQKRARPPPSPLQGSELHEYSKKQRANNKETQSSAAKSRRERISERLRALQELVPSGGKVDMVTMLDRAISYVKFMQMQLRVLETDAFWPASDGATPDISRVKDALDAIILSSSSPSQKASPPRSG >ORGLA06G0131100.1 pep chromosome:AGI1.1:6:13139229:13146053:1 gene:ORGLA06G0131100 transcript:ORGLA06G0131100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAPESYIGSVISLTSKSEIRYEGVLYTINTEESSIGLRNVRSFGTEGRKKDGQQIPASDKIYEYILFRGSDIKDLQVKSSPPAQPTTLHNDPAIIQSHYPRPASTSLPPPASTAADPASHNGQSGIQMPPPLPQFQPGASLPSWNSSPMPSSANGAPASTTTADPGSHNGQSGIQMPPPLPQYQPGASLPSWNSSPMPSSANGAGLTMPPMYWPGFYTPPSGFPHLQQSPFLRPPHGLTIPQALQQPIQYPGLNAPLPPFPRMPEFALPQPGSGNNLTQNLGVSTSMPVPALSSTPATESSANQLPNMLSSVSASVFSLGLTPPSVNPPVSTIESTMSQSQGISPLMNNKPVSLPLDSTVPSASSNKPMNIPVPTYLPSSQPPLANIAASAATVAEPVTLVTPGQLLPTISSTVLSADALETASAMIPSPKAASSMVSSSQAALAASSQVTSSVVSPSEDQFASSPVPSHQQLEQNNEDRHTKKPEWKAKQHSVAPSNKEPLLPAPKPTLQKPVGASSYIQYNNRGRGRGRGRGRGIGQSRPITKFTEDFDFMAMNEKFNKDEVWGHLGKSKGQLNDDPNEYEDDVLEDDISPGKPEVKPVYVKDDFFDSLSCNTIDNGGGNGRIKFSEQRKIDTETFGDSARHRPMGMRGGGRGPRGGPRGRGYYGRGYGYMGRGRGYSYPNHQS >ORGLA06G0131000.1 pep chromosome:AGI1.1:6:13111682:13113218:1 gene:ORGLA06G0131000 transcript:ORGLA06G0131000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMETRPLSPRASPLPSSAKSPAGGGGGGGLQWLFGKRGRRNRARQPLAAQLGPGDDDGEEVEDEEDFFFVSTPYLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRAAPAMMLELAGYSTGALVREMASGLVRLALECEKAAPPTNPGTYTNSSCSHPPMVFVLCSRTHRSIIRRREAAAGGADGGDDVAGVLQRAQVRVRGAPRVRRRGVARAARRRAGHRRRRRAPRRWRRCRRRGGHDVHESEVREGGGVEGLGGVLHGQPRRQRRPGAQHLPPQSLIDRASHPLFMAPRARATRIDDESVNYV >ORGLA06G0130900.1 pep chromosome:AGI1.1:6:13102303:13106499:1 gene:ORGLA06G0130900 transcript:ORGLA06G0130900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEFISLGEPCEADAKDEETNLQTIPPNLNEVNPLASEGESGPVDNTKASDGIIDLEGQDQVDGEPTTMDSTKVPDVIIDLEEGQVEDMDLSDDDVVVKHQHLDASIQSSTSVADVQTLHGVSVELDKGNGLENGSHASKSILIDESTIRGVKRARVESTEPSVRVIYSNLTRESKRKLMELMQQWSEWQTRKQNTLTKAGEEVLECGEETYYPALHVGSEKSCAVSFWVDSQAKEGVVLDDDSVPLYDREFTLGSTPLGDPSNTESRADKDDSRCFNCGSYSHALKECPKPRDNAAINNARKQHNMKRNQSNVNRGQNRYYQKTPGKFDDLRPGILGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDEDPKEEYEEGELPDQGEPSPPQKKKTVEFPGINGPIPENGDRWLWDSTPPQYSERYHSSDSREQRDRGPPGADRYSRYHSYDYGPASPSHGRSHPDRGWRSSSGYENLPADDGAWTPHAYSSRQYSSQYSTSSETSSRHSRDRHDRHYHHRR >ORGLA06G0130800.1 pep chromosome:AGI1.1:6:13093098:13096028:1 gene:ORGLA06G0130800 transcript:ORGLA06G0130800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPTMISFLYMGINPDPRHVFMYRKWMNFDGHVLYLGMASALDTLCGQAFGARQYHLLGVYKQRAMLLLTAVSVPLAVAWYYTGDILLLFGQDADIAAEAGAYARWMIPALFAYGPLQCHVRFLQTQNVVVPVMAAAGAAALCHLGVCWALVHAAGMGSRGAALGNAVSYWINVGVLAVYVRVSRSCKKTWTGFSMEAFRDPLSFFRLAIPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSITLNTANCLFMIPFGLGAAISTRVSNELGAGRPRAARLAVRVVTLLATLEGLGMALVLACVRYVWGHAYSDEEEVVAYVAKMMLVLAVSNFLDGIQCVLSGVARGCGWQKIGACINLGAFYVVGVPAAYLAAFVLRAGGGLWMGIICGVAVQTLLFVAITSRTDWQKEAKMAKDRVFSSSLPTDLAT >ORGLA06G0130700.1 pep chromosome:AGI1.1:6:13071071:13071490:-1 gene:ORGLA06G0130700 transcript:ORGLA06G0130700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDKDPNRSPMGLGGPSDGSYIFVRGVTGGAWEYGEENARGGEGRAGAIDYFLYIDARYFARVPSTSQRLLPLHSASSGNDL >ORGLA06G0130600.1 pep chromosome:AGI1.1:6:13064166:13065470:1 gene:ORGLA06G0130600 transcript:ORGLA06G0130600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G17640) TAIR;Acc:AT3G17640] MALPPPLLRFFIVAVLLSSVAAWVAAATTLHERDAAALRDVRAGLRDLPGSRFFESWDDATDPCDYAGVVCEPDEDDPAALRVSVLTLGTGLADSPGLAGTLPASLASLTALTDFVLYPGRVAGAIPADIGSGLRRLRLLSMSGNQLTGQIPESLAGLPDLHTLDLGNNHLDGSIPSGLLLPSSQSLKVLILANNGGLSGQIPDQFSSSQLFHVDLSRNSITGSLPPLAQTVRYFSVAANSMQGSLDGAFGNGSAPTDLAFLDLSMNNFSGSIPRELFALPSASSLLLSRNNFTGSLAVPAFASEGAATPPWSVVDVSHNGITGEVPEELAAVESLYVNNNRMYGEVPEAVARSVFAGRMTTFYAQHNFLTSFPVPPLPLPDSAALCLSYNCMELPSASAANGCPTIGGPMESRPADQCRSSTAANAGSSGGDG >ORGLA06G0130500.1 pep chromosome:AGI1.1:6:12894118:12894843:1 gene:ORGLA06G0130500 transcript:ORGLA06G0130500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVILSLLPHSLTLLLLLSLADLTASDYLDDNRPRGGRICTTGNLAASGSIAGDYGTGGPTAHNYRMGILTTEDLATSGSGAIADYWMGGSIDDDDNDNNSSNDS >ORGLA06G0130400.1 pep chromosome:AGI1.1:6:12881842:12882153:-1 gene:ORGLA06G0130400 transcript:ORGLA06G0130400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIPQSLVMNLDASDRSAYPAVVDGASIRLAVTNDESAHPVIVVDRNRVPQGYQRWIHPPRSCARAKVGTSLPCSCDGAVDAATALATSRASAFAGPRCGGDEVE >ORGLA06G0130300.1 pep chromosome:AGI1.1:6:12799969:12800349:-1 gene:ORGLA06G0130300 transcript:ORGLA06G0130300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNFGFDPIPFHLLQQRERRHVMVALERALCTAAATSSPFPLIWTKPGQAVAPASSPRPPLHFPSRISKDDATSVEIVHRLLHLWSPSSLPNPPPPVSTNPIPCISSIPGASLTSWTHLHDISWS >ORGLA06G0130200.1 pep chromosome:AGI1.1:6:12745385:12746020:-1 gene:ORGLA06G0130200 transcript:ORGLA06G0130200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEETATSAGSPARNSSRPEERYWTPTRRKGRPARRRRSPDETEGRPGWRSTPQGGWRWCSGGNATGRWRECVSGGLRAKRRTRRGRGGSCVAEDGDGTAGRRTGEEGEAAGGCRRHGRERRTAGRWLGTSGRLGRRQKRENARGVFHFIGEGREPGMGEGGTATGNTAGGHGRWPGMARPFRAIEGAIQGGN >ORGLA06G0130100.1 pep chromosome:AGI1.1:6:12740929:12742818:1 gene:ORGLA06G0130100 transcript:ORGLA06G0130100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAYTRPSKPPGPAGERRPPRLAKELGRIEPKKLGIGLVAGCCLALLTYISFARLFAIYSPVFESTSLVMKNAPPASTQQNPVLAQQQSKAEDEKDVGEDETDRKVPSFAETTEKNEEEETVTKPSGDEAEATISCDENGVDEGFPYARPPVCELTGDIRISPKEKTMFFVNPSSAGAFDGNGEKKIRPYARKDDFLLPGVVEVIIKSVSSPAIAPACTRTHNVPAVVFSVAGYTDNFFHDNTDVMIPLFLTTSHLAGEVQFLVTNFKPWWVHKFTPLLKKLSNYGVINFDKDDEVHCFRRGHLGLYRDRDLIISPHPTRNPRNYSMVDYNRFLRRAFGLPRDSPAVLGDKTGAKPKMLMIERKGTRKLLNLRDVAALCEDLGFAVTVAEAGADVRGFAEKVNAADVLLAVHGAGLTNQIFLPTGAVLVQIVPWGKMDWMATNFYGQPARDMRLRYVEYYVSEEETTLKDKYPRDHYVFKDPMAIHAQGWPALAEIVMKQDVMVNVTRFKPFLLKALDELQE >ORGLA06G0130000.1 pep chromosome:AGI1.1:6:12731696:12733418:1 gene:ORGLA06G0130000 transcript:ORGLA06G0130000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPETLMVYYGYRVHPQTHGYTIVAFHPRRVRLPAAEGEAATGGAMRLQASEAAGGRRTGQWAASTAAAMDTSAARPYRSCDCCPVPTARG >ORGLA06G0129900.1 pep chromosome:AGI1.1:6:12719813:12721234:1 gene:ORGLA06G0129900 transcript:ORGLA06G0129900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNSYHLATWADSAKTRKPTGPGSSHENMWLHVRLRSMTYEVKSLIDQGNLPNYNSTQLNSRLKQRPYPATITQIHYHLTRDLDGCDYLEFLDGKSHTSVSQGGGQAAAAPPQAWACPAMAAWRLWHSDVGGVLRRRRAAAATSSGVDKRRGRRIRWRSTELRDDVEMTHQ >ORGLA06G0129800.1 pep chromosome:AGI1.1:6:12717921:12718294:1 gene:ORGLA06G0129800 transcript:ORGLA06G0129800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVKTRTIKHIMVQLRAAVLGKYNKRFTPEDIITTARRMGYVEDDKGYVEEEPAHAKPVAVYANKKMVYAAKSQLPRLVRPNQSTFSMLNIYGWKDNQV >ORGLA06G0129700.1 pep chromosome:AGI1.1:6:12554852:12558813:-1 gene:ORGLA06G0129700 transcript:ORGLA06G0129700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLDRLKRGRGPVMVRTVAATVLVVLASSVHSMNKIRGRAAGELDGAGAGTLTPTDQVLLARHLLEASLMGYSLFLALVIDRLHNYIREVRGLKRNLEAASKLNKRLDEAKLLGSSDESKTYQKDIASLNEEIKKMKRQLKEKANEAKDAEAKALAAQKQSEGLMIEYNHLVEDNKHLHDQLESADLTVSRSDGKKNT >ORGLA06G0129600.1 pep chromosome:AGI1.1:6:12500496:12500756:-1 gene:ORGLA06G0129600 transcript:ORGLA06G0129600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYHPQTDGQTERLNQCLEAYLRCTVYSCPTQWSQWLSQAQFGYNTSFHSALGKTPYEVLFARKASHFGLTDLGQSTVPDIQVWL >ORGLA06G0129500.1 pep chromosome:AGI1.1:6:12498107:12498262:-1 gene:ORGLA06G0129500 transcript:ORGLA06G0129500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLEHHLLLLQVGLSAVSLQHLLAALVYMVRXVKILIGIWICYFPCFSWS >ORGLA06G0129400.1 pep chromosome:AGI1.1:6:12476674:12484890:1 gene:ORGLA06G0129400 transcript:ORGLA06G0129400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHLHLHFLAILVVVPMLGGPAAGGLCRDSCGGIPVRYPLSIDDGCGSPYYRNMLTCADNATLRLRTPSGTYPVVGADYADPHLVVTDPSMWTCERPFTSVRAAPFSLDTSTRFSLSPRNDYLFFDCDEERVIVEPRPAVCDRYPERCDSTCDSAGYLCRNLPGCRGALEENNMSCCAYRPRAAESLRLMLRHCESYTSVYWRAVGDKFPPYDQVPAYGVRVDFEIPVTTRCLQCEDRRRGAGGTCGFDPVTRDFVCICNDARNSTTDCADGPASRYHSSAGVVAASAVFSISAAVGITGLVWYIRKIKSTKVVTCGVQSNENRFF >ORGLA06G0129300.1 pep chromosome:AGI1.1:6:12420930:12421959:1 gene:ORGLA06G0129300 transcript:ORGLA06G0129300.1 gene_biotype:protein_coding transcript_biotype:protein_coding IINFSALGHLKAIQLLDGSNYVEGRNNVLINLAMLDYDLAIREDPLEEPQPAEELNIIGEEYDNLMWAYNKKLANWEKSNRMCLIYVKGAISPEVIGGIMDSNDTKTYLANIEESFEFAPETHANTLKEEWTIQELILHSVEEEERQKAEKQKIKDRLNLTNAFDKGKKVYQGESSNKNSEPEGEQKQEGIKASTSVVPLSTSPYCHFCASDGHWXRNCTRFTTWKLAPSKRXQRGEXTLRVT >ORGLA06G0129200.1 pep chromosome:AGI1.1:6:12405060:12405266:-1 gene:ORGLA06G0129200 transcript:ORGLA06G0129200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVWYSGDEEDPEVKPKPKSKDKVEGEGGVATVAFKSSSSSKERLFNNLSDDDDDSYHYSCFMAQGRK >ORGLA06G0129100.1 pep chromosome:AGI1.1:6:12376320:12376538:-1 gene:ORGLA06G0129100 transcript:ORGLA06G0129100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATAAEEADAAAGERDGGDGDDPRTLSWQSRGRCRGPRQRWWSSMDSRSRRSQSGTRGASLTRRVVRCSC >ORGLA06G0129000.1 pep chromosome:AGI1.1:6:12364700:12365050:1 gene:ORGLA06G0129000 transcript:ORGLA06G0129000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPMHLGLAGGGAPKPGGRGGGGGGGGASSPTVHAAAVRRRRWRSRSGGRRVLGGWRPAVLGGGGGFLAASGRWFLVAGGEEDATAVIHGRCRGQLVLPGRSSGPTARAAXAWRCCSA >ORGLA06G0128900.1 pep chromosome:AGI1.1:6:12352548:12352895:-1 gene:ORGLA06G0128900 transcript:ORGLA06G0128900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRSSGQKKSAWPWSIGRRSAQMRVVASKESVGTAVVARQGSATAGIPGAEDGRIIEVTDGEIKRRQQDERRLIEMGATTGIGLCRNGRRMDAVRWLEQYETKTKGKYKYPNYP >ORGLA06G0128800.1 pep chromosome:AGI1.1:6:12351724:12352296:-1 gene:ORGLA06G0128800 transcript:ORGLA06G0128800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAKNRSHTNLMATAFHTIAAARPRLPLAAFACLCPGPRPATRKLSLTSTAASTIDSAPASSSDAKKTTTVFVAGSTGRTGKRVVEKLLERGFGVVAGTTDVGRARRSLPQDPNLQLVVRADVMEGTDKLVDAIRGADAVVCATGFRRSFDPLAPWK >ORGLA06G0128700.1 pep chromosome:AGI1.1:6:12344523:12344861:-1 gene:ORGLA06G0128700 transcript:ORGLA06G0128700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRESAKHPVLGGASTSYNNERMYGVSSAYSIPSVPIQQDWPMRSTYNSKFIPTPQYNSDRYDTAGQTGSRGRSNRYNIAGQIGRGGRSNREGNAAQAIARKSFRIDSYIDRS >ORGLA06G0128600.1 pep chromosome:AGI1.1:6:12340605:12340892:1 gene:ORGLA06G0128600 transcript:ORGLA06G0128600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGPREARQRGKGRGGVRGWERGEAHGWEEAERRKGKRYGRRRLPRKERWGKQMRCHFGKGRENGTRKRIGLGSSYKTKPRWQKGSADTTNRGQGE >ORGLA06G0128500.1 pep chromosome:AGI1.1:6:12307974:12313413:-1 gene:ORGLA06G0128500 transcript:ORGLA06G0128500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAEEPSAKLPSRSPLDGPAPPPYHHHHYGTFLPPPPPQQQQQQKPDGAASNHPFPAGYAAQGVVAFPCTVQQLVLVEGVPIREPPLPFCGIGLGWILFLLGFFLAALPWYAGAFILFFVALDHREKPGLIACTIAGIFVLVPFILNGIRMHPFW >ORGLA06G0128400.1 pep chromosome:AGI1.1:6:12233811:12236949:1 gene:ORGLA06G0128400 transcript:ORGLA06G0128400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse II-like 1 [Source:Projected from Arabidopsis thaliana (AT4G15417) TAIR;Acc:AT4G15417] MESPPHATASADEMPSIWKEQHAQDAPPGFVPPMGPGEVAAVESLLGYEFRDKALVEEALTHGSFYYPYRPGVTYERLEYLGDAVLTCVVSREVFLTYGQLQPGPLTRLRAANVDKEKLARVAVMHGLHHFLRHKAPNLDGQITDFIEELSMYPIHSNGLLDPPKVLCDVVESLIGAIYCDSNFNQEIVWQVFQKLADPLISLETLGKHPVSELFEFCQKTRRGVKIVKDEWDKNLTVEVLIDGEMVGRATYAQKKEIAQNRAAKAALDKLKETLGQSQTEPMSAEVSEQFNKIDLTGS >ORGLA06G0128300.1 pep chromosome:AGI1.1:6:12230067:12230694:1 gene:ORGLA06G0128300 transcript:ORGLA06G0128300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAEAAGREQRGTPSMRRRRRRRTSATAPRWDPAGRAFLGGGGDGGKVRVLAIDGCGPGPGDALLAVAALGADGWPRYTAADALAFVAASLGKGGWYGGGGRRRWVAERSSLWRVFGTGTLRDTVAPLLVPCYDLATAASFLLSCAGAVPLLPRRRRREDNLDFNG >ORGLA06G0128200.1 pep chromosome:AGI1.1:6:12171748:12172641:-1 gene:ORGLA06G0128200 transcript:ORGLA06G0128200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELASRRAASLLAVAAIAAVFLAGPVTAVGKTGQVTVFWGRNKDEGTLREACDSGLYTMVIMSFLNVYGHGKYNLDLSGHPIAGMGDDIKHCQFIGVPVSLSIGGFGNGYSLPSNRSALELFDYLWNAYFGGSKAGVYRPFGDAWLDGVDLFLEHGTPADRYDVLALELAKHNIRGAPGKPLHLTATPRCTFPPSSYLGRAVATGIFERIHIRIYDDDNCEAYWHLAWDKWTAAYPATRFYVGMTASEMTHGWVHPKNVYYDVAPSTQKADNYGGFMIWDRYYDKLSNYTSMVKAYA >ORGLA06G0128100.1 pep chromosome:AGI1.1:6:12139310:12143136:1 gene:ORGLA06G0128100 transcript:ORGLA06G0128100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pheophytinase [Source:Projected from Arabidopsis thaliana (AT5G13800) TAIR;Acc:AT5G13800] MEVVSSSHSCLAFNRTPSSAWRFPGNGLGPGHAKLTRPRSAILCVRSGTASNPADSGKVHASHGFYVSDVDAALQGIPKKVGEIEKMIIPSLPEGPESSLISTGFWEWKPKLSVYYEKSGIDNSKAPSVLFLPGFGVGTFHFEKQLKDLGRDYKVWTMDFLGQGMSLPCEDPAPKSTSGELDEDTYWGFGQELQPWAEELVYSIDLWRDQVQHFIEEVIGEPVYIVGNSLGGFVSLYLAASCPHLVKGVTLLNATPFWGFLPNPATSPRLSKIFPWAGTFPLPSFVRKLTETVWQKISDPRSIQGILKQVYADHSTNVDMVFSRIIETTQHPAAAASFASIMCAPKGQISFEEALSRCQRQGIPISLMYGREDPWVRPIWGIKVKQQVPESPYYEISPAGHCPHDEVPEVINYLLRGWLKNVESEGSVGVPFLEEPSYAENGVSRELEFVRGGSKKSVHVRLFGSKISLWSQLRSLLKSNTRVISR >ORGLA06G0128000.1 pep chromosome:AGI1.1:6:12115473:12123979:-1 gene:ORGLA06G0128000 transcript:ORGLA06G0128000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:I1Q2B7] MDPSYPPSATARRAAAIARHLAGLSPRDAAAVAAALEPSACLSYAPPESSEPAPAFSPLELRSLLDGHHLRERDWAFRAMEESPLFCQRRSGGKVFVSPDYNEGKEGQREATMRRVGYLARRGVFRGWLTEPGPDAELRKLALLECLGMYDHSLAIKIGVHFFLWGSAIKFLGTKRHHDKWLSDTENYVIKGCFSMTELGHGSNVRGIETVATYDIKTREFVINTPCESAQKYWIGGAANHATHTIVFAQLHINGRNEGVHAFVAQIRDEHENVMPNIQIADCGHKIGLNGVDNGRIWFNNIRVPRENLLNLVADVLPDGQYVSTIDDPDQRFAAFLSPLTLGRVNIAVNAVYISKVGVAIAVRYALSRRAFSVTPDGPEMLLLDYPSHQRRLLPLLAKACLMSSAGNFMKRMYVKRTPELNKSIHIYSSALKATLTWQNMTTLQECREACGGQGLKTENRIGIFKAEFDVQSTFEGDNNVLMQQVSKALYAEFLTAKRKNQPFKGLGLEHLNGPCPVIPDYLTSGTLRSSSFQMDLLCLRERDLLKRFTTEVSNYLAQGESREKALMLSYQLAEDLARAFTERTILQIFLEDEKNIPTGSLKDILGLLRSLYVMVCIDESASFLRYGCLSRENVAAARKEVMTLCSELRPHALAIVSSFGIPDAFLSPLAFDWIEANARSSGNE >ORGLA06G0127900.1 pep chromosome:AGI1.1:6:12070002:12071266:-1 gene:ORGLA06G0127900 transcript:ORGLA06G0127900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWLSEGAAVAMAAASQRRAATVGGGSSGAALGRAGSAALGCGCGFATVLTALIWYLTAVNSDLGDGRR >ORGLA06G0127800.1 pep chromosome:AGI1.1:6:12043206:12053149:-1 gene:ORGLA06G0127800 transcript:ORGLA06G0127800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15140) TAIR;Acc:AT3G15140] MALARVSPPAFSSPFLIHSLLRPFSSPSSVLRPRVTRVPHHRGFAIAAALSQASPLPSADGDGAVMEAPPRPSSRRPWKPTCLYYTQGKCTMLNDTLHLEKFNHNLSTDLPVNYSAADKVKSQKLDYFLVLDLEGKVEILEFPVVMIDAQSMEFVDSFHRFVHPTAMSEQRIREYIEGKYGKFGVDRVWHDTAIPFMEVLQEFEDWIEHHKFWKKEQGGALNSAAFITCGNWDLKTKVPEQCRVSKIKLPSYFMEWINLKDIYLNFYNRRATGMMTMMRELQMPIVGSHHLGIDDAKNIARVVQRMLADGAVMQITAKRQSATGDVKFLFKNRIR >ORGLA06G0127700.1 pep chromosome:AGI1.1:6:12009268:12009618:1 gene:ORGLA06G0127700 transcript:ORGLA06G0127700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDRRFGGRRREGGGSSEAGEGEGIGGKSTGGESEDNGDAPLPSSMGTDPLVYAIEVGYCHCHHRLVGEEEDGRGPQAIVWLATAGHHAPLPTFHHHTRRRRRWLQIHELPMGRRW >ORGLA06G0127600.1 pep chromosome:AGI1.1:6:12002637:12005174:-1 gene:ORGLA06G0127600 transcript:ORGLA06G0127600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKHSDRGGGGGGGGTELFICFTSRPSTASASSGAPATLRPSSSSKLLSPGRTGGGAGAVAGADSAPVPPLHPSLSRRLRNSGSLKGGQSPMFPSGSSGGRRGRGGFEPAEPSSPKVTCIGQVRVKGGKRKPKHASAAALRSRSRRGGVGGGGSAEASFRRAGDDRDGPAGKNQGWVYQIPVNICEALKTFGSCGGRSLCSPSRAAGAGERGSLSAADKKRRRPPASGSWLCGAAVARCLLAIQEEEDDEIGKGAAVVPAEDVKASEVGLVMQGWDVEEEESTVVVGEVEVEKKDEILVVGKEEEGRVSVCIPPRNALLLMRCRSDPVRMAALATRFWGSPAAANVSQVDNVKDENENDDDEEEEEDEEDEDGADTDKECKEQARDSAVSTKEAECRECDGSLHDGCEAGQVDPLETEAVETSECGDLGEEASEYGDCREDEEKIEPEEAPIVRKDSVLEVALAGEATGRDIQLPLLEMVETVAEAQEEVSVPGPEKEEQEMKGRRSSNCCSPSTALKEDRKLRRLSSRRRVGSSSRASSGSDRVGRRHSFSAETEARRSSFSSLKDSRRASFSIDRDGRRWSFSIEQEHLVAEPKVLMGSRKGQKISSEPESEKDCAVHAAPNSAEETLESHDDGKEEATLDAQEEGTTQETQVDEKGEKVEVGGVEAQDVVEEQKQRRKKSGELPDCLLLMMYEPKLSMEVSKETWVCSTDFVHWKSYQGQNRRNRRQQKAGCNAAPAEELKDTDNAEGTSDAKNTEESVAPASANLVSMPPPVVQKSPLKEAAEQKFKIELPLVTGAATYAPFVLKRCKSEPMRSSARLAPDACFWKDRHRPLNATGVGF >ORGLA06G0127500.1 pep chromosome:AGI1.1:6:11991593:11991862:1 gene:ORGLA06G0127500 transcript:ORGLA06G0127500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXTVVEEGRGAHDDSMETMGMGEGGEPVAKAGLPRRYLEQGGVRRVRREILVGWVGLASNVGDFLAPASQGGGRPTEGREMVARGDREL >ORGLA06G0127400.1 pep chromosome:AGI1.1:6:11970817:11971150:-1 gene:ORGLA06G0127400 transcript:ORGLA06G0127400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSWRWTSGGAQARCGGEGEGGGRVEVRLRLMSNSFPLCSEHGICVRGHCIFCEVDNAVQQWVKALPADELFAAVSSRINVLLTRY >ORGLA06G0127300.1 pep chromosome:AGI1.1:6:11965967:11966728:-1 gene:ORGLA06G0127300 transcript:ORGLA06G0127300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKQIDLESQKPATSSPAASVSSAAAATAPPASSSAVPVSVGITNRHNVQPETEPLLLLAGGDGDGGSDDETTRLERTITRAFRSTAELAKHLPTGAVLVFEVLSPVFTNGGKCQDVNRVMTAWLVGLCAAACFFLCFTDSFHDGKGTVRYVVATRAGLWVIDGTAPPPPDVAATYRLRFIDFFHAVLSLIVFLSVAMFDHNVGACFYPVMSYDTRQVLTDVPLAGGLVGTMLFATFPSTRHGIGFPVHVA >ORGLA06G0127200.1 pep chromosome:AGI1.1:6:11950030:11950555:1 gene:ORGLA06G0127200 transcript:ORGLA06G0127200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWSTDNGVLLRSRASSRGEEDDDDKEALRWTALEKLPTYDRVRRAVLPVVDEGGGGGGGWEAGKKVMDVLSLDPXERRALLERLVRVAEDDNERFLLKLKERIDRVGIDIPTIEVRFEHLEAEVASATAASPPSSTP >ORGLA06G0127100.1 pep chromosome:AGI1.1:6:11930283:11935274:1 gene:ORGLA06G0127100 transcript:ORGLA06G0127100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLSNSRHGCCLFVRPMLVLVMVLELTILIPPASCLASPVRNISAIFIFGDSTVDPGNNNNRLTPSKANFPPYGQDFPGGVATGRFSNGKAMGDMIASKLGVKELIPPYLGDGLQLDDLLSGVAFASGGSGYDPLTSKIMTAISSSQQLQLFEEYKEKLKSLVGEEDMTQVVAEAVYFTSMGGNDLANNYFLIPFKQHQYDLGSYVDFLVSLAVNFTLQLNQMGAKRIGFFGIPPVGCSPSQIILGGHPSEKCDPERNQASELFNSKMKMEIARLNAELNIYGLKLAYMDFYRYLLELAQKPALYGFKVAAEGCCGSTLLDASIFIAYHTACPNVLDYIYWDGFHPTEKAYSIVVDNMMRVIEEHLM >ORGLA06G0127000.1 pep chromosome:AGI1.1:6:11909354:11918532:1 gene:ORGLA06G0127000 transcript:ORGLA06G0127000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCWEQLQGLCAAGHLRRRCRGRSLVLLQQLQLLGVLVVVVAGVAPGISEAQVRSRFKAIFMFGDSIVDPGNNNGQLTEARADFPPYGQDFPGGVATGRFSNGKVPGDLIASKLGIKELLPAYKDQDLELNDLLTGVAFASGGSGYDPLTSISTAISSSGQLNLFSDYKQKLTSLIGEEAMTRILSEAVFFTVMGANDLLNNYFTLPVRRHQYDIPGYVDFVVSNAVNFTLTMNEMGAKMIGFVGVPPLGCCPSQRTGPSRECEPLRNQASELFNTRMKQEIDRLNVEHNIDGLRVVYFDIYYNLLDLIHNPGYYGFKDTSDGCCGNTVLNAAIFIKYHSACPNVYDYIFWDSFHPTEKAYDIVVDKLIQENKQYLM >ORGLA06G0126900.1 pep chromosome:AGI1.1:6:11907304:11907552:1 gene:ORGLA06G0126900 transcript:ORGLA06G0126900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGWTAAGSGGGRWSGGGLFVSPVAAGCGKGQRRPDLGATAAAGKGQRPAAVKEAVVRGHGIGDEDCDGDDDGGRSRQRR >ORGLA06G0126800.1 pep chromosome:AGI1.1:6:11834274:11835676:-1 gene:ORGLA06G0126800 transcript:ORGLA06G0126800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPESMTVVVQVAVWFGVHDHLELWFDSNEKHAGMCEDESIDVCSSCPTRAVRVVYEPDYVGGGGSGQGRQLVGSPEATGR >ORGLA06G0126700.1 pep chromosome:AGI1.1:6:11832541:11833050:1 gene:ORGLA06G0126700 transcript:ORGLA06G0126700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSVARAAIVILCLVALTNLAQAQNSPHDFLQPHNAARAEVSVGKLSWDGTLAAYARRYGEKRSHDCTLKHSRGPYRENIYRGSAGRRRTAADAVARWVRESAYYDCGSNTCLPGRRCGHYTQVTWARTTRLGCAAVTCDSGATFVVCSYDLPGNTNGRGPYPGCGD >ORGLA06G0126600.1 pep chromosome:AGI1.1:6:11823654:11824358:-1 gene:ORGLA06G0126600 transcript:ORGLA06G0126600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGGVRVEGRRGRGLPCRYDAGGGDGDVGRRTGTAEEAAGVGADETEGEAARATAFRRNSDDAVAWPGKRMPPWCRGRRRRRQPKHRRGGTGGWGSTVAATPLDDGGNALPMVTARNGGQAGGEDVAAMPRMATARPTDARARRERRLETAGGGRRHGRERTTAGRGGAATGRRGRRLKRENGRRGFHFIGAGREPATGEGGTEAGMAAGGHGRWPGMARLFRVINGAIQGGN >ORGLA06G0126500.1 pep chromosome:AGI1.1:6:11818291:11818659:-1 gene:ORGLA06G0126500 transcript:ORGLA06G0126500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGCAPRGDSEMIVGSGVAVNDERRSTARKRDQAVMWSSRWAAISGGSRWGSAPTKRGGGPGDVRGGISDDELGTGVRRPGFGAEESATPSCGGEGIGDEWRHKRGDVCERKKMGARDFF >ORGLA06G0126400.1 pep chromosome:AGI1.1:6:11791520:11791987:-1 gene:ORGLA06G0126400 transcript:ORGLA06G0126400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRRRNNSSLLESSTIITANLIMASSSYLLSKITPRAAPSSMQSAAPAPARPPQPPPDAVTARAWPTAVSARSVEPAVTRRKVLVAPDDGEDGKVDERADTFIRKFKERTQSEIARMEAEAAAAVAAARPPPALGAANLAGTAYGYYGTGYYC >ORGLA06G0126300.1 pep chromosome:AGI1.1:6:11770303:11772580:-1 gene:ORGLA06G0126300 transcript:ORGLA06G0126300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMPSGPSPIFLGKLLWPGEVTITLRWIGHRVKNGWKFRVTAYGPFKHEYYSQDAYFVTASLISIAFFLWYASRLRRTAILLPPGPPGLPVIGNLLSVHQFTHRGLAKLSKIHGGFFHLRVGQADVFVVSSPETVREIIHENDSVFSHRPVTAAMVYVSYDLADMAFAHYGPFWRQMRKLCVLKLFSPRRDVSWRVVRGEVDALVRSVAELRGVAGSVGDLVFKFATNVTFRAAFGAQSREDEKVFVDIILELSEIFMAFNMGDYIPCLGWLDLNGIGKRMAAARHALDVFIDRIIDEHLAKLRNGDVSASDMVDDMIAYLVDAPGGRHKRADGVELGDLHLTRDNIKGLIMARNVIMFGGTKTVASTVEWALSELLRNPDELKRAQDELAGVVGLRRRVNQDDLDNLPHLRCVTKEAMCRDEALWGTDAAAFRPSRFADESARVEFKGGDFQYLPFGSGRRSCPGMQLGMFAVELGLAELLHCFDWSLPAGTEPLELDMDDVFGLTAPKAERLCAVPSPRLSCPLL >ORGLA06G0126200.1 pep chromosome:AGI1.1:6:11722087:11725510:1 gene:ORGLA06G0126200 transcript:ORGLA06G0126200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSPAMCPDIEDRAAVAGDAGMEVVGMSSDDMDQFDFSVDDIDFGDFFLRLEDGDVLPDLEVDPAEIFTDFEAIATSGGEGVQDQEVPTVELLAPADDVGVLDPCGDVVVGEENAAFAGVGEEKGGCNQDDDAGEANADDGAAAVEAKSSSPSSTTSSSQEAESRHKSSSKSSHGKKKAKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIDSLTRHNIASHLQKYRSHRKHMIAREAEAASWTQRRQIYAAGGGAVAKRPESNAWDVPTIGFPPPPPPPSPAPMQHFARPLHVWGHPTMDPSRVPVWPPRHLVPRGPAPPWVPPPPPSDPAFWHHPYMRGSAHVPTQGTPCMAMPMPAARFPVPPVPGVVPCPMYRPLTPPALASKNQQDAQLQLQVQPSSESIDAAIGDVLSKPWLPLPLGLKPPSVDSVMGELQRQGVANVPPACG >ORGLA06G0126100.1 pep chromosome:AGI1.1:6:11701008:11701289:1 gene:ORGLA06G0126100 transcript:ORGLA06G0126100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAHGGNGAARRTRATAGDSRLSEEKRRWLGEEQRRWLDRRGWPAIRRRAGGKSTLPLPSNPFGDGGSMTRKKGGGLVETATNTARSKGDVR >ORGLA06G0126000.1 pep chromosome:AGI1.1:6:11697007:11697426:1 gene:ORGLA06G0126000 transcript:ORGLA06G0126000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQYELTSDRDPNRSPTGLGGPNDGSYIFVRDVTGGAWEYGEENARGGEGRAGAIDYFLYIDAHYFARVPSTSQRLLPLHSASSGNDL >ORGLA06G0125900.1 pep chromosome:AGI1.1:6:11689493:11690513:-1 gene:ORGLA06G0125900 transcript:ORGLA06G0125900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDAIRGGVKPRVGLSIVQLLGCGCDMHWTIHIASRPFRFDPTTVVLLDTRPFSFDRMDAILLDDMDQQQSIKLVITTLSGDHLYRPFSFDRMDAILLDDVDQPQCRKLVITILSGGHLYSYIGFVMGG >ORGLA06G0125800.1 pep chromosome:AGI1.1:6:11668244:11671091:1 gene:ORGLA06G0125800 transcript:ORGLA06G0125800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGCWSKGEEEGQEEKIKIKERMEERKRCESVSRESSFCTSDTHAIGIFLAHFRTTQFYEVDGFNLCLEFWQAEAERLKQQLHNLEGSQRQLLAHDLSGLEWNDLKSLENQLETSLHNVRLKKDKIMVEQIQELRKKENIMHRENMELHSEFNMIRQDSVNFQRKVYGKQDVNGGQGSSVTQNTNTPDDADEIRLELSQPQVPDEKPEAAA >ORGLA06G0125700.1 pep chromosome:AGI1.1:6:11665244:11665706:-1 gene:ORGLA06G0125700 transcript:ORGLA06G0125700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPWGGTGGDMSGFRRQQQARAGATLRSSAMMDPVAGTSLMADTTEGTLVTVGPMLGPQLWWIRQRRPWRHVDPVAGRHLVLGGKSVSV >ORGLA06G0125600.1 pep chromosome:AGI1.1:6:11657374:11657553:1 gene:ORGLA06G0125600 transcript:ORGLA06G0125600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTVISRIENTTSRQVTFSKRRSGLFKKAKELAILCDAQVGVLVFSSTGRLYDYSNS >ORGLA06G0125500.1 pep chromosome:AGI1.1:6:11632801:11633274:1 gene:ORGLA06G0125500 transcript:ORGLA06G0125500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLKKVMSGCHIPAFGAWNYRDDDLPITQCFDLAIQDRLIRRANRRSDGNCKRRLVVPFDAWPPAPRGAAHGKVIRRELAQKQWDNVAEEMMQWRAVGAYGTKRKVGDKAVDEDLYKVPQPLIYPKRRKVRTAVYTCF >ORGLA06G0125400.1 pep chromosome:AGI1.1:6:11612991:11616494:1 gene:ORGLA06G0125400 transcript:ORGLA06G0125400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGRVVNALRRTSVSSNPSLLQAVRCMSSKLFVGGLSYATDDTTLKDVFSHYGDVLEARIIIDRDTGKSKGYGFITYTSSEEAAAAVTAMDGKDLQGRIVRVSTANDRAGGIRGGGGFGAGGYGSGGGYSSGGGYGTGEYGRGGGYAGNGGYGGRASEYGGYGAGGYSSSGGYNATSVPHGNAGGYGSSGVSHGNAGDYRSSDVYNTTNTYGSGNFSHSGQSGGSFGGNNGGISGGRFGAAGSSNLHNSANFSHGGGGFGENSGGYNSGQFGAAGHSNGGYSASNFSNASFGANSSVFSSGQSGATGRNNGGNSASNFSLGGSFGANNGGISSGQFGAVGHSNGGYSTSNFSRGNGFGANSGGSSNGQYGAAHGNNGSNFSHGGGFGANNGGNRRRIYPENGPPLDCEAELPPLVLLFAAPAPGAPPPAPAAGVPSPPAAPARGADMAMARTSSRAGSTPRKPDHPPTRCRSQAKVSLRILTGTGLPFASPLFGAYKSNSRPFMSI >ORGLA06G0125300.1 pep chromosome:AGI1.1:6:11610144:11610476:-1 gene:ORGLA06G0125300 transcript:ORGLA06G0125300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGSRRRRGVAELTSREADGFPTSIGDDEGAAKVQFNTAESWEGLERIGVALIASGERLVVAMAVAWECGEKGDPTTIIGNGVVDEVPLVAARLVAVSARCGGGSGYG >ORGLA06G0125200.1 pep chromosome:AGI1.1:6:11543568:11583037:1 gene:ORGLA06G0125200 transcript:ORGLA06G0125200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVCVYALSEPNHGSDASSLNTTARKVPGGWILNGQKRWPANSSFADIFVVLACNTSTNQINGFIVNGGAPGLKISKIDNKMSLRVVQNCDILLEDVFVPDDDRLPGANSFQDLVKIYSISPHTIGDTDLTDYVAYIQALSFSRVIVAWISIGIAAGVYDACLRYLGERKQFGAPLAAFQLNQEKLVWLLGWRLYKLHDSGRMTTGQASLGKAWITKKARETVALGRELLGGNGIVTDFHVGKAFCDMESIYTYEGSYEVNVLVAAREITGIASIRPTSRL >ORGLA06G0125100.1 pep chromosome:AGI1.1:6:11534220:11535025:1 gene:ORGLA06G0125100 transcript:ORGLA06G0125100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSELRSPTLNLSIACPQLTPAASTFPAAASNYCQLDELLTEEEKDLQIKVRQFMENEVAPIISKFWEKAEFPFHLIPKMSTLGIAGGTIKNNRGLL >ORGLA06G0125000.1 pep chromosome:AGI1.1:6:11528429:11528995:1 gene:ORGLA06G0125000 transcript:ORGLA06G0125000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSAAVAQALARGSGGGGGCAPAESGDDGGQGKLATATSVSYRPNPRGGGGHAPLPPPQNPRRPNLVGAEEVAVATRRRGGMWMGSRWRRCRFVDESAMAAPRLQRWGEGRRHTMTTATAADGSLNDGSGDSGGTPYVSVGAQSRRQWCSEWMGEEESVGGERKGREHHAWGPRLASQFRLAIFGH >ORGLA06G0124900.1 pep chromosome:AGI1.1:6:11521863:11523623:1 gene:ORGLA06G0124900 transcript:ORGLA06G0124900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLGRCRAATLPLLDRTLQVKTESSWTGNGDVFDAMAFLKALSRSGGRLSASVFFNVLCEELQLVVAVPVGKSGTYAGFPSGTEEAAHILEIKGASSKTMTFEAPGIPKKRHRVASLHPAQNTSKNIKNIQEHINMNIKNILPNHREPSKLLIPIKNTITKYTSRTDR >ORGLA06G0124800.1 pep chromosome:AGI1.1:6:11482259:11490348:1 gene:ORGLA06G0124800 transcript:ORGLA06G0124800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGGKRWRFATPNLAVAAAGERSIQRYLLQLHACLDEHGPRPVIPLSHGDPSSSACFRTAPEAEEAVAAAVRSGDYNGYSSPATSLPARRAVAEYLSCDLPYKLCTDDIFLTSGGTQAIEIVMSVFGQPGANILLPKPGYPKHEAHAVFHRMEVRLYDLVPERGWEINVEAVEALADENTVAIVITNPNNPCGNVYTYEHLSKIADTASKLGLLVIADEVYGHLVYGSTPFVPMGVFGETVPVLTLGAISKRWVVPGWRFGWIAICDPKGILKETKVVDSLRSFRNLTTGPATFIQGAIPHIMKNTNDEFFRKTLELLKETAEICFGEIKEIKCITCPHKPEGSFFMMVKLDISQLSDICDDIDFCSKLVKEESVVLLPGRALGMENWLRITFALDPPRLKQGLERVKSFCRRHQSRANMLNISASAKVEPHFGMSKS >ORGLA06G0124700.1 pep chromosome:AGI1.1:6:11459813:11460124:1 gene:ORGLA06G0124700 transcript:ORGLA06G0124700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLNIALDDGDEHAVPNLNEAVADQEDDQVGGDLQGGANHELPGGDFQGGANHVLPFGLNLYASDHQGEIHLGNLVNMNRKQLIFVDMACINHSKIFAISL >ORGLA06G0124600.1 pep chromosome:AGI1.1:6:11450632:11452439:1 gene:ORGLA06G0124600 transcript:ORGLA06G0124600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCSVLGLGGGGGGGGRLDGELPPGFRFHPTDEELITYYLLRKVVDGSFNGRAIAEIDLNKCEPWELPEKAKMGEKEWYFYSLRDRKYPTGLRTNRATGAGYWKATGKDREIRSARTGALVGMKKTLVFYRGRAPKGQKTQWVMHEYRLDGTYAYHFLSSSTRDEWVIARIFTKPGVFPVVRKGRLGISGGGGDTSCFSDSTSASVGGGGGTSASSALRAPLAEASLFAAAAAPAVDGADSSNYGGGGGGGSATATANLVTGLELVPCFSTTAHMDASFGTGQYNPAPLAVEPPPPPPAFFPSLRSLQENLQLPLFLSGGMQAGVSLQPLSGGGAFHWQSGMDVKVEGAVGRAPPQMAVGPGQLDGAFAWGF >ORGLA06G0124500.1 pep chromosome:AGI1.1:6:11432823:11434330:1 gene:ORGLA06G0124500 transcript:ORGLA06G0124500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGERGEAAELLRSGSGQQRRKEGDVQWRRKERDSGVNAEEALRERGVDALHACRHGILPAEGHAADAGAATNRSSGERICGSDTNQKRRLSLSWMLWYQSQPPNLTSIAHQTVCFKHSIIS >ORGLA06G0124400.1 pep chromosome:AGI1.1:6:11409485:11414518:-1 gene:ORGLA06G0124400 transcript:ORGLA06G0124400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIHAAAAGDLPLTGMHDLRLRPRLLRRVLAECLPLPDPAADLQPTRSPADLARALSAVREQGLLAVEGAADPGLLEEWSAAVDAWVDRLVALLASDREHSCWVGTSFLGLTFEECSEDRFANSYSFWFEKIMKKIKVPSSNKMVSIATCTAMASLFMRLAKFSNLKDEATLLAQKVVQPLLRLLDKDGSVAEKATDLLGLIMKLFPSSVYRHFNKV >ORGLA06G0124300.1 pep chromosome:AGI1.1:6:11402493:11402948:1 gene:ORGLA06G0124300 transcript:ORGLA06G0124300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGWLIVKFLLKFPSNHNRRRLQLGSPPFATPSSFTEKKGLDCLIIALEFSAVFLGLIQLWLQDAYSRTAASNTNDQATPVISTDASVIVGAEEWKAGAMASPTAGIRLTDWSEATGEVLQRQEKALSKRRLPENKEKLSLHIYLRGLRL >ORGLA06G0124200.1 pep chromosome:AGI1.1:6:11400841:11401550:1 gene:ORGLA06G0124200 transcript:ORGLA06G0124200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAACSSIPSELPSRTDHDVEFSVHVQFGQFTTKLDDGTCVEVVRKWLEWVVDYHQCTLESLEKDLAARVNEVAMGEVVIGRQGDAQECSSCIDWDSLEIAPILHNQVPQLAIDWDAFEIEPIPQHQLGGK >ORGLA06G0124100.1 pep chromosome:AGI1.1:6:11397933:11399330:-1 gene:ORGLA06G0124100 transcript:ORGLA06G0124100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFWDGGLVVDNLAYILLKLAIDSQHEQGGSFRACYWRTQIDLLLINVARESFCTMGMYELRPLLAGDPTFSDFQLALLKSLLASFLSSPDNCPYLERGLELFNKGRLETGTELAKFCSHALLALDVLVHPREHCLQYDPKIPLRRAAHGDQGSLSIASDNEVLDSGRCKNLHSACKNQATENSGDEVNEWLFSTDDAPIDAFVEDNTAENHEVKEMSRDHLVQKDTVIGEHQEIVLNKFHGELLVPTSSRTDADVAIAGTKGGTYNSPADYMVGYPTHFFNVDSARISITPPDTQDLGGATFSNNKDDQHGRTISGAASSSQNVVRHTAPICDASGVSGTEWDSLDPFLDIGNFGTETTFSLDMANLDPGSN >ORGLA06G0124000.1 pep chromosome:AGI1.1:6:11390715:11392148:-1 gene:ORGLA06G0124000 transcript:ORGLA06G0124000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q277] MAAARRVVLFPSLGVGHLAPMLELAAVCIRHGLAVTVAVPDPATTAPAFSAALRKYASRLPSLSVHPLPPPPHPPASSGADAAAHPLLRMLAVLRAHAPALGDLLRGPHAARALVADMFSVYALDVAAELGVPGYLLFCTGATNLAVFLRLPRFCAGSSGSLRELGDAPVSFPGVRPLPASHLPEEVLDRGTDISAAMLDAFDRMADARGILVNTFDALEGPGVAALRDGRYLSNRATPPVYCVGPLITDGGAEEERHPCLAWLDAQPERSVVFLCFGSRGALSPEQVSEMATGLERSEQRFLWALRAPAGTKPDAAMSLLPDGFLARTADRGVVVTASWVPQVAVLQHASTGAFVTHCGWNSTLEAVAAGVPMVCWPLDAEQWMNKVFIVEEMKIGIEVRGYKPGALVQADIVDAILRRIMESDAQQGVLERVMAMKESAAAAWKEGGSSCTAFAEFLKDMEEGNVAMAHSNQVET >ORGLA06G0123900.1 pep chromosome:AGI1.1:6:11385409:11386419:1 gene:ORGLA06G0123900 transcript:ORGLA06G0123900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRDAASSREGGIWARCKGRQQQAAALQQVVKMPKRTLLTYYSSLSNTNTNDPPLNNEIASQSKRPRAEFSHMDIIGDPVEWKPIENYQPEIRDQVKRIYALSGPTQPDICTFPRKWQSGEWRKKCKDFMNQRTSIFRKIEIVSKEEDIRYKIRLTSSLDVIRFLIEQGDPFRGQDKTNASLNKGKFREMVNWYKDKVP >ORGLA06G0123800.1 pep chromosome:AGI1.1:6:11371562:11374807:-1 gene:ORGLA06G0123800 transcript:ORGLA06G0123800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKASTPTGGAVRDGLRRLTQLSLVSKVCSELEAHLGVGDRVLAEFIVDLGRASPSVADFDAKLKAHGADLPDYLARTLHTVIHAIPTHADDAPAPQNPASRGTGARVCGKDKAEERVRDGDPGLYQVCRGKVTGLADAGCFVRLDDARGREGLVHVSEMPGRCIAVKRGQEVFVKIVSVQGRNLGLSMRDVDQDTGKDLLPLQRARGEDDVPRPMANPWTDRAAATGRRTGVSGIVIPEDNQTGTASSRQPIRRMSSPERWEMKQLIASGVLNAKDYPAFDDEDSEGMNYQEEGVEEELEIELNEDEPAFLRGQGRSTIDVSPVRISTNPDGSLSRAAVLQSALIKERRDIRNEEQRALVDSIPKDLNRPWEDPVPEVGGRYLAQELRGVGLSAESMPEWKKEAYGKTVTFGQTSRLSILEQRQSLPIFRLKNELIQAVCDNQVLVVIGETGSGKTTQVTQYLAEAGYITRGKIACTQPRRVAAESVAKRVSEEFGCRLGEEVGYSIRFDDHTGPDTVIKYMTDGMLLREILLDTDLSSYSVVMLDEAHERTIYTDILFALLKKLIRRRTDLKLIVTSATLDAEKFSGYFFDCNIFTIPGRTYPVEILYSKQPESDYMHAALLTVSQIHLTEPEGDILLFLTGQEEIDHACQCLYERMKSLGRNVPELLIYAVYSAQPAEMQSKIFEPTPGKRKVVVATNIAEASITIDGIYYVIDPGFAKLNVYNPKQGLDSLIITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMPPTTTPEIQRINLGETVLNMKAMGINDLLSFDFMDPPAPQSLITAMEQLYNLGALDEEGLLTRVGKRMAEFPQEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRGNFFQPEGDHLTLLTVYQAWKAKQFSGPWCYENFVQLTSLRRAQDVRKQLLEIMDKYKLNVVSAGNDLTKVRKAITAGFFFHAARKDPQGGYRTIADHQQVYIHPASALFQQQPEWVIYHEVVMTTKEYMREVTAIDPRWLVELAPRFYRSADPTKISKRKRQERIEPLYDRYNEPNSWRLSKRRG >ORGLA06G0123700.1 pep chromosome:AGI1.1:6:11358766:11370439:1 gene:ORGLA06G0123700 transcript:ORGLA06G0123700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DTW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G03687) TAIR;Acc:AT1G03687] MAFSAEPAAEEAEEEALPPVTGPGEVTAEEWRRWGTSSPLPAAVAAVVGELLEMEAEAGQKMRFGGVGSKIKGDFKDMEDKKHRAVYQTLADSDKKLQYFSARQIACRLLGSRGYLCQKCWLPMEDCMCAKLGSCNLWQGIRFWLYMHPKDFLRQNNTGKLLWQVFGIQAAPLCLFGIQEHEDIMWDAFQRSGKGKVSFLYPNKSTTPMSVKDLKFDGLNLSCDHPEEDVKDEPFNFVLLDGTWSNSAALYRRLKERWTAIWGEEDIPCISLSTLSASVMHKLRPQPAWDRTCTAAAASGLLWELDMRSELGAFELGKQAEAVECSLDVLLDALTARRLRLGRSITRKQRHNRNCI >ORGLA06G0123600.1 pep chromosome:AGI1.1:6:11344342:11351544:-1 gene:ORGLA06G0123600 transcript:ORGLA06G0123600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G53060) TAIR;Acc:AT5G53060] MDRPRSSKRGYHYDQDSPPPRSKPRFDRRGGPNPNNSYHRRGPPGGGGGDRRGGFQLPPDAAPPPPPPPPPSSAAAGGGGPGMTTSFRILCPQSKVYGFPPSFIAKVRDDTNAVVTIHLPYPGDAVRVIETSDGARREADGRPPSFSPAQEALLMVHRRILETEPDDGDEDGEYGPRAKDARDRGKVTTRLIVPRLHVGCLLGKGGKIIEQMRAETKTHIRILPRDQHTPRCVSLSEEVVQVVGEGNCVKKAVAIISDRLKESLHRDRGPFRGRMNSPEHRFPQEDEYYGGAQQMPAYEEPYGRPDQIRNNTSMEPPGYEFDSNGGKINDHTEILFDDIIFRILCPSDKVNSLVGTRDGLLEMLQEDVGVDIRLTDSLDGSDERIIIITSREGPDHELFPAQEALLHLQTHIVDLGPDKDNIITTRLLVPSSEIACFEGRDGSLSDIQRQTSANVQILPRQALPSCALESDELIQIVGEIRAARDALVQITAKLRSYFYREIPGPNQLGNITVHGSISPAKGSPRGPYQGSDIPMPSYQQAQHVPASWKDSGGGANMSFEQGSNINDDMRQSAAKRFAVPLVTRSTLEVVIPKSAVASLTMRAGSKLAQISEMSGATVTLADERPDAIEKVVRISGTPEQADKAQSLLQGFILSIQDDIPSG >ORGLA06G0123500.1 pep chromosome:AGI1.1:6:11339638:11340072:1 gene:ORGLA06G0123500 transcript:ORGLA06G0123500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDDKRELVFKEDGQEYAQVTRMLGNGRCEAICVDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMNDEARLLKAYGELPDTLRLNEGVDVDGPEDGGDHDDYIQFEDEDIDKI >ORGLA06G0123400.1 pep chromosome:AGI1.1:6:11331342:11335072:-1 gene:ORGLA06G0123400 transcript:ORGLA06G0123400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDLRSSIKKWNVIYPVYLNSKKTVAEGRRIASGKACPDPTCVEIADCCSHLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSPVNPAIKTKKQLMIQIAELVPKHHGRTKKQEPAASSTAGTSKGKGGKKKK >ORGLA06G0123300.1 pep chromosome:AGI1.1:6:11327880:11329721:-1 gene:ORGLA06G0123300 transcript:ORGLA06G0123300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESPTSPPTSPLPGSPTNNATPPSPVSALLRATVLFAAFLALQLVLFKSLLTFPSSRFLPAPRRSNSTWANGAVDDAEECKAGLIYVYDLPPEFNHDLVAHCDRLWPWYSFCPYLSNGGLGRPAAEVPALSAVVPNASLPNWYNTDQFPLEVIVHRRLLSHRCRTIDASLATAFYVPFYAGLDVGSHLWGPNSTVADRDRAGARLLRWLRGQPFFAKSGGWDHFITLGRITWDFRRYGADGWGTNLVLMPGMENVTRLVIEGDRLDPLDVGVPYPTGFHPRRAADVRAWQEHVLSLDRRNLFGFAGAPRSGFPDDFRDVLLEECEDAGSDRCRAVDCRGTRCNDDGAAVMRLFMGSRFCLQPRGDSFTRRSLFDCMVAGAVPVLFWRRTAYDAYRWFLPRGEEGEWSVFIDRRALRVGNVSVRDVLEGYSERRVRRMRERVVEMIPRLVYGSSPDGLGDGMDDALDVALGGVLKRFRHRRWSIGHEAERPPGRLVAGAPPRRGSSKTTTPPTSNGRNGSVIGQRASRHRSTAASSAYIKTVLSEAPASRSKSLQLS >ORGLA06G0123200.1 pep chromosome:AGI1.1:6:11303317:11304865:1 gene:ORGLA06G0123200 transcript:ORGLA06G0123200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGQARRALRALRGLVRLQALVRGHQVRRQVHLTMRCMQALVRAQARVRARRLTSHVALARPAPHAAGLQYSCGHRGRFVAPDQQNDGDEDDAGETETTMPHMVARPRRNSSHIVDERPPFNSGWRDAVPYGEGRRGHDPAPRREMAPIPTSTYGYQQQLQREEQDECTVGWQWLEQCMAGVQPPRHVPEHHVVVAAAAETSYVTAAATDGVSEKTVEMDAGRKLCPAKDLYPVRPPAVPGYMAATQSARAKARMAPASAHVAPRAAQSHARSRMVFVGRFDLESEKGMMSSLASLCALIADHLKVLQKKGKPF >ORGLA06G0123100.1 pep chromosome:AGI1.1:6:11301054:11301760:1 gene:ORGLA06G0123100 transcript:ORGLA06G0123100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPGGAGWLATVRKVFKPGTSKDPRLAKKQRGGDENAAGGGGGGVGQAVEILSMEHFPAAETSPEVTTNEGSGGSAFGRERLHVGRDEAEGAWRARRGMAASRAVRNAAARGRAAGREERAAVRIQAFYRGYLIQWSITKLHIK >ORGLA06G0123000.1 pep chromosome:AGI1.1:6:11291849:11295701:-1 gene:ORGLA06G0123000 transcript:ORGLA06G0123000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPIPTPVVYPEMAGFASSPYVAPLISSRITGRLRPASARTDPLGLAAAAAAVRVRSWRRFAGSRCEVALLPARRISAQPRRRNALREKASPPPPADPQVLEESGSLDVQRLPDLDREPGISGGLDAVTSGNIVSKWNLEGGSDVVGLGVAQTGPGIVGRGGNTEVQGRGGNVEEVMISRAGAMANQFGSGECEVPTLSSSFPFLTVEAKEPLVVDAQFLAVQIDKPRLRSIAWSGFAALCAACVLLAVSKLIWGNGKKYLSRNMFDILRPRMNKGESGKGGIKVLKNVKCPEDLLGRPQLDRHKLMNNIKRAKQSRELFDLSSVFGYCSVATCYDVIITETRRMVTNVHTLLEGILEQSKTKSKHSVLFPHPAATNGQEVSASHGQCSVYLNDVLGCAELPDISISNNIIGETVESSVDFKSSAQVMDNSVKNQNNVGDIEPPVDTPTNDMPTDAKDSIPMVHVVEIEEQIGSPDECIDGLNSISIPSSEFEGQKQFPDISVKNVDGIFGIKSSQISSDTDVIGTNDNSHKFSINVASKTTGDLSSGCSNSIPSESESKEIPVDINRNDLNYFQEIEAQSTFANYDAQTVQYEEISHRVSMITKEACINPAMADILITKSPQRIGEEPVDLMRGNAQSMQELEPSSSIRDHKQIVLANQKNNIISRSHNETQASSEIDSIGTNDNASTSSVYDLPEESIHQSAKNSTENTSYNEEPEESIIKRKIKLHQEMCNDKDAQTKHKVEGVSEIGPEFGPSNDVCKTETVAKKRSKKTPCDKGLKVPEQDIVQCNSMADKKSSSKNVKRTRKNLKSALRNQGTQTTQEISETALVVNSPDDAPRAENIRPFGGSGSSTETQSPMFSDTFSEARPNGFSISTMRKEKSKHNFQPLESVEAAAVKFKTNRHGDNIMNERAIDFDISNLGVTTTKKMTKRRSLSKRKKPANGLGGATDVPPDV >ORGLA06G0122900.1 pep chromosome:AGI1.1:6:11287561:11288438:1 gene:ORGLA06G0122900 transcript:ORGLA06G0122900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPVNLPHHRHLRCRLHAAAALLHLLVLLGGGGGAAMARAQAIPTSCCGMFEGLDLAPCLQDAVAGAGAGIGIAGGNISGACCSSLNQALDAGHRCLCSLLLPSNGGGAGVRVLASLAAALPTLPLALPLPGCLLYAPPVLSCQVPVQEQTDAPPAATEAATATETTVDSPPPQAVVMPPSKKSKRSADGENADDDQGMNGNYGNGYGSGNGKGNGGAKKKTASRSEARRRTNVDEGIRTHLLKFVVVMAAFWFSII >ORGLA06G0122800.1 pep chromosome:AGI1.1:6:11285877:11286904:1 gene:ORGLA06G0122800 transcript:ORGLA06G0122800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQPQRMEDQLQSQAQGQGQGQTEAIKYGHVFAVTGELAGQPIAPRDAAAMRSAEESVPGVPVPQDIGGGYSAGVAMETAAAYNQAVGAVRPGQASDAATNQGIAVTQTSVPGGRIITEFVAGQVVGQYSVADQAVEQDATKITVGEALEATALAAGERPVDRTDVEAIRAAEMAAQGSDVTMPGGLADQAQAAARSNADADRDDDKITVGDVLTDATAKLAGDKVAGAEDAAKVVQAETYSDAAARTRAGGVGAAVSTAARLNQADDDADDDAE >ORGLA06G0122700.1 pep chromosome:AGI1.1:6:11272048:11272490:1 gene:ORGLA06G0122700 transcript:ORGLA06G0122700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNVWSKVPLHWSGATRRDEGMDIATNGGESILQSTVSLAADSVADEALDFRFQFLVVDWYGRGGGGGGQW >ORGLA06G0122600.1 pep chromosome:AGI1.1:6:11265034:11265605:1 gene:ORGLA06G0122600 transcript:ORGLA06G0122600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSFLTMGPKSEPQLVVVVEERECAAWTRAMRMPRRGRVGTTAAGDGGRGVIVLAIGYHRYRCRCHWETRDKGLGAALVAMATRPLDSTPPAEEKPHWRRSGDGDMMVVVGVSEQRCDEREEDGRKKEEGGKE >ORGLA06G0122500.1 pep chromosome:AGI1.1:6:11247531:11247788:-1 gene:ORGLA06G0122500 transcript:ORGLA06G0122500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEREKREEEELREEREFATWVAATSAESYARFNLPPLTPEEEAELEAAVRRRRDDDDDVSVLRPEDDEEIKRRIGNDGILCHFD >ORGLA06G0122400.1 pep chromosome:AGI1.1:6:11245152:11245496:1 gene:ORGLA06G0122400 transcript:ORGLA06G0122400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAFKAFKSRVEVAWSPKLVRDLPGTRRLHRHTLEAMSLRRCHRTVEHRTTPSLLGMLTQVKCLVVVETQEMYAARRQAEEDRRAPRPPLIVSHTRRRRGERPPQRRTRLKK >ORGLA06G0122300.1 pep chromosome:AGI1.1:6:11233156:11236336:1 gene:ORGLA06G0122300 transcript:ORGLA06G0122300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWATLLCKHLQIKIRIGIVHQLVLLLMSSSLFLVVSAQSVPADNMDQEALLGLKSLVTSDPSGMLLSWGNGSACTWSGVRCNRHGRVLVLDLQGLNLVGKISPSIGNLSALHGLYLQKNQFSGEIPDQIGWLGQLQTLNASANILTGNIPAALINCTNLEIIDLSQNTFFGTIPASISSFQKLRVLKIGGNQLSGSVPRYIGNLSLLSTLDLSTNNLTGTIPYEFGHLRQLKYLQLSINNLKGTVPEPLYNLSSLSFFAIANNDLHGKIPSDVGFRLPRLLVFHICINRFTGPIPPSLHNVTNIQSIRMSHNHFSGSVPPGLSGLHNLVLYNIGFNQIVGNTSVLVDLMNCTKLQLIAFDENLIEGILPDSIGNLSSSLTRLYVGGNRITGYIPASIGRLSSLTLLNMSYNLLFGSIPPEIGLLKELTMLSLARNKLSGIIPAEIGDLAQLTRLEMNHNELVGEIPVEIGHLQHVLSLDISSNSLKGGIPASIFSLNSLSTLLNLSHNLLTGSIRENIGQLGQITAIDLSYNFLNGSIPVSIGKCQSLQSLSLSRNSLSGVIPGTIGNLKGLQTLDLSSNQLSGIIPATLVKMQALRLLNLSMNDLDGLVPNNGIFKDHSVVYLDGNPKLCYSNMLCYYIHSSHRRKMAVAIAVGTAAMAAITIVVIISMPLLPRKWLRNRKPKKLGSFIKKSHPLVSYEELNQVTSSFDNRNLIGTGGFGSVYKAVLHSGTAVAIKVLDLHKMGALKSWTAECEALRNVRHRHLVKLVTMCASIDFSGNEFRALVYELMSCGSVEDLIHKGRQGENVAGVNADMILSIAIDVASALDYLHNDCGEQVVHCDIKPSNVLLDEDMTAKVGDFGLARLLSPTSAGQDVSSTHGLKGSIGYIPPEYGYGSKPSAKGDVYSYGMLLLEMITGKRPVDPQFGGDMNLEKWVRDGFPHRAHEVVDERLRGTIVDICHEGQQQASAEQKRQQLMLNNIILPVMEVALSCALESPDERSTMRDALCRLKRIKEAFLKNHSF >ORGLA06G0122200.1 pep chromosome:AGI1.1:6:11230026:11231681:1 gene:ORGLA06G0122200 transcript:ORGLA06G0122200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSARLPPPSRRRVGGRSSARLPPSLSDGAQSRMRSDRLAIVAFSGAPLGAAASGAGPPRRELVTVAARPVRGNTSSARVAAAGGAERLRNGAGAQSYATTDDDALEPVNPATRSLASYSGHAIATSSSGLPHTEFHTWRSRCIVGCDGEYHRRHLIEEAMIGGGKPMTMVLPVDSKGLFLLLLAGLETG >ORGLA06G0122100.1 pep chromosome:AGI1.1:6:11204261:11204605:1 gene:ORGLA06G0122100 transcript:ORGLA06G0122100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRRRPPPRALPCPAHLLPHPQALSARFSLPPVAAVTLFCVGLHGAATTVASARKQPMDQWWEHLARTHSLKDQTLACDGKQARGCQVRSPLRWFPFLFAFLQFLLALPTVR >ORGLA06G0122000.1 pep chromosome:AGI1.1:6:11195427:11201971:-1 gene:ORGLA06G0122000 transcript:ORGLA06G0122000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;nucleotidyltransferases [Source:Projected from Arabidopsis thaliana (AT5G19485) TAIR;Acc:AT5G19485] MDFQVVVLAGGTSEKLSPLVSKDVPKALLPVANRPVLSYVLDLLEASDLKDIIVVVEGQEAARLVGAWASSAYLDRLLVEVVAVPEDIGTAGALRAISKRLTANDVLVISGDLVTDVLPGAVAATHRRNGAAVTALLCSVPISGPSDAASSGGKDKAKKPTRLNIVGLDITRQFLLHIVSGTDVEKDVRVYKRKIRAVGEMEIRSDLMDAHLYAFKRTTLQNILEEKESYRSIRLEVLPYLVRSQLKSSSSGGEGTTVDETGDATVPSNSHLQCLSQHRILAPSAFKKDLLSSGGTYRCCVYIATKSKYCHRLNSIQAYCDINRDVVGDASHLSGYSFSAQNNIIHPTSVLGSKTTIGPQCMLAEGSQLGDKCSVKRSVIGRHCRIGSNVKIVNSVVMNHVVIEDGCHIQGSVICNNVQLQERAVLKDCQVGAGYIVTASSEHKAESLSKKVERF >ORGLA06G0121900.1 pep chromosome:AGI1.1:6:11162864:11165667:1 gene:ORGLA06G0121900 transcript:ORGLA06G0121900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:I1Q256] MYPPLVLVLAIFFAVATAIAALSSPLPHPLDPLSPTELTAVRSTVLAFSLVASRPISFHYVGLDEPEKPDVLSHTNAAAAAILPRRAFVIARAGGLSHEFYVDVTNTSAPRVVSHGIHDGPGFPMFTTEEQVAAGQLSREYPPFRESLQRRGRALTDVGCGVFSMGWFGKNEARRLAKVQCFIVAGGTANYYARPLEGVTLLVDVEKLVIVAYRDRAAYSVPKAKGTDYRAGKAGPPFNGAVPAPGVVVQPEGKGFHIDGHIVRWANWEFHVGFDMRAGTVISMASVHDADADLWRRVLYRGFASEIFVPYMDPEEEWYFHTFMDAGEYGLGVSAVPLQPEADCPANAAYMDGYYVDADGKPVKSENIICVFERYAGDIAWRHTGVAGPIAPITEVRPDVTLVVRMVVTVGNYDYTLDWEFKTVGSIKTVVSLSGILEMKATNYTHVDQIRDDIHGTLIAENTVGVYHDHFITYHLDLDIDGTKNSFIKNTIIPKRNTGVRATSGAPTPRRSYWTVLYEVAETEAEGQVNINDAPADLLFVNPSKKTKVGNEVGYRLIPAGATATSLLANNDYPQRRASYTKKQVWVTPYNKSEK >ORGLA06G0121800.1 pep chromosome:AGI1.1:6:11140641:11148991:1 gene:ORGLA06G0121800 transcript:ORGLA06G0121800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:I1Q255] MGHPVVAVAIVFVSAAIAMAASSQYSHHPLDPLTATEITAIRAAVLASPLVPARPLFFHYVGLDEPDKPDVLSYAYGAADAAATSSQMTLPRRAFVIARAGGQSHEFTVDIAADNASVLSHAVHRGPGFPMFTDEDQIAAMALPYTYPPFVESVRRRGLDVGEVGCGVLSRGWFGAEQPAYGGARVAKMKCVVVDYNATANIYARPVEGVVMVVDLDRMAIIGYRDRAVFMVPKAEGTDYRADKVGPPFTGPAAPPGVVVQPDGRGFHVHGHLVKWANWEFHVGFDMRAGTVISLASVNDTDAGGLKRRVLYRGFVSEIFVPYMDPEEEWYFHTFTDAGEYGLGALASQLQRGADCPANAVYMDGYYAGSDGKPVKAEDVICLFERYAGDVAWRHTNGIGLGGLFSEVRPDVTLVVRMVVTVGNYDYTLDSEFKTVGSIKIVVSLSGILDMKAINYTHVDQIREDTHGTLITENTIGVYHDHFVTYHLDLDIDGTRNSFIKNNIVPKRNTGVRATGGAPTPRRSYWTVLYEVAETEAEGQVNINSAPADLLFVNPSKKTKIGNEVGYRLIPTGATATSLLADDDYPERRASYTKKQVWVTPYNKSEKWTSGLYAEQSTGDDNLAAWSKRNRSIKDEDIVLWYTVGLHHVPYQEDFPVMPTISGALEVRPSNFFERNPLIRTKPPENSPNCSCSIGGSA >ORGLA06G0121700.1 pep chromosome:AGI1.1:6:11111298:11111952:1 gene:ORGLA06G0121700 transcript:ORGLA06G0121700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMIITGAVAFLFLASLVASQTAAAEPNCCVDFHSWAKNTGCSPEQSDDCNTWCQSQCRGGERKPRGGRHFCHCFC >ORGLA06G0121600.1 pep chromosome:AGI1.1:6:11105009:11106687:1 gene:ORGLA06G0121600 transcript:ORGLA06G0121600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAKTEGVGAEELECGEAGRRRLPGLATGIKGGGGGGQGAPWGGARAGPVWLCAATAKIGGKGGEGRRRGSDGDGKGEGRSGPSRQSARSKVVGVMDGGSRARMSKSTQGKEYKEGVGELGARCRREAVTNPAGERNDIATNGKGFKTSISLAPKRLVKRKDVDRRTSFRWNDLIVVFRRFKGRTRYCNNLGRLRGQRRDIILRGGSGKTTRFEYFQIL >ORGLA06G0121500.1 pep chromosome:AGI1.1:6:11103378:11104049:-1 gene:ORGLA06G0121500 transcript:ORGLA06G0121500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XSXACLRQRQQQQPTAAVAAAASMASARLHASAQPAASSLETWLRWSLCRLYPSVATAATSTAGGGRRRDGVPGVPQEPRSEPGRTRAGRLRGVHAVVAGEPADPTSLKCAACGCHRNFHCWLLEGSPPPPPPLALPAPPMPANVLHGQLHREEETPEVRLPGVDGDEFDNNSDGSEYYDERSVSPPSPPHLPAAVVHQPYYPSAQHMLLSLGSSGQAQRLPL >ORGLA06G0121400.1 pep chromosome:AGI1.1:6:11097257:11098362:-1 gene:ORGLA06G0121400 transcript:ORGLA06G0121400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTQTLRAEARKAIKEEKRPVVYTLDEPEKDDRNNLSLSQGNLANDKAXVDLMQNRGKRLEAALTKQLDEKLLKQEPLNNRLIVDAIGVITSSWKEHYAKPCPEDLVKLMSDVEDLAEYCERKAKEARTVTVAYPQLVKRNEEMIVNHPVTIDSLSQKVTKLENRRDNAKINIEAAKMQKEAEASAPPSVRPKSFEETILPIPSMLANTFL >ORGLA06G0121300.1 pep chromosome:AGI1.1:6:11091157:11093857:1 gene:ORGLA06G0121300 transcript:ORGLA06G0121300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XLQRIFHYFHCFTNIRFPLVYSTQGHNFVHLSTNQISNEKIQFNYVNIQQEVFDKTVSSVITAVLSKVTNLSTRFATGKELLIAYNYKYIIYGLAQCSSELTCLQCQLSLYTKLESSNSVTDTGKRENTSKVMCPSALASFEEITTSAHGKRVALFLDYGTLSPIVDDHGRTFMLP >ORGLA06G0121200.1 pep chromosome:AGI1.1:6:11076914:11079955:1 gene:ORGLA06G0121200 transcript:ORGLA06G0121200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase-like D1 [Source:Projected from Arabidopsis thaliana (AT2G33100) TAIR;Acc:AT2G33100] MSGDYANYTVLMPPTPDNQPSGGAPPAAPSAGGARPGDLPLPPYGSSSSSRLVNRRGGGDDGAKMDRRLSTARVPAPSSNKSLLVRSQTGDFDHNRWLFETKGTYGIGNAYWPQDNVYGDDGGGGGAVKMEDLVEKPWKPLSRKVPIPPGILSPYRLLVLVRFVALFLFLVWRVTNPNMDALWLWGISIVCEFWFAFSWLLDQMPKLNPINRAADLAALKEKFESPSPTNPTGRSDLPGLDVFISTADPYKEPTLVTANTLLSILATEYPVEKLFVYISDDGGALLTFESMAEACAFAKVWVPFCRKHSIEPRNPDSYFTQKGDPTKGKKRPDFVKDRRWIKREYDEFKIRVNSLPDLIRRRANALNARERKLARDKQAAGDADALASVKAATWMADGTHWPGTWLDPSPDHAKGDHASIVQVMIKNPHHDVVYGEAGDHPYLDMTDVDMRIPMFAYLSREKRAGYDHNKKAGAMNAMVRASAILSNGPFMLNFDCDHYIYNCQAIREAMCYMLDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCLFRRYAIYGFNPPRAIEYRGTYGQTKVPIDPRQGSEAMPGAGGGRSGGGSVGGDHELQALSTAHPDHEAPQKFGKSKMFIESIAVAEYQGRPLQDHPSVLNGRPPGALLMPRPPLDAATVAESVSVISCWYEDNTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAVLASRRLKFLQRMAYLNVGIYPFTSLFLIMYCLLPALSLFSGQFIVATLDPTFLSYLLLITITLMLLCLLEVKWSGIGLEEWWRNEQFWVIGGTSAHLAAVLQGLLKVVAGIEISFTLTAKAAAEDDDDPFAELYLIKWTSLFIPPLAVIGINIIALVVGVSRTVYAEIPQYSKLLGGGFFSFWVLAHYYPFAKGLMGRRGRTPTIVYVWAGLISITVSLLWITISPPDDSVAQGGIDV >ORGLA06G0121100.1 pep chromosome:AGI1.1:6:11073160:11076189:1 gene:ORGLA06G0121100 transcript:ORGLA06G0121100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGKKYTEGPSTCHRDIKTSSNHKTRYAGSLNYVKPVTQGRQILGLGWTGCLPGYRGKGRGYGGCSHGAVMEHEARQLVGCEEEIKVAIAYKLETIIHVIVFYLYDKYNVYLEITTFSKSNLQFIEHRRMCHRRECRCHMVVVKVVLAIAFIDIVVFVVGGLGGLSPPADGQAAAPAKAPPWGFIYIDSNGDDDGNGHSDGDGGRAANYTRGDGRNRRANSWITAPRNEER >ORGLA06G0121000.1 pep chromosome:AGI1.1:6:11069426:11070355:1 gene:ORGLA06G0121000 transcript:ORGLA06G0121000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:delta tonoplast integral protein [Source:Projected from Arabidopsis thaliana (AT3G16240) TAIR;Acc:AT3G16240] MSGNIAFGRFDDSFSAASLKAYVAEFISTLVFVFAGVGSAIAYTKLTGGAPLDPAGLVAVAVCHGFGLFVAVAIGANISGGHVNPAVTFGLALGGQITILTGVFYWVAQLLGAIVGAVLVQFCTGVATPTHGLSGVGAFEGVVMEIIVTFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILVAGPFSGGSMNPARSFGPAVASGDYTNIWIYWVGPLVGGGLAGLVYRYVYMCGDHAPVASSEF >ORGLA06G0120900.1 pep chromosome:AGI1.1:6:11052725:11054233:-1 gene:ORGLA06G0120900 transcript:ORGLA06G0120900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAVTESTSAKVEAGGSKDAEEEMRCSNIAGSFKILLADDGRRNQRCDTMYPTDAGAQD >ORGLA06G0120800.1 pep chromosome:AGI1.1:6:11045994:11050600:-1 gene:ORGLA06G0120800 transcript:ORGLA06G0120800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRIKILIAYLALVVLLVRLCWCRFIRVTSDQALEHIIPERLALVRNRAYPEGSIAEGYIAEECLTYCSRFLEGSTRFTRAPRNPEPSDNIKDIYMFETASEPIGKAITIGRFDNQLLVQAHRYVLRHCDELEDFRKEFLDEEKRKLPHTTNLTQSDVDRLINRSFADWLEQKVLQNGGVDIDEKTRALAAKPNKTVVCYSGYIMNGFRFHTMMREAGRSTQNSGVVNIADDGVNYYGRLSDIVELSYRKYKVVLFRCDWYDVHHKAGIKKDEFDFTLVNFSRKIHSGDKLDHDPFVFSSQVEQVFYAEDAKAKGWHVVTRFKPRDIFDMGVEQQSNETE >ORGLA06G0120700.1 pep chromosome:AGI1.1:6:11041621:11041990:-1 gene:ORGLA06G0120700 transcript:ORGLA06G0120700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGTCAAIALLVLVALAASAVGDQPRCCVDYHSWGGNTGCGPNQNDACNSWCQSQCRGGECKQRGDRHFCHCFC >ORGLA06G0120600.1 pep chromosome:AGI1.1:6:11021367:11021713:-1 gene:ORGLA06G0120600 transcript:ORGLA06G0120600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGNCAAVIALLVLVALAASAAADQPRCCVDYHSWGGNTGCGADQKDACNTWCQSQCRGGECKPRGDRHFCHCFC >ORGLA06G0120500.1 pep chromosome:AGI1.1:6:10999264:11002503:1 gene:ORGLA06G0120500 transcript:ORGLA06G0120500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1Q242] MAPPQERDYIGLSPAAAAALATELRLGLPGTAEEAESEGGGGGGADAAPLTLELLPKGGAKRGFADAIVGGPAGQRREAAGGKAAAAAAEAEEEEEKKKAQAPAAKAQVVGWPPIRSYRKNTMAMSQPALKGKDDGEAKQAPASGCLYVKVSMDGAPYLRKVDLKMYKNYKELSLALEKMFSCFTVGHGESNGKSGRDGLSDCRLMDLKNGTELVLTYEDKDEDWMLVGDVPWRMFTDSCRRLRIMKGSDAVGLAPRATDKSKNRN >ORGLA06G0120400.1 pep chromosome:AGI1.1:6:10989334:10989696:1 gene:ORGLA06G0120400 transcript:ORGLA06G0120400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADGCQWQLVEARKVTGGXEETGSGCGHGVDDLKWATATVRGGTDGHGRLAREAWQKTAFGRRGSDGRSDRRPARRVEVRPVAAEAGTTRGGAAGKGGRLGSMRHGRRWTRLAWRGDRGG >ORGLA06G0120300.1 pep chromosome:AGI1.1:6:10981997:10982469:1 gene:ORGLA06G0120300 transcript:ORGLA06G0120300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRRRRIRERLLLLEREKEELPAQQQEEKLPIFIHKTQRVTITERKRIEDVARQLEEVLMKRIKKRKIETRQIVVEEIRNELRINKIINSEESDIEIEVNTDDEENKAEEYEAWTNREIARTKRGKEEREAMLRP >ORGLA06G0120200.1 pep chromosome:AGI1.1:6:10970434:10970748:-1 gene:ORGLA06G0120200 transcript:ORGLA06G0120200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAFGSARLRRRLRRLSVDLLRGPVCSIADSACKSAGHRMILTAARSSTLSTRLSFITEGHHNLEKVDKKSYVAFDYRRFPEIVCEVWKFSSLLVAIRLCSK >ORGLA06G0120100.1 pep chromosome:AGI1.1:6:10955465:10959214:-1 gene:ORGLA06G0120100 transcript:ORGLA06G0120100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDK-activating kinase 1AT [Source:Projected from Arabidopsis thaliana (AT4G28980) TAIR;Acc:AT4G28980] MAIGGGGGGSWSIHGRPDVTSRYEVLGRAGSGAYADVYRGRRRSDGAPVALKEVHDAVSARREADALLAAAPSRHVVALLDHFPGGDHDDDVLVLEWLPLDLSAVVRSAAAARPSALPAAQRKRWMLQVLEGVAACHSAGVVHRDLKPANLLISEDGVLKVADLGQARILQETGTYQGMHPYEQSSGVEPWVSQQRAVLHGVKENHPSHDSETQTGQEPERLTAADYLHEMDQLRAKSTHGDVDKMSLQDGNASCLATCSTADIDDDPFRASYSYDAEEGMLEEESGAFTSCVGTRWFRAPELLYGSTNYGQEVDLWSLGCILAELFNLEPIFPGTSDIDQIGRIISVLGNITEETFPGCSNLPDYNKIFFNKVEKPIGLEACLPDRSASEVSIIKRLLCYDPTKRASAADLLNDPYFAEEPLPVPIEGLQVPESKDEDDDSTEEWANFRGGDSDSDFDEFGSMDVTKTDKGFSIRFS >ORGLA06G0120000.1 pep chromosome:AGI1.1:6:10950098:10953676:1 gene:ORGLA06G0120000 transcript:ORGLA06G0120000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAARRSGGRLTEEVNMMVALSGRKRRLQAATMVALCFLSSICVSTAQFKPADNYLVDCGSSKSTTLGTRTFAADGAAPVKVDTSLEILAGTSANGVASFDNSALYQTARIFTSPSSYTFPIQKQGRHFVRLYFFAFAYQSYDLSTAKFTVSTQEMLLLSDFQQPDKTAPLFKEYSLNITQDKLIISFKPSNGIAFINAIEVVSVPDDLIGDSAPMVNPMQQYSGLSTQPLETVYRVNMGGPKVTADNDTLSRTWVTDKKYLVNPSVTREVNGGKVNYMKGGGSTPLIAPDIVYSTATELAASNTTNALFNMTWQFDVDSGFSYLIRFHFCDIVSKALNQLYFNAYVGSFYAQHDIDLSIQSMNQLATAIYLDVVLSSNDASNKLSISIGPSTLNNALPDGILNGLEVMKMSSGSGSAFTVGSSSSNKNLGVIIGSVLGAVGILIIVLVIVLLCRKKKTLEKQHSKTWMPFSINGLTSLSTGSRTSYGTTLTSGLNGSYGYRFAFSVLQEATNNFDENWVIGVGGFGKVYKGVLRDDTKVAVKRGNPKSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKSHLYGSDNPSLNWKQRLEICIGAARGLHYLHTGSAKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELHQIVDQRVSGSIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYVLQLQDADSSTVSDVNSMNRIVELPSQVQNIGTLESISVTMAEAGASHEPDHDLSDVSMSRVFSQLIKAEGR >ORGLA06G0119900.1 pep chromosome:AGI1.1:6:10864909:10865823:-1 gene:ORGLA06G0119900 transcript:ORGLA06G0119900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSDEALAIVVPIVVYWLYSGLYMALGHSISMDKYRLHSKEEEDAKNLVSKRDVVMGVLLQQLVQAAVAAATFTLAGERRTTTATTASPSSWLAVAARFAVGMVVLDGWQYAWHRWMHTNRFLYRRVHSWHHRLVAPYAFGAQYNHPAEGLLLDTVGGAVAFLASGMSPRASVVFFSLCTAKGVDDHCGLWLPAASPLQRVFRNTAAYHDVHHQRRGGRYNFSQPFFVTWDKVFGTHMPYVVEERPGGGLQVRPVAMSPSSATAAAGAGGK >ORGLA06G0119800.1 pep chromosome:AGI1.1:6:10856670:10857558:-1 gene:ORGLA06G0119800 transcript:ORGLA06G0119800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIRTMFREEKRSSAVGIPCLIHAEASRLGSGAKQQQRGQESDLGAAADSEKKEIEVTGRRSNRRCASGPTGGDKTTSRFPSI >ORGLA06G0119700.1 pep chromosome:AGI1.1:6:10850588:10853362:-1 gene:ORGLA06G0119700 transcript:ORGLA06G0119700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGSLIVKLGDALASEAVEVAKSLLGLEGSALKRLFSEIREVKGELESIHAFLQAAERFKDADETTSAFVKQVRSLALSIEDVVDEFTYELGEGDGRMGMAVALKRMCKMGTWSRLAGNLQDIKVNLKNAAERRIRYDLKGVERGAKSTAGRRSSNWRSDSVLFKREDELVGIEKKRDLLMKWVKDEEQRRMVVSVWGMGGIGKTALVANVYNAIKADFDTCAWITVSQSYEADDLLRRTAQEFRKNDRKKDFPIDVDITNYRGLVETTRSYLENKRYVLVLDDVWNANVWFDSKDAFEDGNIGRIILTSRNYDVALLAHETHIINLQPLEKHHAWDLFCKEAFWKNEIRNCPPELQPWANNFVDKCNGLPIAIVCIGRLLSFQGSTYSDWEKVYKNLEMQLTNNSIMDMMNIILKISLEDLPHNIKNCFLYCSMFPENYVMKRKSLVRLWVAEGFIEETEHRTLEEVAEHYLTELVNRCLLLLVKRNEAGHVHEVQMHDILRVLALSKAREQNFCIVVNHSRSTHLIGEARRLSIQRGDFAQLADHAPHLRSLLLFQSSPNVSSLHSLPKSVKLLSVLDLTDSSVDRLPKEVFGLFNLRFLGLRRTKISKLPSSIGRLKNLLVLDAWKCKIVKLPLAITKLQKLTHLIVTSKAVVVSKQFVPSVGVPAPLRICSMTTLQTLLLMEASSQMVHHLGSLVELRTFRINKADSSQEVLHLESLKPPPLLQKLFLQGTLSHESLPHFVSVSNLNNLTFLRLAGSRIDENAFLNLEGLQQLVKLQLYDAYDGMNIYFHENSFPKLRILKIWGAPHLNEIKMTKGAVASLTDLKFLLCPNLKQLPCGIEHVRTLEELTLDHTAEELVDRVRQKKERMICDVQRVYVGFIRNGVLAAERIQ >ORGLA06G0119600.1 pep chromosome:AGI1.1:6:10848113:10848502:1 gene:ORGLA06G0119600 transcript:ORGLA06G0119600.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATSITDGNLGHRVATADSLLAEKEEDAGGCGREMRSGGGSAVCGRPGAQAATLPLGEQSQSEAATAAARFSLHSLDAGGRKQEAADDARRRAVVPPHRPPLLSTARVVLVWERDKPLRHGAHQWQGRLG >ORGLA06G0119500.1 pep chromosome:AGI1.1:6:10839961:10841890:1 gene:ORGLA06G0119500 transcript:ORGLA06G0119500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMVHMNRGQGETSYARNSILQNAEQNRMRPLIEDAIADLVCSRSMVIADLGCSSGPNALALASIAVDAFRRRCLALRRPPPPAELCVLLNDLPDNDFATVVKSLVEFRRNNGDEPVLLTGVVPGSFYGRLFAAESLHLVCSSNSLHWLSEAPEDLKMNGIPAYDVDANVRRERRAVVVGAYARQFRKDFMAFLKMRAVELVPGGRMVLSLAGRRSVDLASELTHAWESTAMTLSDMVTMGVIDKEKFETFYMPIYGPSDEEIRQIIQEEGSFLIREMQVPELTSGAYSALITSARVASMLRAAFEPIIVQHFGPTGCDGEEGIMDEFVRTAERRWSLEGSLQDELAQNPRGVLLVSLEKKPS >ORGLA06G0119400.1 pep chromosome:AGI1.1:6:10819167:10819730:-1 gene:ORGLA06G0119400 transcript:ORGLA06G0119400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMEDNHSNSSQSLWRLSLFYPYLESVIADVQSSVLVDPVYICVECSEVHRNQQTMAAHCRSHIRSDGMEKGTVRHIKYNPDHTFSLLCHQSSNKIYYQVTVPNYPNNPNSSEIGVVWASDILKKCVDLGYLQHPASVNASSAVFVPAATPTELDLTLRLGPRSTAGSTNRQIVEALFAGSGGSA >ORGLA06G0119300.1 pep chromosome:AGI1.1:6:10797604:10798050:1 gene:ORGLA06G0119300 transcript:ORGLA06G0119300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRKITSDEEEAAVKPTRSFRYEDYSTRRVFLRSYPLQWDWASPAPGEKEKQQQQQVQGVVAGGDGEEDDDEYGGGGGDERGGRRWRRQVAVAVVEWGEEKLLLLRRVKKRLALYLIGCHYAGHRAALPFKSSSASCTAAMLASSR >ORGLA06G0119200.1 pep chromosome:AGI1.1:6:10787574:10795206:-1 gene:ORGLA06G0119200 transcript:ORGLA06G0119200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEPVHQHSKGLPRRQLACGPPCLSFAFWIDSFVSSLSRPLLVLASSLLSHGVRGPLRPRLTMAYVLISQLLYGDTSKQIKTRLSRLWDFHDINDEEKIYHTELALLDETGASIQGKVYYIDSFFVRYANRTYRPVANPLMISFTKWTTLEECIEIPDNFPSITFSLTPFQDVPSLVEKNHFYVGASPFDHICHLCLFPVAALYLSN >ORGLA06G0119100.1 pep chromosome:AGI1.1:6:10782433:10784749:1 gene:ORGLA06G0119100 transcript:ORGLA06G0119100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHDLHSRLSRWPRPFRLSLSSGSLQALSRDHLRAGHGVTSASASGSVASTATRDSANAEGLRPSWLSASALSHGSVPSAATRNSYAVDVATSRGSPPSPPPPPEEARFARSTRGKLGGKCGIDCFGAKKMMESGPVLAANRVWRDAYGVSTEKWTTKVEIKVKNVSEHANHPSKMETLVSSFCDPQAYRFDAAKNEHYVCGFAKSVESIPRSTYLKLKYETVDVVCMKLFLVNLEARLYTEAEGDIGAKEPDPEMYEDPDVVREAFEMQARLQRIAAVVEEGKHAGGKKV >ORGLA06G0119000.1 pep chromosome:AGI1.1:6:10761383:10764659:-1 gene:ORGLA06G0119000 transcript:ORGLA06G0119000.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQCDLGSPRLVGRAAHLTSGIRAQGSKIVAPVGPVVEQAQCDLGCPRLVGRAAHSNDLWNDDKDWDVQKLNSLFEQEVVHKILQVHISQGSTEDKLCWKYSKKVNAIQKSAYKEFIKREEHRYSQDTESILTICLLMIKSSQSWSIEEWTAFPMETGTTFLTDNSTIADTAKRRNFLEEPGHWSLRPLWTQIISSTSIDLIQVRWVPREVNKMADKLAKEAKSGTRRNFIQNCQNIEHSAYPHRSFYARLLNDNFRSLNCTINYVLCF >ORGLA06G0118900.1 pep chromosome:AGI1.1:6:10755460:10757004:-1 gene:ORGLA06G0118900 transcript:ORGLA06G0118900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWIFYSLTLLLCLACSLLLRARASAAAVEVAPLPPGPRTVPVLGPLLFLARRDFDVEPTLRRIAAEHGPVFTFAPLGPSRPTIFVAARGPAHRALVQRGAAFASRPRGVSPASVLLTSGGRNVSSAQHGPIWRALRRCISSGVLNPARLRAFSDARRWVLDALVSHIRGEGGAPLTVMEPFQYAMFCLLVYMCFGDRPGDARVREIEALQRELLSNFLSFEVFAFLPPITRLVFRRRWNKLVSLRRRQEELFAPLIRARREAGAGGDCYVDSLVKLTIPEDGGRGLTDVEIVSLCSEFMSAGTDTTATALQWILANLVKNPAMQDKLREEITAAAVDGEVREEDLQAMPYLKAVVLEGLRRHPPGHFLLPHTVEEETTLDGYRVPANTPVNFAVGEIGLDSEVWTSPEVFRPERFLAGGEGEDVDLTGSKEIKMMPFGAGRRICPGMALALLHLEYFVANLVREFEWREVAGDEVDLTQKLQFTVVMKRPLKATAVPLRGDRSAAAAVTGSA >ORGLA06G0118800.1 pep chromosome:AGI1.1:6:10750957:10754013:1 gene:ORGLA06G0118800 transcript:ORGLA06G0118800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAGGRSKDGGGGGAALLGGGGVTCFDVKSFVASLALLTLIMALWQLHPYQPLVLLPAALSSSPCPLLPRSPTSGIAVSFLSTAAATNSTDTATVPTTTAAARVAATKRPTLPARQRERDPNKRELRPYGTAAALFVQMGAYRGGPRTFAVVGLASKPAHVFSNPYFKCEWLPNAPAGAPPVRTKAYKMLPDWGYGRVYTVVVVNCTFPSNPNADNIGGKLLVHAYYSTASRRYERFVALEEAPGSYDDARFRPPFAYDYLYCGSSLYGNLSSARMREWLAYHARFFGPRSHFVLHDAGGVTPEVRAVLDPWVSAGRVTVQDIRAQEDYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPDGRALEDVLAQLQPYTQFTIEQNPMSSKLCIDDPTEDYSREWGFEKLVFRNSITGVRRDRKYAIQARNAYSTGVHMSQNVYGRTTHKTESLIRYYHYHNSINVMGEPCRKFVPKPANGSKVMFEGIPYVYDDNMKRLAGEIRRFEKQTIGDVHT >ORGLA06G0118700.1 pep chromosome:AGI1.1:6:10733737:10737559:1 gene:ORGLA06G0118700 transcript:ORGLA06G0118700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNRDPVWEHGENIPPGWRCKYCHTKRGGGGATQLKQHLAARGKGVTYCNSVPPDVREFFKRGWTGXKIQVTSIRVISEKGLKRQGSTIMTXQVMPTRRNKWKQPLQPHDKRKTLGGMLRTAVALMSMAVGVDPLSPRHRKVEVTQLPDSXRRRGSKLGKPLVSLGQGSSLPPAFLIEMPIIHTLXALFGRHESGVKVYFSNWXGDRWKISXFYREGCEEAIXXVQEGLGXVQCYYHVXFLDRSDGYVGHQFFDLLQWNNVFPQVHXCDRAKLGCXLHAEGDKEGGTRNRPXAYCSNYHXQWVXLQEGMQSTTARIQDNCVATLCGTHSXLDAXGGWENARPRNGDXECXGNLHMAIQSXQVACYDGLSYRWXTGXVECYKVRKKLHVSPEFPXEARSFHAMDGFLCVHAKQIQWDFGRXICTRMSIXHGLVGELRGSSEFCPTYVLIPSVCXRGXEPQFEXGTFEISVAQNGVRQSFCESNGRAXSIHGDCXHKDAQPNRXDSHQCQXLPHXTLGRTTHILQVLLSSKTSDRHSSGXQTSIRLPPLCWRLRCTDVRRANLGGHXQEKWPXMGKLHLHNGGLCSPQTRRNXRSLRCVWLVNVAPSVDVKGIGAHSHSYIRSKQMPKLGWRTMIPFKDXQTSHSMKLIIISTNGWTMLALMLAPNXMKIQLRVTLPCLVNLWLTXXTWMTCEGPWELLVLLSGLIRMXVTLILEKRKTRKLPKARPSKKVKGRGPRSTSVDSDEETQGSPDYQESNDSSSRTKIDDGDDDGQGGQGTTNVPPRGHTQQSDHHSPIQFTGKGDFTRATQDRDHGAPSSQRTTIAPGARQQQQFSVIQQDSSSSFSTSSFESGYLTYVYNRTQSSDYPATTWVYEWQEPQWYAQLYAFQHIAVTVPNRAVTVSLPWILNSKIWIQNLCGFSR >ORGLA06G0118600.1 pep chromosome:AGI1.1:6:10714593:10716968:1 gene:ORGLA06G0118600 transcript:ORGLA06G0118600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSMALHQTLLLLLFVSIAIHRAVAQTTTSTAVRGDGKRFVTYSFPSFANALLHLPANLTVLNNASISQGALQITPDSSNSADGYLVNQTGRVFFSTPFTLWSPAAAGGGNGTGTYVASFNMVFRVNIFRTNTSDPGEGVAFVVASGLDPPPPGSYGGFLGLTNASTDGDDANRFVALELDTVKQGYDPDDNHVGLDVNGVRSVKAVPLAPFGIKLGAANASNFFVWVNYDGTSRHVWMYMARSDDGVPSPKPPSPVLDAPLDLSAFVAEKAYFGFSASTGTRFQLNCLHMWNMTVELLDDGSRSSGGGQTRRKLGLGVGVPCGVAALAAGAVVAFLYIKKRRRRVGDDPESLSSPAAFKFNKSSINLRSLAGTPKEFEYTELRKGTEDFAAKNKLGQGGYGVVYKAVVAGDSDGESVEVAVKQFSAANTKGQEDFLAELSIINRLRHRNLVRLRGWCHQNGVLLLVYDYMPNGSLDKHLFGGAAAAPVLSWEQRYNIVAGVAAALNYLHHEYDQRVIHRDIKPSNIMLDSAFGARLGDFGLARALDSDKTSYTEMVGVPGTMGYIAPECFHTGRATRESDVFGLGAVLLEVACGRRVSFGAGGDGGAIGGCSRLLEWVWRLHGAGRILDAVDPKLAGGAFDADNAERLLLLGLACSHPDPGARPTAKAVVQVLARAVPAPAVPPSKPAFMWPALSGADCDDSDGGGAGEMSSRHSARTTSTEQTSSTYYASSSSYSSHGCTRTQVTSSGDAIADETRYMSIG >ORGLA06G0118500.1 pep chromosome:AGI1.1:6:10711673:10711934:1 gene:ORGLA06G0118500 transcript:ORGLA06G0118500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEPCTSALAAGVGEVDSGLCDDDDDDDGSCDNDGMWICGICGFGILREILNWEHEFG >ORGLA06G0118400.1 pep chromosome:AGI1.1:6:10660860:10661672:1 gene:ORGLA06G0118400 transcript:ORGLA06G0118400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCERLGDLAVDPAAGGEWRAAGRPRIGSGSGGSSRADPVSVTTEGSGSSGFDGGGARWSRELGRRCGSGKEDGCLEQRQRRRLVQICRQRXRARASEGTAVEMASHPRVGGEGLAVVGARHCCPPPPHPYESPSSLSSPSSDEEAAAAGWICAASAQPFAVPPPSLRGEGTSSSDVIAADDN >ORGLA06G0118300.1 pep chromosome:AGI1.1:6:10641882:10642379:-1 gene:ORGLA06G0118300 transcript:ORGLA06G0118300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYSSSSYARLGRRWWRRPAAARGFRLIPTRRLSVRRLRARLWTLLGILGRCVRSVRLLTRGLVVPSGGGGSTSPSLRGKGRRALAVLGGGKDVVAAASGGGKLHADGTAGGGNNKAAARRPPCMRSNSFYARAVAECLEFIKGSNNNAGGGGGATPARDNRVK >ORGLA06G0118200.1 pep chromosome:AGI1.1:6:10630646:10634487:-1 gene:ORGLA06G0118200 transcript:ORGLA06G0118200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:UniProtKB/TrEMBL;Acc:I1Q219] MRLCSKLAALLRRSRQFAPAAAAASGSATAAAASANGMEEAAAGPLRARVCIIGSGPAAHTAAVYAARAELKPVLFEGFLANDIAAGGQLTTTTDVENFPGFPDGILGADLMDRCRAQSVRFGTRILTETVTAVDLSSRPFRVTSGDTVVHADAVVVATGAVARRLHFAGSDAFWNRGISACAVCDGAAPIFRNKPIAVVGGGDSAMEEANFLTKYGSRVYIIHRRNAFRASKIMQARALSNPKIQVVWDSEVVEAYGGADGGPLAGVKVKNVVSGEVSDLQVAGLFFAIGHEPATKFLGGQLELDSDGYVVTKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEIGAQEDKTD >ORGLA06G0118100.1 pep chromosome:AGI1.1:6:10621284:10623352:1 gene:ORGLA06G0118100 transcript:ORGLA06G0118100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGDALRANIMAIRPLITVDAGVGAHLEHTSTPQPTSSLEYSIDGRNQYLTTVNTIPNLARGGSAPPKAIAPDQQGGGGGKVREELRYRICEALVRGYRSYRQGRSIALTLPPTSSAMDPLLSWVQAADLPSLRPLFPSLRSTRP >ORGLA06G0118000.1 pep chromosome:AGI1.1:6:10607567:10608544:1 gene:ORGLA06G0118000 transcript:ORGLA06G0118000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDPTGGGGGGGVAAHYLHMLRAQQHQPLSPAGDVKAERSMLSPDESPGADADLGSDHPTSSAMVAAEDSGGGGGSGSGGPMRRPRGRPLGSKNKPKPPIIVTRDSPNAFHSHVLEVAAGTDIVECVCEFARRRGRGVSVLSGGGAVANVALRQPGASPPGSLVATMRGQFEILSLTGTVLPPPAPPSASGLTVFLSGGQGQVVGGSVAGQLIAAGPVFLMAASFANAVYERLPLDGEDPEAEAAAATPPGDAAQPTGPPPPQQQPTASQSSEVTAGDGGGGGGGLGMYLGGHVGSYQQQQQQLPGPGDNFGSWSGSIRPPPF >ORGLA06G0117900.1 pep chromosome:AGI1.1:6:10592058:10594317:1 gene:ORGLA06G0117900 transcript:ORGLA06G0117900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGNDVVAAAAAGERPMGAARVVAEGGATVFRGADYSLPRTTVALALWLGGIHFNVLLVLASLFLFPLRVAAMVVAFQLLFMLIPLNDKDKLGRKIARNVAPFPPIAENFSHLFLGCWTDREHVPCCRFICRYAMGYFPISLHVEDYKCFDPNRAYVFGFEPHSVLPIGVAALADLVGFMPLPKIKVLASSAVFYTPFLRQIWTWLGLIPATRKNFQSYLRAGYSCIIVPGGVQEILHMDHDSEIAFLKSRKGFVKIAMQSGCPLVPVFCFGQSYAYKWWRPKGKLFVKIARAIKFTPIVFWGRYGTPIPFPTPMHVVVGRPIEVEKNSQPTIDEINEVHEQFTVALQDLFDKYKTETGYPGLHLRVL >ORGLA06G0117800.1 pep chromosome:AGI1.1:6:10583615:10585844:1 gene:ORGLA06G0117800 transcript:ORGLA06G0117800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASRAASLLRRTSSALSRRCAHSSSAAAPSRPPVPLPRFRAAAAAAAASSSPSSGITSRRFLASKSPSSSSPSKASADENLVRVIDSEIECIVQSEEGAASAKQIDLPEDFPFEIIDNPGDQSITLKREIAGETIKATVYTNFDTQDLNEDGDDNENNEESFKPAIQMVVTIEKPEASILEFECHFNDDELAIESMRMLDQNNSDAENLYVGPTFQDLDESLQKALHRYLEVRGIKHSLHDWLCEYMMSKDEKEYLVWLKSMKEFVGN >ORGLA06G0117700.1 pep chromosome:AGI1.1:6:10576600:10581981:1 gene:ORGLA06G0117700 transcript:ORGLA06G0117700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1Q214] MGSVAMDSDYGVPRELSALQKARALYRPDLPPCLQVYCLCGGQQGTTVKVEYGDAAIAAIAADVAGAHVISHAFPHTYGQPLAHFLRKTAAVPDATVITEHPVVRVGVVFSGRQSPGGHNVIWGLHDAIKAHNANSKLIGFLGGTDGLFAQKTLEISDEVLSSYKNQGGYDLLGRTRDQIRTTEQVNAAMTACQALKLDALVIIGGVTSNTDAAQLAETFAESKCSTKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFVRLMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKNHGVVLIPEGLVESIPELYALLQEIHGLHDKGVSVENISSHLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVEAEMNKRLKEGTYKGKKFNAVCHFFGYQARGALPSKFDCDYAYVLGHVCYHILAAGLNGYMATVTNLKSPVNKWKCGAAPITSMMTVKRWSRGPAASQIGKPAVHMASIDLKGKPYELLRQNSSSFLMEDIYRNPGPLQFEGPGGETKPISLCVEDRDYMGRIKQLQEYLEKVKSIVKPGCSQDVLKAALSAMASVTEMLTIMSSPSFSGQATI >ORGLA06G0117600.1 pep chromosome:AGI1.1:6:10566315:10567001:-1 gene:ORGLA06G0117600 transcript:ORGLA06G0117600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPAAAVVVVLAFMAVMVVVPVFGADGGDGRVQVQSLERPVGGGGGGNGTSYNATSVAGRKDGGGGGGGGGGSSGGSSWSYGWGWGWGTDGGGGGSSGGGGGGGGGGGGGGGGGGGGGGGGGGRRCWWGCGNGRRRHKGGKEGGGGGEGRKGIRTEREREGDGGGGAGRRHGRRPSYSSSLYRVGEYARCTAATGRCRGALLVCPMQCEGPCFYDCDANCKAHCRF >ORGLA06G0117500.1 pep chromosome:AGI1.1:6:10543382:10548042:-1 gene:ORGLA06G0117500 transcript:ORGLA06G0117500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G33620) TAIR;Acc:AT2G33620] MQGGAMSAAATATASEAAAYGGVGMSKSGALQPQPPHGAAAAVRLAYTHDGTAVYKHTPAAPVYQTPAAVAAPSPPVRGNGGAPASAEQHKRKRGRPRKYAVTDVPLAVVPPSPPKAAAAAGAGAAQSPATPTLPPGFSSGLAAYGGAAASQPAPRQAPPASGRVLPHKKRGRPPGSGNKQQQRPQHKKATAPGSSVIGLKPSVITVQVGEDVVSRVMSFTKNGWAVCVLSANGAVSNMTLRQAGSSGATTVNYEGHFEILSLSGSYLLSESVGLSSRAGGLSVSLAGPDGRVLGGGVAGPLNAATPVQVVIGSFLADVKGHKQAMPSGAPYPGVSTPTSRGTPSGSSGGPGSPLNQSASGSFNTSNQQALADFPWR >ORGLA06G0117400.1 pep chromosome:AGI1.1:6:10536146:10537687:1 gene:ORGLA06G0117400 transcript:ORGLA06G0117400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYSLVLMTSLLFPLLVLLVMRCYVTRSGAKLLDKLPSVPGRLPVIGHLHLIGSLPHISLRDLATKHSPDMMLLHLGAVPTLVVSSSRVAQSILRTHDDIFASRPYSPIANILFYGATDVGFSPYNEYWRQIKKITTTHLLTVKKVRSYVSARQREVRIVMARITEAGSKHVVVDLTEMLSCYSNNIVCHAVCGKFSQKEGWDQLLRELVKVNTSLLGGFNIEDYFPSFTRLAAVRRLLLSCAKAHNINKRWDQLLEKLIDDHTTKHIRSSSMLNHYDEEAGFIDVLLSIQHEYGLTKDNIKANLAAMLMAGTDTSFIELEYAMAELMQKPHVMGKLQAEVRRVMPKGQDIVTEEQLGCMPYLKAVIKETLRLHPPAPLLMPHLSMSDCNINGYTIPSGTRVIVNVWALARDSNYWENANEFIPERFIVNTSGDYNGNNFHFLPFGSGRRICPGINFAIATIEIMLANLVYRFDWELPADQAAKGGIDMTETFGVAVHRKEKLLLIPHLHLR >ORGLA06G0117300.1 pep chromosome:AGI1.1:6:10531367:10531636:1 gene:ORGLA06G0117300 transcript:ORGLA06G0117300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPGCPPKPEAVIDALTKLHKISREIVEDRTLSQKKNRCFTTSHKLYVRHSTNTGTYEQELLYQSSSTLDISSETFFKSKSPVSSYKLVN >ORGLA06G0117200.1 pep chromosome:AGI1.1:6:10523304:10523531:-1 gene:ORGLA06G0117200 transcript:ORGLA06G0117200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKYSAVDLAQVRGTPSSGMEFSDREAADGAMAASTASAAPNIAVTLICRRRLVHLANTGAIPARWKPRSSSPS >ORGLA06G0117100.1 pep chromosome:AGI1.1:6:10512134:10512973:-1 gene:ORGLA06G0117100 transcript:ORGLA06G0117100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRLSCTGWSPAAGGASAHFFLPAAVIVVVLHQLAVWPPPSPHTAADGRXLRLGRRGQAHPDGPRGLGLTAGFIFKDTTQSKSCGKYFAFVFSSSLSSPRSFFYLRFSSCSETLGPTEQFKWNCSRDCCQPI >ORGLA06G0117000.1 pep chromosome:AGI1.1:6:10478032:10482410:1 gene:ORGLA06G0117000 transcript:ORGLA06G0117000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPSVPFPLLQAPVESTYRACTIPYRFASDNPRKATPVEIQWIDLFLNSVPSFRQRAENDPTVPDAPAKAEKFAQRYTAMLEELKKNPESNGGPPDCILLCRLRELVLRELGFRDIFKKVKDEENAKAMSLFEGVVQRNDEIEDDGKRAENLIRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQNLVSRPWVIDDLDAFQNKWTKKSWEKAVIFVDNSGADIILGILPFARELLRHGTKVILAANDMPSINDVTYPELVEIINKLKDENGKLAGVDASDLLVANSGNDLPVIDLSSVSPELAYLANDADLVVLEGMGRAIETNLYAQMKCDSIKIGMVKHPEVAQFLGGRLYDCVFKFNEA >ORGLA06G0116900.1 pep chromosome:AGI1.1:6:10461431:10463974:-1 gene:ORGLA06G0116900 transcript:ORGLA06G0116900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGVLTALDQARTQYYHFKAIVIAGMGLFTDSYDLFCIAPVMKIVGRVYYSDGGARPGVTPPAVVSATVGVALLGAVIGNVVFGALGDRVGRRRVYGACLLLMVCSSVGSGFSVCRTRRCALASLCFFRFLLGVGVGGDYPLSATIMSEFANRRTRGAFIAAVFSMQGFGILASSAVTMAVAAAFDHYTGYPAPLDTPECADLTWRIILMAGAVPAALTYYWRMSMPETARYTALVERDVVKATNDIGRVLADLDLGAVAEEEVAAALSRPPPPPRPSYGLLSRRFVRQHGRDLFACAAAWFLLDIPYYSSTLFQSQIYRPLFPAPGLINAFQEAFNVAKFQAVIAVASTIPGYFVAVLLIDRVGRRRLQMAGFLLMAVFLFALAGPYDGYWRDHGAHAGYIVLYSLTFFSANLGPNTTTFILPAELFPARFRSTCHGLSGAAGKLGALVGSIGFLWASQQKDGAAAGHLPGIGMMYALFVLGGICLLGLALTYVFTPETMMRSLEENESDRAQTQVGDGGSDTEAAKSPASMASSHLSMSPILPARVSV >ORGLA06G0116800.1 pep chromosome:AGI1.1:6:10460082:10460498:-1 gene:ORGLA06G0116800 transcript:ORGLA06G0116800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVGGLHSSPPADSAAVAAVAKDAEYQKGVQKLVDLWSKLNPVAREFIPSSAAVSSLSRKALSEDAPVFDYNSIGSWNWGGKESSVDAYQQHRLGR >ORGLA06G0116700.1 pep chromosome:AGI1.1:6:10455613:10456807:1 gene:ORGLA06G0116700 transcript:ORGLA06G0116700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVEEYTERDPKNKFILNTIISEFANRRPRGAFIAAVFSMQGFGILVSSAVTMAVAAAFDHYAGHPAPLDTPECADLAWRIILMAGAVPAALTYYWRMPMPETARYTALVERDVVKATNDIGRVLADLDLAAVAEEEVARRRR >ORGLA06G0116600.1 pep chromosome:AGI1.1:6:10448557:10450981:1 gene:ORGLA06G0116600 transcript:ORGLA06G0116600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRIRVLAALDQARTQYYHFKAIVIAGMGLFTDSYDLFCISPVMKIFGRVYYAPSGSVDGSGSGPGVTPPAVVSATVGVALLGAVAGNVVFGALGDRVGRRRVYGACLLLMVCSSVGSGLSVCRTRRCALASLCFFRFLLGVGVGGDYPLSATIMSEFANRRTRGAFIAAVFSMQGFGILVSSAVTMAVAAAFDHYTGYPAPLDTPECADLTWRIILMAGAVPAALTYYWRMSMPETARYTALVERDVVKATNDIGRVLADLDLAAVAEEEVAAAALSPPPVTPPPPPRPLYGLFSRRFVRQHGRDLFACAAAWFLLDIPYYSSTLFQSQIYRPWFPPAAKVNAFQEAFNVAKFQAVIAVASTIPGYFAAMLLIERAGRRRLQMAGFLLMAVFLFALAGPYDGYWRDHAKTAGYIVLYSLTFFSANLGPNTTTFILPAELFPARFRSTCHGLSGAAGKLGALVGSIGFLWASQQKDGAAAGHLPGIGMMYALFVLGGICLLGLALTYAFTPETMTRSLEENESSVQAQSQVGDGGSDAGNGSDGLRFHELNVLMEAATKSPVSMASSHLSMSPILPHRMSL >ORGLA06G0116500.1 pep chromosome:AGI1.1:6:10432437:10433515:1 gene:ORGLA06G0116500 transcript:ORGLA06G0116500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHEADRTGAKVGYVDPTRICKTQHTVEMREDCEQLVGKTPEEKEEYVKTLHKRKKLEVATYLAIAMLAHADKDVLMVPYQFTDHYILFLIYPKDQLIISLDPAHYDKETFMEFLTILNLAHKYYRKRGGPVHIPSQKKLSVRTGWPIPEIPYIAQRFNDTTILNVTADLCRFIRRDVCNARGLFYDNQSELAMDDKFKPLREWEKEHMQ >ORGLA06G0116400.1 pep chromosome:AGI1.1:6:10421354:10421676:-1 gene:ORGLA06G0116400 transcript:ORGLA06G0116400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKAKAEDAASSAKAGVHKAKATAGEKVEKATTGDPMKKREAEERKEDRKLEAESDERVEKEGHADEKSGKHTFTTATG >ORGLA06G0116300.1 pep chromosome:AGI1.1:6:10412895:10413110:-1 gene:ORGLA06G0116300 transcript:ORGLA06G0116300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQADELEDSRAIELEDDRVSELRGRCGVQARRNRLTSSRARPGELEVWLVFKLKNGGAPGLWHGRLSELT >ORGLA06G0116200.1 pep chromosome:AGI1.1:6:10411617:10411936:1 gene:ORGLA06G0116200 transcript:ORGLA06G0116200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQLKNMKYENGAGFTDEKLGYGGGGYGGARYAGRYGVGAGYGGGYGGYGGNGGGGGGGGGGWH >ORGLA06G0116100.1 pep chromosome:AGI1.1:6:10407223:10407953:1 gene:ORGLA06G0116100 transcript:ORGLA06G0116100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLFLLGVVLASLLLVAQDVSAARELAEANEAKGKNMKQEVAYGPQDEKLAHHADGYGHGGGYGGGYGSGYGGGNGGGYGGGYGGYGGYGGYNKGYGGGGGGGYGKGFGGGYGGGGYPGGGYYGGGGGGGWH >ORGLA06G0116000.1 pep chromosome:AGI1.1:6:10396350:10397315:1 gene:ORGLA06G0116000 transcript:ORGLA06G0116000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLLLLGVVVASLLLVAQEVAAARELTEANEAKGKNMKPEVVHVPQDEKIAYHGDGYRHGGGYGGGYGSGYGGGNGGGYGGGYGGYGGGYGGGYGGGGGGGGYGGYGEYGGGGYEGYDGGYGGGGGGGGYGGGGYPSGGYYGGGGGGGWH >ORGLA06G0115900.1 pep chromosome:AGI1.1:6:10388786:10389969:1 gene:ORGLA06G0115900 transcript:ORGLA06G0115900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNLLLLGVFLSALLFFFLDVAHARELAEVSESEGKNVKPTGGSGVDDHKWGGAHGGGYGYGGGYGGGGYGHPGYGGGYGGGYDHPGYGGGYGGGYGQGYGGRYGHPGHGGGYGGGYGGGYGGGYGGGGGYGGGGGYGGGHGGGWP >ORGLA06G0115800.1 pep chromosome:AGI1.1:6:10382236:10382845:1 gene:ORGLA06G0115800 transcript:ORGLA06G0115800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLSRISFCLSSXLLCFSSSWMXLTLENSLKPVKSEGKNVKPSGTPGVEDQKWGGGYHHGGGYGGGYGRPGYGGGYGGGYGRPGYGGGYGRPGYGGGYGHPGYGGGYGGGYGSGYDGGYGHPGHGGGYGGG >ORGLA06G0115700.1 pep chromosome:AGI1.1:6:10359585:10360343:-1 gene:ORGLA06G0115700 transcript:ORGLA06G0115700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNFLVLGVFLAALLMFSLDDVAHARELTEANESEEKNVKPMGGPGVEDQKWGGGYYPGGGYGYGGGYGGGYGRPGYGGGYGGGYGHPGYGGGYGGGYGRGYGGGYGGSGGGYGGGYGGGYGGGYGGGYGGGYGGGGGYGGGYGGGGWH >ORGLA06G0115600.1 pep chromosome:AGI1.1:6:10343726:10344375:1 gene:ORGLA06G0115600 transcript:ORGLA06G0115600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLILFGVLLASLLLVSQDVVAARELTEAHESERENVKPEVEQNNWGGGYMHGGGYEHGGGYSQPGYGGGYGQPGYGGGYGQPGYGSGYGPGYGGGGSGPGYGGGYGSPGYGGGYGSPGYGGGSGYGGGYGGGYGGGYGSGSGYGGGGGYGGGSGGGGQHGGWH >ORGLA06G0115500.1 pep chromosome:AGI1.1:6:10340807:10341028:-1 gene:ORGLA06G0115500 transcript:ORGLA06G0115500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVNEDSIWHTMEVLCAYGMPSRIWKESKFGMIGYVKFVSCTRRFPKVFRTSSMSLVRGFRLPTSGINRGGA >ORGLA06G0115400.1 pep chromosome:AGI1.1:6:10329567:10330499:1 gene:ORGLA06G0115400 transcript:ORGLA06G0115400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCSAVLSAAAAAAAASRTPPWLHRLHAKGGLSFPSNLHIDDLLYGQHHALPHPPPPPPPPQPAKEPPPPTKPKHPKPKQQQHPPPPPPQKPPQGSTNLSLPNPSGSGSGNGNPPPSPQLQLSTVIADVFVTPSSAPPLIAPIKAFRKQNHPRPRPDKASRPSKENKDKASKVKVKKRRRSDRAADGDGERCSRTEVTVIDTSTDGWKAAKLLLRRGAVWKVRDKASGVSEPEDPTKMKRRAGLVSKIQRDREKQKQKEKEATSSVRALCALACSFMSLLLNMHVLGSQNLTSSYLILCLWNACSLTGM >ORGLA06G0115300.1 pep chromosome:AGI1.1:6:10326693:10327660:1 gene:ORGLA06G0115300 transcript:ORGLA06G0115300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTMVVLFVVAASLLLLSQDVAFAARELADASGEASKGGDKKDDISISIGVTVGATPVVTINTKPKHHGKTPSYGHSHP >ORGLA06G0115200.1 pep chromosome:AGI1.1:6:10318512:10319003:1 gene:ORGLA06G0115200 transcript:ORGLA06G0115200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWLVFFRGAGDNIFDAIAVAASDHPAALRSRRDAIAQRLYTAYRRRCLPRGAPPPRHRRRSCSTPRAPLASLASVAPTAPMSSPTTAASLAMRTPSPPRPSASRPSSSTTKKSQRRRCSSCSGVCSSWSSRWTP >ORGLA06G0115100.1 pep chromosome:AGI1.1:6:10308181:10312178:-1 gene:ORGLA06G0115100 transcript:ORGLA06G0115100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQILHMNPGQGETSYARNSTIQNTLFSKTSIISKKELHLQKTAQDRMKPLIEDAIKAFCGAALPKSMVIADLGCSSGPNALTLVSAMVNAIHRYCMEHKQPQPEMCIFLNDLPCNDFNTVAKSLGEFKHGQDSSSHHIIVTSMVPGSFYDRLFTSTSVHFFCSSISLHWLSEAPEELVKSKIPMYDSDDKLRLLNREIVDNAYARQFRKDFTLFLSLRAQELVLGGQLIFSLVGRCSSNHASKSTQVWKLLAVALNDMASRGMISKEKFDTFHIPIYAPLDKELDSIIEDEGSFRINKTMVYDAFHATDGMLPSPNIMASMTRAVFEPVIVQHFGFSGETMADFSSAVERLSSSSFLEAEFPLVCLCLSLTRAR >ORGLA06G0115000.1 pep chromosome:AGI1.1:6:10267431:10269318:-1 gene:ORGLA06G0115000 transcript:ORGLA06G0115000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQIVHMNPGQGETSYARNSTIQKTAQDRMKPLIEEAVTAFCGVSVPKSMAIADLGCSSGPNALTLISSTVDAIHRYCMECAQPPPEMCLFLNDLPSNDFNSVAKSLAEFKHSQDVSSHHVVVANMVPGSFYERLFTSDSVHFFCSSISLQWLSKAPEELAKRKIPMYDSDERLRLLNHEIVANAYARQFRKDFTLFLSLRARELVLGGRLIFSLIGRCSSNPASVSTQVWKVVSVALNDMASRVSSFYPF >ORGLA06G0114900.1 pep chromosome:AGI1.1:6:10230955:10231711:-1 gene:ORGLA06G0114900 transcript:ORGLA06G0114900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISKTQLKMASRSPVLLFALMVCLVIVSQGVLAARELVETTKVEVDGMKVELPEGRKGAAAVSGYGGGWSGTYGHYP >ORGLA06G0114800.1 pep chromosome:AGI1.1:6:10210513:10218775:-1 gene:ORGLA06G0114800 transcript:ORGLA06G0114800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSKQSVHMNPGQGETSYAQNSALQKTAQDRMKTLIEEAVTGLCTGSCPHPKNMVIADLGCSSGPNALTLVSAAADAIHRYCTQHEQLPPEMCVLLNDLPGNDFNTVAKSLDTLKHSGEEALAQPAVVITGMVPGSFYERLFARGSLHLVCSANSLHWLSEAPEDLKKSGIPMHDSDEQLRSSRHQIVADSYARQFRKDFMRFLSLRAQEIVPGGRMVVSLFVRRSDKPDTECTQPWTPVVTALSDMALRGVISKEKLDSFYIPLYCPMDSKVNKIIEEEGSFEINKMLMHDPYGGTGGKALLDLKMVALRVRAVFEPIIVQHFALSDEIMDDFVRAVERHLISSGALEARLSGQHSFAFLCLSLTRAM >ORGLA06G0114700.1 pep chromosome:AGI1.1:6:10199642:10201924:-1 gene:ORGLA06G0114700 transcript:ORGLA06G0114700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIMETKPVLCKAIEGVFASLSSPALAKIVIADLGCSSGPNTLLVVSGVIGMISTSGYPEKTELQFFLNDLPGNDFNYVFRSLQHLKQLADPKEGLLEPPYYIAGLPGSFYTRLFPCQSVHLFHSSYALMWRSKVPEELSSGVHLNEGNIYIGKATPSHVIKLFQKKFKEDFSLFLALRSEELVSGGHMVLTFLGRKSSQMMAHGDVGTMWELLAEALQILVQKGRMKEEDLTTFNLPYYAPSVDEVTELIEESGLFDVEHTGVFESSWDPHDDSKSNGDAVVDCARSADSITNCSIRAVIEPLITDHFGESIVDELFQVYVPVVAKHLEKGRAMYPVIVVSLKGRL >ORGLA06G0114600.1 pep chromosome:AGI1.1:6:10170752:10172977:-1 gene:ORGLA06G0114600 transcript:ORGLA06G0114600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIMETKPVLCKAIEGVFASLSSPAPAKIVIADLGCSSGPNTLLVVSGVIGMISTSGYPKMMELQFFLNDLPGNDFNYVFRSLQQLADRKEGLLEPPYYIAGLPGSFYTRLFPCQSVHLFHSSYALMWRSKVPEELSSGVHLNKGNIYIGKSTPSHVVKLFQKKFKEDFSLFLTLRQEELVSGGRMVLTFLGRKSSQMLAHGDVGTMWELLAQALQILVQKGHVNEEDLTTFNLPYYAPSVDEVTELIEESGLFDVEHTGVFESSWDPHDDSKSNGDAVADCARSADSIANCSIRAVIKPLITDHFGESIVDELFQVYVPLVAKHLEKGRAMYPVIVVSLKGRL >ORGLA06G0114500.1 pep chromosome:AGI1.1:6:10137882:10146144:-1 gene:ORGLA06G0114500 transcript:ORGLA06G0114500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSKQSVHMNPGQGETSYAQNSALQKTAQDRMKTLIEEAVTGLCTGSCPHPKNMVIADLGCSSGPNALTLVSAAADAIHRYCTQHEQLPPEMCVLLNDLPGNDFNTVAKSLDTLKHSGEEALAQPAVVITGMVPGSFYERLFARGSLHLVCSANSLHWLSEAPEDLKKSGIPMHDSDEQLRSSRHQIVADSYARQFRKDFMRFLSLRAQEIVPGGRMVVSLFVRRSDKPDTECTQPWTPVVTALSDMALRGVISKEKLDSFYIPLYCPMDSKVNKIIEEEGSFEINKMLMHDPYGGTGGKALLDLKMVALRVRAVFEPIIVQHFALSDEIMDDFVRAVERHLISSGALEARLSGQHSFAFLCLSLTRAM >ORGLA06G0114400.1 pep chromosome:AGI1.1:6:10127011:10129293:-1 gene:ORGLA06G0114400 transcript:ORGLA06G0114400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIMETKPVLCKAIEGVFASLSSPALAKIVIADLGCSSGPNTLLVVSGVIGMISTSGYPEKTELQFFLNDLPGNDFNYVFRSLQHLKQLADPKEGLLEPPYYIAGLPGSFYTRLFPCQSVHLFHSSYALMWRSKVPEELSSGVHLNEGNIYIGKATPSHVIKLFQKKFKEDFSLFLALRSEELVSGGHMVLTFLGRKSSQMMAHGDVGTMWELLAEALQILVQKGRMKEEDLTTFNLPYYAPSVDEVTELIEESGLFDVEHTGVFESSWDPHDDSKSNGDAVVDCARSADSITNCSIRAVIEPLITDHFGESIVDELFQVYVPVVAKHLEKGRAMYPVIVVSLKGRL >ORGLA06G0114300.1 pep chromosome:AGI1.1:6:10099649:10101874:1 gene:ORGLA06G0114300 transcript:ORGLA06G0114300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIMETKPVLCKAIEGVFASLSSPAPAKIVIADLGCSSGPNTLLVVSGVIGMISTSGYPKMMELQFFLNDLPGNDFNYVFRSLQQLADRKEGLLEPPYYIAGLPGSFYTRLFPCQSVHLFHSSYALMWRSKVPEELSSGVHLNKGNIYIGKSTPSHVVKLFQKKFKEDFSLFLTLRQEELVSGGRMVLTFLGRKSSQMLAHGDVGTMWELLAQALQILVQKGHVNEEDLTTFNLPYYAPSVDEVTELIEESGLFDVEHTGVFESSWDPHDDSKSNGDAVADCARSADSIANCSIRAVIKPLITDHFGESIVDELFQVYVPLVAKHLEKGRAMYPVIVVSLKGRL >ORGLA06G0114200.1 pep chromosome:AGI1.1:6:10054239:10057757:1 gene:ORGLA06G0114200 transcript:ORGLA06G0114200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQERRLYSLLGLLLLLAVVYLTWFPTTHDGVGGGWVKLPVPWLQPRMPFAARRGTHFVDADTGSPLYVNGWNSYWLLPARSTALAAEMLRRGRRMGLSVCRTWAFSDGGPGALQISPGRFSEAVFQVLDYVIYEARRNHIRLILCLVNNLDNLGGKAQYVQWAQAAGANVTNSTDSFYSHPTIKRYYKDYVKAILTRRNSYSGIRYSDEPAIFAWELMNEPRCVSNSSGPYLQAWIAEMAAYVKSLDTNHLVTVGTEGFYGPGIAERLGVNPGEWAASLCSDFIQNSAVEHIDFASVHAYPDSWLPRANLEEKVRYLSNWVDSHLNDSEQILKKPVLFTEVGYLQHSDANSNSTVDRDIILRIVYDKIYDSARKLQAGSGALIWQLMVEGTHMYGDNFSVVARDRPSTYSLITNQSCRLQRLYGEGDPGWQCSIPP >ORGLA06G0114100.1 pep chromosome:AGI1.1:6:10041242:10043218:1 gene:ORGLA06G0114100 transcript:ORGLA06G0114100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf3 [Source:UniProtKB/TrEMBL;Acc:I1QKU5] MPRSRINGNFIDKTFSIVANILLRIIPTTSGEKRAFTYYRDGMLAQSEGNYAEALQNYYEATRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYRGEQAILQGDSEIAEAWFDQAAEYWKQAIALTPGNYIEAQNWLKITKRFEFE >ORGLA06G0114000.1 pep chromosome:AGI1.1:6:10039300:10039905:1 gene:ORGLA06G0114000 transcript:ORGLA06G0114000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:I1Q1X7] MSRYRGPRFKKIRRLGALPGLTRKTPKSGSNLKKKFHSGKKEQYRIRLQEKQKLRFHYGLTERQLLRYVHIAGKAKSSTGQVLLQLLEMRLDNILFRLGMASTIPEARQLVNHRHILVNGRIVDIPSFRCKPRDIITTKDNQRSKRLVQNSIASSDPGKLPKHLTIDTLQYKGLVKKILDRKWVGLKINELLVVEYYSRQT >ORGLA06G0113900.1 pep chromosome:AGI1.1:6:10036246:10036725:1 gene:ORGLA06G0113900 transcript:ORGLA06G0113900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit C [Source:UniProtKB/TrEMBL;Acc:I1Q5K8] MQQGWLSNWLVKHEVVHRSLGFDHRGIETLQIKAEDWDSIAVILYVYGYNYLRSQCAYDVAPGGSLASVYHLTRIQYGIDNPEEVCIKVFAQKDNPRIPSVFWIWRSSDFQERESFDMVGISYDNHPRLKRILMPESWIGWPLRKDYITPNFYEIQDAH >ORGLA06G0113800.1 pep chromosome:AGI1.1:6:10035471:10036148:1 gene:ORGLA06G0113800 transcript:ORGLA06G0113800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit B [Source:UniProtKB/TrEMBL;Acc:I1Q5K7] MSLIEFPLLDQTSSNSVISTTLKDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDALTKLRKKISREIVEDRTLSQKKNRCFTTSHKLYVRRSTNTGTYEQELLYQSPSTLDISSETFFKSKSPVSSYKLVN >ORGLA06G0113700.1 pep chromosome:AGI1.1:6:10035056:10035418:1 gene:ORGLA06G0113700 transcript:ORGLA06G0113700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase subunit A [Source:UniProtKB/TrEMBL;Acc:I1QKU8] MFLLHEYDIFWAFLIIASLIPILAFWISALLAPVREGPEKLSSYESGIEPMGGAWLQFRIRYYMFALVFVVFDVETVFLYPWAMSFDVLGISVFIEAFIFVLILVVGLVYAWRKGALEWS >ORGLA06G0113600.1 pep chromosome:AGI1.1:6:10032072:10033312:1 gene:ORGLA06G0113600 transcript:ORGLA06G0113600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNLEESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGNGQIGVLPNHAPINTAVDMGPLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQQALEIAEANVSRAEGTKELVEAKVALRRARIRVEAVNWIPPSN >ORGLA06G0113500.1 pep chromosome:AGI1.1:6:10029174:10030628:-1 gene:ORGLA06G0113500 transcript:ORGLA06G0113500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCREGRMSPQTETKASVGFKAGVKDYKLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVVGEDNQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPPTYSKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRACYECLRGGLDFTKDDENVNSQPFMRWRDRFVFCAEAIYKSQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHAGTVVGKLEGEREMTLGFVDLLRDDFIEKDRARGIFFTQDWVSMPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNEGRDLAREGNEIIRSACKWSPELAAACEIWKAIKFEFEPVDKLDS >ORGLA06G0113400.1 pep chromosome:AGI1.1:6:10028344:10028745:-1 gene:ORGLA06G0113400 transcript:ORGLA06G0113400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDRVWKLDPFLRLSFKKEDILKLLTGTIVSQQVTRNARKKRELIFKMVELDDEVFYNLDEEVVLVYDSDQEREVFHFRLDCYRIWTHRRDVQKAPDDKNHTFAELHGAMGFNAVDVLFRIFLEQTSDPTIQ >ORGLA06G0113300.1 pep chromosome:AGI1.1:6:10027898:10028149:-1 gene:ORGLA06G0113300 transcript:ORGLA06G0113300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSLRGSMRSVVGKRICPLIEYAIFPPLPRIIVYASRRARMQRGNYSLIKKPKKFQLYGNINQLKVLCINPYSGFVGSESG >ORGLA06G0113200.1 pep chromosome:AGI1.1:6:10026458:10027015:-1 gene:ORGLA06G0113200 transcript:ORGLA06G0113200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf4 [Source:UniProtKB/TrEMBL;Acc:I1Q1W9] MNWRSEHIWIELLKGSRKRGNFFWACILFLGSLGFLAVGASSYLGKNIISVLPSQQILFFPQGVVMSFYGIAGLFISAYLWCTILWNVGSGYDRFDRKEGVVCIFRWGFPGIKRRVFLRFLMRDIQSIRIQVKEGLFPRRILYMEIRGQGAIPLTRTDEKFFTPREIEQKAAELAYFLRIPMEVF >ORGLA06G0113100.1 pep chromosome:AGI1.1:6:10020199:10023720:-1 gene:ORGLA06G0113100 transcript:ORGLA06G0113100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRHERIKKGWSGSAAVWLLLVPLFVLIVLKTDFLPQVARLGDTSFTKVADEMVQKVSSLGLDRARWQQQQTLDVAKLEDSVVGTSDELTGHVDANNEDSNQPNQQILAMSRSKDSRLINSDVAAAKTSHLSCNFSSAHMDTCAMDGDIRIHGRSGVVYVVASSDYRPENATAVIRPYPRKWEQATMERVRQITIRSTAPPGAAVADTDGGGAIIPLRCTVARDMPAVVFSTGGYSVNFFHTMNDILLPLYITAREHGGRVQLLAANYDRRWTAKYQHALAALSMYPVVDLDADAAVRCFPSARVGVESHRVLGIDTPLTGSNGYTMVGFLAFLRSAYSLPRHAVTRTTPRRPRVVMVLRRKSRALTNEAEVVAAVAEAGFEVVAAGPEEAGDVAGFAATVNSCDVMVGVHGAGLTNMVFLPRNGTVVQIIPWGGMKWPCWYDYGEPVPAMGLRYVEYEVAANETTLRERYPMDHPVFADPVSIHRKGFNHLWSTFLNGQNLTLDVNRFKAVMAEVYTSITAAPV >ORGLA06G0113000.1 pep chromosome:AGI1.1:6:10011930:10015611:-1 gene:ORGLA06G0113000 transcript:ORGLA06G0113000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLHLLPLLLLALLSPAGAASGEVHASAVVAEKGLDFAKGVLIGEAVRSLTPLRLPGVEKAVRVPFLGAIRVAATNITLFHLDVGDDSAIHPGDSALVVVASGVSANLSMAWSYYYDSWLFPIEISDRGTASILVQGMEVGITMQIKNCNGSLSLSVLQCGCNVKDLVISLDGGASWFYQGLINAFEDHIRAAVEKAIPENIIDGTSKLDSLLQSLPRSVNLDNISALNMTFVNDPQYGNSSIEFDINGLFSSATAKLSNSQKHPQLSLSCGGASKMLLLSLDEAVFNSALEVYFKAGSMHWVVDKIPDQSLLNTASWKFIIPRLYWSYPNDDMLLNISMASPPVMRIASEKIGATINADMIIDVLHDKETIPVACISVVVSASGVAEAAGNKLYGKVELENFSLALKWSKIGNFHMSLIQGVIRVFLNTVCMPYLNSRLGHGVILPVVHGFTLKDIYVLTSPEQLTLCSDVAFANASSLATLPILRSPRVL >ORGLA06G0112900.1 pep chromosome:AGI1.1:6:9998145:10005538:-1 gene:ORGLA06G0112900 transcript:ORGLA06G0112900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRERKRRRRGEMGRVAEMVMVLAAAGQARGGGAPTAAERALAAVARERLLEAAELLARPRELFPREAVRALVEDLGLARGRDPSAMGYRPRRASIAERILLTKRKMEEIKEAPVYPTTNVSQTTATRATTVFQHGASKPTIGLPMNISAVASFPVTTPPTIPSPNILKQTQLNESPSGVKAAGTSSIVSVPSVGPTNIKVEKGVNSPTCTQNGATIGQANKSAHLTATMSNPNIVRSSSHEGTPQHEKAPVIRPITVKNGMTHQSRPGVSFIQRQSTFPNHSAIAKTVQQVLHQPVNHPNWIPPSTEYMRSGLGCQVCKVFIIDIHSMIICDACERGIHLKCLQHDGVNVLPPKAEWYCPTCVARSKGKPLPPKYGKVTRTVVAPKVNLISGVPSQGVSENRTTKDNNQELAADGTVIDKNSSEANRIVHNSDKLALESSKEQSQSGSASAAVDKGRGKPQGVGTMENNAISERGNVHELTSNGDLSTKNEIVIGDAKDKTIVCSTDHSIVGWVGDPLRVVENKTYYYSCNIDGIAYNLDDHILVASKDKESAPSKLQSLWEEHDSRSKMALVSPYFFASDIPELISKPCTAEENEVFASCNQRTVTVSAICGQCEVLHVDKFREETKGSQVVSSRLHPIFLCRWKYDESTSSFSSVNN >ORGLA06G0112800.1 pep chromosome:AGI1.1:6:9992121:9993385:-1 gene:ORGLA06G0112800 transcript:ORGLA06G0112800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q5P3] CNCPSIXVEQCVTSIPEDHEATCWGCGLRLIFASYAPVFKCGWCGAITQSNQISRKPDSVCFSHWRNFRDRFFVTVLILFMLFVICGGVWAIYPVVFSISTFCGIFHCILTGLLAVFTITSYCLASFKSAGAPADMRWGSYPMVGKNDLENYTFCTYCSKPKPPRAHHCRSCKMCVLDMDHHCPFIGNCVGASNHHAFVIFLISVVISCSYAAGMTIYSSYRIWPPLDFENLASTRRSMGYIKMLIEIIGTLASSAFFLSARGFVTVYLAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLSSPNVMHGERGLQNLIRFFGCPYSVSRVLSGYSNTGKLQDNSSSKLL >ORGLA06G0112700.1 pep chromosome:AGI1.1:6:9984587:9988521:1 gene:ORGLA06G0112700 transcript:ORGLA06G0112700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAEPAKGLLPYLQRADELQKHEPLVAYYCRLYAMEKGMRIPQKERTKTTNSLLISLMNQLEKDKKSLTLGSDDHLHVEGFALNVFAKADKQDRAGRADINTAKTFYAASIFFEILNQFGELQTDVEQKQKYAIWKAAEIRKALKEGRRPEAGPPGGDKDEAPDSTTTNSHLTDMGRSQSFGSGQHGNEASSQHVDQDFSRRDSFSAVQPGNNALRHSTEKFNDHVSAQSPYSPPPPQSQTPPQSQFSSPAQSSYSSPSYQGTDYPSSDVHKPPHGYSSAPYTSTDYPTNEVHKPPSNYSSPPYTRTDYPSSDSYNPQSNDKPDIPTYPHTYHQPPYTIEPQHTSQNYYSTETPAAPYNYSNFQSYPSFQDSSVPSVPTHQSSFYPASDGTSAVSYSPSGSNHPAPTQYHPSADTTTHQVTPPAAAPPASQYKYDSSYQPEVEKIAEAHKAARFAVGALAFDDVSVAVDHLKRALDLLTNPSAETH >ORGLA06G0112600.1 pep chromosome:AGI1.1:6:9972609:9975754:-1 gene:ORGLA06G0112600 transcript:ORGLA06G0112600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDITCGSLLQKLQLIWDEVGESDEDRDKVLYQLDQECLDVYKRKVDQATDSRDLLIQALDDSKIELARLLSALGEKAIARTPEKTSGTIKQQLAAIAPTLEKLNKQKNERVREFVNVQSQIDQICGEIAGTTEVGEKVATPQVNEDDLTLERLQEFRSQLQELEKEKSNRLEKVLDYVSMIHNLCTVLGMDFLSTVTEVHPSLDDSIGDNCKSISNDTLSKLDKTVATLNEDKKSRLSKLQELAGQLYDLWDLMDAPMQERSMFDHVTCNRSASVDKVTAPGALALDLIEQAEVEVQRLDQLKYSKMKEIAFKKQTELEDIYAGAHMVIDTAAAHEKILALIEAGNIEPSELIADMESQISKAKEEALSRKEILDKVERWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVETLVAKTRAWEESRGLSFMYDGVPLLAMLDEYVMLRQEREEDKKRMREQKRYIEQQLNTDHEGPFGSRVSPNRPVSAKKVPGAKSNGSANGTPPNRRLSVSGHQNGRSGGKDGKRDSAKTASPGNVAAAKEDASSHISGTDPVPSTP >ORGLA06G0112500.1 pep chromosome:AGI1.1:6:9968554:9970231:1 gene:ORGLA06G0112500 transcript:ORGLA06G0112500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:I1Q711] AGAYRCVSELWRRKQSGVMTFVQRVRCWDHRRQPAIGRPTLPDKARRLGDKVKQVPCPHRFLPMAAAAATRPLLRPTCSVARFNTRRLLSSTSSPPPPNRSSNTNSPVAFDWSDDDDNPSPPPMEAKSPNLPPPYDPFSKKPAVMEPSDPTNLQEVFHRMRTEGLTDYAIKMFDGLSKDGLTHEALELFAIIKDKGAMPDVVAHTAVLEAYANAGPAHWCDVVRTYDRMLASGVTPNAYTLAVLVKGLAASDRFTEAGKYIVEMLDHGMRPNAATYLAVFEAYVRMEKVEEGRVLLETMKSKGFTPSEEAVRSGTVKRGHVFRGIMNMLFDK >ORGLA06G0112400.1 pep chromosome:AGI1.1:6:9961780:9962868:1 gene:ORGLA06G0112400 transcript:ORGLA06G0112400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHGHRRRVALPCAVRLRLCLLEAAIDATQRRDGAINRPLFSLYDRRAPADPRPDAAGVSSTDVTVDASRGLWARVFTPPAPEHEHSSSSSTTTPRPVIVYFHGGGFAMFSAASRPFDTHCRTLCAGVGAVVVSVDYRLAPEHRFPAAYDDGEAVLRYLATTGLRDEHGVPMDLSACFLAGDSAGGNIAHHVAQRWTTTTTTPATPPPPSDNPVHLAGVILLEPYFGGEERTKAERALEGVAPVVNIRRSDRWWRAFLPEGADRNHPAAHVTGDAGPEPELQEAFPPAMVVVGGLDPLQDWDRRYAGMLRQKGKAVRVVEFPEAIHAFYFFPEFAGDIRKLVGEIRAFVEESIMSKXSIA >ORGLA06G0112300.1 pep chromosome:AGI1.1:6:9950418:9953663:1 gene:ORGLA06G0112300 transcript:ORGLA06G0112300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITAAAAAPLPSPLRSGRGRRGAARRAGRYPDCENHRFSQSLCCRRSSLPSYYSIVLAVNLNNFAVVPARSFEPEAACSTSSASSDPNRASVPLVHRHGPCAPSAASGGKPSLAERLRRDRARANYIVTKATGGRTAATALSDSAGGGTSIPTFLGDSVDSLEYVVTLGIGTPAVQQTVLIDTGSDLSWVQCKPCGAGECYAQKDPLFDPSSSSSYASVPCDSDACRKLAAGAYGHGCTGVSGGAAALCEYGIEYGNRATTTGVYSTETLTLKPGVLVADFGFGCGDHQHGPYEKFDGLLGLGGAPESLVSQTSSQFGGPFSYCLPPTSGGAGFLTLGAPPNSSSSTAASGFSFTPMRRLPSVPTFYIVTLTGISVGGAPLAIPPSAFSSGMVIDSGTVITGLPATAYAALRSAFRSAMSEYRLLPPSNGGVLDTCYDFTGHANVTVPTISLTFSGGATIDLAAPAGVLVDGCLAFAGAGTDNAIGIIGNVNQRTFEVLYDSGKGTVGFRAGAC >ORGLA06G0112200.1 pep chromosome:AGI1.1:6:9944863:9946490:1 gene:ORGLA06G0112200 transcript:ORGLA06G0112200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVALLLLCVFVSVVGVVRAFAAQGIDALPTMAYEPAGAAKKDSVGGACTVRGVSHREEEDEKIKERTYSQRQRLTGAVADLLDSSRESIHNMQLPCCFTSFVFSLVCKAASSSPNSQLQLPTVKLQTLSRTPYDSGD >ORGLA06G0112100.1 pep chromosome:AGI1.1:6:9941039:9942131:1 gene:ORGLA06G0112100 transcript:ORGLA06G0112100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q1V8] MAIARCCVALLLLVVLVAAGSAAAAADQLRVDYYRETCPNVEAIVRDEMEKIIGAAPSLAGPLLRLHFHDCFVRGCDASVLLSSTAGNVAERDAKPNKSLRGFGSVERVKARLEAACPGTVSCADVLTLMARDAVVLARGPTWPVALGRRDGRVSAAGEAAASLPPADGDIATLLRIFAANDLDIKDLAVLSGAHTLGTAHCPSYAGRLYNFTGKNDADPSLDGEYAGRLRARCASATDESGMISEMDPGSYKTFDTSYYRHVAKRRGLFSSDASLLTDATTRDYVRRIATGKFDAEFFSDFGESMTKMGNVQVLTGEEGEIRKKCYVINS >ORGLA06G0112000.1 pep chromosome:AGI1.1:6:9934656:9938648:1 gene:ORGLA06G0112000 transcript:ORGLA06G0112000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHPPLVVCLLVLISCLLSGGVLAGSRRRYLTASLDELRGYNGHQVHSPPLTSPAATSGRKLSIRNSAAEKPAARDIHVRDRARLRTILQRSSSASAAASLAPYASPPTAMPPIPAVSVAPAPAPAVTIPDRSGTYLDTLEFVVAVGLGTPAQPSALIFDTGSDLSWVQCQPCGSSGHCHPQQDPLFDPSKSSTYAAVHCGEPQCAAAGDLCSEDNTTCLYLVRYGDGSSTTGVLSRDTLALTSSRALTGFPFGCGTRNLGDFGRVDGLLGLGRGELSLPTQAAASFGAVFSYCLPSSNSTTGYLTIGATPATDTGAAQYTAMLRKPQFPSFYFVELVSIDIGGYVLPVPPAVFTRGGTLLDSGTVLTYLPAQAYALLRDRFRLTMEQYTPAPPNDVLDACYDFAGESEVVVPAVSFRFGDGAVFELDFFGVMIFLDENVGCLAFAAMDTGGLPLSIIGNTQQRSAEVIYDVAAEKIGFVPASC >ORGLA06G0111900.1 pep chromosome:AGI1.1:6:9930835:9931257:-1 gene:ORGLA06G0111900 transcript:ORGLA06G0111900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QWRKAARRSRPAAAPAGGRVSRSLGESELPPPVPMDQDARPGQAAAAEPMEGEAEGAAAAARTMEGEAGYAAANADPMEDEAADEAGAVEPMEDDPPTSSPARSAPSATVAVDDSTNARKRRRRKKQFPGMIPTAGVHVLR >ORGLA06G0111800.1 pep chromosome:AGI1.1:6:9920469:9922563:1 gene:ORGLA06G0111800 transcript:ORGLA06G0111800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIASSIFLCLLLLLPHLGDSYHTSRYTRGSTHFVVRRSDDLPRASAPPVSCSPIPSGASNGKKLPVLHRLNPCSPLNAGGKQSTTSSVDVSHLAGRRLRSLFAAVQSGDDAAPAPAPAASGGVAIPTTGTPEPGAPGFHDYTVVVGYGTPAQQLAMAFDTGLGISLARCAACRPGAPCDGLAFDPSRSSTFAPVPCGSPDCRSGCSSGSTPSCPLTSFPFLSGAVAQDVLTLTPSASVDDFTFGCVEGSRGELLGAAGLLDLSRDSRSVASRLAAGAGGTFSYCLPLSTTSSHGFLAIGEADVPHNRSARLTAVAPLVYDPAFPNHYVVDLAGVSLGGRDIPIPPHAATASAAMVLDTALPYTYMKPSLYAPFRDAFRRAMARYPRAPAMGDLDTCYNFTGVRHEVLIPLVHLTFRGISGGAGGEGQVLGLGADQMFYMSEPGNFFSVTCLAFAALPSDGDAEAPLAMVMGTLAQSSMEVVHDVPGGKIGFIPGSC >ORGLA06G0111700.1 pep chromosome:AGI1.1:6:9911758:9913047:1 gene:ORGLA06G0111700 transcript:ORGLA06G0111700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRRGGARSDENRGQPTADEVFDRDAVRLRSLFAVPRQLGGVEAGGGAPAPALAAAAGGGVTVTPMVAPISVAPGALEYRVLAGYGAPAQRFPVAFDTNFGVSVLRCKPCVGGAPCDVAFDPSRSSSFAAIPCGSPECAVECTGASCPFTIQFGNVTVANGTLVRDTLTLSPSATFAGFTFGCIEVGADADTFDGAVGLIDLSRSSHSLASRVISNGATTTTTAAFSYCLPSSSSTSSRGFLSIGASRPEYSGGDIKYAPMSSNPNHPNSYFVNLVGISVGGEDLPVPPAVFAAHGTLLEAATEFTFLAPAAYAALRDAFRNDMAPYPVAPPFRVLDTCYNLTGLSSVAVPTVALRFAGGTELELDVRQTMYFADPSSVFSSVACLAFAAAPLPAFPVSVIGTLAQRSTEVVYDVRGGRVGFIPGRC >ORGLA06G0111600.1 pep chromosome:AGI1.1:6:9896234:9905362:1 gene:ORGLA06G0111600 transcript:ORGLA06G0111600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAEDEAIGPDVASAGLHVSERIGRDAAAQPDLEEALEASRYASHPYSSHPKEWPPLVEVAETRQLPPMLVERYNAAAGEGTALCGIFSEIHRAWATVDNSFFIWRFDKWDGQCQEHNADEQVICAVGLARAKPGVFVAAIQYLLVLATPVELILVGVCCSASGDGTDPYAELSLQPLPEYIISTDGVTMTCITCTDKGQIFLAGRDGHIYELQYTTGSGWRKRCRKVCLTTGLGSLLSRWVLPNAFKFSAVDPIVDMVIDEERNTIYARTEGMKMQLFDLGATGDGPLRKITEEKNLVDPRDAPYGSRRPNAQRAARSPKPSIVCIAPLSAMESKWLHAVAVLSDGKRLFLSTSGGSSSVGLNTGLQRPSCLKIVATRPSPPLGVGGGLTFGAVSAAGRAQPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFGTASRSSRALRETVSALPVEGRMLCASDVFPLPDAAFIMQSLYADVECFASFGKPSEKSSIKLWAKGDLPTQHILPRRRIVVFNTMGLMEVVFNRPVDILRKLFDGNTLRSQLEEFFSRFGAGEAAAMCLMLAAKLLYAEDSLISNAVSEKAAEAFEDPGLVGMPQIDGSTALSNTRTQAGGFSMGQVVQEAQPIFSGAYEGLCLCSSRLLYPIWELPIMVVQGLVGSNDRGDGVVVCRLSTGAMKVLESKIRSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKAGPIIGSGGHSSGKSPYSSRIRDADPTDQSASNKKQRLPYTSAELAAMEVRAIECLRRLLRRSGEALFLLQLICQHNVARLVQTLGNDLRKKLVQLTFHQLVCSEDGDQLAMRLISALMEYYIGPEGRGTVDEISTKLREGCPSYFNESDYKYYLAVECLERASMTNNHDEKDILARDAFNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQALDSNADVINGQIDARHHDTITAQREQCYKIVMNALRTLKGVGQSGTQGADKSSASVTALDPASRGKYIRQIIQLSVQWPDTVFHEHLYRTLIELGLENELLEYGGSDLVAFLQSAGRKHHEEVQGLSVVASKASQLTDLDAPISTSQTKYLELLARYYVLKGEHIAAARMLLILAERQCSSAEEAPTLDQRYQYLSSAAIQAKSAGITADSSRNPIDSSTIDLLEGKLAVLRFQMQIKQELEFMASQLENLSGSSESPNDPFPRDNILADAETARFAMDKAKELSLNLKSITQLYNDYAVPFNLWEVCLEMLNFANYSGDADSKIVREIWARLLDQALTRGGVAEACSVVRRVGSKLDPADGACLPLDIICLHLEKAALDRVSSGEELVGDEDVARALLGACKGLPEPVLAVYDQLLSNGAIVPSLNLKLRLLRSVLAILREWGMTVIAHKLGTTTAGASFFLDGTFSLNQTWSLNQGVRDKISSLANRYMAEVRRLSLPKNQTENVYRGFQELEEKLLSN >ORGLA06G0111500.1 pep chromosome:AGI1.1:6:9873468:9877289:1 gene:ORGLA06G0111500 transcript:ORGLA06G0111500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLVFAGKAVATPVISYMLNKAFTYLVNYWRTEDMESVKAELLKMLPHVQAVFDAVDWDNIKEQSAALDAWLWQLRDAVEEAEDSLDELDYHRLKEEVKARDEQEASGSVSKLKGKLIRKLTKHVPKNGMFKRLKESVEGLHKAIAGVKDFIGFVNKVGVVNHFMDYELKMKGRQFQTSSRSTAVEVFGLEKEKDIMIKWLTEPTGNDPADTNLRIFTIVGHGGFGKTTLAQLIYNEKKVQGCFDICIWVSVSSPFDALTITEGIIEAISKEAPPANTLEALHAILEDRLISKRFLLILDNVWNDNDMDGWEKLLAPLRIGGAGSTILLTTRLKSVGDMAGYALGLKVRHLKLDGLLEKDVLMLFNKHAFSGLNIDCCKNFHSLGEQFVKKISGCPLAAKVLGAHLRDNMKYMYWKKILQEDLQNLQLGMDGVIKVLSLSYHHLPSNLQMCFRYCSIFPQGYRFGKKELVEMWLGSGMILQTTDETKALEDIGGGCLDELARKSFFEFTSEKKEGVVLEEYYAMHDVLHDLAQAVSSGECLRVGVIRSMKIAKSVCHLSVRLVNSVHLKELCHLNNLRSLVIEFVGDDSSTNYSIAFDEILKSFKSLRLLSITAKCWFDMPDAVSKLVHLRYISLFSTKRSFLMSVHKLFTLYHLDTLKIMEYSEGKTLKLNGMSNLVSLRNLHVPYGTISSIPRIGKLTCLEYLDGFSVQKKVGYTVSELKNLAQLRHLCLREIQNVDLREVLDANLKEKKQMRTLSLHWSSHEVVAENTDDLVLDNFQPHSDLEEFYIIGFSGTKLPFWITKSYLVNIVLLKIINCCKIEHLPSLANLFSLKNLYLQDLSVLASMGCMHHECDKIPIGCSHSFQKCPSLVDFSGGVDDIESKEISFPPYLSTLTIRGCPQLMKLPTLPSMLKQLKIQKSGLMILPKMYKNYNYIEGSLAPPNESQLTNVLIEYCPNLKSLLHCFLGQDVTLTSLRELHVNQCEKLEHLPPNGFMGLVNLQILEVSDCSMLKKSGMEVKLLPSSLEQLSIKSCGELENILIDSLAGLESLTLLELANCNHLISLPSVETFETLTAFRVLGLYDCPELSSLDGLQCLTSLSKLTIRRCCSLTKISSLQPPSQCWSSQDDSTDNSLKLEALFIDDHSLLFVEPLQSVRFTRRLSLLGDPIMTSLPEQWILQNRTTLSSLWLWNVKSLQCLPSSMKDLCHLQSFTLFNAPLINSLPDMPASLKYLSIGCCQTTLAERCRKGGCDWSKIAHVTLVKINGNEPL >ORGLA06G0111400.1 pep chromosome:AGI1.1:6:9853194:9859423:-1 gene:ORGLA06G0111400 transcript:ORGLA06G0111400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLPLLLACSLLFTIATPIRDITDVCASQISDFQHLNSSGLHLTLHHPQSPCSPAPLPSDLPFSAVVTHDDARIAHLASRLANNHPTSSSSSSLLHGHRKKKAAAGIAGSQASSSSVPLTPGASVAVGNYVTRLGLGTPAIPYVMVVDTGSSLTWLQCSPCSVSCHRQAGPVFDPRASGTYAAVQCSSSECGELQAATLNPSACSASNVCIYQASYGDSSYSVGYLSKDTVSFGSGSFPGFYYGCGQDNEGLFGRSAGLIGLAKNKLSLLYQLAPSLGYAFSYCLPTSSAAAGYLSIGSYNPGQYSYTPMVTSSLDASLYFVTLSGISVAGAPLAVSPSEYGSLPTIIDSGTVITRLPPNVYTALSRAVAAAMAGAAPRAPTYSILDTCFRGSAAGLRVPRVDMAFAGGATLALSPGNVLIDVDDSTTCLAFAPTGGTAIIGNTQQQTFSVVYDVAQSRIGFAAGGCS >ORGLA06G0111300.1 pep chromosome:AGI1.1:6:9848922:9850370:1 gene:ORGLA06G0111300 transcript:ORGLA06G0111300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPCLLRRRPLRSAAAAALVHPSGSWMRPRGAGSSPRPPGASPLFEPPRVVSERWMDGRGWWFRFRQPVRHGSTAVTLDTGGGFARFSVGGDAGTKQGAGRKGQPPAKAAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPELVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCRPGQVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNRGETLETISSEETNVDDLTSSSDTE >ORGLA06G0111200.1 pep chromosome:AGI1.1:6:9845418:9845946:-1 gene:ORGLA06G0111200 transcript:ORGLA06G0111200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRQDAAAVHGGGAARARRGGPQQGRDRTRRGPGRRRRGRTRRGGPRRRRSPTVARWRSSAVAVARRRSLAAAAQRGRPWRRRDRTRRGGLAGRSEEVHGGGGAAGRGEEVHGGGGMAGCGEDVHGGAAIAGCGAGAVDAGEERSVGAGKEGEERPA >ORGLA06G0111100.1 pep chromosome:AGI1.1:6:9842252:9843556:1 gene:ORGLA06G0111100 transcript:ORGLA06G0111100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCSWLCSLVILQAVCSACWQVAGANSLRLAVGQIGGVFVYLQDPSLMSRRARDELVDGSCSGDEDLADHGAWPQPGGGAGGDHGGVPRGGEAGEAEADVDVDGDGGSAGDVECQVCHKRFKNDKSMFGHLRSHPNRGYKGATPPLKMSSTPSSSSPVIPAGSPPPQPPPSSSSSLRPVGDSNSSMPTPGISLTTYEKLAACVMLTLRRRYDRDQRQLQAPPKLERAGAGDQLATNMVEGAEGSSRAIVGDEHEHEARRRKKGKRKLKEPREEERKVKKEKKRHPYMCKHCNEEFSTHQALGGHMAGHHKEKRILLKEKQRERSLVLEKEPERSHHLMEEKHPERGLILEKKQLERSSIVLKEKQPDKNLILEEEQPEVVYQDKIDQTMNWQKTERNEGASYLGGGSNTAPIAQEDSRPPFGFDLNVEAPEQE >ORGLA06G0111000.1 pep chromosome:AGI1.1:6:9815566:9817870:1 gene:ORGLA06G0111000 transcript:ORGLA06G0111000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNLVFLFFLLSPPILSDGVLQVMGTSMNRRSLLQAKGACPVSFENQNYTTITSKCKSPWPADLCCPALNEFACNFSQYINDESTNCADSMFIYLNAHGNYPAGLFSNECAVLDCNGSNSTIGTNQTANGSGARGAKDISEMYSLVTTLIVSGLAVLLFY >ORGLA06G0110900.1 pep chromosome:AGI1.1:6:9806902:9814534:1 gene:ORGLA06G0110900 transcript:ORGLA06G0110900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGAERRSKKEKGKRQEEKAESGSRGDGEAVKLDLATDSLSNSKGKVAMDVEEAKEMPRRKKRKEKEKEKGHGKEKKNKVIADNIEEACAEAEQAEASGLKVEQHCSEHVQGDMSKCGSEEDKSIKKDNKLMMKKKKKKERKVEMAEEGQILAGSTDENAGLLHAEMGRGEKEQGKKSKKSKRKHEDGEPVADGSAGDEIMTNRDKKRRRKEQSVELKEGDQVNISKKAVKIKGNKKRKNESDKFNPDLSTDALTGEDKVGGDGKNDKRKKKNDTSTRRNEVGRDDKNDKKKKKSKEGNGGRKGEKEKAAQSKDKVRRVSFSDAVEVFSINDGEDEDNGKSAESEVVHGKRFTPEENATLMEAIMSYIEMKQLGENGLEMIRACSKHPELKGCWAEIGKSLPHRPLTAIYKRARILLYRSDERKWTPEEYEKIRRHVEKNGTSWISLAQELGKSEIHLKDTWRRIKPKNLKSVPELPEPPPVDDANRPQLLKPPVQHATTPRRIGLTDQGCRGEAATVQGQRLLSWRAKVVRQSTANEKGWSKLVVVPEASSGCPFPGQWTQDEYQNLFDLVNLDLRVKAHQEYDAGNRKLRDNIAWEAISDKLTTRNHKNCCLKWYYQLASPLVQKGIWADTDDYRLVEALQNVDAVCVEDIDWDNLLDHRSGEVCRQRWNEMVRYLGGHKEKPFIEQVEVLSKRYCPEMVDYREGEA >ORGLA06G0110800.1 pep chromosome:AGI1.1:6:9804338:9804787:-1 gene:ORGLA06G0110800 transcript:ORGLA06G0110800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQIASSVRLRASFIHWRRSGALFRWCWWGYSVSAASAVTASALLASPVPRFQNPNLQAPAAHYTSTPLTSCYTLPAACNGTTSPVLSAPPGGRTSLPCAAISSLRALSRRETWTPYLLAVATNSSIGNSAPAIYAFVALPAGLRCGA >ORGLA06G0110700.1 pep chromosome:AGI1.1:6:9748160:9748354:1 gene:ORGLA06G0110700 transcript:ORGLA06G0110700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMEVLCAYGMRSRIWKESKFGTIGYVKFVSCTRGFPKVFRTLSMSLVRGFRMPTSCINRGGA >ORGLA06G0110600.1 pep chromosome:AGI1.1:6:9729212:9738824:1 gene:ORGLA06G0110600 transcript:ORGLA06G0110600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGARLAAAGGAARAAGHGLVAAAPVRRGRSRAEEEFNPGIGTGHGRPRMARRCQRLGGACFWGARXLPSEEEEEASWRDEPLMVAPDRLDALGPYVTGGTAGNDAPRIDPPAKDVSSLPRCSALVAVAEKEIGGSSGANMAVAVTKPSPTAMEYWQKIFPETPMPPAILDLLTPLPTVNMPINFLYQAEYKNNNAIELYYIDFIVCPLAVWNIVCKERMRTSEKSQCHMTQTXNXAICSQQAGLKEVSVTYGSDGEEEPRKTFSQVGYMLDKERKKPSDVNEDGLKEVSVSYGNNGEEETSKTFAMGGFMVDKECEMSLQGEKATEGLKEVSVSYGSEGKEEPRKAFPMGRYMLDKEREMTSRTYKDGLREVSVSYGSKGEVVTRKAFPMERYVLDKEPKRNLHRNKDELREVSVLYGSKGKLKNLFPTGYGHKKHKYANEELKEVSVSYGSNDEEKPRKAFLRGGLFLGNEYEKSLHIDKEDLKEVSVSYGSNVKLSNLFPTGYAHRKYMLTSGAGLKEVSVTYGSDGEEEPRKTFSKVGYMLDKECKKSSDVDEGGLKEVSVSYGSNGEEETSKTTPMGGYMVDMKNEKSLQAEKDGLKEVSVSYGSNGEEETSKTFAMGGYMVDKEREMSLQGQKVAEGLKEVSVSYGSNDEEETRKIIPMKGYMEDREHEKSLQAEKEELKEVSVSYGHEVKLSNLFPTRFGHKNYQHTFEGMDHGRHVHARGNKMQQLADVFFFRDALRPGSVITPTIPPTTSLPAFLPRHVADAIPFSADRFADVLAMFAPASLAMAREIRWALDTCGQRAAALLPGEKAGCATSLESLADLAASLLGTRDVRAFSAADLPTDAATTPARRGRYNVTSVRELSAMAGSGSSSSSEPAPAAVVACHDLTYPYAVFYCHSTKPTAVYAVTLVAATTGDGDGEGEAASPAKMEALAVCHLDTSRWRADNPFFVAHGVKPGEVSVCHFLTKLSIVWVPRHEQGGPRAAA >ORGLA06G0110500.1 pep chromosome:AGI1.1:6:9723366:9724057:1 gene:ORGLA06G0110500 transcript:ORGLA06G0110500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEEDEDKIAEMVAAGQRQWAHKSVEAFKHLRSVLAIDGTFLTGKFRGVLLTKIGTLSDRP >ORGLA06G0110400.1 pep chromosome:AGI1.1:6:9717684:9718646:1 gene:ORGLA06G0110400 transcript:ORGLA06G0110400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDARGDGWREQPRRRRRQAKKAGAVVAGERGGGGRERRQRRAKQAGAAGEAGKGGRGERRRPRVPTVVLRVRLVVLCGCAAGRVVEAAARRGGLVHVAEQRGRRAEEGAATAEVAEGGAWRRWASSAGLHRQPSRHRPRRRPSHPHPRRAPRTAGSPCQLHPLRVPVRILALSVSDPDVPLSPAVDESYTLSVDKSYTLSPPCSSARPPSCSFALPAAVLVRSLAGRARLLPLGCRADPPPRSLAARPSLLRPLRRQRLRGRFLPLPAATALPESMELGDDALSELQAAELASLLLLLQVQLLLSWVSSCSCSICVTS >ORGLA06G0110300.1 pep chromosome:AGI1.1:6:9716148:9716357:-1 gene:ORGLA06G0110300 transcript:ORGLA06G0110300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAVVATVPGGGVGGAGCSSDDRPSSTGTVVGGGDEGNGGSGGLLSLVDFCKKELRACLEEGGEATL >ORGLA06G0110200.1 pep chromosome:AGI1.1:6:9702747:9711936:-1 gene:ORGLA06G0110200 transcript:ORGLA06G0110200.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVMVVGVGEGRKLLSAKKMTMMVPDRTADVMAYWKTVHPNSPIPSAILNLLTQPSGNQKKNLLLTSGSGAKGADEKSSILKLNPKLDNQAKKKFSPYNYNNPADGYDRVYYDGDSDKHMLFEYEALKIKMKNLDMYWYSGTNQINKKPELDLANKKLSRHNNNNPSHGHDHILLDKMKLLRYIYGNPADRHVRVDYDGHNDNHMVFNTESMKLKKEFSYLYQFSAVKGIDQKPELNLVKKKSSRYVYGNLANDHLVHYDGRNDKYMVLNHKAKKLKRKNSDLYQHNEANGIDKESKLNMAKKKLSCYIYGNLADGHHHVRLVTKKFPRYIYDNPAVSRHVYYDGHNDKYMVLNFEAMKLKKKTSDLYQHSEVNGIDKKPKLKLAKNKFSRSIYGNLAHGHDHVHLAKKKFSHYYTFGDPGDGHEHVNHHGGYDNHIVFNKQAVKLRKGNSNWYYYSGLKEINKRHKPDLVNKKFARYIFSNPENERPFL >ORGLA06G0110100.1 pep chromosome:AGI1.1:6:9684002:9700724:1 gene:ORGLA06G0110100 transcript:ORGLA06G0110100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYNEWLPPAVADAAAREPAAFSGAVGEARAAWRPDDPDSAYATLKWISVFDLFINAKSDISPEDVHALVELGLEIFHASQNKFVVQIKWGGLLVRFLKKHAKRISLGVQWRPLYDTLIRTHFKRNMGPEGWKVRQQHFETVTSLVRASRSLFPEGAAAEIWSEFSPLLKNPWHNSAFEGIGFLRLFLPANSRNQDHFTTDWIAECLDIWGSVTNCNFWDIQWAAIVARCIKGSISVDWEKFIPLLFTRYLNMFEVPISSGSGSYPFPLNVPRNTRFLFSSKTRTPSKAIAKSICKTHIFVLKNIKFRFYHPSNGGRWTYSLERFLRYLVLYFERRLQHEQFEALDDKHNQFCLGKEERCGFVKVVLKLLDRGQYSKDDSLADTVSIATSILSYVEPSLVLPFVATNFQLALETTTATHQLKYAVTSVAFSGRALILSSLCSSQSDDSSTADTLNDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATAGFSDDVPAFLQTSYLSEWLDEFFCRLFSVLQNLESSSPINEGYQSSIMSGTFLVEDSPYYFCMLEIVLGKLSKPLFNQSLKKIAKFVNANILPGATSEVGLLCCACVHSYPEETALYLVKPILMTIMSSFEGTPTTGYVGREVPSKIATKATLSPALETALDYYLRVLAIAISYAGPVLLNYRQEFKNIITSSFQAPSWKVNGAGDHLLRSLLGSLVSYYPIDQYKPFSCQLIANIIEPWGCSKAHQDREVEMLNFTPKWHDPSQDELSFANELLEFHFQSALEDLVSICQRKNHSETGQEKEHLKVTLLRIHSALQGVMSCLPEMRPSYKDGKSKVVEPIIFIAGSAGSTVGNSEMREKAAELVHVACRYLLKERTDDSILLALVVRVIDALVNYGSLEYEEWSSHFQAWKLESASIIEPPCNFIIPFHSQGKKRPRWALVDKAHLHNTWRSSQSSYHRYRTNADVSPSSLMVNLMNDLLDLSLHNYETVRSYSGRSLTKLLKRWPSLISNCVLTLTDNLRDSKAPEHTVLGSCNILGTQTVLRHLTTDSVSLSSFIMGILESSHHESLKCQKAITELFVKYNIRFSGISRRFFKNTECEADKPGFISLVPKINALSFESNSLHWRYNLMANRVLLLLILASRSESDIHSQILAETAGHFLRNLKSQLPHSRMLAISALNTLLQGSPDKASLQDSQQSLDRPEEGSILSTGEILNNIIREDGFMSETLNSLSHVHIISDNDGSSKASYGASSFQSGSDKAITYFYFDFSASWPRTPSWISLVGGDTFYSSFARIFKRLIQQCGMPVISSLQNALEEFLSSKERSRQCVAAEAMAGMLHSDVTGNLESGNNWLILQLQKIMLSPSVESVPEWAACIRYAVTGKERSGSRAPVLREKLLDCLCTPVPQSVATSVLAKRYSFLSVALIEISAPKMSPAEELYHVKILDELLANMSHPSAQIREAIGVTICIACSNMRLSRLFGHGDSLDVSGDVSMTEQTGSENWSKQLTDGATELSISIQNNISKQLESTPFSVTENGLDKKEEADVKRMETIFHFIIASLKSGRSSVLLDVIIGLIYPVLSLQETSNKDLSLLAKSAFELLKWRILQRPFLETAIMTILSSANDPNWRTRSALLSYLRTFTYRHTFILSSSEKSQIWQTIEKLLVDSQVEVREHAAGVLASLMKGIDKDLSKDFRDRSYAQAQRILHTRQRGAKSGHSVATIHGAVLALTASVLSVPYDMPSWLPSHVTLLARFIREPSPIKSTVTKAVAEFKRTHADTWSIQKEAFTEDELEVLRDTSSSSSYFA >ORGLA06G0110000.1 pep chromosome:AGI1.1:6:9675745:9676092:-1 gene:ORGLA06G0110000 transcript:ORGLA06G0110000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDPNRSPTGLGGPSDGSYIFVRGVTGGAWEYGEENARGGEGRAGAIDYFLYIDAR >ORGLA06G0109900.1 pep chromosome:AGI1.1:6:9666004:9674181:1 gene:ORGLA06G0109900 transcript:ORGLA06G0109900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGNPNPTPNQPFELNRLFKQHPPASASAAPNPNHHPAPAGIFPGAAAPAPHHSVVVPPPMTSGGGPYSYPPATPPFHRGPYLPPYPNDPHLAFAGNPNPPAAAAAPNPAGARLMQLLGNTAPTHLESAVSMPMPSEFSAAAAVAPPAPLPAMPSAPPARMPSSSSSKMPRGRLLGPGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEQNKPQITGKIEIAIQIVGDAETYHPRICWHSHKQEILFVGVANCVLKIDTTKVGRGMDFSREEPLKCPLDKLVDGVHLVGKHDADITDLSLSQWMTTRLASASKDGMVKIWDDRKSVPLSVLKPHDGQAVYSVSFLTAPEHPQHINLITAGPLNREVKIWASTNDEGWLLPSDSETWRCTQTLELVSSLEHRFEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPASTRLDYIADFTVAMPILSLTGTHESQLDTEQIVQVYCVQTMAIQQYGLELSLCLPPTADNPGFGRDPAISHVYERPPAEVTVVESSKETSLIDSSVVGPTKPASNNQALEANVPSQVQSTTPPSSIDLGYLEEGALRRGPSRGPSLGDRDIDPSSLDYSSKKRMNSDGASGQGSFGRKDSFGKEEPRGSQGDGTKTSDPCPMFKVGGNATHLITPSEIISGVLSSPETIAIGSSQNVEVDAKHVAGRKSEQSVELEAVKETQIVHEKRERPPKTAEQTVNTISERLVTTDKYSVEDSQSRSADGSVSTLLKHPSGAGDENTVSEAPEKTSDGYASRNLQLTLATKEEKVLHPQVSGQLSPSTSTYNSADSSHEPPSNVNPPIDNVPQVGIQETLQQLMAMHSDLQKQLSTIVSAPIAKEGKRIETSLGRNMEKSIKANIDAMWARFQEENAKHEKAERERMQHITTLITTAVNKDIPVMLEKSLKKEISSVGPAVARTTAPIIEKSLSSAVSDSLQKVLGDKVVNQLDKSLSTKLEATVARQIQTQFHTSAKQALQDALRSSFESTIIPAFEQSCKTMFEQVDGAFQKGMSEHGAAIRQQVATAHTPLAQTLRETIASASSINQGLASELLDGQRKLLALVSSGGSLSHNTSVLQPSNGPVASLPEVDAPLDPVKELSRLISERKFDEAFTMALQRSDVSIVSWLCSQVDLHELCRMNPIPLNQGVLLALFQQLACDIVNDTPRKLEWMTAVAVAISPTDPMIAVHVRPIFEQVYGVLNHQRSLPASSSSEATNIRLIMHVINSVLLTYK >ORGLA06G0109800.1 pep chromosome:AGI1.1:6:9656209:9661050:1 gene:ORGLA06G0109800 transcript:ORGLA06G0109800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAVEDSAAAAPVVAPESAAEGDQRVEGAAGEDSVVPTVAPEASVDSDQHIEDAATEDGKHGSTEENFDVSPEEMRSVIEIIADTGKFWHDWSFLKRLLSLQLKQVLAEYSEGHVVSQEDGQLQNSFSGETYSELVIRLNDALLRFEEGPPFTLQRLCEILLDPKGTYTKLPKLALALEKNLLVTSTMTKCTDPYPAAHVSNLEATVMTENTSAVEVEPERLPEHPAAVPNGNVGGDADAEMADAEVEEPSNSHDVEMQEDKPDQISNVNPGATSDAAVTAETVDASEKSSDPQT >ORGLA06G0109700.1 pep chromosome:AGI1.1:6:9651012:9654133:-1 gene:ORGLA06G0109700 transcript:ORGLA06G0109700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSPARSAPHLRSLLRARGFSSSASPSAATAAEGDDGKIVASVLFERLPVVIPKIHPVVYAFQEFSFRWRQQYRRKYPDDVLGKADARGKGDYQIDYVPAPRITEADKTNDRKSLQRALDNRLYLLLYGKAYGAPDDKPVWHFPEKVYDNEDTLRLCAESALKSVIGGLNNTYFVGNAPMAHMVVDQKEDSSVSSFKRFFFKSQVVGATKYDIGKCQDHVWVTKDELLEYFPEHKAFFNKMIIHIR >ORGLA06G0109600.1 pep chromosome:AGI1.1:6:9646284:9650161:-1 gene:ORGLA06G0109600 transcript:ORGLA06G0109600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPVGFLSKLWSFVSFLPFFLLLLLLGSIKAVLIGPIAAAIIFLGNSAVIIGLWPAHFIWTYYCVLKAERIGLVLKILAAMLLPLPLLLLPVVAISGSLLGGIGYGVFIPLMATFEAVGEGVADKLTHCFLDGTVSTTAGACTVVHDVTDFCFHSYFSLMDELIRKLGDNETPIDIKLSYLPHSVLAVLIAVPFDVFMISGVALWKSPCMLLKGWQRLCEDLAGREGPFLETVCVPFAGLSIILWPLAVIGAVVASFLSSFFFGIRAGLIAYQEASLRMGLAYMISAVALFDEYTNDMLYLREGSCFPRPKYRKTDRMNNETGQNNEVRNVTSPLGEKKLHHKTMKALQRSKTFMETIQRLRPIQIWDWLFRSCELNGRILLSEGLISAEDMEECIIKGKCKKLSIKLPAWCILQCLIRSAKHDSHGLLISDDVEVTNFNWPKDRVFDWMLGPLLVIKEQMKQLELTEDEELCLRKLIMTNNNDKPSDWDDCGFPSSDNIRRAQLQAIIRRLQGIVVNLSWVPSFRRRFINLVKALYLEAVEVGAIDGSRSVKRKIEADAAPAPGSKFDDEDGDGSSNGAAAVGIDAV >ORGLA06G0109500.1 pep chromosome:AGI1.1:6:9582083:9586266:-1 gene:ORGLA06G0109500 transcript:ORGLA06G0109500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein [Source:UniProtKB/TrEMBL;Acc:I1Q1T2] MHKTAQAWFTGGPAAPAASAGESQPSLLADWNSYAASRPDASSSSPLPFDIEAAVRSANDTVSGTFSVVTKGVRELPGSFQSATSSFPSGKALMYFGLFLATGIFFVFIAFALFLPVMVIMPQKFAICFTLGCGLIIASIFALKGPASQFAHMTSMERLPFTGALIGCMVGTIYVSMFLHSYFLSVIFSVLQVLSLAYYTISYFPGGSSGLKFISSSLLSSVTSCFGR >ORGLA06G0109400.1 pep chromosome:AGI1.1:6:9573291:9575178:-1 gene:ORGLA06G0109400 transcript:ORGLA06G0109400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWLILLASLGAVHVAADVLRLVATLTFGLRARPRGDLRRRYGSWAVVTGPTSGIGRAMAMELAGRGLSVVLVGRDPAKLRDVAGAIARSHSRHGVRTKTVVFDFSLVSTVQGEKAMAALRETVEGLDVGVVVNNAGVAKPGAMFLHEAEVEPLMRMIRVNMLALTNVTAAVLPGMVMRGRGAVVNIGSASAEALPSFPLYSVYAGTKAYVGEFSRGLSVEYESKGIDVQCQVPCLVETNMISRAMKGIFLSQFVVTPEEYARAAVQSIGHGRMCVPNMAHRVQLLGMRSTPDFVLNWYRLRLHLQQRAIFRSRRCHPYPLQ >ORGLA06G0109300.1 pep chromosome:AGI1.1:6:9564448:9568653:-1 gene:ORGLA06G0109300 transcript:ORGLA06G0109300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAAAAAADAVLRQQQPPWPFVALVIVGAIHVAALAFRLASHLCLCLRRPRDLRRRYGAWAVVTGPTSGIGRSVALELARRGLNLVLVGRDPAKLRDVSEAISKLGGGGVETRSVVFDLALASTAEGDEAVRRLREAVAGLDVGVVVNNAGVARPCAVYLHEAEAEAWVRMIRVNLWAVTEVTAAVLPGMVARGRGAVVNIGSGSTEAIPSFPLYSVYAATKRYVAEFSRSLYVEYKSKGIDVQCQAPLFVATNMTSGVAKAAGGGDDAAAKRSKRRQRRWLSPLFVPTADAYAAAAARWIGHGAVCMPNLCHRLQWCVSRAVPDAVHDRVRLRENLRQRALFQRLRRRPPPPDDQPKAKIDG >ORGLA06G0109200.1 pep chromosome:AGI1.1:6:9532834:9536467:-1 gene:ORGLA06G0109200 transcript:ORGLA06G0109200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPAATRRCQLISGKSSASGTIRGDLAMTAYTRYSSVIVTAVSTRGSGLGWIPDNLNRGHLDYFFWLLTILNAVNFVVYLWIAN >ORGLA06G0109100.1 pep chromosome:AGI1.1:6:9529935:9531556:-1 gene:ORGLA06G0109100 transcript:ORGLA06G0109100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVFMIRQETAPAQWWFLSLAFVGAAYAATVTLRFVAYLALCRCHRPKDDLRRRYGEWAVVTGPTSGIGRAMALELARHGLNLVLVGRDPAILREISGTVRSLHKVKTKTVVFDLSLVWTPDGDEPLQRLREAVEGLDVGVMVNNAGVAKPGAVYLHEADVEAWVRMVRVNMSAVTEVTAVVLPGMVSRGRGAIVNIGSAGSEYIPSLPLYTMYAATKRYVAQLSRSLHVEYASKGIHVQCQAPFFVDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA06G0109000.1 pep chromosome:AGI1.1:6:9523591:9525080:-1 gene:ORGLA06G0109000 transcript:ORGLA06G0109000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVLLIRQDIATPWWFILRAFVGAAYVGFVALRLLAYLWLCLPRMPKGDLRRRYGEWAVVTGPTSGIGRAMALELARHGLNLVLVGRDPAILRQISDTIASLSELIVVNNAGVAEPGAVYLHEADVEAWARMVRVNVSAVTEVTAAVLPGMVARGRGGAVVNIGSAASESIPSLPLYTMYSSTKRYVAQFSRSLHVEYASKGIHVQCQILIKSGKYVRANRESNRSVYGS >ORGLA06G0108900.1 pep chromosome:AGI1.1:6:9520320:9521522:-1 gene:ORGLA06G0108900 transcript:ORGLA06G0108900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASHFYGMFRQDDPAPAPAWWFQSLAFLGAAYVAGVTLRLLAYVALCLGGPKDLRRYGAWAVITGPTSGIGRAMALELARRGLNLVLVGRDPARLREISGTIRSRHGRVQTKAVVFDLSLASTPDGDQPLRRLREAVAGLDVGVVVNNAGEGRPGAVYLHEADVEAWVRMARVNVSAVTEVTAAVLPGMVERGRGGAVVNLGSAASEAIPSFPLYTMYASTKRYVAQFSRSLHVEYANKGIHVQCQTPFFVETTMLAKLEEEVGLSVSPLKVSTDTYAHAAVAWIGRGGPLCTPGGLLHQLMWCITAAVPESVLDWIVLRFTTWNRGR >ORGLA06G0108800.1 pep chromosome:AGI1.1:6:9506445:9508619:-1 gene:ORGLA06G0108800 transcript:ORGLA06G0108800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHVFMIRQESAPPAPPWWFLSLVFLGAAYVATVTLRLLAYLAFSLHRQPKDLRSRYGAWAVITGPTSGMGRAMALELARRGLNLVLVGRDPANLEEISNTVRSLHGVETKTVVFDLSLVATPHGDEPLRQLRETVEGLDVGVLMNNAGVGEPAMAYLHEADVEAWVRMMRVNLWAVTEVTAAVLPGMVERGRGAVVNIGSASSQAIPSFPLCTIYSATKRHVHATNTRVGIMAVRLYRYVAHFSRSLHLEYASEGIHVQCQAPFFVATRMVENLAEARRLSPFTPPGAEDDVVSANQSVGGAGAVVTAGSSFLALRLAFMNNNSGQ >ORGLA06G0108700.1 pep chromosome:AGI1.1:6:9504265:9504762:-1 gene:ORGLA06G0108700 transcript:ORGLA06G0108700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVSVSRVRRRSPSWFTVDGGVAEEPLLLLGAPADGDDGGDQARAALLRVEELEHLLGDVARRLSRLDAKRGRLEGQIAAASRGRRRGGAHHRRHGSAGGISESEGEGYTRKGAGAVRKMLRAAAGDVKKAREWLEAVAGRLEAALVDARERLALQQMLAAGA >ORGLA06G0108600.1 pep chromosome:AGI1.1:6:9498456:9500414:-1 gene:ORGLA06G0108600 transcript:ORGLA06G0108600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSLHLLTASRGISSTPHLASLGWFDKIKSTFTGKKPDEATDPSANFTLLQFADSMEKARKLGTFKNFVMGRCSEATVVNAFEKHSAVLRYLGTIDPTGEKLKNSDKIGATKHCNCTIADVEHILAKYTWAKEAQKKIVKLKEEGKPLPKNFNEVKNLMGSTPLDVGRSNLEKSGQISRNAMCPCGSKKRYKKCCGAS >ORGLA06G0108500.1 pep chromosome:AGI1.1:6:9489184:9497239:1 gene:ORGLA06G0108500 transcript:ORGLA06G0108500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKERRLAAKAAAGGRRVKLDLFLDPSPGGTPSKEGERGENHDQQTGVPTSPSSSDKKENPLALLGQYSDDEEEEEAADQPNDETEANPADAGDKITHERGDLTRNEGDAQSDLAGSANVQQELTEADDKKCTGNIAEENVVAIKPTLEDGTATATEAIPDSSGMQIVGDIGGNWKTIMHEQSNQCYYWNTVTGETSWEIPNVLASEIAADSVTSASAPTHVDYSMEAQAHALTHNAMEAYPSDISVLNGSVAYATLGMGQPTHDAYAYAGAVTSHESMDIDPLQLARYGEELLQRLKPLERLHGSIYNVELLKREIEIRISDCNALSSYGSSLLPLWLHAEVHLKQLEFSVSKLETSYSITEPRHPEKADTEHKTPNEAEVMMPPSNGEGLKSEVSTDVMMDGNVKNEEPFLTSSIQKSEENDTTTVPSKIESDNDEDMDVDMEVDDDNVEEHKHSNSTPIKEYPPSEQVQSPALLSLDGSAAPLEDSDIPPPPPEDEWIPPPPPENEPAPPPPPEEPAVSSVSTETIPQSYVDQANLVYTVPGMEYYAAAGTEGTHASYYMQTSEPHVVQAHQNGYYAPVSASGISIPVDATSIAPVPVSYYSYPSVTMAATGEAAEPSGYYTASVSATSSSVLDNTTSSSNLAPANSSLHSRESDNIISKEAKLASLSQPVGATSASASIQGSSAQASTSTTSQSKVVRSKKRAVSVATSLRSNKKVSSLVDKWKAAKEELRDEEDEEPESALDALERKRQKDIDEWRKQQIASGEAQENANFVPLGGDWRDRVKRRRAEAKKEANSETIPAPVSVTDLHKGQPDLAELCKGLPSGWQNILHLINLFTRDVITVEVQLHKIWLVSSMGKAPSSNLWSIFVAYLDESTKQVYYGNSLTSETTWDRPTK >ORGLA06G0108400.1 pep chromosome:AGI1.1:6:9488035:9488526:1 gene:ORGLA06G0108400 transcript:ORGLA06G0108400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQCQGYWSLALATLHDGTAAAVDALVEAFLEEKERGNGVVEEGGGHVQLVRTLVVRAKTRAGRSVYEVAVRMGGCKVDKYMYMVMVMGMERLGFEADFREWKAKILPLAREMLDEMREREEQHNNGLTMNLIII >ORGLA06G0108300.1 pep chromosome:AGI1.1:6:9474136:9477736:-1 gene:ORGLA06G0108300 transcript:ORGLA06G0108300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCASQPAMVRCLVENASLCQNCDWNGHSAGSSAAGHKRQTINCYSGCPSSSELSKIWTFVSDIPNVAPEPNCEQGISMMSISDSGVSNQDNAAGDSSLLDIASATLMSDLGTAGKPKSLIGSSSEAGVNLLPLATDQMAGSVDSTSAKVPYTADQDMFSKDSIYEDFCVDDVDLSFENYEELFGTSHIQTEQLFDDAGIDSYFESKEIPSGNSDEQPKLMQPVTSNAVSADSRMSIPGAKGDSSLCIPVRQARSSISLSFSGLTGESSAGDYQDCGVSPVLLMGEPPWHPPGPEGSFAGATRDDAITRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLCETRSY >ORGLA06G0108200.1 pep chromosome:AGI1.1:6:9472290:9472730:-1 gene:ORGLA06G0108200 transcript:ORGLA06G0108200.1 gene_biotype:protein_coding transcript_biotype:protein_coding CERATTLLLPHGSGRHERREKGRGGDRQRDLGSSPPSTAARAPSPSTAIIRYRRRHSGSSPPFGLVAATRACRRHPLSLGLRPHSSQPLPAVAAAGSSRAGSTGREKSISNVGPTNGKAIWAPKLAIEGGVWPVIWLSPSSREAVGV >ORGLA06G0108100.1 pep chromosome:AGI1.1:6:9467365:9468455:-1 gene:ORGLA06G0108100 transcript:ORGLA06G0108100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLERSPLVVPEAAAAAASSPLRRPSPRVRREVPPPPLDPILPYLQGQSWCMHLLMPVSDLVFRSINKAMDELRRGPKFEAAALDWLKICVMECIDKYGDDYQYSTDPRLLKIWILCVIDWL >ORGLA06G0108000.1 pep chromosome:AGI1.1:6:9450532:9457455:1 gene:ORGLA06G0108000 transcript:ORGLA06G0108000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVQVSEARNLPAIDGGGGLSDPYAKLQLGRQRGKTRVAKRTLSPTWDEEFAFRVVDLKDELVVVVVDEDRYFSDDFLGQVRVPLSAVLDADNRSLGTQWYQLLPKNKKSKIKDYGEIRLTISLSLNYPEETTTLAHCVSDDLASYSDKSTELQKGSSLPNIPIEIPTSVSGGDETEIIKEDRSNGVPSFVNRLYQFFSAKPKDAEASASAPPLTTGDGNSDILEETPSTSSELPDNQDYETGVTMSFDEQLKAFGSCHEGNEIPENLSGGVLIDQVYAVAPSDLNGLLFSPSSDFLQSLAEMQGTTGLEIQQWRLENDGEVLKRVVSYTKAPTALVKAVKATEDVSYLKADGDIYATLADVSTPDVPFGNSFRVEVLTCIMPGPELPDNEKSSRLVVSWRLNFIQSTMMKGMIENGAKQGLKDNYIQFSELLARNIRPVDSKDAAATDKVLSSVQPEQESDWKLAFRIFGNFTVVSSLVAFIYVFSHIILASPSIIQGLEFPGLDLPDSVGEVVVCGVLVLQGQRVLNMIARFIQAKRQRGSDHGVKAQGNGWLLTVALIDGTNLAATKSSGYSDPYVVFTCNGKTKTSSIKFHTLEPRWNEIFEFDAMEDPPSVMKINVYDFDGPFDEVESLGHAEVNFLKSNLSELSDIWIPLKGKLAQACQSKLHLRIILNNSRGTEVMKDYLDKMEKEVGKKIAVRSPHTNSAFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEDIQVMPATLYSMGSPSLLIILHKGRGMDARHGAKQLDNEGRLKFHFQSFVSFNVAHKTIMALWKARSLTPEQKVQLVEEESEMKDLQNNESDSFLGIEDAKMSEVFSSTKPFDVSTLMSIFEGGPLEHQVMEKIGCMEYSVSPWESVRADAYQRQIHYKFDKRLARHEGEVMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEYFNLHMRYQLEQISSKPKACNVQVSIGIAWLKSCKNRKKIAQEVLSSASSRLKKMFGLLEKELLPAK >ORGLA06G0107900.1 pep chromosome:AGI1.1:6:9443668:9446435:1 gene:ORGLA06G0107900 transcript:ORGLA06G0107900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAKGEPNGGACKDGEVMDLPWSEMFRSASLRLPKQEEPTTTKKPQGKGKASAAEEDIGGLSLEPDARLALYIAMAHAGLATALLVVYGLYRLLADFLRPLQWALLCSIPLRETQRALVAFWEPPLRGGLGAAVLALPLAAVRSCGATLADARAALLRRPLPPSPSFPRLLRWLASSFLFLLLLDRLGTATALVLLALSLAFFAASPKPSSFLSRAASSRIAGQTPSSRCLFLTGGILRHLKTLVAVGLMLGMILGFLSGSVFFSYKIGLEGKDAVMSLKSHVENGNYSEKIGLKKWLDDNDIPGLVDQYSAKLYDTVWEQIDQLAVQYNLTDFTSGFRHFLISQSVDPSGAKGKELITSGPHPYSMKLQVIAKHVKNREWMDIYRELDSFFRELLITREDLVVKAKGLALQGAEIAKSLLSSSTSVLGGSANLMLSITLHIVSGAAEVLNFVSQLMVFLWVLYYLITVEGGGATEQVIDLLPLSKQVKDRCVEVIDHAISSVLLATAKIAIFQGCLTWLLFKLFKVHFVYTSTVFAIISALLPILPPWLSSIFAAGQLLMEGRYVLAIVVTVVHLIIMDYGTTVIQEDIPGYNGYLTGLSIIGGMALFPNALEGAILGPLIMTVVMALKNLYTEFVLADSEETSS >ORGLA06G0107800.1 pep chromosome:AGI1.1:6:9434127:9437492:-1 gene:ORGLA06G0107800 transcript:ORGLA06G0107800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWDSILRGGGGGGGRRFIKRKDSDAGEAGRALEELRGSLYNEFHTSEGAKRQQQRFCGPSVALTFNFFVAVGIIMANKMVMGAVGFNFPVALSLIHYIAAWVLMAVLKAFYLLPIAPPSKSTPFSSLFALGAVMSFSTGLANISLKHNSVGFYQMAKIAVTPTIVAAEFILFKKKVSLRKVITLAVVSCGVAVATVTDLEFNLFGACVAVAWIIPSAVNKILWSNLQQSGNWTALALMWKTTPITVFFFLVLMPLLDPPGLLSFNWNIQNSSAIMISALFGFLLQWSGALALGATSALAHVVLGQFKTIVIMLSSYLVFNSDPGFTSLCGAIIALGGMSVYTYLGLKESASGGKRAPSTSRQNSHLLKSKVIVDGEKPETRPIDSV >ORGLA06G0107700.1 pep chromosome:AGI1.1:6:9427884:9430808:1 gene:ORGLA06G0107700 transcript:ORGLA06G0107700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVENPSNGRSNGHAPANASAATANSAQATQQGQTEQNPCDAGSEADLLWKLRKYLVLLAILAAAITFQAGLGPPGGFWQQDQHGYHAGDVVLRYSYPRRYLVFFYCNTTAFGASLIVLILLLVKELSRDAIWLRSLQFAMVLGLLGLMGAYAAGSCREVRTSVYIWALLVGIFAYITLHVVFFRHLAPQWLCEIFYTIRKHWKEILGSIHGDGGTDKTGTPRQTGETGDSEKTKRLEQNRSFLLVLATLAATVTYTAGLNPPGGFWPDDNKPSHLAGDPVLRDHYPRRFKAFLVCNATAFAGSLVIIIMLLSNTAVDHVVKSNALRLCVLVSLFGLMGAYAAGSCREVHTSIYVFALVGAVFLYLCIQWIEHMVPIPCIKSSMEWVGKKKTHLLQKLGSFIMRGTRNPTEESRSTPRAQNPVNNRRSGTSDTAKDDVEKLRTYLLLLGILAATVTYQAGLNPPGGFWQDNNGHTAGDPILEAINPKRYKAFFYCNATAFVASLVIIILLQSQLITVGAMKRHILQTAMALDLFGLMGAYAAGSSRKFSTSVYVFILALVVFTYFTLHVLLSMALKTQLKTKIEHVPNLFHRFTRFCFGRTEGGSDDGRSDSVEQQNEEKDLEKRRKFLMMLAILAASITYQSGLSPPGGFWSDNDRHRAGDPVLHDEFPGRYRIFFYFNATAFMASLAVILLLVNKRLCDKGLKSYALRACVLVDLISLMGAFASGSCRRVSTSIYVILVVAAVFAYVMIQILVLQVAEQKVDLLKKRRSGFESQQRSMTLTGPTGSTDKKRTEHKWRKDLMLIGTLAVTVTYQAGLLPPGGLWPSDQGNHYAGDPILKVTHPIRYKVFFYCNATAFMASTVMVILLLNNTISKYKRSLLAMKTAMVLDLLGLLGAYAAGSCRKFKTSAYIFALVIAVFIYIVIHVLLSFDEVALLVKEKGKKWMPCLKMWDQIETGASDQPSATQSGAPPV >ORGLA06G0107600.1 pep chromosome:AGI1.1:6:9405324:9407458:-1 gene:ORGLA06G0107600 transcript:ORGLA06G0107600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAIRATTAVLKATSPTTPMASPPLAPTKCLTECPNNNITWVAANSNHIGEMLAPTAAWELGDRKDMDQAPYIATKDLPKVTPTKCSTLCSSFDNKPDLTVAVVVTCATSVKSLMELVATDSTTSGTHIDTPDSTKAMPTNCSMFGMMVNTGTIQTGVVFPLFLDKLDIVTMLTLHWARLKPWPPPHEDDLTYILVNQREVELWRTILVDHNKEGLLMIIELYVLDLNDCYLSWSHLILASVLIVELSSTRQCGYEIISFKSNHVDKLKLFGMSINVLEQCEHLEGDLIRLIIKEKLMPWNSGMGICLCCLLVIQLPVGKLKWNVSVISLFHLLITKVNEFPRGITTGGKSTLWTSSECTCVGLHRRYPVLLPFWISRAEKWVMRHIGMTILVPESWQQASYKVLYGAEKLLNLNAEDKSYTRVKSFEEGASSVQYKVCPFGLVPASVVLDDVAYTTSGTTWHYKCLTDKMRMPRAEVNHKPMWTRYLSKQGCHSLQHTKMLSLNVGKKINTLLLLYVTQERFKGIEKLSARGARGYILLAWDKPNLKKRGLSCIVGLIMSFVDYSPIQPNKAQLERQQSSTNKVPGSHRTEQASAASATAASWF >ORGLA06G0107500.1 pep chromosome:AGI1.1:6:9396122:9398947:-1 gene:ORGLA06G0107500 transcript:ORGLA06G0107500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDADDNKQQPGGGGKATVASHPKSREWQLRKYLLLLAILVATVTYIAGLDPPGGVWLETTDEHLTGDPILPDTRRLRYDLFYYFNATAFVASLVLTILLLPFRVEGPRLMAVRGVMVVDLLCLMVAYIAGSCRGRHTTIFASVLSATIFVYIVVHALVAPSTDTPEKKTVHDSPDKEKAMDMEDGHLHGCSSNPLDMKETVEDGKLRPKERRKVLMLLSIFMVTITYTAGLSPPGGTWEHAAEEGGAAAAGGGHHRAGDPVLQEGHYWRFVAFFVLNTVAFVASLTVIMLLLSTSMGNNGRRLSALNVAIAFALLGLMGAYASGSCRETETTVYVLCLIGAVLLYISCLAVIKFLSRKTKPQAQTHGCCGWMTATARPGPACRSDCASPTDLGPDPVMPGPCQGEQPTEPSIATGSRRNSASKNREDSTDPVERARSLILLLATLITTVTYQAGLDPPGGVWRDDDNGHSGGGLILPATHAKRYKVFFYCNSAAFVASIIVIIMVQSRSLIGRRALEAAVILDLFGLIGAYSAGSCRDVRTSIYVFSLAVAIFVLVVAIYVVISKLPHDKKGKLEEKSKLEKKQKLLLLLAILAVTITYQAGLTPPGGFWIEHTDEDHRYGDSILADNYPLRYKAFFYCNATSFMASVIAIVCLMSRNLSSIAVGYCNALYACMAAGLVGLMGAYAAGTTRRLRTSIYVFALVGAVLIFAALHIKFFHKILIGCPSFFSSKKQDEVTKNHDQATGSKGSTGKKCTNNHDEETTDEYKEKYKMRKYLTLLGILAASVTYQAGLVPPGSVWPTNDGKGHAAGNPILGDTDGCRYHAFFYSNSTSFAASIVAIVLLLQGTLILPELNDPDRFGPMHMVVVLDLLGLLVAYAAGSSRDWGTSGYVVAMAVMVLAYVAIYVFLSLRDRKGSEGRATTEVRSSSSTSQSSRSTLEV >ORGLA06G0107400.1 pep chromosome:AGI1.1:6:9387023:9389723:-1 gene:ORGLA06G0107400 transcript:ORGLA06G0107400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSERERTDSPLEYDLRKYLLLLATLVATVTYGAGFNPPGGVWQDTEAGHLAGDSIIRDSQYRRYLMFFYCNATAFALSIVVIILIFILAILHEKGKLQIPMLPLRAAMVLDLLSLMGAYAAGTSRGVLTAGNISALVATFIYMVAQMVVTLFDKKQTQEISSGDEKKKRHRKVLMLLATFVASITYMAGLSTPGGYWDNNQGGHHPGDPVLWEHHSRRLRAFFVCNTIAFVASLLIIMLLLDKKQQIFLPLDKIKITITVRTYVLYAYITIALLGLVGAYVAGSCRKPDTTIYVLSLVGAVLLCIGALQAVLFFLPQLSNISCLPIIAKLSCSPGGPSDSSSRKNTSSKTDILEKAQSLVVLLATLVATVTYQAGLVPPGGVWQKNQDGHMAGEPILLSTQAKRYKVFFYCNSTAFAASLVVIVLVRYKPLLRRRILEITMILDLFGLMGAYAAGSCRDITTSVYIIALAGGVLVYVVIHVVFFTLEDNDKEKEVGNTNSVRNGSVVHTQNNSAEGNLAEKDLCIDKRRKRLLLFAVLGATLTYQAGLTPPGGFRVADDGFGHHAGDPVLFYNFPRRYKAFLYCNSVSFMSSLSLIILLVNPNLYRPAIQSYALSVCTAAGLFALLGAYAAGSTQHLKTSIYVFVLVAVVLFIMIILLVCFYQSESKEKRDDKPKEPREKDKDGAKYHAKRKYLMLLGVLAASVTYQAGLNPPGGVWQGNSDGHGVGHSVMHDNKRYRYLTFFYSNSTSFVASIVVIILLLPTELLQKNRWLRVMNITIVLDLLGLLLAYVTGSSMRWEPSGYVIAFVIGALGCAAIHKFLSFVRRSQQQGQGNDQPSQLQEGGSRA >ORGLA06G0107300.1 pep chromosome:AGI1.1:6:9377667:9380613:1 gene:ORGLA06G0107300 transcript:ORGLA06G0107300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHSPERSKEKEEEKPLELLLRKYLLLLAIMAATVTYAAGFNPPGGVWQNTEAGHLAGDSIIRDTYYPRYLVFFYCNAAAFVLSIVVIILIFSLAIVQEKKKLWIPMLPLRVAMVLDLLGLVGAYAAGTSRAVLKPRNAWVLVIIFVYMVIQLVLTSLSSSVGDGKKEEAKEQQQTADGKGEKINQLSQSGDKKEEEKERRRKLLLLLATFVMSITYLAGLSAPGGYWDSSKEGHNAGDPVMREHHAIRLKAFFVFNAAAFVMSLLIIMLLLDKQLVIPLLQDQDQSLTSRVRTRFLKAYIIIALVGLVGAYATGSSRNSDTTIYVGCLVFAVLACILFLKVIISPHPQGSASDSNGRPSNGVKKNTSNGGVQTNTSNADILEKAQSLVVLLSTLVTTVTYQAGLVPPGGVWQENWKEHKAGEPILLSVQPERYKVFFYCNSIAFAASLVIIILVQYKPILKRRILELAMILDLFGLIGAYSAGSCRDVTTSIYVIALAGVVLVYVVIHVIFITLDEDMGKKDGDKDKDEGKRRKRLLLFAVLCTTLTYQAGLTPPGGFWLKDDEFGHHAEMKSANPQDEEAGGIEKSPPAQDEVVIEQETQTLKPSETMSANEIKEDDRTTKSVDIEDVGEAKKNTSNETNEKEKQERTATESNKKEDESRKHSKRKYFMLLGVLAASVTYQAGLNPPGGVWQGNSNGREAGNPVMHDNKRYRYLIFFYSNSASFVASIVVIILLLKEKLLREDWLFKVMNITIVLNLLGLLLAYMAGSRMRLESSGYFIAFVIAALGIAAIHKIWSLSRESK >ORGLA06G0107200.1 pep chromosome:AGI1.1:6:9335393:9338474:-1 gene:ORGLA06G0107200 transcript:ORGLA06G0107200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPGNVAEEQPWEYTLRKYLLLLATLVATVAYGAGFSPPGGVWSDAKDGVHLAGDPVIRDHYNGRYLLYFYCNATAFVSSLVVIVLILLFAVLHEKRNVRVTVMPLRAVMVLDLVSLMGAYAAGTCRDRTTTTFTVVLVSLVVVYVALQVVLASLPAGEHDGDEHVVKEKSRKVLLLLATFATSLTYVAGLSTPGGFWSDTANGHRAGDAVMGDQHPARLTAFLLCNTTAFVASLLVIVLLLDRKLRDGTVRAWELYGCVLVSLAGLVGAYAAGSSRAAHTTAYVVALIGAILAYIAIHLAVVACAARALSNTGMSEKLAGMYSSVKERRYHLRQPARELAQANDDREKLLNEALEKARSLVLLLATLAATITYQAVLDPPGGYWQDDKDGHKPGDPILLTINARRYKTFFYFNSTAFVASLLAIILVQSKSLLKRHALEAAMILDLFGLMGAYAAGSCRDASTSINVMAIAGAVLVYVVIHIVFFTLDHNDGSTLGEDNALLEKRRKRLLLFAILCATITYQAGLTPPSGCWQDNDEKHGYKAGHPVLFSNHPRRYKAFFYCNTTSFMSSIALIILLINPNLYRPAIHSYALSVCMVAGMFGLMGAYAAGSSQHMRTSIYIFVLLFIFLVLLLVAFVVHRKSQGKQNKTTNEAEVPDTNDIKRKQYTKRKNLMLLGILAASVTYQAGLHPPGGMWQSNDSAGHAAGDPVLHDMQRLRYRAFFYSNSTSFMASIIVIILLLPESLKLNVNEWLLKAMNTTVVLDMIGLLVAYGTGSSRDWDTSGYVIAMAIFVLGYIAIHAMLSKLSQVANHRVASKDPESQVLGNGLHQVGGICVGLHPSINAVQ >ORGLA06G0107100.1 pep chromosome:AGI1.1:6:9313876:9315623:-1 gene:ORGLA06G0107100 transcript:ORGLA06G0107100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFLVECLSWLVVVLFSLYIFQLLRDARRRLPPGPWPPKPLVGDLLDLGEDGKQHRTFLRLADRYGGLMCLRFGMVPHVIVSTPDALRAVFAAGAGGGEGKKVDGIAGLPSLDVLSAMGHRAHTIFALPSQDGKWRALRKFAAAEMLAPRRISSAAAGAQLQTKIVEALRREVSGHAARGDAVVFRHAVLDSILSLLLGVLYSTDLEREERAMFRDLIEEIVGMLGTANVSDVFPPVAALDLQGLRRRMTDLLTIMYRHFDDQVALRRRSRDAGEARKNDVLDTVLDKEESEWKQEGSLLSHDVMRVLLSDLYGAGASTTAALIEWGMVDLIQNPEVMTKVREELTNVLGDKLVMDESDIARLPYLQAVVKETLRLRTVVPLVPRKAEVDIEVNGYRIPKGTNVILNAWAINRSANTWSEPDKFIPERFHGGETRGYLGQDFEMIPFGLGRRICPGMPLAQKLIPLIIGTLLHRFEWELPADAKEGGIDMTEKCGVVLSLVNPLKAIPKEI >ORGLA06G0107000.1 pep chromosome:AGI1.1:6:9308008:9309193:1 gene:ORGLA06G0107000 transcript:ORGLA06G0107000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSLNCTNXIQRTYHLRKLQLYITKIAFCYITKVIFVNTFDLACPESVQDDDEKRQESEKSKMKAKQLDNINPQGMKSQAGTNRNCKLHKSMTEEIMKKKEQTGIXSNISRN >ORGLA06G0106900.1 pep chromosome:AGI1.1:6:9294990:9295220:1 gene:ORGLA06G0106900 transcript:ORGLA06G0106900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RITPYVERLSGFFSFQTSKRCCSWHGESGNVEEVEETASPWIECEGGKGKAQIQQQGGRWPKVEGGVDRQREDERG >ORGLA06G0106800.1 pep chromosome:AGI1.1:6:9289019:9291745:-1 gene:ORGLA06G0106800 transcript:ORGLA06G0106800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGDDATEQQPYWEYTLRKYLLLLASLVATVAYGAGFSPPGGAWQVTADGHTAGDPIIRDLYYGRYLVFFYCNATAFASSLLVIVLILLFAVLHEKRNMWVTVMPLRVVMVLDLLSLMGAYAAGTCRDATTTRYTAVLVAAVFVYLVVQMVLASLGGGDDEDEHVVTKERSRKVLLLLATFATSLTYVAGLSTPGGFWADGVAGGGGHRAGEAVMGERHPARLTAFLLCNTTAFVASLLIIVLLLDRKLRDGTVRSWELYGCIVVALVGLVGAYAAGSSRAEHTTVYVLALVGAVLVYIAFHLTVVPCVKQALGNTKLARIYSSMSKRRYCPKQDQEVDQATIDREAEHNRAMEKARSLVLLLATLAATVTFQAALDPPGGYWQDDNGDHKAGNPILLTTNPRRYKAFFYCNSTAFVSSLLAIILVQSRSLLKRHALEAAMILDLFGLMGAYAAGSCRDASTSIYVMAIAGAVLVYVVIHVVFFTLDQRGHDEDVLLEKRRKRLLLFAILSATITYQAGLTPPSSFWQDDNDGHRAGEPVLFSNNPYRFKAFFYCNTTSFMSSIALIILLVNPNLYRPAIQSYALSVCMVAGMFGLMGAYAAGSSQHMRTSIFVFALVLIFVAILLVVFVVRQNHGNTTTRQNSQGDQNQRGDNSGIENHTKRKYLMLLGILAASVTYQAGLHPPGGVWQSDDDVAGHAAGNPVLRDNRRRRYRAFFYSNSTSFMASVVVIVLLLPESASRHVSEWLLKAMNTTIVLDMIGLLVAYGAGSSREWETSGYVIAMAVVVLGYIAVHGVLSTFGQGHKTGGNNPQIAPDQLDAESQEPGNGRRMYYPSQNVMQ >ORGLA06G0106700.1 pep chromosome:AGI1.1:6:9282197:9285040:-1 gene:ORGLA06G0106700 transcript:ORGLA06G0106700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNKIDTHNRNGSSKEKKSNPSSSSEYQLKNHLLLLATLVATVTYATGLNLPGGFWQDTQEDHLAGDPILPGNHKEQYIMFYYCNATAFAASLVVCLLLLVLDKGNSGCAAALRVVMLFDLLGLMGAYAAGSCRDEFTTIYSSVIMSMVFAYIVPSLFTYAVSKLHNKDKNHGKQNKDSGEPKDTEKQTWYPDEHKREELHEVLMLLATFAVTITYVAGLNPPGGFWGSTQDGHRVSNPVLQDINSRRYKAFFVCNTTAFVASLLIIMLLLDKRVNTEQMSLQFGELYGSIVVVLFGLVGAYAAGSCREPDDTVYVICLIAAILAYIFLQVAVTQFLKKRIRNDGHTERSISSVKSLIHNEQDLDGSRNTKRNVAMEKARSLVMLLATLAASITYQAGLDPPGGLWPDDQDGHKGGDPILLTTHPARYKVFFYSNSVAFVTSLVAIIMVQSNHVLKNHTLEAAMLLDLFALITAYAAGSCRDVSTSIYVVALAGGVLVYVVIHIIFFTLDNMDNEHHDPDEEDKKREVLLLLAILVATLTYQAGLTPPGGFWSEDDNLGHHHRAGYPILLDNYPPQYEAFFYCNATSFMASIALIMLLVNPNLYRPGIKCYALYVCMVAGMFGLMGAYAAGSSRRLRTSIYVLTLVGAVFALVALQVAMFWNKRTSKTGGDKTNSSAQEGGSTDTEASQPAPLIKGMGSTSQATQITDITDAALRNTDKGEGSSEQGGSRINSVTSEPGAHGTGNGASLQEANSEEDKSGSTEKDMREYLMLLGVLAASVTYQAGLKPPGGLWQENSNGHLAGHYILHDINKRRYYAFFYSNSISFMASIVVIALLLPRMLNNLEIPVWPMHTAILLDMLGLLCAYAAGSTMEWETSRNIIALVIPVLVYMAACTALSFFHKEDQKQISASTCQASTNS >ORGLA06G0106600.1 pep chromosome:AGI1.1:6:9257742:9261830:-1 gene:ORGLA06G0106600 transcript:ORGLA06G0106600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDTGTTPPATADQQPPKPPSWEYQLREYLLLLSSVVAIATYSAGLAPPGGVRQKDAGGGQYKAGDPTLQDIAAAGGGGAAHARYLAFYYCNATAFAASLVVNLLLLVLEEASTVGLAMLRTVMVLDVLALMAAYAAGSCRDLPSTVYVSTLVVALSAYLAIRIIYQTGRNPLSTTTTSPAGAGDDDVDNQLRKVLMLLATFATEITYTAGLGPPGGFQDDGGPTLRTAGGGQSARLAAFFYCNTAAFVASLSIVVPLLSSRLQRMHLELYPPILAALLGLMGAYTAGSSRDLRTIAYVVALVAAVLAYILLAMAIALKKKKKKHDVDLPGGIEDSETARPPRNEKDGQLEGEKGSKNNEPMKDNDFVLLLATLAASITYQAGLDPPGGVWSEDDKLYGRNAGDPILLSTHAKRYKAFFYCNSTAFAASLVVILMVQSKIVKGKALVIATMILDLFGLIGAYAAGSCRDVSTSIYVIALAGAVLVYVVIHVVFWPDNCYVSNQKDKEVEKRRERLLLLAILVATIAYQAGLTPPGGFWNKDDGESGHRAGVPVLLDNYPRRYHAFFYCNATAFMASVALIILLVNPKLYKLGIRCYTLYVCMMVGMFGLMGAYAAGSARKVRTSIYVFVLVGVVIAFLLVQLVYFNIQAVWKQLLVFLNVKKEPTSNSDSANTTNGSSSDSEQNIASNTEEESKKKEYLMTLAILAASVTYQAGLNPPGSVWQEGGNVGNPVMRDNNYPRYNAFFYCNSTSFMASIIVIILLLQQYQKKYGGFLLYAMNMVIVVDLLGLLGAYAAGSCRDWETSGYVIALAVVVLACIMIHFMLLYHNGRSKGRVGGVQEINTLPVNHS >ORGLA06G0106500.1 pep chromosome:AGI1.1:6:9228893:9229189:-1 gene:ORGLA06G0106500 transcript:ORGLA06G0106500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKERRLRAEGWMGHTEMIDRRRQRLHSGERERKLCVRKRMGSSDFDRGARFGGVDDGRLEEGTKRCEEMVGAIWDVGFERDNPDQLMRNEDVNISW >ORGLA06G0106400.1 pep chromosome:AGI1.1:6:9226018:9227318:1 gene:ORGLA06G0106400 transcript:ORGLA06G0106400.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXKNQRVYHLSTSKKEIKHRHHKASTGLDVMLNLLLQSPCGPKVEDSKKNMLSCCFMQAGGKVFTGRMCATLPWWSTWRGRWIHLRDQPWLWLRGEDTFGGERTSIQAKLANPRAIISNGWYSINHNRDDGISXSARVVTYHQFPQCKISSRXELPAILRDKWLTGKNMDDSAAQTGKQSKVSKQN >ORGLA06G0106300.1 pep chromosome:AGI1.1:6:9221421:9225077:-1 gene:ORGLA06G0106300 transcript:ORGLA06G0106300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRQPKLTIALTNTTDVLIPVNGQSEENNTNGDRAEYGMETGSDRQDSMNGLSSRFLLASSHAPSITVDFELLWRLRKYLVMLAILAVSVTYNAGLTPPGGFRTKNTTNGRDAGEPLLRVYFFPRYEVFFYCNATAFAASLVLIILLLSKSVTRQNLWLRSMQFTMILDLFSLMGAYAAGSCRAVKSSIYIWVLVVAVFIYVGIHILICTRIFPKWLKERVQMLLQKILAKWGVHEEKRSNDQKRNIEEARKFILTLATFSATITYQAGLSPPGGFWTEGSSRPATPILRSNYLLRYNFFMSCNATSFVASLVTIMLLLSPELSMHGIRSKAVIVCVVADLLGLIGAYAAGSCRDIAVSFYVMSVSIIVLTCFVVLAGILVYKPVADWLQKIKPDTLTCIGTIGRVFSLDFKRNRSIDREQENSQANHLQTVLESDAQVKENALQSTNIEEGESHAKNQPSGECQSANSEEAVPDSDHPLASYQQSMCSTDVVYNLQGQSIDDQAQPTAEESISNTQHPSEKSQHATNYKDGMHQSADNQQDANTKENSSSIDDSKTLKDGISDPEPQSADSHQVTDMKEQSAISNNLKTTDTEGSMPDPDNRSTDRQHVINKMEQSSSTDEPGDVVTPEEKVSPNVHVGHSEIEIAEDNIIAPHVENGYIDKNEGSPNEDGDRNQSAKHLKKCRTYLLLLAILAVSLTYQSGLNPPGGFWTRHEDYHSSGDHILEDTHHPRYIAFFYLNAIAFVASVVMIIMLLNRRMANKVIKRRALQIAMIVILLSLTGAYVMGSCRKTKNSVYISVMVLLVLAYVGIHVLIAIHVIPEGWKRQVAGKLNRLSCRHLWSPLHGSNQTGHDNKKDWERRRNLLLILSILAATVTYQAGMNPPGGLWSDDKDINRTLTGNPVLQENNLRRYNVFYYSNSVSFVSSVVITILLVNKGSCEHGMKFYALRVCLAAGLVGLLIAYAAGSCGKAKQSIYLIIVAVAVLISLMIQVFIMSSTYDKLGKPLCKCMESLLEWIFQTKEVRQDIGSEIQGSPDRGDKSERKRHKYLMLLAILAASITYQAGLNPPGGFWSDDSSDPPKHMAGDPVLHNIHPHRYKAFFCFNAFSFMSSIVVIMLLLSKSVREKNVPLGVLHLIMILDLLALMTAFAAGSCRKFMTSVYVYGLVIGVTIYLLLVTILASSIAKCLRSRKISKNSYEKHHEHPSIVNTLPLEQQV >ORGLA06G0106200.1 pep chromosome:AGI1.1:6:9200886:9205998:-1 gene:ORGLA06G0106200 transcript:ORGLA06G0106200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGVSNMQPHRGSESIAAADGGHPQSEIVESNSLPTPEPRDDVQMADETADIESQQDSKMVEIKDQDSGNSSSRLASFNSHEARIRIDFEFLWRLRKYLLLLAVLAVSVTYNAGLSPPGGFWADDSPVHHAGDPLLPSKFFQRYEAFFYCNATAFAASLVLIILLLSRGVANQHLWLRAMQVTMILDLFSLMAAYAAGSCRALKSSAYILVLVLSVFLYVGIHILVFIRVVPKGLKEGVQTFMHKTVQKLQRMLKRVLTICHPPKKQRSNQNEKEEIEEARKFILMLSTFAATITYQAGMSPPGGFWAENNHGYRPATFVLRRHNLRRFNIFTCSNATSFVASLVTIILLLSTELSRHGIRTQALFVCVIAELFGLIFAYAAGSCRDVATSLSVIFIIVVVLICALILVMFFQSRTVTIWIDNALRPRFDHFLEMLSWPRENRLSDGNREGPLSSSRQDTDHGNLGDQSTEDVKSAPINDLESIKDSIPNMANQLHDQKDNLAIATVHSSSADVPSTKGPLPEQVLSEPISALGDRTVSADVPDTEHNIAKRQRDREEQTQELSGHHDSSEADGEVRKSEDGIVSNNDGTRDKGRISGDSEKNPDDVRLKKSRTYLLLLAILAVSLTYQAGINPPGGFWTSNTPNHSPGDPILEDNYHKRYLAFFYFNAIAFLASLVMLIMLLNRKMSNKVIKRRALQTAMITDLLALLGAFVVGSCREKTKSIYISVVIFFVVVAYTFLHVLASKYAVPEQWKQLFKRRQDVLQEHHVDNDAKDAHEKDLERRRNLLFILAILTATVTYQAGLNPPGGIWPDGSGKPGNPVLQDSHPKRYDVFYYSNALSFVSSVAVIILLVNRESCEHGIKSYALRICLIAGLLGLPIAYSAGSFRKVKSIGYLIIITAAVLICLLIQVLVLSSTNDALEPPARSGRWLQKFFGLADSQKSLASPGQSKNESDKSDPLINEKKEKRHKYLMLLAILAASIAYQAGLNPPGGFWSEDSRDGYKAGNPLLKDIHSRRYMVFYVSNSISFMASIAVIMLLLSKSVRKNKVPLQALFLIMILDLLALMTAYAAGSCRKIRKVKENDCSCVDFLHFSRTLSSSAIPNARGSLRVAKRKGTWDGIDAHNSHQDIAKYCEWSCSQRMLP >ORGLA06G0106100.1 pep chromosome:AGI1.1:6:9188747:9191004:-1 gene:ORGLA06G0106100 transcript:ORGLA06G0106100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAMPRAPPAAPNIQSLKLCSQNDSSLETTSPSKRSALVPGRSAESSKPNSEVVQKEQKSTQHQNESIDLTGSNDPAEVKAEGNLVPKRLADEEKGVVEDGIANGSLKSSSALGKEHGIASASGSARLVGRSETGERGFSSSRCRPSTSSDVSDESACSSICSVTKPHKANDSRWEAIQMIRTRDGILGLSHFKLLKKLGCGDIGSVYLSELNGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPMLYTHFETDKFSCLVMEFCPGGDLHTLRQRQRGKYFPEQAVKFYVAEILLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIRSSNPDAEALRKNNQAYCVQPACVEPSCMIQPSCATPTTCFGPRFFSKSKKDRKPKPEVVNQVSPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLGCKRGATEIKQHPFFEGVNWALIRCASPPEVPRPVEIERPPKQPVSTSESAAAPSDAAQKSSDSYLEFDFF >ORGLA06G0106000.1 pep chromosome:AGI1.1:6:9183352:9187677:1 gene:ORGLA06G0106000 transcript:ORGLA06G0106000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESREEGGGGGVVEDGDEAGGRPGKDGGSGGAGRGRDGNNGISTWEIEEMEDEAGPASLAPPAAAADVYVAVGKGGSSMEALSWALRRLASPRSFVYLVHVFPVVISIPTGLGMMPKSQANPEQVETYMNQERSKRRVMLQKYLDHCRNFQVNVDVYLIESDHVADAILELIPVFHVQQLVLGVSKSNLRKFKRGNTIAGQVQKNAPLYCEVKIICDGKEVTTVPTADPTPPISPSPVNNKSNSISPTPLSPAPDHNNSAVADDDEKETNPNERNKITKYLKCFSF >ORGLA06G0105900.1 pep chromosome:AGI1.1:6:9166568:9168004:1 gene:ORGLA06G0105900 transcript:ORGLA06G0105900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q1P6] MAPPTVLNSGEPDARRRARPHVVFVPSAGMGHLLPFFRFIGALSAHDVDISVVTVLPTVSANEADHFARLFHDFPSIRRVDFNLLPLDASEFPGADPFLLRWEALRRSMHLLAPAIAGVAPRATAVVTDVTLVSHVNPIAKDLRLQCHVLFISSATMMSLCSYFPIYLDNKDAQADVGDVDVPGVRHLKMSWLPQPLLDLDMLFTKQFIENGREVVKTDGVLINTFDALEPVALAALRDGTVVRGFPPVFAVGPYSSLASEKKAADADQSSALAWLDQQPARSVVYVAFGNRCTVSNDQLREIAAGLEASGFRFLWILKTTVVDRDEAAAGGVRDVLGDGFMERVKGRGMVTKEWVDQEAVLGHPAVGLFLSHSGWNSVTEAAAAGVPLLAWPRGGDHRVAATVVASSGVGVWMEQWSWDGEEWLVSGEEIGGKVKEMMADDAVRERAAKVGEEAAKAVAEGGTSHTSMLEFVAKLKAA >ORGLA06G0105800.1 pep chromosome:AGI1.1:6:9151599:9151904:1 gene:ORGLA06G0105800 transcript:ORGLA06G0105800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTTELAWDPHVGAFQSNTFLLASVVVAGENLLRKGESMVEWGEEAAKQQRTF >ORGLA06G0105700.1 pep chromosome:AGI1.1:6:9123631:9125076:-1 gene:ORGLA06G0105700 transcript:ORGLA06G0105700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q6Y3] MAPPPAVLSSGELGARGHTRPHVVFVPSAGMGHLLQFFRFIGALSAHDVDISVVTVFPTVSAAEADHFAALFRDYPSVRRLDFDLLPFDASEFPGGDPFLLRWEALRRSLHLLGPVIAGVTPRVTATVTDVTLVSHVNPIAKDLGIQCHVLYVSSAAMMSLVSYFPIYLDNKDAGADVGDVDIPGVRRLKRSWLPQPLLDLNKLFTKQFIENGREMVKTDGVLINTFDALEPVALAALRDGKVVRGFPPVFAVGPHSSLASEATKSAAAEAEGSPMAWLRQQPARSVVYVAFGSRCAVSHEQIREIAAGLEASGSRFLWILKTTVVDRDDDAGIRDVLGDGFLERVRGRGVVTKAWVDQDAVLRDPAVGLFLSHSGWNSVIEAATAGVPLLAWPRGGDHRVAATVVASSGVGVWMEQWSWDGEEWLVSGEEIGGKVKEMMADAGVREKAAKVGEEVAKAVAVGGTSHTGILDFVAKLKATT >ORGLA06G0105600.1 pep chromosome:AGI1.1:6:9115064:9115681:1 gene:ORGLA06G0105600 transcript:ORGLA06G0105600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASPSASPPRPDETTLADFTVSAVRGATATTTARGKRGQRPAKPLLVTVRPVCLVNGDGDDVLENGRSWDAVRVLAWLDAKPAPSVVYVCFGRLTRFPHEQVAELGMGLVDSGVNFVWVVGDKNTLASLFPVERQRVTLLAGESALRLL >ORGLA06G0105500.1 pep chromosome:AGI1.1:6:9112978:9113626:-1 gene:ORGLA06G0105500 transcript:ORGLA06G0105500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRGGESGGGDSPHRWEEANSKTPRLQPGSAGGRSTAPSAEMSLRGWGESGGDDSPRLQGDSSSCKKTKSRTPSLQPGSASEHVAASSATAVETRLEFGKVDGEAHAPRSPALGKATTVVLCSSTKHALNRRAVAGGLDLDPKRXGVKIKKTRLGVRYVGWEWIFFVLHKGCLDVG >ORGLA06G0105400.1 pep chromosome:AGI1.1:6:9104327:9105784:1 gene:ORGLA06G0105400 transcript:ORGLA06G0105400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q1P1] MCSAATPNSGDVRATPGSSRPHVVLLPSAGMGHLVPFTRLAAALCSGHGCDVSLVAAVPTVSSAEARHLAAHFAAFPAVRRLELDLASLDVSEFAGADPFYVRYEAIRRSASLLAPLLAGGASAAASALVADIALASVVIPVAKDLRLPCYVFFTASATMFSFLAYLPTYLDANAGGGHAIGDVDVPGVCRVPTSSVPQALHDPDDIFTRQFIANARSLANADGLVVNAFDALEPEAVAALRQGTVAAGLPPVLAVGPLSPAPIPAKDSGSYLPWLDAQPARSVVYVSFGSRKALPRDQLSELAAGLEASGHRFLWVVKGAVVDRDDAGELTDLLGEAFMQRIHGRGLVTMAWVRQEEVLNHPSVGLFISHCGWNSVTEAAASGVPVVAWPRFADQRVNAGVVARAGIGVWVDTWSWEGEDDGVVSAEDIAGKVRSAMADEGVRKAAASVREAAARAVAAGGSSYRSLAELVRRCRDGHVITNGM >ORGLA06G0105300.1 pep chromosome:AGI1.1:6:9066873:9067121:-1 gene:ORGLA06G0105300 transcript:ORGLA06G0105300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKQAIYICSLIFWFASKILGTFLAEMLFMRFKKRASIYFSYICICCSIFYFRKFSSCMALRSFVGQNLYVYCVFLNKVK >ORGLA06G0105200.1 pep chromosome:AGI1.1:6:9063235:9064650:1 gene:ORGLA06G0105200 transcript:ORGLA06G0105200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q788] MPSSGDAAGRRPHVVLIPSAGMGHLVPFGRLAVALSSGHGCDVSLVTVLPTVSTAESKHLDALFDAFPAVRRLDFELAPFDASEFPGADPFFLRFEAMRRSAPLLGPLLTGAGASALATDIALTSVVIPVAKEQGLPCHILFTASAAMLSLCAYFPTYLDANAGDGGGVGDVDIPGVYRIPKASIPQALHDPNHLFTRQFVANGRSLTSAAGILVNTFDALEPEAVAALQQGKVASGFPPVFAVGPLLPASNQAKDPQANYMEWLDAQPARSVVYVSFGSRKAISGEQLRELAAGLETSGHRFLWVVKSTVVDRDDAAELGELLGEGFLERVEKRGLVTKAWVDQEEVLKHESVALFVSHCGWNSVTEAAASGVPVLALPRFGDQRVNSGVVARARLGVWADTWSWEGEAGVIGAEEISEKVKAAMADEALRRKAASLAKAAAKAVAGGGSSHRCLVEFARLCQGGTCRTN >ORGLA06G0105100.1 pep chromosome:AGI1.1:6:9053953:9055482:-1 gene:ORGLA06G0105100 transcript:ORGLA06G0105100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAATKPSKSPLLILLVSGVAFLLLASAVECYGGGRHDVTRSAVARRSGVGSRRQYVRHRLTGGAVDVPHRYMLAEKGSNSTRANHTSPAASNSTPSATTTEAPAAGKHHRSHKHRVRNWIIGFVVGSLAGVVSGLAMSVLFRMALNCVRGRYRSKSDTVIFIPKLIKSKEHLAFLEKDQDGLASLAVIGRGGCGEVYKAQLPPEREGDAPRFIAIKKIKKRSGDGSGGQNNNLSDEESRQLDKWTRQIQSEIRTVGHIRHRNLLPLAAHVPRPDCHYLVYEFMKNGSLHNALKATTTDTTTNDYNDNNSGEHPPPSPALPWPARLRIAVGIAAGLEYLHVSQRPQIIHRDLKPANILLDDDMEARIADFGLAKAMPDAHTHMTTSNVAGTLGYIAPEYHQTLKFTAKCDVYSFGVILAVLGTGKEPTDKFFAQQVVDDVGIVRWLRRVMQEGDPAAQAGVIDAAIAGAGHDEQILLVLRIAVFCTADDPKDRPTAKDVRCMLSQIKN >ORGLA06G0105000.1 pep chromosome:AGI1.1:6:9044944:9045381:-1 gene:ORGLA06G0105000 transcript:ORGLA06G0105000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDLGSSLVTLSMQYVLLGFSRVARTTVAAAASPTSGSLAPQATARGGLPPNWGAAAAHGRHERQRRVGGGDSGERVRSR >ORGLA06G0104900.1 pep chromosome:AGI1.1:6:9038743:9040164:1 gene:ORGLA06G0104900 transcript:ORGLA06G0104900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDITISISREENFVFLPRGTDYEAVQGNTRHIAFQGSKYCSKISFNWSIIRSLTMFAERPVELEHSVCSSQLRMLRVLDLRDAQFTITQNDVNNIVLLCHLKYLRIARYNNASYIYSLPKSIGRLDGRQTLDLDSTNISTLPTQITKLRSLRSLRCMKQYDFSSFTTCLTDTLCLPMIFTPSVSTSDRAEKIANLHLATKSFRSKSNGVKVPKGICRLRDLQILGVVDIRRTSSRVIKELGQLSKLRKLYVVTKGSTKLKCEILYTAIQKLYSLQSLHMDAVGCTGIGTLECLDSVSSPPPLLRTLRLNGSLEELPNWIERLTHLRKFYLLRTKLKEGKTMLILGALPNLMLLHFCHNAYLGEKLVFKTGAFPNLRTLVTFNLDQQRDIRFEDGSSPQLEKIEIGRCRLESGIIGIIHLPRLKEISVEYKGKVAMLAQLEGEVNAHPNRPVLRMAMDRSDHDLAGNAKGSPP >ORGLA06G0104800.1 pep chromosome:AGI1.1:6:9009811:9015738:1 gene:ORGLA06G0104800 transcript:ORGLA06G0104800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPVQYCLHAESATNLSTHLIGLVNEKSRYFIVAHGFVDRIGILDWSVFGEGEESIQSMAETVLSMARSLVGSAISKATSAAAHEASLLLGVQKDIWYIKDELKTMQAFLRAAEVMKKKDELLKVWAEQIRDLSYDIEDCLDEFKVHIESQNLFYQMVKLRKRHLIATQIRNLKSRVEEVSSRNSRYNLVKPISSSNEDDMDCYAEDIRNQSTSNVDETELVGFSDSKIRIELLKDMIRQFLGSNSLDQVLQELQGKMVVQIPHLSDYLRKKLKEKRYFVVLDDLWSLDAWNWINDIAFPKNNNKGSRIVVTTRDVGLAEKCTTTSLVYHLEHLQMNDAITLLLRKTNRTHEDMGTNKNMQKIVEQIVNKCGRLPLAILTIGAVLATKQVLEWEKFYKQLPSELESNPSLQALRRMVTLGYNHLPSHLKSCFLYLSIFPEDFEIKRSRLVDRWIAEGFVRAKVGMTTKDVGDSYFNELINRSMIQRSRVGIEGKIKSCRVHDIMRDITVSISREENFVFLPVHDGSNLAQENTRHIALHGSMSCKTGLDWSIIRSLAIFGDRPNNLAHTICSNKFRMLRVLDLEDVKFLITQKDFNNIALLRHLKYLSFGRIFSSCIYTLPRSIGKLHGLQTLNMSSTYIATLPTEISKLQCLRTLRCTRVSNNNNFSINHPVKCLTNTMCLPNIHTFS >ORGLA06G0104700.1 pep chromosome:AGI1.1:6:8978736:8980870:1 gene:ORGLA06G0104700 transcript:ORGLA06G0104700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVLSIAKSLVGSAVSKVASVAADKMIMLLGVQKEIWFIKDELQTIQAFLIAAEASKKSILLKVWVQQVRDLSYDIEDCLDEFTV >ORGLA06G0104600.1 pep chromosome:AGI1.1:6:8967376:8970324:1 gene:ORGLA06G0104600 transcript:ORGLA06G0104600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFLRAAEVMKKKDELLKMVKLRERHRIAIRIHNLKSRVEEVSSRNTRYSLVKPISSSTEDDMDSYAEDIRNLSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALSRKIFESEEDIRKNFPCNAWITVSQSFHRIELLKDMIRQLLGPISLNLLLKELQGKVVVQVHHLSEYLLEELKEKRYFVVLDDLWFLHDWNWINDIAFPKNNKMGSRIVITTRSVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKKHEDMESNKNMQNMVERIVNKCGRLPLAILTIGAVLATKHLSEWEKFYEQLPSELEINPSLEALRRMVTLGYNHLPSHLKPCFLYLSIFPEDFKIKRNRLVGRWIAEGFVRPQVGTTTKDVGESYFNELISRSMIQRSRVGIAGKIQSCRVHDIIRDITVSISRQENFVLLPVGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGDRPKSLAHAVCPDQLRMLRVLDLEDVTFLITQKDFDRIALLCHLKYLSIGYSSCTYSLPRSIGKLQGLQTLNMLRTYIAALPSEISKLQCLHTLRCIREFHYDNFSLNHPMKCITNTICLPKVFTPLVSRDDRAKKIAELHMATKSCWSESYGVKVPKGIGRLRDLHVLEYVDIRQTSSRAIKELGQLSKLRKLGVITKGSTKEKCKILYAAIEKLCSLQSLHVGAVGLSGIGTLECLDYISSPSPLLRTLRLNGSLEEMPNWIEQLTHLKKFYLWRSKLKEGKTMLILGALPNLMLLSLYHNSYLGEKLVFKTGAFPNLRTLQIYDLDQLREIRFEDGSSPLLEEIEIGRCLLKSGIIGIIHLPRLKEISLGFVSKVARLGQLEGELNAHPNRPMLRTYKDRSYHDLGAEAEGSSVQVEAADPLPDAEGSVAVEVEAKDPLPEQEGESLQVITLTTNDRSVTPYMAA >ORGLA06G0104500.1 pep chromosome:AGI1.1:6:8960853:8962025:1 gene:ORGLA06G0104500 transcript:ORGLA06G0104500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARSLVGSAISKAASAAANETSLLLGVEKDICSYVPTSPYLPALLAHASSNHTVTDQLPTAADFCLICHRRPSPSYHRGNYRGS >ORGLA06G0104400.1 pep chromosome:AGI1.1:6:8954700:8958831:1 gene:ORGLA06G0104400 transcript:ORGLA06G0104400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETVVSMAMSVLGSAVGKAASAAADEATLLLGIQKEIWYIKDELKTIQAFLRAAEVTKKKDDLLKVWAEQVRDLSYNIEDCLDEFKVHVESQSLAKQLMKLGERHRIAVQIRNLKSRIEEVSNRNTRYSLIKPISSITTEDERDSYLEDARNRSGSNTDESELVGFAKTKDELLKLIDVNTNDGPAKVICVVGMGGLGKTTLARKAYENKEHMKNFSCCAWITVSQSFDRKEILKQMIRQLLGADSLDKLLKEFSEKLLVQVQHLADHLVEGLKEKRYFVVLDDLWTIDAWNWIHDIAFPKINNRGSRIIITTRDAGLAGRCTSESLIYHLEPLHIDDAIHLLLAKTNIRLEDMENDEDLGSIVTKLVKRCGYLPLAILTIGGILATKKIMEWGKFYRELPSELESNPSLEAMRRMVTLSYNHLPSHLKPCFLYLSIFPEDFEIQRGRLVDRWIAEGFVRATDGVNIEDVGNSHFNELINRSLIQPSKVSTDGVVKRCRIHDIMCDIIVSISREENFVLLTREKITVVAEESIRHLAFHGSKCSKICLEWNHLRSVTLFGDRPVGRTPALCSPQFRMLRVLDLEDAKFKFTQNDIRNIGLLRHMKYLNFARASTIYKLPRSIGKLQCLQILNMREANISALTTEVTKLQNLRSLRCSRRSGSGYFSIIDNPKECLMITMCLPMVFSTSINFSDRVKLIPEICMSCSTRWSDTKGVRVPRGIDNLKELQILEVMDINRTSRKAIEELGELIQLRKLSVTTKGATNKKYQIFCAAIEKLSSLQSLRVDAEGFSDTGTLEWLNSIACPPPFLKRLKLNGSLADTPNWFGNLKQLVKMCLSRCGLKDGKTMEILGALPNLMVLRLYRNAYADEKMTFRRGTFPNLRCLDIYLLKQLREIRFEEGTSPTMESIEIYGCRLESGIIGIKHLPRLKIISLEYDGKVAKLDVLQEEVNTHPNHTELQMAEDRSHHDLGGI >ORGLA06G0104300.1 pep chromosome:AGI1.1:6:8951173:8953435:1 gene:ORGLA06G0104300 transcript:ORGLA06G0104300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKGGNGQDTDSPCKETRTERVESYAPKTNSKKWFCCVTSSPTQS >ORGLA06G0104200.1 pep chromosome:AGI1.1:6:8944532:8947250:-1 gene:ORGLA06G0104200 transcript:ORGLA06G0104200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAVRPRSRPVRLATRRGQTRAIKPVRPISISFEEHPGGSVHVIYQRNTIKWNKNTYLQEKALESVSVLVASEQRRGGCRLESN >ORGLA06G0104100.1 pep chromosome:AGI1.1:6:8938868:8939197:1 gene:ORGLA06G0104100 transcript:ORGLA06G0104100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPGTARSRNGSRTLDAAATALGRTLGVGAAAAAPSFGRGSFGHVVGLPPPPRSRGRGRGSVAAAMTVPAFSIDGSIGGDFTSSIGPHASSQPWFDAAGGDPSSPGSW >ORGLA06G0104000.1 pep chromosome:AGI1.1:6:8921630:8935137:-1 gene:ORGLA06G0104000 transcript:ORGLA06G0104000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEQLKELGEKLEAAPPDPADDLAKLLEQAAECLHGVEQSPGPSVMETIQPCLKAVARDEFLKHHDEDVKVLLATCFCEITRITAPEAPYSDDVLRDMFHLIVDTFSGLNDVNGKSFGRRVAILETVARYRACVVMLDLECNDLIADMFRSFLEIISDNHEPNIVNSMQSVMALIIDESEDIEESLLNVLLSTLGRKKTGVSLPARKLARHVIEHSAGKLEPYIRKILTSSLDGDGTSTNNSIDHHEVIFDLYQCAPKVLKVVVPYITGELLADEVETRSKAVEILGELFSLPGIPILESFKSLFDEFLKRLTDRAVEIRVSVIEHLKKCLMSNHSRPEAQEIIKALCDRLLDYEENVRKQVVAAICDVACHSLGAVPVETIKQVAERVRDKSVSVKCYTMERLADIYKFYCQSGSDNSVNSDDFEWIPGKILRCLYDKDFRPESIESILCGSLFPPEYPTKERVKHWVTAVTHFDKVEMKALEQIFLQKQRLQQEMLKYMSLRQTSQEDTPDMKKKILGCFRSMSRLFNDHTKSEEYLNMLHQIKDANIWNIFTSLLDCSTTFNEAWSLRVDLLTKLGEKHALHDFVSTLSMRCSYLLVNKEYVKEILSEASDQKSTGNTKLMSSCMDLLTAVSSFFPSLLSGLEEDIIELLKEDNELLKEGIAHVLSKAGGNIREQLASSSSITLLLERLCLEGTRKQAKYSVHALAAITKDDGLMSLSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILDCNDDSGDVSAHKSEWSDSTQSCLLKIYGIKTLVKSCQPCKDAQAHPGIEKLMGILKNILTYGDISANMISSTIDKAHLRLAAAKAVLRLSRQWDHKVPVDVFYLTLRISQDDVPQVRKLFLSKVHQYIKERALDAKYACAFLLAMDDYHAPQYEEFKHNIIEVAQICQQVKMRQLSVQAETNVLTAYPEYMISYLVHALSHDPSCPNIEEHEDVEAFGPIYWRLHLLLSILLGEEGLQHSVPGMKKESFTTIVSIFKSIKYSQDVVDVNKTKTLHAICDLGILIGKKLCQEQINISEAQTVSLPSQLYAPVQKDQNENSVESDEQIWPGCENVLAHFEALMTAKSAEVESPKDKMLIDETDEFGNEVPLGKIVKILKSQGAKKAGRKQKTKSGSINMEKDDDVLGLVREINLDNQENLGESEKSKPKKKRMDAKESNDKPVDFSTPKRKRSVSKSRPHSTKGNKNSDELLLQSVDPDETINSFENKVEGAKKRDDSVDTELVTSPASVKTPVSKGKKGAKKPHAEILSSSPKKSDEAGSSKRTVDSGSLNGSIKRQKPKLVSGLAKCTTHDTGSADLIGKRIKVWWPLDKKFYEGVVESFDSSKRRHTVLYDDGDVEVLNLAKEKWEIVASDDPPVKARKKDHSGRNQGRAQDKSITSSKQTPPPEQEKSKKRPSPPKRKGKPKGLPKNKRRKIGGKSSVDAAGDANIDSDSSSSLAHSDSDNDKKSDGRNEKVVVAKKAKAEKVSGKGDEPKEEEPDDHNLNSKEESDNETLSVWKKRTAKAT >ORGLA06G0103900.1 pep chromosome:AGI1.1:6:8918133:8920102:1 gene:ORGLA06G0103900 transcript:ORGLA06G0103900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRLRLAAAGENPIPQSKSGGEGGTERKPEEARRREVTDLGGGSEVVHVPRFVAREAAWGWFDYLDKRIPWTRPTIRVFGRSAVQPRDTCYVADEGLTDLRYSGHQPHAHSWDEFPVLKDILKAVHEALPGSHFNSLLLNRYKTGSDYVSWHADDEPLYGPTPEIASVTLGCEREFLLRKKPTKSQASLGSGEVAPKRLKVSAPQQHSFLLKHGSLLVMRGYTQRDWQHSVPKRAKASSPRINLTFRRVL >ORGLA06G0103800.1 pep chromosome:AGI1.1:6:8911726:8912492:1 gene:ORGLA06G0103800 transcript:ORGLA06G0103800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALLFPATVIAAVCVVVLAGGASAAPPGRVFVVGGDGPRGWSQPTGTDETYNHWASRNRFHIGDFLDFKYAKNDSVLVVSRADYKLCSADKPVQRFDDGADVRFRLDRNGNFYFISGAPGHCKAGQRMTVRVMADHAAKGAAGGDSPAGAPSPDGDGDDEDDSGGSYRTPGYGYSSGSPPTPPHGNTSAAAAVSPSRGGGGGGGYHRVAGVAAAALLIFA >ORGLA06G0103700.1 pep chromosome:AGI1.1:6:8870171:8871427:-1 gene:ORGLA06G0103700 transcript:ORGLA06G0103700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARYKTFYYFNSTAFVTSLVIMVLLMSERFYRTETKVAALVVTTFIDLASLVGAYIAGFTRFMSSCAYVIAITGVAFVSVIAMGEVMGIVCDFFRGRSPCMSSCYPLHGSRAEGNGLPIHKAEDEEQGGVDLLVIEWYMDFGTNDVLHRKYNLRSNTFIPSRTVEDGI >ORGLA06G0103600.1 pep chromosome:AGI1.1:6:8862821:8863486:-1 gene:ORGLA06G0103600 transcript:ORGLA06G0103600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYCQRQSPSSGGRAVPVGDAAPGELAAPAAINLVPNGKRGMPVLITPSLPQQQGGASAAAPFHGIIVLKEENEDPVALRNKWFREMRGWLMVVATVAASASYQAGLNPPGGFWQDDKPGPGGHSAGNPVLRHTSPARYKTFYYFNATTFVTSLVITVLLMSERFYRSETKVVALMIATFLDLASLVGAYIAGSTRFTSSCIYVIVITGFAFACVIAMGE >ORGLA06G0103500.1 pep chromosome:AGI1.1:6:8851664:8852426:-1 gene:ORGLA06G0103500 transcript:ORGLA06G0103500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPEHGGRSSSSSSTDPELDQHNKGILEKMHKSLLLLAILAATVTYNAGLAPPGGVWADDADGHVAGDPVLQAHYPVRYSVFFYCNATAFVASLVITMLLLSSTFSFHGYRVRALQAAMALDLIGLLGAFAAGGCRSVRTSAFVLALVAVIAAYLVAHLLLHFSIRSSRCPSHRRELVELLNLHRCHSCCVGAAAAKDDATTVAQAGTEAPAAPKRASSV >ORGLA06G0103400.1 pep chromosome:AGI1.1:6:8845197:8845738:-1 gene:ORGLA06G0103400 transcript:ORGLA06G0103400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TISCNHLDNQALRVYGTHNIHTQHYNFVGASSHYTPLHANGYSPLLANDGSSVPLAKPTSSALTGNNDHVTVDSDDDNGVVRTRLKLNWIQEEDVKLMSVWLNNSMDPINGNDKKAEKYWGDGEEMEKPKASQGVLAQTQHSEGCVPRLLVEG >ORGLA06G0103300.1 pep chromosome:AGI1.1:6:8841279:8841776:-1 gene:ORGLA06G0103300 transcript:ORGLA06G0103300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTMCSTKCFSPDVEPNLTVDVVVTCATTAMTSVDLVAAEDAIGATYIYNPIQPMVTPAKCLTNCSNPNDIPDLTMVAVVTCTSTSLASMDLEVGEDVACTTEIDGLNCHKETHTKCSMLGLDVKGGADHVGDVFLTMTGVAKAVPISIESIDIFSARLVSDLK >ORGLA06G0103200.1 pep chromosome:AGI1.1:6:8836941:8839031:1 gene:ORGLA06G0103200 transcript:ORGLA06G0103200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPLRLRLAVAFLPLLLAAASASAAAARGGFNVSFDSAALAFSDLTLLGDSFLRNGSVGLTRDTAVPSSSAGSVLCSRAVAFGSGGGSAASFAARFSFVIAEQNAGSTGGDGIAFFISPDRATLGATGGYLGLFNSSSSAAKTNASIVAVEFDTMLNDEFGDPSDNHVGLDLGSPVSVNAVDLAAFGVVLNSGNLTTAWIDYHGADHLLQVSLSYSAAKPAKPVLSVPVDLSPYLRDAMYVGFSASTEGSTQQHTIKEWTFQTFGFPSATNSSSFSNTTGNASAPTVPGEAAAGGAASRKKRFGLALGILGPVALAVSFVFFAWVSIRKLIELTSRKDAGFLPELVKGPRKFSYKELSAATRGFHASRVIGKGAFGTVYKAAMPGTATASAVSYAVKRSTQAHQSRNEFVAELSVIACLRHKNLVQLEGWCDDKGELLLVYEYMPNGSLDKALYGEPCTLSWPERYTVASGIASVLSYLHQECEQRVIHRDIKTSNILLDGNLSPRLGDFGLARLMDHNKSPVSTLTAGTMGYLAPEYLQSGKATEQTDVFSYGVVVLEVCCGRRPIDKDDGGGKNVNLVDWVWRLHGEDRLIDAADPRLAGGFDRDEMLRLLLVGLSCANPNCDERPAMRRVVQILNREAEPVPVPRKKPLLVFSSSASIKLQEIAFACGDDVRGGLPAAATSPRSEGGDIER >ORGLA06G0103100.1 pep chromosome:AGI1.1:6:8829232:8829984:1 gene:ORGLA06G0103100 transcript:ORGLA06G0103100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAFPNGGAAAPPPPMAAEQPAAAAAVVREQDRLMPIANVIRIMRRVLPPHAKISDDAKEVIQECVSEFISFVTGEANDRCHREHRKTVTAEDLVWAMDRLGFDDYVPPLTAYLRRTREYEGGGSGGGGGGGRGAAAAPAVVPPPPPPPPEDAFRYVQVHHPVYAAPGEPVQGYGYPVAMSSALPAPHVHVGVRGGGQHEVFGGGPAPLAVYYGGALYGEASSRGGCSAADEGSSSSSASPAPVGPNYE >ORGLA06G0103000.1 pep chromosome:AGI1.1:6:8822460:8823231:1 gene:ORGLA06G0103000 transcript:ORGLA06G0103000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTLNASTVFFLLLLLLCVSVMSPPFTPASASPMGLTADAKVALLLYHAVAAHYSEEALKAINGEVNTLATDGGGGGKVLNLTIEEDDDGAGATVKLSSSSGNVARVTKTIQDADPHAVYLIDAVLMPLEVVVNVSSGGGAAAPSPAPVTSPAPAPAQATNPSPSPDSKPDNQPAAEQPPENSASKGGMAAWSLLSVVVPAIASLVLR >ORGLA06G0102900.1 pep chromosome:AGI1.1:6:8818256:8818642:1 gene:ORGLA06G0102900 transcript:ORGLA06G0102900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATVAILFYILTAAAAISAAAQAPAESPSPKPSKSTAAATPAKAPTVASAPRKAGPAAAPTTTVATSAPAGGDEVSIPPTPFATVVSPVADGPADAADADFSGAGALKRCAAVAGVAAAIATVTFY >ORGLA06G0102800.1 pep chromosome:AGI1.1:6:8812316:8815561:1 gene:ORGLA06G0102800 transcript:ORGLA06G0102800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRSLRPPQPQQAAAEAEAGPGGGEGGGNVDRVLFKNLVEMVPLVESLMDRRSNPSYSRRASMVYTPAPAKKGSDLKSVKSPQSVSVKKRRDPGETGKKSTADSNGENGAVAPVGLLGGENKPKDKDEIVLLREQIEELQKTLLEKEEALKSAESLVGEMNTLYSTVDELRRQVADKEGLIKSINSQLHNAKIMLADKQASLEKLEWEVKTSNKKVEDLQGDVSNMEFEIGSLMALFEKISENVSGELQDGSLPSSFELEALQSTSEIDKIEVEKIEQEAVTYAEALAAARENPNEEQLNIAAEARLRLQVLVL >ORGLA06G0102700.1 pep chromosome:AGI1.1:6:8800557:8800820:1 gene:ORGLA06G0102700 transcript:ORGLA06G0102700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVVGACSMAVGDFASIYTQLNIELAEQAAPWPDWPASIDMTGEHHGVQSRKNGNEAAISIIKIGHVQSGEKQEEKLTNKRGHYS >ORGLA06G0102600.1 pep chromosome:AGI1.1:6:8793213:8794064:1 gene:ORGLA06G0102600 transcript:ORGLA06G0102600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFHSVPGLAGRLFGGAAAVAAVEEVRCPRCDSSNTKFCYYNNYNLSQPRHFCKACRRYWTKGGLLRNVPVGGGCRKPKRPAPLPSSSFTGGGGGGGGGCGHRDSKSARSAGGGGDGSGSTASATATPAAAPASSNTLSAAVSQPSSVDALSPPPAPMFADQATAFASLFAPPPPPPSQALPAFASFTAQPKAEEDVADAPALAATEQHRSSSAASFAAHSISPPFAAARSSDGPAAAAAAAAADWAPPTAVLDAGMFDLAGDTSYWNAASWTDHDGTIYLP >ORGLA06G0102500.1 pep chromosome:AGI1.1:6:8771301:8774646:-1 gene:ORGLA06G0102500 transcript:ORGLA06G0102500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAMVGGKLAGVGGEKLRCAAAPAAAARSRMKLWMVRATTTVLLWTCVVQLTAVGDTWGPRVLKGWPSCITSPDDDAASATLAAARPEPVVDKAVLPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVEHGMYHSMPPISWSDISYYHNQILPLIRKYKVLHLNRTDARLANNGLPMEIQKLRCRVNYASLRFTSQIEELGKRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCSNEEADDLTRMRYAYPWWKEKIINSELKRKDGLCPLTPEETALVLRALDIDRSMQIYIAAGEIYGGKRRMSTLTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYMGFKKTILLDRKLIVELVDQYNNSSLRWDEFSLMLKAAHANRMGSASKRTVILDRPKEEDYFYANPQECLQDSNLLHTS >ORGLA06G0102400.1 pep chromosome:AGI1.1:6:8737102:8737720:-1 gene:ORGLA06G0102400 transcript:ORGLA06G0102400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRVAAQRDDEPARTNDRLEESSMRTNGDDDNKHRRGSDGGEARPRATLTLRCQRRRWRRRPTHGHGGRGCRRNSGEAEGETGAGDGVPAKQRAGRRRGGGCDAGGGDGTAGRRSGEEGEAAGGGRRGGKRRATAGRGDTTTGRLGMRLK >ORGLA06G0102300.1 pep chromosome:AGI1.1:6:8711334:8713436:-1 gene:ORGLA06G0102300 transcript:ORGLA06G0102300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVTSLSHPSTASSAKFDGRRSEERRKKGLIVAAASSALTSIPLSLLAMSRNLESPVQTQMAVSALNRALSSEYPSKSRSEGRASGWKRIFVQTDTGCVLAVQLDRGDNAHTVKRKLQLALNVPTEESSLTFGDRVLKNDLSTIRNDSPLLLTKTFMHRSSSTPCLSPTGKDIQQQRDRGGPIELLVCPSRCSRTKQLVKDVARAIRNCVDPIPVNSGLGGAYYFRNSKGENAAIVKPNDEEPFAPNNPKGFTGKALGQPGLKRSVRVGETGFREVAAYLLDYDNSANVPPTVLVKISHPVFNVNECVSSANMKASKDYPGAVSKIASFQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLTGPGKFGNQTELIPIDHGLCLPECLEDPYFEWIHWPQASIPFSDDELEYIANLDPMKDADMLRMELPMIREACLRVLILSTIFLKEATSFGLCLAEIGEMMSREFTGMEDQPSELEVVCMEARRLAIEREESSTEIDSGDEDATQFELDCEDDHEMLKAQPAYHFELKGGSSRNPLSKLDEAIEEEEDDIEEEESNAEKLGYPKAINKWLPNISKLSTSLNGVRLGDKIQCQLPAAPKIMDPVKIFEGNSNHSGSQVGNWRSANEQLPTSASFVKLADMGSETWALFLEKFQELLPEAFRSRKCGAAGQRARQRLGTSCQF >ORGLA06G0102200.1 pep chromosome:AGI1.1:6:8707193:8710297:1 gene:ORGLA06G0102200 transcript:ORGLA06G0102200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRAADVVIGVTAGVAAAVAAAALVLLAICLYRRRRASASVAAPARSPESSTATLRANGSLNSSVSLSVASDWDHHPPPAKRAAAFWAWRGGANNGSHSPPPVSVSGIPKYHYKDLQKATNNFTTILGQGSFGPVYKAVMATGEVVAVKVLASDSRQGEREFQTEVALLSRLHHRNLVNLVGYCVDKGQRILIYEFMSNGNLASLLYDDNKRSLSWQERLQIAHDVAHGIEYLHEGAVPPVIHRDLKSANILLDHSMRAKVADFGLSKEEVYDGRKSGLKGTYGYMDPDYMSTSKFTKKSDVYSFGIILFELITAINPQQGLMEYIDLAAIGGEGKADWDEILDKNLIVGNIAEEVRILADVAYRCVNKNPKKRPWISEVTQAISRIRQLQLMKLDTLNLPRSETRTVLRRIEHQHVELTDLTSMKELTPITA >ORGLA06G0102100.1 pep chromosome:AGI1.1:6:8700462:8703637:1 gene:ORGLA06G0102100 transcript:ORGLA06G0102100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAGDAPPPAAAAREEEEEGVSCGICLTDARRAVRGELDCCAHHFCFVCIMAWARVESRCPFCKARFRTITRPPVPGRFPSQRVVAVPERNQACNPLGNGSSTVDADLYANTSCSVCNLSNDDELLMLCELCDSAVHTYCAGLGTEIPEGDWFCTDCMTAKEEHSRCEIDDDNSSDHGEFKITIEVPIADPVAAPSISDIVDEGHSPNLVQRSSVQSNRPSISDPVPSIYDIVDDDYTTIPIGRVNARSTRLDSRAERLPSQGISVGPQCPESPQERENSRVCSHARSRIESERARTLRNSRNLGSRIRELRENWSALRSGSIGFATQLHNRRRGNGAGTCDIEERHRSTTTFMEVAASSSGHAKKISPKNSSDVHKAWKMLEMAKSSGGKKKPDNPSSLNCSVPFSMGNRSTSYSPIDAILGHKNNKLYDGITQKNNAEQHRSTNMENKPPTMNFGECRKLQEKFHGSAHGRTPSTIMRQESLTGKVSSSSNNEKHNHSINMENRPPTVNFGEHRKLQESASVHGRIPSTVMMQENLNGKVASSSNNEDAGQIFESSRDVSRPEKSKPVVSCPLTFSLLSGQSMVTSSQQLRPGWSQSTEMVSSQEPSATAASIDIGTAGANDKVKGSRPDRLERKRKLGSETHDDKGSKRSMSSCKIRKSDISFLAIRELKLLNIDKTYGSDTFKEVARAATHTVLASCGLEHSPSVALALPRPVCKHTCKTEPLPSPDILTDFCRECLCNFVKEVISSLLSGRKME >ORGLA06G0102000.1 pep chromosome:AGI1.1:6:8697327:8697608:-1 gene:ORGLA06G0102000 transcript:ORGLA06G0102000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSLLHSDLAAKLVTTLMALLYYDLAAFVAEALGQAGKKWDWGEVLPSGMGEEELASALSIKLQVVAKIMVVVVVGAGGGEERDREGGPKCK >ORGLA06G0101900.1 pep chromosome:AGI1.1:6:8687545:8688306:-1 gene:ORGLA06G0101900 transcript:ORGLA06G0101900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTSDPAAAPAPAPHVLLVPYPARGHMQPLLHLASRLAAAGLRLTVVTTTSTLHLLSPLLAEHPSSVSPLTFPSFEHDTSGPTSVGVDLHALAALREPLGEWVRARARSGGEGGRVVAVLSDFFCGWTQPLAAEAGVPRLVFVPSGVLATAATHSLFRRMPRPPPAAAGREYAVEFPGLPGAPAFPWRQLSRMYRSYVEGHGGEHAEAIKNNFLWNLESSAFVCNTTRSRGATSTRSRSRTWRGNASGR >ORGLA06G0101800.1 pep chromosome:AGI1.1:6:8681821:8683293:-1 gene:ORGLA06G0101800 transcript:ORGLA06G0101800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATSGSAAAHVLVIPFPAQGHLIPMLDLVRLLASRGGLRLTVVTTPAMAPLILATAAAVHPGGGGGGAISALILPFPSHPAIPAGVESAKGFPPSLCGKLVVAFAGLRAPLASWARARADTPDRVVAVLSDFFCGWTQLLAAELGVPRVVFSPSGVYGAAVMHSLFRVMPRREDENDDESPVGFPDIPGSPAFPWRQMSRMYRAYKEGDXVSDAVMSNFLLNVQSSSFVSNTFGQLERRYLERPLADMGFRRVRAIGPLAPQHDESGNRGGETAVAATELCAWLDQFADRSVVYVSFGSMAQLQPPHAAALAAALERTRVAFVWAAGSHTPLPEGFEERAAGGRGTVIRGWAPQVAALRHRAVGWFVTHCGWNSMLEAVAAGVTMLAWPMVGEQFVNARLLVDELRAAVPLCWGGVPTPPSADEVARVLEATVAADGGEAGGEWSHVAARVKELAEEAAAATREGGSSWVEVDELARELRELGSEPAR >ORGLA06G0101700.1 pep chromosome:AGI1.1:6:8671590:8676116:-1 gene:ORGLA06G0101700 transcript:ORGLA06G0101700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSARRSVGSWHDARRRGRRPRCEETRPRLAWRCWPERWPQSRVHAGWREHDVLQTKLGRERLHPPAEEVGQHRDDAVGRVRPVARPRPERAAQPRERDDKLGEELRRGPLGVLDAGGDSRVGRERQGEREGAATAAARVLGEVGLEERRRGRRHDDGAAEAPVGREAADDVDERDGVALRRVRDDEDVHRSVAAGVGDRRHDVCTISYRFKYAQLVPGGR >ORGLA06G0101600.1 pep chromosome:AGI1.1:6:8668349:8669812:-1 gene:ORGLA06G0101600 transcript:ORGLA06G0101600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTDASAAAAAHVLVVPYPVQGHLIPILDLVRLLASRGLRLTVVVTPATAPLLAPLLAAGHPGGGGGVSELTLSFPSHHAVPTGLEVAKGPPGAAPRLFPMHVVAFAGLRGPLESWARARAGTPHRVVAVLSDFLCGWTQPLANELGVPHVVFSPSGVYGTAMLHSLFRAMPRPADENDDESPVRFVDIPVSPAYPWWQLTRAYRTHKKGDEIDEGFKSNFLWNLESSSFVSNTFQRLEGRYLERPLADLGFRRVRAIGPLAPEADASGNRGGETAVAASDLCAWLDQFADRSVVYVSFGSMSQLQPPHAAALAAALERTGAAFVWAVGSSHATLLLPEGFEERSTASGRGTVIIGWAPQLAALRHRAVGWFVTHCGWNSVVEAVAAGVSMLTWPMMADQFVNARLVVDELRAAVPVSWGGVAAPPTADDVARVLEATVLAADGGGVGARVEELAVEAAAATREGGSSWVEVDELVRELRGHMQS >ORGLA06G0101500.1 pep chromosome:AGI1.1:6:8664732:8664890:1 gene:ORGLA06G0101500 transcript:ORGLA06G0101500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAMRGGEEEGLGLGEGAQVARSDRRGGEGAGQGGEGGGVNPERWIGAR >ORGLA06G0101400.1 pep chromosome:AGI1.1:6:8651372:8652823:1 gene:ORGLA06G0101400 transcript:ORGLA06G0101400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTDAAAHVLVVPYPAQGHLIPFIDIVRLLASRGGLRLTVVVTPATAPLLAPHLAEHTGDGGGVFALTLPFPSHPAIPAGVENANGSPPELFAKLVVAFAGLRGPLGSWARDRADTHHRVVAVLSDFLCGWTQPLAAELGVTHVVFSPAGVYAAAVMHSLYRVMPRPDDENDDECPVTFPDIPGCPAYPWRQITRTYRTYKKSDEIAEGFKSNFLWNLESSSFVSNTFRRLEGQYLERPLADLGFRRVRAIGPLAPESDVSGNRGGEMAVAASELCAWLDQFADRTVVYVSFGSMALLQPPHVAVLSAALERTGAAFVWAAGSHTALPEGFEERAAAGGRGTVIRGWAPQLSALRHRAVGWFVTHCGWNSILEAVAAGVAMLTWPMVADQFVNARLLVDELRTAVPVSWGGVAAPPTADEVARVLEATVLMAADGGEASDSEWSHVGARVEELAVEAAAATREGGSSWVEVDELARELRGL >ORGLA06G0101300.1 pep chromosome:AGI1.1:6:8646721:8647125:1 gene:ORGLA06G0101300 transcript:ORGLA06G0101300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRGWAPQLAALRHRAVGWFVTHSGWNSVVEAVAAGVAMLTWPMVADQFVKARLLVDELRAAVPVSWGGVATPPSADEVARVLEATVLAADGGEVGARVDELAVEAAAATWEGGSSWVEVDELVRELGGHMQR >ORGLA06G0101200.1 pep chromosome:AGI1.1:6:8641288:8642585:1 gene:ORGLA06G0101200 transcript:ORGLA06G0101200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDAHRRRRARPRRPVPGAGPPPPILDLVRLLASRGLRLTVIVTPATAPLLAAGHPGGVVSALTLPCPSHHAVPAGLEVPKGPPGAAPRLLPTRVVAFAGLRGPLGSWARARAGTPDRVIAVLSDFLCGWTQLLAAELGVPHVVFSPSGVYGTAMLHSLFRVMPRPADENDDESPVRFVDFPGSPAYPWRQLTRAYRTYKKGDEIDEGFKSNFLWNLESSSFVSNTFRQLEGRYLESPLADLGFRRVRAIGPLAPEADDDASGNRGGETAVADGRVGPLRVAGPVRRPLRRVRQLREHVAAAAASRGGAGGRAGAYRRGVRLGRRVVARSGGAPATGGVRGARVEITPAVGAFVLIGFAQTGDRTNVLV >ORGLA06G0101100.1 pep chromosome:AGI1.1:6:8615483:8616928:-1 gene:ORGLA06G0101100 transcript:ORGLA06G0101100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAANGGASAAHVLVVPFPAQGHLIPLLDLAGLLASRGLRLTVVCTPATAPLLAPLLAATHQGAVSALTLPFPSHPALPAGVENAKGSGPALFAKLIVAFAGLRGPLGTWARARADTPDRVVAVLSDFFCGWTQALADELGVPRVVFSSSAVYGTAVLHSMFRLMPKREDEHDDECPVSFPDIPGSPSYPWRQLSLLYRFYKAGDEVSEGVKNNFLSNMGSSCIVSNTFRQLEGRYLERPLADLGFMRVRAVGPLAPEPDASGNRGGETAVAASDLCAWLNQFADGAVVYVSFGSMAVLQPPHAAALAAALEQTGTAFVWAAGSHAAAALPEGFEERAAAGGRGKVIRGWTPQVPVLRHRAVGRFVTHCGWNSVLEAVAAGVAMLTWPMTADQFVNARLLVDEHRAAVPVSWGGIAVPPSADEVARVFEATSAAAAASEWSEVGARVKELAGEAAAATREGGSSWREVDELARELRELGG >ORGLA06G0101000.1 pep chromosome:AGI1.1:6:8606956:8608275:-1 gene:ORGLA06G0101000 transcript:ORGLA06G0101000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVAIEARDLVGVERVELPNPASMVTAYWQKMLPHSPMPTAILELLNPPTDVNQGVHGNDYDQVYGNGYDGGYINGYSHSYGNGYSNGYFHKANLHFLEDALKPGSIITPYITGIATRAPFLRRDIADSIPMSTKNFADILAMFSPISLVMADGIQSALDTCEHHRPIKGEEHACVRVPRLSSRWLSLLCLYSEHVTSVPSPPMSPQRGSCQETCTRW >ORGLA06G0100900.1 pep chromosome:AGI1.1:6:8602532:8602792:-1 gene:ORGLA06G0100900 transcript:ORGLA06G0100900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAEFTIGGSQDFYDLSVIDGYNVAMSFSCSSAAGLTCRDNRCRGGRGGIGGSYDGRDAGKEAATPRLESSFLLCLSLLLSGQAG >ORGLA06G0100800.1 pep chromosome:AGI1.1:6:8586075:8601583:-1 gene:ORGLA06G0100800 transcript:ORGLA06G0100800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLIPHRYAGRAASLALPPPPGAPTAMLQFGVSQRCSYNFMPYSLSNNSSRQLSSIGSRLPLQLKSGAFFTTGLMGNPNFVSLRAAYRHGISLRANNIRNSRSFLTLRNTKVTFPIRNKCLFGNPNMRKEDGSVAHSMFHRSEKRKSTLAACGTITDEASTSTSKRSKSGTGTKKTTTRRKSPTSRKKEASEDMKEEKASTKKQRKSVKTSTAATKSRKIGVNQEESKSDISKSKKAANSSKEKKTSSRSKKSSKAKESAASNATAKAEICTMTSVSEQKPLVPLYPPTAKSVLVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKSIRTALKGAENLILASDPDREGEAIAWHIKEMLEQQDALGSKVTVARVIFHEITEDAVKKALISPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREAEIEQFDPQEYWTVDTDFKTQHSGPSNGLNLKSRIKHLNSKKLDQLSIRSQEEAHNIEKRIYSSQFEVTGIKRSKINKNPPMPYITSSLQQDAANKLHFSAGYTMKVAQKLYEGINLSSEEATGLITYIRTDGFHWYQSARGQCVFLCSRVRGGIEEALACLACEQLSAAQATIIAAHDNRIVANSIFISDGAAEDILSLVKQRYGEEYASEGIRKYFKKVKNAQEAHEAIRPTSIRRLPSSLVGALDDDSLKLYTLIWKRTMACQMEASRTDMIQVDIGNSEGDMIFHSSASRLDFKGYQAVYDDTEASPSSYNSEVDAVHQDNFEVLSKLEVKDLVSPVNVHLSQHFTKPPSRYSESALIKKLEELGIGRPSTYASIMKVLQDRKYVTIKSRVLHPEFRGRMVSAFLMHHFSEVADLSFTANMETELDNVSAGSTEWKGLLKDFWERFNKYCGDASRLDVRKVERMLEEKFGSILFSDLDNDSRICPSCSEGTLRFKVSRYGEGYFIGCDRHPKCKYIARTLSDDDDETEASDETQRTFTPRLLGALPDSDEKVFLKQGPYGHYVQVGEDRKGVSPKRAPLSEVKDIDSITLKDAIELLQYPKILGKHPDDDLPVLITHSKAGFSIRHRRTLASLPKSADPKKITLERALKLLTGKNVRKFGRPKGKTRKEAEPLEWH >ORGLA06G0100700.1 pep chromosome:AGI1.1:6:8582154:8582555:-1 gene:ORGLA06G0100700 transcript:ORGLA06G0100700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRWHVDSDLNPFGFFGTVAERRVRQGGDFSPLTAYALVPLGCLRHVHGDGFLGFRALGNAGQVRVLPAIFIWLAPNIDLPNAPPFIFVL >ORGLA06G0100600.1 pep chromosome:AGI1.1:6:8576681:8579095:-1 gene:ORGLA06G0100600 transcript:ORGLA06G0100600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKCAAELGIPAAIHRRGGAATLRDIVADVALRQAKVPHLRRLMRVLTVSGIFAMKQQQPASSGEAVYTLTPASRLLVAGAGGGHDMSPMLRFLVHPTALTPFFSLHAWFRVDDEEEEEEPVAGGSGGGGAAMSLFEMAHGFPRWEMTGRDAAYGAVLNEAMAADSRFVMEVVFREGGGDVFRGIGSLVDVGGGHGAAAAAVAAAFPHVKCSVLDLPQVVRKAPPDAGDVRFVAGDMFEYVPPADAVLLKIFMFQYVLHCFGDDDCVKILRRCKEAIPTRDAGGKVIIINMVIGSGSQRDIFKETQALFDLYMMYIDGVEREEKEWENIFSKAGFSAYKIMPILGFLSIIEVYP >ORGLA06G0100500.1 pep chromosome:AGI1.1:6:8526626:8527030:1 gene:ORGLA06G0100500 transcript:ORGLA06G0100500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLSRHSHELQIQGSYYKLIDLSHYELKPAGMFLFLCHSPLKYGMPYSFFLRCTLYCLFXFLRLLIICSLILLYPTALPLEPTKGEIPLAEVLRFNTKVDCLILNVIIMVSCGPLMVILRFGQNWYKSYMSKIPW >ORGLA06G0100400.1 pep chromosome:AGI1.1:6:8494013:8495524:-1 gene:ORGLA06G0100400 transcript:ORGLA06G0100400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWPPPPPLPHLSPLLLPCAPTSDSDHGDHDDDKDDRLSHDDDDHDDGPGRGPRMCEVLAWAKEKTPSVRNAWMIKEVDWGPN >ORGLA06G0100300.1 pep chromosome:AGI1.1:6:8488374:8488595:-1 gene:ORGLA06G0100300 transcript:ORGLA06G0100300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEELCAYGMRSRIWKESKFGTFGYVKFLSCTSGFPKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA06G0100200.1 pep chromosome:AGI1.1:6:8479737:8479850:-1 gene:ORGLA06G0100200 transcript:ORGLA06G0100200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVALSTTAGNNCGRHVMRSRCGCMLVAPGAQGIDVK >ORGLA06G0100100.1 pep chromosome:AGI1.1:6:8404095:8404370:1 gene:ORGLA06G0100100 transcript:ORGLA06G0100100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDRGDVLTEYKSVKHKSLFILEMKWIDAFLVVIHKGLLSEVTTAVDHIVAMFELQLLEKKVYAQFIMQLQLHEAIQDDLSAYKKRNIADR >ORGLA06G0100000.1 pep chromosome:AGI1.1:6:8392809:8395028:-1 gene:ORGLA06G0100000 transcript:ORGLA06G0100000.1 gene_biotype:protein_coding transcript_biotype:protein_coding FEPGLKIIFSPGFYCIWDYCGNRPTDERXFLHQCHIRGSPHQIHACMXLPAIIXSPAHTLSPLICLPTQHISMHACSIANXSGLLYLLXHSHQTYEXVSXIMYPRMVXTHSSYFDWGYHPSGAPRGMSLFLQDGPHATSTFIPIRTWILGHTFQYMTIVTNETKPVIEKATIEVYKALLPKTMVIADLGCSTGPNTMLFMSNVINMIAHCCSKLDGHDHVELQFFLNDLPGNNFNQLFRSLENIKNSTTTGHKGGLPPSCYISGLPKSYYSRLFPRQSVHFFHSSYSLHWLSQVPEGLEASGKSLLNQDVYISSTTSPLVVKLFQEQFQKDFSLFLQLRHEELVNGGRMVLIFLGRKDEDVYKGDLNHMYGFVTKALESLVGKGLVSKESWNPSTYLPMGHQLMK >ORGLA06G0099900.1 pep chromosome:AGI1.1:6:8350040:8350904:-1 gene:ORGLA06G0099900 transcript:ORGLA06G0099900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:I1Q1I6] MPDPDLVQWTNVRLDVPLVLLLVVQSALEAWLRAAEFESSPAPFLPVTDVLDSPSVPATAAYADGLTMDHPIMTTEFWTSHGCLLLPYEQALPENMRVKLPHLIHAVHVAVQIVTWVTDPMHGNTMKAPCGLKTRSFATFQYLLVPITSQ >ORGLA06G0099800.1 pep chromosome:AGI1.1:6:8305066:8311432:1 gene:ORGLA06G0099800 transcript:ORGLA06G0099800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMPPPPPRNPNPSSASMPPPPPPPKFSLAAAEVEPASKPESANPTSSMPPPPPPRPVEGASTSSSMPPPPLPRPTAPPQPEVEGAPGADVSAEAEVDEAGNSGRGSGDVEMAEAAAPPPSQQQQQPRPRAPYAIPEWSAAPGHPFFLEVLKDGTIVDKLDVSRKGAYMFGRIDLCDFVLEHPTISRFHAVLQFRNDGEVFLYDLGSTHGSFINKTQVKKKIYVEIHVGDVIRFGQSSRLYIFQGPSELMPPEKDMQKLRDARVQQDMLDREASLLRAKNQAALAEGISWGMSEDAIEDSAEDEADEITWQTYKGQLTDRQEKTRSKIIKRLEKITNMKKEIDAIRAKDISQGGLTQGQQTQIARNEQRTSQLMEELENLEETLNDSIRESLGARTGNSNRGSHKANLEEEDNILSDEDDFYDRTKKKSSSHKSSEQQVETADSLLDKKDTITSDIESKKKLVEEEKNKLAKSENADVGDDLDAYMSGLSSQLVHDKIAQIQKELSDLQTELGRVVYLLKIADPMGEAARKRDLKPRETKSPASNDSLRPESRKQNKVAQNKASTEEKLKESCAEKTQVDKPAEEEKGISTNQENGSKPAFSIPKPQWLGDKRTVEPEENCIKEESANEEETDNFVDYKDRKTILSGSASGKDLEEAAPGLILRKRKSDQSAANEVESSSVESEASAADAVALLLKHKRGLQTSEDMEDENEPQASKGKSKKSKQKRVLGPARPDFLDAGPDHETWVPPEGQTGDGRTSLNDRLGY >ORGLA06G0099700.1 pep chromosome:AGI1.1:6:8300928:8303226:1 gene:ORGLA06G0099700 transcript:ORGLA06G0099700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVTERLPEGSSEPLLPTKREGGGGGVGEFAGASFAGAVFNLSTTIVGAGIMALPATMKVLGLAPGLVAIVLAALLTDASIELLVRSSRAAGAPSYGAVMGDAFGWWGRRLLQVCVVVNNIGVMIVYMIIIGDVLSGTSSGGEHHYGVLEGWFGPQWWNGRFFVLLVTTLVVFTPLACLKRVDSLSYTSAISVALAVVFVIITAGIAIVKLIKGQIPMPKLFPDVPDLASVWELFTAVPVLVTAYVCHYNVHPIHNELKDPSQIKPIVHISLVLCSTVYITTSFFGYLLFGESTLSDVLANFDSNLGIPYSPMLNDAVRVSYAVHLMLVFPMIFHALRLNLDGLLFSSSSPLSSDNRRFSVMTAVLLLVIFLSANFIPSIWDAFQFTGATAAVCIAFIFPAAITLRDPHSIAKKWDKILSIFMIVLAIVSNVVAVYSDAYSMFHRKSSPSIA >ORGLA06G0099600.1 pep chromosome:AGI1.1:6:8269563:8273845:-1 gene:ORGLA06G0099600 transcript:ORGLA06G0099600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1Q1I3] MQRSGAQARKASLVESIEAAISELMPPEAAADAEHENFMDVGSHLYHAPLATMELQRAQNSTVNVMHVMEYLAANVDLAKDLVMRCSAVAQELKNDDLLGMTEDLDSVIKNIGHELSRIPASTFGSSRFPDGRADANLQVAGHRPRYCDQNSRDGYSEADMSIIPANSRPRRRTLHNSDMPRLVDFLQGMYHESHDIGAHSFNSLPEVAEYVEPLYDSFFCPLTNKVMVDPVTTESGVTYDRKAIEEYFEKFADGSEPVVCPVTKMSMQSKALRSNVPLKSTIAEWIMRNEATRVRIARTALSMASTEAMLLEAIQELKLLAKIRRKNREQMHKIGITKFLPRLLEHKDGLIRCDSLDLLCLLAEDETGKEVIANTRAITRTIKLLSSNSSDERHAAISFLLELSKSELLLENIGSTAGSILMLTTMKFNDSDDPVAAEKAGEVLKNLENCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVSYLGELVQKQEMTINIAGSASEILIKMVHSGNTVIRKAALDVLVQISSDGPNSKTLVDAGAVPVMVEELFIRKIDDEPMGSKTEAAAVLANIVESGLDPDTIVVNKEGHVITSKYSVYNFTHMLKCSMPDDLNLSIIRVLLALTALPKPLMTVVSVMKEQDSSLTVIEFMGSKTEALGISATMLLIALSPQMGHTIAEKLCKAPGQPGRLVKSIGQPGRVTERHAVAATLLARLPYQNITLNLALLEQGAVPTLLAKIEEMQRGEMRVSRHAKTYMEGLVGALVRMTTTLYDPDVLLAAMDQNFTAVLTDLLVRSAGSDEVQRLAAVGLENLSHQSVNLSQPPSEEQRRPKKKNILRRLRDAHTGRVHDNNRKPPPAAQQGRLCLVHRGVCSPATTFCLVEAGAVEALVGVLESNENGRVVDAVLGALCTLMDDAVDVERGVAALAEHDAARHVLHALRQHRDVSAVGGGGGDTGGAVSRRCFWAVERFLAHGGERCVRDVTADRALPSALVSAFHKGDAATKQVAESVLRSLHRMPDYSATYVSVEL >ORGLA06G0099500.1 pep chromosome:AGI1.1:6:8265955:8268418:1 gene:ORGLA06G0099500 transcript:ORGLA06G0099500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKDATDLEERSEASEHCQALSFHGGAMFLQEAQIASPAAANNALTSMANPFPIPPGLWNPPSHNMGLGETSFSSLLGMLSAGAPPPFVATPGFVDSTAGFPCYNGGNLGAMINHPFPGIHQPLGDFQNGVEPCREIEDIEIEGSKNVSQTGEKQQGDGETTHAVDSSSKELSMPGRNGGAGHDEGTRVSCSKKRKRSGQDGGVKHAEGGEQLATVGSAQKNEDDEKGEPKRSSVASGKSSGKQIKDNAGSPKEDYIHVRARRGQATNSHSLAERVRREKISERMKYLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLASVNPTLDFNIERILSKDIFQCRGTTASSAFGFFPDIVHPRLHPPKYTQVGMPSIVNPTDAFGRVIHAPLGTNSAFKEPKHQMPNNLNGEFQDVIEMPFTHDHHGSNDQP >ORGLA06G0099400.1 pep chromosome:AGI1.1:6:8255039:8262843:1 gene:ORGLA06G0099400 transcript:ORGLA06G0099400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q1I1] MAGDSRNEPMFCEEGSSESGYVLCVIDSLKKKITSDRFVYIQKRVEENSIKLSPITLHSHNLSKNRQTSTSNSIDLVSNLLTKRKEDALCAVNSRESSPDESEGANCQDECSSTVIVGGNLSARNSVRPIRLPEVATLPPYTTWIFLDRNQRMQEDQSVLGRRRIYYDTNCGEALICSDSEDEAVEDEEEKKEFKDSEDCIIRMTIQECGMSDAVLETLARDIERAPDDIKARYEILQGEKPEGSSKKVSELNVKMEDVYGDKDLDAALDSFDNLFCRRCLVFDCKLHGCSQDLVFPTEKQAPLCSSDEGTPCGIHCYKLVSKPDAIMEIDSHLLVDVEEPTSDNAKDQIGSNKKKLGSSGQKTKSQQSESSSTARVSSESSESEVQLLSNKSPQHSPGLSKNKLGAKGGIKKSTNRRIAERILMSVKKGQQEMSPDSNSIVNGCLWPRDMKLRSDTRSGIKDSVASSQCNSPSTRSFRKKGTLQMENNSSFVDAQSDSMEDTNNEHSATDGCDSSRKEECVDESICRQEAHGRSWKVIEQGLLLKGLEIFGKNSCLIARNLLGGMKTCTDVFQYMNYIENSSASGALSGVDSLVKGYMKGNELRTRSRFVRRRGRVRRLKYTWKTAGYHFIRKRITERKDQPCRQYTPCGCQSACGKQCPCLTNGTCCEKYCGCPKMCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEYVLDAYRMGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERISAGEELFYDYRYEPDRAPAWARKPEGPGAKDDAQPSTGRAKKLAH >ORGLA06G0099300.1 pep chromosome:AGI1.1:6:8243788:8244438:-1 gene:ORGLA06G0099300 transcript:ORGLA06G0099300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGDLKTRPVEGVIFISTSHIIDGELRETENTVVVTWAMRAPPSVTAKDVECAIAYEFGLRIGELTVSLHFPEAFLLKFKHRRHCEETVKQGFAKGHGIEVHFIQWCSLKNATSSALMYRVKLCLDGVPMHLWALDINIQESNWIRDIDILRITTAEHIQQFVHLWSMIRRMNPLNDEQDGVWLNLTANRQYSARLAYRLQFLGAARSAFNQLI >ORGLA06G0099200.1 pep chromosome:AGI1.1:6:8237709:8239610:-1 gene:ORGLA06G0099200 transcript:ORGLA06G0099200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68850) TAIR;Acc:AT1G68850] MATGVLCSREFALCLACVLLAVPLLVAQDPSSLSLEHYSKTCPNYEHVVRTEMECAVRADSRNAALMLRLHFHDCFVQGCDGSVLLDDTATLIGEKKAEQNVNSLKGFELVDKIKQKLEAECPGTVSCADLLAIAARDAVVLVGGPYWDVPVGRLDSKKASLDLANRDIPTAQQGLVTLIAKFWEKGLDATDMVALVGSHTIGFARCANFRDRIYGDYEMTTKYSPISQPYLSKLKDICPLDGGDDNISAMDSHTAAAFDNAYFGTLVNGEGLLNSDQEMWSSVLGYSTADTVSKYWADADAFFKQFSDSMVKMGNITNPAGGEVRKNCRFVNT >ORGLA06G0099100.1 pep chromosome:AGI1.1:6:8235821:8236876:-1 gene:ORGLA06G0099100 transcript:ORGLA06G0099100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPSVQAVLRCLPPQIRPHCATANPATLRCFLPLISPSRPPQPCAKVYVEEAKHVLNARHLILTSIRAPPPHALTREHSDGLARSMTAFSVTGVIISRFAQRTRRLSYPLRQLRALHAKTSRLHLLVGAPDLVPLRFATSLFLPPRT >ORGLA06G0099000.1 pep chromosome:AGI1.1:6:8227592:8234876:1 gene:ORGLA06G0099000 transcript:ORGLA06G0099000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPFFFLLLLLLVVSSSSPSAALLSAKGVNNEVQALIVIKNLLKDPHGVLKSWDQNSVDPCSWAMITCSPDFLVTGLEAPSQHLSGLLSPSIGNLTNLETVLLQNNNITGPIPAEIGRLENLKTLDLSSNSFYGEIPSSVGHLESLQYLRLNNNTLSGPFPSASANLSHLVFLDLSYNNLSGPIPGSLARTYNIVGNPLICDANREQDCYGTAPMPMSYSLNGSRGGALPPAARDRGHKFAVAFGSTAGCMGLLLLAAGFLFWWRHRRNRQILFDVDEQQIENVNLGNVKRFSFRELQAATEGFSGKNILGKGGFGNVYRGQLPDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPFMSNGSVASRLKAKPALEWGTRRRIAVGAARGLVYLHEQCDPKIIHRDVKAANVLLDEACEAVVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSDRTDVFGFGILLLELVTGQTALEFGKSSNHKGAMLDWVKKMQSEKKVEVLVDKGLGGGYDRVEVEEMVQVALLCTQYLPAHRPRMSDVVRMLEGDGLADRWEKASGHSTAAADSLSHSHRTSDPAPPAADFATAFGRCFSDLTDDSSLLVQAVELSGPR >ORGLA06G0098900.1 pep chromosome:AGI1.1:6:8215746:8217489:1 gene:ORGLA06G0098900 transcript:ORGLA06G0098900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPFFFFLLLLLVSSSPSTARLSSYGVNTEVQALIEIKNLLEDPHGVLKSWDQNSVDPCSWALITCSPDSLVTTLEAPGQHLSGLLAPSIGDLTNLETVLLQNNNISGPIPAEIGKLANLKRLDLSSNQFRGEIPSSVGHLESLQYLRLNNNTLSGPIPSASANLSHLVFLDLSYNNLSGPIPASLARRYNVVGNPLICEQDCYRMAPMAMFH >ORGLA06G0098800.1 pep chromosome:AGI1.1:6:8206245:8208040:1 gene:ORGLA06G0098800 transcript:ORGLA06G0098800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTESEKKKTPVALAPIAKPLAGKKLCKRTLKLVRRASEAKCLKRGVKEVVKSIRRGQKGLCIIAGNISPIDVITHVPILCEEANIPYVYVPSKEDLATAGITKRPTCCVLVLTKPAKGELEEDVKEKLKTDYDQVMSEVAEVTSSMF >ORGLA06G0098700.1 pep chromosome:AGI1.1:6:8190638:8190972:-1 gene:ORGLA06G0098700 transcript:ORGLA06G0098700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFSLLADTLAEIQEQAVGDEDDCEEDSDWEEIQNGDSSIPHDMIYSASVPSNAKPSVEHLNAMAKVFDEVSQWLVMLLCNVLLY >ORGLA06G0098600.1 pep chromosome:AGI1.1:6:8187135:8188585:1 gene:ORGLA06G0098600 transcript:ORGLA06G0098600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock factor binding protein [Source:Projected from Arabidopsis thaliana (AT4G15802) TAIR;Acc:AT4G15802] MAAPGSGGIPIKADQDSDGSAQSTADMTAFVQNLLMQMQTRFQSMSENIISKIDEMGARIDELEQSINDLKVEMGTEGVTPTKPKDEESKPAGSSAE >ORGLA06G0098500.1 pep chromosome:AGI1.1:6:8180479:8183830:-1 gene:ORGLA06G0098500 transcript:ORGLA06G0098500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I [Source:UniProtKB/TrEMBL;Acc:I1Q1H2] MGFIGDTIESIRSMQVRQVLAQIISLGMIVTSALIIWKGLIVVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNVDGREIPIVHRVIKVHERQESAEVDILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGFLPYVGWVTIIMTEKPIIKYLLIGALGLLVITSKE >ORGLA06G0098400.1 pep chromosome:AGI1.1:6:8170828:8172153:1 gene:ORGLA06G0098400 transcript:ORGLA06G0098400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSIRGLLAGARRTRHAVAAKATTSVPALVALLFFFAAATFSVFSLGSFRSPGAGAGDCAAACDAALARGRGEWVRDAGAAPYYTNATCGFIQDYQNCMKHGRPSMEFLRWRWRPGAGGEGCEPLGPFDAARFFRLVRGRSMLFVGDSLASSHVTSLVCALSQVEAPARSRDAAAGLERWRFPAHGFAVAYFWTPFQVRWRLMRGPPEAVGPERQGEVFAGPSDLHLDEPDERWTSAAKSHDYVVLSASHWFARPAVYYQHGRVVGCHDCGGDDNATAAAIVKKPEHAQRAAFRAVLGALARLDGFNGTAILRTVAPTHYENGGWFDGGECTATRPVNESEDGAAAPEMAATEAEFYRAQVEEFAAAAAARRGNGGGARARLRLMDVTRMMLLRPDGHPDRHGHGGGEHDGFEIDCLHWCLPGAIDVWNDLLLHIIASS >ORGLA06G0098300.1 pep chromosome:AGI1.1:6:8163590:8164888:1 gene:ORGLA06G0098300 transcript:ORGLA06G0098300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQRVNPMAAASLTALAVVVLLTASASRPSFLRRFEPSIASLPRAARRAAPADCDIFRGEWVPATAADDGAAPYYTNATCGEIQEHQNCIKYGRPDLGFLRWRWRPERCELPRFDAAAFLDLLRGKSMAFVGDSLSRNHMQSLLCLLSKVENPREVPKTADPEFRAVRYESHNFTVAVFRSPYLVTANQSDPAGGMWDLYLDEPDAAWATAVAGFDYVVVSTGIWFNRPTMFYERGRLVGCYSCRLPGVPDLTLRYSLPLAFRTALRALTAASVFNGTVIVRTLSPTSHFEGGEWDKGGDCRRTRPTAASEARMSGLDVDFHAAQVEEFRRAEAAAAASGSAVRMLLMDATAAMVARADGHPSRYGHWAHENVTLYNDCVHWCLPGPVDVWNEMLLQMLLRHQS >ORGLA06G0098200.1 pep chromosome:AGI1.1:6:8160032:8160499:-1 gene:ORGLA06G0098200 transcript:ORGLA06G0098200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPNPNFPIFYPILFSPTQSSLSTTTCASSGGGQATASAAKERAMLSVAKSRAAAATKVRVTAVTTTMVQAMASATKVWAATTAKELVVMASSTARGMANRVGVDMRFIRSGGGAISGISPHLVATSSLFAVGGAGPRSPTGPLWGLGRVMFPT >ORGLA06G0098100.1 pep chromosome:AGI1.1:6:8151112:8152964:1 gene:ORGLA06G0098100 transcript:ORGLA06G0098100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLFASRAALSLTAALAVAVVVLLTASSRSRRPSFLRRYEPTVVATSLPSAAPPAYSRSAPPSPTPTAVVARVPRDCDIFRGEWVPATDGDDDGAAPYYTNATCGEIQEHQNCMKYGRPDLGFLRWRWRPERCELPRFDAAAFMEVVRGRSMAFVGDSLARNHMQSLMCLLSKVENPKDVSTTKDPEFRTVRYESHNFTVAAFRSPYLVTANQSSDPAGGMWDLYLDEPDAAWATAVAGFDYVVVSTANWFNRPTMFHEAGRLVGCHHCLVPGVADLKRTYSLRAALRTALRALTAGAGGAGFDGTVIVRTLSPTSHFEGGEWNKGGDCRRTRPTAARMAGLDLDFHTVQVEEFRRAEAAAAAAASGGAARLLLMDTTAAMVARADGHPSRYGHWAHEKVTLYNDCVHWCLPGPIDVWNEMLLQMLLHHHRSGEFSV >ORGLA06G0098000.1 pep chromosome:AGI1.1:6:8149274:8149483:1 gene:ORGLA06G0098000 transcript:ORGLA06G0098000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRHRWGWRGNDSGDGEEEAVAGVEEDEGMGLERIRWRGSRDVETAVQWRPGWKGGVAPARRGGVAA >ORGLA06G0097900.1 pep chromosome:AGI1.1:6:8148755:8149133:-1 gene:ORGLA06G0097900 transcript:ORGLA06G0097900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVSIPNSPLGGTVKQRQKGDAEGNMAADWEGRWSYGDGGGKGLFVTYSNLCFHSASTYGLRLTVRRPPALGPWGREWRRPRLSTSSLSAPARGGSRGEM >ORGLA06G0097800.1 pep chromosome:AGI1.1:6:8138606:8140207:-1 gene:ORGLA06G0097800 transcript:ORGLA06G0097800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKLQVVRPRAVSPLPALAAAAAVAVLLLLLTAAGRPSFLGRYEAITISSVASLPSGYSSESARRAPPAVAVARVPSDCDIFRGEWVPDDGGGAAPYYTNESCPLIQEHQNCMKYGRPDLGFLRWRWRPERCELPRFDAAAFLELVRGKSMAFVGDSLARNHMQSLMCLLSKVEYPKDVSKTRDPGFRTMHYESHNFTIAVFWSPYLVTANQSSDPAAGGMWDLYLDEPDAAWAAAVAGFDYAVVSAANWFTRPSMFHERGRLVGCHYCLVPGVPDLTLRYSLRAAFRTALRALAAGAGGAGVFNGTAIVRTLSPTSHFEGGEWNKGGDCRRTRPSTANETRMSGLDLDFHTAQVEEFRRAEAAAAMASGRSAARLLLMDTTAAMVARADGHPSRYGHWAHEKVTLYNDCVHWCLPGPIDVWNEMLLQMLLLHQPGAVSV >ORGLA06G0097700.1 pep chromosome:AGI1.1:6:8131381:8134763:-1 gene:ORGLA06G0097700 transcript:ORGLA06G0097700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLPVWISIALLIIAASIVASASSLDPSKSNGSDTDLAALLALKAHFSDPDNILAGNWTAGTPFCQWVGVSCSRHRQRVTALELPGIPLQGELGPHLGNISFLSILNLTDTGLTGSVPDDIGRLRRLKLIDLGHNALSGGIPATIGNLTRLQLLHLPSNQLSGPIPIELQALRRLRSIDLIGNYLTGSIPDSLFNNTPLLAYLSIGNNSLSGPIPSCIGSLPMLEVLELQYNNLTGLVPQAIFNMSRLTVVDLGFNSLTGSIPGNTSFSLPVLQLFSISHNRFTGQIPPGLAACPYLQVLRVGNNLFEGAFPSWLAKSTNLSVVSLSRNHLDAGPIPAALSNLTMLTRLRLEMCNLIGAIPVGIGQLGQLSVLDLTTNQLTGPIPACLGNLSALTTLSLAENQLDGSVPATIGNMNSLSQLIIARNSLQGDIGYFLSILSNCINLSTLYIYSNHFTGSLAGSVGNLSSQLRVFSAFENSFTGELPAMISNLTGLQQLDLGGNQLHGKIPESIMMMTNLQVLNMEANSLSGSIPLNTGMLNNVELIYIGINKFSGLQLDPSNLTKLEHLALGHNQLSSTVPPSLFHLDRLILLDLSQNFFSGELPVDIGNINKLTTWISLXTALSVASLIQLDTFRCXNTXTYLSMNSMIPFQTLLVTYLACKFWIYPITTFLVPSQNTWPILPALLTXICLLISLKVRYQKEVSSQTSRYNLWRGTQGYVVLSVXDFHHAEQPLPKEIDTYXSIFYPLVXSXXLQRXLVAYMEXLERKLNIKIFLLVCLIXSATNYSPTMSLFVLPMISVMIICWDLEASEKFLRASXIAVWWLPXKLYTTIWNMPXEALILSVVYFEWLDIATXXRYXTHAPTWSSEHWFFSTCLRVAXKRSYTRKKGCSXAFSRGWILCXMCQWQWNTCTMSTMRWSYTVIXSLVMCYSMMKXRHMWQTLALRGCYXVMITLXSLQACQEQLVTWHQSMGFLEKRHGRAMCSAMGSCYLKCSLGRDPQMPCLWEIXASGSGFTGHFLXILSMLSMASLYRTPLVLPVALIDSLSQSSSWACSARLTPQSKGWKXGMWSXRXRRLGRTMSNRQQRQAALPSS >ORGLA06G0097600.1 pep chromosome:AGI1.1:6:8101141:8102715:-1 gene:ORGLA06G0097600 transcript:ORGLA06G0097600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTTIAGGKSMRGGHLDGPSDDAKFSTDFEIRYISSSCSLLVIDRGNQAIREIALHNDDCEYQYEAGFPLGIALLFAAGFFGYMLALLQRRVLGMVSTADEPQTPPRPSIASIPPYQKPLKPSLRPPLIPTKDQAGKHEAEEGFFTSIGKLIGGAKSSAVEIFSRKKRPTHQYHHHLQQQRANPWPVQESYAIPHDETPPPLDMRAATPRKNYAFMTKEPEKVHHVRHGRPYFNGWDMQHAPQQQPEQQMYHQQHLQQHRQYSAGPQTFYEQSCETTNEIVFGAVQEVDTKRRMVEIKAVNYGDTFYEQYGMRYRNNYIGYNNSSNGNNNNNYY >ORGLA06G0097500.1 pep chromosome:AGI1.1:6:8098546:8099361:1 gene:ORGLA06G0097500 transcript:ORGLA06G0097500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTEHISRNMKKENFPALVGCQVLVVAFVSAVSFFIKCFTKNVHQWTSQLQSPMKLFGVMIQFPWMSILYTGIFSTTFCLWAEVAAMRDVSATETAIIYGLEPVWGAAFAWAMLGERWGMTGFVGATFIIAGSFMVQILGSFPDVSRGDS >ORGLA06G0097400.1 pep chromosome:AGI1.1:6:8082502:8086950:-1 gene:ORGLA06G0097400 transcript:ORGLA06G0097400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSAGSSPPPQPGQLGTLWSTLEDQRGGAREVPLLSSAWGLPGGGRGGDGGGEGGGWKEGLVRRAGAAAARVWGAVRGAAEELWALARADPRKAVFAAKVGLALALISLLVFLREPRDIVSHSVWAILTVVVVFEFSIGATFSKGFNRGLGTLTAGGLALAVAELSKHLGKLEEVILIISIFIVAFFTTLTKLHPKMKAYEYGFRVFLLTFCYVMVSGYNTGKFTDTAVSRFILIAIGAAVSLGINVGIYPIWAGQDLHNLVAKNFIGVAKSLEGCVDGYLKCMEYERIPSKILVYQASDDPLYSGYRAAVEASAQEETLLGFAIWEPPHGAYKMMKYPWRNFTKVGGALRHCSFAVMALHGCILSEIQAPPESRKVFSAEIHRVGIEGAKVLRELGDKVKTMTKLSSSDILAEVHLAAEQLQKRIDEKSYLLVNTERWDTSKQAEGIKEVLNGTGITGKENKIEVKEPTIVEQTTAHHSKSFAVNSFLSRHDSSSTVDNFKLLSWPARRSFHPNLPLEDEETKTYESASALSLATFASLLIEFVARLQNVVNAFQELSDKANFKEPVQEPVAVSTSDGGFLHNICKFVGIKS >ORGLA06G0097300.1 pep chromosome:AGI1.1:6:8078181:8081676:1 gene:ORGLA06G0097300 transcript:ORGLA06G0097300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHASSPRAHGVVVIAVAPEHHDDSGKKGWRLAAAAFGDEAGFGVEEEEEGEVRWRERRVASLWRVAGALLVVAALAVAGHYCLYHDPAAFSREEVRSSFLLPLYPKSGGGGAGAGAAGESAGGVKPDSAGAETRENSSAVLPIRGNVFPDGQYYTAMYIGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPEKPNVVPPRDSYCQELQGNQNYGDTSKQCDYEITYADRSSSMGILARDNMQLITADGERENLDFVFGCGYDQQGNLLSSPANTDGILGLSNAAISLPTQLASQGIISNVFGHCIAADPSNGGYMFLGDDYVPRWGMTWMPIRNGPENLYSTEVQKVNYGDQQLNVRRKAGKLTQVIFDSGSSYTYLPHEDYANLIASLKSLSPSLLQDESDRTLPFCMKPDFPVRSMDDVKHLFKPLSLVFKKRLFILPRTFVIPPEDYLIISDKNNICLGVLDGTEIGHDSAIVIGDVSLRGKLVVYNNDEKQIGWVQSDCSKPQKQSGFPFLFKRVLQNQLL >ORGLA06G0097200.1 pep chromosome:AGI1.1:6:8055834:8059505:-1 gene:ORGLA06G0097200 transcript:ORGLA06G0097200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNWFGKRYSSILNLAKSLIRGSKTKIKEAREKLDKAVADLEGVLNSVGVSIEAVQHMPETSLVICVSQVFGRDKERDLVIEKLGVCSMIGRDSQRDHVIELLGVPLITRSGVARARAKGKRAAAPVIGNTSASSRAKQLKRDSRARPRLAEAKCIDNVPVLPIFGIGGVGKTTLAQFIYNDPRVQAYFGNRRVWVCVSDLFDKKRVTKEIIESFNREEYKPLCGLDALQVELMEQLERQKFLLVLDDIWQEAIDEWESFYAPFKNGPKGSMIIVTTRFTTVADRVATNNCKPIQLEGLDRDIFWEFFSKCAFGEECPESYPQLQDIGQSIASRLCGSPLAAKTTGRLLNMKLTVQHWETVQNSELWELPHRDNEILPALQLSYLYLPQELKRCFAFCSMFPKDYSFERDEIVDIWVAEGFVASGGITRLEDMGIRYLDDLRSKFLFQTDPMYPDQTRYVMHDLIHDMAQSGYVDECLLMQDLRSRNERRMLHVVRHMSVKVADESLKNGMRGIQDLNKLHSLRFGIKLNVEITWFNQLSNILYLSLKGCKLVKLPESIGELNSLRYLDISRSGVQELPKKFCSLYSLQVVDASRSSLKAISLDVIKLINLRCLALPNDKEAAEASLVEKQYLQELVLLWRGHGKEIGKSSENGVVEALRPPPRIERLKVQGFGDDSFSPSWFRPECLLNLRSLELSKCDGLKNLSIASLPSLERLMLEANLRMEAITILGGSTGGEKTKHASSSSSNCTACLRGLTTIRLVNCYQLQNLDGCLSPEYLPSIECIEINKSSHLGLSIHVDSFVGFEHLQEMKIWRCKLVCPQGMVLPPSLRRLSIVNCRKLDFPACLQSLTSLDILHFRACNNMESIPLGTNLRVKCLILKSCSELSSIGGSHALSSMQVVSISDCPKLHEVEQPFTKGLLTKEEKVELLKFTSSMYYLNPLCPMSGNGDGWSVGERGSDRDDEVVLAAAAAGAWVTMAAAVCGVEVVMESSEPAIWRQRQGLWRLQ >ORGLA06G0097100.1 pep chromosome:AGI1.1:6:8046833:8051348:-1 gene:ORGLA06G0097100 transcript:ORGLA06G0097100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFMLHLGASQLIDQDQRDASPGPRSPSILPTGKAVLNSGDEFAGVQGHDTQANMMSSTARHSHHLVCVWSRCRMWVMPWISGKDEVLDDEAVQRSPGSDCSGSHRRRSETETMQRSSWQRDARSGPLLAEAAFGKTCSWDKLPQTGPSSSSSAHSNTT >ORGLA06G0097000.1 pep chromosome:AGI1.1:6:8038148:8039197:-1 gene:ORGLA06G0097000 transcript:ORGLA06G0097000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLGRRKFLLVLDDIWPNANDEWESFYAPFKNGPKDSMILVTTRSPNVADLVATNNCKPIQLEGLDRDIFWEFFSKCAFGEERPESYPQLQDIGHNIASRLCGSPLAAKTIGRLLNMELTVQHWETVQNSELWELPHRENEILPALQLSYLYLPQELKRCFAFCCMFPKDYSFERDEIVDIWVAEGFVVSSGSTRLEDMGIRYLDDLRSRFLFQTDPKYPNRTRYVMHDLIHDMAQSVSVDECLLMQDLRSRNERRMLYAVRHMSVEVADESLKSGMRGIQDLNKLHSLRFGINFNVEITWFNQLSNILYLSLKGCTVVKLPESIGELNSLRYLDISYSGVQELPEKF >ORGLA06G0096900.1 pep chromosome:AGI1.1:6:8036906:8037925:-1 gene:ORGLA06G0096900 transcript:ORGLA06G0096900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLSVALLISSICNVKNEEEAAEASLVEKWYLQNLVLQWRKKVTSLVKSSENGVLEALHPPPRIEHLTVQGFGGDSFSPSWFRLESLLTLRSLVLFHCGVLKILSIPSFPSLELLTLSGNIRLKTVTILGGSTGGETMQHASSSSSSSNGTACLSGLTYIGLYSCEDLQNLDRCLSPEYLPSIKSIEIDSSSDLGLSMPVDSFVGFKYLQDLKIHCKLLCPQGMVLPPSLRRLSIVSGRKVDFPACLQSLTSLNILHLSSYDGMESIPLGTNLQVKCLLLEQCSELSSIGGSHVLSSMQFVSISICPKLHEVEQPFKKCLLTNKDKKELLQFTYHLYG >ORGLA06G0096800.1 pep chromosome:AGI1.1:6:8013106:8024646:1 gene:ORGLA06G0096800 transcript:ORGLA06G0096800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagy 2 [Source:Projected from Arabidopsis thaliana (AT3G19190) TAIR;Acc:AT3G19190] MMKRLCKSLLKKRLGDLILGDLDLDQFDLQLTRGTLHLSDIALNADFVNRKLSGSAIMMKEGSIKSLLVRIPLLLNMRDCCEIVVEDLELVLAASVSSEDPSGDTECSVSGSNTDDTQKSVQAKRNESDGNQCSTSASRDVDKGVQRIANAVTCFLTNFNIKLKNSYVVFDPQNILDNKVPEFNRSLVFRIKETEFGTNLSTDGIIKLHNFVTFHEAVIEFLKMDDVDAHALLQDDLDRGPADISSGHSTTAVLTGPIGGFSGKLNLSIPWNGLNFKKLDADISLNSLELRLQLSSIQWLMDVWDSLQRRNLVHEQSYAHNTADISRSASSYVSSSSKSGSGSVIASREHLTEDTFSQLRQEKTQEPSLTMPYLIPDWIPVLIHEDHGDPDSVCDESIDQFFECFEELMNSQTNLGNSGIWDWTCSVFNAITFVSTLASGSDQIPKEPPIEKTLRASIAEISVVLLFSDEMDAGNSSVPISQFDDMRNSEMFSSCLSFAHFEQSMISPAPAASLNMHHVEAKCQNIHLSLETYPENLSLKGSIAAIKLDEYYGSKNNDSDHPNLGAAFLNNNFCREVQASLPQSVFAYQDYHEETSRRHTNNSNDLTKVELLNTFGECVFHYDVSSSGQDGNPVSSTSLSVCLAPLVCWVHFHTIYMLLNFISKIESDVLHGEDKIHRKNDEKNVNLSMKSNVSSGGSQKVQIALSPARVIFCFPSESWDLSCPSMLDKFLVIDHTPSLKSGADSSPHQNEMPNDVNAITPSTLVHLATGNFDIYLVRPVNDELNARTCSLSRQTFSSLKIFSVTGSNCHETGITMLWKKYPLKDPEMVSKTWSLPNLHEQKIAQNKNGKWVGVSSSTTSEDLEESSSSIRRELLRSTEFLLHIKLSCVSVHLSKKDCGILNHLLKNILDGLSDGATGNFENDSDNCMPIHDTASQTSVIFECSILDICIELDETVEVGPLLQTELEGSWNCLKLSISKFSLLSSSNVGGVNNANFLWVNHGEGELWGSITGMDDKSYEESKDVLLVVCKDSASRRGDGEGSNILSFGTAGCSVTHIMNPKFQKNYTSINVRSATAVAPGGRMDWISAICLLFSSASDGTEQPANSSTMNDSQGGEPFSSLFFLELVDVAVSYEPHFRSSALSAEAPDCKYFSCLLAASLFKLHSKSASNSTATDFDIELRDLGVLICGSSSFKNVSCGYGADYLRRMGYAKIVQNTFIEAVLRIDTSFWKLELSDSQFDIGTCHDTTHGVIRLCSQLQQLYAPDMRDALDHLQSRWNSVQQANKQNMGTDVSEKSESSIDNLTDSEECKSDGLLDDIIENAFCTDQDFASYSLSGSEMDEEFGLSKAIPEANDACISLESLLVTPEASTSDDLIIESYYMPPSSSSTLYNEDQGNCAPRTVECDEGEWYNNFPTIDENHVQRNKPREEQIFQQKVKPAIFILNSDESCSLKGKVLIHDIDVKWRMYEGNDWKLAQKDTISRPCSNGRDKRSYLEFIMSALNIQFNMYPDGDIFVSKLSISAKDINICDQSTHAPWKMVLGCYNSKDYPRESCSSAFMLELESVRPEPQAPLEDYRLHLEILPLQLHLDQGQLNFLISFFQNDLCNNPNLACENENIDAQSTMYRSDTIADEALLPFFQKFDVKPLVLHINYIPRHFDPVALSKGNYAELLNIFPWKGIDLKLKQVSAMGVYGFNNICEIVAAEWLEDISKNQVHKLLKGLPPIKSLVAVSSGTKKLVSLPIKSYKKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLLKTESALTAIPPPLASREAKRTKDNVRANQPESAQEGLKKAYESLTDGFGRTASALIGNPIKVYNRGAGAGSALATAICGAPGAAVAPLSASFRAAHYTLLGIRNSLDPERKKESMYKYHGPPQL >ORGLA06G0096700.1 pep chromosome:AGI1.1:6:8002456:8006551:1 gene:ORGLA06G0096700 transcript:ORGLA06G0096700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC (No Apical Meristem) domain transcriptional regulator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G25580) TAIR;Acc:AT1G25580] MTGTSWIIDSHRIASKIKNASGSVDASKHKWVSNPTKACPRCNHIIDNSDVVHQWPGLPRGVKFDPTDQELLWHLLAKHGKVGAKAHPFIDEFIPTVEEDDGICYTHPQKLPGVKQDGSVSHFFHRTFKAYNTGIRKRRKINTGDLADVRWHKTGKTKPVVVDGKHLGCKKIMVLYMSTMKGGKPEKTNWVMHQYHLGTGEDEVEGQYVVSKLFFQQQFKPGEKNAQDLTSADALESIVAEDLPNIPPLPLEEHVFTNQELEVLEKSETITDQGKETSEINDEDNAVEDVAHMATEKPEDRDNPSSQDPKWWEGESQFLLDSQQLAENLAICDEFLQSQSQTSCGGGDDEPDKIKPRLAVYAQLPVEDLKKDLEECQRLDPSDGTNLELENASEFRLSQIEFSQDSFTTAWAGGKVID >ORGLA06G0096600.1 pep chromosome:AGI1.1:6:7998584:8000243:-1 gene:ORGLA06G0096600 transcript:ORGLA06G0096600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRAARLRPAHGLSLLGDVLGRRGXELPEIGRRGRWPWWCGDPVAAGVAGHWQPPPARPRAARPGAARARAAARPAVPAPARLRARARRLLGVHGGGRAQAPGPRVLRPAAAAHGAGHAVRLPGRRVQPLRRAVAPPPPRRRRAPPQREAGRLVPRPPGGGGRVVREPDPRGERRRRRRRQLDXAHRRPDPRRRVEGRVREEARRRRAGEGPRDGGELADLLGTIAVSDMFPRLRWVDWATGLDVRTKRTAAKLDEVLEMVLRDHEQSRGDDDDDEARDLMDDLLSMANGGGGGDDHGYKLDRTDVKGLILDMFAAGTDTVYKSMEWTMAELIKNPAEMAKVQAEVRHVVAAAHGEEGDEDAIVIVKEEQLGKMTLLRAAMKEAMRLHPPLPLLIPREAIQDTVLHGHRVAAGTRVMINAWAIGRDEAAWEDAGEFRPGRFADGGDDAGVEYYGGGGDFRFMPFGAGRRGCPGMAFATRLAELAVANMACWFEWELPDGQDVESFEVVESSGLSPGLINLLVLTAKPL >ORGLA06G0096500.1 pep chromosome:AGI1.1:6:7984059:7984488:-1 gene:ORGLA06G0096500 transcript:ORGLA06G0096500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAST1 protein homolog 5 [Source:Projected from Arabidopsis thaliana (AT3G02885) TAIR;Acc:AT3G02885] MASSTKIPFLLAVLLLLSVAFPEEVMAGGHGRGGSSGGGGGVAGGGNLRPWECSPKCAGRCSNTQYKKACLTFCNKCCAKCLCVPPGTYGNKGACPCYNNWKTKEGGPKCP >ORGLA06G0096400.1 pep chromosome:AGI1.1:6:7976510:7977366:-1 gene:ORGLA06G0096400 transcript:ORGLA06G0096400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAARRGRGSASGGGVVLLCLVAAALLMEAIPAAEAGGKTYYVGDAAGWGRNLDWWLAGKTFYAGDVLVFKYNKEYHDVAVVGGKGYRRCKVPRNKDTVVLRTGYDQVTLRRGNNYFICGMPGHCDAGMKLAVKAW >ORGLA06G0096300.1 pep chromosome:AGI1.1:6:7974829:7975116:-1 gene:ORGLA06G0096300 transcript:ORGLA06G0096300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEWSVKLFDCFGDSGTCCLTCWCPCITFGRIAEIVDRGSTCRHINLSLRALVQAQKTINLVC >ORGLA06G0096200.1 pep chromosome:AGI1.1:6:7971470:7972085:1 gene:ORGLA06G0096200 transcript:ORGLA06G0096200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYEAGRLVGCHHCLLPNVTDLTLRYALRMATRAALRAVVGSDGGGVTAVLRTVSPSQYEGGEWNKDGNCVRTRPYRRGEKTLQGFELDFHTLQVEEFEAAKRAASGGGVRMMLMDTTEAMIRRADAHPSRYRGWTRREEWMKEYFTISNDCVHWCVPGAIDAWNDMLSHMLLTSQS >ORGLA06G0096100.1 pep chromosome:AGI1.1:6:7967118:7968299:1 gene:ORGLA06G0096100 transcript:ORGLA06G0096100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT2G31290) TAIR;Acc:AT2G31290] MARWSSPKDPALEAALRRNRRWIVNNQIKRLLLRFPSRTAPVRLLQSRFKTLDLLGRAANWLRKYPSCFDLFHGGAGGDGDGGEEACFGFTKRMAALVDAEEAAVAASEPAMADRLARVLMLARGRRLQVSKLAALRGPLGLPDDYLLRLLPARTDLFRLANPYPHRRNAAELELLRWVPSLAVSSVEAAAASAADSSAPRFTCSLPPSWAKSHAKIEEFNSTPYISPYSERWAAIGTDADAEKRAVAVVHELLSLTLWKKMSVLKLEHFRREFGLPEDTARMLHRHPCLFYVSNRYKIHTVVLREGYEGSELREKDPVVAAKDRLGELMQEGLHEYNQRRRSENLEKKRRRGEIEIKEEEEEDDEEAVRLDSAEKREERRKFYKVLFNDDNR >ORGLA06G0096000.1 pep chromosome:AGI1.1:6:7958224:7958607:1 gene:ORGLA06G0096000 transcript:ORGLA06G0096000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRIHRGGRRGDRRAAGHGRRRLATKQLLGRYHTILAQWYFARADQQKATNHLRRAAWMAPCCLHIAFALAFVLIEMGSFDEADMACAHSLLVPDLTNPAHNFISPKEQVDAIISSKAPEYRLGRRAI >ORGLA06G0095900.1 pep chromosome:AGI1.1:6:7950514:7952474:-1 gene:ORGLA06G0095900 transcript:ORGLA06G0095900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQGMAAATAADRFCLPRMAAAAAAASQVENWGDSGVVVSSPFTDDTSTDLDDSADKHHLHALMGGGDGGDDAGEQRGADSSAVSKERRGDQKMQRRLAQNREAARKSRMRKKAYIQQLESSRSKLMHLEQELQRARQQGIFIATGGSGDHGHSIGGNGTLAFDLEYARWLDEHQRHINDLRVALNTQMSDDELRELVDAVMMHYDQVFRLKSFATKSDVFHVLSGMWMSPAERFFMWLGGFRSSELLKVLASHLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQTLGDTLVSAAATVVGGGGGADNVTNYMGQMAIAMAKLTTLENFLRQADLLRHQTLQQMHRILTTRQAARALLVISDYFSRLRALSSLWLARPRD >ORGLA06G0095800.1 pep chromosome:AGI1.1:6:7934241:7936121:1 gene:ORGLA06G0095800 transcript:ORGLA06G0095800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNRRVILGLMYGYYEEALDALSLDRVCFGFADPVTNIIANTLRVVPSELPDSNGAMIPKRETTMASRSRVARASGEMVLSKIVAGGDVPSPPEAHTVAERSLEGLITLTSYYHYLPT >ORGLA06G0095700.1 pep chromosome:AGI1.1:6:7931210:7931734:-1 gene:ORGLA06G0095700 transcript:ORGLA06G0095700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLHHTGNQQATQQGKAGPGNSVHAGNEVSFSILTHGIHLKFVRLYHRHHLASLKLKVSWVLGASSISFLCSSIHVEIIVRVEHRLSVRLWSAGMLLVFLRFDDDFHGNHWLSPVKPSTYLRLKSKPQLVPNPWRQPEGSLAGQAGSTFEEALGCNCRGNAADLRRLYSLLLY >ORGLA06G0095600.1 pep chromosome:AGI1.1:6:7923075:7929355:-1 gene:ORGLA06G0095600 transcript:ORGLA06G0095600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVADVSLAKRSRIIELSRRLRHRGPDWSGIHCYQDCYLAHQRLAIVDPTSGDQPLYNEDKSVVVTVNGEIYNHEELKANLKSHKFQTASDCEVIAHLYEEYGEEFVDMLDGMFAFVLLDTRDKSFIAARDAIGICPLYMGWGLDGSVWFSSEMKALSDDCERFISFPPGHLYSSKTGGLRRWYNPPWFSESIPSTPYNPLLLRQSFEKAIIKRLMTDVPFGVLLSGGLDSSLVASVVSRHLAEAKVASQWGNKLHTFCIGLKGSPDLRAAKEVADYLGTVHHELHFTVQEGIDALEEVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAXXXXXXXFHEETCRKIKALHLYDCLRANKSTSAWGVEARVPFLDKNFINVAMDIDPEWKMIKRDLGRIEKWVLRNAFDDEEKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANEHVSDSMMMNASFVYPENTPVTKEAYYYRTIFEKFFPKNAARLTVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGVHDAAYEDTLQKSPASANPALDNGFGPVLGESMVKTVASATAV >ORGLA06G0095500.1 pep chromosome:AGI1.1:6:7917936:7921048:-1 gene:ORGLA06G0095500 transcript:ORGLA06G0095500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXPRARLTPPTPAMHPHRKRARSPPASGSLVRCSSSGNGAPRDHRRRWQSPAAGSPGRVYQRHRAQQYGVPSRRWVLAEEASTSDGDACTIMSYNILADNNAHYHPDLYLDVPWDAMRWDSRRRLIIREIRHWDPDLVCLQEVDRFRDVATEMENRGYQSRFKGRTGDAKDGCATFWKSKGLHLLEEDSIDFSEYNLRNNVAQIFVFELNRAQKLVVGNIHVLFNPKRGDVKLGQIRMLLEKANALAEKWGGIPIVLAGDFNSTPDLDISLHDRRQLSGLDSSEFALYDLCRSEPFS >ORGLA06G0095400.1 pep chromosome:AGI1.1:6:7915220:7916063:-1 gene:ORGLA06G0095400 transcript:ORGLA06G0095400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAYTVALLGATGARVPAAPRSAALLPRRGGVLQPLRLQDAPRLSLLRVRAASDDTSTSASGDELVADLKAKWEAIEDKPTFLLYSGGAVVALWLTTVVVGAINSVPLLPKILELVGLGYTGWFVYRYLLFKESRKELATDIETLKKKIAGTE >ORGLA06G0095300.1 pep chromosome:AGI1.1:6:7909179:7909568:-1 gene:ORGLA06G0095300 transcript:ORGLA06G0095300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylphosphatase [Source:UniProtKB/TrEMBL;Acc:I1Q1E0] MFPSATAPRLALTPRSVAAARRAMASAATPQPSPPSPKAVRVVVKGRVQGVFFRDWTVETARALGLAGWVRNRRDGTVEALLSGDPARVDEMVSRHLPVGPRAAAVTAVLPSPADPVDPAEGFTRKPTA >ORGLA06G0095200.1 pep chromosome:AGI1.1:6:7907949:7908302:-1 gene:ORGLA06G0095200 transcript:ORGLA06G0095200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylphosphatase [Source:UniProtKB/TrEMBL;Acc:I1Q1D9] MATPAADATSSSPTPSPPPQETPARKAVRVVVKGRVQGVGFRDWTAETAESLGLAGWVRNRRDGTVEALLSGDPAKVDEMVSRHLPVGSPASAVTAVVPSPADPIHPSLGFEINFTV >ORGLA06G0095100.1 pep chromosome:AGI1.1:6:7902109:7907438:1 gene:ORGLA06G0095100 transcript:ORGLA06G0095100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68570) TAIR;Acc:AT1G68570] METVEAAMAEEEEAKKSKMRKKGGFRTMPFIFANEVAEKLAVLGFTTNMLMYLTRQLHMPLAKAATTLTNFGGVSAMTPLIGAFLADSLVGRFWTIAAASLIYQVGMLLLTVSAAMPVFRPPPCSGAGGAGACDEAAPWQLAVLYAALLLNALGAGGYRPCVVAFGADQFDESEAAERARTWGFFNWYYFCNGASQLVAVTAVVYVQDNVGWGWGLGVPTFCMAVSVVAFVAGYPLYRRLHPSGSPFTRLAQVVVAAVRKRRVPTDADDAAALYENDDMDAPISLYGKLVHTEQLSFFDRAAIVTDGDLTTDTSNGKPSLSPIPKPWRLSTVHRVEELKSLLRMGPIWAAGILVITAYSQQHTFALQQASTMDRRLTPGLSSFQIPAGSMTVFTLLAMLTTLLAYDRVLVPLARRVTGLDRGISYLHRMGVGFAISVAATLVAGFVERHRRESAAAAGTTDAGTSPLSAYWLVPQYALHGMAEAFNSVGHLEFMYDQSPESMRSMATALFWLSISLGSYVSTMLISAVHRWSAGADGSNWLPDNINRGRLDYFYWIVALLQVLNLAYYAICARCYLFKPLQLREVDNDAKPQIELQEKASPLSH >ORGLA06G0095000.1 pep chromosome:AGI1.1:6:7883409:7889079:1 gene:ORGLA06G0095000 transcript:ORGLA06G0095000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFVKTLKGTNFEIEASPEASVAEVKRIIESTQGQNVYPADQQMLIHQGKILKDDTTLEGNKVAENSFLVIMLSKAKASSSGASTASKAPVSQSQPATPVASVARTPPPQAPVVTPEPAPPSAQPPVASATPAAAVTASSDADVYSQAASNLVSGSNLEQTIQQILDMGGGTWERDMVVRALRAAYNNPERAIDYLYSGIPENVEPPQPVARAPAAVQQGNPQVPSQAQAAPPPPVQPAGGASGPNANPLNLFPQGIPSAGSNPGAAAGAGAGAGALDALRQLPQFQALLALVQANPQILQPMLQELGKQNPQILRLIQENQAEFLRLVNESPDSGAAGGNILGQLAAAMPQALTVTPEEREAIQRLEAMGFNRELVLEVFFACNKDEELAANYLLDHGHEFEDQQ >ORGLA06G0094900.1 pep chromosome:AGI1.1:6:7868435:7869889:1 gene:ORGLA06G0094900 transcript:ORGLA06G0094900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAKAAAAGAVGAKSARACDGCLRRRARWYCAADDAFLCQGCDTSVHSANPLARRHERLRLRPSSPPPLVPPSGSGRRDEAVPAAWFKRKARTPRSHAAKSAAAVGQLLSRRLVVVPEAAAGSGGDSPEERKDEGEIVEEQEQLLYRVPIFDPALSEFCSPPPLEDAAAAVSCCNEDGAVENPTKPSMTTTTATTPPLQFFPDGQANFGPTDAELREFAADMEALLGRGLDDGNDEDSFCMETLGLIEPVDDDAGRVKVEADGDAGMTLAWCHELDTETSSGEMLDIDFDCGSPQAATTPDEKVRSSGPAAAAADDDDAQLQQSNLALSLNYEAIIESWGTSPWTDGERPHVKLDDSWPRDYSVRATPCTPYASSHRILHNLACTDDLLRRRAAVQGVWMAAAGVFGHGGEEQALTPRLGMDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRAAAAATAAVATACVA >ORGLA06G0094800.1 pep chromosome:AGI1.1:6:7814582:7816099:-1 gene:ORGLA06G0094800 transcript:ORGLA06G0094800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1Q1D5] MTMSSPPPRASKHLKSAYRLAAIAVPVVAAAVVVIVVLLRAAQLGPGELLAGRLGAARHVHLFLAALVVVPSAVATLLRLVRRPRSVYLVDYACFRPQPSNRLPFATFAEHFRLSPHIDDGSFRFVTRMMERSGLGERTYVPRGNLYLPPRTGMEEARDEAEMVVFAAVGDLLARTRIRPEEIDVLVTNCSVFSPTPSFADMVVNRFKLRGDVRAVHLSGMGCSAGLIAVEVARNLLQAAAPRGAHALVVSTETTSFSHYAGTSRSMLLPTALFRMGGVAMLLSTSRSSATTTTSRFRLAHIVRTLNAAEDRAYRCAYHEEDGDGNLGVNLSKDIVPVAGETLKANIATVGSRVLPLSEKLLYALSLLARKVAGSRRRKAIKLHVPDFRTAFEHFCIHAGGRSVIDAVQSGLGLADEDVEASRMALHRFGNTSSSSVWYELAYVEAKGRMRRGDRVWMICFGSGFKCNSAAWECISPPARDADGPWADSIHQYPVAITTTTTKMC >ORGLA06G0094700.1 pep chromosome:AGI1.1:6:7800162:7800990:-1 gene:ORGLA06G0094700 transcript:ORGLA06G0094700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPWRLTVVAGEQCAPKRWTTTSQRLRQKRCFGPHCRMPGNAFTNKEELFTWAKNNNRDCSTSTALTEQAVLHLAMQIHTTGTAQEASGLLFSVVLLDVNEPDVAATTRMVIDARSGWPSSVLRIYMLMIVLYMEIVDG >ORGLA06G0094600.1 pep chromosome:AGI1.1:6:7787201:7788685:-1 gene:ORGLA06G0094600 transcript:ORGLA06G0094600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1Q1D3] MSMAARFLDSLKATYQYYHSAMGNVLVALPAAAAALVTVALLAPEAESTMVAIGRLRELIRPAHLFLAVFLPGAAATVYLVMRPRAVYLVDYACFRTAPNCRVPFATFLEHARQVPTLTERSVRFMTRLLERSGLGEETCLPPAHHYIPTYKYCTLEAARAEVDLVVFSAVDELFAKTGVSPDDVDILVVNCSLFCPTPSFVDMIVNRYKLRSDIRSMHLSGMGCSASLISIGLARNLLQVAPHGARALVVSTETITPNYYVGNERAMLLPNCLFRMGGAAALLSTSPAKARFRLKHVVRTLTGAEDSAHHCVFQEEDEHGSIGINLSKDLMTIAGNALKANITAIAPLVLPASEQLKFALAFIARKALSGRVKPYIPDFRAAFEHFCIHAGGRAVIDELQRSLCLSDEQVQASRMALHRFGNTSSSSVWYELAYVEAKGRMRRGDRVWMIGFGSGFKCNSAAWECISPARDADGPWATSIHRYPVDIPDVLKH >ORGLA06G0094500.1 pep chromosome:AGI1.1:6:7734341:7735816:1 gene:ORGLA06G0094500 transcript:ORGLA06G0094500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1Q1D2] MSTAASPLLERLKAAYHYHAAVGNVRAIFITLLAAAAVAALTHLAPEEVVIGRLRELRPVHLFLAIFLPVAAATMYLMLRPRPVYLVDYACFRTAPNCRVPAATFLEYAKQVPVITDRSVRFMTRLLERSGLGEETCLPPANHYIPPHKYCTLDAARGEVDLVVFSAVDELFAKTGISPDDVDILVVNCSLFCPTPSFVDMIVNRYKLRSDIRSMDLSGMGCSASPISIGLGRNLLQLAPHGARALVVSTETITPNYYVGNERAMLLPICLFRIGGAAALLSTSPAKARFRLQHVVRTLTAAEDSAYHCVFQEEDEHGNTGINLSKELMTIAGNALKANITAIAPLVLPASEQLKFALAFIARKALSGRVKPYIPDFRAAFEHFCIHAGGRAVIDELQRSLCLSDEQVEASRMVLHRFGNTSSSSVWYELAYIEAKGRMRRGDRVWMIGFGSGFKCNSAAWECISPARDADGPWATSIHRYPVDIPDVLKH >ORGLA06G0094400.1 pep chromosome:AGI1.1:6:7698728:7699060:-1 gene:ORGLA06G0094400 transcript:ORGLA06G0094400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRLEWSWAAAAGGRGQPPIRHGLPRRWPATRGRRWWLGRRSLAQSRGSAPIDVSRKVGGKTAIFSKACSPCDSTEYSFKLNSMKAANLLQPLVVPESCYFTMLLIS >ORGLA06G0094300.1 pep chromosome:AGI1.1:6:7659456:7660934:-1 gene:ORGLA06G0094300 transcript:ORGLA06G0094300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1Q1D0] MSSSSSSAQLKRLKPLYQHVVNNFLVVMAAPLAVAAIVNAARVGPDELLRMARALRPVHVFLAAFVPAAAATLYLMLRPRPVYLVDYACFRTKPNCRVPFATFLEHAKLVTYVEGASIDERSVRFMTRLLERSGLGEETCLPPAHHFIPPYRNLEASRAEVEVVIFNAIDDLLAKTGISPAAIDILVVNCSLFAPIPSFTDMIINKYKMRSDIRNVHLSGMGCSAGLISVGLARNFLQVAPHGAHALVVSTETITPNYYVGKERAMLLPNCLFRMGGAAVLLSTSRAKARFRLSRVVRTLTGAQDSAYRCVFQEEDGEGHRGINLSKDLMTIAGDSLKANITAIGPLVLPASEQLLFALSFIARRVLNRRVKPYLPDFRMAFEHFCIHAGGRAVIDELQRSLGLSDEHVEASRMALHRFGNTSSSSVWYELAYIEAKGRMRPGDRVWMIGFGSGFKCNSAAWECISPARNADGPWADSICRYPVDIPEVLKH >ORGLA06G0094200.1 pep chromosome:AGI1.1:6:7646409:7648912:1 gene:ORGLA06G0094200 transcript:ORGLA06G0094200.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQNGAENVLSAEKKTEFCNSESTSLEMCTNSELPCHQIKISSKSLQIFQKKTGTLVKGLDAFKKSQNWTPSAGADEEQQLAQRLRGVELPEHHELVERSRCGCRVVFLTELSHCAIHDMDDDAQVNYVTAGERMRSCALSSLQVRLRRGPDLPVASQRRRSHPLHLLVLVLSGSPIRTHSTTPLPASPVSWLGRSGWALVLHDASMQV >ORGLA06G0094100.1 pep chromosome:AGI1.1:6:7627178:7628053:-1 gene:ORGLA06G0094100 transcript:ORGLA06G0094100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGRRKGAKVMQLDGTAFRVKPPAFAGTVLRDHPGFQLLESEEVKLLGVRARPLAHDAPLRPGRLYFLVALPRPTAPPRRAWSGALHVGARERLESLMLTRRSTSDLSLPASAAVGTAPPSPMSTASEGPVRLRMRLPKAQVEKLMGESRDSAEAAAKIMQLCAAANANGGASGAATPERGILRTPERSPRFIPTPDWGVAGGFARTPEVSPRFAATPEWGTGFMMPTPERSGLLRTPERWPTLPRTPEYSSRDVKAARKEVMHCFSPRLLFEKYNNTPPFQNIXRH >ORGLA06G0094000.1 pep chromosome:AGI1.1:6:7621446:7621796:1 gene:ORGLA06G0094000 transcript:ORGLA06G0094000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDALRLGQLYFVLPVSALHRPFSDQDMAALTVKAIAALGASATAAAAGGNSSSISVSSRGKNASPASKQRQQTTARVAPIRRGSTEVALLANAQDCRCRGRAPSRKAMSDPTY >ORGLA06G0093900.1 pep chromosome:AGI1.1:6:7565416:7572887:-1 gene:ORGLA06G0093900 transcript:ORGLA06G0093900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRGDGTDGPSVSPPERVPTPSSSRYAGWRRLSSPGPLRCSTRSVGYEDGDDSERYFSPHSEFSQDTSDTDSVSTSISRMYTFRLGTSSPVDSPVRRLGLGDTSPSSRRSCHSPVYPLNSVHGSEDVDYSSFVDSPVCGDEQQNNTSIPIDFESNRLIWYPPPPQDEGDDFENGFFEYDDDDYDGNDVGDTNTFTRVNHDHGGEDDSLGIKGKHNIAHKEFLRNALHGHFRALVSQLLQGHGVDPVDVWSDIISSLAWQAATFVRPDTSKGGSMDPTDYVKVKCVASGNPNDSTFIKGVVCSKNVKHKRMVSKHENPRLLLLGGALEHQKASNKLASINSILEQEKEYLKIAVAKIEAQRPHVLLVEKSVPLYAQQLLAKDISLVLNVKRSLLERISRCTGAQIASSIENVTSVRLGQCQTFWIERISESSSPKNANKKSAKTLMFFDGCPRRLGCTILLRGTSHEELRRVKLALQFALFAAYHLSLETSYLADEGATLPKIPSDISALPLENHVDGGNCSSSYCLQDFNDFQIVGQKTSDNGCNMPANCLNDSENPLCADKSFLGPNLNQAEYIGVTNGIYPRSPRSSLDKGCVPPSDIIVQTSKSSPMGPRFHRVESDLDNGWQNTSDEEHAGLAVRDHNENHIEYFPTSDNPQSILVSLSIACPQRGVVCKQSQLFRIKFYGNFDKPLGRYFREDLFNQISCCESCKEPAESHVRCYTHRQGSLTISVRNLASVRLPGENDGKIWMWHRCLRCKPKDGIPPATQRVVMSDAARGLSFGKFLELSFSNHTTANRVASCGHSLQRDCLRFYGYGSMVAVFRYSPVDILSVNLPPAVLDFTYPMAQDWIIKDAADVASRKEYFYKEIFDKLDSIENIVSAQNMSMKTGLPKHVIDLKDLIKVEWKKYDVLSRFASTENLNTLELAIDILELNRLRRELIVDAHIWDRRLYMMQALTKENCHTVPTDVQCLDKIPESFVEKSKVEIPGTHENWENSLEHTQSSSLTVAANSIKPLIRGDQIDTIVTHFGLKTDIKGEVPPQSAEDYSSSVVPGPSERPNDGILTDELEKTFERSRSSATNLSDRIDLAWTGSSQLVNDPSKCSIEALPVVPASLVDDPSYQRVIAPIRINSFDSAINFKNRLSPVDGSDGIIRRAYSQKPPKALERTGRGLSPTFKTELSVPDIMHGEGRLLLQNAGDVVVPIYDDEPSSMIAHAMTVPDYHKFMLPLLDQQNDLGRWSYGSDQPRTGNSDSKDIHLTVSFEDDDSISVDKAKFSVTCYFAKQFDAIRRKCCPDELYYIRSLSRCKRWSAQGGKSNVYFAKTLDDRFVIKQVTRTELDSFEDYAAEYFKYLTETVSSGSPTCLAKVLGLYQVAARNLRDGKELKLDVMVMENLFYKKKISRIYDLKGSLRSRYNPDTSGNNKVLLDLNLLETLHTKPIFLGSKAKRRLERAVWNDTSFLASVDVMDYSLLVGIDEESKELVMGIIDYLRQYTWDKQLETWVKASGFLGGSRDVLPTIISPDQYKKRFRKAMSRYFLTVPDQWSS >ORGLA06G0093800.1 pep chromosome:AGI1.1:6:7549270:7563886:1 gene:ORGLA06G0093800 transcript:ORGLA06G0093800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ketose-bisphosphate aldolase class-II family protein [Source:Projected from Arabidopsis thaliana (AT1G18270) TAIR;Acc:AT1G18270] MASGKVVSFVGADELGVSLAASFVRSGAIVRFFVAPGGDGSATALAELGGVRCASPAEAARDAELVIVLSDTDGVDELFFGPEGIVKGLCSGAVVLIRSTMLPSHLEKLNQKLADEKKNALLDGYIFSGLSDELKQKIVVVASGRHDVTERTGQFFSGLDTAVYFVEGEFGSSSKIKLVNDLLESIHFIASIEAMFLGVRAGIHPSIIYDIISNAAGSSRIFVEIVPKLLREDSLLIDYLESSKTNAGYVMDMAKAVIFPLPLVAVSYQQLIHGCSSANGDALVSPLKVWEQSFGVNIIDAASQQIYDASKLADQLVMACKTAKTIGFIGLGAMGFGMASHLLKSGFSVIAYDVYKPTLARFTDLGGLTKDSPEEVTKDVEILVIMVANEVQAENVLYGNAGAVSVMAAGTSIILSSTVSPGFVIKLKERLEAECRDIKLVDAPVSGGVKRAAEGTLTIIASGTDEALQCTGSVLSALSEKLYVIKGGCGAASSVKMVNQLLAGVHIASAAEAMAFGARLNLRTRRLFEIIQHARGYSWMFGNRVPHMLDNDYTPYSAVDIFVKDLGIVSHESSNARIPLHVSSIAHQLFLSGSASGWGRFDDAAVVKVYETLTGVKVEGRPPMLNKEDVLSSLPAEWPEDPMDDLVSSASHNSKKILVVLDDDPTGTQTVHDIEVLTEWPVEALAEQFQKLPACFFILTNSRSMTAEKATLLVKDICRNLEAAAKSVPGVSYTVVLRGDSTLRGHFPEEADAVVSVLGEMDAWIICPFFLQGGRYTIDDIHYVADSDRLIPAGETEFAKDAAFGYKSSNLRQWVEEKTKGRISENQVSTISVNLLRKEGPNAVCQHLCSLKKGSACIVNAASERDMSVFAAGMIQAELKGKRFLCRTAASFVSARIAIKPKPPIRPTDLGLKRALTGGLIVVGSYVPKTTKQVDELRSQCEQSLRIIEVSVEMISMKSAEDRDHEISRVIELGNAYIQSRKDTLVVTSRQLITGKTPEESLEINYKVSSALVEIVRGIGSRPRYILAKGGITSSDLATKALEARRAKVMGQALAGVPLWQLGPESRHPGVPYIVFPGNVGDNSALAKVVQNWACPSRSSAKELLLNAENGGYAIGAFNVYNLEGIDAVVSAAEAEKSPAILQVHPSALKQGGVPLVSCCIAAAEHASVPITVHYDHGTSKSDLLQALEMGFDSIMVDGSHLPLGKNILYTRSISSLAHSKGMLVEAELGRLSGTEDGLTVEEYEARFTDVAQALEFIDETGIDTLAVCIGNVHGKYPPSGPNLRFDLLEDLRALTMKKGVSLVLHGASGLPHELVKECIALGVRKFNVNTEVRNSYLESLKRPEKDLIHVMASAKEAMKAVVAEKMRLFGSSGKA >ORGLA06G0093700.1 pep chromosome:AGI1.1:6:7503511:7503939:1 gene:ORGLA06G0093700 transcript:ORGLA06G0093700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELSSSSSAASVFASSSATSPPLGRCVVRIRLPPAWTPELDAVLERLAMEHGSRHWRRVAAQMPRHRSRRSPAQCRDRWRDHLARDVFHRPFTADDDAELARLCLRLDDGRSSRAVKRRWRELRKSDAFLGKLWRRPLSH >ORGLA06G0093600.1 pep chromosome:AGI1.1:6:7493173:7493709:1 gene:ORGLA06G0093600 transcript:ORGLA06G0093600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMEPSSSSSSSTASAVTPSSAPPSGRCCVVLRIKLPAAWTPEEDAALERLAVENGSRHWRRVAAQMPRKRSPVQCRDRWRDHLARDVFHRPYTAADDDELTRLVLRPGGGGDRWKDISRAVHGRSSRSVKRRWMEIGTSDELLRKLAPPVIDAVSGHGGGRRRLMRPNQAFGWRRC >ORGLA06G0093500.1 pep chromosome:AGI1.1:6:7476760:7477747:1 gene:ORGLA06G0093500 transcript:ORGLA06G0093500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLVAFLLTHGHCCWRVVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDDEERLVIDLHAQLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLRKMGIDPVTHQPLEPPRPPPREQDATPPPPPPPPPPQEIEESEEEEQEPSPLIEPHEITAPPPAAAAEAATSNCSVSPASVLSPSCSSSASAASAVDVAEWPEPMYMFGMDGIMDVGWNGLISGAGVDVDPFDHYYHDASFDDQDVWII >ORGLA06G0093400.1 pep chromosome:AGI1.1:6:7472648:7473034:1 gene:ORGLA06G0093400 transcript:ORGLA06G0093400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSFSPFTNIRSGTFPDQWVPALRVASCPLSGVELQKPPATNRPRELARPRVNTSRGRAGRAETQEETVPRGTVQKRTRTRRGAIHKSRSHERDAGSRGSYGVLRHCVVGDSWLVGVHGMGCSTCHG >ORGLA06G0093300.1 pep chromosome:AGI1.1:6:7466941:7470851:1 gene:ORGLA06G0093300 transcript:ORGLA06G0093300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKKKATAMAQRSAHHGNRTSSLKTVQSTNASAMANAAAGAGGEDAGRPQAQAQVAAVEVRSYFPFPYAAGPFRPSAGGGGGGGGGVSLGTHQADQPPAPEVVAAQQQLPHFPYAPRGGGSASASLSVECAICLERLRRGELCSELPECRHVFHRDCVALWIKSKSTCPLCRARISPWFSGSIGAPPPLADMV >ORGLA06G0093200.1 pep chromosome:AGI1.1:6:7464084:7464560:1 gene:ORGLA06G0093200 transcript:ORGLA06G0093200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLGEVAMLVVDVAFVLCLIVAIMCCCDDDRRRPRSSSQRDAQVGGRVVMLRVVEAPPGQQRVAPAAAKAALPYFPYAQAQGRTSSSETQTLVCAVCLEELRHGELCSEVPACRHIFHRECVGSWMKKSDSCPLCRVKISSWIAGPTESPTAADAV >ORGLA06G0093100.1 pep chromosome:AGI1.1:6:7462045:7463590:1 gene:ORGLA06G0093100 transcript:ORGLA06G0093100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAVAVLVVAMVTGGGGAVAAVVTSKKPVIYIFGDSMSDVGNNNYLILSLAKSDYPWYGIDYETGFPTGRFTNGRTIGDIMAAKFGVPPPPPFLSLYMTDDEVLGGVNFASGGAGLLNETGIYFVQYLSFDNQISSFEEIKNAMIAKIGKKAAEEVVNGAIFQVGLGSNDYINNFLRPFMADGIVYTHEEFIGLLMDTMDRQLTRLYDLGARNVWFSGLAPLGCIPSQRVLSDDGGCLDDVNAYAVQFNAAARNLLERLNAKLPGASMSLADCYSVVMELIEHPQKYGFKTSQTSCCDVDTTVGGLCLPTAQLCDDRTAFVFWDAYHTSDAANQVIADRLYADMVSAGAVQGNGNSTAAAASTPAPRVVVGGGASPSTHAAPPPKP >ORGLA06G0093000.1 pep chromosome:AGI1.1:6:7443138:7444157:-1 gene:ORGLA06G0093000 transcript:ORGLA06G0093000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPTLVPACDLEEPLLAESSERFSMFPIRYPQIWEFYKKAVASFWTAEEVDLSADARHWDAALSPDERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDGAEKDRLFRAIDTVPAVRRKADWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLRGKLDEARVREIVADAVDIEREFVCDALPVALVGMNGDLMSQYIEFVADRLLMALGCKKMYNVANPFDWMELISLQGKTNFFEKRVGDYQKASVMSSLNGGASAHHVFSIDEDF >ORGLA06G0092900.1 pep chromosome:AGI1.1:6:7438037:7439483:1 gene:ORGLA06G0092900 transcript:ORGLA06G0092900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPPPAALHHHXCIGAPTAGGEDPLEYKEYNVLLRLRPDDDGVQRSWHLLFLPGNTTAGRKPRLVQSYKHVVDSFAAPLTEVDRDGSSVQEARLLVLLPGRHRSLASKPSKNSFACIAGLSRKEDGNLARSGHGAAHGGGSTPTELLRMRRDSGDGDSATCAAEVDETGEARGRRRSGGDACTGSNGGDVEGDQEGVGCRPLCVELAEEERDEGEESVELVGRLSSSGSIGTGRASLPLLKIDGREGEGARTPRKRELDEGSDGSGARGEWEGGACVDAVIVVGEVGGGGVGGRRRGRGRMERVCHRRHRRGGGDGGACGGKRLRVEEAMADNMACLLPMPSSTSPAPTS >ORGLA06G0092800.1 pep chromosome:AGI1.1:6:7433798:7436944:-1 gene:ORGLA06G0092800 transcript:ORGLA06G0092800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 9 kDa protein [Source:UniProtKB/TrEMBL;Acc:I1Q1B5] MVYFDSWDEFVSKSVELFRNHPDTTRYVVKYRHCEGKLVLKVTDNHECLKFKTDQAQDAKKMEKLNTIFFTLMTHGPDADISDVSGKEQAEQQQSKKGRGRRQ >ORGLA06G0092700.1 pep chromosome:AGI1.1:6:7418269:7423355:-1 gene:ORGLA06G0092700 transcript:ORGLA06G0092700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:I1Q1B4] MAAAGGAVLLLVLATATSVSGQHDYSDALHKSILFFEGQRSGRLPPDQRLRWRRDSALNDGATAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGAHAAEAREAVRWATDYLMKATATPNTVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDPSHPGSDVAAETAAALAAASIVFRDADPDYSNRLLDRAIQVFEFADKYRGPYSSSLHAAVCPCYCDYSGYKDELLWGAAWLHKASRRREYRDYIKRNEVVLGASEAINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFICTLLPGISNHPQIQYSPGGLLFKVGNSNMQHVTSLSFLLLAYSNYLSHANVRVPCGTSSASPVQLRRVAKRQVDYILGDNPLRMSYMVGYGSRYPLRIHHRGSSLPSVAAHPARIGCKAGATYYASAAPNPNLLVGAVVGGPSNTSDAFPDARAVFQQSEPTTYINAPLLGLLAYFSAHPNLAQSDLLHD >ORGLA06G0092600.1 pep chromosome:AGI1.1:6:7415358:7416136:1 gene:ORGLA06G0092600 transcript:ORGLA06G0092600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDADMIPSSPSADYSSPSSSDLDTESTGSFFPDRSTTLGTLMGVSAFGGGGQRRAARTPARAERERAPLAARQAGEEEEGRRAGGWRRRRRRRQRRGSRSLGGSWWRLCRDDAGGPPTSLGEFLDMERQLAGADFLCDGVGGGGSEREAAAAAAAAAAVSATALFEDGRVRPPQPQQPAAEERGRWRLQRATEGSSSSSSAGAAASSSLARLPVLLTGICSGGAG >ORGLA06G0092500.1 pep chromosome:AGI1.1:6:7393100:7399366:1 gene:ORGLA06G0092500 transcript:ORGLA06G0092500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:I1Q1B2] MASSALICDTEQWKGLQAHVGAIQKTHLRDLMDDAERCKAMTAEYEGIFLDYSRQRATGETMEKLFKLAEAAKLKEKIEKMFSGDKINSTENRSVLHVALRAPRDEVIKSDGVNVVPEVWGVKDKIKQFSETFRSGSWVGATGKALTNVVSVGIGGSFLGPLFVHAALQTDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPDAVAKHMIAVSTNLELVEKFGIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGAASIDKHFRSSSFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLSFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLISHKTFQGNRPSLSLLLPSLSAYEIGQLLSIYEHRIAVQGFLWGINSFDQWGVELGKSLASQVRKSLHASRMEGKPVQGFNSSTASLLTRYLAVEPSTPYNTTTMPKV >ORGLA06G0092400.1 pep chromosome:AGI1.1:6:7383003:7387115:1 gene:ORGLA06G0092400 transcript:ORGLA06G0092400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCGLEWVVCLGCTRWAWKRLTYIGAYDSEAWPAAAPGEFEPVPRICRVILAIYEDDLSNPTKFAPPGRGYAGVDLAGVVKRATYEHVGNTCPPYIVYVDHRHKEVVLAIRGLNLTRNADYKVVLMDNKLGMQMFDGGYVHHGLLKAAQFILERETKTLQELLQQNGPDYKLIFAGHSLGSGIAALMTVLVVNNRKMFGNIPRSQIRCYALAPARCMSLNLAVKYADVINSVVLQDDFLPRTPTPLEYIFGSIFCLPCLLFIMCLRDTFKQDKRKFKDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVEGRFEHIVLSCSTTSDHAIVWIERESEKALELMKGNEKPTTPPALQKMERLQSFEEEHKNALERAKTLDVPHAVDLSEVEIQEGSSPTPPSDTHSEATSEAKSAGRTSWDELMHKLFTRDEGGKLVVKEDIKARNIVIE >ORGLA06G0092300.1 pep chromosome:AGI1.1:6:7370958:7374571:-1 gene:ORGLA06G0092300 transcript:ORGLA06G0092300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLDMSLDDMIKNNRNSRGRGRGRSQGGGRGRGDGQRFSYGSGRGRGAGTFRGRGVGVPSRRPLGVSTRSSSYAIAKSFNKTKDIVWRQDLFEDSMVAAGLSVTESSTKLYISNLHYGVTKEDIQELFSEMGHLKHCAVHYDNNRRPTGSAEVIFTRRSEAVAALKRYNNVALMGSQXRSKXXEQIWVWLLLQHPVLVLSLVQGVEDSEKLXXCLVGVGLVEVQLVHLISFLDIAIYFHHLCFCAMKLWKRNNFAQRGGRGRGRGRNNFGRGRGRGYVRKGPVEKSAEQLDKELDSYHSGAMNVD >ORGLA06G0092200.1 pep chromosome:AGI1.1:6:7364502:7370294:1 gene:ORGLA06G0092200 transcript:ORGLA06G0092200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37630) TAIR;Acc:AT5G37630] AAVSAAAPAGAGETRRLAGEVARVLDECRASLAVHPRKLRELAALRSSSPAAAGRFLPAFCAALTPLFDLAKRSAGAERVARFAAAFASASSAAAGCGGGDGFLEGFLRFLLAGSAATHRPARLRSCQIIFEIIMRLPDDAEVSDEIWDEVIDGMKVRVQDRIPGIRAFAVRALSRFASDGEDSDIVDLFLETFEKEQNVEVRKAIILSLPPSNATLETVIESTLDVSESVRRAAYCVLSTKFPLQSLSIKQRTSLLHRGLSDRSASVNSECLKMLKDEWLMKYCSGDVITLLRFLDVETYEEVGETVMGVLMKDGSVRVQDGQTIRQYFTANTEDEEKVSNIQLMDAEVALYWKIMCKHLQAEAQIKGSEAATTTGTEAAVYASEASDKNDLLDGVLPSTISDYVDLVKAHLSAGPNYHFASRQLLLLGEMLDFSDTMNRKIASSFLHELLTRPLEHEVDEDGNKMAIGDGVSLGGDKEWAKAVAELAKRVHASVGEFEMVVATVVEELARPCRERTADFMHWMHCLAVTGLLLENASSLQSLQGKAIEPLELLQSLLLPATKQNHDDVQRVALRCLCLFGLLENRPNAELVKQLRLSFINGPDLVSAMACKALIDLVTWHGPQEIDQTIGIESSDATNEKSQFTTVDVSNMNDDDLNIGVLDILFSGFLKDDWEFNLEGDNHDNVPTILGEGFAKILLLSENYARISADLHPVILARLVSLYFMGETKELKRLKQCLSVFFQHYPALSDKHKRCVSSAFVPVMRAMWPGLYGNVGGSAHAVSKRRKYAAQAARFMVQMVQTPLFSTETTEQASSSPESQSTKPDMSNNFDISEEGLAIRIAVEVANCPDKKTAAAKAYCLALCKVAVLLRFRQSEQKAIKCMRGLINALAASASSDKDLMKELSQMASRLRSLDEHPEEELPQDEAEEIFKKLGLDAGFRLETNSVVPPTPAPRSVRPPPSRRRARRSPSSSDDSDIDGQEDNLHATSVSRVATTPVVMTAARSQRASKTAAMSKMSAKPTVAASSDDESDDQSGVTSGDDSSDEDSS >ORGLA06G0092100.1 pep chromosome:AGI1.1:6:7358730:7360265:1 gene:ORGLA06G0092100 transcript:ORGLA06G0092100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLEDDFCQVLISGTYFHPPDNLQASLYDSIALPVRSSSFSSITNLEAASLSSFTTSSSDDRWTYCTGHSRDSFSLEKVHLYLIDPEASTLLKEIAELMMLAGHESNLSHAYGEIRNSTLMQCLCLFGVQIDLNSYNPRASPSESGFNMLLDLDGQKMEIWIQALRVIIGTVLPEERQACKQIFGSDSKVEEDCFARATTRFIQQLFAFGSLIANVKDEQYEKVPLLVQMLEEFLKLKPSIEALRYGHAKDAISQEADMLLEKLREEAVRLLLKFSEAQINHESYDNETIVLNGSVLSFPQYTMGVIKLLAGYSDTLNIILPVEVGGVGTVTTSPWKSYVLTLLTRLQLNIEEKSKSYKDECLRNVFLMNNAMYVLEKARSPDLKILLGDNWVTKQLVQVEQHATAYLRASWTEPLFQLKDKGINYTERSLILTKKFKNFNSIFGEISRVQTTWKVPNPQLRQHLRLVILQQVIPAYRAFVGRFGMLLNSKFIKYTLEDIENNVLDLFEG >ORGLA06G0092000.1 pep chromosome:AGI1.1:6:7342051:7346333:1 gene:ORGLA06G0092000 transcript:ORGLA06G0092000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLISMYYRRQRKASSEANANVFMPGGPNDISFPASNRDHDWGYGGVGKEWEASYARKLQLMNFLSSLHQRTANPLVTTRMDANMDTPLEQKQKDSSAIIVLDSDDEDGYTEGCEQLTSENNKQQAPSGLTSPYTTWIVSSAKDQVNGTLHVDGVQSTQIVPYYGQNAPLINQFPLQTSWQPSIQYERVILQKRPEEQRVQDLVAASHAEKIAETQVLLTLPTLPNERKRRKTEPTTLVDVDGGTNLGKRKRKNHQNQAAVDSNLDLQQNDVPSQSYRTMIEEEKPVKESDGLEDLWKDFSLAAECTKLDTNEDMSNEKDVDDENEMDDDCNHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKASRTRTNYYESRSKDADEIDTGAVKVSEDFIVSDIAIHPRHAKQMRPHQLEGFSFLVKNLVGDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVVLPKGILGTWKREFQRWQVEDIPLYDFYSVKADKRVEQLEVLKSWEAQMSILFLGYKQFSRIICGDGDGNIAAACRDRLLMVPNLLILDEGHTPRNRETDVLASLKRVQTPRKVVLSGTLFQNHVSEVFNILDLVRPKFLKMESSRPIARRIMSQVAISGIRSLKGVHDSAFTESVEDTLLNDDNFTRKAHVIRSLRELTKDVLHYYKGDILDELPGLVDFSVFLKLSTKQKEIVHKIEAYEKFKRSAVGTALYIHPCLSEISEGDAADRASNLTDATVDSLIESIIIKDGVKAKFFFNILSLANSAGEKLLAFSQYILPMKFLERLLVKRLGWHVGKEIFMISGDTSADDREVAMDQFNNSADAKVLFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSPEVKFHETAFKKEVIPKLWFEWSELCTTEDFKLNQVDIDDSEDELLEANAIRQDIKALYR >ORGLA06G0091900.1 pep chromosome:AGI1.1:6:7318147:7318653:-1 gene:ORGLA06G0091900 transcript:ORGLA06G0091900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMVARQGRELQRYSDNTGGRMVVGCIPYRVRGDGGGVEVLVISSQKKGAAAGDVVMFPKGGWELDESVDEAARREALEEAGVLGEIGASLGRWCYRSRRYDATYEGFVFPLRVTDELDRWPEMAARRRSWVSPQQAMDRCPHWWMREALQRFADLFPQPTPLSLL >ORGLA06G0091800.1 pep chromosome:AGI1.1:6:7304279:7316010:1 gene:ORGLA06G0091800 transcript:ORGLA06G0091800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWLTVVQNSSTSGLDPSVMPSRLVTDDDLKSFCHAMKIYESSNIKSVKVVRRKGELGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQVDSPDSPQPGGISRDADVPKVVKLEVPPESSKEPEQAKKEPASSVGDSPPAKRRRGRPRRSDASLSPVTAPPNTGKQEAGTIIDGSSSAPTTTIHSVAPDVTIDSTALSATGNPDVGTEIKGTTPDGTIKPVICTDNKDTASIAVLEGSNAKEVGIPAHSVHEPVSSSAPHPPTPATSRGRKTQAGETPRRRGRKPKSLAASAGDVILSPVVAVGSGEAYASSVVSSYPQGNVSSSHANAMAGLQKDTIISKPAALLPEGVKGTPTPSGGDKDEMVKTPLAGDIYAGTVTTSGNANSQLPMIAHNENAGLVQGGTDQNLSVATPTIPVVSEGSTKISEVVVADKPAEKQGARRRRKKTSGTGSEDTGVSTRQRAASRRLYGTGTADIAGTDMSTGEKIGIVKEIDGSCQDTSKGLPNIISPSYEKSGYDSQPSTPIAVPINEATLPSGFSEAHATHSEIHPARESISSVGHEKLAGAHLEAPPSVSFQAPVQHETGKDYVGVHSEVATTHPETITTHSSVNPVIDHKLANVQFESHASLHTSGKDITTMPSEVDSGAPSKAPGRRRKGSAREPRTRSNSATAASERRARLAGSKQPDDIKMAEMSGNPSTAVCSSTQQQEDNTLKAAHATGSVGEEQNNAENRVREVSMPAGILEAKLELTKQTDQAGTDEKSAALSTQIPALDEKSGERELPGGHNSEQEQEMVSAAKSASANDEEHKVHEVHQIIADHNALPSSAQYTLQDKIDSSADAGLVPCDKIASVVIAVDDQDPSNASDKDAPASTEDDGNGLQSECVHVDLVLAKQDNTKVEDMQKSNQPAEQGESLEMTGSKFRSETRLEKTEETVDKSGGDNPPTIEKNDDSPIERSSPSADKIAQVAYGGEAGTETTTVEAVSAMNSDGLQDVRNALSTHGLSTNDITVASEEHRDPESHLSGEVSMSGGSSELKLESLNQSESACQSGEVTLEDTHATLDIQIPALIESEEKKSPGGDVHGSEEQHMHEVLHNTVDGSISPSNREQDKLQVHIDTNTDVDIPSSDKDHSTDIVLAGCQAPCDASGKDKLSTCDASGKDMAAPTDDDLNCLQSEDTVIPVADAKDETMLVKAIQNDEMSMGSSHGLPATIQSTDSDRLAEEGESAEITGSKFSCGMEQEKMEEPLDKSVTDNQTSSQINDGSNNMDSQKVDSSLQAADGGDLLVSRGTSVETTTAINTDASDESISVSTQSVKEASTVEIGASTNDIAPACELRKDFESHVSGCVSKPVGLSELRLEELSQTKSVSQSIVANAEETSTRSDIETPAMDASESKSPESDVHELSERVEFMGPTPSANEKSNELHSQELVKMISAAETASTEGHREKDTCEVDHKIDCTIFSPIGDQDTRDRIDGDTDCGVPSCQRNAAFDSENEVSAEINLTGSQAPCDAPNKATPAPTEDDHNGQESEDTVIGAEQGTVEVEAMQIDGISKSYSSDSHATLQSSDSNQLVNSDSKFESSKKHDKTDETSNESRGDNPTHSCTNDDSHDKNLVGCSPSEDLNEDNSAQLADGDDLVGSKDTTAAHASGLKELTSGNYVASSSSHVVQDVTSISKMESVQAGSEEIYHGYSDETIHSARIEPVVGTEITENASVASAPVITIQPDIETEAGVTASLTVLEGSIAEEVDTQVESGHDLVTSTAPLSPAPLPGESHACADVSCPVAVEVSETKLESANHTASQLGAACTETPNALLNTLIPALPESEETKLSGSDTDGKTIMAELASSSDEHDKVHEVGNETGDDNKLPSSVAEDAVQGEIDGSADMDSQIIAGSSEAEINNSTVATIADSQIPFDPSDKDTLAEDGNGLQREGTTVDVTGSKEDDMEAEEKQMDDSHLPAALQSTESSQPTEHAAPTQDDGNGLQCEGTTVDVSGSKEDIMEVEKLIDDISGSPSSHLPVALKSTESNQPAEHAVPAEDDGNGLQSEGTAVDVVDSKKDDMEVEEKQIDISRGSSSFLPGALESAELNQPAEHTAPTEDHGNCLQSEGTAVDVPCSKEDNMEVEDQFDGISRGPSSFSPDTLESAELNQAAEHAAPTEDDGNGPQSEGTSVDVAGSKEDNIEVEEKIDDISRGSSSHLPDVLQSTPNQRAEQECLDNSDDVNTSVVSSHAPLSGPKFTCVKELEKADETLETSDAQVADEVCLQTNDGAHNMASGSCSTLEDKNEDSSAQIADCEDLLLRKGTTVDDLDGCVEGHSGLSTHSNDEVRNLVEIVKGMNDTTAGSEVHVDPESHVSDEVSMPVAPSELKVELKNQSEPACQFGAVIVEESNVSLGIQTPALAESEEMTSGGFMHGKEVCASEQTNIGAAAEPASTKDDHDMHEVDKEIVHCTISSPIGDQENLQGNINGKMVVGLAACQTESDFVSGNDHSRETDLAGSQAPYDASDKEDTAADLIGPKQATLEIEKMQIDGIPEGPSSVPAVLQLTDSNQPAEQERLENSDSKFASTKDQGRVDGTSNLSGGDNAKCSLTNDDSQTVNLVGYSPSEDSNDDDSVQAADSDGVLGNKEGTDDVISAACTDDVSMLKTESIDRHGSDEVDHSTAPSAAIKQESGTEVTCDASVPVSESSISKEIGTSPECDDDQVATAAPHPPTPLSDATDVSADVQIPAGISEAKLEQPNETTSPSGAATEENNTVVSTQIPTLAESEDRTPADTAIQGTEVDSAEPASVSDDENKVTAADDSALPSTGPEDTADDKIDSSADASEK >ORGLA06G0091700.1 pep chromosome:AGI1.1:6:7293661:7297153:1 gene:ORGLA06G0091700 transcript:ORGLA06G0091700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQVELEAAKLLQKLIQESKDEPAKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVVSQHGIDMDALRSSRIPLAGGPQAGDSSGAMPKDKEIIGSQPPMVGTDASQSSAHAGLWNFPSGSADMARHSASISGRVPAGPNRSDVAGADIHQGSMSQKSGRSSGMESPASLQIEDTRSMNSHDSLKSDEKTSKKSSSKRKRVDPKAAGDLHSEDNSKSDAMSTGQNIRKGKQPGKAGTQGQLSRTVEHDPSHTLQVGNAQVPPLPSGAPFFRAHQEGPSASSARTIDKTKPSNPFTMAQISNFAEGLASGNIPAELQKSILGGANLLNASFGWNQNAQGPVMKNTQGSVPNLMRPGVNVEGKVNLGSQGTFNSMSASQMDYPTVPPYVSSSFGGGPQYLDKGKDLTSGNTGSELNSSKAGAQLGIMHSNARKARNCQSTSESWFISNVSNLSQHSIQRAAVEAAQSAVLSISCI >ORGLA06G0091600.1 pep chromosome:AGI1.1:6:7287512:7290571:-1 gene:ORGLA06G0091600 transcript:ORGLA06G0091600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAAAATDRIALVKAFDETRTGVRGLVESGVSAVPVIFRHPDPYTSVPLAPPGVSIPVVDLSLPAPLAAEAAAGAARDWGFFYLVNHHALVPSGFTAGLLAAVRAFNELPAAERAAHYGRSVDGGVSYSSNVDLYRSGAASWRDTIQVVLGPSRPDAERIPAACRAEVVGWDAHATVVARAVMALLCEGLGLRGETLEEASCLEGKLMVCHYYPVCPEPERTMGIVPHTDPGVLTVLAQDGVGGLQVKHTNEDGESYWVDAKPVPGALVINVGDLLQIMSNDKYKSVEHRVVMKSHEEARVSSAIFYNPGKRGDSVFYGPLPDLISSGNPPKYRNFTMSEFLGAFFKRDLASKALIEHFKI >ORGLA06G0091500.1 pep chromosome:AGI1.1:6:7280855:7283877:-1 gene:ORGLA06G0091500 transcript:ORGLA06G0091500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAAAATGRAALVKAFDETRTGVRGLVESGVSAVPDIFRHHDPYASVPLAPPGVSIPVVDLSLPAPLAAEAAAGAARQWGFFYLVNHHALVPPGFTDGLLAATRAFNELPATERAAHYGRSVDGGVDYFSNFDLYRSGTASWRDTIEVTFGPSRPDTGRIPAACRAEVVGWDAHATAVSRAVMALLCEGLGLAADALEEASCLEGRVMMCHYYPVCPEPERTMGVVPHTDPVVLTILAQDDVGGLQVKHTNEDGESYWVDAKPVPGALMINVGDLLQIMSNDKYKSVEHRVVMNSHEEARVSSAIFYNPGKRGDSVFYGPLPELVSSENPPKYRNFTMPEFLGTFFKRELASNALIEHFKI >ORGLA06G0091400.1 pep chromosome:AGI1.1:6:7268540:7268785:1 gene:ORGLA06G0091400 transcript:ORGLA06G0091400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVGGWGRAAGDKPAAVEDVVPITMGLERKELAAELKVHDGGGSGRRRCGRWASYCLDPAAAGLLPPGSGGSGPPLAQIRRW >ORGLA06G0091300.1 pep chromosome:AGI1.1:6:7260757:7263216:-1 gene:ORGLA06G0091300 transcript:ORGLA06G0091300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSSSSAYYGGANKSVAFLVATVVTVPLCALLLGGGGVAVVRDNNNNAGGGEAEVYRSELTPLQKHVAFFDRNKDGIIYPSETYQGFRAIGAGVVLSAVGAVFINGGLGPKTIPENTKTGLKLPIYVKNIHKGKHGSDSGVYDANGRFVPEKFEEIFKKHAHTRPDALTDKELKELLQSNREPKDFKGWLGGFTEWKVLYYLCKDKDGFLHKDTVRAVYDGSLFAKMEQDKQSAKKK >ORGLA06G0091200.1 pep chromosome:AGI1.1:6:7228774:7253376:-1 gene:ORGLA06G0091200 transcript:ORGLA06G0091200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRPSLAAAGNPVLALLFLWVLSWGHVTAEIDIANMTALQKHVSFFDRNKDGIITPSETIEGIVAIGCDYAFARDFAAPVHAGLGPKTSPKDAPLPHLSIYIKNIYKGMHGSDTGALDAKGRFVPAKFEEIFSKHAKNRPDALTSLEVKEMILANRDPDDPQSWAAPIQEWGLIYGLASDKNGYFHKDSVRGIYDGSVFVKLEEERASSQSTVCRSLSFRSTV >ORGLA06G0091100.1 pep chromosome:AGI1.1:6:7226660:7228447:1 gene:ORGLA06G0091100 transcript:ORGLA06G0091100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium channel in Arabidopsis thaliana 3 [Source:Projected from Arabidopsis thaliana (AT4G32650) TAIR;Acc:AT4G32650] MSPAMAARSELLRPAFDEASPSLGRFVINPHSCSYRRWHMFLIMLVLYSAWASPFDLSMEKAASIALVVTDLVVDVFFAIDIALSFFVAYHDTSTGLLITDCRKTTMRYLKRPCFALDVASMIPLQIIYQLVTGKRQGLWGLLNLLRLWRLRRVSKLFARVEKDIRFNYLWTRLIKLLCVTLFALHFAACIYLWMAFNYKIKELTWIGNQIHSFEDRSVWFCYTCAVYWSITTLATVGYGDLHATNIGEMLFSIAFMLFNMGLTSYIIGNITNLVVRETSNTFKMRDMVQRVSEFVSMNRLPEAMREHMLASVQLRFRTDEQLQQEMLSELPKAVRSGIMKHLFKSAVESCYLFQGVSDSLIVQLVAEMKAEFFPPKANVILENETSTDCYIIISGEVEALTTLADGTERHVKRIGPQGMAGEIRVMFSIPQPFTIRSRRLTQVVRISHIHLLQAVQPNTADGYIVFSNFIQYLESLKVQTKDVAFVSDHLWNGNSMVLERATEVAVDESKEASHKMLPCKEPKRVVIHEQLPNATSTALHPSPGKLVLLPDSMQELMKLSEQKFGKAVRGILTVEGAEVEDIEVIRDGDHLFFS >ORGLA06G0091000.1 pep chromosome:AGI1.1:6:7211362:7214582:-1 gene:ORGLA06G0091000 transcript:ORGLA06G0091000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRGGGGGGAARAVRLRHARAGRRRLHGVRVRRVLAGALRRRDAVRGGRRHRALGAHQQRGLHRLRQLHLAVGRLQHRPRRRLPVPLRPPRRDLRRLRQVGRHQARLALQXXXXXXXNDSFLGRQDTTVLFKKCGGEGGGDTGVVAMRDAALGALVAAAAPAGDGSYRAGAAGYVQAMSQCVGDLGAKACTDCVSAASSQLKAGCGYASAGEVYLGKCYARFWSNAGTGDNNGGGVSGGGGSIGGGGNGINGGGGAVGGGNGYAYGFVPHTYSDHDESGKTLAIIIGLVAAVALVIVFLSFVRRAGGVGGKS >ORGLA06G0090900.1 pep chromosome:AGI1.1:6:7197596:7199974:-1 gene:ORGLA06G0090900 transcript:ORGLA06G0090900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKPNSIVSISFLVFLFFHVLIFSHEAATAAAAVGGDSDHFRYDGFAGAPLDLDGMAVVEPDGKLMLTNVTSQLKGHAFHPAPLSFVAPPPKPNATATASAAAVRSFSTTFVFAIAAEYVTVSGNGLAFFVAPSKNLSAALPSQFLGLFNSENNGNASNRVFAVELDTIRNPEFGDINGNHVGVDVNGLASVASMPAGYYADDTGEFENLTLFSGAAMQVWVDYDGAAAAIDVTLAPVEVPRPRRPLLSVAVDLSPVVAAADAAAYVGLSSSTGPHKTRHYVLGWSFAMDGPAPPLDYAKLPKLPRASTKRRSMVLKVLVPVAAPLLALAVVVAVASVLLWRRRRRRHAEVREDWEVEFGPHRFAYKDLVRATRGFDGKRLLGVGGFGRVYRGVLPASGTEVAVKVVSLSHDAEQGMRQFVAEVASVGRLRHRNVVPLLGYCRRRGELLLVYDYMPNGSLDRWLHGQSAPPLGWAQRVRAIRGVAAGLLYLHEGWEQVVVHRDVKASNVLLDGEMDARLGDFGLARLYGRGAADPRTTRVVGTLGYLAPELAHTRRVTPATDVFAFGSFVLEVACGRRPIERGGGAGDDDDDGDGEFVLADWVLDRWHKGDIAGAADARLRGDYDRKEAALLLKLGLLCTHPAPAARPPMRQVVQVLDGDAPLPELSPTYRSFTTLAIVQNADGDDSGAASCPSSSTRFFSEIL >ORGLA06G0090800.1 pep chromosome:AGI1.1:6:7186763:7187203:-1 gene:ORGLA06G0090800 transcript:ORGLA06G0090800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37670) TAIR;Acc:AT5G37670] MADLFFGGPFRRLLYERHFPPDWASASATAAMDWVETPTSHVLRINVPGLGKDDVKVQVEDGNVLTVRGAAPPAAAEKEREREKEVVWHVAERGRPEFAREVALPAEARVEQIRASVDNGVLTVVVPKEPAPARPRPRPIAVSSKL >ORGLA06G0090700.1 pep chromosome:AGI1.1:6:7150067:7151776:-1 gene:ORGLA06G0090700 transcript:ORGLA06G0090700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKNGSYLCNVISTTTGHPVFYLASPCGRLVLMKLLLVDLSFSSQLASYFVIICVIVAVFYCFLKQLAEFSDTDHQTVRDQDARNNETEPILPRKRVVFSYGATEEQPESSMCSSEDMCSENVCKICYDAPRSCFFIPCGHGFACFTCARRIAEDKNQACPICRRLIHRVRRLVEPLGSSCGKDFQ >ORGLA06G0090600.1 pep chromosome:AGI1.1:6:7145279:7148164:-1 gene:ORGLA06G0090600 transcript:ORGLA06G0090600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X-like 1 [Source:Projected from Arabidopsis thaliana (AT1G10170) TAIR;Acc:AT1G10170] MICYDMVRRSAPVWSCGSCFSIFHLPCIRKWARSPASAADASDPDSSWRCPGCQSVHAVPARELAYTCFCGRRREPPNDLFLTPHSCGEPCSKPLEKADPAVKGDDAAATRCPHVCVLQCHPGPCPPCKAFAPDRLCPCGKQTIVRRCADRTTPVTCGQRCDRLLPCRRHRCEKVCHTGPCGDCNVLISARCFCGKKTETLLCGETELKGNLSEKDGVFSCSEACSHMLSCGNHACQDICHPGPCGECELMPGKVTACHCGKTRLLEKRASCLDPIPTCDKVCDKKLPCGVHRCKVTCHEGDCPPCVVRVEQRCRCGSSGQMVECYKVLEEEFRCNKPCGQKKNCGRHRCSECCCPLSKPLARLEGGNWDPHLCQIPCGKKLRCGQHGCQLLCHSGHCPPCLETIFNDLTCACGRTSIPPPLPCGTPTPSCPHQCLVPQPCGHPATHQCHFGDCPPCVVPVMRECIGGHVVLRNIPCGSKDIRCNQPCGKNRQCGMHACNRSCHPSPCDPPPANGDASSSTGGRASCGQVCGAPRRECKHTCTAPCHPSSPCPDLRCEFPMTIACSCGRITATVPCGAGGTANGDNMFEVSIIQKLPMPLQPVESDGRRVPLGQRKLSCDEDCAKMERKRVLAEAFDITPPNLDALHFGENSNASDLLSDLFRREPKWVMAIEERCKFLVLGKTRGNSSGNLKVHVFCHMTKDKRDAIRVIADRWKLSVQAAGWEPKRFITIHPTPKSKAPARILGSKPGVSVAASHPFFDPLVDMDPRLVVAMLDLPRDADVSALVLRFGGECELVWLNDKNAVAVFNDPARAATALRRLDYGSAYQGAAVFLPSSSAQPGNVWVAGQKDGVAATKSSANPWKKATASEPDPSSGDWTGVLGQAPGSVWRRGGDTVAQVMGTSNRWNALESDAATSSRPVEESKPAPRTDAVSSAGPSTAPPVSKMQPEVEVDDWEEACE >ORGLA06G0090500.1 pep chromosome:AGI1.1:6:7140324:7140932:1 gene:ORGLA06G0090500 transcript:ORGLA06G0090500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPIKKQQQLLPAAVVAPPPPPPPPAKTTLKTLLDAFADMEEEEEEKKQRLPPGAVAVSRTSSSSVASSVRRAKKPTTLLDAYEVDCIRRELEGLILRHNAAAAAKKEAAEAESDDAKSEHRRRHHHHHHKTTSAAKNANPAAAPPRSPAKKAPSGGAGVRMLGRHAVAVCGVSVPVSVAGAAGGRRRRRGGGHRREVEKV >ORGLA06G0090400.1 pep chromosome:AGI1.1:6:7130533:7131819:-1 gene:ORGLA06G0090400 transcript:ORGLA06G0090400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVASPPLLASFFLSPPHPTSAVAAACCSRRNTSCAHPPSPGGLEAAVAEVKAAPDPVPALISLQWFPCSIRRGGPPAIDYIDRRCFLQSISNVSSISMDRVIEASRGRQAANANAMLSRVDLLCEIFRSENLCVLVLICLHCFLNCLTVRRGWSEYVQQPVLPANLANVLVCFNVALAPGALMTTFLIHQGEMTRMVTIADKARLVNGVCGVGRREAVGDWRVQRLVGGGAHRQVPATAVVRSEPERDGRRRLPHILPCRAPRRLVDDRQ >ORGLA06G0090300.1 pep chromosome:AGI1.1:6:7113364:7118112:-1 gene:ORGLA06G0090300 transcript:ORGLA06G0090300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVEEGNAVAPRGPARRRGTVRASLDADEFIALMHGSDPVRVELTRLENELRDKERELGEAQTEIRALRLSERAREKAVEELTDELEKMFEKLKLTESLLDSKNLEVKKINDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKQKEAALLDAERTVEIAMAKAAMVDDLQNKNQELMKQIEICHEENKILDKLQRQKVAEVKKLSLTVKELEEAVLRGGATANVVRDYQRQVQEVNDQKKTLECELARAKVTANRVAVVVANEWKDSNDKVMPVKQWLEERRFLQGEMQQLRDKLAVAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRLPTEGKSFSNGPSRRLSLGGADNMSKLSPNGLLARRSPSFHSRSSLSSSSSLVLKHAKGTSKSFDGGTRSLDRSKINGNGAHLLNRSTDAVRDCETNDSWKGNADEGTIENTNSNTDESNKETANNKSAEMVSGFLYDMLQKEVISLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVDKEQEVKARRLGSSKGTGSSQVLSGSRSSSRSGLTRNYQ >ORGLA06G0090200.1 pep chromosome:AGI1.1:6:7109844:7110266:-1 gene:ORGLA06G0090200 transcript:ORGLA06G0090200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTNFLDDDNSCCAGGGDGDYHYLDACFLCKRDITSTATSSCASTGNAAFCSDDCRQDQMDMDSALAAVKRCHRTLQRSSRDRSSSSSSAPAAAQCALSG >ORGLA06G0090100.1 pep chromosome:AGI1.1:6:7106554:7107612:-1 gene:ORGLA06G0090100 transcript:ORGLA06G0090100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTNLTNTISAVHLLKINGYSVTRALGCSEYISSRRLAAGGYDWEVLYYPRYYEHGVYWIALRLMFMSKECKHEVKAALKCQLVHEAQIYLPSGSKSVSSKYTGQRDCGPALLLVKQDDLPGSNYFIGDSFVVECTITVLREPQEAVTNVSPNVSNPCCDLQMHLGELLLSEKGADVTFVVAGESFLAHKIILAARSPVFMAEFFGPMKESSSQCVEIKDMEASVFKAMLHFIYTGTSPELDQQHVISDSEQYITTMTQHLLVAADRYGLDRLKLICQDRLHDDINVETVATTLAFAEQHSCTQLKDRCIEFIISSRANLDAVMATEGYKLVIASCPSVLSTLLRAAVGR >ORGLA06G0090000.1 pep chromosome:AGI1.1:6:7099685:7105221:1 gene:ORGLA06G0090000 transcript:ORGLA06G0090000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSMEEMEIAGGGMAAAAVQRAVRCLGRGVDMAGDLRLKHCKDEGGCLVARSGEKAAAVAVPGVGVVAGVPADVKFGKGDRIRFKSDVLEFNKMSELFNHRSSLPGKIPSGLFNSCFDFGSDSWAGDAGDTRCLAFDGYFISLLDLRLDCLPLALAGHVVADVPAAWDPSAIASFIEKYGTHIIVGLSMGGQDVVYVKQDKSSPLSPSVIKEHLDKLGDQLFTGTCTLPPSHCKSRDHKFKVPEAFNVFDAQMTRQRIEGMTAPMSCKEGVTVIYSKRGGDTAASNHSEWLPTVPLMPDAINFKLVPITSLLKGVAGVGFLSHAINLYLRYKPPVAELRYFLDFQHHRLWAPVLSDLPLGLCSNRQGTNPALHFSLVIVPKLPITGMRLHLEGKKNNRLGIHLQHLSTTPTFIAGGWSGRPPAWRGSEAIADERYYEPVQRRMFAHVCTVPVKHDPRWLAAGDGGGGRPAAYVVSGAQLHVKAHESTSVLHLRLLYTELPGHSVVQSRWAHGGGGGGAARMSGVKGSFLSMSFASMAAAAAEKEQQKQAAARLNVDSGVFAGGPPAPVGAQRLLKFVETSQVTMGPQDCPGYWLVTGAKLDVDKGRISLHVKFSLLAPVS >ORGLA06G0089900.1 pep chromosome:AGI1.1:6:7089775:7093507:1 gene:ORGLA06G0089900 transcript:ORGLA06G0089900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37530) TAIR;Acc:AT5G37530] MGERARQWLLVAGAGAAVGALSTATVMRILSRSKRREGYVRSLLESNGVASGGAGSSVGTRVVATSDLLDDEVVSEQLTRNIQFFGMESQKKVTGSFVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQKVSLSSLNRHAVATRDDVGTPKALCLKKHFSMIYPECQIEAKVQLYDPSCEDEILSGQPDFVLDCIDNIDTKVALLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRAVRYRLKKDHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVITQLAKLDFQTEPIVNLDLDHYRILHHRLLEHEELIYGSAEQVLVDAEEVMYIVKELWHGRSARDQNLKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFNEADAHESTTLDQIKEEEPEFYNMVSRVLKQAEAEFAL >ORGLA06G0089800.1 pep chromosome:AGI1.1:6:7062869:7069913:-1 gene:ORGLA06G0089800 transcript:ORGLA06G0089800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGIGSKRRVEDDDGENMPGRKKKEEEEEEEEDDDGEEEYEVDVVRDRIGSSRGSRLALFGSDLRLGRFRPRRRRRRRVAPVDGDDGIFQDFVIDPDNKWYRLWTRFILVWAVYSSFFTPLEFGFFRGLPRNLFFLDIAGQIAFLIDIVLRFFVAYRDPDTYRMVHNPTSIALRYCKSSFIFDLLGCFPWDAIYKACGSKEEVRYLLWIRLTRAMKVTEFFRSMEKDIRINYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLQLGDYSYSHFREIDLTKRYMTSLYFAIVTMATVGYGDIHAVNVREMIFIMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKDIREQIKGHLRLQYESSYTEASVLQDIPVSIRAKISQTLYKPYIESIPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQLYFVCHGALEGVGIGEDGQEETILMLEPESSFGEIAVLCNIPQPFTVRVCELCRLLRLDKQSFTNILEIFFVDGRRILSNLSESSEYGSRIKQLESDITFHIGKQEAELTLRVNNAAFYGDMHQLKSLIRAGADPKNTDYDGRSPLHLAACKGFEDVVQFLLHEGVDIDLSDKFGNTPLLEAVKQGHDRVATLLFSKGAKLSLENAGSHLCTAVARGDTDFVRRALAYGGDPNARDYDHRAPLHIAAAEGLYLMAKLLVDAGASVFATDRWGTTPLDEGRRCGSRTMVQLLEAAKSGELSRFPERGEEVRDKMHPRRCSVFPHHPWDGGERRREGVVVWIPHTIEGLVSSAQEKLGLAGSGEGLRLLGEDGARVLDVDMVHDGQKLYLVGGGGGDDGGTEARQ >ORGLA06G0089700.1 pep chromosome:AGI1.1:6:7058261:7058776:-1 gene:ORGLA06G0089700 transcript:ORGLA06G0089700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEFSSSSSSSSTTVASSPASSPPLGRCVLRFRLPPAWTPEEDAVLERLAMEHGSRHWRRVAAQMPRRRSPAQCRDRWRDHLARDVFHRPFTVADDAELARLCLRLDDDAGFAAGRRWKDVSRAVYGRSSCAVKRRWRELRRSDAFLGALWRPRTTTTAPPANAAITTTC >ORGLA06G0089600.1 pep chromosome:AGI1.1:6:7052061:7053608:1 gene:ORGLA06G0089600 transcript:ORGLA06G0089600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPQQPEHRGNSLTDLNDDLLSENFFHIPPGDPGVLVRLSVVCKSWRRLITNRDFLRGYRAIHRAPPILGFFCVEFGSAILVPTTAFSSIIPSLLVSDPMTGADRLLDLPERWRNIHWSEQQHWMWMNIRWSAAVLCAVDGCDHLDCHGGDPFRVALVGTDAAGTTHAALYSSETEAWSGPASIDHHPNAIVKARRPSVLVGNALYFLCNNNTSIVEFDMATMTLSVIPSPPLPEDVHGALLMTAEGGGLGFAAVLERSNLHLWSKPMDEWEHLQDVRDLKTLLPRGSISMMNNLLIGFADGGVRVVVVRTYHGPYVVELGSTEPARVVSRRSGINVVFPYTSFCTPGFILYRSRSFLDADYRLVFISALLFFRECSIVLCICRF >ORGLA06G0089500.1 pep chromosome:AGI1.1:6:7050198:7050473:1 gene:ORGLA06G0089500 transcript:ORGLA06G0089500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGTGNPIDQHPEEGAMEDQGNPITPSMDETKVTKHFKQERPADHVKGLRNVHFKDQMKLGPGMESPSRKLDIAEVIMERTRGLSGRSP >ORGLA06G0089400.1 pep chromosome:AGI1.1:6:7044968:7045123:-1 gene:ORGLA06G0089400 transcript:ORGLA06G0089400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSGQKRICVIRDVCGHHRLGFPSGSGLLCCWAAGLWESEKMKKAMGAD >ORGLA06G0089300.1 pep chromosome:AGI1.1:6:7038242:7039636:1 gene:ORGLA06G0089300 transcript:ORGLA06G0089300.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPPPQQTESRPPPELRDDVLAEIFSRIPPDDPAILVRVSAVXKPWRRLLSGRIFLSRYHALHRAPPILGFFCEEKALTGPFSSFVRTTSFRPIIPDRGGGGGDGWLIPCDSRHGRALFITQPPLQLLVLDPITGMERPLCAPQLXANIKWSAAVLCAVDGCGHHDCHGRAYRVALVGTDVAGGATHAAVYSSETYAWSDPTSIDHHPNARVQARRPSVLVGNARLYFLCDNNTSIVEFDMATMTLSVIPSPPLAGPGHEEVCGALLVTAEGGGLGFAAILKQSRTLHQWSKEEATNQWKHLEHVRDLEQLLPYTVGVHLHDPFSRMSNLLIGFADGVIVVRTHDGVFTVELGSSRPPKKVSRRSAIVAAFPYLSFCTPDRLL >ORGLA06G0089200.1 pep chromosome:AGI1.1:6:7034056:7035870:-1 gene:ORGLA06G0089200 transcript:ORGLA06G0089200.1 gene_biotype:protein_coding transcript_biotype:protein_coding CQQRGGSHPRSAAAAAAASVPPPPPARRPPRPRPPRRSSPSSSPTRPPPRPPSPSSETRPHSPRRSTPSLAAPSHELTRASLALLLALPARHRVPPPSAPLLSAILSKLLARFASPEPAARFLAASLAAGAPAPDVFAFNSILAALARARDVPGMARIYALMQGCASVRPDVVTYGILVNGLCKAGRVGDALRVLDGMSRQDSDIRPDVVTLNTVVDGLCKSGRVQEALAFVEQRMSSVHGCPPNTVTYNCLIDAFCRVGNISMAYELVEKMENEGVPQNIVTLNTIVGGLCRAGRTGAALEFFREKRTVWPEGKGNAVTYSTLVGALLHTNNVGMAMELFHEKMSEGHSPDVIMYFTMISGLTQAGRLEDACSMASSMKEAGFKLDTKAYNILIAGFCRKKRLHEAYELLQEMKEVGLRPDVCTYNTLLSGSCKAGDFAAVDELLGKMIDDGCQPSVITFGTLVHGYCKVGKIDEALRILRSMDESGIHPNNVIYNTLIDFLCKRGDVDLAIELFDEMKEKSVPANVTTFNALLKGLRDKNMPEKAFELMDQMREERCFPDYVTVDVLMEWLPVIGETDRLKRFMQQGEHTASKWIVSDRTSA >ORGLA06G0089100.1 pep chromosome:AGI1.1:6:7029465:7029740:-1 gene:ORGLA06G0089100 transcript:ORGLA06G0089100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTRMMIVRRWAPVIAVAAALVVLSVLGTAAEAARPLVDGGVDGWVAAAGGGGAAASIVETLRRLYLQQLGGPGASCGTNSPNNGCPP >ORGLA06G0089000.1 pep chromosome:AGI1.1:6:7014979:7015218:-1 gene:ORGLA06G0089000 transcript:ORGLA06G0089000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVIRRRLAAVVAAVLLIGVAFLAVSGEAARPLGGEPAAVSAGGVVQLLLRQMYLQRLAAGPSCGTNSSNGGCPHRP >ORGLA06G0088900.1 pep chromosome:AGI1.1:6:7006667:7006948:1 gene:ORGLA06G0088900 transcript:ORGLA06G0088900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAAAAMLMLSLLLLGSSRSWPPVAAAARPLLQGDGGEVVAPPAGGGVGVLVLPSSSPSLRHWLPVLEMKQAASCQTNDPNNVNCPPKPPK >ORGLA06G0088800.1 pep chromosome:AGI1.1:6:6988257:6994306:-1 gene:ORGLA06G0088800 transcript:ORGLA06G0088800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLLFPPVAPASAESAEPTAEARRRRFPLIAPAVAVGSVGCGGGMGKRKTIVQKGMLGKPEPSKKTRGVSQRAKKRSIGDDHADDTEQPPPKRSRSKATRWNVSCCVTRECEFKFIWNWLMEHFNPATNQLVFPGCGAIDVNEELVKSVLGIPMGDKDVSYEMESEATEFVLNLLGINDGISPSLTSLGIQLEKLKLADDKYLRMWIIYAISSVLAPMTATTVSPRCYPSVVDAGNIKNLNWCKFVISILQKAAKAGKNTNSACLLYMMILYLDSLSFKNLNVPVEGYRATVWTNELINQTILADTSADGSFGALPENDRIVIAVQSLCEGFSDVTSSDDEDFVADEEVEDTEEDEYTDEDGDSDDDEDEGEEDDDDEGEEDDDEDRSENDDNDGAETGRSGEQANAATNVIGCKGDDTNEGIGSGGKDVDDVTGCKGDDTNEGIGSGGKGADDVIGKGKQVDEGIGFGDKEKHEEKQAPNVVAQNVPESEKQPVQKAEKYPFLTTTIDSHEVPNFNLGFDSSQEVVQTPKGQEAAGTSRGKEFTGIITNEDYGSFTTEDYEKEPIACEVEEETPVPHEYNKRVVKPAKFKRSPFIDYENKKQFVVSRVINEVYDDICKNGGRTKLRRNSRKIIDTGEYYIYLGDLANSVKPMGSLDNNICELALIVLSADIKDNSKRIFPARIGAFFPILQKLGNGNDKAGHYFMVCLNLKAERFEVYDSLRGEDDEELISASHLVVASIKTMWDRFYMSQTYPCFGFANKNSRDCGFYMLKFVELWDGKQLPAFEPSDIPNIKKLLTHKMLSFQENRVQWMQVLWGKEPDPTLKVK >ORGLA06G0088700.1 pep chromosome:AGI1.1:6:6974572:6975933:1 gene:ORGLA06G0088700 transcript:ORGLA06G0088700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1Q174] MVLPMSRATRVAGRLMPEISLLRRGRKSAARGGDDAEVEVSVPANFVCPISLEMMRDPVTAPTGITYDRESVEGWLARGHDTCPVTGRPVRLADLVPNHATRRMIQDWCVANRARGVERVPTPRVPVGEDDAEEVVAGVSAAARRGDVAACGAAAATARALGRESERNRRCLAGAGAAHALSSAFGLLAGEAPIVEGAVAGALGEILAALTVFFPLDEECRSCIASPPSLKSLASLLSHGGELAARVSAAVVLRELASSGDRHALEAIARAHGMCDALVGLVTSPVSSQATKAALVTAYYLVSSGDRAAARFAELGVVPAAVELLVDADKGTSEKALAVLDAALCADAGVESARAHALTVPVLVKKMFRVSDMATDFAVSALWRLCRAGASAAPCRAEALRVGAFQKLLLLLQVGCAGVTKERASELLKMLNGSRGSVECIETVDFKGLKRPF >ORGLA06G0088600.1 pep chromosome:AGI1.1:6:6964666:6968758:1 gene:ORGLA06G0088600 transcript:ORGLA06G0088600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:I1Q173] MDSLQKSHDLCLKRKLVDDCLSKDFKYRRVEEDNVSSNVESRPLPGSASQSCCIQPNLAKDCVNYLKSGLPSRIAFYKQGAWCDFPQKIMESLVEGFKADKSSAVVVMDDQPLLVDFLSMTMVNLKTRKQRSVSWLDGTGKWFFPSAFFDEGVDESRKLNMGSEGSGQGLTEGMVPKAPNELVKQAVVETSSPVLQNSCIPDILRKKIVPVERGSESFQFVQNLFLSGMGSFASPKNLLHIHRYSAEDVTAQCRLESFARQMRLTRKKIGYADVRYGWLGSRKQDIVGVLINGFISTGQTSHSSDMRTGVYLSPENRAFTSVGLCDVDEKGVQYMLLCRAILGNMGTIKPGSQDEFLSIYDSGVDNCSNPSYYVIWPSKLGTHISLEYLISFRLTPEIQDYLLHLKGLWLCPPPKEVEVDLSTLQPPSEWYKSCSEVNCHPLSIKLDPISNSRININSASGRASNDSQAPSVQPQKCGPVDSTVVSMALKREQFSIPSMCSESFSSHCTKSQDSVVRMRPDDTLVRRALISDSVNGCDSVGPTVESHCHSLLSQNFDSEGHASHVVSMFGNSAARLHSSAPCMTTEAQVFVAPSRAYENSSSLNAEGSDAVISSIAPQVHAPSIPPQDCPRTSVAPHLCLPRSMAPHLRVLRKISKVHLTNMPEAHYSSAATMVPVICKPPLFGITQKGHGVHTSSMMCTTPNVVLHGPDHPAKLADTERNAPSLINGALRREVQVQRPNQGVDASSIITQAADTLVALSAHGEKGW >ORGLA06G0088500.1 pep chromosome:AGI1.1:6:6960174:6961224:-1 gene:ORGLA06G0088500 transcript:ORGLA06G0088500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQHQHRRVRHGHDDAVGDPLAAATGGCARRSPHDGGGWRVGFAAVLERSNLHLWSKSMDQWEHLEDVRDLKTLLPRGSISMMNNVLIGFADGGVRVVVVRLYHGPFIVELGSTGPARVALRRSGIYAVFPYTSFCTPAAATTTE >ORGLA06G0088400.1 pep chromosome:AGI1.1:6:6938224:6941265:-1 gene:ORGLA06G0088400 transcript:ORGLA06G0088400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:I1Q171] RRVGDVVAVALLLGAVAAAAAAAAARHDYEEALRKSLLYFEAQRSGRLPHGQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLLEYGADVAAAGELAHALDAIKWGTDYFIKAHTKPHELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRRRPGSDVAGETAAAMAAASIVFRQSNPHYSHLLLHHAQQLFEFADTYRGKYDSSIAEVKSYYASVSGYHDELLWAALWLHRATGRAAYLDYAVDNADEFGGTGWAITEFSWDVKYAGVQILAARLLMRGEHEERHRGTLERYREKAEHYVCACMGRNAAGGADANVERSPGGMLYVRQWNNMQYVTNAAFLLSAYSDYLAGAGDGDGDGGGGVATCAGGGGAGAGEVFAAAREQVDYVLGSNPRGMSYLVGYGERFPARVHHRAASIVPYKDSKEFIGCAQGFDDWFGRRGANPNVVVGAIVGGPDRRDRFRDDRENYMQTEACTYNTAPMVGMFAMLNRLSRQESPSTTTTTTATTSSPEMGLSVNR >ORGLA06G0088300.1 pep chromosome:AGI1.1:6:6928651:6936332:1 gene:ORGLA06G0088300 transcript:ORGLA06G0088300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEELAQLSEAMRQAASLLADDDPSDDSAPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASSLRHSLQDRLSKGASSGSSRGRVEGINLKLRTSTAPPLKLVDLPGIDQRAVDDSMINEYAGHNDAILLVVIPAMQAADVASSRALRLAKDIDADGTRTVGVISKVDQAEGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIASAQAAGSENSLETAWNAEAETLRSILTGAPKSKLGRIALVDTIAKQIRKRMKVRLPNLLSGLQGKSQMVQDELARLGESMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGWKVVASFEGKFPERIKQLPLDRHFDLSNVKRIVLEADGYQPYLISPEKGLRSLIKIVLDMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVIAIASNALDSFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNRSSKKPQDADQPMGKRASSPQTGSEKDTKDKSAKDKDKDKSGQQDKDAKEGSSVQVAGSSGEITAGYLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEEEEPPKSLKDSKKANGPEKGPSLVFKITNRVAYKTVLKAHSAVVLKAESTADKVEWVNKIRAVIQSKGGSFKGPNTDGGSMRQSNSDGALDTMARRPADPEEELRWMSHEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRAASYANDISEAESPRTPNRPGEDWRSAFDSASNGPSSGSESRSRSADGRRGRYENGDVTSGANSGSRRTPNRLPPAPPKY >ORGLA06G0088200.1 pep chromosome:AGI1.1:6:6912530:6917495:-1 gene:ORGLA06G0088200 transcript:ORGLA06G0088200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit beta [Source:UniProtKB/TrEMBL;Acc:I1Q169] MAAAAVAANGGGDGAQASNAPAPTRLASVYSEVQTSRLKHALPLPSVLRSPFALADGPASSAAGNPGEIAKLFPNLFGQPSVSLVPSPEPASTRPLKVGVVLSGGQAPGGHNVICGIFDYLQEYAKGSVMYGFKGGPAGVMKCKYVELTADYVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTVNKLDLDGLVVIGGDDSNTNACLLAEYFRGKNMKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNVALIGEEVAAKKETLKSVTDYITDIVCKRAELGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDVVDEAGAWKSKLQPESRQLFDFLPKTIQEQLLLERDPHGNVQVAKIETEKMLIAMVETELEKRKAEGKYPAHFRGQSHFFGYEGRCGLPTIFDSNYCYALGYGSGALLQCGKTGLITSVGNLAAPVEEWTVGGTALTSLMDVERRHGKYKPVIKKAMVELDGAPFKKFASLRDEWSLKNHYISPGPIQFSGPGSNDANHTLMLELGAEA >ORGLA06G0088100.1 pep chromosome:AGI1.1:6:6899597:6900709:1 gene:ORGLA06G0088100 transcript:ORGLA06G0088100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q168] MPARAAAAAAAVALLLAVCAQAAALPRFAEAPEYRNGEGCPAAATAAAGVCDAGLVHISMTLDAHYLRGSMAAVYSLLKHASCPESLFFHFLAEEEVGGGGDLRRAVAASFPSLRFEIYAFRAEAVAGLISASVRAALESPLNYARNHLADLLPRCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTPAFWSDPGLGRRVFAGRRRPPCYFNTGVMVIDLRRWRAGNYRHRIERWMEIQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVRGSCRPLHDGPVSLMHWSGKGKPWDRLDAGNPCPLDHTWKSYDLYVAGDDGAAASSPASGPALSSTSTTWPALVFSW >ORGLA06G0088000.1 pep chromosome:AGI1.1:6:6889151:6889536:-1 gene:ORGLA06G0088000 transcript:ORGLA06G0088000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELGVPESRLWELAITDYSSSLQSGVVAAIVDELPYVELFLSINCQFRTVGQEFTKSGWGFAFHRDSPLAVDLSTAAEEEEGDVAAEEEEDGERGRCGGNPI >ORGLA06G0087900.1 pep chromosome:AGI1.1:6:6883143:6885981:1 gene:ORGLA06G0087900 transcript:ORGLA06G0087900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVLLRRLRGVTAAPRRAAAALPLTTSVRGVSDSTEPLTIETSVPYKSHIVDPPPREVATTARELATFFRDMSAMRRAEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAATTRADAIITAYRDHCAYLARGGDLAALFAELMGRRGGCSRGKGGSMHLYKKDANFYGGHGIVGAQVPLGCGLAFAQRYRKEAAVTFDLYGDGAANQGQLFEALNMAALWKLPVVLVCENNHYGMGTAEWRASKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKQHALENGPIILEMDTYRYHGHSMSDPGSTYRTRDEIAGIRQERDPIERVRKLLLAHDFATTQELKEKHKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSFGVDRKVVRTVLP >ORGLA06G0087800.1 pep chromosome:AGI1.1:6:6881533:6882099:1 gene:ORGLA06G0087800 transcript:ORGLA06G0087800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVGNKKSKGTFCAFCHPSLLLLIVAIQFLMIYSPTLDQYMVMLTTDEFIPEPHLRCDFSDNKSDVYEMEGAIRILSRELEVFLVAPRLASISGRSGVNTTGLDANATRWKIQPYTHKGESRVMPSITEVTLRLVTVDEAPPCDDWHDVPVIVYSNGGYCSN >ORGLA06G0087700.1 pep chromosome:AGI1.1:6:6871885:6873214:-1 gene:ORGLA06G0087700 transcript:ORGLA06G0087700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B12D protein [Source:Projected from Arabidopsis thaliana (AT3G48140) TAIR;Acc:AT3G48140] MASSRWVRPEVYPLFAAMGVAVGICGFQLFRNITGNPEVRVNKVGRAAGVLENHEEGRRYAEHGLRNYVRDKTPEIMPAINKFFTEPTK >ORGLA06G0087600.1 pep chromosome:AGI1.1:6:6864823:6870373:1 gene:ORGLA06G0087600 transcript:ORGLA06G0087600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADAPPPPPEVAPPAPAPAPAPAPYQPPRLAVADGAGGGGGGGGKPCRHHAYSRKQKSLGLLCTNFVALYDREDVESVGLDDAARRLGVERRRIYDIVNVLESIGMLVRRAKNRYTWIGFGGVPAALAELKEMSLRAVSSVASPSLDETSAANVSDDEDDDKLDDAEGDAESEKLSLSQSIDNPSDKPDAPPCKLRSEHRKEKSLGLLTQNFVKLFLTMEIETISLDEAAKRLLGEGHAANNMRTKVRRLYDIANVLSSLNLIEKTQQADSRKPAFRWLGQAKRNEGVTVALPPTKTLPNKRAFGTDLTNIDNKRGKLDSTMENRGKPTQDGGNLFNNLQRQLGQENRSDFVYGPFHPAGARKQEHGNRTVQEKERKSIQDWENLASSFRPQYQNPGLNDLFGHYMEAWRSWYSDLRRDRAS >ORGLA06G0087500.1 pep chromosome:AGI1.1:6:6855264:6861227:1 gene:ORGLA06G0087500 transcript:ORGLA06G0087500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, class IIc [Source:Projected from Arabidopsis thaliana (AT5G22800) TAIR;Acc:AT5G22800] MGSSRERGVLVKTSSSSASVESATQEVGAASSGEWSGDAIRRRFLDFYAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTSRHQTFFEMLGNFSFGDYFKKEAITWAWELTTKEFGLPPERLWISVFQDDDEAFSIWHNEVGVPKERIKRLGEDDNFWTSGATGPCGPCSEIYYDFYPERGSSDADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASMALVSYTTADDAMKTNLKIIGDHMRAVVYLISDGVIPSNIGRGYVVRRLIRRVVRIGRLIGIRGDGHGNSEGAFLPSLAEVAISLSTEIDPDVESRRKSILGELQREELRFVQTLERGEKLLDELLDEALSSAGNNGGKPCLSGKDVFLLYDTYGFPVEITAEIAGERGVIVDMKGFDMEMENQRKQSQAAHNVVKLSVGNETEIVKSIPDTEFLGYDSLSATAVVKGLLVNGNSVNVVSEGSDVEIFLDRTPFYAESGGQVGDNGFLYVYGEEDAKQKAVIEINDVQKSLGNIFVHKGTIKQGSVEVGKEIDAAVDAKLRQGAKAHHTATHLLQSALKSVIGSETSQAGSLVAFDRLRFDFNFHRPLSEEELMKIESLVNQWVSSATHLETKVMDLQDAKNAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRGFKIISEQGIASGVRRIEAVAGDAFVEYVCARDNYMRRLCSSLKVKAEDVNGRVETILEELRTTRNEVSSLRSKIAVLKAASLANKATTIDNTRVVVENMGDVDADGLKSAAEYLVDTLEDPAAVILGSSPGDGKVSLVAAFSPGVVKMGIQAGKFVGGIAKLCGGGGGGKANFAQAGGRKPENLPGALEKARDEIVAAISSKSS >ORGLA06G0087400.1 pep chromosome:AGI1.1:6:6845088:6850603:-1 gene:ORGLA06G0087400 transcript:ORGLA06G0087400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi alpha-mannosidase II [Source:Projected from Arabidopsis thaliana (AT5G14950) TAIR;Acc:AT5G14950] MPFFSGGGGGRSGALLPTTSKPKGHHHLRSKGLSSPAASRRRGAHSASSSASSSSRRRVLYVAAAAFAALFLLAFFRLGLPSSRPAATSLARLRPRLTRRPAFRRDSAAAEAAAAAVAARIGREAHVDITTKDLYDRIQFLDVDGGAWKQGWEVSYKGDEWDGEKLKVFVAPHSHNDPGWRLTVEEYYEKQSRHILDTIIESLSKDSRRKFLWEEMSYLERWWRDAPRKKQEAFTKLVRDGQLEIVSGGWVMNDEANSHYFAIIEQMMEGNMWLNDTIGVVPKNSWSIDPFGYSATMAYLLRRMGFHNMLIQRTHYELKKELAMNKNLEYLWRQNWDIDETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRSFSYESCPWRFDPVETNANNVQERAMKLLDQYRKKSTLYRTNTLLIPLGDDFRYVSTEEAEAQFRNYEKLFDYINSNPHLKAEVKFGTLEDYFSTLRDEAERINYTRPGELVSSELPGFPTLSGDFFTYADRNQDYWSGYYVSRPYFKAVDRVLEQTLRASEILSSFVLGYCQKLQCVKLPISFSHKLTAARRNLALFQHHDGVTGTAKDHVVVDYGTRMHTSLQDLQLFMSRAVEVLLGDIHDRSDPTLLSHFEPVQERSKYDVQPVYKVLNPHGGKAHSVVLFNPLEQTRDEIVMVVVSNPDVSVLNSNGSCLRSQISPEWQYVSGEKVSTGQHRLYWRASVPALGLETYYVATGYDDCAKATPAVVKAFTTAGQFPCPEPYVCSKLEGKTVEMKNSYHSLSFDVRHGLLQTVTRNKDGEHTDVGEEIGMYRSHGSGAYLFKPIGEAQSIVEEGGYFILSEGPLVQEAHSLPKTQWHKSPISHSTRIYSCGDSIQDMLIEKEYHVELVGHVFNDKELIVKFKTDIDNQGVFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFLQDSLGNRFSVHSKQSLGAASLKNGWLEIMLDRRLTQDDGRGLGQGVVDNRPMNVIFHLLRESNVSALPKTHSLLTLQPSLLSHRVGAHLNYPMHAFVSKKAQEKSFKLAQQTFAPLTSPLPCDVHVVNLKAPQPLKFHHAEAVEARFALLLQRRGWDASFCRRGGLNCTTIGEEPVNLFYMFKDLAVLDVNATSLNLLHDDPEMLGYLEQIGDVAQEGNVLISPMDIQAYKLDLQPPSSQEE >ORGLA06G0087300.1 pep chromosome:AGI1.1:6:6835056:6837835:-1 gene:ORGLA06G0087300 transcript:ORGLA06G0087300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLNAKLYHRYTALKKRKLLDEGLDQKRAADINELRQAMKDWVAELQSENERLVAKLTQKEQQLVEAQTLLLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPDTTAEMTIENQTPISPAKKTPKSNSRERNIRSIEKAIVPRNGFQEEGRDLDSCRRHMSISGSATEESSSTCMFHLLAESMVGMKFSVKNETEGFSLSVSHEASGYNFTLTWVDQPGGSEWSYQYSSLGTLDRIAMGWMKEDIKFSSAMCPVFFKQISHILRQC >ORGLA06G0087200.1 pep chromosome:AGI1.1:6:6824171:6824629:1 gene:ORGLA06G0087200 transcript:ORGLA06G0087200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSDGSSSSSRRCSASPVSYRVGPLGYQPAVMCRCRCPAKATWWISWSIDNPGRRYYKCQNARGLVLQEGGCDFWVXCDGPTTSFIKELLNDLRDAVTGLRRENEHLRRENKDLQRDAEENRAKRVEQRKAI >ORGLA06G0087100.1 pep chromosome:AGI1.1:6:6800432:6803530:1 gene:ORGLA06G0087100 transcript:ORGLA06G0087100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WAPRRRRSPXSSGXTGACSGCPTATRRPWRPPSWTGSRADWRPTSSPRSSPRCPTRAPPTGRRCGGTRSGCWRSSPPRTRATPWRRSSPGSWPPRCGASGTRTRPCAPRSSTPRGPPRRPRRRRTRRSRRSRGAAPRAGPVRAARLRARGGRGRRGVAPSADLAAYLQALLPRLLKLLRSSAFKAKPALISLIGAASAASGGGAAATAVPSLRDALTGEDWAARKAAAEALALLALEHGDNLVEQKPSCIAVFEAKRFDKVKIVRESMNRMIEAWKEIPDMDEEVCSSDVPPSPQSQTRSSSTDSASDGRYPADSLGSNSVQSVRRRNLSPTKKSPPREALHNVSNRRTSSSSIGNKKNSPPSRHNSGQAKNFECKVNVTDAPDATPIKTVTEEKLLKDGNVRARLEARRVLFQKNGEERYNKVPGLKSGSRVVPYNGDDDLEEIAESEDVHEEFQSGHKEEDLSKIRMQLVQIENQQTSLLNLLQKFMGSSQNGIRSLETRVNGLEMALDEISRDLAASSGRMPSSEPDMNCCILSPKFWRRHDGSRYSSKYSISDIANYSEESRTSYKWERQKFGVQGVVTNPLAEPNASFAGNTVVAQEARRQNSAQYKSRXV >ORGLA06G0087000.1 pep chromosome:AGI1.1:6:6790171:6791028:1 gene:ORGLA06G0087000 transcript:ORGLA06G0087000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSGWPNCSTGWPNVASLLHWLKPRADSSDGGVGGAEGHGGLVPVAGDLGHALRAHGVLDPPGIGGLLGVARVVLGEQVVVEGDEDAGKHVSGARLWRRGWDEIEEVGRVDMGVLEAHXEGDELGELVAEEGRGGRGGRGGGATTAGSRRGRGRSRRAPRRARAGGVGIRGEQDGLLEVIHGGGGGGGGIWAGAEGGKEERGRGGRVGGPPPPRGTASPPCSHPCSCSCSGKGGENLEEQIVEAGTRVPLPYTQNREDEXRGCWSK >ORGLA06G0086900.1 pep chromosome:AGI1.1:6:6769887:6772078:-1 gene:ORGLA06G0086900 transcript:ORGLA06G0086900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAAGAGGEPQKQLLSIIRDFAAEKSHGVSRDPLAASGLLAERRVTDLKRRLDDVRAASDAAAAELEAAKRAREGAEQELRGGQVQVAIAAASIQALEATISHLQEEISKAGSDLDALKGKGDIERDEFISQMDQLNKKIRQFQQTVSVEFKRQKCSELPSGEGQHVRDMSEIEESEGILKDLIDKVNNADAELHVLEEEYKKDLLHHDEVRRELADTQAKRALMEAVMGETKQLQELGEYPFLGFVQKFSNPLHLVLFPVQIHQAFCKRRFFNGKQAGSRNGESARFACGGVAEAVRVPRLWSQQHGWVGGGGGGQLKMALAGWLLYIDKA >ORGLA06G0086800.1 pep chromosome:AGI1.1:6:6759669:6767887:1 gene:ORGLA06G0086800 transcript:ORGLA06G0086800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPSPSPVVPVKREPDGATAAVSDAYTPRPPLRKRRRLPATPTQPLLLTPQAMSSTRDSFAGERSGLAPASVPTSVKRELGADGDGDGDGDRDARGKAVSVAEGNLQPRKTALAELPTLLANRRRLDRLLHELVRSHRWGDAAGVISALVSGTRHPESFDEMRSVFAVGMEIHRRLAENSGIQQNTRSRYYLRTQKLYDVWMRRLMWLPTCERKYMVKLELALFYLSQGCIDSAYNTTKTLIAKDGLKTPIVNLIHGLISYDNWYSGLPEDMQLEEFDAYRESRTVSMATHHCDENGQQDTSDDNCSIDADSSSPGCSSKSSINNWNIDKQRKFPEKPGFVHSAREDDSVGSQVDEKMVDTDFRSVFFNTANSPTCGLEKSLLPLRLKHSDGTPNDCFDSYWKYKSTPNAFYEDAEKCLRVALYSTPPIMAALLPLIQILLLGDKLKDALAELEKICHSSTTALPFRLRGRLLEYFDQNQVSIISSCYAEALRRDPTCTYSMERLTRLHRKGYYNTIELLEAIALHLDSVNGKPCVWEELVSCFLRLFSEWTTDYGDCMSCNVQGDATFTASSKFSCVFFEQNTRETWKVRCTWWMNRHFSQSICTSETLTGDCKLLASKAACACHLFGPEFEYVEAVESYLSGQKADDEIAFLSRNMQNSVRLLQTLEGLTS >ORGLA06G0086700.1 pep chromosome:AGI1.1:6:6752163:6753886:-1 gene:ORGLA06G0086700 transcript:ORGLA06G0086700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEHDFHMATGEAEDNYANNSRLQRKALLKTKPVLDKAVRQVCMALHPRAMIVADLGCSVGANTLLFVSDVINTVADAQHHDELRCHPMELQFFLNDLSGNDFNQVFKSVKQFTKSIAASHPKGVALPPFYISGLPGSYYTRLFPCQSVHLFHSSYCLHWRSQMIKDMDEKMSDINGGNIYIAKSTPPSVVKMFQDQFQKDMSLFLKLRHQELVPGGQMLLTFLGRKKEGVLDGDLSHLCALLAEALQALVTEGLVEREKLESFNLPLYGPSIDEVKAVIALNKLFGIDHIQLFESNWDPYDDMENDGMCSSPQHGVNVAKSIRAVFEPLLASHFGECILDELFQRYARNVERHLAEDNTKYSVIVLLLNRKV >ORGLA06G0086600.1 pep chromosome:AGI1.1:6:6746671:6747361:-1 gene:ORGLA06G0086600 transcript:ORGLA06G0086600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFHMAIGEAEANYANNSRLQRKALIKTKPVLEKVMRQVYMALLPPTMVVADLGCSVGINTLLFVSKVTSTVADAQCHNELGCHIMELQFFLNDLPRNDFNQVFQSLQQFTKSIAAGHPKGVALPPFYISGLPGSYYNRLFPCQSVHLFHSSYCLHWQSQVRISMEPLMACTVNALGTFVSIK >ORGLA06G0086500.1 pep chromosome:AGI1.1:6:6745316:6745976:-1 gene:ORGLA06G0086500 transcript:ORGLA06G0086500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSCTRISSRRTCPSSSSCDIRNSCPGIVEKGKLESFNLPIYGPSIDEVKTVITRNKLFCIDHIELFESNWDPYDDLEHDGMHISPHRGMNVAKCIRAVSEPLLASHFGEYILDKLFQRFAQIVERHLAKENAKYSVIVLSLNRRD >ORGLA06G0086400.1 pep chromosome:AGI1.1:6:6723299:6739199:1 gene:ORGLA06G0086400 transcript:ORGLA06G0086400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAEDKAPEEVMEVKGEEKAPRSDRRGYGQCCLGQGVVGARTKALVTMFKERCEKEPQNEGNVYIVVTTPEEVIKLYXEQFEKEFLNFLELRSEELISGGQMVLTFPGRKNDNIFDEDKNILYELISQALQSFVIEGLVEKEMLDSFNIPLYGPSVNEVRTAIMQQKLFSINHIKILESSWDPQDDEFEGHTVLDPVESGVNVAKSIRAVMERLFATHFGESIMPLLFSRFASNVTEYIEKKKRKHTVILLSLSKMQDDNTAEA >ORGLA06G0086300.1 pep chromosome:AGI1.1:6:6701021:6705097:-1 gene:ORGLA06G0086300 transcript:ORGLA06G0086300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLWALGLSKVYVCSCKNATLNRSNDYYSKQIDNFVAKDQNRQATTLPQYYVAGLASSYYRRLFPKNSVHLFHSSYALHWRSKMFEMKNIKEPLNEGNIYISKTTPISTVKLYQELFEKDFSNFLELRSNKLISGGQMLLTFLGRKNEDVSDGDQCTLHGLMEKKKLNNFNMPVYMPSTHEVKTIIMRSKLFIINQIQLSESNWDPYDDDLEGEVVLYPAQSGLNVARSLRPVLGRLFTTYFGESVQDVLFSRIASNVSKYLDKRKGKHNVIALSLARTYGDGVP >ORGLA06G0086200.1 pep chromosome:AGI1.1:6:6695007:6695363:1 gene:ORGLA06G0086200 transcript:ORGLA06G0086200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPEQCSCEESGGGVFQRRPRCGGGECRVCGFQPPNLATWEAEGPWAAVVKAARASGGCWEGRRRKRWTAVVAEPEIGMQQRRGREAVSQRVGCDVSAAAGVAEDSGCSGGQQGA >ORGLA06G0086100.1 pep chromosome:AGI1.1:6:6689136:6693466:-1 gene:ORGLA06G0086100 transcript:ORGLA06G0086100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRPAAAARAVLLREARHLRPGPAHYEKTLVATKPMIQKAIQELYSAVLPKTMLVADMGCSSGPNTLNFIFEVIKATSEYCQRIEQLDNWVAKDQNREAAILPKYYVVGLPRSYYTRVFPDKSVHLFHSSYSLHWRSQMFQESNNGEFLNEGNIYIAKTTPKSVIKLYQELFYDDFSKFLELRYQELVSGGQMVLSFLARKKDDLYDGNLSVLYGLISQALQSLVMEGLVEKEKLDSFNIPNYEPSIHEVKTVVISSKLFTINKIHVFESNWDPYDDSSDQGQAININPIKSGLNVAKCIRAVLEPLIASHFGESILDVLFSRFAHYASIKPTFKETKCRTDIRPNYRRSGFGDNQFSGDEHGLLFCWDRSDQPEVAGDLMPLESICGDGPGAGLVVR >ORGLA06G0086000.1 pep chromosome:AGI1.1:6:6678552:6679531:-1 gene:ORGLA06G0086000 transcript:ORGLA06G0086000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIEGDLHMTSGEGEGSYAKYSRRQTIVIDETKPVIEKAIIEVYKAILPKTMVIADLGCSAGPNTMFFMSNVINIIADHCSKLDEHDPIELQFFLNDLPGNDFNQLFRSLEKIKTSTTMYHKGDSLPSYYISGLPKSYYSRLFPRQSVHLFHSSYCLHWRSQVRLLI >ORGLA06G0085900.1 pep chromosome:AGI1.1:6:6647240:6647690:-1 gene:ORGLA06G0085900 transcript:ORGLA06G0085900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALLASGAASAADPASVACSVGGKRDGVHGGSGIGGMRGGIAGVRGNVSGGRGDVNGRSHNISSIICVGGGRDSVGYHSRRGW >ORGLA06G0085800.1 pep chromosome:AGI1.1:6:6635018:6637278:-1 gene:ORGLA06G0085800 transcript:ORGLA06G0085800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CHAKAMIEAKFVLDKAIRELYATLLANTMVVADLGCSSGQNTLHFVSEVINIFTKHQNNLGQSDTVDLQFFLNDLPGNDFNHLFRILNTFTFKGASNHKGDILPAYHIYGAPGSYYTRLFPPQAVHLFHSSLSLHWRSQVPEQLNGKQKSYLNEENIYITKTTPLHVVKLFQEQFIKDFSLFLKLRHEELVDGGRMVLTIYGRKSEDPYSGDVNDIFGLLGKSLQSLVAEGLVEKEKLDSFNLPLYGPSVGELEEIVNRVNLFDMDHMHLFECNWDPYDDSQGDIVHDSALSGINVANCVRAVTEPLIASHFGEGILSALFTDYAHRVASHLEMEKTKFAWIVISLKKRC >ORGLA06G0085700.1 pep chromosome:AGI1.1:6:6607058:6607404:1 gene:ORGLA06G0085700 transcript:ORGLA06G0085700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNENERTNVNENERTNVNENERTMNERERERCRTNVNEPTNVNVKCNYRQVNERERTNVEKTLSESSLKPEW >ORGLA06G0085600.1 pep chromosome:AGI1.1:6:6580323:6581180:-1 gene:ORGLA06G0085600 transcript:ORGLA06G0085600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHAEQELSTPEMLQGHIELHHHLFGYLKSMALRCAADLGVPSAIHRRGGAATISDIAADTGVHLAKLPHLRRIMRVLTGAGIFAANDEPSSPADQDGDAAGETVYTLTPPSRLLVGDHATCNMAPMMRFLAAHGGVSAWEMTKRDSSYSRALNEACAGDTSFVMDIAVREGGDVFRGLSSLVDVGGGHGAAAMAIARAFPHIKCSVLDLPQAISEAPADGTVNFVAGNMFEYIPPANAVFLKVYIYSLIHNYFLK >ORGLA06G0085500.1 pep chromosome:AGI1.1:6:6552350:6552856:-1 gene:ORGLA06G0085500 transcript:ORGLA06G0085500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARCLAATVAVSPRSAPPRPNLEGGRRWWSWQLAVSAGDGGGGHGGGVGAVAGCFGGGGRRGSGCIGGGDDLRRRRLALVTGDNDSSDGDWLAVAMTEEATTMSVDATVAGDVVQTVAGDSKWRRGRSRVRGEDGDGEVAGRSAAAAVVAVTVTAVGRGMAASDG >ORGLA06G0085400.1 pep chromosome:AGI1.1:6:6551949:6552224:-1 gene:ORGLA06G0085400 transcript:ORGLA06G0085400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGLAREARPMEGGRIGARGASDGGGWLGAKGAAGGGGGDLGARWSCRWVWRGLRRTKVGRRGALVQGPTCRQRLSGGGASVRQPWICKW >ORGLA06G0085300.1 pep chromosome:AGI1.1:6:6544736:6547986:1 gene:ORGLA06G0085300 transcript:ORGLA06G0085300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRLAAKEGAYFLQESKHAAGRLAEKLPASAPAPAPAPGSTSPSPDVLPEILRHAVPIKATPPPGEPSLSASSRWAVPRGGAEAAGLSPDALNPLRSYVSLPQATFGPKRWQLPNEQPNYSASTANERRRDRHPPPMDPEKLKAVIAGYSQIGKAFIAATILVFGGSTAVLLYTADKLQLHSVDDVRTKGRDAVQPRADMIKEQIAPLRSWAEEMSRKWHFEGDKDAKEKSIIRELSRALGSRTPPT >ORGLA06G0085200.1 pep chromosome:AGI1.1:6:6541190:6542829:1 gene:ORGLA06G0085200 transcript:ORGLA06G0085200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYSMCYFGGGKDEEEELEMYRQIHFPALTELRKTTKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKHSTNVYIAGAEIYGGRHRMAAISRLYPALVSKETLLSSSELEPFRNFSSQQLAALDFIACAAADAFAMTDPGSQFSSLVQGYRMYYGGGDLPTIRPNKRRLASILLKNATMEWNEFETRARKLIQQTKQVHERPVARSIFRHPRCLDCMCRTEN >ORGLA06G0085100.1 pep chromosome:AGI1.1:6:6532725:6535576:1 gene:ORGLA06G0085100 transcript:ORGLA06G0085100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKPPPPVTATAPQTARLSRPCVMIIVVASVERFAYKGVASNLVTYLTEVVEMSTSAAAKSVSAWSGVTSMLPLLTAVLADSYWDRYSTITASSLLYVVGLIGLTLWALLHTRMTCSTLFFPLYLISIGQGGYNPSLQAFGADQLDIGDDDDGGDNGATPATEEQRSKVKSLFFQWWYFGICSGSLLGNTTMSYVQDTVGWGLGFAVPAAVMAVSVAAFFCCTPLYKQRQPRAVHRKPCRDSVLKALKSLLASVTGARKITLPSRDGDDDTDIVSELELQEKPLKLADQKQEAAMGEAAAPSVAKIIVRLLPIWTMLLMFAVIFQQPMTFFTKQGMLMDHRVGAVFVIPPAMLQSSITVSIILLMPLYDTVVVPLAGLVAGHGKGITVLQRIGVGMVLSIVAMAVAALVEARRLRAAASSSSGGRLSIFWLLPQYVLLGVSDVFTVVGMQEFFYTQVPSAMRTVGIALYLSVFGVGSFVGAFLITALEMATAGGGGGHDHGWFSDDPREARLDKYYWFLALLSCVSFVVFTHLCKYY >ORGLA06G0085000.1 pep chromosome:AGI1.1:6:6475779:6476448:-1 gene:ORGLA06G0085000 transcript:ORGLA06G0085000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQGPMPASPMAEATDLSESLVRVASKPHWFLVDVRVQPYATVDADGRKIISKCGTRHWVIDSESFSFDFLMESLRVEFKWGSNQSPSVWYFNKNLGEDVRLTGDTNLPAILEMYATDASFHLLVAVLEESMNVASVSCVHEPIAVIPPENPSHNDGFGQAATNVGGSAXPTTVEAYVRXLDMFDN >ORGLA06G0084900.1 pep chromosome:AGI1.1:6:6466330:6466620:1 gene:ORGLA06G0084900 transcript:ORGLA06G0084900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYGTSWADQWDYGSDPPPSSSSSGKRSGGGAGGGGGKKGGGVEKTKAAAASGLRKVKEGTAHGFQWIKDKYQQKKSGGKKHGDQQSSEIAGY >ORGLA06G0084800.1 pep chromosome:AGI1.1:6:6460848:6461957:1 gene:ORGLA06G0084800 transcript:ORGLA06G0084800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSPLVVVLLAVVTAIAVSPVQPAFALPAGLPDIKSLTNPWSAFKNLSGCHFGDERQGLGKLKDYLWHFGYLSYPSSSSPSFNDLFDADMELAIKMYQGNFGLDVTGDLDAATVSQMMAPRCGVADVVNGTSTMGGGGGVRGRGLYSYFPGSPRWPRSRTTLRYAITATSQTSIDRATLSKVFASAFARWSSATTLNFTEAASAADADITIGFYGGDHGDGEAFDGPLGTLAHAFSPTNGRLHLDASEAWVAGGDVTRASSNAAVDLESVAVHEIGHILGLGHSSAADSIMFPTLTSRTKKVNLATDDVAGIQGLYGNNPNFKGVTPPATSSREMDSAGAGELSRPWRGLLDGAAGLLVGLSLAWL >ORGLA06G0084700.1 pep chromosome:AGI1.1:6:6457928:6458672:1 gene:ORGLA06G0084700 transcript:ORGLA06G0084700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCCFSSSSAAASAARRFLLPHLFLGRRRHRHDQLRYTILHHPPLAVPAAPGFPLRGRPPRLEPEDEPHRRHRRGRGHDAPRGRLPRRAAPARARVPRRFGWDEACRCRLRCWAPRPDPRCCAPALEIYAVGIDAETVLVLGACCRGHGVVKCGCGV >ORGLA06G0084600.1 pep chromosome:AGI1.1:6:6453869:6454798:-1 gene:ORGLA06G0084600 transcript:ORGLA06G0084600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLLEYSAGGLGLLALAALESLPLRPLLLPAAAASHLPRRLGLATPLHLRHLLAGIVSALFLLSALFSARHHLSLPTLAATALFLLYALAPLAPLRAPLPLPLLDLVLAAAFAQELLLFAHRRPSTAAGIENRYFDLFLVPVAVCLVATLLAAHDPEAAPPRLARAAGLALQGTWMVQMGFSFFTSAVAQGCALHAQSRVDYTIKCRTHDDYHRARSAATLQFNGHLALLVLAGAAAYGAAVSRRNQPPSGYRMLSKEVQMEGMPLHSQFTLDSDEEKEDERITNSAMPVANGSGSHHEITVQTSDSK >ORGLA06G0084500.1 pep chromosome:AGI1.1:6:6444303:6447056:-1 gene:ORGLA06G0084500 transcript:ORGLA06G0084500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNSEEGIISQTISSSSSSSCHYQCIATLSGNSSYVSGLAVDGDSLYVASSDGHIRLWPLDMAMAMVREESTSSSSQGEVSRSTVAVTGSPVKCLAATGDGLVSSHQDGTIRVWRHAGGRRRLALRAVLPTAADCLRALLLPGGGYVEVRRHKRRAWVHHVDAVTALALSPDGESMYSVSWDRSLKAWRLPGLRCAESVAAAHDDAINAVVAAPDGHVYTASADGTVKAWRRRTGQKKLSLVCVMERHGAAVNALALGAGGRVLYSGACDRSVVAWENSAGAGAGGADVRMVATATLRGHARAVLCLAADGDVVCSGSADRTVRVWRRGAAAAYTCLAVLDGHGGAVKSLALARGGAGCDRCCACHVEESSSCSCAALVCSGSLDCDVKLWRVTVSEATKGSKVHHVGRLLGLIFHLFVWLSNFVEFKGAGYIYIKGIRSTGLWXXSKQSRYQEVTQALGTYGYQAFALMRATRRREMRQGKHRDEMATLLTN >ORGLA06G0084400.1 pep chromosome:AGI1.1:6:6439891:6440184:1 gene:ORGLA06G0084400 transcript:ORGLA06G0084400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQEHLPYNFVAEGRIVVAGTRPNSATADPAVAASQLAFTSVSAAIMIVREIAPEHQWAFPVRIMRGKGVTAKRSMHISVCKLKYLLKNFIPRGFF >ORGLA06G0084300.1 pep chromosome:AGI1.1:6:6436235:6438658:-1 gene:ORGLA06G0084300 transcript:ORGLA06G0084300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHTSHTMEHKLLKAVATGDADLLAQALGIWPTATAEQGDVDQSCCLKGVTAEGSSVLHIAASRGHLKLVVMICTHDISLIKLRNNQLDTPLICAARAGHVDVVDYLVRAASAMQEPERSVLRAWNSGGATAMHEAVRNGYAPVLQKLMSSDSGLATMVDDKGMSPLYLVASKLRGARWKNRVARCGQHRRGSQLPPSFSARTLFKLLNEMSESLRRWEPTLAEKVDIDGRTALHYAVLTGETGLVELLLDNSSAAYIPDNDGLFPVHVAAIAGKASVTRMLMEMCLNCDELLDNKQRNVLHCAVEYGRLMVVWYICRNPKFTRLLNAGDCEGNTPLHLAVKHGNAIIISCLMMNTRVNLSIINHGGSTPLDVAFNKSTRDYSLSWLSSTSITMCLQACNAYTSRFLNRADKRFLEDKEESSVYTNVSQSILCISVLIAAGSFAAAFTPPGGYIADGEDAGMPLLKEYAEFSSYVAANSMSFYCSTFATCLLVHDSLTNRRRRRYLSLSAGLVFL >ORGLA06G0084200.1 pep chromosome:AGI1.1:6:6428861:6431581:-1 gene:ORGLA06G0084200 transcript:ORGLA06G0084200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxamine 5'-phosphate oxidase family protein [Source:Projected from Arabidopsis thaliana (AT2G04690) TAIR;Acc:AT2G04690] MLPPAHLLVVAVGAALLLAFRPPLPALAARPIVAAAGGKPAPTEAAATARWLAAQNTWGVLSTISSDLSGAPFGNVVSYSDGVPGESHGIPYFYLTTLDPTARDALEDERTSFTLSEFPLGTCGKIDPENPTCAKLTLTGKLKLIDPQSSEADLAKEALFTKHPEMEGWPKNHHFQIFKLEIKNIFLIDWFGGPKPISPTEYLEYEKNRALLKSS >ORGLA06G0084100.1 pep chromosome:AGI1.1:6:6426910:6428379:1 gene:ORGLA06G0084100 transcript:ORGLA06G0084100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1Q128] RAMAGAAAAATPPEFVCPISGELMADPVIVPSGETFERGCVEACVALGFTPAALLPSVDLAASPPPALIPNANLRKAISSYCDRVGLPRPLAVSPEEARSIVRRLMAVREPGRAGGVNGERFESSSSSSPEFAALGLTLEEAVLVRLLDDEPSRQEGALEALKQMLRGGENGVRRALCTPRLLDGLRRLMGSGHEGVSVSAAACVVNLSLEPANRVQLVRAELVPVLVGLLAAAFPELRDHAAGAVYSLSIEERNRIPIGVLGAVPPLLRLLASAADGDRARRDAGMALYYLSLDEMNRSRLARSAGAVAALVGAAGDAALRRPALMVMANLAGCGEGREALIDGGAVAAVAGLMRRAAVAPGSTEEEYCLSALHGMSRGNVRFGGLARAAGAGEVLRRVAEGPGGGVRRDVAWRTLRAVGGVAAAATGESLYGGEDAAAAAPWMDDVSVMSEAMAMPQFPRRLVEHAHGAPPRSNTTALDRLRQAPNG >ORGLA06G0084000.1 pep chromosome:AGI1.1:6:6422224:6423780:1 gene:ORGLA06G0084000 transcript:ORGLA06G0084000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1Q127] MATAWPRWRPSSPPMPSSSSSSPSSSFTADPPAEFLCPISGTLMADPVVVPPGQTFERACIQACAALAFSPPAVAADLSSLPPSASSPLVLVPNVALRTAILNWCDRLSLPYPAPLSPDTARDVVRRLMPSPPPPPPRSQAPPPPPPASSVRTRSYYSDDLVQQQQEARREGGSTEERIMAMLGAGPAEQEAAMALLRKTARENREMRRELCTPRLLAALRPMLLSGDAGVQVNAAAALVNLSLEAENKVRIVRSGAVSPLVEVLRSGHPEARDHAAGAVYSLAVEDENRAAIGVLGAIPPLLELFACAGAAHLARREAGMALYHVSLSGMNRSKIARTPGVVRTLLAAVEAARDDRANEADAAALRRIAVMILANLAGCPDGRTALMDGGAVAAVVKLMSSGSAAPGSAEEEYCISSLYGMSRGSLRFRGLARAGGVEAALTPVAEGAGGVGRDMARRTLRAMRGEDDEAAVTATGLLGRQWDDGSVVSEGLVSIRRPPPRRSSYGAGASGSNTTQF >ORGLA06G0083900.1 pep chromosome:AGI1.1:6:6411102:6412854:-1 gene:ORGLA06G0083900 transcript:ORGLA06G0083900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47830) TAIR;Acc:AT3G47830] MTRKPKRKPPPSPEPYHDHPSPSPAQCLAVRDALLAFHGFPDEFAPFRRRRRRLGLDTSPDPEEDTDGDPSPPPTVLDGLVTTLLSQNTTDAISRRAFAALKAAFPTWDQVVDEEEGKRLEDAIRCGGLAATKAARIRAMLRGVRERRGKICLEYLRDLSVDEVKTELSRFKGIGPKTVACVLMFYLQKDDFPVDTHVLRITNAIGWVPATASRERAYLHLNSKIPDDLKFDLNCLFVTHGKLCQSCSKKLGGQKTTGSNSMCPLASYCCTEEKMKQ >ORGLA06G0083800.1 pep chromosome:AGI1.1:6:6400950:6404526:-1 gene:ORGLA06G0083800 transcript:ORGLA06G0083800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRFGSFKSEKGDPAATAAQRRDPYEVLGVGRNATDQEIKSAFRRMALKYHPDKNGDDPVASDMFQEVTFSYNILSDPDKRRQYDTSGFEAIEADSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVMVSQLQLGNSVHRKVEKQSAHFYSVDITEKEAKMGLVCRVKSTDRSKFKLLYFELEENGGLSLALQEDSVKTGKVTSAGMYFLGFPVYRFEQNNLAAAAKDPDSAFFKRLDSFQPCDINELKPGTHFFAVYGDNFFRSVNYTIEVVCGESFPAEKEKLQSVEAKILTKRAELSKFETEYREVLAKFTEMTSRYTQEMQAIDNLLKERNEIHASYTNNSPLKRSSSRSKAKSPSKFSKGEEENSQRKEKRVKDQPTGGCRSADEDSNEKKTKERFPKKKWLNIPFKIDRRKPC >ORGLA06G0083700.1 pep chromosome:AGI1.1:6:6389345:6395325:-1 gene:ORGLA06G0083700 transcript:ORGLA06G0083700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) TAIR;Acc:AT1G10510] ALIRTVIWRVVQNLLPGKTKDQSSGESTPSGIMWSFAAGSNLSTSASFNAEKESRKNLNKFYKEIRTLKNVSMAGRQFGDEGLFFLAESLAYNKSAEEVDFSGNGITAVGIEAFDGILQINTALKSLNLSGNAIGDEGAKCLSDILVENVGIQKLLLNSTNIGDEGAKAISDMLKKNKTICTLQLSNNTIEYSGFASIAEALLENNVLRSLFVNGNYGGPLGASSLAKGILGNKTLRELHLHGNGFGNEGVRALMSALSVHKGKITVLDIGNNNITSEGSLHVAEFIKRTKSLLWLSLYMNDISDEGAEKVADALKQNKTISTVDLGGNNIHSKGVSAIAETLKDNSVVTTLELSYNPIGPEGVKALCDVLKFNGKIQTLKLGWCQIGVSGAEFVADCLKYNTTLSTLDLRANGLGDDGAICLARSFKIINESLTSLDLGFNEIRDDGAFALAQALKANEDLAVTSLNLANNFFTKFGQVALSEARDHVYEMSEKEIDIFF >ORGLA06G0083600.1 pep chromosome:AGI1.1:6:6385058:6386572:-1 gene:ORGLA06G0083600 transcript:ORGLA06G0083600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1Q123] MVFQVQPPWLLLLHLIAIAVLLLAVDSVPPAPAPPPAVATVFDDNYVATYGGDGYHLVNQGTQISLTMDKSSGAGFRSKLMYGSGFFHMRIKVPAGYTAGVVTAYYLASEPDRDVQDEVDFEFLGDKDGNPITLQTNVFVGGHGDREQRLRLWFDPAADFHDYSILWNPFHLVIFVDETPVRVLKNLTSRGPEFEFPAKPMRPRGSVWDASDWATDGGRTKVDWARAPFTAAFQGFAVDACAAAAGGGVSSDDCGSPDTWWWNGGEYRRLTAAQQAAYDGVRGNLTYDYCTDKSKKRPVPPPECSFT >ORGLA06G0083500.1 pep chromosome:AGI1.1:6:6374666:6380338:-1 gene:ORGLA06G0083500 transcript:ORGLA06G0083500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAWGGTTQKCDSCGRTVYPVEELAADGRVYHRPCFRCTHCKATLQFSNYSSVEGVLYCKPHYDQILKSTGSLEKSFEGTSKSAKAEKSNGNKGQPNRFSSMFVGTQDKCVVCNKTVYPLEKVNLNGSSYHKSCFRCTHGGCTLSPSNNVTHEGKLYCKTHHSQLFMVKGNFSNFEDSTPNAKVDIEKQPEHEDATKNPGGPGQGDGLTEKPLESELTPEKPSQDDIVAEKQSQSSVDVPKQSESSTTVQRSEEGERVTKGESNSHVVSKKPLESSVEKPFQSSAVNLQPSGSSAAVRKPWQRNLPTDKPLLSNTTTEKSTPSSAAIEESLPSNGVDAKQPESSTASTVVKRPWQRRVATENLPQSISPSDKPSSTSADDVKPSENSKLIRKPWQRAVAAEAQIQNSGPTEKSSFTNDTKPSESTTSIKRPWERKVVNEKPLQNNIDTEKPLQNNTDTEKSSSSAIDVKLAETSTTPTVPQEHSGITEKPSQTSADDVKPSESTAAVVKKQWQRNIGFQKQPQSSVTDAKTPESRGMGKRLWQRNVPTEKQSQSGASVVTPSQVSVASTNPLQSGVVVKKPWQRSVSREKEPEKDICSNKPLQNRVLAEEAEKTNVTADNKSQIIQDKKNNGATTENSSQISESAKKLPLTANKSQADTSTKKLSESDILAVASSQITEPSKKPSENTAENEKPSQTDIATDELPLTQSVEAMSEPSPSDAAHQEISEREILTDKLPESTMAVEKLSQTATLTEKPSKEDAAEKLPQTNEPSEQPHESEETAEKPLQNEANAESTTKQSDLSLEKPPQVDANVGNPTEPESDATSGVNSSDAQIRPTAEQLVGPQGIVSAEKTSDQILEANTDPAADQSSESQDVAPAKVATEQPLENQKAAASEQPLEPQHEAYEENPQEHNSDATAKESSEPERDTASDQLAEQPSESRTAGEKATLRESDVVTEDPAEPQIDAAAERSAEVLSESQTGVETPVLHQSDRTNEVPSEPQTDEASDKSTEQPLEPENDASVEDEKPPEIESDDVHDKPSESTPDTETLPHNTPKSSISISKAADGNVSEEAPSQSSASIETPSESAIAVEEPKHDDEASIKPSEDSSALEEPPQDDSASVEPSENTITLEKASEEDEGSVKPSEGNDALEKTLEEDEASAKLPEDSTTFEKPSEKDDAGTKQSEDPVTLEKEPEEDKGSVEPTQDNAGLEKPLEEDKASAKPSEDSVVLDKPSVEDDDSAKPSEDSMDLEKPEAHKPSEEEEDGATKSSPEDAAVEEAPLQGDTETATDKPSLEADTTETA >ORGLA06G0083400.1 pep chromosome:AGI1.1:6:6368941:6374034:-1 gene:ORGLA06G0083400 transcript:ORGLA06G0083400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda (POLL) [Source:Projected from Arabidopsis thaliana (AT1G10520) TAIR;Acc:AT1G10520] MAPKRKPPARAAAKLDPDGMFRGVSAFVVPHAVQSRRLEVWKQRLAQMGGRVQEKLAAKGGGGAVTHVLAADAKALLRELDAAWLHRFRGSVVSFEWLEECLKSGERLPEHKFAINYEEEFKPKKEGGAAGSGVLQSAKRSKISSDGPENRKETAGGNRESRDAIAHPNEDSDVVKGPSTCTSSQSASGDSKETIASQNAFKAEEASSGESSTYAPPDLNRNITEIFGKLINIYRALGDDRRSFSYYKAIPVIEKLPFKIESADQVKDLPAIGKSLKDHINEIVNTGKLSKLEHFENDEKVRTVSLFGEVWGVGPATALKLYDKGHRTLDDLQKDDSLTSAQRIGLKFFDDIKQRIPRHEVSEMEKLLQEVGTDILPGVIIVCGGSYRRGKSSCGDMDIIITHPDGESHVGFLPKFVQRLKDINFLREDLIFSIHSIEGTDCGVDTYFGLCTYPGRELRHRIDLKKVYPRNRHAFGLLAWTGNDVLNRRLRILADSKGYILDDTGLYLATPGSGGKRGGRSDAIINCDTEKDVFDTLGFPWLEPHERNL >ORGLA06G0083300.1 pep chromosome:AGI1.1:6:6366204:6368087:1 gene:ORGLA06G0083300 transcript:ORGLA06G0083300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative thiol-disulphide oxidoreductase DCC [Source:Projected from Arabidopsis thaliana (AT1G24095) TAIR;Acc:AT1G24095] MLTRTLAGRVRPLLAAAGLRGYAPRAAADLVVVGDEEPPPRTASSASAAATVSIAATAPTVLQPRVLIYDGVCHLCHRGVKWVIKADKHAKIRFCCVQSKAAEPYLRLVGMDREDVLRRVLFIEGPEAYYEGSTAALKVASYLPLPYSALSSLLIIPAPLRDAIYDYIAKNRYDWFGKDDECIVTKNKELLERFIDREEMLGGGPSNSF >ORGLA06G0083200.1 pep chromosome:AGI1.1:6:6361666:6363577:-1 gene:ORGLA06G0083200 transcript:ORGLA06G0083200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G40160) TAIR;Acc:AT5G40160] MPRRARSPRLPPPPHHPSPPPPPPLLSVGPGAAPRAARPSHGDVFWEEPDDGGSGSDGEDGDGAEAEAERQKATGRRSSFSSFPSPSLFSRLGAARRQEQREEEELRGEIELLLTPEEMAILDQNETPDITKISSPKWHPLHSYVLALQIPLMDRLLDSGVDINLLDKDGFTPLHKAVIGKKEAVISHLLRRGANPHVRDRDGATPLHYAVQVGALQTVKLLIKNRVDVNVADNDGWTPLHLAIQSRNRDIAKILLVNGADKTRRTKDGRTALDISLCFGRDFKSYDLAKLVKLVPANRKM >ORGLA06G0083100.1 pep chromosome:AGI1.1:6:6359623:6360799:1 gene:ORGLA06G0083100 transcript:ORGLA06G0083100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N-7) methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G24840) TAIR;Acc:AT5G24840] MASGDGANGGGGGGQGKLPRKRFYRARAHSNPLSDSHFPIPISPDEVDLSQHYPRYFPSGEGEARQGDAAVPRIRFADVGCGFGGLLVGLSTLFPDTLMIGMELRDKVTEYVKERILALRASNPGKYDNISVVRTNSMKYIPNYFRKAQLSKMFFLFPDPHFKEKNHRRRVISMQLLDEYAYVMEVGGIIYTITDVEELGEWMRSCLEKHPLFEAIPEEETKADPVVKLLSTATEEGQKVARNGGQTFQAIFRRISLQE >ORGLA06G0083000.1 pep chromosome:AGI1.1:6:6343094:6346953:-1 gene:ORGLA06G0083000 transcript:ORGLA06G0083000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYKHVGDDARGSSAGVVCCVDVDDDDVDALLCGEDAGELEREGEPAQGSSPSSSLSCAAAAAAAADDDDEDEDEHGVHGEVVQVTPGGEEHCYDYDYDVDVPVGAELVMPACSPPRTAVHRPGWSESVSWILKVRSVHGFQPATAYLAVSYMDRFMSSRSLPDHGWASQLLCVACLSLAAKMEESSAPPLLDLQIEGTRFIFEPRTIQRMELIVLVELDWRLRSVTPFAFVDFFACKVGSSGRSSRILALRACQIILSAIHELEFLNHCASSMAAAAVLFAVNESPAAMSHRSSVSSESAASWCIGLTEERISSCYQLLQRALNATARKRKRHPMILAACSSVTSSSSRSKRRKLDGHFGED >ORGLA06G0082900.1 pep chromosome:AGI1.1:6:6334243:6335812:1 gene:ORGLA06G0082900 transcript:ORGLA06G0082900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVVQHPGGRVERLYWATTAAEVMRANPGHYVALVTLRVAEEKRPPPPPPPPPARAERRGTGTGTVRVTRVKLLKPRDTLLLGQAYRLITVDEVTRALQAKKEEKSRRAAAQHHHLESKPAAAAGVRINSGGDDHTQLDENLDQHDRDGQRSSSATHSRHRQWRPSLHSIAEVSS >ORGLA06G0082800.1 pep chromosome:AGI1.1:6:6319345:6321997:-1 gene:ORGLA06G0082800 transcript:ORGLA06G0082800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSARLIRGLRAERGMSVLKLRVDGVRSAYDAAAAELEAAKRAREDAEQELGGNQVQVAIAVVSIHGLEATISHLQEEISQVRSDLDALKGKGDIERDEFISQMDQLNTKIRKFQQMVSVELNGKKCSELSSGEGQQVTDWSXVIELEGSFEELNGNVSNADSERSLFEEQYEKDDHDKVTGKECHRKGFTFLRSTGKFESSDEGSTFSDDETILELLPLGPSTSRILVASTSVNNGQNIYASDQPLSTLPPLPDLEIPQRKGPLCIDGDANESDNDDTATTSSQGSYIELHTIQDEYVIGGPSWEAMIAPITGLALEEGEELMIEGQILLANDQKANRLITLFDEEERAMVRMLAALGQPLLTMGTFPTSRSFLLNLPLIFVCKEDTECSICKSTLATKYRCARLPCQHVFHMQCIL >ORGLA06G0082700.1 pep chromosome:AGI1.1:6:6317606:6318852:-1 gene:ORGLA06G0082700 transcript:ORGLA06G0082700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGFSSGDAERGLRGRGGGRERTSARVRGTGGDSAAVADGDGNRHRGVAGKGGGSLYEGRKGLERLRECGSLPSTTRRDVFRCSAAAALTGGDARLDGVNCPDARGPCALTTTAGATPPEWAERRPAVSVDYDRGQHEARAEVEGVGTAAFPARHRLRVEESRWQRDWKVLEAAARVLAIPRAEAGAVDAVLNCXAGRFARDNFPLLIRVRASSHALXYFMN >ORGLA06G0082600.1 pep chromosome:AGI1.1:6:6313496:6313750:1 gene:ORGLA06G0082600 transcript:ORGLA06G0082600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSWLPSCCSSTATQWPRPRPRAAACREGRHPPIPYGAHAPPPLWAVAPKCPRSRAPCSGLLCPLHHSTAPNAQTAGPRRR >ORGLA06G0082500.1 pep chromosome:AGI1.1:6:6308621:6311017:-1 gene:ORGLA06G0082500 transcript:ORGLA06G0082500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGDDDLAGGRSIRGGRPARTVPATVLDALPVGHPLGAAGEALGHLRARAAGSPGLLQAVARVPHGARRRRARHARRPPRPRRPQLRLRARARRGHVADAARVYSSALLDQRLLTRARRALDRALRSLPVTQHHRMWALLLRLASLPGCPVPTAIRVLRRHLQFDPAHAEHFIAFLVSAGRWREAADHLAAAVNDDCFVSAKGRTKRQLLLDLCHLLAQHPEEVAGLPVDAILRGSVRRFPDEAGALWTCLAGHYARVGLHGKARDVFEEGVATATTVKDFRLVFEAYLHFENAMIDVELGEHGDAEENTLGQGCWLANRDDGDMALARLERLLERRPELLNRVQLRQNPHDVQAWHARAKLFDEDPARKVATYVEAVKTVDPAKATGKPPPHTLWLAFAKMYEDRGLLDSAREVLRRATQASFKAADHLAAVWCEWAEMELRQHNANRAIELIRQATSEPSLEVRRQVAAGVGETVVQTKLHRSLKLWCFYADLMETHGSPESTCAVYDRMHELGIITPLLVLRHASLLQEHKRFEDAFRVYERGVRTFKYPHGEAIXAAYLTKFVERHGASKPERVRDLFDDAVRHAPAEKKAAVYMQYARFEEDFGLAKRVLKVYEEAAAAVPGRDKLAVYEAYVARATALFGVLKAREIYHQAILHGGGLPDADARVLCLQFADLEIGLGEAHRARALYVYASGFTDPTAHPDFWRRWNDFEVRHGDECTFREMLRVKRTVAAANAGAGAVAQLAEQVLADDAMEQMDAAVAAPKRPLLACAAQQADHASGFDEQCKRRRLVYV >ORGLA06G0082400.1 pep chromosome:AGI1.1:6:6295352:6299887:1 gene:ORGLA06G0082400 transcript:ORGLA06G0082400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDHGDLDDVGSGWLEVKKKHRSSSKFTLQRSSGGSNDNKISNSSSQSQTNFGSDSAKWCDRLQCSPQITKVNVCVNEPGSVVTMVVHGEECAHADASNLKSELSVSASDHAIEKPKKLLVTEEISEPPNVGKIDCADSPTPHQSSNCSSGLAKSSGFYDHVKGPPMTDSIGVLSNTSVRFGDFDEVPGLALPADACRNNSSSQKHIHIGDATEFINECKDESELKTEPNSCKTIVETSPVIIQGAETPTEDESKVLDICEITDNRLDVSGSPSLDDTVSLSCANNDLEVPVKSSSVASTESQTVLHAPTSADFGGETAGSKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQINESILVLEEAISDFQELKSRAEHFDNTKKSTALPKEGMPMAVKADHRRPHALSWEVRRMTSSPHRQEILSSSLEAFQRIQLELARKQAGITTESFASSSSGEVSGSSSKLTTASATVGSISLKVESQVKLSDTEKKIAGERQSRDTIKSGRSPPQNMPSSSAKSRKGSLEPISEVEKHNFRKDKELPENKFDKLRSTDTAKRTTVHLEKEKQNAAPRKSLDAWKEKRNWEDILKSPVRSSRVSHSPGVGRKVPERARVLHDKLMSPEKKKRSALDMKKEAEEKHARALRIRSQLESERVQRLQRTSEKLNRVNEWQAVRSSKLREVMNARHQRSESRHEAYLAQVAKRAGDESTKVNEVRFITSLNEENKKFLLRQKLHDSEMRRAEKLLVIKTKQKEDIAREEAVLERRKILEAEKMQRLAEIQRKKEEAIIRREEERKASSAAREARAAEQQRRKEIRAKAQQEEAELLAQKLAEKLRESEQRRKYYLEQIRERASMDFRDQPSPFQRRFPSKDNQNRSSSANSGEDSQIISSANTAESGVKSFNSTQMKRRIKKIRQRLMALKHDFVEPLIGENTGIVHRSALGTAKAKLSRWLQDLQRLRQARKEGAASIGLIVSDMTKVLLVCSILCCNKDVY >ORGLA06G0082300.1 pep chromosome:AGI1.1:6:6291038:6292699:-1 gene:ORGLA06G0082300 transcript:ORGLA06G0082300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTNFVQNHHLQNSQFFLLPKRQLVTYALYALIPLALLHYLLFNPVATAKKPVVVVVQATDDASVIASSHHEHVKVNAKQLPVPPSDQGDEVFKKNAIAGEVAAAAACDYSDGEWVPDARPPLYNGTSCATIKDGQNCMAHGRPDTGYLHWRWRPRRCDLPAFSPEAFLGWLRGKHLAFVGDSLARNQAESLVCLLASRSTPELVHRDGEENRFRRWAFREHDATVSIFWSPFLVKAAEKAERAGVRHNNVFLDAFDERWMSGLGGLDAVVLSIGHWFLIPGIYHDAGEVVGCHDCAEFNHTETPFFAVFRQAVHRTLAEITRRHVLAAGAGTSKSKSKVVAFTTFSPAHFEGEWDKAGACNKTRPYKNGEKEAGYTEAEMRKTVVEEVAAADAAAAGGGGAGLRFAALDVTTLANLRPDGHPGPYMRGDPFAGGGGGGARVQNDCVHWCLPGAIDTFNEILLQTITR >ORGLA06G0082200.1 pep chromosome:AGI1.1:6:6284370:6285725:-1 gene:ORGLA06G0082200 transcript:ORGLA06G0082200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREPPRHGHGATRLSCPTPATTTTTTSNLCSFLNRAVSAWLVCAVLSLFLFNLLWFYPVDAPWNVVISGEGKRPSMAMAGGGGEEARCDYSEGRWVAAPGRARRYNGTACNVKESERCVGNGRPDTGYLDWRWQPASCELPAFDVAAFVGAARGKHVAFVGDSMARNQAESLVCLLATAFPYTLVYRDPHPRERKFWRWAFPAHNVTVSVYWAPFLARSTGKTDDYRKPRNDVYLGALAERWSADADTMDVVVISQGHWFWIPTVYHDAATGEVVGMHNVTGLKNTGDIGLFAPYRRTLRMALERLVGSGAGNRTRARTVVVATFSPSHFEKAWDDPTTCARTRPYDDGEKEVGADERELRSIAMEEVAAAAARRGAAAGGGESRVEVLDVTKLATMRPDGHPGVYMHRDPFARGVPKRLQVDCLHFCLPGPVDTFNEILLQLLISKRR >ORGLA06G0082100.1 pep chromosome:AGI1.1:6:6278735:6280108:-1 gene:ORGLA06G0082100 transcript:ORGLA06G0082100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYDEPPLRHHHGGVQPIRDIFTSLIYVALCLALLYLLCLTPRGSPENAVSAMLRHVTIASSGEGRGGGGGGGGGCDYSEGRWVAAAGHARRYNGTACDVKGSEDCARNGRPDTGYLDWRWRPASCELPAFDAAAFLAAARGRHVAFVGDSMARNQAESLVCLLAAAAFPYRLVYRDREPGTRKFWRWAFPTHGVTVSVYWAPFLVMAAGRPENFSVQHNLVYLDTLAERWSADADTMDVAVISTGHWFWNPTVYYHHNGGEVLGVHNLPELNHTEIGFFSPYREAIRMSLERLLGSAAAGRRGRTVVVTTFSPAHFEKEWDDPATCARTRPYEDGEKEVGGIEGELRSIAIEEAAAAARSRVEVLDVTRLATMRPDGHPGVYMHRDPFARGVPERLQSDCLHFCLPGQVDTFNEILLQLLIKKKQQ >ORGLA06G0082000.1 pep chromosome:AGI1.1:6:6272893:6274292:-1 gene:ORGLA06G0082000 transcript:ORGLA06G0082000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYQPLQHHHGGAGAGYFLPRTAVTWLAAACLSLALLHLLCCSPPGGHQAVFSPLLQYFNGNGTYSSNISSSGVEERSSSAASCDYSVGRWVRAPGHARRYNGTACNVKPEQDCVGNGRPETGYLDWRWQPASCELPAFDAAAFLAAARGRHVAFVGDSMARNQAESLHCLLAAAFPHELVAQDAERYKRQFTRWSFPSHGVTLSTYWAPFLVRSGGKPFNYTMPYNLVYLDELGNRWDADAGTMDVVVLTAGHWFWNPAVYHRRGEVVGVHAHPELNATEIGFTSPYREAFRRALERLGSDGRRRTVVLGTFAPPHFDGKPIFDPTACTRTEPYRDGEKEVGSIEREMRSIVFEEAAAAAAAAATMRVEVEDVTRLATMRPDGHPGVYMHRDPFAGGGARPERMQTDCLHSCLPGPVDTFNEILLQILSRQR >ORGLA06G0081900.1 pep chromosome:AGI1.1:6:6268493:6269263:-1 gene:ORGLA06G0081900 transcript:ORGLA06G0081900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVEHSGSSLPKKLVTFALCAIFTLSLIYFSSPPLIISSTTNLLSQFQTRARARTTDLSTHLPGVAVWKQCDYSDGKWVWDGDHGGAAAAGGARYDSENCDMKMTYKCVINGKPDGGYLHWRWQPASCNLP >ORGLA06G0081800.1 pep chromosome:AGI1.1:6:6267572:6268231:-1 gene:ORGLA06G0081800 transcript:ORGLA06G0081800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEVVVLDALTEPWASDLAAMDVMVISAGHWFPHSAVYYDDGEIVGVHGRPDMNRTEMSAPSVYRKVLRRTLEHVINAAMADKLELVVVETIAPAHFDGRYSWNHRDACSRQRPYDGDVDGEAKVGDTEAELRKAVLEEVAAAAAAARRRRPGLRFEVLDVTRLAAMRPDAHPGVYIYKNAYGGGPVPETAASDCLHWCAPGPVDTFNDILMQMIAGG >ORGLA06G0081700.1 pep chromosome:AGI1.1:6:6250291:6252769:-1 gene:ORGLA06G0081700 transcript:ORGLA06G0081700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAAAGGMAPLPPSSSPPSCKAGGGGGSPRWSLLHGGGGEWGVVVRRNVVKSSLLLLLVFSTFFVFSVLRSSQTSLVPPPPAAAAGPGEPALAQSGHDVAGDDGGEHVAVAVNNVAAETQSTPDDISLPSTNSSAAAVPTTTNKAEQQQTGANMEEKCDMSMGKWVREPKGPVYTNTTCPTLPDFKNCQKHGKDPGHLYWRWQPHGCDLPRFSPDRFLAAVRGKRLAFIGDSLARNQMDSLLCLLSQAETPTEVYRDAHDKFQTWRFAAHEFTLMVMWTEFYVHAEPVVGADGKPTPSFDIHLDRLSANWTRRLPELDYAVISGGNWFNRPNYLWEGGRRVGCVKCGGAANLTDVGVPYAVRRVVRAAVEGIARCTGCKAGLVAFLRTFSPDHFEHGAWFSGGYCNRTRPLEEDEVSPDSAAWELRRVQREEVMRVKETAAAAAAASGNARRFEVLDVTKAMMLRADGHPGAAIDKRWQKNIVSDCLHWCMPGPIDMWNEMLLQRLTEISTLDQDASIFEAP >ORGLA06G0081600.1 pep chromosome:AGI1.1:6:6244624:6248572:-1 gene:ORGLA06G0081600 transcript:ORGLA06G0081600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTSVVSLSHGTGKWMLQQQHSEAVEEEKKKQPWAVGKNAALFTFFVVVLPTVMILAGGSHTAATTRLGWTMLGTFTARGAVQKRAEENNNSCDTSVGRWVRDPSGPAYTAATCPTLPGSKNCHKYGKDPGHLYWRWRPDGGCDHLPRSSPARFLAAVRGKRLAFIGDSLARNHMESLLCLLSQAEAPTKVSADDDGVREWRFPAHGFTLMAITTRFLARAEEVLGGDGRPTASFDVHLDAPDPVWASRRLRELDYAVFSTGNWFFRVNYFSEGGRRVTCSGCSGDADAGELADFGVAHAVRRVVRAALEATARCGDGDCKRGLVAFVRTYTPSHFEHGSWFDGGYCNRTRPLEEDEAPSWDQSIGWDVRRAQIEEVTSARKTTPATTTRFEVLDVTKAMMLRADGHPGRHYDKRSAGGGANDCLHWCIPGPIDMWNDVLLHKIAETASPPATNLR >ORGLA06G0081500.1 pep chromosome:AGI1.1:6:6239502:6241120:-1 gene:ORGLA06G0081500 transcript:ORGLA06G0081500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMGKWERCIGGRSKPMSRQRTQEALRRAPGDSEVDRKEKPWAARWKASLIATCLVALPALVFLAVGGGMPSAVTVLVRGTTPTSAGQGGGAGAARAMAECDVSRGRWVREPRGPSYTNVTCSTVADYVNCQKFGKDPGYLYWRWRPDGCELPRFSPATFLAAVRGKRLAFIGDSLARNHMESLLCLLSQAETPTDMHAGAFVDAFRRWRFPEHDFMLMAVWTEFLVHAVPVVAGRRTGPFDVHLDRINADWTRRLPELDYAVISNGNWFFRANYLWEGGRRVGCVDCGEPGLAHFPMAYAVGRVVGAALDAIAGCADCKRELVALVRTYTPDHFEHGSWFSGGYCNRTRPLEEEEVSSVAIAWELRAAQIEEVRKAREKATTTMRTRRRFGVVDVTPAMMARADGHPGEHHRRWRGRNANDCLHLCLPGPIDMWNDVLLRRLAELSPPSDWR >ORGLA06G0081400.1 pep chromosome:AGI1.1:6:6235774:6238840:1 gene:ORGLA06G0081400 transcript:ORGLA06G0081400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKWLPELQHYAPGVPIVLIGTKLDLREDKHYLLDHPSLVPVTTAQGEELRKHIGATCYIECSSKTQQNVKAVFDAAIKVVIKPPTKQRDRKKKKTRRGCSFFCKGVMSRRRLVCFK >ORGLA06G0081300.1 pep chromosome:AGI1.1:6:6224530:6230191:1 gene:ORGLA06G0081300 transcript:ORGLA06G0081300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHELASTSSPKRKPGRRPGRKPKPPPAPSPAAAPAPAAENGTHDPASGQKRKRGRKPKPPAAAAAAASSDGHHHPSSPLAAAVSASDSPDPASSPAPRGRGRKSRRGRPEPPSDAGAAPHAPPSPPRRGAKKGAAANAKKAAAEVPVVEPLRWEQVAKVMPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVEDDEFWKGVSPLEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDVENIGYVIPTPVINHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKAMGLKSDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLISQKYTGEKAHVKILRNSKVLEFNIKLATHKRLIPAHIKGRPPSYYIVAGFVFMVVSVPYLRSEYGKDYEYDAPVKLLDKHLHAMAQSPDEQLVVVSQVLVADINIGYEEIVNIQVLSFNGKPVKNLKHLATMVEDCNEEYLKFDMDYDQLVVLEAKTAKAATQDILTTHCIPSAMSEDLRT >ORGLA06G0081200.1 pep chromosome:AGI1.1:6:6207915:6208332:-1 gene:ORGLA06G0081200 transcript:ORGLA06G0081200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIFGKGEGLYNIFGAHELLIFGAVLASNLDQNEGLMTEARSMGHGTKGPIPKGISGKKESSPLHWKILPV >ORGLA06G0081100.1 pep chromosome:AGI1.1:6:6197447:6199367:-1 gene:ORGLA06G0081100 transcript:ORGLA06G0081100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQLSPVAVTHLLQHTLRSLCTSGDDSQWVYAVFWRILPRNYPPPKWDLPGGAYDRTRGNRRNWILAWEDGFCNFAATSAACGDGAAAAYAAAECEETKQVGVAGGGLQPELFFKMSHDIYNYGEGLIGKVAADHSHKWVFKEPQEQEINLISSWSNPADSHPRTWEAQFQSGIQTIALIAVREGVVQLGSMKKVAEDLSYVVALRRKFGYLESIPGVLLPHPSSAAAAFPGGPPDAAGWPAGMMVSPPVPPELYVDPYGGAAAGAGPPPSMQIMPSMSSLEALLSKLPSVVPAAAAPSPPPGSSSMPPTGAAAPSSAPPKEEAAEDDYVHCHGMDMATSSTNGGGESTGGAPLPSSYFVNVGVKPSEGF >ORGLA06G0081000.1 pep chromosome:AGI1.1:6:6194018:6194530:-1 gene:ORGLA06G0081000 transcript:ORGLA06G0081000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPPALAAPWPLGRIPRRAAAQPYPAPTRPPASPHRAVLGRYTASAVRGVLAAPRHGRLTAPTPPRMAWRPGWHPP >ORGLA06G0080900.1 pep chromosome:AGI1.1:6:6188510:6189483:-1 gene:ORGLA06G0080900 transcript:ORGLA06G0080900.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVNRIHLRNEAKTATLERLVPHLGTLEATRSLLHETKELATKNEHDLRNRIAELQESNFELSGSSKVQAAKISQLEKQIQTLENDKAELARQRDLALKEVEDRKIKSQTQFDVLVGKIKKLEGARDEVANVAVPIVQAMFLNNNGPSALDASEIFDKLRVAPDIYFKNIKEAGSMGASMALAMTKSLYPRVDIDAIDGFADGTSEEAALDLISNAQKAADKIAADVVERFQDTDLRPTGPDISDDEKTDTD >ORGLA06G0080800.1 pep chromosome:AGI1.1:6:6180610:6180933:-1 gene:ORGLA06G0080800 transcript:ORGLA06G0080800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPCHKGRSATAPKDHIPSLSDTIVDKVTHLLELSKGEELSIKVVGHNLGALLAVLAANELHACLATDTERDITDHHRLPLPIAVVSFNDPNIDNRVFIDHLQN >ORGLA06G0080700.1 pep chromosome:AGI1.1:6:6172451:6175922:-1 gene:ORGLA06G0080700 transcript:ORGLA06G0080700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in cullin neddylation protein [Source:UniProtKB/TrEMBL;Acc:I1Q0Z4] MHKLGRGSRDKVQQFMTITGASEKVALQALKASDWHLEGAFDFFYSQPQISLTNSRHLEDLYNRYKEPDVDMIMVEGVSQFCTDLQVDPQDIVMLVISWHMKAATMCEFTRQEFIGGLQSIGVDSIEKLREKLPSLRAEIKDDHKFREIYNFAFAWAREKGQKSLGLETALGMWQLLFAERHWPLIDHWCQFLQVRHNKAISRDTWSQLLEFVKTIDPQLSNYDEEGAWPYLIDEFVEYLTENGFVQLRK >ORGLA06G0080600.1 pep chromosome:AGI1.1:6:6167045:6168331:-1 gene:ORGLA06G0080600 transcript:ORGLA06G0080600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSRTERVGGGGGAMVEVAFASSAGSSAAAPRRLRGELVVRDAIPYAGVAPPPPALPQLQATTSGGGGGGKISPAVLFIIVILAVVFFISGLLHLLVRLLMKKQHRRGGGGGAAAGVSRSAAGDDAGGGGDAALQRQLQQLFHLHDSGLDQAFIDALPVFAYREIVVGGGGDGDKEPFDCAVCLCEFDAEDRLRLLPLCGHAFHLHCIDTWLLSNSTCPLCRGVLFVPGLTENNPMFDFDEGLEEGRLSEDCDNGFGYPGHKATEGMQTPGTEKRVFPVRLGKFKNVGTQGAVEGGGIGNANGAVLRREEGESSSSSLDARRCFSMGTYQYVLGTSELRVSLQPDRIRNGGGGVTRARPTGLSSVNAEIMEGKKICARNKGESFSVSKIWQWSNLKGKLPTGSDECSEAGSLPWMKRGGIGDTSNM >ORGLA06G0080500.1 pep chromosome:AGI1.1:6:6159391:6159993:1 gene:ORGLA06G0080500 transcript:ORGLA06G0080500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAAAAGMDEADAAFFSRRGNRCCCFWGPWASSSYSRAGGPAAAAEEEWWHRVGGGGGERRRWWRRGVDALMKVREWSELVAGPRWKTFIRRFRRSPRHHHHGGGGGGGGGGRKLNYDPLSYALNFDEGHGGACSPEGDYAGYRDFSTRFVAPPPPAAASAKSSMDFGGRDAPPLFHHPPPQQPHPHPHPPSPSAARG >ORGLA06G0080400.1 pep chromosome:AGI1.1:6:6150479:6150712:-1 gene:ORGLA06G0080400 transcript:ORGLA06G0080400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYDHKSDCRVHQTSKKYMGSDGTFLREGIYEFNGKILSISPYSSSCLAFLTYLHCSPIFSYIRTLCMSPHQSCCI >ORGLA06G0080300.1 pep chromosome:AGI1.1:6:6139074:6141614:-1 gene:ORGLA06G0080300 transcript:ORGLA06G0080300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dehydroascorbate reductase 1 [Source:Projected from Arabidopsis thaliana (AT5G16710) TAIR;Acc:AT5G16710] MAVLLRTTTSATTATSGGSSSATALLATTFRRGGRRLLLLPATRGSAPRRAALLTVRASAEPLEVCAKASLTVPDRLGDCPFTQRVLLTIEEKHLPYDIKLVDLANKPDWFLKISPEGKVPIVKLEEQWVADSDVITQAIEEKYPEPSLATPPEKASVGSKIFSTFIGFLKSKDPNDGTEQALLSELTSFDSYLKDNGPFINGETISAADLSLAPKLYHMEIALGHYKNWSVPDSLSHVKKYMKTIFSMDSFVKTIALQEDVIAGWRPKVMG >ORGLA06G0080200.1 pep chromosome:AGI1.1:6:6124642:6125124:-1 gene:ORGLA06G0080200 transcript:ORGLA06G0080200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSSTISAVGVLGTSFLSTTIVDHLRGGRPWEPLPSTPSSSTLSAAGSSGATAATVIDLICAGELGSRHRRCCPRRGHLRAAPPLPRSGEEGHRRCLWRWRRVEGQLRAADGGCVGPRAPPPSAPSFIAGAADARHLSRLSAGRAEEERWKGRGKSDI >ORGLA06G0080100.1 pep chromosome:AGI1.1:6:6115341:6117778:1 gene:ORGLA06G0080100 transcript:ORGLA06G0080100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:I1Q0Y8] MITGADFYHVMTAMVPLYVAMILAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLIVLALLTLWSHLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARILITEQFPDTAGAIASIVVDADVVSLDGRRDMIETEAEVKEDGKIHVTVRRSNASRSDVYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFAAGDAFGVRTGATPRPSNYEEDAAAPNKAGSKYGQYPAPNPAMAAPPKPKKAANGQAKGEDGKDLHMFVWSSSASPVSDVFGNGAEYNDAAAVKEVRMAVASPRKADGVERDDFSFGNRGVSERDAEAGDEKSVAAAVSGEHGKPGLTPAPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIILKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYSVHPDILSTAVIFGMLIALPITLVYYILLGL >ORGLA06G0080000.1 pep chromosome:AGI1.1:6:6104343:6104483:1 gene:ORGLA06G0080000 transcript:ORGLA06G0080000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVPDKERQLEFYMETTRTTRIVSILVSLILLGQGDTYGYKYKAP >ORGLA06G0079900.1 pep chromosome:AGI1.1:6:6093881:6099202:1 gene:ORGLA06G0079900 transcript:ORGLA06G0079900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G66430) TAIR;Acc:AT1G66430] MALHAAPPPPPPFTAGRLPTTSRRPCFSAGRIFRCSLPAAAARPRNAAFLAPLRTSSAVCTKAVSNSDGTPGTSSSPHVVCFGELLIDFVPTVNGVSLAEASAFKKAPGGAPANVAVGIARLGGSSAFIGKVGDDEFGYMLADILKENNVNNQGLLFDAHARTALAFVTLRNDGEREFMFYRNPSADMLLEEKELDLDLIRKAKIFHHGSISLITEPCKTAHIAAAKAAKDAGVLISYDPNLRLPLWSSADDARDGILSIWETADVIKISEEEVSFLTKGEDPYDDSVIKKLMHPNLKLLLVTEGPEGCRYYSKEFNGRVGGLKVNAVDTTGAGDAFVAGILSQLSVDFSLLQDEGRLREALKFANVCGALTVTERGAIPALPTRQQVVDALTKVVA >ORGLA06G0079800.1 pep chromosome:AGI1.1:6:6083140:6083393:1 gene:ORGLA06G0079800 transcript:ORGLA06G0079800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQSTPNTIDIEATTYKPTYAKTRRRISTSGISTLAGHGFKEEGYPVVDYESDRQTDMSTTVR >ORGLA06G0079700.1 pep chromosome:AGI1.1:6:6071454:6080680:-1 gene:ORGLA06G0079700 transcript:ORGLA06G0079700.1 gene_biotype:protein_coding transcript_biotype:protein_coding EELPPEAPHRRLGRRWRLVARASSAGLQKGGGGGGGGGGGGAQHEHKHGSGISSWLSSVTGRPQTQPSPSPWPSPPFAADAVVEAEAAALASSVEVRRLEVEEEEEKARRESREESVRKREMEKEKQEAELEEYHMQLALEMSAREDPEATQIEVAKQISLGSCPLQSSPAEVVAFRYWSFSALSYDDKILDGFYDIFVIGDEPTLPTIPSLTELHQQPFSHASKTEAVLVNRAQDTKLVQLEQKALIMAVEVRSKTPEFVGHNLVQRLATLVSDYMGGPVIDPESFLSKYQNVSSSLRASIRSAVMPLGELTIGLARHRALLFKVLADSLAVPCRLVKGRQYTGSDDGALSIVKFNDGREYIVDLMSDPGTLIPSDGAGLGREFEDSLFADSHHVNKDDCNTQLGSSFSEVSSSMYGSFENESLEKVSTPSNFGHSDPYGITTGQTGSQGSAVSGSFGELSISTSTSENLPVIHESRNTDHTMSTQSKDKSSAANNSSSSSPSSSEVGGAPAVRRMKVKDVSEYMISAAKENPQIAERIHAVLLENGVVPPPDLFSEESREQPKDLIVYDTSLFQTKDEMIKRMNELESTTNTDFCHGPSVPHPPGHELQTKAVPYRIPLDLKPIQGLGTYHPSDSRNSTGSSHMYEPSAPPQEDPLQLIKQMPVAAAAVATAAVVASSMVVAAAKSNSDIKLDVPVAAAATAAAVVATTAAVNKQYEYLEPGCQLLSLPSSSGANELIPKGRHDFWDNQLEIDHGQTSVPEKEKDLVEVPQEAERVSDKSVGTESSRSDIALDGVAEFEIQWEEITLGERVGLGSFGEVYKGEWHGTEVAVKKFLQQDISSDALDEFRTEFQIMKRLRHPNVVLFMGAVTRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERRRLRMALDVARGMNYLHNCSPVVVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELFTLLQPWEGMNPMQVVGAVGFQQRRLDIPAHVDPTIAEIIRRCWQTDPKMRPSFSEIMSSLKPLLKNTPANQPQRQRVQRADG >ORGLA06G0079600.1 pep chromosome:AGI1.1:6:6064636:6067508:-1 gene:ORGLA06G0079600 transcript:ORGLA06G0079600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGYYRGGGGARDHADEPDDFDEYDPTPYGGGYDLFITFGRPLPPSDETCYPCSAPSTSYDAPHYSADEPSPYAHHSKPQPAYGFRPQHEQQQQPSYASSGYRPQHEQQQSYGSSGYGSKPQPAYGFRPQAEEENTYGSGYGSGYGGGGRKQQEEESYGSGYGRKPQVEESYGSGYGTKPQQEESYGSGYGSGYGTKPQQESYGSGYGRKPQQEESYGSEYGSGYGRKPQAESYGSGYGSRPQQGGEEYGSGGYGRKAQEESYGSAGYGGRKTEEESYGGGSGYGYGKKAQEESEGTYGSGGYPKPKPYGQEETQGSYGYGYGEKPAYESGGYNKPSYGGGDEYQGGYGRKKHDDNDSDDEKKQRYQKHHHHRRQEYDD >ORGLA06G0079500.1 pep chromosome:AGI1.1:6:6062654:6063136:1 gene:ORGLA06G0079500 transcript:ORGLA06G0079500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAVAASRLVSSCGPCWLDVAVESSGAAPEEICRARSRLHFQDRARFQGKRRNITKLRGMAVEDDGLAVDGAHGRVARRQRQAKAVGMEAGSESAPVAWTKQAYG >ORGLA06G0079400.1 pep chromosome:AGI1.1:6:6057120:6059005:-1 gene:ORGLA06G0079400 transcript:ORGLA06G0079400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPAAAMTRAEEESRRRAATRLSRLLRGVVSGMLTGIFAVAGGLTGAVTGALAGRASDGGVLRGAGLGAFAGAVLSIEILEASRAYWCQDRSSSPGSLSMGDFVKQLIHSRFVQEQNEASGHITYRWQVGIADVVNGAVHEILGDVPSGEGLSKYSLMKLPYHVVIDHNNGSIGESLSCPICLQDVVAGETVRRLPKCSHTFHQPCVDKWLVGHGSCPVCRQHV >ORGLA06G0079300.1 pep chromosome:AGI1.1:6:6049125:6049511:-1 gene:ORGLA06G0079300 transcript:ORGLA06G0079300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAAPAIALVMVAYCAALWAAELDGSTAGIFLPDSGAVALLLTVAVLFFLAVALLQLQVAATGGDDDDAPSSVRVQCSRNHLGNVAVRRLAVVIYLHGYGRSLHYCRAVHGRVPVYVFFLHHEVHA >ORGLA06G0079200.1 pep chromosome:AGI1.1:6:6043780:6045588:-1 gene:ORGLA06G0079200 transcript:ORGLA06G0079200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G06540) TAIR;Acc:AT5G06540] MSATTTAPSPTPIPITTIAELRQHHSQLVRLGLASHPPHARRLLAFLARDPAHLPYAARLLAHHPDPRPALLNPLFASLPPRAAASLLALMLSLPLLPDHFTFPRLLPAAPLPLAAQLHALLLKLNLHSHAHSLNALLAAYLAAARPDLARVLFRTSGGGALDVVSWTTMVGGLCRLGLVDDAREVFDAMPARNLVSWNSMISGYVKADRFLDALEVFDEMRALGVEGNGFVATSALVACTGAGALGRGREIYRWVEQSGIEVDAKLATAVVDMYCKCGCVDEAWRVFDSLPARGLTTWNCMIGGFAVHGRCDDALELFHQMEAAGVAPDDVTLLNVLTACAHAGEVSEGRRYLNHIVSRHGIEPKGEHYGCMVDLFGRAGQLDEAKKVIDEMPMDPDLAVLGALLGACKIHGDVDLGEAIGWRVIDLDPDNSGRYVLLANLLAGAGRWDEVGKVRRLMDERNVSKEAGRSVIEVDGEACEFRCGNLRHPQAREIYAMAVDMVSRIRAEGYVPDTGEALHDVAEEDKEAALLCHSEKLAIAFGLLRARPRETLRITKNLRVCRDCHEATKYVSRVFGREIVVRDRSRFHHFKDGMCSCKDYW >ORGLA06G0079100.1 pep chromosome:AGI1.1:6:6041379:6043450:1 gene:ORGLA06G0079100 transcript:ORGLA06G0079100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDFQEEEAPPRQQQQPASAAAAAAGSGDEVLAAELERRGGAIPFLQAAIDVARRRSDLFRDPSAVSRVTSMASAARAVVEAEERKAREAKRKAEEAERKAAEAERKAKAPAEPKPESSAGKDSMEVDKKEEGNVRKPNAGNGLDLEKYSWIQQLPEVTITVPVPQGTKSRFVVCDIKKNHLKVGLKGQPPIIDGELFKPVKVDDCFWSIEDGKSLSILLTKQNQMEWWKSVVKGDPEVDTQKVEPENSKLADLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQDMLKKFMAQHPEMDFSNAKIA >ORGLA06G0079000.1 pep chromosome:AGI1.1:6:6037637:6039190:-1 gene:ORGLA06G0079000 transcript:ORGLA06G0079000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKGSARLSPRAVRQIHGHLVVGGIAAACLQHLRELLLSCVATFRGRMGYARKVFDGIPRPDLFMHNAMVRGYAHAGAPGDAFAVYRRMTEASRLRPDAFTFCYLLRACAGLPGSRAGRQVHGAVVKLGFLKDAYVRNALINMFAKCGDLRVASVLLDEAGEGDVVAWSAVIAGHAARGDMAAARKMFDECTHKDIVCWNVMLGAYAKHGEMENARELFDRAPEKDVVSWYTIITGYAAQGMLKHALEVFDEMRAAGWTPDEATIVSLLSCCANTGSLDAGRMIHHQLHLERRPWISIVVGNALVSMYAKCGDLHTAVEGFNTMKDTDVWTWNSVIGGLAFHGQAEQSVRFFKKMLEKRIHPNEISFLCVLGACSHAGLVEDGQKFFYLMKDRYGIEPNARHYSCIVDMLGRAGLLDEAYAIVSNMRCEPSAVVWRTLLGACRTHGNMTLGKLVREKLLNMNEDASADYVLLSGIYASSGEWLGVETERRSMDRRGIRKAAGYAQIDRKPAGLSAP >ORGLA06G0078900.1 pep chromosome:AGI1.1:6:6036154:6036964:1 gene:ORGLA06G0078900 transcript:ORGLA06G0078900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1Q0X6] MEGSEEHGETSKAPLSRGVSKGVSILDVILRFVAIIGTLASAIAMGTTNQTLPFFTQFIRFKAQYSDLPTLTFFVVANSIVCAYLILSLPLSIVHVIRSRAKYSRLILIFFDAAMLALVTAGASAAAAIVYLAHKGNARANWLAICQQFDSFCERISGSLIGSFAAMVVLVLLIFLSAIALARR >ORGLA06G0078800.1 pep chromosome:AGI1.1:6:6011853:6016470:1 gene:ORGLA06G0078800 transcript:ORGLA06G0078800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLADAVLMAAACFARRRPDRRYRATPLGAGAGADDDDDDEEAGRVAYPMVLVQIPMYNEREVYKLSIGAACGLSWPSDRLIVQVLDDSTDPTVKWYDRLRKTLVQQAYPAQADMDVHQSTKRKNKELMTRVPILECDSNHGLASIISSYLIAVGLVELECKSWGNKGKNVKYEVRNTRKGYKAGALKEGLLRDYVRQCNYVAIFDADFQPEPDFLLRTIPYLVRNPQIGLVQAHWEFVNTSECLMTRIQKMTLHYHFKVEQEGGSSTFAFFGFNGTAGVWRISALEEAGGWKDRTTVEDMDLAVRAGLKGWKFVYLADVKVKSELPSNLKTYRHQQHRWTCGAANLFRKVGAEILFTKEVPFWWKFYLLYSFFFVRKVVAHVVPFMLYCVVIPFSVLIPEVTVPVWGVVYVPTTITLLHAIRNTSSIHFIPFWILFENVMSFHRTKAMFIGLLELGGVNEWVVTEKLGNGSNTKPASQILERPPCRFWDRWTMSEILFSIFLFFCATYNLAYGGDYYFVYIYLQAIAFLVVGIGFCGTISSNS >ORGLA06G0078700.1 pep chromosome:AGI1.1:6:6008224:6008529:-1 gene:ORGLA06G0078700 transcript:ORGLA06G0078700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSFFCKIQTWQLVFLNLPAIHLHSPHIWRSLSCLQRFSPSALSCRHLRLQAQLVWRHRRAPLDLHASTVWWSLEVVDPKGKSYYSNEEDIRLVCVLYPS >ORGLA06G0078600.1 pep chromosome:AGI1.1:6:6002051:6006473:1 gene:ORGLA06G0078600 transcript:ORGLA06G0078600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:I1Q0X3] MSSAVVASSTTFLVALASSASRGGPRRGRVVGVAAPPALLYDGRAGRLALRAPPPPRPRPRRRDAGVVRRADDGENEAAVERAGEDDDEEEEFSSGAWQPPRSRRGGVGKVLKRRGTVPPVGRYGSGGDAARVRGAAAPAPAPTQDAASSKNGALLSGRDDDTPASRNGSVVTGADKPAAATPPVTITKLPAPDSPVILPSVDKPQPEFVIPDATAPAPPPPGSNPRSSAPLPKPDNSEFAEDKSAKVVESAPKPKVTRSSPIPAVEEETWDFKKYFDLNEPDAAEDGDDDDDWADSDASDSEIDQDDDSGPLAGENVMNVIVVAAECSPWCKTGGLGDVAGALPKALARRGHRVMVVVPRYGDYAEAQDVGIRKYYKAAGQDLEVKYFHAFIDGVDFVFIDAPLFRHRQDDIYGGNRQEIMKRMILFCKAAVEVPWHVPCGGVPYGDGNLVFLANDWHTALLPVYLKAYYRDNGMMQYTRSVLVIHNIAYQGRGPVDEFPYMELPEHYLDHFKLYDPVGGEHANIFGAGLKMADRVVTVSPGYLWELKTTEGGWGLHDIIRENDWKMNGIVNGIDYREWNPEVDVHLQSDGYANYTVASLDSGKPRCKAALQRELGLEVRDDVPLIGFIGRLDGQKGVDIIGDAMPWIAGQDVQLVLLGSGRRDLEVMLQRFEAQHNSKVRGWVGFSVKMAHRITAGADVLVMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVSAFDPFEDTGLGWTFDRAEPHKLIEALGHCLETYRKYKESWRGLQVRGMSQDLSWDHAAELYEEVLVKAKYQW >ORGLA06G0078500.1 pep chromosome:AGI1.1:6:5997306:5998369:1 gene:ORGLA06G0078500 transcript:ORGLA06G0078500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPRRVLGLLRRRLAAAIGSRQGRPGLPPLQTIQIPGLPPLTPLPTIQIPCLPPLQPLPTIQIPGLPQLQPLPTIQIPELPPLPPLLPSVSITPGSSGAPVQVPNSSQSALAVAAPITPQPTECLSSLMALMPCVEYATKTDVPAPPSVCCDGFKSLVEMAPICLCHGINGNIGKFMPAPIDLTRMMSLPATCGVTPPVEALTKCFTGPVPPLMPAPTPAAAPSPSPEPSA >ORGLA06G0078400.1 pep chromosome:AGI1.1:6:5995010:5995613:-1 gene:ORGLA06G0078400 transcript:ORGLA06G0078400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGHLLSVVTEPKVKGGFIDRQAFXMSNCSESNPPQLPVLRTCNQKVFDSLVTLIAKNTALQVRQATAPETNRARYADREEYSPEDSHLQIIESGSSDSWIDIRISQSLRYSRIAWMPRAIVQKAEPAIEPVKLLVHRLTGLTTGSSCLTAEPEPSYYMCILL >ORGLA06G0078300.1 pep chromosome:AGI1.1:6:5989652:5991216:1 gene:ORGLA06G0078300 transcript:ORGLA06G0078300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74460) TAIR;Acc:AT1G74460] MACKKQKSKLMAFSLAMVVVVVVLLGRCRGDVVQFIFGDSLSDVGNNDYLTKSLARAALPWYGIDFDTGMPNGRFCNGRTVADIVGDKMGLPRPPAFLDPSLDENVILKRGVNFASGGGGILNETSSLFIQRFSLYKQIELFQGTQEFMRRKVGKAAADKLFGEAYYVVAMGANDFINNYLLPVYSDSWTYNGDAFVRYMVTTLEAQLRLLHSLGARRLTFFGLGPMGCIPLQRILTSTGACQEPTNALARSFNEQAGAAVARLSSSLANATFRFGEAYDYFQDIIDRPAAHGFNNSRAPCCSLGRVRPTLTCTPLSTLCKDRSQYVFWDEYHPTDRANELIALETLRKLNITVSANNSTST >ORGLA06G0078200.1 pep chromosome:AGI1.1:6:5981631:5987592:1 gene:ORGLA06G0078200 transcript:ORGLA06G0078200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTTTSDLVLDNDNIGSNAGSAQEPLTTNGKTSGVRNRYKQTVKRGRKGSQISPSKTYPLRSSHSNVRVLRSASKKKNETPIVPTNDNTAVQRVAKKRKRSKPLRPAPSRVLRSTSEKKNKAHNELRNDGAGVQPAEKKRKVGRPPKGGTPKDDYLMIRKRVRYVLNRMNYEQSLIQAYASEGWKGQSLEKIRPEKELERAKVEILRCKSRIREAFRNLDSLLSEGKLDESMFDSAGEISSEDIFCAACGSKDVTLKNDIILCDGICDRGFHQYCLNPPLLAEDIPQGDEGWLCPACDCKIDCIDVLNELQGVKLSIHDSWEKVFPEAASFLNGSKQIDASDLPSDDSADNDYDPTLAQGHKVDGEKSSGEDGGEGLDSDDSSSEDSESSEKEKSKTSQNGRTVDDLGLPSEDSEDGDFDPAGPDSDKEQNDESNSDQSDESDFTSDSDDFCAEIAKSCGQDEISGPSSSQIRTVDRTDGSGFDGEPNAENSNLAFMETELEQDMVLPISSKRQVERLDYKKLYNEAYGKASSDSSDDEEWYGNSTPEKGNLEDSETDSLAESPQGGKGFSRRAPVRYHNNEHTPQNVRPGGSVSDQQTEVLCSNSNGSTAKNRHFGPAINQKLKAHFKEDPYPSRATKENLAQELGLTFNQVTKWFSSTRHYARVAATKKENNIENHTAENNNNTNTVDSIQLRGSNDIVSVDRNDMVSEERTGQSNLNEGTPLRSDTSCGQSVAVTPMVHPENQGNDSSSNVRTPNAKSAEKLIPGLENSDEARRKAVQRELRKMKTGR >ORGLA06G0078100.1 pep chromosome:AGI1.1:6:5972086:5975423:1 gene:ORGLA06G0078100 transcript:ORGLA06G0078100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galactosyltransferase1 [Source:Projected from Arabidopsis thaliana (AT1G26810) TAIR;Acc:AT1G26810] MKKWHGGFVIVSLFIILMLRYVILDSPLAEKSLQYVFQQNNTTPLHWLDVPNPPAVQNPQNISQVISTELLASNLSITRNFSDRELQSLHSWNHLKDLVSHAHILPDGVEAIKEAGVAWRELNAALEYDESAVSVNGSTHQKSKEKQCPYSIRRMNATRSGDRFVLKIPCGLIQGSSITIIGTPGGLLGSFKIELTGATVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGSEDRCPSPGSDAKDIAKVDELEKCGSMVGNDQKQAWATKLKSNVSSIQPAWKKNTEPKKYFPFRQGYLAIAILRVGAHGIHMTVDGKHVTSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLEILKAPPVPMDKPLDLFIGIFSTANNFKRRMAVRRTWMQYDAVRSGKAAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILLSLDRVNISHGLLYGRVNSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSQDIAKEVYRKHKRGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEEGYVVAHYQEPRDMMCLWDKFLKTKRGTCCKE >ORGLA06G0078000.1 pep chromosome:AGI1.1:6:5965890:5967230:1 gene:ORGLA06G0078000 transcript:ORGLA06G0078000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAPPLREQHEDNANDLHNDDHRLEPPLXVAVLGDVGEXRHQHGDRRADGHDGLLIERHDEHQHRXRCXAGPTTLTSHSTHSLRRTTNATNNSCIXNQQDWXTSEXQSXISTQNSVQSQVVAAVASIQCILVEHEHLTIARREHAAADDAFSSSLSTGLAVSGAGDEQYCGAGRGDAGRRRGGRLLATHHAWMTRRRAWLGTRSSARRSPLVTGHVDDAVSAVFPAPASMPA >ORGLA06G0077900.1 pep chromosome:AGI1.1:6:5958277:5959625:1 gene:ORGLA06G0077900 transcript:ORGLA06G0077900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHRHYPDPEVEDHFEDSTQDEPSDSLVTSTKESKGGRGPNVLMAPVPEKDRAVITPLNKDAWITNPMKKNVSSTITCLIKAHYPGTYRPLDKHGKQVPEDEAVVIAHYHNFPAHTRITILKEFLLRFKFADGREEDCARLFYRKAVERFSQALSHEKSEAKRSLEKHIENMRATKLQQDGDTPSHDDFDVDDPQLWKAFLPYWIEQKWWDMLCDLWSDENVKKVSAQNSKNRMEGGGVHHTCGSRSVAMHKQAMIIENAGTDVDDIDVFERTHRHAKGKGQYANKKAEQLAVCVLLILVSSFKFGNKKK >ORGLA06G0077800.1 pep chromosome:AGI1.1:6:5943781:5946938:-1 gene:ORGLA06G0077800 transcript:ORGLA06G0077800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 6 [Source:Projected from Arabidopsis thaliana (AT5G15250) TAIR;Acc:AT5G15250] MSLTTTTSRLPICRAQGGGGGGVAKEKRTTPPPAKITPPSSSSSEAAGLSRRRLLQSAGLGLGLGLTAARPARAKATAPEEVTSNRMSYSRFLEYLVAGAVKKVDFFENGTVAVAEVDDAAALSRVHRVKVQLPGLPAELVRKLRDKGVDFAAHPVEPSAGVMLLDLLVNFGFPLLFVASLLWRSATMNNPGGGPSLPFGLGKSKAKFQMEPNTGVTFDDVAGVDEAKQDFQEIVQFLKFPEKFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFDRAKASAPCLVFIDEIDAVGRQRGAGIGGGNDEREQTLNQLLTEMDGFGGGDGGVVVIAATNRPEILDAALLRPGRFDRRVSVGLPDVRGREEILRVHGANKRLDPGVSLAVVAMRTPGFSGADLANLMNEAAILAGRRGKDRITVSEIDDSIDRIVAGLEGTSMTDGKSKMLVAYHEIGHAVCATLTAGHGEVQKVTLIPRGQARGLTWFLPGEEDPALVSRQQIFAGIVGGLGGRAAEEVVFGEPEVTTGAAGDLQQVTRVARRMVTAFGMSEIGPWALAEPAAQGGDVVLRMLARSSMSERLAADIDAAVRTIVDEAYEVAKAHVRRNRAAIDQLVDVLMEQETLGGDEFRAILSEHVDIGKERRETAARTEQLATA >ORGLA06G0077700.1 pep chromosome:AGI1.1:6:5938338:5943156:1 gene:ORGLA06G0077700 transcript:ORGLA06G0077700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial editing factor 9 [Source:Projected from Arabidopsis thaliana (AT1G62260) TAIR;Acc:AT1G62260] MRHNRSLAALLRAGRYGAARRLFDALPARSVVTWNSLLAGLARRPNARAAREFFDAMPIRDAVSWNTLLAAYSASPHPDHLAAARRLFDEMPQRDVVTWNTLLGAYARRGLMDEARRLFDEMPQRNAASWNTMVTGFFAAGQVVKALDVFDAMPAKDSASLSTMVSGFTKNGMLHEAEELLTKRLSVTDMDKAVDAYNTLIVAYGQAGRFSDAKRLFDMIPKGQYQHNMLKRKGFERNVVSWNSMMICYIKAGDVCSARALFNEMPDKDLVSWNTMISGYTQASDMKEAEKLFWEMPDPDTVSWNLIIQGFMQKGEAEHARGFFDRMPERGTISWNTMISGYEKNGNYISSVKLFSKMLEVGEIPDRHTFSSVLAACASIPMLGLGAQIHQLVEKSFVPDTAISNALITMYSRCGALNDAEAIFKQMHTKKDLVSWNALIGCYEHHGRATKALQLFKEMRRAKVMPTHITFVSLLSACVNAGLVSEGRMVFDTMVHEYGIVARIEHYAALVNLIGRHGQLDDALEVINSMPMAPDRSVWGAFLGACTAKKNEPLAQMAAKELSTINPDSSAPYVLIHNLHAHEGKWGSAAVVREEMERQGVYKQPGYSWIDLEGKMHVFISGDTWHPNAQEIFSVLEDWQWHNPMSLEMNQLTQVKLIAKRNNQIMDKQATATKMHKATNIRHIYSKFKVDEQQNTSWWPYCTSLWPDSYLLEEEALFSSLSFPSFHPQPVYSTVMQSNVLQDELGVIFEDDVLKYWDEMEQSENKVEKSEKGLPLLYYGDENGAASKIMRDDVRSEEKALTFELVSQYFYMPITQAARELNVGLTLLKKKCRELGIPRWPHRKMKSLQTLINNVQVLQEASKANNEEQLRMLVEMLQEERRLLEQKPYVQLEEKTKRLRQACFKANYKKRRLLALEAGEP >ORGLA06G0077600.1 pep chromosome:AGI1.1:6:5931812:5935559:-1 gene:ORGLA06G0077600 transcript:ORGLA06G0077600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSSQKHGNDDVDTGAEAAMDQLAGRSSSSPAPEKMRRRPEKSGTVWTATAHIVALLIGSSVLAVAWTFAQLGWVAGPAVVVALSVVTYYSSALLADCYRDDDPDHLGGSAVHGEYIAAVRSYLGPKSVTFCGIIQYGVLWAAMVGYTITSSSSMSAVRRVNRFHRNWLAAGDGDGGGGGGGATGVRYMVVFGAFQLLLSQLPSLENVAWLSVIAVATSFGYSSICLGLCAAKWASHRGGVRGTLAGAAAGSPGEKVFNVLLAVGNIAISYIYSPVLFEIQDTVRTPPSESKTMKRASLYGLAMSAVFYLVLGASGYAAFGDDAPSNILTGAAFHEPFWLVDVANACVVVHFLGAYQVIAQPVFARLEAYVGGRWPESRLVTASYELRLRVPAWTSAPPTAVTLSPARMALRAAVIVATTAVAAMMPFFNAVLGFIAALGFWPLAVYLPVSMHIARVKIRRGEARWWALQGASAALLVVAVGMGVASVRDMVQRLNEAAPFKTTG >ORGLA06G0077500.1 pep chromosome:AGI1.1:6:5928311:5929048:-1 gene:ORGLA06G0077500 transcript:ORGLA06G0077500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAHDGSCCNQREVRGCGGADVRKEAVSAHGSLGGGSGDGKWEPKQRERWQRQRLGGRLGEQWSGGAQVGGAVVAAACGRTSGGSDGGGSGNQADLEMVQRRGGLGDRSDSAEARQHWIYRLRWCSASGKERTVVAVLLLILNGKDSGRRWWLSVSSKERTEAAALLLLAPNREDGDAVEGCGAVMLLLFDPNEEDDDEQRRGAHRPGRGKSSPVWAWEFDRLAYPP >ORGLA06G0077400.1 pep chromosome:AGI1.1:6:5924318:5927563:-1 gene:ORGLA06G0077400 transcript:ORGLA06G0077400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDLELGRPLSAAAAAAYPPPLRRSINDDDVDDDGKPKRTGTEWTASAHIVTAVVGSGVLSLAWSTAQLGWVAGPATLVVFAVITYYTSVLLADCYRAGGDQVSGKRNYTYMDAVESYLGGRQVWFCGLCQYVNLVGTAIGYTITASISAAAVYKSNCFHKNGHSADCRVFTTSYMVVFGVVQVFFSQLQSLHEVAWLSVLAAVMSFSYSAIAVGLSLAQTISGPTGMTTMSGTVIGVDVDLSHKIWQALQALGNIAFAYSYSLVLIEIQDTIRSPPAESKTMRKANALAMPVITAFYTLCGCLGYAAFGNAAPGNMLTGFGFYDPYWLVGLANACIFVHLVGAYQVMSQPVFTAVESWASSRWPRCGFFVTGGGGTRLISVNAFRLAWRTAYVVACTAVAAVVPFFNDVLGLLGAVGFWPLTVYFPVEMYIRRRKLERSSKRWVALQSLNAVCFVVTLASAVASVQGIAESMAHYVPFKSKL >ORGLA06G0077300.1 pep chromosome:AGI1.1:6:5916871:5919254:1 gene:ORGLA06G0077300 transcript:ORGLA06G0077300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNTPPKSGTSFLKTCFNGVNALSGVGILSMPYALSQGGWLSLAIFITIAAICFYTGILLQRCIDSSSLVKTYPDIGELAFGRKGRIAVAAFMYLELYLVAIDFLILEGDNLEKLFPNASFFSSFHRIAGGTRQGFVLLFALLVLPTTWFRSLDLLAYVSLGGVLASAILVASVLWVGAADGVGFREGGVAVRWGGVPTAMSLYAFCFSGHAVFPMIYTGMRNRRMFPHVLLICFIICTLAYGVMGVIGYLMYGGSLRSQVTLNLPARKLSSSIAIYTTLINPFTKFALLITPIAEAIEGVLGLGTATTGGKPAQYRAAAVSVSVRTALVVSTTAVALAVPFFAYVVALTGSFLSATATMLLPCACYLRISSRASGKLGVLEIVACVGIIVLGLGVIVIGTYSSLKQIVQSF >ORGLA06G0077200.1 pep chromosome:AGI1.1:6:5885885:5889831:-1 gene:ORGLA06G0077200 transcript:ORGLA06G0077200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAPSRTNSRVNYSNEIHDLSTVQSVSAVPSVYYPEKSFADIFPPNLLKKVISEVVATFLLVFVTCGAASIYGEDMKRISQLGQSVVGGLIVTVMIYATGHISGAHMNPAVTLSFAFFRHFPWIQVPFYWAAQFTGAMCAAFVLRAVLYPIEVLGTTTPTGPHWHALVIEIVVTFNMMFVTCAVATDSRAVGELAGLAVGSAVCITSIFAGPVSGGSMNPARTLAPAVASNVYTGLWIYFLGPVVGTLSGAWVYTYIRFEEAPAAAGGAAPQKLSSFKLRRLQSQSMAADEFDNV >ORGLA06G0077100.1 pep chromosome:AGI1.1:6:5880628:5880945:1 gene:ORGLA06G0077100 transcript:ORGLA06G0077100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETDEDEEADSPSSVRTGGGGLSVSGGNGDEGEELEIPLRKVLETVGSSRLGTTLAEELERILMPRDIVVAPPGRGGRQSGEEESAAVATLMKRRGGRSGGVRR >ORGLA06G0077000.1 pep chromosome:AGI1.1:6:5850521:5851297:1 gene:ORGLA06G0077000 transcript:ORGLA06G0077000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTAAVAAAAQPKEVKLYGAWGSAHAAMARNALELKGVRYEYVEEDLERKSETLLLRLNPAHAGKVPVLVVDDDGGGGGCPLAESLVILEYVDEVWPQAPRLLPPPSSPRARAAARFWARFFHGEVSPLSRAAAVLAPTPEERAEAVREMKARMAVMEAGFERDFPSSVVGGPFVHGATPGLLDVILGSCAAGTRAISAMAGEEVVEPDALPHVHASLAAFDERVAGFGTSVPHELLLARLLEREERRRAAASASA >ORGLA06G0076900.1 pep chromosome:AGI1.1:6:5843702:5846273:-1 gene:ORGLA06G0076900 transcript:ORGLA06G0076900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q0V6] MAGGRAFRPSAPRRAAFAALLTLLLLATLSFLLSSPPPTHASHRSSYLSSSPPSRLAAIRRHAADHAAVLAAYAAHARRLKEASAAQSLSFATMSSDLSALSSRLASHLSLPEDAVKPLEKEARDRIKLARLLAADAKEGFDTQSKIQKLSDTVFAVGEHLARARRAGRMSSRIAAGSTPKSLHCLAMRLLEARLAKPSAFADDPDPSPEFDDPSLYHYAVFSDNVLAVSVVVASAARAAADPSRHVFHVVTAPMYLPAFRVWFARRPPPLGVHVQLLAYSDFPFLNETSSPVLRQIEAGKRDVALLDYLRFYLPDMFPALQRVVLLEDDVVVQKDLAGLWHLDLDGKVNGAVEMCFGGFRRYSKYLNFTQAIVQERFDPGACAWAYGVNVYDLEAWRRDGCTELFHQYMEMNEDGVLWDPTSVLPAGLMTFYGNTKPLDKSWHVMGLGYNPSISPEVIAGAAVIHFNGNMKPWLDVALNQYKALWTKYVDTEMEFLTLCNFGL >ORGLA06G0076800.1 pep chromosome:AGI1.1:6:5834514:5839915:-1 gene:ORGLA06G0076800 transcript:ORGLA06G0076800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPNVAAKVKAAAAGGADGGSSKGSPSTPASLRVTKLVKGKAKVKAKASREKAAAPAAAAGKEAASLGDAGGNADASPAAPALRPAAVADADGASKGSPSTPASVKKTSRLNKVKAKVKAAAAAAASGSPSVGAAGGNADASPAAPAPRSATVADGSATVPEASTPKRRLKLKPKLAEANANANAVVATKNGVGADNNDGDAIKKRKREIAGERTSNVKERRKEEEGSKKEERLDSKGGGLIFMCNAQTKPECFQSRLFGYPRGKIRIVEKIRPGMRLFLYDFDLKLLYGVYKAVSKGGLDLVRDAFSGKFPAQQVKFKIDKDCLPLPESRFKDAIRENYSAKSKFNPELNSRQVHRLIALFESVSVPQPAPQKPLEEMHHYEGKSQPHQYEERRSSLPVMHVPPPKDLYRATRFDPHPVDYRIDHSLSNAHDGPHIHYQQTLVARESQRVPLDIEPRLVPHALEPRHGPSIPEIQHVPHAYYRHLAPSDVPYYRSQVDPLPNRVAARTVADPFLSRDYTAVPATRSDGAARVEELRQIGDIASLGARVEELYRPGELAARGSRVEELYRPGEIVARGSRVEELYRPGEVAAHSARMEDLYRPGEIPIRGARVEDLYRPGEISARAVRVEDLYRSDQRITHAVDLPLRAPYPTAHYEVPNPAYSDISQRYASTRLNAPGASVSSLYSFPGAPAYR >ORGLA06G0076700.1 pep chromosome:AGI1.1:6:5827674:5829326:-1 gene:ORGLA06G0076700 transcript:ORGLA06G0076700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSDELLGTFVPIAVYWLYSGLYIVLDAMGMDDYRLHPKGEEATKNVVSKWTVVKGVLVQQSFQIAVSLLLFTIIGDESGTVRKQPPALVIALQFIIAMFVMDTWQYFMHRYMHVNKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLILDTIGGALSFLIAGMTPKTAIFFFSFATIKTVDDHCGLWLPGNILHVFFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYTLENRKGGGFEARPIKLNVAEQSKTD >ORGLA06G0076600.1 pep chromosome:AGI1.1:6:5823838:5825400:1 gene:ORGLA06G0076600 transcript:ORGLA06G0076600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMHSPARNSPLSYLLRCLARKPNKGLKEIKVMFKSSEDEDENEVFHVKRSAETNEGIKLSSLSDIDQEVAAGKGEESGVGPGDTADGDVAHGCRPT >ORGLA06G0076500.1 pep chromosome:AGI1.1:6:5812257:5813456:1 gene:ORGLA06G0076500 transcript:ORGLA06G0076500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTGDGGGGGRRPNFPLQLLGKKEEQTCSTSQTAGAGGGGVVGANGSAAAAQGELQVRTKAAAPPKRTSTKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSLSIPSHLRLAGLAGPRFGGGARAADAWDRVVGLGFGGAADAPSSATSSSSSPLLLSFHSGSVGLDVSPPSASTSPAAADLSRKRRWEQEMQQQQQYQQQMAGYTQSQIPAGTVWMVPSSNAQAAGGGAPPGGGGESIWTFPQSGSGGGGGAATVYRGVPSGLHFMNFPATPMALLPGGQQLGLAGAGGGGEGHPGILAALNAYRAQAAQPDAGAAAQNGAQGSSQHRQHQHHGGAGGGGDERHESMSASDS >ORGLA06G0076400.1 pep chromosome:AGI1.1:6:5801583:5804538:-1 gene:ORGLA06G0076400 transcript:ORGLA06G0076400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:I1Q0V1] MGSGSFLKLLANNFDVLAGPLVSLAYPLYASVRAIETKSPVDDQQWLTYWVLYSFITLFELTFAPVIEWLPFWSYAKLFFNCWLVLPCFHGAAYVYDHFVRPMFVNRQIVNVWYVPRKENLSKPDDVLSAAERYIEQNGPEAFEKLISKSTRPSTSKRSTKRSILEEVESEHMARAERESWGENPFYDKNYRY >ORGLA06G0076300.1 pep chromosome:AGI1.1:6:5798163:5798680:1 gene:ORGLA06G0076300 transcript:ORGLA06G0076300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSRSSVSEEEINELISKLQSLLPSSRRRGANQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMAGMDHNSPGAEIIRSLLR >ORGLA06G0076200.1 pep chromosome:AGI1.1:6:5779915:5780649:-1 gene:ORGLA06G0076200 transcript:ORGLA06G0076200.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAVDCAWHSGRGSIVVTAQSASVHFSNMLTNARLDNEPLRSRGRDRIERDGDGSHGSGSPCLPRHGSLAIACSLRLAGDDGRSLKELVGGGNDVEVVNGEDERRTAKHDDRVEWEAVGVGSSMGKGRRVG >ORGLA06G0076100.1 pep chromosome:AGI1.1:6:5768050:5769037:1 gene:ORGLA06G0076100 transcript:ORGLA06G0076100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVKIGQWGGYGGSAQDITVTPIKLTGMTIRSGNAIDSISFSYSGIDGQEHVVGPWGGNGGHATTIMLGPTEHVIEVSGTHGKFGPVADVVTYLKIVTDITTYEFGVRSGTDFSVPLQGGAHVVGFFGRFGVLMDAIGIYTRP >ORGLA06G0076000.1 pep chromosome:AGI1.1:6:5754066:5764056:-1 gene:ORGLA06G0076000 transcript:ORGLA06G0076000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAMRLRGLLRPQLLRTHETGGALVVGLGEPGGSAVARRPPPLPFGDGRRRPSSRFYCSKGGVGSAEAAVGSGGGGSSSSSSEQEHARLGERDQKEWLSGERFVTGCRRRESPFLTKRERFRDQFLRRVVPWEKATLSWRSFPYYVDEDARQLLSDCVAAHLRHKDVALEYGSRLQSSGGRILLQSLSGTELYRERLVKALAHELRVPLLVLDSSVLAPYDFGEDCSESEEEDDHAESEDEGSVSEVEDEGDDDEEKSGESDDDDAIKSVEDLKKLVPCTLEEFAKRVASAQGSSSTSESSDTAESPEDGKRPLQKGDRVKYVGASVLVEADHRINLGQIPTQEGGTNAYTSINGRTLSNGQRGEVYEINGDQAAVIFDPSEDKLSDDKKDEASKEHLAKPAVCWVDTQDIELDHDMQAEDWHIAIEALREVLPSLQPAIVYFPDSSQWLSRAVPRSNRREFVEKVEEVFDQLTGSLVLICGQNITEAAPKEKEPKTLVFHNLARLSPLTSSLKRLVGGLKARKPSKSNDISKLFRNKFFIPLPKDDEQLRVFNNQIEEDRKIIISRHNLVEMHKVLEEHELSCEDLLHVKSEGIILTKQRAEKVIGWARSHYLSSVTCPSIKGDRLIIPRESLDLAIGRLKAQEASSRKSSEKIKILAKDEFERNFISAVVPPNEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALTTEAGANFISITGSNLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDSQNRMKILKILLAKENLESDFRFDELANATEGYSGSDLKNLCIAAAYRPVHELLEEEKGGVSGTKTSLRPLKLEDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGFGS >ORGLA06G0075900.1 pep chromosome:AGI1.1:6:5745171:5747740:-1 gene:ORGLA06G0075900 transcript:ORGLA06G0075900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLAMQSRAAVGVGAGPGVGRRGRAVIRVGKRPTAASLRVGGPAGPAAAKPLAPLYCLKASRGHDSLHNSVDEALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVAKILAEVLGYSFFDSDKLVEQAVGMPSVAQIFKEHSEAFFRDNESSVLRDLSSMRRLVVATGGGAVVRPVNWKYMKKGLSVWLDVPLDALARRIAQVGTASRPLLDQPSSDPYTAAFSKLSMLAEQRGDAYANADARVSLEEIADKQGHDDVSKLTPTDIAIEALLKIENFVTEHSTSSGPVGDLIVDSQNRRTKAL >ORGLA06G0075800.1 pep chromosome:AGI1.1:6:5742868:5743645:-1 gene:ORGLA06G0075800 transcript:ORGLA06G0075800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEVAALPTTINGTIHSLLDKKEPHEASGREAGEEIRRPTTWRPARPTLAPPELLQCRPSPTTAALSRPLPSRCRRCHLPRRRRRRLRRSLWRPRTHRVGECRIWQDAKLETSPAFSKTEVVVDEGVGGERGGLGMGETAGAEHRPERDARASLASDEADAMADCAEEAMGRPGRGGGAILPCHRSRLLPSRRSACPENKEEKSVIERGGRERDDVTA >ORGLA06G0075700.1 pep chromosome:AGI1.1:6:5739563:5741653:-1 gene:ORGLA06G0075700 transcript:ORGLA06G0075700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSALPPRHTQAPAPSAAASATACLLRRRRGRLRVSLCYCRASTEPLVFASPSSPSLLRSSVAVPVPSSPRHANRGPGDGGGLLVVTVAASAVVLSACFVFLSAMRSMLECKKAAESLEKSFGSAREKLPETMASVRLVGREICDLAVDLSNLSQELRKGVQSSMSVVHTADAQLHQLTTSAPQGNQRVTSNRKRAAGEPLLASTVRELRELIAELHSGFGVAVSIAGLLTWASNFVSKRPKNRS >ORGLA06G0075600.1 pep chromosome:AGI1.1:6:5730985:5734136:-1 gene:ORGLA06G0075600 transcript:ORGLA06G0075600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRLLLLLALLLCRLAAVLPTSEVEALQGFMAGFAGSNAAFQSWDASAPNPCTWFHVTCGPGNQVIRLDLGNQSLSGELKPDIWQLQALQSLELYGNSISGKIPSELGRLASLQTLDLYLNNFTGEIPYELGNLSKLSNLRLNNNSLSGAIPMSLTTIQNLEVLDLSHNNLSGIIPTNGSFSHFTPISFSNNPRTFANSSDSPSNNSGAAVPSGRSSASSIGTIAGGAAAGAAMLFAAPIVVFAWWWRRKPHVQFFDLLEEETPEVHLGQLRRFTLRELQVATDNFSQTNLLGRGGFGKVYKGRLLDGSLIAIKRLNEDRIGTGERQFLMEVEIISMAVHQNLLRLQGYCMTPTERLLVYPYMENKSLETRLRECSDSQQPLDWPTRRKIALGSARGISYLHEGCDPKIIHRDVKAANILLDEKLEAVVGDFGLARIMDYKVSHVVTGVMGTLGHIPMEYLTAGRTSDKTDVFGYGIMLFELISGKRGFDLVGLANEENARVHDWVSHALLNLPLFCRICLFSSYYILSVILTTSHALHLTL >ORGLA06G0075500.1 pep chromosome:AGI1.1:6:5728507:5729685:1 gene:ORGLA06G0075500 transcript:ORGLA06G0075500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSTTSGRNMISLLLQPAYRHPTAAISPLSSLRRLLLFSTTAAPVSPEPFAVEDYLVATWGLTGAQAHKASKKLSHLRSPSKPDAVLAFLSDLGLPPRKIAAVAAADPRFLCADVESNLARRVDELGSLGLSRSQIARLVPLALTCFRSSSVGTNLGFWLQIVGSFDKILKALRMNSSLLGSDLEKMVKPNLELLKQCGMSDVASFPLNTSRLFTANPNYLRDAVARVEELGLDRSSRMFRHGLIAVALTSKESVARKIQLMEELGFSRDELLMIIRKAPQLVASSEEKIRQAAEFLKRDVGLEGWYIAHRPVLFLYSLERRLLPRHHLLKVLRMKGLLDCELDYYNTAAMSERKFVRKFVDPYKCHIPGLADAYTSSCAGETANGVASLLGV >ORGLA06G0075400.1 pep chromosome:AGI1.1:6:5725667:5726881:-1 gene:ORGLA06G0075400 transcript:ORGLA06G0075400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIQKHLLLLSLPPCATASTLLSLRHHGLFSLTRFSAAAAAAKSAGHFAVEEYLVATCHLTPDQATKASKSISHLKSPSRPDAVVAFLAGLGLSAADIAAAVAYDPRLLCAEVDRTLAPRLAELAGLGLSPSQIARLVLVDPTRFRRPTVISKLQYYVPLFGSFETLLQALKNNSYLLSSDLEKVVKPNVALLRECGLGACDIAKLCIPLPRLLTTSPERVRDMVAQAENVGVRRGSKMFRHAILAVAYISEEKIAAKMQFLMKTLKWSDAEARIAVSKLPVVLRSSEDKLSRVSEFLISEVGLEPAYIAYRPAMLTYSLERRLMPRHCVLKYLKDNGLIESDKSYYSAVQVTEEVFVEKYISPYEDTAPHLAEDYAAVSSGKIPTRSRFKGPKAGHASAQTA >ORGLA06G0075300.1 pep chromosome:AGI1.1:6:5721570:5724866:1 gene:ORGLA06G0075300 transcript:ORGLA06G0075300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLDWFYEVLASIGLWQKEAKILFLGLDNAGKTTLFYMLSQENLAVHQPTQHPTSEELSIGRIRFKAFDLGGHRIARRVWRDYYAQVDAVVYVVDAADRCRFADSKMELDALLSDDALAGVPFLVLGNKIDIPYAVPEQELCYYLGLTGLTTGKGNVNLAGTGVRPVEVFMCSVVRRMGYGDGFRWMSQYIK >ORGLA06G0075200.1 pep chromosome:AGI1.1:6:5712420:5713556:-1 gene:ORGLA06G0075200 transcript:ORGLA06G0075200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLRNQILLLRVRSSSTSLSPLSPLHRLFSSSTAAASIAAEPFAVEDYLVTTCGLTGDQARKAAKTLSRLRSPSKPDAAVAFLSGLGLSRSGIAAAVAADPRLLCADVEKNLAKRVAELGELGISRSQVARLIPLARQSFRSSSLATNLGFWLPVLGSFENVLMALKANGAILGSDVEKVVKPNLALLQQCGIHVCDFPHTRLPTVLCRPPNHVQEAVARIGEFGVPQYSPVFRNALVPFAYQNKEKLAAKIGVLEMFGWSEDDLSMTMRKGPVVMNMSVERLRKNVEFLTRDVKLETRYIARRPIMISYSLERRLLPRHRLLRFLSAKGLLDGELDFYSAVALTEKKFLDKFVHSCKCSIADPANAYASSFVGDVL >ORGLA06G0075100.1 pep chromosome:AGI1.1:6:5708517:5709698:-1 gene:ORGLA06G0075100 transcript:ORGLA06G0075100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLRERILSLLLQPASPRPIAGIFPPPCPLRRLLSTTAPVSPKPFAVDEYLVATCGLTRAQAAKASEKLSNLRSPSNPDAVLAFLSDLGLSRPDGIAAAVAADPRLLCADVGSSLARRVDELGGLGLSRSQIARLLPLAGRCFRSSSLATRLAFWHPVFGSFENILKALKMNAALLGSDLDKVAKPNLAFLAQCGINASDVTRTTLSLYSCRLFTVNPRFLQDAVARVEELGVARGWRTFHRVLSTVAFLSRETIASKMQLLDDLGFSHDDFLVIVRRAPQVLRLSDGRIRRSVEFLIRDVGLEQCYIAQRPTLLAYSLERRLLPRHCLLKVLKAKGLLNCDLSYYCIAAMSEDKFVQRFVDPFKDKIQGLADAYTSSCSGEANGVRSLVCL >ORGLA06G0075000.1 pep chromosome:AGI1.1:6:5702141:5706925:-1 gene:ORGLA06G0075000 transcript:ORGLA06G0075000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFRRRILTLLLQPASPRPIAGIFLPPCSLRRLLSTTAPVSPKPFAVEDYLVAGCGLTRAEAVKASAKISHLSSPSNPDAVIAFLSDLGLPRPKIAAAIAADPRLLCADVEKNLAKRVGELGDLGLSRSQIARLLPLAGWCFRSSSLATNLAFWLPVFGSFDKILKALRMNKNLLSPGVQKSAKPILAFLEQCGINASDVARSSTMYSSRLLTANPEYLRDAVARVEELGLDRSSRRFHRGLVAVALVSKETAARKIRLMEELGFSQDDLLVIMRKLPNFVALSEKKIRRAVEFLKRDVGLEGRYIVQRPVLLSYSLERRLLPRHCLIKVLRTKGLLNSELDYYSTAALSEKKFVSKFVHPYEDHIAGLADAYASGCSEEGNGVASLLSLQTEMDAREIGNGEDFLVXEKEGMXLLSNGISXLCWGXQCRRGLRPTGYNCGPTTFKAISKLQYLHPSLYLVVACDFTAAAAPLPPATAAMIHHLQRRLVSLLLLHPASPHPVAAISLGRLLSTTAPVSSKPFAAEDYLVAACGLTRAQAARASERISHLKSPSKPDAVLAFLAGLGIPRPDIATAVAADPRLLCADVEGNLAKRVAELGDLGIPRSQIARLVPLAKIPFRSSSLATNLAFWLPVFGSLDSILRALRKNSSLLSANLDKVVKPNLAFLKQCGINARDVASNPNLYSSRLFTSNPMKLRDAVARVEELGMVRGSRVFHRGLIAVAFLSKEAVATKTRLLVELGFSQDDVSVIFRKMPSFLTASEKRIRRAVGFLKGDVGLEERYIARRPVLLLYSLERRLLPRYYLLKVLRTKGLLDCKLCYYSTAALGEKKFIERFVHPYEDHIAGLADAYGSICSGKVANGVAPLLGL >ORGLA06G0074900.1 pep chromosome:AGI1.1:6:5699234:5700245:-1 gene:ORGLA06G0074900 transcript:ORGLA06G0074900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XFTSGGASFLASSGHRASPPPRTPIPSSPSTSAASSPAPPNPSPSRTTSWSPAAXREPARRRSPGSSPTSGPPPSPTPSSPSSPASASRAPTSPPPSPPTQGCCAPVWTGRWMPASPSSAASASPAPRSRALSRSLAADSASSLLGGCQDELWAIKPGTRLFALAVVKFAILSQEKITKKSGLFKKLGWSQEDLSLAAKNMPSILAMGEKRLRQRMKFLTEDVGLEIPYIAQRPALMFYSIERRLLPRHCLINVLKRNGLLKINYDFYSTALISNEKFLDKFVHPYVESVPGIGDAYASSCAGCGVDQLKLLSKNKIMC >ORGLA06G0074800.1 pep chromosome:AGI1.1:6:5693899:5695077:-1 gene:ORGLA06G0074800 transcript:ORGLA06G0074800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLRRSFLSRLLHPPCPTPANPLALHRLLSSAAAAAPIPPEPFAVEDYLVDSCGLTRARAKKASGKLSHLRSPSNPDAVLAFLSGLGLSRPDIAAVVVNDPLFICARVDKTLATRVAELADLGLSRSQIARLIPVVRSLFRCKSLAPRLAFLLTVFGSFDRCLEVIKTNYGVLSSNVEAVIKPNLAVLKECGISIADRPSYAFASRVISRPTKHLEEAVVLANEFGAKQGTRVFTNAVMIFGILGQEKLAKKLEFFKKLGWSQDDLSLAVRSMPHILAMKEERMRRGMKFLTEDVGLEIPYIARRPALTMYSIERRLLPRHCLINVLKGNGLLKADYDFYNISVISNDDFMEKFVQPYVESVPGLGDAYASSCTGCGVHQLKLLSKRKTKC >ORGLA06G0074700.1 pep chromosome:AGI1.1:6:5681327:5686615:-1 gene:ORGLA06G0074700 transcript:ORGLA06G0074700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDKDEKNVAVTEGSTNSEEKDQDEDLLRRTEMLNAKEAINSSNENSGNDSEAQIHVRDDSQKEFNEKMNKEGSSDPMEPTDSSQTEEDILAEEKSEEPVFDGTEVAEMEDLRRSSNQSAELDSDAHGSVLNERATAIKNFVKEKGAIAVSTFIRRLSGKKDENEFSVEDEKNEGSESISSGNIGSDAEPKSKEVQPKSEERTTWNPLNLIKIGRDFDTFMTGEAGHENVPDLTEQPTGKGRIIIYTKLGCEDCKMVRSFMRQKMLKYVEINIDIFPSRKMELENNTGSSTVPKVYFNDLLIGGLTELKKMEESGILDDRTDALFKDEPSSAAPLPPLPGEDDESGSGKIDELATIVRKMRESITLKDRFYKMRRFSSCFLGSEAVDFLSEDQYLERDEAVEFGRKLASKYFYRHVLDEDVFEDGNHLYRFLDNDPIIMSQCYNIPKGIIDVEPKPIVEVASRLRKLSQTMFEAYVSEDGKHVDYRSIQGCEEFKRYVRTTEELQRVETHELSREEKLAFFINLYNMMAIHALVTCGHPAGPLDRRKFFGDFKYVIGGCAYSMSAIQNGILRGNQRPPYNLAKPFGQKDQRSKVALPYAEPLVHFALVCGTKSGPALRCYSPGNIDKELVEAARDFLRNGGIVVDPEAKVASVSKILRWYSTDFGKNETEVLKHAANYLEPAESEQFLELLANTQLKVLYQPYDWSLNI >ORGLA06G0074600.1 pep chromosome:AGI1.1:6:5676757:5679969:1 gene:ORGLA06G0074600 transcript:ORGLA06G0074600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:I1Q0T3] MASGGGGMEAVEVRFRLDDGSDIGPSMHDQATTVTALKEFVLARWPQGKEIAPRTVNDVTIINAGQVLENNRTLAESRNLAAESPVGPITMHIVVRRSRPERRVKQPPKARPPERIGCGCTIL >ORGLA06G0074500.1 pep chromosome:AGI1.1:6:5658508:5659284:-1 gene:ORGLA06G0074500 transcript:ORGLA06G0074500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSAARLGDGLVGLQTLIAPDAAAAASRPASGYVATRTLKASPRAVGCPGGGEGDGEREEEEEGEEGGCWVMYGWRRRLRRLPPTIPSLRRAGNAPWALARARTADGRLVISTEPAPPRGRVVATKAEGRLVLDLVERGGSPPPPPPRRRSCFSIAHQEPVSPAAAAAACDDDDYGVEEASAAERASARRVIPIIAGAPAPAMLSAVGYAFSPPLSLHPAVAPLPPLVCSEGCYEDVIRASSSLPKMPLILPRMVH >ORGLA06G0074400.1 pep chromosome:AGI1.1:6:5640621:5650857:-1 gene:ORGLA06G0074400 transcript:ORGLA06G0074400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAWRFNGTNGGADLEHKVQESEPPTPVSVMRMVKNRANVEDEETLSSVAQCIEQLRQGSSSTQEKENSLKQLLDLLETRDTTFGAVGSHAQAVPILVSLLRSGSSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLALLRSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKVSLKNESLVDGLLTGALKNLSKNTDGFWSATVQCGGVDILIKLVASGQANTLANACNLLGALMMEDSSVCSKVLSGETTKQLLKLLGPGNETYIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNSESISASDPLVVEKTLMKQFKPKAPFLVQERVIEALASLYSNPVLCRTLADSDAKRLLVGLITMAGTEVQDDLTKSLFALCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILNSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLIDVQTDKILMAASSCLAAIFLSIKQNKDVAAIGRDALAPLVSLANSTVLEVAEQATRALANLFLDHELSLQVSFEEIIFPITHVLREGSIDGRTHAAAAIARLLQCRPINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSKPKVSSGHTKAPWTVLAENPHTILPLVSCVADAAPSLQDKAIEVLSRLCSDQHDIVGGLVSEIPGCISSVARRVIGSNMLKVKVGGCALLVCAAKEHCQKQIEILSDSSLYIQLIHSLVSMIHMTNLPSENGSGENISDIKISRHSKENNNSDETVCRTAVISGNMIPLWLLAVFARHDSKTRAEILEAGAVEMLMEKISQNAFLYVGEEDSTAWVCALLLALLFQEREINRSNAALHSIPVLSNLLRSDEQAYRYFAAQALASLVCNGSRGTLLAVANSGAATGLISLLGCAEVDIADLLELSEEFMLVPNPDQITLERLFRVDDIRVGATSRKSIPLLVDLLKPIPERPGAPFLALGLLTQLAIDCPPNMMLMAEAGILEALTKYLSLSPQDATEEATTDLLGILFSCAEIRHNEAALGTVNQLVAVLRLGGRNSRYSAAKALESLFIADHVRNSESARQAIQPLVEILSTGMEREQHAATSALVRLLSDNPSRALTVADVEMNAVDVLCRILSSDSSAELKGDAAELCCVLFANTRIRSTSAAARCVEPLVALLVCEANPAQLSVVRALDRLLDDEQLAELVAAHGAVIPLVGLLFGKNYTLHEAVARALVKLGKDRPGCKLEMVKAGVIESILDILHDAPDFLCIALAEMLRILTNNASIAKGPSAAKVVQPLFSLLSKADIGPEGQYSTLQVLVNILEHPECRADYNLTPRQTIEPVISLLNSSPPAVQQLAAELLSHLILEENLQKDTITELAIPPLIQVLSSGLPNLQQRAIKALANLALAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEEAAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWGACAAEIFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNPPRLTKIVSTGATPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGPNRNLEIEFQWSNK >ORGLA06G0074300.1 pep chromosome:AGI1.1:6:5634625:5636038:-1 gene:ORGLA06G0074300 transcript:ORGLA06G0074300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLGKRHRNNSSMRRTTSMSGFAVAEEEEQQGRQPPARAARGGGGGGSAAPSPGWGAMQRRHSGDFAVAETAAFLKACGICNRRLGPGRDTFIYMGEVAFCSHECRQQQMNLDELNEKKCFQRESGGGSDKSGNSGAVAAA >ORGLA06G0074200.1 pep chromosome:AGI1.1:6:5613878:5617567:1 gene:ORGLA06G0074200 transcript:ORGLA06G0074200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKRIENIPNRQVTFSKRRNGLIKKAYELSVLCDIDIALLMFSPSGRLSHFSGRRRIEDVLTRYINLPESDRGGTIQNREYLINMLTQLKCESDVTEDLTNTSSKAPVNSNIEELQQEIRRCQHQMQLTEEQLRMFEPDPARSASMEDVEASEKFIAGILSRVEERKRYLLCSMGSFDVTASTSAMQHLYLPQQHQHGDITSNGFGSDEVASWVSEGMPPTTSSVASIFAGTSDSMMSFRDQVVYDTMRQDACVDHTVVPEMGMCHVDQQNQSDDWQAYTSVEFLNALIPPTPFPLDDEDTMGPMLASSPLLMPGIHDQQPPEEDMATAGCSQAPANNGNGLYAAEDIAPVKVG >ORGLA06G0074100.1 pep chromosome:AGI1.1:6:5611024:5612060:-1 gene:ORGLA06G0074100 transcript:ORGLA06G0074100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSKHTCGVRYGELQGLNKQETADRFGNEQVHKWRRSYDIPPPNGESLEMCAERAVAYFKEHVVPQLTAGKHVMIAAHGNSLRSIIMQLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPVGPSEASVYAYTRYKSDVKRK >ORGLA06G0074000.1 pep chromosome:AGI1.1:6:5605893:5606513:1 gene:ORGLA06G0074000 transcript:ORGLA06G0074000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKNTAAPAPEKKYKGVRLRQWGKXVAEIRLPSSRERVWLGSYDTPEKAARAFDAAFVFLRGAGAADAAGLNFADSPLPVVARTRDLREVYAFAVSHANRPPPVAGETAATALAAMAALEHNEVRENIAPSSPLSAVQVAAPPAGSFDWSQLMANSPPLYSPIVIGIHAYDDLAVWPTTQPVEEFSEEDNENEGATSDELWSFDV >ORGLA06G0073900.1 pep chromosome:AGI1.1:6:5602787:5603626:1 gene:ORGLA06G0073900 transcript:ORGLA06G0073900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLLRDMEAGVLQPAAPPAQEVAHGVFQLNTKVEALRYMAGALGTPRDTPSLRGRLRGTRAGIKRLATSTSQALRQAAAAADDDESVSSCSKLAMDFEAAVNEYQKIERRIAAVERQETAAAARRSPPPPPPGFNHINNNGDHTFPEQKQTQLAVLRDINLLDSEIELHEAIIAEREQGILEVQQEIADIHEIFRDLAVLVHDQGECIEIVTANIEMTEAATSQAEVQISKAAGIRGEEKEELLTGAGTENNSPSKCLLLAVLGLFLFIVGLVLIS >ORGLA06G0073800.1 pep chromosome:AGI1.1:6:5597080:5600327:-1 gene:ORGLA06G0073800 transcript:ORGLA06G0073800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNMFGKKGWDSNGMDTSGSVCRSSSDINYINQRARLKSASLNCVGSPPRKNNNATQYRMFVATWNVGGRTPNKRLNLQDFLQVEESPDIYVLGFQEIVPLTAGNVLVLEDNEPAARWLALIHQALNMPQETAVGDEPSPLTPPPSSSTTTSESSNGARTRRRDAVSRSASGNLFFHTPSLKMLSNSYRVDSALVKTCNCSPEHSSVRRRAAEVRESVYLADAPAPAGETAAPAADDDDAPTTEAQCEAGCGGGGGMSYCLIASKQMVGLFLSVWVRKELVEHVGHLRVDCVGRGIMGWLGNKGCIAISMTLHHTSLCFVCSHLASGEKEGDELRRNADVAEILKSAHFPRACRPPPAAARRVPERILDHDRMIWLGDLNYRMSLSYDETRTLLEDNDWDALLEKDQLLIEREAGRVFRGWNEGKICFAPTYKYTHNSDAYAGETAKSKKKRRTPAWCDRILWQGDGIEQLQYLRGESRFSDHRPVCGVFAVEVEGGGGGDGDGGGAAGKIMKGYYSLNARIGGDRSQCHQGDVS >ORGLA06G0073700.1 pep chromosome:AGI1.1:6:5593007:5595311:-1 gene:ORGLA06G0073700 transcript:ORGLA06G0073700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDQAAAKPPTTLTSAPLPPFAAARCSPAVMAAWPSPWLPLLLVGALLAFEAWLATPTCSGGSTAPAPAPAPAPGDLRVMMVSDLMLLGSDATYADRFFRNHVMSKLFAKSIETLRPDMIVVLGDISAMGFQLKESKWIDVIDQFKGILGQYSDLPLHIALGDKDVGGCANLDDSFVHHMAKHLPGLDSSGCGTFEIGNVSFVSLNSVALLCGNNPLRISVEKVIEKENNHFQQKMVNEAGHFSLGSIEREGFNWRQNSMESGSGPVVLLHFPLYKFSEGTISEPPVSSSLKERGADGRRSDQLHALPANSTQYVLQALKPRIVFSAHDCSFSDYTHYDGTREVAVPAMTWKTTGVPGFVISTFGRKGIMTVRYCLIVPEWYVMAGYSVFLFLTALSVRLSHWM >ORGLA06G0073600.1 pep chromosome:AGI1.1:6:5566017:5567108:-1 gene:ORGLA06G0073600 transcript:ORGLA06G0073600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDLYKYQLSSSSSSSSSDQELMKALEPFIRSASPTSTSTSTSTPLFYSSSSISTTTTTPFSYSSPLPQESYYLPASSSYAAIVPPPTTTTNTTTSFSELPPLPPSSSSFASPANAAAVGLAHLGPEQIQQIQVQFLMQQQLQQRGMAASASASASAAASYLGPRAQPMKQAGAAAAAAGGKMYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEDAALAYDKAAFRLRGDAARLNFPTLRRGGAHLAGPLHASIDAKLTAICHSLAAAPPASSKKAAAAAAHPDSPKGSASTTTTTSEGDESAISACSPPLPPPPPPPPAALPEMANLDFTEAPWDESDAFHLYKCPSWEIDWDSILS >ORGLA06G0073500.1 pep chromosome:AGI1.1:6:5541362:5543640:-1 gene:ORGLA06G0073500 transcript:ORGLA06G0073500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:I1Q0S2] MPGSSGRAPLFACRGAAAVSASSMLGGGGAAYQAAVVAHVAPVPSIRPCASWVVEAMRASSPTRPAAAAAAAVDVEYDAWTQRKHPSALGSFEQVAAAASGKRVVVFLDYDGTLSPIVADPDMAFMSDEMRAAVRDVAEHFPAAIVTGRCVDKVQSFVGLPELYYAGSHGMDIKGPSSNEEEDTKILLQPAREFLPVINKAYKALMEKTKSTPGARVENNKFCLSVHFRCVDEKRWNPLAEQVKAVLRDYPELKLTQGRKVLEIRPSIMWDKGKAVEFLLKSLGFDDDRRDVLPVYIGDDRTDEDAFKVLRKRGQGLGILVSKCAKETDASYSLQDPAEVHMVFDIXLYIYVNIQHDMFKLVFFYISXVNNNIHVQISHGVILAMAMCARKCXQIELXISLKFPGHGVPRSIGAVEASAIIVSDAPEGLISTLTTDHIYYMTVFPAKLALTSEEV >ORGLA06G0073400.1 pep chromosome:AGI1.1:6:5530175:5531182:1 gene:ORGLA06G0073400 transcript:ORGLA06G0073400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGARGTSGWGRWPRYHRRQGEGFRRPTAVHVARLHVGLLHRCPAGHSWIPQAGDPDGFHVTAGDHRVHGRHGGRDHAATAQGHPRHDALTTKKVSAFVVGAITLIVAPFAVPSASFTRDIGRAVAECAEHDGGHGRGEAEAAREVGDRRGRSGTRGGSEDAEVEEEEEREHREGGAARRGGERGEKVERERGGERQGDDVATLTCGAHVGPMLTQLSRRTKQG >ORGLA06G0073300.1 pep chromosome:AGI1.1:6:5528081:5528593:-1 gene:ORGLA06G0073300 transcript:ORGLA06G0073300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQIPIFFLLALAAGVQGETGGVGGGGGNVEYNCVYTVFVRTGSAWKGGTDSTIGVEFAGADGRGVRIADLERWGGLMGAGHDYYERGNLDVFSGRGPCLPAAPCWMNLTSDGAGAHHGWYCNYVEVTATGPHRGCAQRRFDVEQWLATDASPYRLTAVRDQCRGHAAA >ORGLA06G0073200.1 pep chromosome:AGI1.1:6:5524959:5527607:1 gene:ORGLA06G0073200 transcript:ORGLA06G0073200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:I1Q0R9] MATLTVPSAVPPVADDCDQLRKAFQGWGTNEALIISILAHRDAAQRRAIRRAYADTYGEELLRSITDEISGDFERAVILWTLDPAERDAVLANEVARKWHPGSGSRVLVEIACARGPAQLFAVRQAYHERFKRSLEEDVAAHATGDFRKLLVPLISAYRYEGPEVNTKLAHSEAKILHEKIQHKAYGDDEIIRILTTRSKAQLIATFNRYNDEYGHPINKDLKADPKDEFLSTLRAIIRCFCCPDRYFEKVIRLAIAGMGTDENSLTRIITTRAEVDLKLITEAYQKRNSVPLERAVAGDTSGDYERMLLALLGQEQ >ORGLA06G0073100.1 pep chromosome:AGI1.1:6:5517795:5521310:-1 gene:ORGLA06G0073100 transcript:ORGLA06G0073100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSLGGKAAHLVSDLATVILNPVSERESQRHPSHLPEATEVKENIYEDDDDDDSVKNSEIPNGPDTSSFRAFLMSFMSSSNSSSDSIEIIPEHNMNMEYPTLTPVGKGSNGRKGLFSRGKHSIGKIINKAGRIGGFRQKPSYNIDGETVQTEYDAPGLELKGSKESASHDKLPAMSEPSMLLSETMRTVLYTSLPVLVQGRNWMLVYSTWRHGISLSTLYRRSMLCAGYSLLIVGDRKGAVFGGLVEAPLQPLIKKKYQGTNNCFVFTNIAGRPVIYRPTGANNYFTFCSTDYLAMGGGGHFALYLDGDLLNGSSSTSETFNNPCLSRSREFEVKDVELWGFVNASKYDEMLTICRTEKQGIWNL >ORGLA06G0073000.1 pep chromosome:AGI1.1:6:5513908:5515029:1 gene:ORGLA06G0073000 transcript:ORGLA06G0073000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDENGLKKGPWTPEEDEKLMSYIQKHGHASWRVLPELAGLNRCGKSCRLRWTNYLKPDIKRGNFSREEEQTILQLHSILGNKWSAIAKHLPGRTDNEIKNFWNTHLRKKLIKMGIDPMTHRPRTDFFQSLSQLIALANLRQIIERQLWDGHIEGLQTVAVQAAKLEYMQSLLHSAVSIVTSPTTTTSSLNTFTTELEQTNHLCPPQVPSSSVPELAVQVPHSQMPSTSFDQEIGKTNLFSNNIVNGNEWCSMEADNSSPKSLLVPENSIPPLIDMPVQNFCNTISTPNCDGGNSMPLPSWSEILLDEELMGEFA >ORGLA06G0072900.1 pep chromosome:AGI1.1:6:5511596:5511944:1 gene:ORGLA06G0072900 transcript:ORGLA06G0072900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSILVWSNTQKSESPVLTWGKFLHTWTRLVRETRRNTLDDISKDLGRFGLEMEPTGKIVVESLSYQAVGSP >ORGLA06G0072800.1 pep chromosome:AGI1.1:6:5507083:5507352:1 gene:ORGLA06G0072800 transcript:ORGLA06G0072800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEETVLQRPFPAAGGDGEAATTVFLAAATATEEGRRRPVDPMIWGDERRMKRELVAWAKAVASMAMAANGGAGSSPSTTPPPSPSMR >ORGLA06G0072700.1 pep chromosome:AGI1.1:6:5497338:5499049:1 gene:ORGLA06G0072700 transcript:ORGLA06G0072700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWPALPLLLLAVAVAVAGAGDAAPVCTWPSAAEAIVGSPEACRSPLRRPLGVTEGDDAILARAVNLLHANKEDFAAVLFYASWCPFSQECRLRFEKLACIFPTIRHLAIEESTVRLRTRYRYGIHGYPTLFLINSTVRVRYHGPRTVKSLAAFYNDVSGINPSMDPAVGDDDIEPKRDCEQEKCLFWSARTPENILQPDTYLTLAASFVILRLLYLFYPKITAFVKRTWSRRTLFTCLEQGKHKFNRVYPSKQGNLHDGARHATAWASKSLASVSIGEPSTS >ORGLA06G0072600.1 pep chromosome:AGI1.1:6:5485033:5490439:-1 gene:ORGLA06G0072600 transcript:ORGLA06G0072600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPSSSTSGSPGAAAAAARPTMPYRSRFGDTTLTKVFVGGLAWETPSEGLRRHFEQYGEILEAVVIADRLTGRSKGYGFVTFREAEAARRAVQDPNPMIAGRRANCNIASLGPPRPAQPPRGRASPGAQFQAPPPAFQGPPYIARGTPPPPAQMMPQPQHHGPPATIYHPSQSWYWYPPDYQYQQGLMNSQVLQSYYAAALQAQAQAQLYGMAASPTAPSPYQYLGYMPAPAPAVTPTAVLPPAQQQITGPPPPFVQQPAQHVTAPPPFVHHPTAAAQIQGSFVPLPSLPHNFRLQLPPNAMSILPPTPTALQPADLQAAPAATGATNPNNTPTGA >ORGLA06G0072500.1 pep chromosome:AGI1.1:6:5481996:5483641:1 gene:ORGLA06G0072500 transcript:ORGLA06G0072500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q0R2] MCDSPSSSSCSSLSLALAMGERMRRAAHAMLFPFPCSGHINPTLKLAELLHSRGVHVTFVNTEHNHERLLRRRGGGGALRGREGFRFEAVPDGLRDDERAAPDSTVRLYLSLRRSCGAPLVEVARRVASGGGVPPVTCVVLSGLVSFALDVAEELGVPAFVLWGTSACGFACTLRLRQLRQRGYTPLKDESYLTNGYLDTPIDWIAGVPTVRLGDVSSFVRTLDPTSFALRVEEDEANSCARAQGLILNTFDDLESDVLDALRDEFPRVYTVGPLAADRANGGLSLWEEDAACMAWLDAQPAGSVLYVSFGSLTVMSPEELAELAWGLADTRRPFLWVIRPGLIAGAGAGDHDVVTNALPDGFVAETKGRCFIAEWCAQEEVLRHRAVGGFLTHSGWNSTTESICAGVPMICWPGFADQYINSRYVRDEWGIGLRLDEELRREQVAAHVEKLMGGGGGGGDRGKEMRRNAARWKAAAEAATAKGGSSYGGLDKLVEQLRLGQ >ORGLA06G0072400.1 pep chromosome:AGI1.1:6:5476630:5477187:1 gene:ORGLA06G0072400 transcript:ORGLA06G0072400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPATLPAAAARRPHALLVPFPSSGFINPMFHFARLLRSAGFVVTFVNTERNHALMLSRGRRRDGDGIRYEAIPDGLSPPERAGAQDYYGFGLLHAVRANGPGHLRGLIARLNTGRGGGAGDSPPPPVTCVVASELMSFALDVAAELGVAAYMLWGTSACGLSCGLAVRELRRRGYVPLKGTNK >ORGLA06G0072300.1 pep chromosome:AGI1.1:6:5462688:5463638:1 gene:ORGLA06G0072300 transcript:ORGLA06G0072300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLDMKVVAMVAALVVMSLAGVSMAARRVPALLKSHVGDGISYHGGAVLGGDIPVTLVWYGKFKPAQKAIVVDFLLSLTATPPNATTPSAAQWWGAIAAGYLSSNATNVTTAARVVLANQTSDEEYSLGKSLTLVEVFQLAAGVVPDRGDLVVVLTDRDVAVEGFCSARCGVHGSDAGAGYAYAWAGDAERQCPGQCAWPFAKPPYGPKGEAALVPPNGDVGADGVVATLAGVLAGAVTNPFGDGYYLGDKDAALEACSACAGAYGSDSYPGYAGKVLVDETTGGSYNAVGAHGRKYLLPAVYDPATSRCTTLV >ORGLA06G0072200.1 pep chromosome:AGI1.1:6:5454692:5455642:1 gene:ORGLA06G0072200 transcript:ORGLA06G0072200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCMMIPTIVLILVMAANALADDRRQLQLMXDPAAGDVLSYHGGVVLSGDIPVSIVWYGKFAPSQKDIVVDFVQSLTSTSSSSQRAATPSAAQWWSTLATVYLSNATTGGGGKPAAATRVVLSGQVSDEEYSLGKTLTLVQVFQLAAGAAPKRGAVVLVLTDPDVVVEGFCSVRCGVHGSDAGAGYAYAWVGNAERQCPGQCAWPFAAPPYGPQGAPNGDVGTDGMVVTLASTLAGAVTNPFGDAYYQGDKDAALEACTACAGVYGSGSYPGYAGKVLVDEANGGSYNAIGGGGKRFLLPAIYNPATTGCCSTTV >ORGLA06G0072100.1 pep chromosome:AGI1.1:6:5452261:5452518:-1 gene:ORGLA06G0072100 transcript:ORGLA06G0072100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRLSPRGEATRXSSWAMLDDEDRRVRQFATKSTIHDEPSLRAKAVKRNKRVSPKLEAASVEGLGDTVAPGGLSPLMGRPKEFT >ORGLA06G0072000.1 pep chromosome:AGI1.1:6:5443818:5444792:-1 gene:ORGLA06G0072000 transcript:ORGLA06G0072000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQSLLLAMMLVVAGLAVSAMADRKLMSLVKPQPNQLTYHNGAVLSGDIPVSILWYGRFTPAQKAVVTDFVLSLAAPLQAAPAPSVSQWWGSIHRLYLSKAVAVGKNGGAHGGGGGGRAKNARVVLSGQVSDEGCSLGKSLKLSQLPTLAARARPGKGGVALVLTAQDVAVEGFCMSRCGTHGPVSRAGAAYAWVGNSATQCPGQCAWPFHQPVYGPQAAPLVPPSGDVGMDGMVINVASMVAGAVTNPFGDGFYQGERGAALEAATACTGVYGKGAYPGYAGALLVDKATGASYNAHGAHGRKYLLPALFDPDTSACSTLV >ORGLA06G0071900.1 pep chromosome:AGI1.1:6:5441519:5442463:-1 gene:ORGLA06G0071900 transcript:ORGLA06G0071900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVTKALMRPLLCYIIVVAVAPAGCAAFNPRMLFLVKPDPIVLKDHHGVVLSGNVTVNVLYYGRFTPAQRAVVADFVRSASAAQHPRVPSVAAWWSTTSLYRGGGARLRLGMQVMDERMSLGRSLSLDNVTALARAAGHHRGAVTAVLTAPDVLVAPFCMSRCGVHGHGGGVGAHGRARYAYLWAGNPAQQCPGQCAWPFHQPVYGPQAPPLVPPNGDVGVDGMVISLAALLAGTVTNPFGDGYYQGDAGAGMEAATACAGVFGSGAFPGYPGKLLKDPVTGASYNAVGLAGRKYLLPALWDPTTSQCKTLV >ORGLA06G0071800.1 pep chromosome:AGI1.1:6:5435432:5439630:-1 gene:ORGLA06G0071800 transcript:ORGLA06G0071800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphotyrosyl phosphatase activator (PTPA) family protein [Source:Projected from Arabidopsis thaliana (AT4G08960) TAIR;Acc:AT4G08960] MSNPESNPPPPSATSCPPPGSAAHAGHAPLCRSCGAPTTTPAPPPWSASSDSPPPAYRPIRMPAINAPTNTAAIVLSPVPQPLPVPPASPPFAFQVPAKRITSPDDIARFHASVHGRHFLGFVAALSASVHGRKLSDPLPSPPSPVVSALLELISALSAFVASTPPLPHNSRYGNPAFRLWHEKLSDSANQLIAPIMATAGSPDLAGAEAELAPYLLDSFGNATRIDYGTGHETNFAAFLYCLARLGLITEADYPAVVLRVFAAYLDLMRTLQDTYLLEPAGSHGVWGLDDYHFLPFIFGAAQLIDHKYMKPKSIHNPDILDNFSKEYMYLACVAYVKKVKKGPFAEHSPMLDDISGVPHWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIKWED >ORGLA06G0071700.1 pep chromosome:AGI1.1:6:5423473:5425257:-1 gene:ORGLA06G0071700 transcript:ORGLA06G0071700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECSEHRCWDELLPDALGLIFRKLSLKDVLTVVPRVCKSWGRVVAGPYCWQEIDIQEWSQQQSKPDQLKRMVRMLVARSGGSFHRISVSGLPADPLFTFIGDHARSLKTMELPRSDISDSLVENVAPRLSNVTFLDISSCTKIGARALEAFGKHCKSLIGLRRVMHPTDVVGRASQHDEARAIACNMPKLRHLEIGYMLIATKAVVEIASQCHDLKFLDLRGCWNVDDKLLQESYPGLKVVGPYVDDCYENSFWEECSDDSDDSIYWELMDDDYYAAGSDDEGIWDDGQGLEGLEVRFYGGGFSESHAGFDWPPSP >ORGLA06G0071600.1 pep chromosome:AGI1.1:6:5418364:5421936:1 gene:ORGLA06G0071600 transcript:ORGLA06G0071600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHEVYGQEIPLDGEDVDMGAPGDEAAKMQELDEMKRRLKEMEEEANALREMQTKVAKEMQGLDPNASSSESKEEMDARSVYVGNVDYACTPEEVQQHFNSCGTVNRVTILTDKFGQPKGFAYVEFLEVEAVQEAVKLNESELHGRQIKVAPKRTNVPGMKQPRGGRGFGGHPYMRPYGAPFYNPYGYGYPRFRRPRRPYF >ORGLA06G0071500.1 pep chromosome:AGI1.1:6:5414666:5415549:-1 gene:ORGLA06G0071500 transcript:ORGLA06G0071500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVALKGRPLATLLRQLLAADAPAAATGRPVAAAPAASGKPVTAPAAATATNAASRRLYNTEGAPLRRYDVVDESGTDSGDEYDATDDGRRLTVPFFFSASDVLDPFGAPTSLGRLLALMEDAAVATAAAPGTNGLATAAARRGGWWVAKEDDDAVHLKVSMPGLGKEHVKVWAEQNSLVIKGEGEKDPEDDADAAPPRYTRRIELPADAFKMDKIKAEMKNGVLRVAVPKLKEEERKDVFQVNVE >ORGLA06G0071400.1 pep chromosome:AGI1.1:6:5409247:5412836:1 gene:ORGLA06G0071400 transcript:ORGLA06G0071400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G22460) TAIR;Acc:AT1G22460] MPLRRKVRGAAAAGRRAAVRWWLLSLAATGATVTATAALLAVALHVSSSAASGPASSSGVPYRLSKLDGAADDAGEKRWWLPAPSRGFTPCVAPSPAYKSPGPSRGYLLVLTNGGLNQMRAGPLFNISNFSDVFDEEYFIHSLANDVKVEKKLPKDLVKAPKFVRYFKSWSGIDYYHDEIYPLWEHRQVIRAAKSDSRLANNYLPPDIQKLRCRAFFQALRFAPPIEALGNLLVERMRSFGPYIALHLRYEKDMLAFSGCTHGLSQTESEELAMIRENTSYWKVKDIDPLDQRSHGYCPLTPKEVGMFLSALGYPSSTPVYIAAGEIYGGESHVVDLLSRFPIMMNKEKLASAEELRPFRQYASQMAALDYIVSVESDVFIPSYSGNMARAVGGHRRFLGHRKTIIPDRKALVRLFDKVDGGLLNEGERLSRRIIDIHRKRQGSPRKRKGPVSGTKGSDRFRSEEAFYENPLPDCLCLPESPASDASVVSI >ORGLA06G0071300.1 pep chromosome:AGI1.1:6:5406695:5407499:1 gene:ORGLA06G0071300 transcript:ORGLA06G0071300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTESGSPKICELRCYPTSNPTASHFHEWSSPKGIHITEQRTGEEEVALPRTPRVSIGGGLWACGRPGLLLFAAAIELASRCGHAGVAQRLHFVAERRRMTWGTRMPGITGCCRLNTADSRANRDADSATFLVTVWSHMSVTQCHQECHGKMSPNPNPVMDFFYGANIIDAVVQYYDTNDIDIIPLISPCTLSHLYERDGDKFVDTEGLFVQRFLK >ORGLA06G0071200.1 pep chromosome:AGI1.1:6:5376261:5382562:-1 gene:ORGLA06G0071200 transcript:ORGLA06G0071200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFYLLSLPLTVGMVVATLRYFAGPAVPLHVLATVGYAWLCSLSFIVLVPADISTTITGSQEGDVGFFWSWTYWSTFFLSWSIVPTLQGYEDAGDFTVKERLKTSIHKNLVYYKIIGSIGLVGVILIITMRHDWAGGIMGFAMACSNTFGLVTGAFLLGFGLSEIPKNIWKTADWTRRQKFLYHRIANMAGKFDNAHQEYCHAIAVVQATSKQMTKREPLRPFMDIIDDMLAQMLRDDPLFKPSGGKLGEDDMDYDTDENTMASLRRQLRRANEEYYRCKSKYTSYVMEALELEDTIKNYEQRDANEWKYVSGLRESRSCTLGSFLDFIEFIWRCILRKQLLKVLAVILGCISAAILLAEATLLPSDVDLSLFSVLTNVVGKQEVLVQVVAFIPLMYMCICTYYSLFRIGMMVVYSLTPRQTSSVSLLMICSMVARYAAPISYNFLNLIHLGGNSKTTFEKRMGNIDDVVPFFGRSFNRIYPLIMVVYTLLVAGNFFGYVLEFFGSWKRFRFWTEQEEDHTDGFDPSGVLILQKERCWIEQGHKVGELVAPLARNFTGIYKDVESGNVQQDEETAGMKATTLPSKKEGRLQSKYASNVALKYSSIREQNSSHQAVKQAQTETQSTSVVPETGNSETPSSVSKEPDSSAGIASRWTLMKTGFQNFKANMSSKKFLPLSLSSTQSSSSGSLDEIFEGLKRHSSNASVDYLDDDDGI >ORGLA06G0071100.1 pep chromosome:AGI1.1:6:5374732:5375277:1 gene:ORGLA06G0071100 transcript:ORGLA06G0071100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGKSKEADAARCRRHPKHRHAAGVCPFCLRDRLSRLSAEVASAASPSPSSASSSGSSSSLCSSTGEGSYSAASATQAPPVGRRARLGMLMRQEEQRETTATAATVLGAAGHDKKEVPPAEEEKKTARRSGFWARLQQQLHHGSWHRKADGCSLAHSKAVSEKAAAAAAAPAKRPPALF >ORGLA06G0071000.1 pep chromosome:AGI1.1:6:5362799:5371123:-1 gene:ORGLA06G0071000 transcript:ORGLA06G0071000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MPPAAEEFAVDDLDEFESRLDSFLNRFHADDLRRILLPDPDGKLHFPLVIDFAELLEFDPEVAHQLYDYPKDVLELFDAAAQRALDKFDAAARRADKRKAGDETMEKKFVHVRVNTSGSALECPEASPSIGKVRVKHRGTLLTLKGTVIRSGGVKMIEGERKYQCRKCKCRFTVHPELEAGNRITLPASCKSKSAKGCGGANFQLIEDSITCHDYQEIKIQENIQLLGVGSIPRSMPIILMDDLVDIVKAGDDVVVTGRLSAKWSPDIKDVRSNLDPMLIANFVRRTNELKSDLDIPVEIINKFEEFWAASRATPLKGRNSILKGICPQIYGLFTVKLAVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLNTRTTVFGATNPKGQYDPNESLSVNTTLSGPLLSRFDIVLVLLDTKNKKWDKIVSSHILAENTEEKKGKTSDPEVMWTLSMLRRYIHYVKQHFKPVLTKEAERVISSYYQRQRQSGTRNAARTTVRMLESLIRLAQAHARLMFRNCVTKLDAIAAILCIESSMTTSAIVDTAGNALHSNFTENPDQECILKCDSIAYLSKNIKYLTDEISN >ORGLA06G0070900.1 pep chromosome:AGI1.1:6:5360519:5361499:1 gene:ORGLA06G0070900 transcript:ORGLA06G0070900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLALVALLLVSCAVVAAAATKYTVGDTSGWAMGADYTTWASDKKFKMGDTLVFNYAGGAHSVDEVSAADYAVCTASNALQSDSSGTTTVTLKTAGKHYFICGIAGHCSNGMKLVVDVAAASPAPAPKAPSTTPTTPSTTPATPASPGTSSGLTPTTPATVLAPPAKQSAGAAGLRAGSWTMLGLAGLAAVQLGLF >ORGLA06G0070800.1 pep chromosome:AGI1.1:6:5355470:5357031:-1 gene:ORGLA06G0070800 transcript:ORGLA06G0070800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQANPRRRPPILETATPGRREGSPTKRRQHTEPNLPSEHAIEENVVRCLKRTCNEDLVDSSDGTRIRHTFSHHWRWINRYGYLASYADSNYSSSPSSLSQLSLTCDNGEIKVQRQLMMEDIPVVQNCYLISSGALRDSSATHSLSLDARFVDARACSL >ORGLA06G0070700.1 pep chromosome:AGI1.1:6:5332526:5333869:-1 gene:ORGLA06G0070700 transcript:ORGLA06G0070700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPRPRHRHHALPLALALAAPLLLLAAADGQPNESRDKNNGGGGGGFMEPSGGGGGMGSQSPSFSAPMVVLLVALIAAFFFIGFFSIYIRRCGGEASTGPTIPAAALAALSRQEQRSRRQRGLDPAVVESFPTMKYAEARELRDGGKDAVLECAVCLSEFDDDEELRLLPKCSHAFHPDCIGEWLAGHVTCPVCRCNLAPDAAAAEANVVSGEVDGEQQQQQQQQEEVVVAIDVDREGEEEDEERRREAMELERIGSQRRAVRSRSGRPLPLPRSHSTGHSLATTRLGDAGDLERFTLRLPEHVRREMVAAAGEESLRRTAVREGRVGGGARSARIGRSDRWPSFIARTFSSRVPFWSASRRVLDAAEAGADAAATTTTTTPTSTARTKRDKTAAAADGSVSSAKGSVRFDCLGGGGGGGGPSNRVVAFANDDEEDDDEKPIARQV >ORGLA06G0070600.1 pep chromosome:AGI1.1:6:5309902:5312527:1 gene:ORGLA06G0070600 transcript:ORGLA06G0070600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPAEIPPAAATAAEAPAVPRSNDPPAASDPSSPPPPPPPVAVAAATADPPPPAQPQGQKTVTWSEKLTSESPTYVAAATAEAAESSQYVSRGPASSSSKGAVEAMKETLSRWGKSVGETTKMVESLSRDTWQHFKTGPSFTEAAMGRLAQGTKVLAEGGYEKIFRQTFEILPEEQLKISYACYLSTSAGPVMGVMYISTAKIAFCSDNPLSYKAGNKTEWSYYKVVIPLHQLRAANPSVSKVNPAEKYIQVVSVEGHEFWFMGFLMYDKAVSSLQEAMNSAREMQP >ORGLA06G0070500.1 pep chromosome:AGI1.1:6:5305304:5306917:1 gene:ORGLA06G0070500 transcript:ORGLA06G0070500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLARRRLRRRAAVARPHRGRVVQGADGGGGGGGDAPRSSRAVNPVPPYGRRSALAPRRKEDFGDGGAFPEVHVAQYPLDMGRRGGDGDGEQRGSSGGVLSLTVDGSGGRVEFDAVVRQGENAGKTVYSSPGDVLPKINAAAADADDDEQAAVEETTARTSAALRAIVEKRLSAVQPSNTLASNHDPEFIKYTPARQTSAFNSGAAERIIRMGETQQDPLEPPKFKHKRVPAPAGSPPVPVLRSPPRPPSQKDHDDWKVPPSISSWKNPKGYSIPLDKRAALDGRGLHDVQVSDAFAALAEALYAAEQKAREAVETRAKVHTEMKMREKEKAEQHLLQLATKARAEMLGAAPPAPSERSKAAAERHAIREERRRERRLEARAAAAAASKKSAATRDRDRDVSERIALGMANTGGGGGEVTYDQRLFNQEKGMGSGFAGDDQYNVYSGRLFAAQPALSTLYKPSKHGEEDPDAYGDADEHLGKIAKTRRFVPDKAFTGAPASVAAGKRERPVEFDGPEMEEDPFHLDQFLTQMKKGKHQ >ORGLA06G0070400.1 pep chromosome:AGI1.1:6:5297097:5298802:1 gene:ORGLA06G0070400 transcript:ORGLA06G0070400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIDFAASILLCAEDNTAILDLGEESEEISWVVGVDASLGDLSMDFPLQSDDCIEALLGREEQQHIPMEGYLQRLLLQPDGLDLVAVRSDAIDWIWKVHELYKFGPLTAVLSVNYLDRFLSVFDLPQEEACMTQLLAVASLSLAAKMEETVVPHPLDLQVCDAKYVFETRTIKRMELAVLNALKWRMQAVTACSFIDYYLHKFNDDDTPSTSALSRSVDLILSTCKVAEFLVFRPSEIAASVALVALEEHETSMFERVATCYKNLKKERVLRCYEMIQDKIIMRNIMRQSAGSVFSIPKSPIGVLDAAACISQQSEDTFVGSPATNYESSASSKRRRICR >ORGLA06G0070300.1 pep chromosome:AGI1.1:6:5284504:5286843:-1 gene:ORGLA06G0070300 transcript:ORGLA06G0070300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVCTNAFATAIAAGRFPLPRGRSPPYAAAAVPALRSRRCLPTRGLLRLRCARGVDWTDPSFVAVAEKPDAGAEAWKALASAGGGGIEEEEDGPFEAINGDGGYSVEESVVLPPFEQSLVAAVADSVGDDALSQALSSKLDFKETSTFVMYGSGAFIAGWILSAVVSVIDSIPLFPKILQIVGLGYTIWFSTRYLLFKENRDELFVKVDDLKRKITGYGDE >ORGLA06G0070200.1 pep chromosome:AGI1.1:6:5259531:5275706:-1 gene:ORGLA06G0070200 transcript:ORGLA06G0070200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRGRWAWDVPGFEPPQPVVGAAAGMPLAPPTAMPRAPPTAMVARAAGADGAVVPVADRLDQLADSVQLAREDCLELRQEASDLLEYSNAKLGRVTRYLGFLADRTRKLDQAALETEARITPLIHEKKRLFNDLLTLKGNVKVFCRSRPLFEDEGSSVVEFPDDFTIRVNTGDESLTNPKKDYEFDRVYGPHIGQGELFHDVQPLVQSALDGYSVAIFAYGQSRSGKTHTLEGSSHDRGLYLRSFEELFDLSNSDTTSTSHFNFYITACELYNDQVRDLLSDSISPVPKVRMGVQESFVELVQEKVENPLEFSNSLKAALENRSANSLKAMVSHLIVTIHIHYRNYVTGEHLYSKLSLVDLPASECLLEEDANRDNVTDFLHVSKSLSALGDALASLSAKKEPVLSGNSRITQILADSLGSSSKTLLIVHVSPSASNLSRTLSTLSFSARAKNAELSLGNRDTIKKWKDVANDSRKELHDKEKEVLDLRQEVLGLKLSLKEANDQCTLLFNEVQKAWRVSSTLQADLKSENLMLAEKHRIEKEQNNQLRDQISRLLEVEQEQKIKMHERDLTIQSLQAKLKSIESQLNEALNSSDARSTIGSESASVISTPKMMESTADSSSVTKRLEEELAKRDALIEKLHEENEKLFDRLTEKSGLGSSPQAPSPSNKQTNAQGRDIGRSDSTKSQSSDVFPLPVSQDKAGNSGAIVKSSNELTKTTPAGEYLTSALMDFDPNQFEGVAAIADGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDTMLVSRVKILYIRSLLARSPELQSIKVSPVERFLEKSHTSRSRSSSRGSSPGRSPVHHHHDHGSQTSLIDEHVHGFKVNIKPERKSKFSSIVLKLRGIEEETWRQHVTGGKLREITEEAKAFAIGNKALAALFVHTPAGELQRQIRAWLAENFEFLSVTGGDVAGASGQLELLSTAIMDGWMAGLGTARPPSTDALGQLLSEYTKRVYTSQLHHLKDIAGTLATEVADDPAHVSKLRSALESVDHKRRKIMQQMRTDTVLLTKEEGGSPIRNPPTAAEDARLASLISLDNIIKQVKEVMRQSSARPLRKSKKKALLESLDDLLAQMPSLLDVDHPCAQKQIMEARKVVESLQEDPDEPATDLNSNTLGESEVSQWNVLQFNTGTSAPFIIKCGANSSCELVIKADQKIQEPKGDEIIRVVPKPSVLAEMSFEEIKGVFEELPEAISLLALARTADGTRARYSRLYRTLANKVPALKDIVAEMEKGGVFKDVRS >ORGLA06G0070100.1 pep chromosome:AGI1.1:6:5253924:5256933:-1 gene:ORGLA06G0070100 transcript:ORGLA06G0070100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase transcriptional regulation mediator-related [Source:Projected from Arabidopsis thaliana (AT3G21350) TAIR;Acc:AT3G21350] MSGTPLPPPAQPPPPPGAAGPDGTAALPPPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDLTCNNESLRSRQIHPLDMSHLTKMTGMEYVLSDVMEPHLFVIRKQRRESPEKSNAMLAYYILDGSIYQAPQLCSVFASRISRAMHHISKAFTTACSKLEKIGHVETEPDTAASESKTQKEAIDLKELKRVDHILMSLQRKLQPAPPPPPFPEGYVPSEQEKASDDLLALEALPPQVDPIIDQGPAKRPRFQ >ORGLA06G0070000.1 pep chromosome:AGI1.1:6:5252056:5252789:1 gene:ORGLA06G0070000 transcript:ORGLA06G0070000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPWIYGRLSWCANVHSASCYLVLRCLSSAPYDLVLNKKVGPDVSLYYPFFLIFSSPLSQAMCGNGDGRQWIQGNNEALPRRPLCCLVSYLVGTTAPFSYLDAAAARPFFSLSGAREQVASGRPRQCSGQFFGDGSHARGGISRWQKSAMEVTTEEIGDAGLRQLLVGAYHRHSLALHGSPQLGGLWRRSSAQQKAAR >ORGLA06G0069900.1 pep chromosome:AGI1.1:6:5234262:5244343:-1 gene:ORGLA06G0069900 transcript:ORGLA06G0069900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERREIRRIESAAARQVTFSKRRRGLFKKAEELAVLCDADVALVVFSSTGKLSQFASSNMNEIIDKYTTHSKNLGKTDKQPSIDLNFFLIILLRTYTNSYAYIHLLLQLEHSKCSSLNEQLAEASLQLRQMRGEELEGLNVEELQQMEKNLEAGLQRVLCTKDQQFMQEISELQRKGIQLAEENMRLRDQMPQVPTAGLAVPDTENVLTEDGQSSESVMTALNSGSSQDNDDGSDISLKLGLP >ORGLA06G0069800.1 pep chromosome:AGI1.1:6:5215432:5220582:-1 gene:ORGLA06G0069800 transcript:ORGLA06G0069800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGEGAEPAAVASAAAAEVEVKNPRCFMDVSIGGEIEGRIVIELYASVVPRTAENFRALCTGEKGVGAVTGKHLHYKGSCFHRVIKGFMVQGGDITAGDGTGGESIYGLKFEDENFVLKHERKGMLSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSVEHAPVGEADRPTSDVEIVDCGELPEGADDGVVNFFNDGDTYPDWPNDLDEKPMEVSWWMDAVESAKAFGNNNFKKQDYKAALRKYRKALRYLDVCWEKEDIDEEKSSALRKTKSIILTNSSACKLKLGDLKGALLDADFALRESEGNAKAFFRQGQAHIALNDIDAAVESFKHALELEPSDGGIKRELAAAKKKIADRRNQERKAFARMFQPSGKSDKDNEESK >ORGLA06G0069700.1 pep chromosome:AGI1.1:6:5211954:5213068:-1 gene:ORGLA06G0069700 transcript:ORGLA06G0069700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRISSATLVVAAVLAMLVLVPAAARAERFVVGDAARWTWGYNYTDWVIKKGPFFQNDSLVFMYDPPNATTHAHSVYMMRNAADYQSCNLKAAKLVANVMQGAGSGYEFVLRKRKPHYFVCGERGGIHCTMGQMKFIVKPKSSACRDD >ORGLA06G0069600.1 pep chromosome:AGI1.1:6:5203221:5204645:-1 gene:ORGLA06G0069600 transcript:ORGLA06G0069600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRVLPNARAALIRAVADAPGRREEQALHCLACKLGLAGDAVLATALLTRYARRGLLDPARRLFDEMPRRDAVAFNAMLAALVASRRMADARELFERMPDRTPASWNTMVTCYCKAGDLGSAREVFEASLGATASNVVSWNTMIDGYCKAGRMDAAQELFDCMGCSFSSSPSPDVVTWNTMMAGCLRRGDPATAIAMFRRLMRQTVQQQTLMMPTTVTIATVVTACTQAGDFAFGRRVHHYIRQLGTRIDAVLSNALIDMYFKCGSVDRALDVFATMPDGPNLFCWNTVIAGLGMNGRGEDAVRAFHDMVGRSRTCRGIIRPDGVTFVALLSACSHSGLVAEGRRFFAEMVPVHGVEPREEHYGCMVDLLCRAGLLGDAVRVVRAMPVRPNAKILGCLLLHARRLSSASEEDGVRVGEWVADRISELDLDDGAAYGLSNMYASLQRWDHVEMHRIKVNAAVRHKQPGQSSCMI >ORGLA06G0069500.1 pep chromosome:AGI1.1:6:5199594:5200852:-1 gene:ORGLA06G0069500 transcript:ORGLA06G0069500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAPAKEAAAAIPLLKPYKMGQQELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATRGGLLIAEATDISPTAQGYPETPGIYTQQQVEAWKPIVDAVHRKGSLFFLQIWHVGRVSTTDFQPNGQAPISSTDKQITPDDSGMVYSKPRRLRTDEIPQIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRTDEYGGSLENRCRFAVEVIDAVVAEVGAHRVGIRLSPFVDFMDCFDSDPVALGSYMVQQLNKHPGFLYCHMVEPRMAIVEGRRKITHGLLPFRKQFNGTFIAAGGYDREEGNKVVADGYADLVAYGRLFLANPDLPRRFEINAPLNKYDRSTFYTQDPVVGYTDYPFLEEIDEESTTTYA >ORGLA06G0069400.1 pep chromosome:AGI1.1:6:5194503:5195731:-1 gene:ORGLA06G0069400 transcript:ORGLA06G0069400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAPAKEAIPLLTPHKMGQLELSHRVVLAPLTRCRSYGHVPQPHAAVYYSQRATNGGLLIAEATVISPTAQGYPDTPGIYTQQQIEAWKPIVDAVHRKGALFFLQIWHVGRVSTTDFQPNGQAPISSTDKQITPDDSGMVYSKPRRLRTDEIPQIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRTDEYGGSLENRCRFAVEVIDAVAAEVGAHRVGIRLSPFDNYMDCFDSNPVALGSYMVQQLNKHPGFLYCHMVEPRMDIVEERRKITHGLLPFRKQFNGTFIAAGGYDREEGNKVVADGYADLVAYGRLFLANPDLPRRFEINAPLNKYDRSTFYTQDPVVGYTDYPFLEEIDEESTTTHA >ORGLA06G0069300.1 pep chromosome:AGI1.1:6:5181470:5182915:-1 gene:ORGLA06G0069300 transcript:ORGLA06G0069300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q0N0] MDTGRSKSSSRLHLVIFPWLAFGHLLPYLELAERVASRGHRVSFVSTPRNLARLPPGTECTNDVPSGKFELLWKAFDALAAPFAEFLGAACDAAGERPDWIIADTFHHWAPLVALQHKVPCAMLLPSASMMAGWATRSSEPAGASIFHVLGAVEERREGVPRYEWGQKASFFIDHGASGMSVAKRCSLAMERCTLAAMRSCPEWEPDAFQQVAAGLKNKPLIPLGLVPPSPDGGRRRAGMTDNSTMRWLDVQPAKSVVYVALGSEVPLPLEQVHELALGLELAGTRFLWALRKPHGVDLSDVLPPGYQERTKSHGHVAMGWVPQITILAHAAVGAFLTHCGRNSLVEGLLFGNPLVMLPIFGDQGPNARLMESNKVGSQVRRDDMDGSFDRHGVAAAVRAVMVEEETRRVFVANAIRLQEIVVDKELHGRYIDEFIQHLVSQGADGSCNTAALVPSS >ORGLA06G0069200.1 pep chromosome:AGI1.1:6:5177791:5179030:-1 gene:ORGLA06G0069200 transcript:ORGLA06G0069200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAQAATEAAIPLMAPYKMGRFELFHRVVLAPLTRCRSYGHVPQPHAAVYYSQRATNGGLLISESTGVSATGEGYPEIPGVWTRQQVEAWKPIVDAVHRKGALFFCQLAHVGRASTNDEPTDFQPNGQAPISSTDKQITPDDSHMVYSKPRRLRTDEIPQIVDDFRVAARNAIEAGFDGVEIHGAHGYLIDQFMKDSANDRTDQYGGSLENRCRFAVEVIDAVVAEVGADRVGVRLSPYIDFMDCFDSDPEALGSYMVQRLNKYPGLLYCHMVEPRMAIVEGRRKITHGLLPFRKQFNGTFIASGGYDREEGNKVVDDGYADLVAYGRLFLGNPDLPRRFELNAPLNKYDRSTFYTHNSVVGYTDYPFLEEKKEDSATVIV >ORGLA06G0069100.1 pep chromosome:AGI1.1:6:5174363:5175636:-1 gene:ORGLA06G0069100 transcript:ORGLA06G0069100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEKDAAAAAAAAAEIPLLTPYRMGRFELSHRVVLAPLTRNRSYGNVPRPHAVLYYTQRATSGGLLVTEATGVSATAQGYPDTPGIWTQQQVEAWKPIVDAVHRKGALFFCQLWHVGRVSTNEYQPDGQAPISSTDRQITPDDSGIVYSKPRRLRTEEIPQTIDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRTDEYGGGLENRCRFVFEVIDAIVAEVGAHRVGIRLSPFIDYMDCVDSDPVALGSYMVQQLNKHPGFLYCHMVEPRMAIVEGRRKITHGLLPFRKLFNGTFIAAGGYDREEGNKVIADGYADLVAYGRHFLANPDLPKRFAINAPLNKYNRSTFYIQDPVVGYTDYPFLDEKDEGAATYA >ORGLA06G0069000.1 pep chromosome:AGI1.1:6:5164657:5168346:-1 gene:ORGLA06G0069000 transcript:ORGLA06G0069000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTVWCSRRXRGADPTATCRSRTRRCTTRSRATRGGLLITEATGVSDTAQGYPETPGVWTREHVEAWKPIVDAVHRKGALFICQLWHVGRVSTNDYQPNGQAPISSSDIQITPDGSGIVYSKPRRLRVDEIPQIVDDFRLAARNAIEAGFDGVEIHGANGYLLEQFMKDSSNDRADEYGGSLENRCRFAVEVIDAVVGEIGAHRVGIRLSPFLDFMDCVDSDPEALGSYMVEQLNKHEDFLYCHMVEPRMAIVDGRRQIQHGLQPFRKAFKGTFIAAGGYDREEGNKVIENGYTDLVSYGRLFLANPDLPRRFELDAPLNKYDRNTFYTQDPIGGYTDYPFLDDEDQKNSVADA >ORGLA06G0068900.1 pep chromosome:AGI1.1:6:5161870:5163201:-1 gene:ORGLA06G0068900 transcript:ORGLA06G0068900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHHQAAAVNDDHAIPLLTPYAQAGRPGSKLDLSHRVVLAPMTRCRSYGNVPQPHAALYYTQRATRGGLLITEATGVSDTAQGYPETPGVWTREHVEAWKPIVDAVHRKGALFICQLWHVGRVSNNSFQPDGSAPISSTDKAITPDGSGMVYSKPRRLRTDEIPQIVDDFRVAARNAIEAGFDGVEIHGANGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEVIDAVVGEIGAHRVGIRLSPFLDYMDCVDSDPEALGSYMVEQLNKHEDFLYCHMVEPRMAIVDGRRHIQHGLLPFRKQFNGTFIAAGGYDREEGNKAVADGYADLVAYGRLFLANPDLPKRFELDAPMNNYDRNTFYTQDPVVGYTDYPFLDEHHHDDDDDSNAPSA >ORGLA06G0068800.1 pep chromosome:AGI1.1:6:5153475:5159376:-1 gene:ORGLA06G0068800 transcript:ORGLA06G0068800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQASAAAEKAVVAPYGSWESPISAAAVSAAGRTVEGLAVAGDGRLLWVETRPEEGGRAVLVKEAAEPGGDAVDVTPEGFAVRSLAQEYGGGAFAVQGDVVVFSNYSDQRLYKQTIGDNSAQPLTPDYTGSVLRYADGVFDPHFCRYVTIMEDHRKDSSNPVTTIAAVTISDRDANEPTVLVSGNDFYAFPRIDPIKRCMAWIEWSNPNMSWDKAQLWVGYFSEKGEVHNKICIAGGDPTLVESPTEPKWTSKGELFFITDRESGFWNIYKWDEESNLIVQLYSLDAEFSKPMWIFGVSSYGFLGKDDTSNKIVCCYRQNGRSCAGVLDHDSGSFSELDIPFSSVTNIVSGDGFFYVEGASATLPVSIAKVTLDEKRKTATNFSIVWSSSEDVMQYASYFSLPEFMEFPTVVPGQKAYAYFYAPHNHIFQGSSDEKPPLLVRTHGGPTDEARGVLDLGVQYWTSRGWAFVDVNYGGSTGYGRKFRERLLGQWGVVDVNDCCSCATFLVETGRVDAQRLCVTGESAGGFTTLACLAFRLIFKAGSSLYGIADLASLRAGMHKFEAYYIDNLVGNRKAYFERSPINFVDRFSCPIILFQGLEDTVVSPVQATTIYKAIKDKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGHFKVADGITPIKIDNFDEPSL >ORGLA06G0068700.1 pep chromosome:AGI1.1:6:5145578:5152640:-1 gene:ORGLA06G0068700 transcript:ORGLA06G0068700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSTTAASPAAAAADGGGTGEKPVAAPYGSWRSPITADVVSGADKRLGGIALAGDGRLLWIEGRPEEKGRMVIVKEDDKPVDIIPQEFAARTLAQEYGGGAFAVKDNVVVFSNYKDQRLYKQSTKSAGVPVPLTPDYGGPDVSYADGVFDPHFSRYVTVIEDRRKSSLNPTTTIAAISLSNGVVQEPKVLISGNDFYAFPRIDHNNKRMAWIEWSHPNMPWDKSELWVGYFSESGDLTKRVCVAGSNPMLVESPTEPKWSPKGELFFITDRGSGFWNIYKWVEHTNEVISVYTLDAEFTRPLWVFGISSYGFLGESNHIVFSYRQHGRSYLGVLDSDIGSVSLLDTPFSDLSNVVTGNDYFYIEGASATVPMSIAKVALNEDRTKVVSFSIIWSSSSDVVQYSSFFSAPEFVEFSTSSTGQKAYAYFYPPSNPNFQGLPDEKPPLLVKTHGGPTAETRGILDLSVQYWTSRGWAYLDVNYGGSTGFGREYRERLLGKWGIVDVDDCCSCARVLVESGKVDERRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDLSLLRAETHKFESHYTDNLVGNENAYYERSPINFVDKFTCPVILFQGLDDKVVPPDQARKIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGNFKVADDITPIKIENFD >ORGLA06G0068600.1 pep chromosome:AGI1.1:6:5140875:5144148:-1 gene:ORGLA06G0068600 transcript:ORGLA06G0068600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G49590) TAIR;Acc:AT1G49590] MTEYWVSQGNKWCDFCKIYIANNPLSIRTHEIGKRHKDNVTKRLATMQKEGAAKEKEQQQAARALQQIEAKAKKSYQKDLENSQRNVDGDTSAAPGDGWEFDSTSGYYYDKSTGLYFDSNSGFYYSDGLGKWVTQEEAYAWAKTSQANAGQSSSSQTKPTASVATVPTIKGGQAPGLVVKKPLNPMRTVKGAPSAIAVNKRKREDGKPKVISKEEEAALKAREAARKRMEDREKPLMGLYRSY >ORGLA06G0068500.1 pep chromosome:AGI1.1:6:5133492:5134739:1 gene:ORGLA06G0068500 transcript:ORGLA06G0068500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVRPASPPPRHHDFRFDSPAASPYATALSSPRGRLATATFLTAPPSPDPFEAIMAAQQQPETPRLTRANPFDLFQHFSSAPASPRRAAAIYAHFAEGGNGGGRDDGEDEEEEEDDDDEGFRPRASYTVNASSVPFDWEERPGTPKAGLGGGGGGAAWDTDFEFGTVVDKAAPEENLTTADELFEKGKIRPLKAPLPKTADELFDKGKVRPLKPPPGLLDGGSVASSPRSPMSRGGGMWSPRRRSRVGSGVDFDPFAAALLEATKAPSPSPSPLGVAASGSPAKKADQFTTRPASKSAGWRRWRLSDLLLFRSSSEHGRVTKDPIFKSSPARHPDSPVKKASARPTTTPGKANGKADTASKPRKHAGDKNAAAAAEGILGSVRLSPLQRLARGLRGSSWYHGHGGMAKLGTKG >ORGLA06G0068400.1 pep chromosome:AGI1.1:6:5125421:5129537:-1 gene:ORGLA06G0068400 transcript:ORGLA06G0068400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase C1 [Source:Projected from Arabidopsis thaliana (AT5G08740) TAIR;Acc:AT5G08740] MSCRAAPWGRPSLPGGRPSPGSARQRGPFGGLNVWRNSILNNSWRLGGTPMKFGLPSRLFRLMASSSSGDGGFSRPTSTDEGPMPIYSWPDKQRPRVCILGGGFGGLYTALRLESLVWPNDKKPQVMLVDQSDRFVFKPMLYELLSGEVDVWEIAPSFTELLKNTSVQFVKDSVKLLRPSDHFRRDSGGSCTGGVVHLESGTVIEYDWLVLALGAEAKIDVVPGSAEYAIPFTTLDDALKVESQLKMLERRRFGKSSPDIQVAIVGLGYSGVELAATISERLKNKGIVQAINVQTTICPSAPPGNRDAALKVLESRNIQLFLGYFVNCIREASASEDSSSMVTDAKEVNGDHKKLLLELQPAQRGIRSQVLEADMVLWTVGSTSQIPRLQPPDAPYVIPLNGRGQVETEETLQVKGHPRTFAIGDSAALRDPSGKLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRSDAAITASFIEGLTLEGPLGHAARKIVYCLRMPTDEHRVKVGISWFTKTAVDSLASLQNAVSSMLTSP >ORGLA06G0068300.1 pep chromosome:AGI1.1:6:5122687:5123646:-1 gene:ORGLA06G0068300 transcript:ORGLA06G0068300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSEVAFDFQPYLCQYKSGRVFRPGGDPTVPAGTDPVTGVVSRDIHAGAARARVYLPPGAAVSTEKLPVVVYFHGGGFVTGSPARPSTHAYLNDLVARAGAIGVSVYYRLAPENPLPAAYEDAWAAVRWAATRGDGADPWLLDHADLSRLFLAGCSAGANIAHNMAVRCGGGGALPDGVTLRGLVVVHPYFTGKEAVGAEAAFGPDVREFFDRTWRFVFPETSGLDDPRVNPFVDDATRAAVAAIPCERVQVCVAEQDVLLKERGLWYHRELKASGYGGEVELFESKGVGHAFHFVGMAGSDQAVELLERNVEFIKK >ORGLA06G0068200.1 pep chromosome:AGI1.1:6:5120805:5121794:1 gene:ORGLA06G0068200 transcript:ORGLA06G0068200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGAGADEEVDFEFFPIIRRYKGGRVERFMNIPPLPAGTDPATGVTSKDVVVDPAVGLWARLFLPPGGGAPQGKLPVVVYYHGGAYVVGSAADPFTHSYLNGLVAEAGILAVALEYRLAPEHHLPAAYDDSWEGLRWVASHANGGGGVEPWLLEHGDFSRVFLAGASAGGNIAHYVAARAGEHGGLGLGIRGLLVVHPYFSGAADICAEGTTGKAEKAKADEFWRFIYPGSPGLDDPLSNPFSDAAGGISAARVAADRVLVCVAEKDSLRDRGVWYYESLKASGYAGEVDLLESMGEGHVFYCMDPRCERAREMQARILSFLRK >ORGLA06G0068100.1 pep chromosome:AGI1.1:6:5116311:5118167:-1 gene:ORGLA06G0068100 transcript:ORGLA06G0068100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSGGRVAAASGAERLRDDVGARSYATTDDDALEPVNPAARNLASYSGHTIATSFSGLASAADEEDDGLHARRSRRIVGCDGEYHRWHPARGTSTPPPARRRRLPSSPARAARRRLLPPANRRCRARLLCLHARILRRTPLPPPRLASSSASSRHRSPPPLPSQPHLASSTVFRRRSPLPLPPCACETEKRKLDWTTRILNPKSAMEFHTPTIMMWAYGPIGSCYPVTAGVLIAKELEQAVTAKVTRLISSRLPMLPPVE >ORGLA06G0068000.1 pep chromosome:AGI1.1:6:5100566:5101534:1 gene:ORGLA06G0068000 transcript:ORGLA06G0068000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDADADAVAVELLPFIRVYVSGRVERLLGTDTVAASLDEPTGVASKDVTVDPATNLSVRLYLPPAVAAGERLPILVYFHGGGFMVESATSPTYHRYLNALASRARVVAVSVEYRLAPEHPLPAAYDDSWAALAWAVATAAAPGAVDPEPWLAAHGDASRVFIAGDSAGANIAHNVAMRAAAAPLPGGAGITGVLLMHPYFWDASNTMGPALEDRIRREWRFMCGSPDVRVDDPRLSPTVQQGAPSLAALPCRRVMVAVAGDDFLAGKGRAYHAALVASRWHGEAELVDTPGEDHVFHLTRPGTAAAAKMMDLVVDFVTR >ORGLA06G0067900.1 pep chromosome:AGI1.1:6:5099605:5100014:1 gene:ORGLA06G0067900 transcript:ORGLA06G0067900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTSRGGFDLTRIVDAIERHGFNQVYDVGADDIMRAVEVTQEAITVWHVEAESAANGVGLIKLMGWSASHIALHTMLISRRLLPHPGGGLNKLP >ORGLA06G0067800.1 pep chromosome:AGI1.1:6:5094411:5097814:1 gene:ORGLA06G0067800 transcript:ORGLA06G0067800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGLGPAAAAALGGRSAARWCTYRRVTVAVCLGNLVAVLLVVRSLYSAPGYFASAPRRVAVKYSEEQIRLVEESIRIRRAAVPVELVEAVKKLEKVFAREEKRRKELPLELKQKVSYEILERMRDLGENSNTTEQREALESWRLEKLKDIRSASAQNLLMSDLSNEESRMLKRALELNWRMLMEDIGLWIPVSVWHTEHDDKPENEPEEEEIIAGPPLPPECNAQVHTDYGGAAVRWGLTHHKESAADCCQACLDQAKRARPGALKCNIWVYCPSEYGCYSPDKYEHKHQECWLKQADHPKLNFKDRYSESYRDAHPSAPVVVPWMSGVITV >ORGLA06G0067700.1 pep chromosome:AGI1.1:6:5087356:5087703:1 gene:ORGLA06G0067700 transcript:ORGLA06G0067700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTGGGGGGGGSAGSRRPLGFLKNAAKHKHGFVQLLLMGSVLMMSLRTLGQKHRHRDLIYDNADLRREHDDLSLRMRDVQDALRREADADESGALSSHLRRIFAAHPASTDDK >ORGLA06G0067600.1 pep chromosome:AGI1.1:6:5081886:5082958:-1 gene:ORGLA06G0067600 transcript:ORGLA06G0067600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRDGAAARRQARVVVGGVRTRAAVTARRVVASAEEGCGLVGRGGGGGGGGDDGEGGCYLRLRSRRLPFVAAAVVSSRREEALGDSVAEAASSSSPRAVELLGCSGEEEAMAEKVCTQAGEDHDEESSVGDSGCGRERSATTPSSRRPPGDADSSDAESNQEAKQQMCRRSSTTSAAAFHAGATTRSFRMMAPPAAAAEIEEFLAAAERSEAERFAAKYNFDVVHGVPLDAGGAGRFEWTAVGSG >ORGLA06G0067500.1 pep chromosome:AGI1.1:6:5073375:5077693:-1 gene:ORGLA06G0067500 transcript:ORGLA06G0067500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGCGGRFYWAPAPPSPSAAGARGVVVVFGWVWSDEAQLRPFVELYASLGWRCLVCHPDLVALYLSEKAASLASGVISELVKEFKVKPLPTVFASFSGGSKGCMYKVIQLLDGKCEGDATMKDYRLVRNCICGQIYDSGPVDFFSDVGTQFLQNPMIGNSSRPSMLLSWMTKALASGMDTIFPSRIEAQRAEYWHTLYSSAGLGPVLMLCSEDDDLAPCHVVCGFARRLVELGTDVKVIKWSDSPHVGHYMLHEAEYRSAVNDTLRKALVTFCHRSQLNATSDQEYKIAHSVCSLHNVAANSNESLRRVANGPSDHFFLPSSKDHNESRDPDSLIDEQRRQLSYPPSMEPQGVLGQILFDVCVPKNVEGWDIKPTVSPNGRPTLASARQLGPFNPIKYFRRSRL >ORGLA06G0067400.1 pep chromosome:AGI1.1:6:5067582:5067881:-1 gene:ORGLA06G0067400 transcript:ORGLA06G0067400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRYRALPQGEVTVEEFRAWLGQFDADGDGRISRDELQRALRSLNLWFAWWKARAGVRAADANRDGAVAGDDEVATLFAFAQRHLNVKIAELGASYY >ORGLA06G0067300.1 pep chromosome:AGI1.1:6:5061865:5065092:-1 gene:ORGLA06G0067300 transcript:ORGLA06G0067300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like family protein [Source:Projected from Arabidopsis thaliana (AT4G33350) TAIR;Acc:AT4G33350] MPPPPPNSSSLPLAAAPMSNPSAPSSSSSSSSPLPPNPLAAASSFLHHHLSRLASRFAAPPRPALAAVSAGPPGPQGASASLSLGLAPDEVARALTGTPVFTVCNSSNEFVLVSDPATGLRSLGLLCFRSEDADALLTHVRMRQPVVGRGAKVVPITLDQVYMLKAEGIAFRFLPDPLQIKNALELKSGLTAFDGVPVFQSDLLVVKKQKKRYCPIYFQKEDIERELTKASKTSRGSALSKQIMVGSLEDVLKKMEMNERNSGWDDLIFIPPGKSLNQHINEVSA >ORGLA06G0067200.1 pep chromosome:AGI1.1:6:5055321:5057571:-1 gene:ORGLA06G0067200 transcript:ORGLA06G0067200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRPILLLLSDLLLLLIAIAVAGAAVAFAIPRAAPAFGVETGWPPEHCLRCFAPPDAPFVLGAAAAVHLGNTNSCIAGYDDAPLGAKPSYYQFCIPSWVALAHDNGTLISGEAAMNRAALSPSTAVSAFMRLLHRRQFPQLVPPKFILGLPAQLGXXKKNRVEEHLGRHINNAVITLPSRLSYSADGRQVLSSAAKEYGGFRAVKVVDEHIAAAAAYGHHTRQGDRKAILVFHLGGRTSHATIFKFVDGTARLIATRAHHFLGGDDFTARIVDHMAEHIKEQHGRDVRQEEKAMVRLRVACEHAKKALSEQRDTLVQIDSLLDDGAVFSATLTRAKLEELSHDLLDRAMALVKEVVVTTGGVEVVDEVLVVGGSARIPKVRQLVKDYFNGNGNGTHPNSRGCKGPVDVEPEDAVLHGAALLSRPLPVAEGTAAARSIDFDHWFRRRHLISLV >ORGLA06G0067100.1 pep chromosome:AGI1.1:6:5043357:5045003:-1 gene:ORGLA06G0067100 transcript:ORGLA06G0067100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDKLGEAAAAHPPEAEKRRGVAAPGAATVLVLVALPLMLVSYFFGDLAADTVVRLHRFKESSSSSSSPAAAADRLLGGLLSPEFDEASCLSRYEASSRWKPSSFRVSPYLVERLRRYEANHRRCGPGTARYRDAVARLRSGDGDGDAECRYVVWLPIQGLGNRMLSLVSTFLYALLTGRVVLVHEPPEMEGLFCEPFPGTSWVLPPDFPYKGGFSAASNESYVNMLKNGVVRHDGDGGALPPYVYLHLEQIHLRLQNHTFCEEDHRVLDRFNWMVLRSDSYFAVALFLVPAYRAELDRMFPAKGSVFHHLGRYLFHPGNRAWGIVERFYDGYLAGADERLGIQVRIVPQMAVPFDVMYEQILRCTREHGLLPQVTSTSESAGGRPPPPPTATATKVKAVLVVSLKREYYDKLHGAYYTNATASGEVVAVYQPSHDGDQHTEARAHNERALAEIYLLSFSDAVVTTAWSTFGYVAHALAGVRPWQLAPLDWGKMRADVACARPASVEPCLHSPPPLVCRARRDRDPAAHLPFLRHCEDVPAGLKLFD >ORGLA06G0067000.1 pep chromosome:AGI1.1:6:5040973:5042767:1 gene:ORGLA06G0067000 transcript:ORGLA06G0067000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEGGIGAGITNRPSQQCSAPAAEDGDDYERPCPWIPGKKKKKKKITCLAICLIASPILILLVSRRGSPFPSMSGWASPSRMYASKGSKRDVLMGGLLVPGLDERTCASRYSSAMYRKNTARSPCRHLVKRLREQEALQRRCGPGTAAYWRAAERLGSRRNGTAGADDEGCKYLVLVPYRGLGNRMLAMASAFLYAMLTGRALLVDRGESLADLFCEPFPGTSWLLPPEFPIKNLQDLTGEAPESYRNLVQSDRPATSVSELPYVFVDLDHGCTYHDKLFYCDDERHFLHRAPWLLMRTDGYFPPALFLNPAYQDELDRLFPRKDSVFYLLAHYLLHPTNKVWGLITRFYDSYLRDSDERLGIQVRVFDGDTPFKHILDQITACTSQERLLPEVVEQEPSSFPAPVAAATAARSKAVLMTGLNSWYYDNIRSRYWQSPTATGEVVRVHQPSHEEHQLSGSTTHDMKAMAEMYLLSMTDAIVTSGWSTFGYVGHGLGGLSPWVMFKPENLTTPDPPCRRAVSMEPCLHGPPFYDCRVKRGADTGKLVPHVRHCEDMSWGLKLVHPE >ORGLA06G0066900.1 pep chromosome:AGI1.1:6:5037236:5037457:1 gene:ORGLA06G0066900 transcript:ORGLA06G0066900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIDGDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFISCTKGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA06G0066800.1 pep chromosome:AGI1.1:6:5034073:5035946:1 gene:ORGLA06G0066800 transcript:ORGLA06G0066800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHEATIGGDGAAAPWKEQQLASHRWPEAQEGAPAFPPSTMRRLVYSAANAALVVFIMTVPPMVVLYGARSSSPAVWISSANVGGRGSSSDESILLLHRPAAAHDKLLGGLLADGFDEGSCHSRYQSAMYRRNNAGKEPSPHLVSRLRRHEELQRRCGPGTAAYSNAVESLRSGKSGGIGSPPQTECRYLVSISYRGLGNRMLAAASAFLYAMLTDRVLLVDPSNEMGELFCEPFPGTTWLLPPGFPLTNFTSFSVDTAESYGNMLKNKVITTDAAAGDVPTPHQQLPAFAYIHLDHDYTFHDKFFFCDDDQSVLRNVPWLVMRTDSYIVPGLFLVTGFQAELDSLFPETDAVFHHLARYLFHPNNHIWGLVTRYYDAYLATARQRVGVQVRVFGARQESPKVLEQITACAHMENLLPDVITTGEPAATTRRRLKPKAVLVTSLTSWYYEKLKGMYWERATATGEAVGVHQPSHEEYQRFGSGSHDAKACAEIYLLSLSDALVTSGWSTFGYVAQGLAGLTPRVMYKPANESSAVPDPPCRRDVSMEPCFLTPPYNNCRMKRSAHSGKVVAHVKNCHDVPWGLKLVRRVE >ORGLA06G0066700.1 pep chromosome:AGI1.1:6:5028430:5029902:-1 gene:ORGLA06G0066700 transcript:ORGLA06G0066700.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARDKLLGGLLADGLDDGSCHSRYQSAMYRRNAGREPSPHLVSKLRRHEELQRRCGPGTAAYSDAVERLRSGKSGGIVSPSPEAECRYLVSISYRGLGNRILAVASAFLYAMLTDRVLLVDPSNEMDELFCEPFPGATWLLPPRFPLANYTSFSVETAESYGNMVKNKVITTDAGDAAPTTAQLPAFAYIHLDHTSTVEDKFFFCDEDQRAIRNIPWLVMRTDSYIVPGLFLVTGFQDELDSLFPETDAVFHHLGRYLFHPTNHVWGLVTRYYDAYLATAQQRVGIQVRVFGAQPESPKLLDQITTCTQKEKLLPEVIAAGEPPVVDVAPANSKSKAVLVTSLKSWYYEKMKSMYWEHATATGEAVSVHQPSHEEYQRFGARSHDGKAWAEIYLLSLSDALVTSGWSTFGYVAQGLAGLTPWVMHKPANDTAAAAGDDPPCRRDVSMEPCFHAPPFYDCRLKRGADTGKMVPHVRHCDDVQWGLKLVRRG >ORGLA06G0066600.1 pep chromosome:AGI1.1:6:5026793:5027200:1 gene:ORGLA06G0066600 transcript:ORGLA06G0066600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDVIVXEGEAGDEXRQGRRGAAAAAEGVDDGKVDILSFEVANAMSRAANLYPLAVGRRGGAAARPRCLGSQAVRALVPGDDSWLLALTLAEKLDALNRVAAVATRLGRRCMLQALLGFDGSTTSTPTSLLAAPTLP >ORGLA06G0066500.1 pep chromosome:AGI1.1:6:5019670:5021241:-1 gene:ORGLA06G0066500 transcript:ORGLA06G0066500.1 gene_biotype:protein_coding transcript_biotype:protein_coding THLYCGSDRGKKSMFQKVSVTCAGTDDDVSFMKHPTTSHDKLLGGLLVDGFDQESCHSRYQSAAYRRNAGRRPSEHLVSKLRRHEDLQRRCGPGTAAYSAAVEQLKSGKSPAAAEASPEACRYLVSISYRGLGNRILAAASAFLYAMLTDRVLLVDPSNEMGELFCEPFAGTTWLLPPEFPLVGYQGFYLHTAERYGKMREDRVLRPDGGEAAAAAPPPAFAYIHLDYNQTDYDKLFFCDEDQRLLSSIQWLVMRTDSYIVPGLFLVDAFQDELAALFPERDAVFHHLGRYLFHPTNHVWGLVTRYYRGHLAWARRRVGIQVRVSSWEWESPEILRTITSCTQDEGLLPRVLDDTDQEPPATAAASARRGLRPSAVVITSLKSWYYEKMKGMYWERATESGEVVVFDQPSHEEQQMYGVRAHERKAWAEMYLLSTTDVLVTTGTSTFGYVAQGLGGLTPWVLPRREVNGTAPPCRRDMSMEPCFHVAPLYDCKRWEDAGKIVPHVRHCHDMPAGLKLVDRTEW >ORGLA06G0066400.1 pep chromosome:AGI1.1:6:5013007:5015187:-1 gene:ORGLA06G0066400 transcript:ORGLA06G0066400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGKKLGGVAGGGGAAVRVVGVVCVMAVPLFALLVLGGWASASTVWQSAARLTAVTAGFTNASKPSATGDAATGADELFGGLLAAGGGFDRGACLSRHESPRYYKRSPFSPSPYMFPEKESVTHLLARYLLHPSNSVWGMVMRYHHAYLAPAAEMIGVQIRMFSWASIPVDDMYKQVMACSSQERILPDTDGGDAPAPARTNTSGGGATTAILVASLQVEYYERLKGKYYEHAATCQGKLAVSENVTRHIKRCEDLAGGIKLFD >ORGLA06G0066300.1 pep chromosome:AGI1.1:6:5009264:5012543:1 gene:ORGLA06G0066300 transcript:ORGLA06G0066300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESSTPASSWLGIDEAAPFAGKKATKDAAAADAFVRRWPKVVNATLVAFIMTMPPLLILLGGGRPGAPAVWIKSTVASLAARGEPKKDVLLGGLLLPGFDEQSCVSRYQSVYYRKNMTRPPSPHLLRRLRQQEALQRRCGPGTEPYRRASERLRSGQNAGDDFVATVDGCGYLVLISYRGLGNRILAITSAFLYAMLTGRVLLLDPGKTMADLFCEPFQATSWMLPGDFPLEHFRDLGEDAPESYGNVAVNRSGSVAGLRFVYAHLDHAATPANRLLYCDDHRQFLHRVQWVILRTDSYIAPGIFLNPAYKEELDMMFPNKDAVFYLLSRYLLHPTNDVWGMVTRFYHSYLKNADERLGVQIRVFDGDEPFQHILDQILACTSQERLLPGVVVSGHGGGVAPPPIARSKAVLTTGLNSWYHDSIRDMYWRSPSATGEVVSVHQPSHELHQHFFRSTHDMKALAEMYLLSLTDKIVTSGWSTFGYVGAGLGGLTPYIMIKPENHTVPNPPCVRAMSMEPCDHGPPYFECTKKEIDKIIDTGNLVPHVRSCEDVPWGRKLADPIS >ORGLA06G0066200.1 pep chromosome:AGI1.1:6:5001404:5002270:1 gene:ORGLA06G0066200 transcript:ORGLA06G0066200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKKAERLDVQFQFNPVVSRLDALDVESLRGLSPKVMVVTEQEVSHNAAGLTERFVEALNYYAALFDCLEVGGASGSVERTRVERWLLGEEIKNIVACDGGERRERHERLEGAGFGRVPLSYYALLQARRVAQGLGCDGFKVQEEKGNFFLCWQDRALFSVSAWRGRRFAALLPLPPSTPLPCSRVIATLLSSPPRHRLTPPAAALLSSPSVLPRAEREDEGREEGKERV >ORGLA06G0066100.1 pep chromosome:AGI1.1:6:4996379:4997317:1 gene:ORGLA06G0066100 transcript:ORGLA06G0066100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSLKSAQLLEQMRLHMATDAGKDIAKKVGLVYQFNIAPKKIGVDEEIFVVDLKKGEVTKGPYEGKPDATFSFTDSDFLSIAMGKMNPQIAFIRGAIKIKGSISAAQKFTPDIFPKPSKL >ORGLA06G0066000.1 pep chromosome:AGI1.1:6:4987779:4992060:1 gene:ORGLA06G0066000 transcript:ORGLA06G0066000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPADGSALARQGSIYSLTFDEFQSALGSAGKDFGSMNMDELLRNIWTAEESQAIAPAAAAASAAAVVGDAQQQQQPIQRQGSLTLPRTLSQKTVDEVWRDIMGLGGDDDEDPAAAAAAAAPAQRQPTLGEMTLEEFLVRAGVVREDMGQTIVLPPQAQALFPGSNVVAPAMQLANGMLPGVVGVAPGAAAAMTVAAPATPVVLNGLGKVEGGDLSSLSPVPYPFDTALRVRKGPTVEKVVERRQRRMIKNRESAARSRARKQAYIMELEAEVAKLKEQKAELQKKQVEMIQKQKDEVMERITQQLGPKAKRFCLRRTLTGPW >ORGLA06G0065900.1 pep chromosome:AGI1.1:6:4976320:4977733:-1 gene:ORGLA06G0065900 transcript:ORGLA06G0065900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKFVALSFIVLLSIGLSNAARVARYASAGGGGGGGGGGGGSGNGSGWGSGSGSGYGQASGSGGAYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGSYGGGAYAQGGGGGGGGGGGQNGGGGGQNGGSGQGSGSGSGYGQAGGYGPYYGGYWPYGGGYAQAGGQGGGGGGGQSGPGGSSSGSGSGSGSGSAGVRYP >ORGLA06G0065800.1 pep chromosome:AGI1.1:6:4974523:4975394:1 gene:ORGLA06G0065800 transcript:ORGLA06G0065800.1 gene_biotype:protein_coding transcript_biotype:protein_coding QYLCITSTISPAARGKSAAIDADECIQWLDSKDPSSVIYVSFGSIARMDPKQLIELGLVLEASAHPFIWMVKNAELYGDTAREFFPRFEISGVGTVNADPVARHGRWLRDALRVELDHGSCCHRVAHGDMAAFCRAVVEPEDGRGGARDWRRRRPXXVGDXRTLWWGGWWWRRQSGAYL >ORGLA06G0065700.1 pep chromosome:AGI1.1:6:4968004:4971144:1 gene:ORGLA06G0065700 transcript:ORGLA06G0065700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDCRRRDVTLGDEFMVLQPENGGARSLADLLLSCKVGENKAVRCGRPGAEVAPPWHRWIIAVSLLAQMLLRSSKGVMAKVGRAVEYWMNFVSENGNVLGLIRNALHGKVKTPDRNSPNYRSFIGLLDTRIDLDEKIKPGDSNYHAALCIMAAKLAYENELVIKDAVENNWKMTFLEFFNCWNDFQNDYTTQAFMFADKPEDAELVVVAFRGTQPFDMEQWSTDVDISWYEIPGVGKVHGGFMKALGLQNNAAAGKKPSWPAEIAPPSAAKNSEKTKSFVYYAIRARLRAFLAANPRARLVVTGHSLGGALAALFPVVLALHGGEADAAALGRLDGVYTYGQPRVGDAALGEWVAAASALEGKHLRFVYCNDVVPRVPYDDAAFLFRHFGRCVYFDAAYRARAMAEEPNKNYFSPAFALAKHANAAWELARGLAIGRVAGGEYAEGWAMRAARVVGLVFPGLPPHAPQDYVNATRLAGASLRKLLD >ORGLA06G0065600.1 pep chromosome:AGI1.1:6:4963589:4963993:-1 gene:ORGLA06G0065600 transcript:ORGLA06G0065600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLKADRPPLRHRHLRHSNHAPRLLLVATPLEEGRRAREKGSSSPLLQRPPSRPPSGALATAPREGVGEADDNSRGRGVSDAVCGGGQGGGRRRVGADSIVRGEERREEEDGEERIVWAPHDSGSHIFFSVCM >ORGLA06G0065500.1 pep chromosome:AGI1.1:6:4957041:4957670:1 gene:ORGLA06G0065500 transcript:ORGLA06G0065500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDMAMDMMSQEQLMHIISQLDSALASSPSPSTSPSASPPRQSPAAQVPVLPAGGLLNAATVSTSRAQAAPPALHPVAAMAAVQSSSRGIMYTTTRQGVIDAAEEEEAAAPRPRRRNARVSSEPQSVAARLRRERVSQRMRALQRLVPGGARLDTASMLEEAIRYVKFLKGHVQSLERAAAALHMHGGHAAAAGFAGDAVYSCPSYYA >ORGLA06G0065400.1 pep chromosome:AGI1.1:6:4953174:4955924:1 gene:ORGLA06G0065400 transcript:ORGLA06G0065400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYSTAGARPYTAGGGGAAFRLPAAAAPGGVGGGAPIEIQAPREKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSITSGFGILAKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLGDGLPKFIKSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEQIYKHAVPVPKSECSKSFQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPATSDAGLKAVSA >ORGLA06G0065300.1 pep chromosome:AGI1.1:6:4951764:4952753:-1 gene:ORGLA06G0065300 transcript:ORGLA06G0065300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGNGGGVRRRRWNLYWCYVCRRALRVVVPSATSDVYCPRCFGRFLHEIDLPVPRVSPPAEDQFFQPPFLPYDGPRRWVLYTGGGGGGDYGGADVTARRRRLPSPPPAPGTRRQDGAGDGDPPPPAPAIDPGEYFAGPDLNALIDALTQDDRPGPPPAPESAIESLPTVHISPDHLPADGGSECPVCKEEFELGETARELPCKHAYHSDCIVPWLRLHNSCPVCRQEVPPPPEPDGESPGIDGGGDDGAGGGGEPAEPPRPAMAGWDPIALLAIALRPDLNGWENSHGRSESEADDDEVAGGGVSTTAMIHSFFVVAACFLFISFLV >ORGLA06G0065200.1 pep chromosome:AGI1.1:6:4948431:4950545:-1 gene:ORGLA06G0065200 transcript:ORGLA06G0065200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARDAVLRFAVRLFIVIVVSSRSSSADDGGGGGGIDFIYQGFQHAANLTMDGSAKVLHGGALQLTNDSNRLVGHAFHAAPVRFLDDGAGGGGGGVVSSFSTAFVLDIVTVGSGGGHGLAFVVAPSITLPGASPEIYLGILGPHTNGNASDHVFAVEFDTVMDLEMNDTNGNHVGVDVNSLVSVVSEPVAYYAGDGSTKVPVQLESAQQILAWIDYDGGSSILNVTVAPATVTERPRRPLISTKLDLLPIFKENMYVGFSSATGKLASSHYILAWSFRTNGVARSIDLRRLPKVPRQSSPPPRLLIIKFAAVACAGTLTLIAAAMVAVLWLRRRAALADTLEEWELEHPQRIPYKELYKATKGFKESELLGAGGFGQVYRGVLRRRSGEAVAIKRISNGTRQGMREFVAEVASLGRMRHRNLVELRGWCKHDQDLLLVYEFMPGGSLDARLFGTAAAAAAAKAPPPPLLTWAQRFAILKGVAHGLLYLHEEWEHVVVHRDVKANNVLLGAGDTGAARLGDFGLARLYEHGATPATTRVAGTLGYMAPELTFTSRATTATDVFSFGALLLEVACGRRPIEPAAGEADGDVLLVRWVRDRALDGDGGGDVLRAVDPRLEGCYDEEEARLVLWLGLMCSQARPEARPSMRQVCRYLDGEEMLQEDATPAAIFSGADSSDLFGGSFVVSMTSSSAGGTMSASSLQGGR >ORGLA06G0065100.1 pep chromosome:AGI1.1:6:4945990:4946811:1 gene:ORGLA06G0065100 transcript:ORGLA06G0065100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CALXIQEATSKCQTRHTHTEQQQQHQTARHNERSLAFQAIKRFDMVKTAASNGAAAARRVGGGGDGKRTAYKGVRMRSWGSWVSEIRAPSQKTRIWLGSYSTAEAAARAYDAALLCLKGSAAADLNFPVRLPFDLPAAAMSPKSIQRVAAAAAANANANASSSCSAAVFAGVDDSGGASASEASTPACSSSDGAASPSPVSSPETVISDVDVDYSLLADIEAFFQSPKCMEYAMMDPCSAFFAPPPPPAMAMEEECGWEEEGDIALWSFSSLD >ORGLA06G0065000.1 pep chromosome:AGI1.1:6:4938167:4941390:1 gene:ORGLA06G0065000 transcript:ORGLA06G0065000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacylase [Source:UniProtKB/TrEMBL;Acc:I1Q0I7] MPPLRRRHRRRLLAAVVFLLLSAVVAHPAAGAFTELESAQIGRFQDYLRIRTAHPSPDYAGAAAFLLPYAASLGLRAATLHFTPCKSKPLLLLTWPGTDPSLPSLLLNSHLDSVPAEPQQWLHPPFAAHRDAATGRVYARGAQDDKCLPIQYLEAIRGLRDAGFAPTRTLHISLVPDEEIGGADGFEKFAQSEEFRDLNVGFMLDEGQASLTDEFRVFYGDRLVWRLIVKATGAPGHGSKLFDGAAVENLMDCVETIARFREAQFGMVKSGKRGPGEVVSVNPVYMKAGTPSPTGFVMNMQPSEAEVGFDFRLPPTEDVEHIIRRIKEEWAPAHKNLTYKLMQKGPTRDLAGRPMVTPTNASNPWWSVFEQAIISAGGKLAKPEILSSTTDSRFIRQLGIPALGFSPMTNTPILLHDNNEFLEDKVFLRGIKVYEHIIRALSSFKG >ORGLA06G0064900.1 pep chromosome:AGI1.1:6:4935196:4937691:1 gene:ORGLA06G0064900 transcript:ORGLA06G0064900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVVVVAALALLLGGGAVEAVWLDLPPTGTKCVSEEIQPNVVVLADYALMYESHPTAHPTVAVKVTSPYGNTVHHNENATTGQFAFTTSEAGNYLACFWLDSSEKGSGVSLNLDWKIGIAAKDWDTVAKKEKIEGVELELRKLEAAVESIHHNLLYLKAREAEMRTVSEKTNSRVAWFSILSLGVCIVVSVLQLWHLQGFFRKKKLI >ORGLA06G0064800.1 pep chromosome:AGI1.1:6:4924596:4927149:1 gene:ORGLA06G0064800 transcript:ORGLA06G0064800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1Q0I5] MGVGRALSDAKPYVAMVLLQVGFAGMYIVSVASLKRGMNHFVLVVYRNLVATVLMAPFALLLERGVRPKMTVRIFLKIMGLAILEPVLDQNLYYMGAKLTSAGFASALVNILPAVTFLLAVLLRMEKVRLRSLHSQAKIAGTVFTVAGAVLMIMYHGPVVQFPWSSSASGGAGHHVDGAAAAAAATASSASSWLNGTVMLVGSCVCWSGFFILQNNTLQSYPAELSLTALICVLGSAMSGAVALVAERRDMSVWVIGFDTRLFTAVYSGIVCSGVAYYVQGLVTRARGPVFVTAFQPLCMIITAVLGSTILKEEITLGSVIGAVIIVVGLYALIWGKGGDHADNGKPPAAAAAAPEKGLPLTTLQANGDGDGKLAVLVADVEMPAVKDVY >ORGLA06G0064700.1 pep chromosome:AGI1.1:6:4915848:4917952:1 gene:ORGLA06G0064700 transcript:ORGLA06G0064700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAAAAAAAASPGDARPRCTALQDLPLTLLVSDLALLHCVPLLPPPPLRSPLTRSPDGRRRPRXCPPSLRRSRXRRRLCGCLCHLENVSKIECACIFRFITMDKNVW >ORGLA06G0064600.1 pep chromosome:AGI1.1:6:4906179:4906697:1 gene:ORGLA06G0064600 transcript:ORGLA06G0064600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALFESLRGSISSHIDQAKVYYKLKRNKSKFLHAPPQATTTTPHDRRVRALSAELWGSELAPPAVEGDADAAEAADERDAEEGYIGGNLHVSVRLPVVSEVLGDYWRKNGRVLSGVSLERGLALVGPEEGRMAEAKWKRQLEVETQTQGRRHDLAKEVCAMLIDAVRGLGP >ORGLA06G0064500.1 pep chromosome:AGI1.1:6:4903975:4904265:-1 gene:ORGLA06G0064500 transcript:ORGLA06G0064500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGSRGFDPARLDGVLALFGGEARAALAAAEEEHEAAARGTEAAVEAARGHLDDVMDAAVGKYRGSSGDADALSAATAAMDVAFKATTSNTRRS >ORGLA06G0064400.1 pep chromosome:AGI1.1:6:4893637:4901546:-1 gene:ORGLA06G0064400 transcript:ORGLA06G0064400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEQDHGRSDAIALPPDTEESDVSLNWLLGARDRFVLTSERPNKKRKLLGADAGLERLVQLPPLEGEAGTTCDVCCLGECGTSSNRMLHCSSCKVSVHQKCYGVHVVPDQSWLCAWCKSIRSARRQTRSDAGRTVLMPCVLCPKEKGALKPVKRDSGQIADGGNLKFVHLFCSLWTPEVVVEDLNSMEPVTNVGDIQENRTKLVCSLCKVMHGACIRCSHGACRACFHPICARESKHQMEIWGKTGNTNVEMRAFCLKHSTVQETISIQNDRICAEEDTSQIELDDASLATQKIQQLRLTRNNKDKFTSSTIASSCSSSLKQTTELATSPSTARSVESQETQITDMAVDRPIGDRCLVSNSGDVSTALRKLIDQGMVNVGDIESELGVSSESLEAALVPETSTFSPGLKLKIIKLLQNTIRVPSVQEKCSKEGSLALQGTVFTGESKSLTDTQICSELEEGISSFDHCCPEGDNTNKDWADSVENGFHNCGEDCISGKCFLNQDGSRCYVHPFIERKLRILWDHIFKQNKHPIHCHEQSTCDPHDRIAGSSSTKLEQLADIAVADQVSKAKSSGILEHSPHDEIEGELLHLQSRLLDDVGGAKQRYEDLVLKIVQSLSHDLDSFNKRKWDHIIANQFLRDLREAKKRGNTERRHKEAQAIMAAAAPCILPTSRNAPVRKVAECDVLSAKQESVPVAVPAKQEVHSPKQESIPKFNTGSSRVSQLISVQQANDSSPNSKVSADANIGSFDLAKFSKKNALPCDICMRSETVLNRIFVCSSCKAAVHLDCYRSVTNPTGPWKCELCQEMPSDVVAGSQSDCDGSKPCLAQCDLCHGTSGAFRKTIKGRCIHAFCAEWLLESTFTRGQYNAVDGMESLPKDKDTCTICHRNVGSCLKCSTVDCQITFHPTCARDAGFYMDTKTIGSTLEHKAYCGKHGIEQRKADLLQLHGPEEVKNMKQMRVDLEVLRLICERVVKREKLKKDLVVCGHDTLAARRNSIAYSTRTSYCGSGPGASSESATTSVNNSYSGLMQRTDDVAVDSIISRKPTVRFSLNNSDADRNTADSSTSSISYKQKLDDRESLADKNLPKKPATAMQISEEGETKSSDKKNQRPPKSIVYTRRSALSKKRQLSQNVEGPGG >ORGLA06G0064300.1 pep chromosome:AGI1.1:6:4890142:4891392:1 gene:ORGLA06G0064300 transcript:ORGLA06G0064300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEVGGGLGQRRPRGCHQKHPRRSPPEKENMVTEKLDGPFSNIVGMKNTWRKTKPVGPWEDIKKRNGNLSRGVRGWWVNMLFISLSLLVGECDIYDA >ORGLA06G0064200.1 pep chromosome:AGI1.1:6:4887493:4888857:1 gene:ORGLA06G0064200 transcript:ORGLA06G0064200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLAMPEIVRRMAHRARARRRLLSAAEAAPVRARVRAGLGAGGGIVTNEYLVHVSVGTPPRPVALTLDTGSDLVWTQCAPCLDCFEQGAAPVLDPAASSTHAALPCDAPLCRALPFTSCGGRSWGDRSCVYVYHYGDRSLTVGQLATDSFTFGGDDNAGGLAARRVTFGCGHINKGIFQANETGIAGFGRGRWSLPSQLNVTSFSYCFTSMFDTKSSSVVTLGAAAAELLHTHHAAHTGDVRTTRLIKNPSQPSLYFVPLRGISVGGARVAVPESRLRSSTIIDSGASITTLPEDVYEAVKAEFVSQVGLPAAAAGSAALDLCFALPVAALWRRPAVPALTLHLDGGADWELPRGNYVFEDYAARVLCVVLDAAAGEQVVIGNYQQQNTHVVYDLENDVLSFAPARCDKLAASL >ORGLA06G0064100.1 pep chromosome:AGI1.1:6:4877649:4880475:1 gene:ORGLA06G0064100 transcript:ORGLA06G0064100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWPAAEAAGALVVAILAAAAGGAAGKTTIEPCAGADTCAALLGYTLYADMKVSEVAALFGADPRAVLAANALDFASPGAANRILPAGLPLRVPTRCACSDGVRKSVAVRYSARPADTLASVADVVFAGLASADQIRTANGLSAEDPDAPLDAGATLVVPLPCACFNSTDNNLPAVYLSYVVRVGDTVQSIAATHATTVTDISNVNAMGSPIVAPGDILAIPLPACASMFPNSASDYGLLVANGTYALTAGNCVQCSCGPGDLKLYCTPASLTASCSSMQCPNSNLMLGNVTAQSTSGGCNVSSCSYAGLVNGTIATSLSSGLQPTCPGPHQFPPLRATPIAVNQGSYLAPSPAPGAEEAGGDIPGFPGSSNVSPANGPSGSVSQAASVNRPHQIVALILSVALYFQM >ORGLA06G0064000.1 pep chromosome:AGI1.1:6:4872776:4876374:1 gene:ORGLA06G0064000 transcript:ORGLA06G0064000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVMPKQRAMEAEQREEAMEMSGLELWKHEKPASMVVFLPPPPPPPPLVPAAAAAAAAACGEEATLVPPLNFAMVDDGIFRSGFPAAANFRFLKSLNLRSIVYLCPEPYPETNAEFLAKNGIKLYQFGIEGRKLTTSELLLLQEPFVNIPDDKIREALKVVLDVRNQPLLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYQRFAAAKARSTDQRFMELFDISSLKHLTASHC >ORGLA06G0063900.1 pep chromosome:AGI1.1:6:4844233:4848931:-1 gene:ORGLA06G0063900 transcript:ORGLA06G0063900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLSVESKSVDLSRDAWVRMKLGIYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALASKLEGREAVKKKAFVPPPRFFNIDEAREMHIRVERRRDKDSGEYFEMIDGLMFKDGFLYKTVSIKSISTQNIQPSFDELEKFRKPGDDMNGDMSSLSTLFANRKKGHFMKGDAVIVIKGDLKNLEGWVEKVEDETVHIRPKISDLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVEGHVLIILSDTTKEHIRVFADHVVESSEITTGITRIGDYELHDLVLLDNLSFGVIIRVETEAFQVLKGVPDRPEVVLVKLREIKSKIDRRTSAKDRSNNMISSKDVVRVVEGACKGKQGPVEHIHKGILFIYDRHHLEHAGFICAKAQSCLLVGGSAGGRRGNGMDTSDPRLGALRSPASILQSPGRLPPRGPHMNFGGRFGGGGRGGRGHDALVGKCIKIKSGPYKGYRGRVKEVTGVLVRVELDSLMKIVTVKRDDIADTPTVATPFREPRYPLGGETPMHPSRTPLHPYQTPMRDPGATPIHDGMRTPMRRGWAPMSPPRDNWEEGNPATWGSSPAYQPGTPPARPYEAPTPGSGWANTPGVSYNDAPTPRESNYGNAPSPYVPSTPVGQPMTPNSASYLPGTPGGQPMTPGNVGMDIMSPIIGGEGEGNWLLPDVLVNVLRAGDDGPGVVREVLADGSCRVALGSSGNGEIVTVLPTELEVIRPKKSDKIKIMNGNFRGYSGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLAS >ORGLA06G0063800.1 pep chromosome:AGI1.1:6:4842864:4843483:1 gene:ORGLA06G0063800 transcript:ORGLA06G0063800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIAARFMDKVEAAAAEEEEGDVGGEEVEALPEPPDDAGPVAWPMPEFCPLTIDGLVKESFMEALRKDAAEQALRDAEAVEAARSPESRPSSSKRQRAATASPQQQQQPSSSSSSSSRSPYRNILQVFQQCKQDVT >ORGLA06G0063700.1 pep chromosome:AGI1.1:6:4839019:4839388:-1 gene:ORGLA06G0063700 transcript:ORGLA06G0063700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVCALLSGGKYAEKVVVLAGQLLLVPEGVSLTDAAGLLEVACTIWSTAWRIVLIHCGSSGIGTFYYTDCKATWN >ORGLA06G0063600.1 pep chromosome:AGI1.1:6:4820764:4823298:-1 gene:ORGLA06G0063600 transcript:ORGLA06G0063600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WISATNPEGSDSQRRRKRYHRHTPRQIQQLEAMFKECPHPDENQRAQLSRELGLEPRQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENIAIREALKNVICPTCGGPPVGEDYFDEQKLRMENARLKEELDRVSNLTSKYLGRPFTQLPPATPPMTVSSLDLSVGGMGGPSLDLDLLSGGSSGIPFQLPAPVSDMERPMMAEMATRAMDELIRLAQAGDHIWSKSPGGGVSGGDARETLNVDTYDSIFSKPGGSYRAPSINVEGSRESGLVLMSAVALADVFMDTNKWMEFFPSIVSKAHTIDVLVNGMGGRSESLILMYEELHIMTPAVPTREVNFVRYCRQIEQGLWAIADVSVDLQRDAHFGAPPPRSRRLPSGCLIADMANGYSKVTWVEHMEVEEKSPINVLYRDLVLSGAAFGAHRWLAALQRACERYASLVALGVPHHIAGVTPEGKRSMMKLSQRMVNSFCSSLGASQMHQWTTLSGSNEVSVRVTMHRSTDPGQPNGVVLSAATSIWLPVPCDHVFAFVRDENTRSQWDVLSHGNQVQEVSRIPNGSNPGNCISLLR >ORGLA06G0063500.1 pep chromosome:AGI1.1:6:4817637:4819002:1 gene:ORGLA06G0063500 transcript:ORGLA06G0063500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AATLLLALAIARDRDREMLQRYTSYKLARLYINGQKSIPEPFILCCYNTSDNARGDKDAYGWMDDDAWMMI >ORGLA06G0063400.1 pep chromosome:AGI1.1:6:4783606:4792031:-1 gene:ORGLA06G0063400 transcript:ORGLA06G0063400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQERDDVPMLELQRFPTRSVSMCIPVRDDIYEDSIISHSGPIFTPAPTQYTSVAIPSGNRDMLDKLPRPKVKSKPHVTPEEVGISNWPYDQHVPKNKHLMMYSEPLGLCDNPDCVDCPRACKNKRHFQRSLAPFDNKFHNILYGYGDRWKKKAGHYLSYIPIMKPHDKAVHRWNQFFVISCLLSIFNDPLFFFLLSVDKDYKCIVFNWNFAIALAAGRSVTDAIYFLHMLLQFRLAYVAPESRVVGTGDLVDEPMKIAMRYLRGFFVLDLFVVLPLPQVMILLVIPKYVGLSSANYAKNLLRATVLLQYVPRIIRFVPLLGGQSTNGFIFESAWSTFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRDSCAASNISKALCNNCTDCGITGINRNNWLNNSDLTGCFDTKTGNFPYGIYQQAVLLTTEPGLKRYIYSLFWGFQQISTLAGNLIPSYFVWEVIFTMAIIGLGLLLFALLVGSMQNFLQALGKRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRSAERFSWVATRGVNEEELLSNLPEDIQRGIRRHFFGFLKKVRLFNLMDNATWDAICDKLRQNLYITGSDILYQGGPVEKMVFIVRGRLESISADGNKSPLQEGDVCGEELLSWYLEQSSVNRDGGKIKLHGMRLVAIRTVRCLTNVEAFVLRARDLEEVTSQFSRFLRNPLVLGTIRYESPYWKNLAANRIQVAWRYRKRRLKRAEMQRLQ >ORGLA06G0063300.1 pep chromosome:AGI1.1:6:4780066:4781521:1 gene:ORGLA06G0063300 transcript:ORGLA06G0063300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQCVSLQSSDPSTSTAATQNAAARSREGGGGDGVGRRLHQLHHHGNVDLGKKSSGVARRRLALLQQENGVDCSDSKGPGEEHGGAGDAHRSVPLPCTGGEVGSKSEPAAAVAPAVIVDVKEEEKSVGNGGGGGGAKKRRGGGAPAVLMEGSRCSRVNGRGWRCSQPTLVGYALCEHHLGKGRMRSVTGGGGGRGGASQLGRTXXXXXXXXXXESYRASLMRRNEFLPIWGCTFWPPA >ORGLA06G0063200.1 pep chromosome:AGI1.1:6:4771553:4774559:1 gene:ORGLA06G0063200 transcript:ORGLA06G0063200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLWKQSGLAGMACAADVGAAGAGRRARLAVYVLAVAFAAFTSYVAVSSSSPPPAGESASWFGGVYASTAPYRAQVSGFFSSIFPTGSSTPSPEQQPPPPRRGEGGGQVSSHGIDEHARVRSGAAHSVPVDPAASTKHSGSGGGGGAASNNGGGGSAPPPGNLAGSGTPPAKGSGGDGGGAPANNSTSGGAPGNSAVEQSSPAGDGGGSPSTASSSAGKSSSANTGEESVDKSNKQSGSGGEAPSNGDAVSDKKNSTAKADTEVAVKASSDNSTGTGSSAKGESNVGSNSSAGSGNGVASSVSSAAVNSTAVKTDAKDVVVATSTDSAGSVSDVKADLSNRSDAPPASGSGHSNHTSDVTVSPAKGNAKDGGADTNKASGNVASTSNQTASTAMVGKKAGGSPSKNQTSVASTNSKNQNQTSAGVASGGSSGTTSKQEETTSQGSVGSSKDHPAQAINSKTSNYSEVLVKGNGSSTKQASQKQPDKKVDWIKEMASCDMFHGNWVRDESYPLYPEGSCPHIDEPFDCYLNGRPDRAYQKLRWQPSSCNIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVKDKRKVFEASGRHEFKTEGSYSFLFTDYNCSVEFFRSPFLVQEWEMKVSNGKKKETLRLDIVEQSSPKYKDADFLIFNTGHWWTHEKTSLGKDYYQEGNHVYSELNVVDAFHKALVTWSRWIDANVDPKKTTVLFRGYSASHFSGGQWNSGGSCDKETEPIRNEQYLSTYPPKMSILEDVIHKMKTPVVYLNITRMTDYRKDAHPSIYRKRNLTEDERRSPERYQDCSHWCLPGVPDSWNELLYAQLLIKQHQMLQQ >ORGLA06G0063100.1 pep chromosome:AGI1.1:6:4761427:4761738:1 gene:ORGLA06G0063100 transcript:ORGLA06G0063100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMAEAAASMSPELAAALAKVAVFAVVQALVYLILRKSSGVFSPDRTAAAGSRSLSFRPMRSMSVRRFLAALSDVPVGVTEDGGSPAPAPAPPHRGPADLAE >ORGLA06G0063000.1 pep chromosome:AGI1.1:6:4750692:4756278:1 gene:ORGLA06G0063000 transcript:ORGLA06G0063000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRVDLSGAEIRVDPAGGAAADDGGSPPVFLPRQPAAPPLLALDIGGTLIKLVYTASCGGGGAELRFAKFERRRMQECFDFVRAQGLVHRNGSTMGSSKENIALKASGGGAYKYTEDFREKLGVCLDKVDEMDSVVSGANFLLQSVPGAAFTHMNGKKSSVDISPNNLFPYLLVNIGSGVSILKVTGNRKFERVTGTHIGGGTMFGLAKLLTGCKSYDEFLQLSQKGDNFVLDLIVKDICGELVCQKQGLSTSTLASSFGKVITSKKKLTDYRPEDLASTLLSAFTYNIAQISFLVASILRLRRVFFGGSYIRGHKSTMQNISYAIDFWSQSKMQAVFLQHEGYLGALGALMSYGDSGDKNMNLEEMKEEENIHESATPIDETSTDEHNDGNIFPYLLVNIGSGVSMIEVTGNGKFERIIGSHLGGGTILGLARLLTGCSSYDEFLELSQRGNNLAVDLTVGDIYGEHGYPKIGLPASTTAASFGKVSSSRLSEYKVEDLAAALLNSFTYNIGQIAYFVANLSGLKRIFFRGAYICGHEKTMDKISHSLKYWSKGQVQTTFLCHEGFLGTLGAFWSYENMGIDGLAAHDVIREVLLGAPYTGQLPSLPLTHQQDNGEDTTFEGEVERLRHDNAVLKAELERLQRENTELKAKLVKSGKPNTFYH >ORGLA06G0062900.1 pep chromosome:AGI1.1:6:4739312:4739839:1 gene:ORGLA06G0062900 transcript:ORGLA06G0062900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASSGGYYSYYNGHQPAPYYYGYAQPARVAGGGGVGGSQRPSAHALLLVATLLLVAVTTLYARCEEAVESLLDQLRVLLILSPLLLIVAVQVWAASAAAAADRRGAGGGLMYLLAQLMGMGDGGGSPYGRWHGGGGGASSSPWGVALVLVLVLFLVSYQSSFQSWWFPLLSRR >ORGLA06G0062800.1 pep chromosome:AGI1.1:6:4732797:4736537:1 gene:ORGLA06G0062800 transcript:ORGLA06G0062800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYMLAENVPANRLCVGHGEFPSDSLPVQETPLVSRKTSDHVDILREQFNILSGEVALHQSVLKRLSEEAGKNAMNEQIEMEMKVVNDEVKLNKQKIASLERRISNSMSDSRGMHDNLELSLPYIEIPEQLNEKAFQLEASECQEFLLSERTTFQHNTGIVQETGSQAHKGKPLPSDVSDEFLKKASQAEIDELKQRVSELTEAKSQLDSCNHKLLEESTYAKGLASVTSVELKALSVKVTKLMKQNERLSSELASGRNQRRGSHGPRGARRESHTKRYEPARRGDMNALEAMLKEKDQRQAELHTKIEESKQKEAFLEKELANMWTVLANLKKTRGIDQEDFDSKYNGSWA >ORGLA06G0062700.1 pep chromosome:AGI1.1:6:4723743:4731284:1 gene:ORGLA06G0062700 transcript:ORGLA06G0062700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:I1Q0G4] MASRHRRGAFPAGAKAAPEAEAAKESVAVAVRFRPLSPREVRRGEKIAWYADGETVARSEQSNLAYAYDRVFGPTTTTRHIYDAVAQYVVNGAMKGINGTIFAYGVTSSGKTHTMHGDQISPGVIPLAVKDIFNIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDLQGTIVEGIKEEAVLSPVHALSLIAAGEELRHVGSTNFNLLSSRSHTIFTLTIESSPRGQSNEAEAVTLSQLNLIDLAGSESSRVETAGVHQKEGSYINKSLLTLGKVISKLTDEKATHIPFRDSKLTRLLKSSLSVNLHSYSSIKQF >ORGLA06G0062600.1 pep chromosome:AGI1.1:6:4717997:4718782:1 gene:ORGLA06G0062600 transcript:ORGLA06G0062600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHGMHGVAAAAPDATIRLFGRDVVSNDDAVVVVVDGQLPKEEAEEEAGGGAAAAAGETRRFECHYCRRNFPTSQALGGHQNAHKRERQHARRAHLEASLAAAHYLGPSSHLVYGGAALFGYGGHAAAVSPQYGRVWASSAVAPPGLYATSMGMARPAAYGAGVDVSALWRASSSSSSSPPMMGSGGGGTFGTVAGGGRHGEAAAAALVGCRAGKDENVVMSVVTSLPSLPSWQLPAPEKMGRSELGQEAGVVSLELRL >ORGLA06G0062500.1 pep chromosome:AGI1.1:6:4705271:4707260:1 gene:ORGLA06G0062500 transcript:ORGLA06G0062500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAREKGRAAAGRGGVRGCGGGEAAAETQVKGEGGGRVRRLRLRRGARRSDGRPAMRRRRHGVGGRAVAPWPQLGGNRSWFKLPMLSSQQASYVSEDQQHEEDDGDGDEVLIPGLPARFTYAELEEANREFFKPLTMAADRFLHFASLVSVVVLAAGSRSPGGVAALPRRGQLVDGGDNDKNKCVYMLYYMGTGWIWKAGTDAAIGVELTAADGSGFAVRDLERRGCPILLRTHRTTSTSQTSLATLTQPSRLASTSYHLLPLVPLATDLDLTSSSLPPLACLAPNRDTRSR >ORGLA06G0062400.1 pep chromosome:AGI1.1:6:4700102:4703099:1 gene:ORGLA06G0062400 transcript:ORGLA06G0062400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRTGAHLHRDPTMNPGLGSARCPRCLSLLTPNSSGEGDWAITSVLHDATAVAGSGAGAMLSAVHGFNTGIPFVQKHVKGPKWLQLLVGVPPLLLFSGTSALFGAYALPTFAQLTVTSYYAASSASHYAVSQITRQIERSHMSDTNGNSR >ORGLA06G0062300.1 pep chromosome:AGI1.1:6:4690176:4697202:1 gene:ORGLA06G0062300 transcript:ORGLA06G0062300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRAGTEKGAAAGRGQTAIQSTIQSIKEVVGGHSDADIYAALRECNMDPNETTQKLLNQDPFHEVKRKRDKKRESAGQKTVPDASAQAEHNSQWTKPRTQRVENDQRRAYSHGQASGNTAESHGPNREFRVVRDNRHGVLENRPELGHKGSPNVKVSDRSVPVVQTGWNHPPATTSEGQITQGAKHSYNSDVHQVKRQAQGTAQKYVKPHLKNSQDEQHPPTSDPAHTRPNLKAAEGAVGSVQRHVGVVSVQRQPSGRSSSHLHVQSGGSHVNNQRGNFVPGGPSGRHFMSKNMQSVHRTALDSVHRGRSGGRSFVASSSKYQQGPASNQKVTQPAKEWKPKSTKKSSNIDTDNNNGTDVITTSASNTENSNVLDENALCEKTSQACIHEVEHVIIPEHLRVPEYEQTGLRFGSFSPGSGADQVSSSESPSESEEQEHVQEPVQLVVEDDSLRAGHDEVDVEARSSQLNLSTLTAEISLPPSEDSVEMNGEEVENDDGLGLVQSDTPLAPVDGQNMQSASNLTAFSTYGHEDPNMHPSNEAQLYRLVEPNVHPQVMASPSQVNLPGYPSENPEADNAVQVFRIPESNVHSQVLPSTSEAISSQIVSSSPVAISQQLQHMSQPQQAAQLYPPVHMQHYPNFMPYRHHIYSPVYVPPMAMPNFPTNIPYPSNGNNYLQMPGGGSHLAAGGMKYGVSQYKPVPAGSPSGYGNYTHPAGFTFSSPGVGVIGGAVGVDDVNRIKYKDNNLYAPSPQVETSDIWIQTPREMPTLQCPPYFNLSGQATSGAFVPNPGNASFNATAQSSHAQFPGLYHAQQPSSIVSPHPMVHQQVQSAIGPNGGVGVATPAPQVGAYQQPQLGHWRPGF >ORGLA06G0062200.1 pep chromosome:AGI1.1:6:4687395:4689367:1 gene:ORGLA06G0062200 transcript:ORGLA06G0062200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRKVAGGAPSDGGVLFQEIWNCPYSMETLPSHGEDIDGGASPSVSMLSEVAARRRITIVGGSIPERSSGRLFNTCCVIGPDGQIKAKHRKLHLFEIDIPGDITFRESDTFTAGQEPTIVDTDVGRIGIGICHDIRFPELAMLYRSRGAHLICYPSAFNMSTGQLLWDLMQKSRAVDNQLFVVTCSPARDPNAESDYMIWGHSSLIGPFGEVIATAGHEEATVVGEIDHSMIQTIRENLPLEMQRREDLYSTHWLMSGENLQATRHAPLDQMHNCNEVKMALQPWK >ORGLA06G0062100.1 pep chromosome:AGI1.1:6:4676314:4679408:-1 gene:ORGLA06G0062100 transcript:ORGLA06G0062100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPNHAKPAAPANADLVLLIPPDHPQPQLHPNHHQPQQPPMTPTTPLPEAAKPPQNPEKTAASSPHAPSSRPPLPPASAALLRRRSSLTKPKSRFVEPAAPPSSAAAAAASSTSSHASPAHPAAAAGGGSGAASTPHTPAEADDEEEVFPKEVRRKSSARCRRRMKLSVELLVLVLFLALLVVSLVVRPLKGAGFWGLEIWKWCVMVICVFSGHLVSHWVVTLVVFLVERNFLLRNKVLYFVFGLKKSVQVCLWIGLVLIAWSQLFDRDVGRSAKTARILNYVSRFLASVLIGSVIWLIKTFLMKVVASTFHRKAFFDRILENVFDQYVLQTLSGPPVMELAENVGREGSGLGRVSFTKPKEEKGSPGVIDVMKLRKMSQEKVSAWTMKGLMAAIGSSRLSTISNTIESFDDVDGMEQKDKEINNEWEAKAAASAIFKNVARPGYKHIEEVDLLRFFNKEEVDLVLQRFEGAFETRKIKKSALKNWVVKAYLDRKSLAHSLNDTKTAVMQLHNLIRVLVIIIIIIITLLLMGIATTKILLVISSQLLVVVFIFGNACKTVFEALIFVFIMHPFDVGDRCVIDGIQMVVEEMNILTTIFLKNDNEKVYYPNSVLSTKAISNFYRSPNMYDTINFAIDVSTSIERIGALKSRIKGYIDSKPTHWCPIHTVNLKDILDVNKINMSLCVQHTMNFQNIRERNLRRSELVMELKKLFEEMSITYHLLPQKVELSFVGPNPIPIALPQSR >ORGLA06G0062000.1 pep chromosome:AGI1.1:6:4663401:4665729:-1 gene:ORGLA06G0062000 transcript:ORGLA06G0062000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLFACFGRGGEEAEEEAGKRPALRRRRTVNLRSLSLEDLSRTLAKTNLHAFTLDELKAATKNFSTSNFLGEGGFGPVYKGFVDGELRPGALESQHVAVKYLDSDGVQGHREWLCCTNHQVNNDFLVNFCDQAEVVYLGMLSHPHLVKLVGFCNQDDHRMLVYEYMPRGSLENHLFKNLLASLPWSTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDKDYTAKLSDFGLAKEGPQGDATHVTTRVMGTHGYAAPEYILTGHLTARSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRRADRLHRIMDPSLELQYSARAAHAAAKVAHQCLQSVPKSRPCMRDVVDALEPLLAVDDDVPMGPFVFTVGGEEAAAAAAGSSAAGDAGDDEPARGSRRGKKHVTSAVHAESPLRDGRYASRVKRPESPPSVI >ORGLA06G0061900.1 pep chromosome:AGI1.1:6:4652016:4652924:-1 gene:ORGLA06G0061900 transcript:ORGLA06G0061900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGMRHPIDLGHALVLKEASLHFFGSVHLEDALTTLPSMLPCVQSLSLDAYVPLTTLPSVPPCVQPLCLDVYVPLEVCCYTFEVICNSLLNSFLLSPFQVSSLLKNTCKFSHLKYLQLKLRLYYHDLGNILSLASFLRASPCIEKLEIHFNSYALSHLYYQLIRRLPQGEYGYLKNMHITGFVASTGELEFLLHVVESASILEVLTIEAAGMLGKDIDYEGRLKVEELTRRYLDGIMLRNTKLYMA >ORGLA06G0061800.1 pep chromosome:AGI1.1:6:4645217:4645831:1 gene:ORGLA06G0061800 transcript:ORGLA06G0061800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSVTAFFGLLVSMYATGFFPYVDLQSGGESCFVRAPPLDAAVHTMLLAAAAAMVAFHTSVALIYARIGGGGGGAVDRMIRPQVVYLILFLSSGVLHVFLAPQPGAIDGGQDLLPLAVAVVHVLRPAAAATTFFLSMTLIYTHVRAVGRGEGGAGAAATAAGNVPIATTTVELLAKLVLAAALVTVVLTLTSTVLAASYAD >ORGLA06G0061700.1 pep chromosome:AGI1.1:6:4643957:4644259:1 gene:ORGLA06G0061700 transcript:ORGLA06G0061700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHDSAGEMESSCLLDPANCSDKNVKYSYMVHSPDLKRGICCCRMSSAAVKWKNLSIQALLSHANHVDAIDVYGVPCHAPFCERTVTGSWSKNPSEQSQN >ORGLA06G0061600.1 pep chromosome:AGI1.1:6:4624819:4625391:1 gene:ORGLA06G0061600 transcript:ORGLA06G0061600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTAAACFGLLVAMWAIGLVSYLDQFLGALMSSARALPPLDVAVEVVMAVAAIGSLNTAMASIYFRVYNGRAAAANRRMLDGACFAVCASASVLLHLIFFLQPGAMDGADQDLLPLAAAVVRALLPASAAVTFFASIILVYAYLRSGGAGAGTGTSVKLLTKVTNSAALVTIVLSLVVAAIVVFYSE >ORGLA06G0061500.1 pep chromosome:AGI1.1:6:4606624:4607394:1 gene:ORGLA06G0061500 transcript:ORGLA06G0061500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILRNTIVVAMGAGALGGPDALRLLLGFAGRSPLVDILIAVFVIAAVTAPALGTMLLARFFRKARAAGGGGTGAAAADPFVKMTLVVSLAVAVLVSASLLVLPLFQSGHLGTLAFAGAALAVGACAARARGVLLPNAHGAAPATERFAKATLMVSLAAVCFLLVPCVAVGILDEPAQRLLASALKSPLATVPIGVATATVIGATVVALFFFRKAQNADAAAATAPTAMAMALFHNHKMILVMIAPFVVIFFLCS >ORGLA06G0061400.1 pep chromosome:AGI1.1:6:4597816:4598154:1 gene:ORGLA06G0061400 transcript:ORGLA06G0061400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLAMAIVLSLGCAMVGAPETLRLLLDLAGQSSPIAGVVVIVGVICAVTAATVLGAMLLVRFIRVAGNAPDPTTERFPRVTLTVAVAVALLVAACLLAVPTVPSATFARA >ORGLA06G0061300.1 pep chromosome:AGI1.1:6:4591822:4592184:1 gene:ORGLA06G0061300 transcript:ORGLA06G0061300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLVMAIVLSLGCAVAGGPEALRILLGLSGRSPVADIAICVFLICAATAPVLGTVLLARYFRAAGKADGVGGAPAPAVDPFARVTVAVALAVVFIVATCLLVVPSAGGRDPGCGAMHA >ORGLA06G0061200.1 pep chromosome:AGI1.1:6:4591044:4591760:-1 gene:ORGLA06G0061200 transcript:ORGLA06G0061200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGAAASSFCVLRKKKGIAMVPMTVATPTGTVASGFLWAKARRPCSGASRMPTAMQGTRRKQTAKRARSMVPRAGAVTAAMTKTAMRMSTRGLLPAQASNSRSASGPPSAPAPMAMTMVYRTIPAMGSQQTLSLAVLPAASRRPLI >ORGLA06G0061100.1 pep chromosome:AGI1.1:6:4583990:4584319:1 gene:ORGLA06G0061100 transcript:ORGLA06G0061100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDIVTAIVFALAAAVLGGPEALRLLQDVAGKNPAADVAIIVGAICAVTSAVLGAMLLVRFIRVAGDAPDRATERFARVTLTVAFAAIFLVAGCLLAAPAADKFASSA >ORGLA06G0061000.1 pep chromosome:AGI1.1:6:4578393:4581717:1 gene:ORGLA06G0061000 transcript:ORGLA06G0061000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1Q0E7] MDATKKRVAIVGASASGLTACKHALAKGFRPVVFEAAGDGVGGVWRRTLASTRLQTPAFAYRFSDFPWPADVSGAEVFPRHDQVVEYLAAYARRHGVTECVRFGCKVLAAEYAGVPDEEAAAWERWSGNGEAFGDGSGEWLLTVQHPGSEATQIHRVDFLILCTGRFSGVAHTPTFPPNRGPEVFHGQVLHSMDYSNMGHAAADELIRGKRVAVVGSGKSAFDTVAECAAANGGRYPCAMICRSGRWMVNGGFVWGVSLGHLFCNRLAELTVHKPGEGLALALLAILLTPLRWLLSKLAETYFKMQIPMEKHGMVPEESFAGSMSGCRLGVLPDKFYDRVEEGSILIKRARSFSFCTDGLVLDDDDTSERVDADVVVLATGFRGDQKLTDMFVSATFKQQIVAAPLYRQCVHPRIPQMAVIGYTENLTSIYTFEMMAKWVAHLLAGAFRLPSIVRMEASAAEWDEHLVMRRHGEGGGGKPCLGGVSTWYNDEMCRDMGYEPRRKKGILAEWLQPYRPA >ORGLA06G0060900.1 pep chromosome:AGI1.1:6:4575710:4576081:1 gene:ORGLA06G0060900 transcript:ORGLA06G0060900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVAMAIVLSLGCAVVGGPEVLRVLLGLSGRSPVDDVAICVFVLGAVTAPVLGNMLLARYVRVVPGAAAAAAAPHAPAVDPFARVTVAVALAVALVVAACLILVVPSSAHSAIRDPGSGAA >ORGLA06G0060800.1 pep chromosome:AGI1.1:6:4572186:4573529:1 gene:ORGLA06G0060800 transcript:ORGLA06G0060800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1Q0E5] MELSKTLLVKQENYGTNGIHACTYLCIIKSARVPWCSCQLPHSKXKNCATYELMEASKAKRSVAIVGAGASGLAACKHLLARGFRPVVFESGEAAGGVWRRTLATTRLQTPAQAYRFSDFPXPAAAAAEEFPRHEEVAAYLDAYARRFGVLERVRFGSKVVSAEYAGVPEEEAAAWERWSGNGEAFGDGRGEWLLTVQHRESENLQTYKFDFVILCIGRYGVASVPTFPPKGVPEAFHGQVLHSMDYSSMDRTAAAELIRDKRIAVVGSGKSAFDTVAQCADVNALKGGTTFAVGARNSHINRRKRKKEKEESK >ORGLA06G0060700.1 pep chromosome:AGI1.1:6:4565920:4568621:-1 gene:ORGLA06G0060700 transcript:ORGLA06G0060700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:I1Q0E4] MRGGLVASARLLPRPLVRWFLQRRAQQDINENMSGGKKVKIIFVLGGPGSGKGTQCSNIVEHFGFIHLSAGELLRAEINSGSENGTMIDTIIKEGKIVPSEITIKLLQEAIIKGGNDKYIIDGFPRNEENRVVFESVINISPEFVLFFDCSEEEMERRLLGRNQGRSDDNIETIRKRLKVFVESSLPVIEYYESKGMVKKIDATKPAPEVFEDVKAIFTHMA >ORGLA06G0060600.1 pep chromosome:AGI1.1:6:4558732:4561517:-1 gene:ORGLA06G0060600 transcript:ORGLA06G0060600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADRLILSNLDHDLGYLHKFPSDFPMSHDLGLSLFTHAGTMVGSSLRQHRQICSSGNLIVQEAFDRLNKFARAFCYWLSRVSNPKNLRRLMSMEGPSSRACQSHINHLSSRMQNLAVLQFGYLVREEHAVQLLLANFSSTTLGRLWNDFQQQHACNVLTLAGAMAIVPPLENISLKTLAESMALGNIKDYVSRPMDKPYLEDKCIKSRSVAVPSTIFQGDAIEPKTGIKFPAFLEDDSSPSTTVLVGMGFKGVKVMSVKNLDLYAFGLYLQPNTISEKLGPKYASVPTINLKDNPDFYDDLLRENLPMRVRLVLHYNGLSIGAVRDVFEKSLGLRLQKMNPNTDYHCLKTFGSYFNEDIPIPAGTKIDFCQTSDGQLITEIDGRQIGAVKSKDLCSKCILVTPKLIQIYFITSHFLNIFHPLHLDHCP >ORGLA06G0060500.1 pep chromosome:AGI1.1:6:4548402:4550679:-1 gene:ORGLA06G0060500 transcript:ORGLA06G0060500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRGHGGGGGDLFGLGGALRPAAASAAASSAGWGSDSRVGMLHCEDAAAAGEEEESDGEVESSYRGPLDTMDALQQALPRSRRRRGTKFDNSKSSFLVSAKDDVLSSQHTKPEVPSPKKRKGLLPSSVDKNKSQSKELSPVDDATSSPTNSTSSPTNCRKALYPAVVDSSPGKNRGYDERECCKNRPCHCLQTKSINVMDAFASPTIALLPELTSVQTKLVAISLNEVAELTDVISPSEKRRKN >ORGLA06G0060400.1 pep chromosome:AGI1.1:6:4533364:4540230:1 gene:ORGLA06G0060400 transcript:ORGLA06G0060400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPAAASYRALVALLLVAVAVADDGSTLLEIKKSFRNVDNVLYDWAGGDYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAVGRLKGIVSIDLKSNGLSGQIPDELGDCSSLKTLDLSFNSLDGDIPFSVSKLKHIESLILKNNQLIGVIPSTLSQLPNLKILDLAQNKLSGEIPRLIYWNEVLQYLGLRGNNLEGSISPDICQLTGLWYFDVKNNSLTGPIPETIGNCTSFQVLDLSYNKLSGSIPFNIGFLQVATLSLQGNMFTGPIPSVIGLMQALAVLDLSYNQLSGPIPSILGNLTYTEKLYMQGNKLTGPIPPELGNMSTLHYLELNDNQLSGFIPPEFGKLTGLFDLNLANNNFEGPIPDNISSCVNLNSFNAYGNRLNGTIPPSLHKLESMTYLNLSSNFLSGSIPIELSRINNLDTLDLSCNMITGPIPSTIGSLEHLLRLNLSNNGLVGFIPAEIGNLRSIMEIDMSNNHLGGLIPQELGMLQNLMLLNLKNNNITGDVSSLMNCFSLNILNVSYNNLAGVVPTDNNFSRFSPDSFLGNPGLCGYWLGSSCRSSGHQQKPLISKAAILGIAVGGLVILLMILVAVCRPHSPPVFKDVSVSKPVSNVPPKLVILHMNLSLLVYEDIMTMTENLSEKYIIGYGASSTVYKCVSKNRKPVAVKKLYAHYPQSFKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMENGSLWDVLHEGPTKKKKLDWESRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKTANNAVMETVDPDIADTCKDLGEVKKVFQLALLCTKRQPSDRPTMHEVVRVLDCLVRPDPPPKSAQQLAMPQPPAVPSYINEYVSLRGTSVLSCANSSCTSDAELFLKFGEVISQNTE >ORGLA06G0060300.1 pep chromosome:AGI1.1:6:4530627:4531331:-1 gene:ORGLA06G0060300 transcript:ORGLA06G0060300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTATTVVAAAPEPSRHGWGRGQRWRWLPSPLMQMASRMAASEPPVVNSVQDGVGSRALPRRMAWRRWLPNPPARMVSREAAAAPEPSFADGIEDEGGDAGGGPRALPSQMASRTATTVAAAAPEPSRHGWGRGQR >ORGLA06G0060200.1 pep chromosome:AGI1.1:6:4498460:4507345:1 gene:ORGLA06G0060200 transcript:ORGLA06G0060200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGAHGVETLKRYRYSGQDHSVVAKYVLQPFWSRCVTLFPLWMPKEPFSPCLVNPQVGGIGRDLFGDDYTSDVRSFTNLRTVFHNITLTGFMFLVVSALLGYVRILISQIYSPHLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTSSSSPLGELFDHGCDALACAFEALALGSTLMCGRFTFCFWVIAAVPFYLATWEHFFTNTLILPLINGPTEGLMLIYLSHSFTFLTGAEWWAQDFRKSIPLLSWVPLPFIPDIPLYIIVMILMILFAVIPTIGSNVSNVQKVVEARKGSMVLALAMLLPFIALLTGVAVWSYLSPSDIMRNQPHLLVIGTGFAFGYLVGRMILAHLCDEPKGLKTGMCMSLVFLPFAIANALTAKINAGIPLVDELLVLFLYCAYTVGLYLHLAVSVVHEIKDALGIYCFRITRKEA >ORGLA06G0060100.1 pep chromosome:AGI1.1:6:4490756:4495926:-1 gene:ORGLA06G0060100 transcript:ORGLA06G0060100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWFALVLRFIGSVLRTSRGCSRLHALLAADTSEGKSTGKENKSINTGRFDRVNNIVGGLHDVFSGLADAVDCVGGIGAITSLVRHVYDAQIAAAQWNGKELIDVPEDITPELAIEIWQIFLEHAAGDVIDKAPSLSVKVGQQIILREKIKAASMKLQSKKAAIEVHESHIKCTEEIVKAKIGKERKNYEKEFSFARGLGGHIPYEAMFVWYCYYLEFGGLHMKRERAFNQSAQPSSAAWSVSHDPHPRWTCKCNPSRVSSVSNKNPCTLHQARLQNLIQYFQCPMTQIGQRKKNRNRQTCTKGMDAAGRHIVRALIRANDAMEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLVSTSVLSSKWKHMWTICPKLRFHSSTLCGSNMCSAEQFTQKFIDNVNAVLQQHRGKLVEALEIKIDFNSRLANHLNNWITKIEIDAVNLKAFVYKGFKLRVDLSEAKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQELPWFVETSCKFSQLKCFKDVAASPVXXXPQYSRLGFLFEGCPSHXTIGARXDLYERQAGCYAISTVRFHISGPSPTAFLGDLVLQNTY >ORGLA06G0060000.1 pep chromosome:AGI1.1:6:4486540:4489200:-1 gene:ORGLA06G0060000 transcript:ORGLA06G0060000.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGEAARGVGRGARARRLRAPRRRRRRLRRPPRLRPPPPALRPRALLPPRLLRRPRRRRPGPHRQRRAARPQRGGPLPRRRGRRRGARRAVRGRQGPHRRRRRGRRLRRGRRRHRRRRRRLRPRRVRSRRAGPPPPDVPGRXHPSQVQDMQNMIFSKLPLKETVRTSVLSSKWRHLWKISPKLRFDGSTMRGEYMLEKLVGNVNATLKQQRGRMAEALEQIQLSFVSLKPPTQFSGFPKLKKLSLHLVQVIAKDLQGLLSSCSNLEWLSIVRCNLNDDELKVDCALSRLLYLRIANCEISKIEMYAPKLKTFIYEGAQLPVDPIQAQELEVADIVFKGDITFQYALTVLPVVFPSVQNLTVHANFGLQFPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKAAPLLEVLEIHFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIESAGGYVARSCLASIVSPKTKFQIVDTAR >ORGLA06G0059900.1 pep chromosome:AGI1.1:6:4483016:4484097:-1 gene:ORGLA06G0059900 transcript:ORGLA06G0059900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGGSGRCLFTATQWQELEHQALIYKYMAAGAPVPPDLLLHLRHRAAAADVDTVPSLAFPPHHLGWGCYGAAAAQYGRRVEDPEPGRCRRTDGKKWRCSREAYGESKYCEKHMHRGKNRSRKPVEMPPPAAAAVYRPSALSISPPPHDAGAGAGAPLQLHLDSFHASTSPPPSYHRYAHTSSAPLFPSSAAGYGGGWSLSKEHCLTLGGAAADLSLDKPADHHRDATSATTEKPLRRFFDEWPRSDDGRTPWDGTQLSISIPTAAAASPDLAIAGAASRYHSNGDHLRTSE >ORGLA06G0059800.1 pep chromosome:AGI1.1:6:4476222:4477427:-1 gene:ORGLA06G0059800 transcript:ORGLA06G0059800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGASCWWRMECAREWKAGRERDQMKAGASVEAMTSERSGKIGGGRSGDDATSSVSEKTRVRFGAAAQEGGREGCLGLPFGEPRERRRLRCRRRHRRSGEGGEGGLDLEEKEKAAMGGSRNESRLLPCSLSPVSPCFPAAAAAAGRRPPSCCWPPSRRRRSPLSRLACRPPAALPRLERETRRKRRVMTWITLTCGVHVGPTLTQLPC >ORGLA06G0059700.1 pep chromosome:AGI1.1:6:4475202:4475486:-1 gene:ORGLA06G0059700 transcript:ORGLA06G0059700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRCXKSCCLHWMNYLSPDLKCSNFTDDDDDDELTIKLHTLLGNKWNTHIKRKLMSQGIDSQTH >ORGLA06G0059600.1 pep chromosome:AGI1.1:6:4472311:4473923:-1 gene:ORGLA06G0059600 transcript:ORGLA06G0059600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like protein ATG12 [Source:UniProtKB/TrEMBL;Acc:I1Q0D3] MAAVAAEQKKVVVHFRSTGNAPQLKQSKFKIGGNEKFLKIIDFLRRQIHQDTVFLYVNSAFSPNPDELIIDLYNNFGIDGQLVVNYASSMAWG >ORGLA06G0059500.1 pep chromosome:AGI1.1:6:4466255:4467544:-1 gene:ORGLA06G0059500 transcript:ORGLA06G0059500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRACCAKEGMKRGAWTSKEDDMLASYIKSHGEGKWREVPQRAGLRRCGKSCRLRWLNYLRPNIKRGNIDDDEEELIVRLHTLLGNRWSLIAGRLPGRTDNEIKNYWNSTLSRKIGTAATAAAGSRGGSTPDTARATDAASSSSVVPPGQQQQPASRADTDTATAAAAAATTTTVWAPKAVRCTRGFFFHDRETAPLAAAAPAPAGELGDGDDADCDYYCSGSSSAATTTSSSSFPAVVEPCFSAGDDWMDDVRALASFLDTDDAWNLCA >ORGLA06G0059400.1 pep chromosome:AGI1.1:6:4454361:4454735:1 gene:ORGLA06G0059400 transcript:ORGLA06G0059400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMPPMLLLGQPGVEGRWMEEGVAASASQNLNRPRDALAPVTMSFLDDKADKAFLLALAPTKEAAVASSYSKSRRLYMTSSPTSTSPPHVAVLQGSYLIHVERRYLASLGPASAPSSERVGET >ORGLA06G0059300.1 pep chromosome:AGI1.1:6:4450105:4450869:1 gene:ORGLA06G0059300 transcript:ORGLA06G0059300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVPMIIVLAMGGGALGGPEALRLLLTFAGRSPLVDIGIVVFVIAALAAPALGTMLLACFYRTPRGARGGAAAAADLLAKMTLAVSMAVALLVSASLLVLPLFQSGNVVVGLLALAVPAFVVGASAARVRGVAHLRRARNASGAVTDAGRAAVTTLTVSLAAVCILLGSCVAVGGLDAHHLFTSFALKNPIIHAPTGVATAAVVGTTLLALFFRKAQNAAAAAAAAATAPLPATERAAKIISDGANPGGGCT >ORGLA06G0059200.1 pep chromosome:AGI1.1:6:4438052:4438207:1 gene:ORGLA06G0059200 transcript:ORGLA06G0059200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKQGEKDQHQPLLRQHALRFGENSYLMAAPFATMQEHNNSRSTYVESG >ORGLA06G0059100.1 pep chromosome:AGI1.1:6:4437717:4438028:1 gene:ORGLA06G0059100 transcript:ORGLA06G0059100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAILVSVAFAQILAILSNSFAVGDAAAGYEYDGAADHPVLRMAVSTLTVAVPATFYVGVMELYARVTPVAPPLRRLLAVLAPGMAWITLFLGMPPLVVLLLG >ORGLA06G0059000.1 pep chromosome:AGI1.1:6:4432153:4432497:1 gene:ORGLA06G0059000 transcript:ORGLA06G0059000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVPPIVISAFSILLGALQSLESFLAASSATSPSLDRGAQLAAGALFFTILISVELTCLLLFAYVGKLGAAGGAGHGGFFAVATATLAAAAVTALLAGAILPFFSARAGGIE >ORGLA06G0058900.1 pep chromosome:AGI1.1:6:4429059:4430318:1 gene:ORGLA06G0058900 transcript:ORGLA06G0058900.1 gene_biotype:protein_coding transcript_biotype:protein_coding CNGNGDDGDDPATATARRAATAPPTRSGHRSPCAACCGKVGDDGGGKVGDDVMGGAGYGATGGDGAPDQTCPPPPVRRSLREVASITASASITAAIQNYNAPGLSSTMASYLGEGTALQRMQMHQTKNAYESTEQHIYA >ORGLA06G0058800.1 pep chromosome:AGI1.1:6:4414076:4414393:1 gene:ORGLA06G0058800 transcript:ORGLA06G0058800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPEVAIVALCAAEGCARLLKPILDFLANGMSPSSAAADAAIVAALLALVFAYLVCVFLVYLSVTTPSAVAAAVKLSIVTAFTPVFARPAIASVVVVVAGGGL >ORGLA06G0058700.1 pep chromosome:AGI1.1:6:4406560:4410481:1 gene:ORGLA06G0058700 transcript:ORGLA06G0058700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAAAAVSQALRDRDVLDAVGTSAAALSLAGSSFIVLCYLLFRELRKFSFKLVYFLAVSDMFCSLFTIMGGPSNAFYCFAHDYSAHFFCVASFLWTTTIAFTLHRTVVKHKTDVEEFGSIFHLYVWGTSLATTVLRSIGSDYGRPGTWCWIQQGSMGKVLHLVTFYLPLWGAILYNGYTYFQVNRMINNATRMAVGISDRSIQSDMRADKKAFNRWGYYPLILIGSWAFATINRVHDFANPGHKIFWLSILDVGFAGLMGLFNSIAYGLNSSVRRAIAERLDMYLPERFKRSLPTLTRFKSQQENELTSLIVDASNT >ORGLA06G0058600.1 pep chromosome:AGI1.1:6:4403450:4403848:-1 gene:ORGLA06G0058600 transcript:ORGLA06G0058600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDVVQVARRAILFRMPRRRRAASASASASAATATATAGRMRRKKVAVVRLGGGGGGGGGTKRRLFGALRRLRVRWLAAMYRRALRRLRVCYANAVRDLIDGAALAGALRAPVGIEYSHAAAFGPAATVGF >ORGLA06G0058500.1 pep chromosome:AGI1.1:6:4401052:4401842:-1 gene:ORGLA06G0058500 transcript:ORGLA06G0058500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSESVQETLGLDFPHPSKPRVLLAASGSVAAIKFESLCRSFSEWAEVRAVATKASLHFIDRTSLPSNIILYTDDDEWSTWKKIGDEVLHIELRKWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMWNNPFTSRHLETINLLGISLVPPITKRLACGDYGNGAMAEPSVIDSTVRLACKRQPLNTNSSPVVPAGRNLPSS >ORGLA06G0058400.1 pep chromosome:AGI1.1:6:4391878:4392267:-1 gene:ORGLA06G0058400 transcript:ORGLA06G0058400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAARCECCGFTEECTPRYIAAVREEYMGRWVCGLCAEAVGDEIRRAGAGEATITTAEAFDRHVAFARSAAPRAGGAAAEDDLVAAVARLLRRCLDSPPASPAAPPPPPQGRKVAAGSGCPGGGDDD >ORGLA06G0058300.1 pep chromosome:AGI1.1:6:4382823:4385789:-1 gene:ORGLA06G0058300 transcript:ORGLA06G0058300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNKVVSNGDTRSMLPNKVTALNPNAAEFVPSCIRPSFESSAVSDVSKADLRASGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSFEKIEQEPEELSLAGLSLNAPPFYGTTASRFSREHDLSSQANKSLELGLTSLLYEDNSQASFPTMGSSNWEQNFVGDLHFTNGNQGLHYDSESAAGFSDSFASDYAAATDDVLDPLAYLASQFPDFSSESLAELYYANGCDFNHTIEILTQLEMQVDATSNPTLTPRTPNFSTGDFPALPTVEDQNGFSKGNADILSIFNGRSSPSVSTGTGDFVSAVRKLASQNSGHWKYKKGPEYGNGVSTVSVPKQYSSTTKTSSGNKFQSVSSARAAPWLETGDAVANMYSESRGEARDFARIRNACFEQARQAYLIGNKALAKELSMKGQTYNTQMKASHEKAREAIYRQRNPSSQRGSDRLIDLHGLHVNEAIHILKVELGALKSTARATGERMQVMICVGTGHHTKGSRTARLPIAVEQFLLEEGLHYTQAQPGLLRVVVF >ORGLA06G0058200.1 pep chromosome:AGI1.1:6:4375984:4381851:-1 gene:ORGLA06G0058200 transcript:ORGLA06G0058200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMAVASKPFLSTSTSTSSRHIRRATVATAAAAAPDDFDYPLADPSVRWPHLRFPHLPSPRFPAAPVARPSEGGEEEEAAAGPSSAAASASALEPLDERAHRGRVKKLSKLALRRARDWRARVAGLADRVLALAPGAPVGDVLDGARPAPDELAFVVRAVGVASWRRALDAFEWLVASGGGRAPGPRVVAVVLGVLGRARQDALAEDVFLRFAREGATVQVFNAMMGVYARSGRFDDARQLLDAMRDQDIEPDLVSFNTLINARAKSGCLAAGVALELLHEVRQAGLRPDAITYNTLISACSQGSNLDDAVAVFEEMIASECRPDLWTYNAMVSVHGRCGKAQEAELMFKELVEKGFQPDAVTYNSLLYAFAKEGDVERVERVCEELVKAGFRKDGITYNTMIHMYGKMGRLDLALGLYDEMRAIGCTPDAVTYTVLVDSLGKMDRISEAGKVLEEMADAGLKPTLVTFSALICAYAKSGRQDDAERTFDRMVESGVKPDRLAYLVMLDVFARSDETRKLMVLYRAMIKDGYKPDDGLYQVLLAALAKGNEHDEIEGVIQDMEAVFEMNPLVISSILIKAECISQGASLLKRACLQGYEPDGKSLLSILDAYEKMGKHEKGLSLLEWIRQHVPNSHNLISECSIMLLCKNGKIVDAIQEYSRKQMLKRGSFGQDCDLYEYLITYLEEAELFPEACQVFCDMQFLGIVPSQKIYQSIIYTYCRLGFPETAYQLMDDAARSDISLNILSCRVAMIEAYGKLKLWQQAENFVKGLKQESGVDRRIWNALIHAYAESGLYEHARAIFDIMIKKGPLPTVESVNGMMRALIVDGRLDELYVVVQELQDLDIKISKSTVLLMLEAFAKAGDVFEVMKIYNGMKAAGYLPNMHLYRIMISLLCHNKRFRDVELMVAEMEGAGFKPDLVVLNTLLLMYTGTGNFDRTIEVYHSILEAGLEPDEDTYNTLIVMYSRNFRPEEGFTLLYEMGKRGLTPKLESYKILLAASGKAKLWEQADLLFEEMRTKGYRLNRSIYHMMMKIYRNARNHSKAEHLLSAMKEDGIEPTIATMHILMTSYGTSGHPDEAEKVLNSLKSSNLEISTLPYSTVLDAYLRNRDYSLGITKLLEMKRDGVEPDHQVWTSFIRAASLCEQTDDAILLLKSLQDCGFDLPIRLLTERTSSLFTEVDSFLEKLGTLEDSASLNFVNALEDLLWAFERRATASWIFQLAVKRSIYHHNIFRVEEKDWGADLRKLSAGAALVALTLWLDQMQDASLQGAPESPKSIVLVTGEGEYNMVSLRKTIRAYLLEMGSPFLPCRSRSGRFVVKAYSLKMWLKDSPFCLDLELKDAPALPKTNSMKLTEGYFMRAGLVPVFKDIHERLGEVWPKKFSRLALLSEESRDEVIKADIKGRKEKLEKMKKQGLAIAKRSKRGPRRGKFVKQQSTLEVLK >ORGLA06G0058100.1 pep chromosome:AGI1.1:6:4369560:4370363:1 gene:ORGLA06G0058100 transcript:ORGLA06G0058100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKFVALSFAVLLSIGLSNALPRKYASAGGGGGGGGGGGGSGNGSGWGSGSGSGYGQASGPGGYASGGGGGGGGGGGGGNGGSGYGSGSGSGYGQAGGYGPYGGYAQGGGSGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGAYAQGGGGGGGGGGGQNSGSGYGSGSGSGYGQAGGYGPYGGGYAQGGGGGGGGGGGQNGGSGYGSGSGSGYGQSGGYGPYGGYAQAGGQGGGGGGGQSGPGGSGSGSGSGSGSGSAGWHP >ORGLA06G0058000.1 pep chromosome:AGI1.1:6:4364546:4366627:1 gene:ORGLA06G0058000 transcript:ORGLA06G0058000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLLLLLLAAAAAAAVAVVAVAQTNMADAEALMQLKKSFTNSSSLSSWLITNTDGDKSPCAPGSHEWHGVVCSRGKVTGLRLNGLRLGGTVDVGALVGFHNLRSVSFAGNNFSGPLPAVDRLTSIKSMFFSDNQFTGVLPDDFFSKLSHLKKLWLDHNELSGAIPASIAQATSLLELHLAHNAFSGELPPLPPPALKVFDISWNDLEGVVPEAFRKFDAGRFGGNQYLCYVPTSDRPCKRVQAAAASSSKRSPMAFVTLLVSVVVVALVLCLCCNRSSRVHDFDPAHRGGDGLDERPPVYMVKQFSTTGKRSASWLGKRTGSSLRGHRRAASAAKADELGGGAGDLVIVNNCKGVFGLTDLMKAAAEVIGSGGHGSAYKAVMANGVAVVVKRARDMNRATKDAFEAEMKRLGAMSHANLLPPLAYHYRRDEKLLVYEYIPKGSLLYVLHGDRGMDYAGLDWPTRLKVAVGVARGTAFLHGELAGHEVPHGNLKSANILLAPDFEPLLVDFGYSGLINHMQSPNSMIARRAPECAAGHPVGAKADVYCLGIVLLELLTGKFPSLYLQNAKGGTDLVMWATSAIADGYERDLFDKAITSAWKFALPDMARLMRVAVDCVETDADKRPDMKVAAARVEEVVAAAMATVRERHQAAGGESSRSSSHAQYVRDGSMQRITSVGERSSRRGSNDYSS >ORGLA06G0057900.1 pep chromosome:AGI1.1:6:4359246:4361507:-1 gene:ORGLA06G0057900 transcript:ORGLA06G0057900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYYFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLRNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRIYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >ORGLA06G0057800.1 pep chromosome:AGI1.1:6:4354714:4356670:1 gene:ORGLA06G0057800 transcript:ORGLA06G0057800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAATFVFLLVSALQMLDQVLDLVKKRGSITDDQLKLRLEITQILKEASALSTPSTFAQAAKLKRLAAAKEKELAKLQQQDIKGKQSLYNQYGRVMLFSKVLIYGLLILWFWSAPVTTVPKHLLQPFGRMFSWRGVDAATGRVVVGIIPWLLLTSRVSKLLCQKLAPIFLHP >ORGLA06G0057700.1 pep chromosome:AGI1.1:6:4352132:4354445:-1 gene:ORGLA06G0057700 transcript:ORGLA06G0057700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSSEKKTAAEVVAMLDLQRHPDGGFILETFRDPSISLPKSALPPRYKVDRAVSNAIYFLLPAGEIVKLHRIPCAETWHYYMGEPLTVFEVHDDGQFKMTVVGPDLRHGQKPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDSELHYSFVGVTCAPAFQIEDDEMATRESMKALVPKAEAFINYLVPSD >ORGLA06G0057600.1 pep chromosome:AGI1.1:6:4348990:4351170:-1 gene:ORGLA06G0057600 transcript:ORGLA06G0057600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSVEKKTAAEIVAMLDLQRHPDGGFYLETFRDPSISLPKSALPPRYKVDRSVSSAIYFLLPAGEIAKLHRIPCAETWHYYMGEPLTVFEVHDDGQIKMTVVGPDLRHGQRPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDPELHYSFVGVTCAPAFQFEDNEMATRETMKTLAPKAEAFINYLVPSD >ORGLA06G0057500.1 pep chromosome:AGI1.1:6:4344512:4345135:1 gene:ORGLA06G0057500 transcript:ORGLA06G0057500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVSFMQKSAAAAADGGSAAQAAAERRKYKGVRLRQWGKWAAEIRLPSSRERIWLGSYDTPEKAARAFDAAFICLRGVQAIAGLNFPESPPPPTAARTGDLREVYAFAVSHANRPSAEAAPADIVVPAQVATEESDGVVRGNAAPPPVQVAAGSLDWSQFMANPPPMYSPTATAGSQAMWPVTAPAAEADGEDDELATTCRWSFDA >ORGLA06G0057400.1 pep chromosome:AGI1.1:6:4338307:4339398:1 gene:ORGLA06G0057400 transcript:ORGLA06G0057400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANTTQPAPEKETRYKGVRLRQWGKWVAEIRLPNSRKRIWLGSYDSPEKAARAFDAAFICLRGGEAIAGLNFPESPPAVVARTTDPREVLAFATSHANLLSLLDAAIAQEEEAHSFKKEEEAQVEEKTAEESSDVVRANAAPPPPVQVAGGSFDWSQLPLYSPTTTPAAEHWEEDNVEGDIPVCSEMSVETSSNSRYIGVVFQMHSYG >ORGLA06G0057300.1 pep chromosome:AGI1.1:6:4327059:4327604:1 gene:ORGLA06G0057300 transcript:ORGLA06G0057300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRTDCQANGTLPAPEKVMRKYRGVRLRQWGKWVAEIRLPNSRRRIWLGSYDSPEKAARAFDAAFICLRGGEAIAGLNFPESPPTVVARTSDPREVLAYATLHANRLSSDATIAQEEAALLEEETA >ORGLA06G0057200.1 pep chromosome:AGI1.1:6:4295538:4296170:1 gene:ORGLA06G0057200 transcript:ORGLA06G0057200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSATARKYRGVRLRKWGKWVSEIRLPNSRERIWLGSYDTPEEAARAFDAAFVCLRGGGAGGEAAGNGINFPDSPPAVARTSDPQEVYAAAVSHANNRPPPPPSARATSSALPWEEAPVVAAQEAAADMAPDVVVLPSSPVNVLAAAGSFEYWSQQPLYSPTAASLDLQRWMTAAAAAEESIMEDDDDEGTSDGLWSFHYSPTRSKW >ORGLA06G0057100.1 pep chromosome:AGI1.1:6:4290508:4290915:1 gene:ORGLA06G0057100 transcript:ORGLA06G0057100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSVVGIVCTASYSRGARRSMVTRWVARREKLVGQLRGVGSEEVHRLWELVEDLVGSVAHVKRILSAAAHAGRTSLAPALLGGEAHRGLRTRGEARRRSHTRDGLGWLHARFQSFLPLLSHPSPSLRCPSPFR >ORGLA06G0057000.1 pep chromosome:AGI1.1:6:4285281:4289005:1 gene:ORGLA06G0057000 transcript:ORGLA06G0057000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQLSGAGVAAVAFTKNGASSFDGLRLAPPSVRVCSSRRPSRSLVVKAATVVTPKYTSLKPLGDRVLVKLGAAEEKTVGGILLPSTAQSKPQGGEVVAVGEGRTIGDKKVEVSLQIGAEVVYSKYAGTEVQFNDTKHLILKEDDIIGVLETDDVKDMKPLNDRVLIKVAEAEDKTAGGLILTETTKEKPSIGTVVAVGPGPLDDEGKRQPLSVSAGSTVMYSKYAGSEFKGADGTNYIVLRVSDVMAVLS >ORGLA06G0056900.1 pep chromosome:AGI1.1:6:4277248:4282927:1 gene:ORGLA06G0056900 transcript:ORGLA06G0056900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1Q0A6] MKDQGSSGVSPAPGEGEKKAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMHKELDNIPGYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKYDRDAMLASELGLKQNKQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELIAKDLHDISWKFRHIYRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRATRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPVRWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFALKDVQSSLFPGLSLVQWMAMQQNPQMLTAASQTVQSPYLNSNALAMQDVMGSSNEDPTKRLNTQAQNMVLPNLQVGSKVDHPVMSQHQQQPHQLSQQQQVQPSQQSSVVLQQHQAQLLQQNAIHLQQQQEHLQRQQSQPAQQLKAASSLHSVEQHKLKEQTSGGQVASQAQMLNQIFPPSSSQLQQLGLPKSPTHRQGLTGLPIAGSLQQPTLTQTSQVQQAAEYQQALLQSQQQQQQLQLQQLSQPEVQLQLLQKIQQQNMLSQLNPQHQSQLIQQLSQKSQEILQQQILQHQFGGSDSIGQLKQSPLQQDPLNHMTGSLTPQQLVRSHSALAESGDPSSSTAPSASRISPINSLSRANQGSRNLTDMVATPQIDNLLQEIQSKPDNRIKNDIQSKETVPIHNRHPVSDQLDASSATSFCLDESPREGFSFPPVCLDNNVQVDPRDNFLIAENVDALMPDALLSRGMASGKGMCTLTSGQRDHRDVENELSSAAFSSQSFGVPDMSFKPGCSSDVAVTDAGMPSQGLWNNQTQRMRTFTKVQKRGSVGRSIDITRYRDYDELRHDLACMFGIQGQLEDPYRMDWKLVYVDHENDILLVGDDPWEEFVGCVKSIKILSAAEVQQMSLDGDLGGVPPQTQACSASDDANAWRG >ORGLA06G0056800.1 pep chromosome:AGI1.1:6:4260811:4263611:-1 gene:ORGLA06G0056800 transcript:ORGLA06G0056800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:UniProtKB/TrEMBL;Acc:I1Q0A5] MQSLLLPTFAAASAAPPRRGRVPPAGRASVSVRASASAAAVAPRRETDPRKRVVITGMGLVSVFGNDVDAYYDRLLAGESGIGPIDRFDASNFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALESAGLALGSKSMDKIEKTRAGVLVGTGMGGLTVFSDGVQNLIEKGHRKITPFFIPYAITNMGSALLGMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADVMIAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDQDRDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIKQSLADAGVAPEEVNYINAHATSTLAGDLAEVNAIRQVFKDPSEIKINATKSMIGHCLGAAGGLEAIATVKAITTGWVHPSINQFNPEPAVEFDTVPNVKKQHEVNVGISNSFGFGGHNSVVVFAPFKP >ORGLA06G0056700.1 pep chromosome:AGI1.1:6:4255470:4258896:-1 gene:ORGLA06G0056700 transcript:ORGLA06G0056700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGDDDLWAKAAELERQFEGYKRRVAERRSSSAAAAADRHDGDGDGGAVEEVEVVAVGKGRRYDAYVRRRDEKLRQGWRARMERKEAEMKALWARLDVDRRRDGDLAAGNGKQQKPGNLEARPAASPATPRSSSATKATLSRPRTTPRTTTPSPAGAAASPRLSSSNPDARRRAPPQAEPPSTPRKENRVPSAAAASTVAATATPRLRALSRSRSSLKESASSVRDSPRRPPPPPPLPRRSHDGDAGDRPKQQPEPVHAATTTADDAVAPAARSCQSQQQVVLAEIKAAAAFRLRRSGNGAAQGRQPAASPRPVITRQLDGRRRPSDTGKMNSVQISRNSDVEAKNFNLDEGIGEDDDDDTAQSSVEIGSLKITGDSDTEPSYVYITKDIDDEAMNTSQPQPLAASDSNAEEPESLAPHQSEKETRDLEETAMAASSEATAKERPATDREDDSPQSSDQSFYSNVDSSFSHRSELELAASATDSPLHGSPSSTGPSTEQLLEADAAMLRKKREEEEEEEEDEAAAGEINSLLIPSTTTSSSSSVACPVTVQSPMEAVAGFKRFLTFGKKNAAAAAVAPPADDSGIGHGWPSGDSGVRLRICSSDAASDDSDNSYVIPAHVRSLQSCVPCSPARPVLLKELISSAKSPRAHRSFFSFSSFKSRGY >ORGLA06G0056600.1 pep chromosome:AGI1.1:6:4251903:4253749:-1 gene:ORGLA06G0056600 transcript:ORGLA06G0056600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26060) TAIR;Acc:AT3G26060] MAFAASTACRPSLLLPPRQRSSPPRPRPLLCTPSTAAFRRGALSATTTPTPARAALPSTTGRNRIVCGKVTTTNNYPSSCRSRRSTMMHAAAWLLLQQLSPAAARVARAADDDSSVQVSKGSAAPNFTLRDQDGRAVSLSKFKGRPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVIGISGDDAASHKEFKKKYKLPFTLLSDEGNKVRKEWGVPADLFGTLPGRQTYVLDKNGVVQYIYNNQFQPEKHIGETLKILQSL >ORGLA06G0056500.1 pep chromosome:AGI1.1:6:4249142:4249765:-1 gene:ORGLA06G0056500 transcript:ORGLA06G0056500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEQPLEAVAFRLYSLPEAAAPAGAAAWTCLAAVLAAAAAAAAGIWRLRAAAPVVAAMGGASSREDGLEPESSPATAASEQARSSSERQPEPASSPLPKETYTAYFHDSCCVGCCDMDDDDDDGEEVLEEEEEDDDEPSETTPFEWEIVRSLPLSPTAAAEVRRYRDSAPLGGSVVRLWNHVAGGGVTAASPRRRGLAGGVVSAF >ORGLA06G0056400.1 pep chromosome:AGI1.1:6:4238496:4240526:-1 gene:ORGLA06G0056400 transcript:ORGLA06G0056400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar GTP-binding protein 1 [Source:UniProtKB/TrEMBL;Acc:I1Q0A1] MVQYNFKRITVVPPGKDFIDIILSRTQRQTPTVVHKGYSISRIRQFYMRKVKYTQSNFYEKLSTVIDDFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKIAKDYLRLLKYGDSLYRCKCLKVAALGRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTRTLLICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLENLSEEDMKLVMEMKAEAMKTLGHGGEANEEGVLLTMSTLTEEGVMAVKNAACERLLDQRVEIKMKSKKINDCLNRFHVAMPKPRDNKERPACIPQAVLDARASAAAAKEKKKLERKLEKDLENENGGAGVYSASLKKHYLLADDEWKEDILPEILDGHNVADFLDPDILQRCEELEREEGLRLEEEAAQEAFQIDGHELTEEQREILGQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRTFTTNRMGRQLSSMGFDPSAAMDRARSRSRGRKRERSLSRAASDGDDMDIDGQQSSKKLRALSRSRSRSKSRPPEEVVPGEGFKDSAQKKKAIKKAKDSVRNRNKEARRGEADRVIPTLKPKHLFSGKRSIGKTSRR >ORGLA06G0056300.1 pep chromosome:AGI1.1:6:4235045:4237058:1 gene:ORGLA06G0056300 transcript:ORGLA06G0056300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGGADADLYAVLGLSRECTDADLRLAYRKLAMIWHPDRCSVAGGSASAAGVDEAKKRFQEIQGAYSVLSDSNKRFLYDVGVYDGNDGDDDDDEADLSGMGDFLGEMAQMMSQATPAESFEELQQLFVDMFQDDIDAGLCQSTPPPPSWPSPPAAANARSPAAAATSRKGVNKRCSPAAMDMDSGLSGLLGISGFCFEAPWTSQDASTAAGGGGGKRRKQRPLPASHNV >ORGLA06G0056200.1 pep chromosome:AGI1.1:6:4229731:4230372:-1 gene:ORGLA06G0056200 transcript:ORGLA06G0056200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVLSSILHIRGVYHTAAAGDDDNDHYHEDEEKPLLPPIRHYRRQWTWVRRWRQSGGGSWCRRRTWLVMPVFRRLLEKGRKRSRSSGEFEYSDGELTMSIPCDTDDFKYIVVMDTHQKGPRRRR >ORGLA06G0056100.1 pep chromosome:AGI1.1:6:4211955:4221223:-1 gene:ORGLA06G0056100 transcript:ORGLA06G0056100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAEAEAEVEVEAGGCLLQSFELYEAESKFYILGTNTDKTSWKLLKIDRIEPSELNIDESSTVYSHSGYLDLLKVLDEDHRSTGGVKFVTKCFGIIGFIKFLGPYYMLIITEQRKIGAIFGHPVYQVTRTAMIELSNSESRAKFLNSKDEDRYKKLLQTIDLRKDFFFSHSYNIMRSFQKNFNDPKEGWDLYDTMFVWNEFLTRGVRNILKSTMWTVALVYGFFKQDKLAISGKDIKLTLVARRSRHYAGTRYLKRGVNDEGSVANDVETEQIIFEDMLGPKQISSVVQNRGSIPLFWSQETSKLNLKPDIILHEKDKNYEATRLHFENLRIRYGNPIIILNLIKKRERRPRESILRSEFDKAIKIINNDLPGENHLRFLHWDLHKNSQRKSTNALQMLLKVAFEALNLTEFFYYQVPPARRAESSFNLHAPLKNGFGPHECDDSNNDDITDCIDNIDDMSQEDTCGSSDTSGNGTAEDIAEGNGSISVKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGSIESPELDLDSPLAHHLMHFYERMGDTLAVQYGGSAAHNKIFSAKRGHLKFAIQSQEFFRTLQRYYSNAYMDAYKQAAINLFLGYFQPCEGEPALWELEPVAGEGVLGENASKLMKRARSDGSILRKSNASMSSNGRNGMLKSSFIDSKSELQSPNSSSDAINEISSAPDNTVTVSKSRYTPTEPHVKHVSCELDYCNGSGDSNFLDIDWLSSSDNERPTTISIPDVNASADSVSAGVSSRRTEDHAAEIQAQGLSEHFVQWIDQGETFWF >ORGLA06G0056000.1 pep chromosome:AGI1.1:6:4152294:4152884:-1 gene:ORGLA06G0056000 transcript:ORGLA06G0056000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRSIRPLAPALTTCTTNGRRRLHLAAHAEASSPALCRPAHRRHPLAAAAAEPATVVAVELVRLEPAVARGAEEGDEDKAVAVAPANLPVAGEGDAVDVAGPPWVGLDLALDHVAEPDVACTMRHVKGEVR >ORGLA06G0055900.1 pep chromosome:AGI1.1:6:4106310:4110675:-1 gene:ORGLA06G0055900 transcript:ORGLA06G0055900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:I1Q096] MAAKLARLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALIEADKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGHTNSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNHKGTTMMLNDRIQSLRGLQSSLRKAEEYLMGIPQDTPYSEFNHRFQELGLEKGWGDCAKRVLDTIHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRVEKVIGTEHTDILRVPFRSENGILRKWISRFDVWPFLETYTEDVANEIMREMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYFPYTEADKRLTAFHPEIEELLYSEVENDEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMYGKNAHLRDLANLVIVCGDHGNQSKDREEQAEFKKMYSLIDQYKLKGHIRWISAQMNRVRNGELYRYICDTKGVFVQPAFYEAFGLTVIEAMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFEKCKQDSTYWDNISQGGLQRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYIEMFYALKYRSLASAVPLAVDGESTSK >ORGLA06G0055800.1 pep chromosome:AGI1.1:6:4097539:4097784:-1 gene:ORGLA06G0055800 transcript:ORGLA06G0055800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSHVDPVIAEEEALDANQSRFLIANWPDETAFSSAELLTDSNEWDLIVSFGAFISVMHHRLPGFRVNAEILKSKHVVFA >ORGLA06G0055700.1 pep chromosome:AGI1.1:6:4070220:4071747:1 gene:ORGLA06G0055700 transcript:ORGLA06G0055700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAESQMAEAASYEEQRRRQVEANKRKLEELRLHHLSAAVRESAAKPSPVKQRKRKARAPPGAGEDAPLRRSGRVANLPEKPKYRDEFQDFEKRIRRSYGGKRRDLSNRVYATEEQRDYAINAAQELEEELGSDYPIFVKPMLQSHVTGGFWLSLPTHFSRKYLPKRDETIRLVDEEDDEFDTLYLANKRGLSGGWRGFSIAHKLVDGDCLVFQLIQRTKFKVYIIRASSYYETDD >ORGLA06G0055600.1 pep chromosome:AGI1.1:6:4027983:4028414:1 gene:ORGLA06G0055600 transcript:ORGLA06G0055600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEYTLQEPFVQIQNVSFVPAMNAIEDTFVNLSSDQGSNSFGCSDFSQENDIKTPDITSMLAPTMTGVDDSAFLQNNASDAMVPPVMGNASIDLADLEPYMKFLIDGGSDESIDTLLSSDGSQDVASSMDLWSFDDMPVSAEFY >ORGLA06G0055500.1 pep chromosome:AGI1.1:6:4023160:4027559:-1 gene:ORGLA06G0055500 transcript:ORGLA06G0055500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGCLKRLQKEYHSLCKEPPPQIVARPLPNDILEWHFVLEGSAGTPFEGGYYYGKLKFPPDYPFKPPSISMTTPSGRFAPHKRICLSMSDFHPESWNPMWSVASILTGLLSFMMDDALTTGSIRSTEGEKRRLAKASLAYNCESKNCPHFRKMFPEYVEKYNQQKQMEQTVAEPETQENPAPAPSPAVQQQAAVVANKAKPAAEAAGEQKQKKRVPFWMMLVMFSVFGXXXXLPLMQL >ORGLA06G0055400.1 pep chromosome:AGI1.1:6:4019238:4021824:1 gene:ORGLA06G0055400 transcript:ORGLA06G0055400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33140) TAIR;Acc:AT4G33140] MLARGAVRSLFDRLRPPPHHRRRRSSPAAIMPAAAAAHRGCFCSLSHSNLCGEGSVIQRKGFLDLGMGRRFAPGSALGSKGCLNWQDRGRSKRGVDGGEAVRIKAQVLAPQRQLLHDPEVLPLEEVAAKSLNGNGACRRGKPLGFPEHAAPTKMVVAVDVDEVLGSFLAALNKFIADRYSLNHSVSEYHVYEFFKIWNCSRERANFLVHEFFTTHYFQDGIHPIPGARDALQNLSSFCSLSVVTSRQDAIKNHTLDWIDKYYPGLFEQIHFGNHFALQGQSKPKSEICRSFGAQVLIDDNPRYALDCAEDGMRVLLFDYHNSYPWCKTGVDESHPLVTKVHNWQEVEEKLLSWVVPEF >ORGLA06G0055300.1 pep chromosome:AGI1.1:6:4010504:4011469:1 gene:ORGLA06G0055300 transcript:ORGLA06G0055300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPTSAMAAGTSSSSGGGSGPLVVSNGVLLAAVIFLFMVVVFVFLLYLYAKRYLGANPLLAPVSPSSRFLFLDASPFPRRGLPAAALRALPVTVYAKPAAARGEEALECAVCLSEVADGEKVRMLPKCDHGFHVECIDMWFHSHDTCPLCRAPVGPDAGGEGLPRVPREEPAAMDFPMFPTNVLFWGTAHDDVANAGDRHPFHPPPPPPPLMAAPSTSSSASARRKESLVIDIPSRSAAAAAADSVTSSSASTPLPASRMADDMRSPVSARLRSLRRLLSRGKQAVVGPSFSPRGGGDIEQGLAGAPPKTPKTPPPAN >ORGLA06G0055200.1 pep chromosome:AGI1.1:6:4004523:4005309:-1 gene:ORGLA06G0055200 transcript:ORGLA06G0055200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPLTSSEIRNAIAREPFPISVAVTTTNRGLVRLSRRERGGSRDERRWVLYAADASLLMGKSQIWRDETRQAGHRDEAASRRRGRQQPVIRREMPGLLRHISRSVAPRTNTGMSCHWQRPRGERRKRKGSGKPRAACGGGT >ORGLA06G0055100.1 pep chromosome:AGI1.1:6:3999233:4002082:1 gene:ORGLA06G0055100 transcript:ORGLA06G0055100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDDIMNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >ORGLA06G0055000.1 pep chromosome:AGI1.1:6:3991665:3998366:1 gene:ORGLA06G0055000 transcript:ORGLA06G0055000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G26370) TAIR;Acc:AT1G26370] MPSFPSSGASPQKQQHNARRRQLIRQQRKSLPIASVEKRLIEEVRKNDTLIVVGETGSGKTTRKFVALPQFLYDAGFCQDGKVIGITQPRRVAAVTVAKRVAEECNDQLGKKVGYSIRFDDSTSNATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRSIYANKNGKILPDIQDQSQYFTLKACQGTKIDPLKLIIMSASLDAKCFSDYFGSAKAVHIQGRQYPVDILYTYQPESDYLDATLVTIFQIHLEEGPGDILAFLTGQEEIESLDRLIQERARQLPPQRSKIWTTPIYSSLPSEQQMNAFKPAPAGTRKVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREGPGKCYRLFQESEFDKLVDSTVPEIKRCNLANVVLQLKALGIDDIIGFDFMEKPSRTAILKSLEQLILLGALTDDYKLSDPVGRQMARLPLDPMYSKALIVASKFKCLEEMLIVVSMLSVESIFFSPREKLEEARAARKSFESSEGDHITLVNVYRAAKECLEKSKNANAKEKTMEKALNRWCRENFINYRSLRHACDVHSQIQGHVQQMGLNVSSCGDDMVLFRRCLTAAFFLNAAMRQPDGSYRALATSQNMQIHPSSVLFQKKPDCAIFNELVRTSQNYVKNLTRIDPVWLAELAPQYYATED >ORGLA06G0054900.1 pep chromosome:AGI1.1:6:3980299:3982570:-1 gene:ORGLA06G0054900 transcript:ORGLA06G0054900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q086] MSYLQKPSYYTISLVVVLLLPFTILFASFLLPFSAYLRGPPPIAAGSVVAGGCSHGAADGGGGGGGGGGVRPEISILVGVHTMAKKHSRRHLVRMAYAVQQTAALRGAARVDVRFALCARPMPPEHRAFVALEARAYGDVMLIDCDESPDKGKTYDYFAGLPAMLSSGGGGGEGRPYDYVMKVDDDTYLRLDALAETLRRAPREDMYYGAGLPFLDKESPPFMLGMGYVLSWDLVEWIAGSDMAKALAIGAEDVTTGTWLNMGNKAKNRVNIFPRMYDFKGVKPEDFLEDTIGVHQLKQDLRWAQTLEHFNVTWLDPSSKMTNSLLS >ORGLA06G0054800.1 pep chromosome:AGI1.1:6:3977555:3978255:1 gene:ORGLA06G0054800 transcript:ORGLA06G0054800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLASDEEVAMPEQRSAAVVPVPPDRRGFIPLADWIRDLGAAFPRINLDSLGGAAVAVAGGGADGGGAEEGVAGDTDGDSAGEASGTGTVPYAEFLRLCCDASGAESGASITCALDESGSVIVLGKTVFLRPEMSVFLSVAFD >ORGLA06G0054700.1 pep chromosome:AGI1.1:6:3976622:3976813:-1 gene:ORGLA06G0054700 transcript:ORGLA06G0054700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCRAFGGDAVPVHLPIGWLVLNGFLKRERGEHGVGGGGEGGGVSSAVAGAAVVDAEAEPPR >ORGLA06G0054600.1 pep chromosome:AGI1.1:6:3974614:3976050:1 gene:ORGLA06G0054600 transcript:ORGLA06G0054600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q083] MAHVAVVTFPFSSHAAVLFSFARALAAASPASTFSFLSTAASFAHLRKTAAAGDLLPGNMRFVEVADGAPPPDAPPPRRMAVFMEAAEAGGVVEAGLEAARAAAGGVAVSCVVGDAFVWMAADAAAKVGARWVPVWTGASSALVAHLRTDALRDDVGDEAASRADELLTSHPGLESYRIRDLPDGVVSGDLNYVINLLLHRMAQRLPRAATAVALNTFPGLDPPTVTAALTAVLPTCLPLGPYHLLATAPANDDDPNGCLAWLDRHAPRTVAYVSFGTVASPRPDELRELAAGLEASGAPFLWSLREDSWPLLPPGFLERTKQHAAAGLVVPWAPQVGVLRHASVGAFVTHAGWASVMEGASSGVPMACRPFFGDQRTNARSVSHVWGFGTAFDGAMTRGGVATAVASLVGGEDGRRMRARAQELQAKVASAFVEPDGSCRKNFAKFVEIICAS >ORGLA06G0054500.1 pep chromosome:AGI1.1:6:3970756:3973398:1 gene:ORGLA06G0054500 transcript:ORGLA06G0054500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTRQQYMHHFLLRKKAVVVLCLLIIIWLRNKYRRRSSRKGVKYGPLVHRDVWRTSELIRLINISDRTCTQQLRMSRAVFYKLCARLRNRGLLVDTFHVSVEEQVAMFLKKVGQHHSVSCVGFSFWRFGETVSRYFRIVLRAMCEIARELIYIRSTNTHSKITSKQNKFYPYFKDCIGALDGTHIRASVPAKKVDRFRGRKPYPTQNVLVAVDFDLRFIYILAGWEGSAHDSLVLQDALSRPNGLKIPEGKFFLADAGYAARPAQSMVVIACCALHNWILENGSDGFVNDEKTCMGKRTEGTSEEGGSREKYISWSDDATQFMLEWYIELRKDKPSTFKWKKQHHQQCAIALKDKFGIRVTKSQVHRHLRLCKEKWSWICAALGKSGYGFDAASCKFNIDPSEKDSNKLGTTKYNYLTKPIKFFHLFEELFVGCSKADGSLAIDRFNANGSSDSDGSGSIKELEEYIFALEDGGHDSDTIARNSPTTDGTYSGHKRRSVKSPTKKTLKHKTSHKEEEQDELAGSILKLANKLASVEQSIVGDPNASIWRRIEDLTIPASDKIELATFLAKPEQEIFRSYLRVASDASFQAWVIDYFERKCACNGGNGCTM >ORGLA06G0054400.1 pep chromosome:AGI1.1:6:3963134:3966925:-1 gene:ORGLA06G0054400 transcript:ORGLA06G0054400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYHDGKDLDTSSYPLIAVCIDKDKNSQNALKWAIDTLVQKGQIIVLVHVNTKGTSGGVEDASGFKQPTDPHMRDLYLPFRCFCTRKDIQCKDVLLDDHDVAKSITEFCAVAAIEKLVVGATARGGFRFKADIPTTISKGAPDFCTVYVINKGKVSSVRNSTRQAPRVSPLRSQIQNMAAAAAKPEPATAMAPSPQKWSSSSRGHDHLETPKVDSYIRSPFARGPMGGATRKSYADLSHLSMPDSADISFVSSGRRSVEHNPVPARLSAASAESYDHSFETSRTPWGGDSFGGNDHTSFSQSSTSSFCSIGMDDVETEMKRLRLELKQTMDMYSTACKEALNAKQKAMELQRWKAEEEQRTHDARLTEESAMALIEREKAKAKAAMDAAEASQRIAELEVQKRITAEKKLLKEAEDRKNRGGGGGGMSHEIRYRRYSIEEIEHATDRFNDARKIGEGGYGPVYKGHLDHTAVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGGGGGGGGPVIPWQHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPNVADNVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIITAKPPMGLTHHVGRAMERGALADMLDPAVPDWPVEEAQCLAEMALRCCELRRKDRPDLGSAVLPELNRLRALGEDNMQFCGAIRGGVGGGLYSSSLLSTPSRSQAAEFMGDSQYPRSVFSSRTGESPMPPRRSNV >ORGLA06G0054300.1 pep chromosome:AGI1.1:6:3955828:3957505:-1 gene:ORGLA06G0054300 transcript:ORGLA06G0054300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVESSTAPGVAVAAAVVLVVLTWLWTALVQLVWRPYAVARAFGRQRIRGPAYRLFVGSDGEANAMRAATRGDVLDRRCHDIVPRVMPHYRAWMSRYGKVFVSWSGATPALCVGDYAMVKQILSDRTGLYGKPEPGPSILALIGNGLIFIGGDDWARHRRVVHPAFAMDKLKMMAKTMADCAREVIREWEARAAADGERRVQVEVGGQFQELTADVISHTAFGSSYREGKEVFVAQRELQSIAFSAINSTRFPGSQYIPTKTNLRRRHLAKKVRGTLMAIIRERQAAAAKEDSGHGNDLLGLMLEANATDACGSGGENSMTMDEIVDECKTFFFAGHDTTSHLLTWAMFLLGTHPEWQQRLREEVLRECGGGDTEALPNGDALNKLKLMTMVLYETLRLYGPVSLMARTATADAELGGVRVPKGTMTMIPMAILHRDADVWGAGAGEFDPLRFHGGVNKAAAHAGALLAFSLGQRSCIGQDFAMMEAKTTLAMILRRFAFEVSPEYVHAPLDYLTLQPQCGLPIILKLLD >ORGLA06G0054200.1 pep chromosome:AGI1.1:6:3950207:3951898:1 gene:ORGLA06G0054200 transcript:ORGLA06G0054200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDASTGPAVIVAVAVVVVVVSTLLWTAMAQLVWRPYAVGRALGRQGVRGPAYRLLVGNIGEANEMRAAASGGVLDRRCHDVVPRVLPHYRAWMSRYGKVFVSWTGPFPALCVGDYAMAKEILADRTGLYAKPDPGASILALFGNGLAFVNGDDWARHRRVVHPAFAMDKLKMMAKTMAECARKVIQAWEARAAAAADGERMVQVEVGEQFQELTADVISHTAFGSSYRQGKEVFVAQRELQYIAMSALNSVRIPGSQYIPTKANIRRRQLAKKVRGTLMAIIRERQATAAAAKEDRGYGNDLLGLMLEANAAAGGGEKSMTMDEIVDECKTFFFAGHDTTSHLLTWAMFLLGTHPEWQQRLREEVLRECCGGGGGDTEALPNGDALNKLKLMTMVLYETLRLYGPVSMLVRTATADAELGGVRVPKGTMTMMPVAILHRDADVWGADAGEFDPLRFRGGVNKAAAHAGALLAFSLGQRSCIGQDFAMMEAKTTLAMILRRFAFEVSPEYVHAPLDFLTLQPKCGLPMVLKLLDQ >ORGLA06G0054100.1 pep chromosome:AGI1.1:6:3948759:3949349:1 gene:ORGLA06G0054100 transcript:ORGLA06G0054100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVPPWTLSPAHRSREVEDEADRDDGEAAVRGAEGRRPQIEEEVVDVRAPPGTTLTPTLARKRTATASPLGATPAPAPERKGMAAASLPGATPTPTPVTERKGTTAASPRGTQSTTPARKGLAVASPPGKPLPTPGRKRNFVAGDWRGGREKERGNNFPPTRARQRRPRLGRKNGSLQMSNDIYGYGNLDYWDVG >ORGLA06G0054000.1 pep chromosome:AGI1.1:6:3927435:3928463:1 gene:ORGLA06G0054000 transcript:ORGLA06G0054000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGDMPGRGARRRPDLTLPMPQRDAPTSLAVPLPLPPAATTTTSAPPAGGAMHPPASAGAAPPPPPEELERVRRVGSGAGGTVWMVRHRGTGKEYALKVLYGNHDDAVRRQIAREIAILRTAEHPAVVRCHDMYERGGELQILLEYMDGGSLDGRRIADERFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLINSARRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYMGKFPFGENLGKQGDWAALMCAICYSDPPEPPAAVSPEFRSFVGYCLQKNPAKRPSAAQLMQHPFVAGPQPQPLAAPPPSS >ORGLA06G0053900.1 pep chromosome:AGI1.1:6:3923170:3925590:1 gene:ORGLA06G0053900 transcript:ORGLA06G0053900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT1G55915) TAIR;Acc:AT1G55915] MEVGDLHKVWEIRALKRKPDEPAARALLDRVAKQVQPIMRRRKWRVKVLSEFSPKNPRLLGLNVGGGVEVKLRLRHAGRDYDFIPYEEVLDTMLHELCHIARGPHDAQFYKLWDELRKECEELVSKGITGSGQGFDGTGRRLGGFTVHPPPPSLRQATLAAAQKRARNGALLPSGPRKLGGNNDIMSALSPIQAAAMAAERRMYDDLWCGSHDQSGIDDSEDVVILEDTPNLPTQLGKSTKDGFSSSSENPSTSSGFPTAAQNGSSSCRITIDAGDSSLWECVACTLLNQPLAPICEVCSAAKPKTTKAKYATWSCKFCTLENSTKIDKCSACDQWRYSHGPPAATYCPSYD >ORGLA06G0053800.1 pep chromosome:AGI1.1:6:3910361:3912832:-1 gene:ORGLA06G0053800 transcript:ORGLA06G0053800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQTRVFIVHMLPARASRLFARAKALGMMTKGYVWIVTDSIGIVLDVFPQHSIESMEGIVGFRPYIADSTRITDFSSRFTTLFRTKYHPNTDIRMAKPTIFQLWAYDVAWAVATATEKVHRTRSLNPTFHPLGNIGKNLVDDLPALPAGPELLNSILQGEFDGLAGQFRLIDRHLQVPTYEIVNVIGEKTRVIRFWSPDSGLTMSMNSTTIHGDAKFSTSSSELKNIIWPGDSTTVPKGWDFPVNAKILRIGVPLRHDFKTFVNVEINPNTNRSTVSGYSIDMFEAAVKKLPYALRYEYIPYDCAGSYDQLVSQVFFKKFDAAVGDVTIIANRTRYVDFTMPYTESGVSMLVLSKSDDEPTTWIFLQPLAKDLWIATMIFIFFTGLVVWVIERPINRDFQGSKWKQCITAFYFAFSTLTFSHGQKIQSIQSKIVVVIWCLVLMILVQSYTASLSSMLTAERLQPSVTDLKQLLANGDSVGYQNGSFVHSMLKKLKFDDHKIKVYSTQEEYAKALRMGSKHGGVSAIFDEIPYLNSFCSKYGREFQMVGPIDRTSGFGFVLPKGSPLVPDLSEAILSLTEEPERLKIEKTWFMDSSLDYYGSHSKGSSRISFQSFQGLFIIVGCLLGAVLLINFSKFLYDKCKEMRGFGSDRVHRGERVVCFGEAQPQPPQIVMVDRQSRAC >ORGLA06G0053700.1 pep chromosome:AGI1.1:6:3904088:3908952:-1 gene:ORGLA06G0053700 transcript:ORGLA06G0053700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRLAMAAAATVAVLLVVWSSPVAAAAAATAGGDVSVALEAYRLDPNGSGSRTGAITAAHGDGRLRHTKPRNRRAMAPSIQLGGETKRTNAGVRRDLGALPRGYGKELKIAVPWKPGFKAFLNVTDRSVGGYCIDVFEAAVKKLPHHLSYKFVVFNGSYDELVQRVSSGNYDAAVGDVTITAERTIHADFTMPYTESGVSMLVLMENDSKSTIEWVFLKPLTRELWVATVIFFLFTGIVIWMIERPRNLEYQGSSSRQFSTALYFSFSTLTFSHVIWCFVVLVLVQSYTASLSSILTAKKLRPSETDLEQILFDGDYVGYQRGSFVESFLIKQGFSKRRLRPYTKKQEYAEALRKGSMNGGVSAIVDEIPYLTSFLSDRRYEKEFQMLSRIYKTPGFGFVFPPCFPLVHNLSTAILDVTGGDEGSQIEAKWFGTTAAPPSYAIPNTDSTPLTLRSFSGLFVITGCISALMLMISISKSVLASYTRIRDSDVRSPDADGGNGGHEECNSAQNVMGDGYVDDRPHHEIRIDSSQDIHGISVERADGEEPGPIQNGSVPANSCQNR >ORGLA06G0053600.1 pep chromosome:AGI1.1:6:3887071:3888469:-1 gene:ORGLA06G0053600 transcript:ORGLA06G0053600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTESGVSMLVLAENESESKIEWVFLKPLTKELWFATVIFFLFTALVIWIIEHPRNMEYQGSNTRQLSTALYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLVLVQSYTASFSSILTVKRFQPSVTDLDQLLKNGDYVGYQEGSFVNSFLTRRGFSERRLRSYTKKQEYAEALRKGSKNGGVSAIVDEIPYLTAIVSDPHYQKEFQMLKRIYKTPGFGFVFPPGFPLVHNLSTAMLDVTSGDEGSHMETKWFGAEAVFPSNAIPNTDSAPLTLKSFSGLFIITGCISTLMLMIRFSMSILANYTQIRDSDVQSPDVGGRNDAHEESNQAQNSMGGIVADIHLHEVRIDSSQDIHGSVERADGEEPRPIQNGPVPANSTQTV >ORGLA06G0053500.1 pep chromosome:AGI1.1:6:3878322:3879850:-1 gene:ORGLA06G0053500 transcript:ORGLA06G0053500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLPNHLSYEFVVFNGSYDQLVQSVSSGVKPYSLCFDDIVTEPNSYTISAGSYYQINDAAVGDITITADRASQVELTMPYTESGVSMLVLAKNESESTIKWVFLKPLTKELWSNYXKSLSKIVVVSWCFVVLVLVQSYGANLASILTVKRFQPSVTDLDQLLSNGDYVGYQEGSFVHSFLTRRGFSEARLRSYSKKQEYAEALRNGSKNGGVSAIVDEIPFLTAVVSDPHYKNEFQMLKRIYKTPGFGFVFPPGFPLVHNLSTAMLDVTSGDEGSPLVLMISISMSVLAQYTKIRVSDVQSPGVDDGNGAHEGSNQAQNSMGNGFVADIPSMKSELTTVPSISMGVS >ORGLA06G0053400.1 pep chromosome:AGI1.1:6:3868982:3875555:-1 gene:ORGLA06G0053400 transcript:ORGLA06G0053400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRQRRRLLAVAAAFLSLLALRNAAAAASPAPVRVGVVVDMTSGEGRRSLAGISMAVEDFHRRRHRPGSAAVVELRVRDSCGDDDAAAARAAEDLIKNAQVQAIIVTTEADTAVVARLRRRHRVPILAFPISGGAPPPSSHHPPHHATATAPPFGADHTSAKAALTGILTAIFSSARRAGGSPPHGRRYNAGAPTGRRLDRRRLAGRRSSSSSSSGEVLRIAVPRKTGFQAFVDVRIDPDTKRQNITGYCIDVFNAAMARVRPRRKYVFHAFDGSYDDLVRNVSSGKFSAAVGDVTITADRENLVEFTMPYTSSGVSLLVPEENDSKPIQWIFVKPLTRDLWLATIGFFFYTGFVVWMIEQPRNPEYQGSSVRQLSTASYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLILVQSYTASLSSMLTAKRLRPSVKSLDQLLLTGDYVGYQNGSFVGSLLKKRGFMPSRLRSYGTQKEYAEALRKGSMNGGVSAIVDEIPYLTSFLSNPQYQKEFQMINRFYKTPGFGFVFPLGSPLVHDLSTAILNLTGEPEGSNIEEKWFGSSEQSTGGDANPSSSSSSSSSDSNPLTLQSFSGLFIISGCISALMLLISVANRVICAKCAKEARVHDVEHGGSTSSSSTEQSTPLHIVIDSNPEPDQAVQEDGNDGFQDAQPMQARVGDERHDPVQNCRHNGPVPEHHAQMETNTG >ORGLA06G0053300.1 pep chromosome:AGI1.1:6:3861468:3863789:-1 gene:ORGLA06G0053300 transcript:ORGLA06G0053300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAAKDLTKNAMAFVISRELQRLNKEVNFAAFLDNPNIADVHNQSILLWSYDVIPATATSTEICRLFSSVVQTIEIELGQGGVLVARRTHADSADTISFYQLAEKLKLSPYEIVTITGEGARGVCSRTSYSGLPQNRSTSNCSFDISSNGNGAVTEDSQSASVGHSRVGLAVTHGTKTPLNPKTQRRNAIESKDKCSKSSCGSGSEKSNETLRIAVTRKYGFQNFLNITDLPNGKINATGFSIEVFENAMKKLDHPPCYMFCLFEGSYDDLVGSVSSGKFNATVGDVSITAERERHVDFTMPYTQSGLSILVLAEKYSKPRIQWIFIKPLTWQLWLAAVSSFLYIAFVVWMIERPRNQEYQGSSSRQISTSLYFAFSTMTFSHGQIIRSPMSKIVVVIWCFAVVILVQSYTASLSSMLTTSRLRPSVVDLDQLRHNNDYVGYQNKSFVYSLLNQTFKEDRLKPYANGKEYAEALRRGKVSAIVDEIPYIRSFMSDQNNSNEFWVFPQTYNILGFAFGFPIGSPLVHNLSVAILDMTRITNKTVSQLTDDHGSHSTPLTLENFSGLFVIVGSVSTLMLLISIVRLVVSRCSETANTNAPSIDDDNGDEESNPQQNDTEEPLLEARDNDSRSADQNGSFAADQEPSQMQSGTSNGHVPAQAQHIQIEMSPA >ORGLA06G0053200.1 pep chromosome:AGI1.1:6:3855053:3855397:1 gene:ORGLA06G0053200 transcript:ORGLA06G0053200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVGQLQPTINELKKGDYVGYQQGSFVQNILKDMGFNEDRLRAYATIDQYAEALNMGSDNGGVSAIIDEVPYLKLFVSQYCQGYAIVGPTYKSGGFGFVCPYHPFQHISHNII >ORGLA06G0053100.1 pep chromosome:AGI1.1:6:3853319:3853771:1 gene:ORGLA06G0053100 transcript:ORGLA06G0053100.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLDALLETTFQGLAGRFRLVDGERQVSAYEVVNIIGSGARTVGFWTPELGVSQDMARRRPKSGSNEELKQILWPGETAAVPIGWSESANGRLLRVAVPVKVGFNQFVAIRRQQNQTSAGGAMITGFCIRRVPSGHGEAGVSSRISVCASH >ORGLA06G0053000.1 pep chromosome:AGI1.1:6:3852174:3852836:-1 gene:ORGLA06G0053000 transcript:ORGLA06G0053000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTAGLPKAWWGEALLTSNHVLNRVPNRNKDKTPYEIWIGRKPSLSYLRTWGCLAKVNVPITKKRKLRPKTMDCVFLGYAHHSIAYRFLIVKSEVPDMHVGTIMESRDATFFERFFPMKDTHSGSNQPSEIIPSSITPPEQTEYTHEHVSEEDVSEAPRRSKRQRTAKSFGDDFTVYLMDDTPKSISEAYASPNADYWKEAVRSEMDSIITNRTWEVTE >ORGLA06G0052900.1 pep chromosome:AGI1.1:6:3848415:3849507:1 gene:ORGLA06G0052900 transcript:ORGLA06G0052900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNAQVEAIIGPQTSAEVELFAGIAIRNHIPILSFSPTTSPALSSPPTRFFVRTAASIASQAAPIAAILDVFSWRAAVLLHEDSLYGIGILPALVHAFQVQGQLLAGSYGARGVVDSVSVPADATDGRLDAALRAVKIMPWRVYVVHMLPALAARLFRRASVAGMMSEGYAWIATAGVGAAADGLSPDDIEHMQGVVSLRPYVQPTGQVRSFTRRLKARFRRDNPGIADEDDDDDVAHTSASLLWLYDTAWAAAAAADRCLHQSSNAREEHNTTTFCDGKTGCLVETFRRRLRRHETRERRGRRAVANE >ORGLA06G0052800.1 pep chromosome:AGI1.1:6:3831865:3833780:-1 gene:ORGLA06G0052800 transcript:ORGLA06G0052800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine (UAA) transporter family [Source:Projected from Arabidopsis thaliana (AT4G31600) TAIR;Acc:AT4G31600] LEVLTISLFIFSCSLSAAFSYGIASMAMVFVNKAILMQYAHSMTLLTLQQIATALIIHFGQILGVSKRKDFSMATGRKLLPLSIFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLVAGCLRGKGKPPTQVSLSVICTAAGVLIAALGDFSFDLYGYSMALTSVFFQTMYLILVEKSGAEDGLSSVELMFYNSVLSLPFLFFIIIATGEFPYSLSVLSEKTASLTFSAILLVSLVMGIVLNFTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHTLNVTGLVINTFGGVWYSYAKYMQKRKMPKRIAPDVEAHPHK >ORGLA06G0052700.1 pep chromosome:AGI1.1:6:3825769:3830905:1 gene:ORGLA06G0052700 transcript:ORGLA06G0052700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRWERFHEERAKPTIPTHQKQAGLAANKLGLGISEKNKIFLAGNELWYKKIIDPSSDFILTWNYVLRIACFVALFMDPLYFYVPKIYYGTPNSCIGRDTRLAIIVTVFRSITDLFYVLQIIIKFRTAYINPSSTLGVFGRGDLVTDPGNIAKQYLRSSFVVDLVASLPLPQIIIWSVIPSIKYSLSEHDDDILLLIALFQYVLRLYLVFSLNSKIVEVTGAFSKTAWQGAAYNLLLYMIASHVLGALWYLLSVDRQTACWEKYCSKEVGCQNRYLACDIQSDSNWKISTAIFNKCDATNKTIDFDFGMFTPLLSNQAPDQGFLKKFFYCLWWGLQNLSCYGQTLTVSTYIGETLYAIFLAVLGLVLFAHLIGNVQTYLQSITARVEEWRIKQRDTEEWMRHRQLPQKLRERVRRFVHYKWLATRGVDEESIFKALPADLRRDIKRHLCLDLVCRVPFFSQMDGQLLDAICERLVSSLSTVGTYIVREGDPVTEMLFIIRGKLESSTTDGGRTGFFNSITLKTGDFCGEELLGWALVPKPTVNLPSSTRTVKTIVEVEAFALRAEDLKFVASQFRRLHSRKLQHTFRYYSHHWRTWAACFIQAAWRRYKRRRLAKDLSIRESFSSRRSFEDDGSPEHSLVLNAVRKGAHIIKELPKFRKPSEPDFSAEHDD >ORGLA06G0052600.1 pep chromosome:AGI1.1:6:3817234:3819566:1 gene:ORGLA06G0052600 transcript:ORGLA06G0052600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDIDGKNGWRVELSRNASSGRGGRDRYGSSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSYSPAGRSPRRRSVSPARARSYSRSPQYNRGRDESPAYDNGYRRSRS >ORGLA06G0052500.1 pep chromosome:AGI1.1:6:3809403:3810959:-1 gene:ORGLA06G0052500 transcript:ORGLA06G0052500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1Q062] MPASAAADDATRPAAEGVGGNDGATLAGRDHVVVFPLMSKGHMIPLLHFAAALAAHHGDHLRVTLVTTPANLAFARRRLPPSPSVRVVAIPFPAHPQIPPGVESTDALPSQSLFPAFLRATALLREPFAEFLASLPSPPPLVLVSDFFLGFTQRVADDAGVRRLTFNGMSPFSLALCFTLASRRPHVGVEGGAEFHVPGFPDDVRITADEVPDAVIQGGNPDDPVTQFLHDEVRDWDHRSWGVLVNSFAALDGDYAAILESFYHPGSRAWLVGPLFLAAGESPETKQEEDDDDDDDPEGCVAWLDERAARPGSVVYVSFGTQAHLPDAQLDELAHGLVDSGHAFLWAIGRSGGEWSPPVDAGGDGKIVRGWVPQRRVLSHPAVGAFVTHAGWNSVLESLAAGRPMLAWPVMAEQAANAKLVADIIGAGVRVVHGGGVVVGRAEVAGKVGRLMDSGEEGRAIRARAEEVREAARAAVGEGGASREALWRLVDELRSSYVVAGDGTAAAASSENGDSGKC >ORGLA06G0052400.1 pep chromosome:AGI1.1:6:3800440:3801774:1 gene:ORGLA06G0052400 transcript:ORGLA06G0052400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSASTSPPPPPPRSVLSTIEDKMSPGVLLIIAILAVVFFLFGLLNLLIQNLLRMRRARRRRRRVGDGGVGMGSPTAFQGQLQQLFHLHDAGVDQTFIDALPVFVYRAVVGAGIRKDDPFDCAVCLCEFAGDDKLRLLPTCGHAFHVPCIDAWLLSHSTCPICRGSVLAAAAAADDDDDSSASTPVARRVLDSESLGEAFANNGGGDSEGSSPKAAAAEEEEIVEVKLGKLKCIDGNGNAGDLAVVKGTTTSNDADIGGGGRGDLGQRRCFSMGSYEYVMDEHAALRVAVRTPKRRPARSRSRRRLALSECDFAGSASKKGAWEAAVTEAASATAAARLNKDSFSVSKTWMMSATKKEDGRTAAELAGGGRRAASFRWPAMAEASKKHGGINDERRDVEAGGNGDSSLADERPSLARTALQYIVGAGAGGGGRQQNSRVGSHS >ORGLA06G0052300.1 pep chromosome:AGI1.1:6:3790894:3792374:-1 gene:ORGLA06G0052300 transcript:ORGLA06G0052300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVEEEEAEVMYPSTPGKVKVEQRSSAAMSRQVHRCFASTGTMFLWALFLVAMTATYLSFRSLAGDAAASSSRYFPAASWGGLHWERQIRASASPRRPPGSAEGAGLSVLVTGAAGFVGAHCSLALRKRGDGVVGIDNYNSYYDPSLKKARRALLGSHGVFVVDGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPSSYVRSNVAGLVSLLESCKDADPQPAVVWASSSSVYGLNDAVPFSEAHRTDKPASLYAATKKAGEAITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTRNILQGKPVTVYRGRDHVDIARDFTYIDDIVRGCLAALDTAGRSTGGGGRKRGAAPYRIFNLGNTSPVTVPALVAMLERCLMVKARRHVVEMPGNGDVPFTHANISLAREQLGYKPTTSLEMGLKKFVRWYLSYYGYNRGTHAFRNHL >ORGLA06G0052200.1 pep chromosome:AGI1.1:6:3788152:3789834:1 gene:ORGLA06G0052200 transcript:ORGLA06G0052200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASATPKTPRRRRRRRRVTFLARTCHRLLRLLATRRLRRGGHGLNSISAGDDSPPSGQPPRGRDAEEAEATQAAAAAQRRHEERHGDDDAAATEEAEAAVAGKYWAQRRSLFSLYDRGVRMDAEGWYSATPEAIAAAQAARAPPGSLVLDAFAGVGGNSIQGCYVVAVEIDPRKVELAAHNARIYGVDDMIEFVVADFFHLAPSFKADLVFLSPPWGGPSYSQAQVYSLDMLKPRDGFTILQAAQEISPNIIMFLPRNVDISQVEQLSWLSSPPLDFASEENYIEHRFKGITAYFGGVAQEVLKQG >ORGLA06G0052100.1 pep chromosome:AGI1.1:6:3779747:3787211:1 gene:ORGLA06G0052100 transcript:ORGLA06G0052100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSATPSRSKSGLRSSPRKSVAAPAVAQMDLSTPSKPTPRRKPKAPPVAAPMSPVTPSSVRRSSRLLETPTKVTSETPVKPTPTPKRKRAAPSPSPKTPTQSEPKRQRQRQRQRQQPKKPKKRAYYRKVVYDGGEFAAGDDVYVKRRDGAESDAEDPEAEECRVCFRAGAAVMVECDVCLGGFHLRCVRPPLRRVPEGDWACPYCEAERAGKAIERPKPPEGKRIVRTAKEKLLSSDLWAARIESLWREPDGIFWAKVRWYIIPEETAAGRQPHNLRRELYRTNDLADIEMETILRHCYVMSPKEFKDASDQGDDVFYCEYEYDIHWHNFKRLADIDDEPETKEDPGDEPYNAGNDYVSDSDEDSEYDEEEPTKCSSARTHQSHALAANLRKGRTYGLQKIGIRKIPEHVRCHQKTNLEKAKATLLLATLPKSLPCRDKEMEEISAFVKDAICNDQCLGRCLYIHGVPGTGKTMSVLAVMRRLRSELDSGNLRPYSFIEINGLKLASPENIYKVIYEQLSGHRVGLKKALHYLTEHFSGGTKIGKQANQPIILLIDELDLLLTRNQSVLYNILDWPTRPNSNLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYRQLQEIITSRLKGIDAFEDQAIEFASRKVAAMSGDARRALEICRRAAEFADYRVKQSGHTSVNRGKNVVCMGDIEAAIQEVFQAPHIQVMKNCPKFGKIILVAMVHELYRSGLGEVMFDKLAATVLSWCHVNRELLPGYDTLLKICCKLGESKIILCEEGTKHKLQKLQLNYPSDDVTFALKESPDIPWLSKYL >ORGLA06G0052000.1 pep chromosome:AGI1.1:6:3774711:3777884:-1 gene:ORGLA06G0052000 transcript:ORGLA06G0052000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuvB-like helicase [Source:UniProtKB/TrEMBL;Acc:I1Q057] MAELKRLSESRDLTRIERIGAHSHIRGLGLDSSLEARGSSEGMVGQLPARRAAGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRSIGVRIKEEAEIIEGEVVEISIDRPVSAAAAAGSSAPSGVAAAGKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVVALDKASGKVTKLGRSIGRSRDYDAVGPHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYTEDDIRKILDIRCDEEDVEMSADAKVLLTKIGVETSLRYAIHLITSAALACQKRKGKVVEMEDISRVYQLFLDVKRSTQYLMEYQSQYMFNEVPGEAGDDAMQS >ORGLA06G0051900.1 pep chromosome:AGI1.1:6:3771213:3771440:-1 gene:ORGLA06G0051900 transcript:ORGLA06G0051900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHKWRFLRRFLQRAPTVPLSNFVDGVQAVEQHARCCYNKSTAIFDDDGDGFAEMLLLLGEVEPRGLVHQQKAN >ORGLA06G0051800.1 pep chromosome:AGI1.1:6:3766984:3769914:-1 gene:ORGLA06G0051800 transcript:ORGLA06G0051800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATAAADDLPAEEEGKGGRSSSRQEEANVLLAVDAAEGGGAWSPVGWFRMLGRELHWSFVAGVVATYGASQGLGGGVMRVASDYYWKDVQRVQPSAAQVYQGVTSIPWMVKPLWGLLTDVLPIAGYRRRPYFVIAGFMGVVAMLVLSLHSKLHVLFALLALMAGSASVAIADVTIDACVAENSIVHPHLAADMISLNGFCASVGGLIGFSISGFLVHAIGSQGALGMLAIPSALVILAGMMIKDVHMPNFPYELAHMKFVEASRTMMATLKCPEVWRPCVYMYMSLALSVDIQEGMFYWYTDRNAGLSFSEGLIGFIFAVGSVGSLIGVILYQNILKDHSFRSVLCLSQLLLSLSGMLDLILVLRLNLKLGIPDYYFAVIDEGVSKMINRIKWMPLLVLSSKLCPPGIEGTFYALLMSIDNVGLLSGSWAGGLILHVLNITRTEFKNLWAAILIRNAMRLLPLALLFLVPRSDQNSNLLPADLLPEDDGAQYQMDNVELTSLTVDGKSSTGSLHQECKNQDVVENDDDEASLLANRS >ORGLA06G0051700.1 pep chromosome:AGI1.1:6:3758317:3763145:-1 gene:ORGLA06G0051700 transcript:ORGLA06G0051700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAGATVKLEADEMLHGGEEDGGPLSKRAKAGVQMPAPPPPPPPPQQQDMYHNVLDEPSPLGLRLRKSPSLLDLIQMRLSQANSSAGQSSADDCSSEPPKKKDLKSGTSTAGERLKASNFPANILRIGTWEYISRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDITALKASCPENGQGTLDVVLARPPLFFKETDPQPRKHTLWQATSDFTGGQASMNRRHFLQCPSSLLSKNFEKLLQCDQRLNQLSQQPDIILDSPVFEPRCSIFEDPVESKCQGFTNLKDEHELSGFSGSLSPCAGSSMSAKIEVNDSIATQAGFLAQPGNPGPSAVNVQGVSRNVNGAPELNIPSWWSQLKVPGLRPSMSVDDLVNHLGNCISEQITSVNPTLPSNEVPTKETLEEIAQYLLGDAQGPPASTSDERSLMARVDSLCCLIQKDTPPVAQPKPEPNDSDSIGGDGTEGSDEEFSSAASTVKTTGPAQPPAMSRKDSFGDLLMNLPRIASLPQFLFKIPEDSEN >ORGLA06G0051600.1 pep chromosome:AGI1.1:6:3749884:3757362:1 gene:ORGLA06G0051600 transcript:ORGLA06G0051600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLAQYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFGSEETILDIREAKLAYRAEVFELQKQLARQQAQFDLLAGQASTLIQGRRARVTAMSAVSGQLISLDEQLSSRNLEMNAVLGRITATTQELAHYHSGDDESIYLAYSDFHPYVVGDLACTKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNGLIRGDSEKSHHHQRVAELQRLRSIFATSERQWIEAQVENAKQQAILSILKSQVSSDEAHIHRDIHSLRRKGSELSGELSTLSQKVQAFVSETIPCLCSELAQLQGTYILQGDYDLKVMRQEYYINRQKTFISHLANQLARHQFLKIACQLERKNIASAYSLLRVIESELQSYLSAVNTRLGHCTSLIQAATEVREQGAIDDRDTLLHAVRDLLCVHSNVQATMPTYMSAHALVQQISALQSDLLSLQSELESALPADRKRCINELCTLIQTVEQLLFASSTTAEPILTPWPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQVFVDFFSNPERLKNQVRELTSRVKALQE >ORGLA06G0051500.1 pep chromosome:AGI1.1:6:3730159:3736004:-1 gene:ORGLA06G0051500 transcript:ORGLA06G0051500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 29 [Source:Projected from Arabidopsis thaliana (AT4G30810) TAIR;Acc:AT4G30810] MTTTTTTSAIGSLLVLLLVVVVGGVCSASVGGGGGGGEAWRAEQERDRVARVPGQDFDVGFAQYAGYVAVSEERGASLFYWFFEAADDPASKPLVLWLNGGPGCSSIAYGVAEEVGPFHVNADGQGVHLNPYSWNQVANILFLDSPVGVGYSYSNASDDILNNGDARTANDSLTFLTKWIERFPQYKGREFYVTGESYAGHYVPQLAQAIKRHHEATGDKSINLKGYMVGNALFDDFHDHLGIFQFMWTNGLISDQTYRLLNVFCDYESFVHTSSQCNKILDIASDEAGNIDSYSIFTPTCHASFASSRNKVMKRLHSVRKMGERYDPCTEKHSTVYFNLAEVQKALHVSPIINKSKWETCSDVVNTNWKDCERSVLHIYHELIQYGLRIWVFSGDTDAVLPVTSTRYSINALKLPTVTPWNAWYDDDGEVGGWTQGYKGLNFVTVRGAGHEVPLHRPKQALILIKSFLAGSPMPSVQDFYSDV >ORGLA06G0051400.1 pep chromosome:AGI1.1:6:3722038:3725499:-1 gene:ORGLA06G0051400 transcript:ORGLA06G0051400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLLIPIIICYLVPIAVAVDAPPPVMAAAAVADDRSALLAFLSNVSADSGGVALADWGRSPEFCNWTGVVCGGGERRRVTQLVLAGRGLRGVVSPALGRLEFVTVLDLSNNGFSGEIPAELASLSRLTQLSLTANRLEGAIPAGIGLLRRLYFLDLSGNRLSGGIPATLFCNCTALQYVDLANNSLAGDIPYSGECRLPSLRYLLLWSNDLSGPIPPALSNSSLLEWVDFESNYLAGELPPQVFDRLPRLQYLYLSYNNLSSHGGNTDLAPFFRSLTNCTRLQELELAGNDLGGELPAFVGELSREFRQIHLEDNAITGAIPPSIAGLVNLTYLNLSNNMLNGSIPPEMSRMRRLERLYLSNNLLASEIPRSIGEMPHLGLVDLSGNRLAGTIPDTFSNLTQLRRLMLHHNHLSGDVPASLGDCLNLEILDLSYNGLQGRIPPRVAAMSGLKLYLNLSNNHLEGPLPLELGKMDMVLALDLSENALAGAVPAQLGGCVALEYLNLSGNALRGALPAPVAALPFLQVLDVSRNRLSGELPVSSLQASTSLRDANFSCNNFSGAVPRGAGVLANLSAAAFRGNPGLCGYVPGIAACGAATARRARHRRAVLPAVVGIVAAVCAMLCAVVCRSMAAARAKRQSVRLVDVEDYQAAAEREHPRISYRELAEATGGFVQSSLIGAGRFGRVYEGTLRGGARVAVKVLDPKGGGEVSGSFKRECEVLRRTRHKNLVRVITTCSTATFHALVLPLMPHGSLEGHLYPPERGAGGGDGLDFGRLMSVVSDVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMRAVISDFGIAKLISGAAAADGDGGASSTSDESAPCNSITGLLQGSVGYIAPEYGLGGHPSRQGDVYSFGVMILELITGKRPTDVIFHEGLTLHDWVRRHYPHDVAAVVAHAPWRREAPSPMSTAASPAAADVAAVELIELGLVCTQHSPALRPSMVDVCHEITLLNEAIRRHAAAAAAAIAAATDEDDDDDDGRSLSTTKDDSLFSN >ORGLA06G0051300.1 pep chromosome:AGI1.1:6:3714215:3717536:-1 gene:ORGLA06G0051300 transcript:ORGLA06G0051300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPISVFVFVFVFIFFLLDGTIPTLGSNDHSALMSFKSGVSNDPNGALANWGSPNVCNWTGVSCDASRRRVVKLMLRDQKLSGEVSPALGNLSHLNILNLSGNLFAGRVPLELGNLFRLTLLDISSNTFVGRVPAELGNLSSLNTLDLSRNLFTGEVPPELGDLSKLQQLSLGNNLLEGKIPVELTRMSNLSYLNLGENNLSGRIPPAIFCNFSSLQYIDLSSNSLDGEIPTDCPLPNLMFLVLWANNLVGEIPRSLSNSTKLKWLLLESNYLSGELPADMFGGMRNLELLYLSFNYLKSPENNTNLEPFFASLTNCTSLKELGVAGNELAGVIPPIAGRLGPGLTQLHLEYNSIFGAIPANLSNLTNLTALNLSHNLINGSIPPAAIAGMRRLERLYLSDNMLSGEIPPSLGEVPRLGLVDLSRNRLAGGIPAAALSNLTQLRWLVLHHNHLAGVIPPGIAQCVNLQNLDLSHNMLRGKIPDDLSELSGLLYLNLSSNLLEGMIPATIGRMAMLQVLNLSSNRLSGDIPPQIGGCVALEYVNVSGNALEGGLPDAVAALPFLQVLDVSYNGLSGALPPSLGAAASLRRVNFSYNGFSGEVPGDGAFASFPADAFLGDDGLCGVRPGMARCGGDGGEKRRVLHDRRVLLPIVITVVGFTLAILGVVACRAAARAEVVRRDARRSMLLAGGVGDEPGERDHPRISHRELAEATGGFEQASLIGAGRFGRVYEGTLRDGTRVAVKVLDPKSGGEVSRSFKRECEVLRRTRHRNLVRVVTTCSQPDFHALVLPLMRNGSLEGRLYPRDGRPGRGLGLAQLVAVAADVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMTAVVADFGIAKLVKNADDTTTNSGSIAAASSDPCNSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSFGVMILELITGKRPTDVIFHEGLTLHDWVRRHYPHDVAAVVARSWLTDAAAAAAAAAADGAAVGYDVVAELIDVGLACTQHSPPARPTMVEVCHEIALLKEDLAKHGHGGSGGDVVAAATVMAAAAPPSVAMTASERSCSTSDSSFSY >ORGLA06G0051200.1 pep chromosome:AGI1.1:6:3712496:3712861:1 gene:ORGLA06G0051200 transcript:ORGLA06G0051200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMITGKRPTEVIAEEGHSLHEWVKRRLSSDDDVVAAVDLSSSTATSVMTPRHETHVVVELLELGVACSRIVPAMRPTMDDVAQEIARLKDGAWRKCCCEDDNDHSICSDPRDNSVLGEGF >ORGLA06G0051100.1 pep chromosome:AGI1.1:6:3704600:3707604:1 gene:ORGLA06G0051100 transcript:ORGLA06G0051100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:I1Q048] MASTTAAAARFTCLAPATRPASASAGRFLLPAQHWGAATAHGSSAALPVPVVAAAPSRRWAPGVAYATAATGKSVHDFTVKDIDGKDVALSKFKGRALLIVNVASQCGLTTANYTELSHLYEKYKTQGFEILAFPCNQFGAQEPGSNPQIKQFACTRFKAEFPIFDKVDVNGPNTAPIYKFLKSSAGGFLGDLVKWNFEKFLVDKTGKVVERYPPTTSPFQIEKDIQKLLAA >ORGLA06G0051000.1 pep chromosome:AGI1.1:6:3700057:3702420:-1 gene:ORGLA06G0051000 transcript:ORGLA06G0051000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30700) TAIR;Acc:AT4G30700] MPPPRRRPPSAADAAAIRRPYLRLVALSCTLRHLDQILAVSLASGHYPLDPAPATSLLLRYASLRAPHGHLLRLFRGFPRPDRFLRNALLRSLPSLRPRLLFPCPDSFSFAFAATSLAASCSRGGGAASSSAARALHALAVAAGYAADTFVASALAKLYFVLSRVDHARKVFDMVPSPDTVLWNTLLAGLSGSEAVESFARMVGDGSVRPDATTLASVLPAVAEVADVTMGRCVHSFAEKCGLADHEHVLTGLISLYSKCGDVESARCLFDMMEKPDLVAYNALISGYSVNGMVGSSVDLFTELMTLGLWPNSSTLVALIPVHSPFGHDLLAQCLHGFVLKSGFTANSPVSTAITTLHCRLNDMESARKAFDAMPEKTMESWNAMISGYAQNGLTEMAVALFEQMLKLNVRPNPITISSTLSACAQLGALSLGKWLHRIIAEEDLEPNVYVMTALIDMYAKCGSISEARSIFNTMDNKNVVSWNAMIAGYGLHGQGAEALKLYKDMLDAHLLPTSATFLSVLYACSHGGLVEEGRKVFQSMTDDYAIIPGIEHYTCMVDLLGRAGQLKEAFELISEFPKSAVGPGVWGALLGACMVHKDGDLAKLASQKLFELDPENSGYYVLLSNLHTSKKQYSEAAVVRQEAKSRKLVKTPGYTLIEISNKPHVFMAGDRAHPQSEAIYSYLEKLTAKMIEAGYRPETEAALYDVEEEEKEHMVKVHSEKLAIAFGLLSTEPGTEIRIIKNLRVCLDCHNATKFISKVTQRLIVVRDASRFHHFRDGVCSCGDYW >ORGLA06G0050900.1 pep chromosome:AGI1.1:6:3696495:3698525:1 gene:ORGLA06G0050900 transcript:ORGLA06G0050900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G03380) TAIR;Acc:AT2G03380] MHPPAAHSVEHLARASAPRDASALLLLLRLVPACGTLRSLRALHGRLLLLTSGLLRGIRARTKLLSCYAALGDLASARGVLDGTPRPDAYAYRVMLGWLVGAGSHADAVALHRDMRRRCPAAARADVVLSLALKACVRSADFRYGRRLHCDVVKAGGADGFVMNSLVDMYAKSGDLENARKVFDRVPERNVVSWTSMLSGSIQNGFAEEGLVLFNEMRKDNVHPSEYTMVSILAACAMLGCLHQGRWIHGSVIKDGLSTNSFISASLLDMYAKCEKLEDARRVFDELEFVDIVLWTAMIVGYTQNKSPLDALQLFLHKKFVSIVPNSVTIATVISASAQLRHLPLGRSIHAIGVKLGTMESDVVRNALVDMYAKCQALPEANSIFGRILIKDVVAWNSMMAGYSENGMANESLVLFNRMRMQGISPDAISVVNALSACVCLADLHIGKGFHNYAIKYAFMSNIYVNTALLNLYSKCADLPSAQRVFNDMTDRNSVTWSAMIGGYGMQGDSAGSIDLFNEMLKDNIHPTEVVFTSILSACSHTGMVTAGKEYFDSMARHFNITPSMKHYACMVDVMARAGNLEEALEFIQNMPIKAGISVWGSFLHGCKLHSRLEFGEEAIKKMAALHPETPDFYVLMSNLYTSYGRWDKSQTIRRWMQEQGLVKLPGCSSVGHENG >ORGLA06G0050800.1 pep chromosome:AGI1.1:6:3683129:3684424:-1 gene:ORGLA06G0050800 transcript:ORGLA06G0050800.1 gene_biotype:protein_coding transcript_biotype:protein_coding IATVDVLTSEVVVPAGETPAGAVWLSNLDLAARRGYTPTVFFYRHNGEPGFFAADAMRDSLARALVAFYPVAGRLGLDGDSRVQVDCTGEGAVFATARSGHYALDDLMGEFVPCDEMRDLFVPPAPAAASCPRGGALLLVQVTYLRCGGVVLGMALHHSIADGRSAAHFVETWASIARGAPAADAPVPPCFDHRLLAARAPARTVLYDHPEYKPEPAARAATASTYASAIITLTKQQVGALRAACAGASTFRAVVALVWQCACRARALPPEAETRLHSMIDTRQRLSPPLPPGYFGNAVIRTSTAATAGEVVSSPVGHAARRARAATSQGEDYARSVVDYLEGVDAMNLPRSGVSRADLRAISWLGMSLADADFGWGSPAFMGPAIMYYSGFVYVMNAPGKDGAVALALSLEPESMPEFRKVFADEVARLA >ORGLA06G0050700.1 pep chromosome:AGI1.1:6:3674206:3674445:1 gene:ORGLA06G0050700 transcript:ORGLA06G0050700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWSKLSVCGFIWEEDLGGSTSKVVGLHLGLSFKVQGGIVIQKKKSFLWLFVVVQGPSSSRTTSSSSRTATPRKRSG >ORGLA06G0050600.1 pep chromosome:AGI1.1:6:3671524:3672840:1 gene:ORGLA06G0050600 transcript:ORGLA06G0050600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVLTSEVVAPAEETPAGAVWLSNLDLAARRGYTPTVYFYRRSGDDEAAFFAADAVRDGLARALVPFYPLAGRLGLAGGGEDGRVQIDCTGEGAVFVTARSGHYALDDLMNEFVPCDEMRDLFVPQTPAPNPPCALLLVQVTHLRCGGVVLGMALHHSVVDARSAAHFAETWASIVRGAPAGDAPVPPCFDHKLLAARPARAVLYDHPEYKPEPAPAAPPAHAATASTYASAIITLTKQQVGALKAACAGASTFRAVVALVWQCACRARALPPEAETRLYSMIDMRQRLSPPLPPGYFGNAVIRTSTSATVGEVVSNPVGHAARRARAVTSQGDDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWLGMSLADADFGWGSPAFMGPAIMYYSGFVYVMNAPGKDGAVALALSLEPESMPEFRKVFADEVARLGL >ORGLA06G0050500.1 pep chromosome:AGI1.1:6:3661908:3662759:1 gene:ORGLA06G0050500 transcript:ORGLA06G0050500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDWNSLVRNPQVPPPSIGINGSSPAAPGHRPLHRRVAIVTGGAGGIGAAVTAHLVSLGARVVVGYVGDPAPAEKLVASLNDSAPAPRAVAVAADVSDHAQVSRLFDAAREAFGPDLHVLVAAAGVQDGAYPRIADTSPEQWDRAFAVNARGTFLCCREAARRLARGGGGRVVTFSSSNVGSLRPGYGAYVATKAAVEAMTKVLAKELAGTGITANSVAPGPVATPMFYAGKSEERVAAVAGECPMGRIGEPMDVAPVVGFLCTDAAGWINGRVIRVNGGYI >ORGLA06G0050400.1 pep chromosome:AGI1.1:6:3653783:3655117:1 gene:ORGLA06G0050400 transcript:ORGLA06G0050400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEIVESSMVTAGEATPEHRIWLSNLDLLVARSHTPTVYVYRRTGPDSDPAFFSPDVLKAALSKVLVPFYPLAGRLAQDSAGRPEISCTGEGVLFVTARSDATVDDLGDLAPSDELRRMLVPAADVAAAGILAMFQVTFFRCGGVCLGAAIHHTAADGLAALDFVNTWAAIARDVAGDGEAAAAAVQRPWLDRTLLRARSPPAVRFDHAEYSRRRGGGSKLPFDSAILPMSKNQLNALRGAGAGAGKRLSTFTAVVAHVWRCACKARGLAVAGTEAATRLYMTADARTRLHPPLPRGYLGNAIFRASVVAKVSDIVAAGPLGAVAEKVSAATARLDDGYVRSLVDHLEQTAAASGGAAGLRKGEWVMPESDLWVISWQGLPLYDADFGWGRPAFMGRACLQFSGLVYLVPGRDDGDGRLDVVVSMEPESLVKFKDVFYEGLKC >ORGLA06G0050300.1 pep chromosome:AGI1.1:6:3651477:3652637:-1 gene:ORGLA06G0050300 transcript:ORGLA06G0050300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25210) TAIR;Acc:AT3G25210] MALLAAAARRFSRSLRRFSSYAASGGEEAGGVPAGVVEPESPVRAPPDEQFAAWVARLRPGFTAGDLAEAISSERDPDLALALFRWAALRPGFRHAPASYLAALTAASSGRRPAAAENLVYDVLAGACGPDLHLFNACLRFCCARRRLFPLAFDMFNKMRSLPASAACRPDVETYTLLLTAVVRRVRRPPASMVYLHAVRSLSRQMKASGVVPDTFLLNLIIKAYARCLEVDDALKVFREMPLYGCEANEFTYGYIVKAMFQKGRTDKGMVYFREAREKGFVPTGGVYMTAVSALAMEWRFEESRNVLIDMLDCKRKPDMITYRTLMEEMCRAGRAEDAFELLEELKERKRGPLDQRMYSELLDGLHWISQPHQDRVSPCDKRSDD >ORGLA06G0050200.1 pep chromosome:AGI1.1:6:3649222:3649567:-1 gene:ORGLA06G0050200 transcript:ORGLA06G0050200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCRCLEILCAILLPPLGVCLRHGCCTMEFWISVLLTILGYLPGVLYAVYVIVSVDPDRERRRRVDPDEYIYVA >ORGLA06G0050100.1 pep chromosome:AGI1.1:6:3637199:3648607:1 gene:ORGLA06G0050100 transcript:ORGLA06G0050100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT2G07680) TAIR;Acc:AT2G07680] MGFLEKLFVFGVPGFAACLSFLEIVMLIKNKIEGKDVANYESFFRSSQFLVWMVVGLVSVHGPWFVFYNPIMCFCWILKILLEMPHLQYKLTVLKVVLYFKEIISFSMAIVFGLFVVVSTVVDQPRNKREMNSIEDPLVPDDEKAEAEVTNLENNQSIWELLTFKFVNPMMDIGITRQLDFTDLLQLPVDLRAASSYEKLLSSWTVEHQHHHADSSLLRAMSNAYGWTYLRLGLLKVINDSIGFVSPLLLNKFIKFLQQGSGGADGYILAISLGLTSIIKSFLDSQYSFRLAKLKLMLRSSIMGIIYRKCLCLSLSERSRFSEGEIQTFMSVDSDRTINLCNSLHDAWSLPLQIGVALYLLYTQVNYAFLSGLAITVILIPVNKWISTRIAHATEKMMKHKDERISCAGELLAHIRTVKMYSWERLFTQRLVERRELEVKHLATRKYLDAWCVYFWATTPTLFSLCTFSIFAIMGHSLDAATVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLSKYLSSPEKRSSAIPASADLLKHCNTETNVNAMAVILRNVCCSWSSSSIVESSMILRDVSLELQKGIFVAIIGEVGCGKSSLLNSIIGEIHVTSGSITSYGSIAYVPQVPWILSGSLRDNILLGEEFDPRRYEEVIHACTLDVDISAMVGGDMSHIGEKGLNLSGGQRARLALARALYHDSDVYLFDDVLSSVDSQVASYILEKAIMGPQMKRKTRILSTHNLQAISAADMIVVMANGLVKWFGTLDSFLATPYSTLSKPESSRVISSFSEKNKGVSVAHESETNGLIDNDSVVDHEEQREQNSVEARKEGMVELSVYKKYAAFAGWSVAFLICLSAFLMQASRNGNDLWLTYWVDTSTGSSRTIFYLTILAAFGALNSFFTLGRAFSFAYGGLCAAIQIHADLLNNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFGANFFSLLGTLVVISYSQVSFLLILVPLWLIYRNVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIQHVTLYQKTSYCELVAGLWLSLRLQLLAGFIILFIAIMAIVGFNSNSVINFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELHGSESPHSGCWPTEGNIEFEHVTLRYKEDLPPALNDVSFFISSGMQVGIIGRTGAGKSSILNALLRLAPICNGRILVDDFDVAKLAVRDLRGHFAVVPQSPFLFDGSLRENLDPFNRTTDLRIWEALDKCHMKTEIESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKILCLDECTANVDNQTASLLQNTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLLDDKFSIFSRFAKASNM >ORGLA06G0050000.1 pep chromosome:AGI1.1:6:3632054:3633580:1 gene:ORGLA06G0050000 transcript:ORGLA06G0050000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYECTGRKAHGKFAMADGAIDSSEVQLSTNAHPSHTYTVRPSQIEVELRQELANFKRQRQEDCQSIQNALSEFNNQIKEYMMNGSASTPPPQINLAALFPSHSSPTTQQNTTDNSSRNVFNQIDGNNSGNCSQQDAGLSNNEQGDMGNNSENVVLQRMDGSTFRYSSQQTAPATNQGNSKRGRDGDYVDSEDDYADDGNYDDADETPDPFFSLFGI >ORGLA06G0049900.1 pep chromosome:AGI1.1:6:3606030:3609559:-1 gene:ORGLA06G0049900 transcript:ORGLA06G0049900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQTDGGGDSRYVLSELPSDIVIHVEEARFYLHKFPLLSKSSLLQRLIIEASQNGTDEVYIHDIPGGVKIFEICAKFCYGMVVTLNAYNVVAARCAAEFLGMTEDVDKSNLVFKIEVFLNSGIFRSWKDSIIALQTTDALLPWSEQLKLAARCIDSIASKATSNPCNVVWSYTYNRKSASSDEIVEARKNSQPVPKDWWVEDLCELDVDLYKRVMVAVKSRGRITSDVVGEALKAYASRWLPECFDAAAIDDDAYSMAYNHLLETIVWLLPSDKGSSCCSCRFFLKLLKVAVLIGSGEMLKEELMDRVILQLHKASVCDLLIPARPPALTTYDIQLVLTLVGRFMRRAGVTEDGIFLNNLDQEMFETDVDDESLLALSKIVDGYLAEVASDPNLSVSSFVAVATSMPDAARATHDGLYTAIDVFLKLHPNLPKAEKRKISSLMDVKKLSKEACIHAAQNDRLPLRVVVQVLFFEQLRAAAGGNNPPAAAASGGIARRLVEEEDDDDDDVGGGGGGDWSKSRALPTPTPSLLKKQLGSLKLAAAGDEGGGGDDGRRLARVSSVANQSSRLSLSSRSRRMFDRLWAGGKPPGGEVVSKSSDTSGSSQSPRSSAKPPASKSSSSSSRNRRYSVS >ORGLA06G0049800.1 pep chromosome:AGI1.1:6:3601114:3604320:1 gene:ORGLA06G0049800 transcript:ORGLA06G0049800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRRLFHRRPPQGLVEISDNIFVFNHCFSTDFFEEDELKPYIGGILKQLIGRYSIDSFMVFNFEGSKKDNQIASIFSDFDMSVMGYPRNYEGCPLLTMEMIHHFVRSSESWLSLGQDNFLLIHSEQGGWPVLAFALAALLLYLRRYNNERKALEMVYKQAPPGLVEHFSPLNPAPSQMRYLKYVSRRHMSREQWPPADRMINLNCVIIRGVPNFDGKGGCRPILQIYGPDPFAPNDKSTKVLFSTPKTSDSVQLYTQEDSEIIKFNARCPVQGDVVMECISLDENFEHEVMVFRVMFNMTFIEDNLLLLDRDQIDILWDTKLRFPVDFRVEVIFSEMDTITSLNSSQLSSEDKENFSRVEDAFSHLDWSTKSDDVTTNATEQNGSNNEHDGFDAVSLQETENSNSTSEHSLLGTRSVQVIQMETEHNHSSAPTFDIVKDPVADTHSLPETYSLALKSQEHKLFEDSSPRELPKLDTTKNNPNSDLPSANSRDSEAAGDAAVAEWSDTNTDTFLSDTPSSSSPSSPQKFDEDSMEAGIVEIQTQTAEPQRC >ORGLA06G0049700.1 pep chromosome:AGI1.1:6:3589930:3594746:-1 gene:ORGLA06G0049700 transcript:ORGLA06G0049700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVAAAAAAAEGVLHRRIEFHLARRSHSVVAVGGGGFRMETLNPDAGDRAAAGAAQGMGVAAGGEGEARRAEKGEVVGGGLDPELSVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSCRTAGFCALCALQNHVKTALQSTGKIVTPSQIVKNLRCISRSFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESPSAYEKSLVHKIFGGRLRSQVKCTQCSHCSNKFDPFLDLSLDIGKATSLVRALQNFTAEELLDGGEKQYQCQRCRKKVVAKKKFTIDKAPYVLTIHLKRFSPFNPREKIDKKVDFQPMLDLKPFISDSKGADFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGMWHNLDDNQVRQVREADVLRQKAYMLFYVRDRVGNPTPRKDNITANMPAKRTIPEKISGLSGMIQSGVIEAKLNGSSSPYGDKRLHGISNGNSIKTSREHYLKKDGKTEAPKASENNGLASTQKASAPQIDGATLSAQSKQITSTGHREVSSSDRSASLTHVIVNQAVAMVPSQELQPKVDGLTDTSSLGNGNAILSERNKQTSQHQNPFSMPASHGKDTGAGLAAQTFPTKDAIVSNGVVPSSRDPISSEKVCGLQKSIKQDDKTVKELPISENNIVSGLEQVNARKQTSSEVSMKVAAADSCNSNTPKRVDLKSKKLVRYPVMNMWLGPRQVMLGSLKVQKKKKCNRTRRRSVVCEDMANATCSGNNTSEQQASTSTTTSSETVQCTPRGRKRAYDSDSPKNNNQKQNKQDVVGADTGSGELNMDKRNVISETAASAELPKLGPGSSANQEHSRNNVHAKLGVPRHFTVLTRDLAEVTVPCWDDVAVSNAEARESKHSESKSIGYVLDEWDEEYDRGKTKKIRNSKEDYGGPNPFQEEANYISQRNMKQRTYQPKSWKKHAHVRR >ORGLA06G0049600.1 pep chromosome:AGI1.1:6:3582052:3583049:-1 gene:ORGLA06G0049600 transcript:ORGLA06G0049600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVCVCAGKKKQEEEIMSMNLGLGASLVLLLSKGAVELNKMVELRAQMEALVSEIRKETQSKHKDSAAARSSSQESDGRSTTAVKDPIARAAVSDDAMSNCSGGGGGGGGRAAVVMHRMEAELQVELSRLQCGGVAAAHGEKRGAPPTMHGLEVKTTTKSNVSDSPPRSCVVDDDDDVAEGGNGGEVVEEDDDDEEDEEYDEEGEEEEEEEYGSGGGGDKSPPHGGVSARALERRLYELLQKRQQERIVELEAALDATQRRLHEKEREVVWWRDAAKLVTHRRDESRRFARS >ORGLA06G0049500.1 pep chromosome:AGI1.1:6:3577818:3578153:-1 gene:ORGLA06G0049500 transcript:ORGLA06G0049500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDTKDMLKNVDWKTVGGGSVTTDPSQPVVKKRLPKKIRQVPECYFLPRRSLPSALAIYGAVCAAGVGAGMLLEVWINKKIKGAFLFYLVAGGAIQLTFLEHYYFLESL >ORGLA06G0049400.1 pep chromosome:AGI1.1:6:3568693:3570901:-1 gene:ORGLA06G0049400 transcript:ORGLA06G0049400.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSRAAATRSPAAAARGRRMTSSTRCSPRCRRRGPTWGAAAAARRGSRRGRSIRQRRRRRRRRCSTSRRCSRPASGITRSVGLAAAGERSRXCCSXASCTGRPAAARRTGAARSRRCRCSRTGRTCRRGRRWRAASSRPMPPPEASTRCSTGSACTAAAAAPGSRRSARXLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGMAPLVASMSSEGNSNGSSNGGGGKASKGGTGGEGGGGGGGGGGGTGGGMRVTEQQVAKMMEEDMGTAMQYLQGKGLCLMPISLASAISSATSSASLLSRPSIRHAGAPPQTMLDAAGPTSPAAMSNGDDPRHAKADSGAGGTQ >ORGLA06G0049300.1 pep chromosome:AGI1.1:6:3565863:3566915:-1 gene:ORGLA06G0049300 transcript:ORGLA06G0049300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRATTTRAVVLRLDDLSLPPRRLTVQSRLPVSHLLRALPQPLLESSSFYLTADGRPLPLSAPVASLPPSGSVQLRLRALRGGGGDGGATGAESRDCYLSMYLAKKPDKADPNEARLSRFTCCALSGEPLAAPAVADRLGNLFNKEALVEALLHKRLPKALSHIRGLKDMIPIHLHPKPDADAAGEEVRFQCPVTGLDFNGKYQFLALRKCGHVLSVKALKEVKTSACLVCHKEFDEADKMPLNGTEDEVAALRLRMEEERGKVKEKKEKKVGNGLSGSKHAAAAVMAGGAEKLENGKKGEAPSSKRFKAGDHAPAYANKEVYASIFTSSKKSDFKETYSCRSLPLGRN >ORGLA06G0049200.1 pep chromosome:AGI1.1:6:3544338:3560047:-1 gene:ORGLA06G0049200 transcript:ORGLA06G0049200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSEKRPLYTLDESDDDLPPRGGGGKGRDRHSDGPTERIEREDAKEDACQKCGENDNLVPCSTCTYAFHRKCLVPRLNITSDKWSCPECVSPLTEMEKILDCEETKPDASEETSSSESGSKKKPVKRYLIKWKGISHLHCTWVSESEYLETAKIYPRLKTRLNNFHKQMDSTDKSDDDYSAIRPEWTTVDRILATRKSSTGEREYYVKWKELTYDECTWENDSDIAVFQPQIERFNEIQSRRKKSTDKCKSVTREIRQYKESPKFLSGGTLHPYQLEGLNFLRYSWYHNKRVILGDEMGLGKTIQSIAFLGSLFVDKLGPHLVVAPLSTLRNWEREFATWAPQMNVVMYFGSAASREIIRKYEFYYPKEKPKKLKKKKSSPSNEDKKQSRIKFDVLLTSYEMINMDSTVLKTIEWECMIVDEGHRLKNKDSKLFGQLKEYHTKHRVLLTGTPVQNNLDELFMLMHFLEGDSFGSIADLQEEFKDINQDKQVEKLHGMLKPHLLRRFKKDVMKELPPKKELILRVELTSKQKEYYKAILTKNYEVLTRRSGGHVSLINVVMELRKLCCHAFMTDEPEEPANSEEALRRLLESSGKMELLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYRKWSYERIDGKIGGAERQIRIDRFNAKNSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTSKVMIYRLVSRGTIEERMMQLTKKKMVLEHLVVGRLTKGTNIVQFQEELDDIIRHGSKELFDDENDEAGKSCQIHYDDAAIDRLLDRDQADGEEPVEDEEEDEFLKGFKVANFEYIDEAKALAAKEEEARKKAEAEAANSDRANFWDKLLKDRYDVQKVEEHTTMGKGKRSRKQMAAADEDDITGLHDMSSEDDDYSYDDDVSDNDTSLQSGLAGRRGPYSKKKQRNVDSLPFMEGEGRALRVYGFNQIQRTQFLQTLMRYGFQNYDWKEFTPRLKGKSVEEIQRYAELVMIHLLEDINDSGYYADGVPKEMRTDETLVRLANISLVEEKVAAMEQGKITKLFPSYLLYEFPSLVGGRVWKAEQDLLLLKALIKHGYARWQYISDDRDNGIFEAARQELRLPTANELISSHSNNETNGNLESTQEGQSNPTSMIHYRDTQRKIVEFIRKRYHLLERCLNLEYAVIKTKTPVPDDLAEQDFPGGHRPAVPDYSEMLRELPVLEPISAKEVAPEGTTDQSQVSHLYNKMCFVLEDSAVPALNSHFGDKAASSGLANSLHKFEAVCEDVSRILRSHENGTTPKEEVMLDASSKETTSPKDPATEVPSSASKEATPPVQDPVIEAVKEEPPTVKAEDKMEIDS >ORGLA06G0049100.1 pep chromosome:AGI1.1:6:3540015:3543738:-1 gene:ORGLA06G0049100 transcript:ORGLA06G0049100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30993) TAIR;Acc:AT4G30993] MEVEAARRSKRPPWSRTVAVQVALCVAMYAAFSLGEPRLHRNRGRGGGGGVEASLGRGGRGGVSFLSVAGGARPAAEQARLLRQMESIAKAYKVKFVVDVAQLGEEDPLWQNGSLYFQALKIPWYSTTSSHGQIIGNFLKRVMMPYDQSLEIIGMDTGSLQEPIHDGKIRASSREQIKWLEQSIAATSSNWKIVVGYDPFFVCAEAHTLETTKLYEPLQRIFAKYGVNAYISTGGHCGYFRQDNSMLYIGNPSPDDLTSSDGFLLHIVNLLEMESLLINLEGKVVERFVVNHHRLEAL >ORGLA06G0049000.1 pep chromosome:AGI1.1:6:3538120:3539592:1 gene:ORGLA06G0049000 transcript:ORGLA06G0049000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMHARFEVSDVVGGDGSSSGGSSPASVSGPSDSDGSSCSSSSAADELFPDARELPSTSASVPVLAGVGFHPISPTSLSVLSDIDVHMQRMARLLPAFASPAAAPRAQALGRWLAGFDVGWVLEMDAGGGGGGGRLPRREVGRRVRVWAQALSTMDRVFRLRHREARNPANETAAAQLAALGELASASAGAMLRLATAVAALGASPSALLAALDVYVPVSEAYPGLARMFSWSTAAADAALAALVDAARRCVRGLPASIRSHYPWRMPQGGEVHPCVGFWMGYFRCMLRNRVSLYLVLAGGDGGETATTPALAPGSEGGLVADLISRLEAVLEEKSGELAFPGLRQVFMLNNTHAIVRRAVRSDLAMFLPPGWARAREERMEGYVKSYLDASWAPVVSRLAAAATKPAAVSVLRRRRDPLAAFNSALENAYSAQRCWKVPSPVLRRVLRRTVSEHVVPAYRRCLEAAETPAAARTVEELERQLSELFEG >ORGLA06G0048900.1 pep chromosome:AGI1.1:6:3522060:3530602:-1 gene:ORGLA06G0048900 transcript:ORGLA06G0048900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVEEVSKWRRRCCYFWILFPLAVIATCMTITVVTFCSTTMYMTEVMGEATKGAMDSALMHIAGNMRPLLEANRSVFIIANTLHVQGNMASFSHVGPKLFLAFSMQPLLAQISYAAVDGAAFAYYRAGGGDGEARAMFARPNGTWFTQAVDPATGRPVGNATAAALHQQLPPNVTRLLLDGGGGGASLADGWARPGVRMLFLSAPVGGGGGAVSAAVAVDDVVLRGAAGLRQLGDLGMYYAVAGNGGATAAPPAPEPAAYRSLLGDGAAAEEMALFSSVKCTASAIDAPPKLDVHGVKSDKYRFACTNFDISGVQMGFRVVLRKSAMVGVFRRGGVTMVAVACAAAAAATVACVLMARALRRAVAREAALGADLARHRDALRQAERKSMNKSNAFASASHDIRSALAAVAGLVEVSRPEANPNIVDNLNQMELCTNKLLDILNSILDTTKVESGKVQLEEVEFNMADVLEESVDMANVVGINKGIEVIWDPCDFSVMKCDNIIGDSKRFKQILDNLLGNAMKFTQEGHVILRAWANRPIARGSIGAPSRFAYRSLENNFFSFFFGAKEDRVSQNSFNPLQNDPNSVEFYFEVVDTGIGIPKEKRESVFENYVQVKEGHGGTGLGLGIVQSFVRLMGGEISIKEKEPGERGTCFGFNVLLKTSGNQAAEEDIEEGPSTVSELDIRASVFRETNCFKGWHCILFVHGDETRRVLQAWMESIGMKVWMVPGVESISSTLEKARSSRDDCDVDRCFSSKEMVSQVLPTTLRNNNIMARNLGEHHPLGMLLIVDVSNGQLENIQRQARDFTQMRSQVPCKFVCLTDLRTSYKDFRRFEEMSCDLILRKPVHGSRLYSLLMTLRDVQSSPMHRSSLVGHENYVTRHQDSANIVALAEVGRLDQGLKTEEDRPLDGMHVLLVEDTLVLQTIQRKMLNQLGAIVELAGDGAKAVDMFRDAIERASVSEEHSVPLPYDVIFMDCQMPRMDGYEATRRIREEESRYGIRTPIIALTAHSMEDDLQKAIDVGMDLHMTKPIERKRIVEAVHGVCKGKN >ORGLA06G0048800.1 pep chromosome:AGI1.1:6:3517315:3521513:1 gene:ORGLA06G0048800 transcript:ORGLA06G0048800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:I1Q025] MLLGALRMEERKGLMGRERDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVTSTNQAITALKLLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFGNRERNNLDFSKECNKPQSADTDHGPYQPTCGSSDQNGRSSRKRKELHGEDDDEGDDNDYQENDEPSAAKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLKRLSAVASQQASIVAAFGGRDPSFLHMGAFEGLQSYQPFAPSAALPSFNPHGLLTRTSAAAAFGLQELAAPSSTIQTATGNVTIGHCLEENQQANLAQGLTAAIGQPQLQQNWIHQEGNGLSDVFSGSSLTNTLSSTLQRVPSSSLPPQELLECKQAKVSMPPSIRIPPSSSALLERTLGVSTNLGDSSISQQGALPIDGGFSADRLPLHSSFDGAVATKLDTSLAASQREIGQQGKFSVSMLVSPSDNLALAKNAKTGASSSGSTIILPLDTARRSDYLQFGGASNSLQKMDGQKQDHIQSSNIIWSSMPSTQLPSDTQIHNTQNQRLDSGSFNHNIGAHLADQTNASASILPQMKFDTRISEEKMKQKNTYDLGSSKLQGGFNSSGCNFDGLLNSIIKVEKDDLPFMDNELGCDLFPLGACI >ORGLA06G0048700.1 pep chromosome:AGI1.1:6:3484302:3490797:1 gene:ORGLA06G0048700 transcript:ORGLA06G0048700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKFFRGSTHKISEGQYHSKPAEETIWNGPSNSAVVTDVPSEFDNEDIARAISLSLLEEEQRKAKAIEKDMHLEEDEQLARAIQESLNVESPPRARENGNANGGNMYQPLPFMFSSGFRTCAGCHSEIGHGRFLSCMGAVWHPECFRCHACNQPIYDYEFSMSGNHPYHKTCYKERFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLDDGRKLCLECLDSAVMDTSECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKTGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKVMEMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSPDVEEGICQVLAHMWIESEIIAGSGSNGASTSSSSSASTSSKKGGRSQFERKLGDFFKHQIESDTSMAYGDGFRAGNRAVLQYGLKRTLEHIRLTGTFPF >ORGLA06G0048600.1 pep chromosome:AGI1.1:6:3478332:3482154:1 gene:ORGLA06G0048600 transcript:ORGLA06G0048600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58200) TAIR;Acc:AT5G58200] MASAGVGRGRVRVAVVGDVHNDWTLEEDSKALHFLQPDLVLFTGDYGNENVQLVKSISDLQLPKAAILGNHDCWHTYQFSEKKVDRVRLQLESLGEQHVGYKCLDFPTIKLSVVGGRPFSCGGNRIFRPKLLSKWYGVNDMAESAKRIYDAATNAPKEHAVILLAHNGPTGLGSRMEDICGRDWVAGGGDHGDPDLEQAISDLQRETGVSIPLVVFGHMHKSLAYGRGLRKMIAFGANRTIYLNGAVVPRVNHAQSSRQPAISTSEKTGLEGLTGLMVPTSRAFTIVDLFEGAVEKISEVWVTVGDARTELEQELVLYKQPREHI >ORGLA06G0048500.1 pep chromosome:AGI1.1:6:3461326:3474105:-1 gene:ORGLA06G0048500 transcript:ORGLA06G0048500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:callose synthase 5 [Source:Projected from Arabidopsis thaliana (AT2G13680) TAIR;Acc:AT2G13680] MTGPRGGGGEDPPRTSSGAAASASASSGVPNEPLTPSSMLMSGQQTGLNRRGSRSAAMATFSMEVFDNEVVPSTLSSIAPILRVAAEIEPERPRVAYLCRFYAFEKAHRLDQNSVGRGVRQFKTALLQRLEKDNSPSLAKRVKKTDAREIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEVNPEIVRLHRDVQEKKDIYTPFNILPLDAASASQSIMQMEEIKAAVAALRNTRGLTWPSTFEPERQKGGDLDLLDWLRAMFGFQRDSVRNQREHLILLLANVHIRLEPKPEPLSKLDDRAVDEVMAKLFSNYRKWCNFLSRKHSLRSPQGAQPQEIQQRNILFLGLYLLIWGEAANIRFMPECLCYIFHNMAYELNGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIKKESGKSKHGKTPHSAWCNYDDLNEYFWTTDCFSLGWPMRDDGDFFKSVHDSRPVTTAGSSSQKGSTKSTGKMNFVETRTFWHIFRSFDRMWTFYLLALQAMLIFAWSDYTLSQILQKDLLYSLSSIFVTAAFLQFLQSILDFVLNFPGHHKCKFLDAMRNILKIIASAAWAVILPFFYISTASKVNLPIKDLDKWFQYVKGVPPLYILAVAVYLIPNILSAALFLLPCFRRWIENSDWRIVRLLLWWSQKRIYVGRGMHESSVSLFKYTLFWILLLCSKFAFSYFVQIKPLIKPTKDIMNVHNIHYEWHEFFPNASYNVGAVMSLWAPVLLVYLMDTQIWYAIFSTISGGVSGALGRLGEVSPSKRTEAAKFAQLWNEVICSFREEDLISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNLLVIGENEKRIISIIIKEIEANIAKNTFLANFRMSALPVLCKKFVELVSALKERDASKFDNVVLLLQDMLEVITRDMMVNEIRELAEFGHGNKDSVPRRQLFAGTGTKPAIVFPPPISAQWDEQIKRLYLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSRNDLDLENEDGVSIIFYLQKIFPDEWNNFLERIGCQRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYKRALKLQAFLDMASESEILEGYKAVADPAEEEKKSQRSLSSQLEAIADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEERDGEKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIVFTRGEALQTIDMNQDNYLEEALKMRNLLEEFHENHGVRQPTILGVREHIFTGSVSSLAWFMSNQETS >ORGLA06G0048400.1 pep chromosome:AGI1.1:6:3455282:3460159:1 gene:ORGLA06G0048400 transcript:ORGLA06G0048400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRGVGMGVGDPSSPSARTGAAAEEEAGAGKVKLLCSFGGRIAPRQGDGALRYVGGQMRLISVPRVASFGELMRKVEAVDDAGGAGGGGGGGVLVKYQLPGEDLDSLISVSCTEDYENMMEEYEKLAAAAPDGSAKLRVFLFPASGSEAAAAGASGSGSHLAAAVDESGQRYIDAINCVSAESVAAMRRKESVASAGSSAHNSEASEHGGAVEGMSPQAAVPPPSLPPEYLYSGGNKYPGAFPDSLGFSAVTASSPAMGIQAQNHIMVRTEPLPPQPHQVASYAPSHQPPQVASYAPHQQPQVASYAPQQQQHQQQPQVASYIPQMAQSFREPQQVQYINAQQLGVHGVPQSVNFVPVQMSPYMPSIPVTNSMPTSAAQVGTMKPASPASEPVLENVHNTRPMQATGDQSYRVLQPLSQLPPLPPVHLQTSDAHRYGVQTVMTSSASTPLVTTSGTIPMVISSATMPALRYDDCTMCQKALPHAHSDNMIQEQGTPHGVNNPDAAPVFYSLHQENVTKQHIPGATAGTPANYIVEPRSEVTAGMMQTEQNFAANNHVLQPTSFPDASGLVPNTRVTSRLAFAGNPPQPRTEDPVMYQHQQQNSYSMQPSLIPVNGVISNPQGIDASAFKNSNNQVPDPFREYGHDLPHDYVRAINAQMQGVHLGPIAPPESSVQGKPASPHGAIGDGKLEKPSHVNIGGGSIYKSQAGGYHLGITNAFSAPAEDNLVRHTEQSSSAFDSQHLHSEIGHQLNVLQNVPVSNNLGVPAKPHISNERFLARPTSAGVQVPVEHSPLRPAEMLNHVVSAPPNGNSQIPLQATAGIDSVESTRDPAYTDSLFSNQDPWNAVGNASVAPPRLNKLAKEPAVSGDPYVEGHGLAFNSSNAATLLEEGNLPLIQDRTFKDIYPEPSQMSKGYGEETIKRQLQAVAEGVAASVLQSPFPEKPTVFSGDHTDKQGAVIDPKLEDAVNNQSDKTSQGVKVLDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKASEQERMRTDFWNEADKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHEKIFDRRRRLLIAMDVAFGMEYLHEKNIVHFDLKSDNLLVNLRDPQHPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPPVPESCDPRWRSLMEQCWSSEPSERPSFTEVGKRLRAMATPSTKAQPQK >ORGLA06G0048300.1 pep chromosome:AGI1.1:6:3451813:3452497:-1 gene:ORGLA06G0048300 transcript:ORGLA06G0048300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDKAFGAHGRDASFGSWWKKCFGAKARAEERARVRAGESVTWRTQPDGLRLPEVAVGSSVFASRGVLLIIIILRVWWLSQPRPFLETWRRGANG >ORGLA06G0048200.1 pep chromosome:AGI1.1:6:3442112:3442988:-1 gene:ORGLA06G0048200 transcript:ORGLA06G0048200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMAAMLPGVECARRRRLRQGGGAGADAAAAGGGTRRSSFCVHAAGHGGGQTCGGAAANHSGKQRSSVMELIHGWSLDSNAREAKERLDQKLRSQRESVIKRHHSTGSIKLNRGASGGGGGGGRSMATAAMGVQREVYSTKGVMRRLMRWSRLRWDAAEQAECAVCLDEFAAGDVLAHLPCGHRFHWACALPWLEAGAAPRSCPFCRAAVDTPPPPPPPACSS >ORGLA06G0048100.1 pep chromosome:AGI1.1:6:3437437:3437937:-1 gene:ORGLA06G0048100 transcript:ORGLA06G0048100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFAPRGVISSELVHGRLTDNEVDQTNWSVAEVATATVKADGGHRRGVVARYLVAERSIGGMVASREPATMERRSPWKPPSPIGHVPVAWEKGKPPCLGVGCSQIKG >ORGLA06G0048000.1 pep chromosome:AGI1.1:6:3433734:3434327:-1 gene:ORGLA06G0048000 transcript:ORGLA06G0048000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLVAFLLLSSYAVLSICSSRPIAGGVEVIWSTGAATTTMEADGGQSHRRRGGGTSVVVRYLVARRTVMGMEVPSRESSXVWVTAVVVYLQRHLHAKHWLLPKSYNTIQLRDVIPT >ORGLA06G0047900.1 pep chromosome:AGI1.1:6:3432062:3432621:-1 gene:ORGLA06G0047900 transcript:ORGLA06G0047900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPILVAFLLLGLYAVVSVDSSRPIEGGVETIWTAAAADQGDGGVAPSSLPERSMIAVMPRRQPVRAPPSPKPSMAMTSYMPPCSGGVPGCRTPRMG >ORGLA06G0047800.1 pep chromosome:AGI1.1:6:3427959:3428309:-1 gene:ORGLA06G0047800 transcript:ORGLA06G0047800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRMQLAWLLVATLLLASSACSWSRPLAAGSDGVGGEKLVSAARRSLGSRTPPAPPAPLPNKTKSYVMPVPGSPPAV >ORGLA06G0047700.1 pep chromosome:AGI1.1:6:3425828:3426200:-1 gene:ORGLA06G0047700 transcript:ORGLA06G0047700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRALLAWLLVAALLMAGSLTCSSSSSSRLLGGADGGDSKAWAGAGDVVREYHGGGEKAAATARRSLGLRATKPMPPAPIPNRMKANAMPVSPPARIG >ORGLA06G0047600.1 pep chromosome:AGI1.1:6:3413073:3416028:-1 gene:ORGLA06G0047600 transcript:ORGLA06G0047600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCCRCSRGALPLLLISLSAAYLTYTALLSSRSLLPLPTASFPGATASSSRRLASGRPTAAAAFHTAVTASGSLYNTWQCRVMYYWFKRAREAGGGGGAEMGGFTRILHSGKPDAFVDEIPTFVADPLPAGTDQGYVVLNRPWAFVQWLQNADIQEEYILMAEPDHLIVKPIPNLSRDGRSAAFPFFYIEPKKYENVLRKFFPEHEGPITKIDPIGNSPVIARKESLARIAPTWMNISIAMKKDPQTDKAFGWVLEMYAYAVASALHGVGNILHKEFMIQPPWDLEIGDAFIIHYTYGCDYDMKGKLTYGKIGEWRFDKRSYDSKPPPRNLPLPPNGVPQSVVTLVKMVNEATANIPNWDSYAAA >ORGLA06G0047500.1 pep chromosome:AGI1.1:6:3408668:3411024:-1 gene:ORGLA06G0047500 transcript:ORGLA06G0047500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G13100) TAIR;Acc:AT2G13100] MEAAAAASASARGRLRSRQYAVLGLTFAAYASFHASRKPPSIVKAVLSADWAPFSGPRGPHRLGELDVAFLSAYAAAMFAAGHLADRADLRRLLAAAMLASGATSAALGAAYFLGVHSLAFFLAAQVASGIVQSAGWPCVVAVVGNWFGHASSRGTIMGVWNSHTSVGNIAGSVLAAAVLEFGWGWSFLVPAFVIAALGVVVLVFLIAHPMDAGLDIESMEVEMNGGSGEEVELLGEDKKEDEDALEVEAVAELPRAIGFLEAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYIRNNAVAGQFLSHKASGILSVVFDIGGVLGGISAGLLSDKIGARAVTSALFLFLSIPALILYRTYGSISMHHNIGLMFLAGYFVNGPYSLITTAVATDLGTQDAIKGNSRALATVSAIIDGTGSVGAALGPLLTGYISTRGWNSVFFMLIVSISLALVFLIRLAKDEIVSKISARH >ORGLA06G0047400.1 pep chromosome:AGI1.1:6:3403590:3406761:-1 gene:ORGLA06G0047400 transcript:ORGLA06G0047400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPCIWCCLVLLTLVVCDSCLHEERRHLMDIYDTFQWLDGWVPYWSSRDCCRWERVTCSSITGRVTALDLSPDYSRWYGLLNCSMFLPFRELQNLSLRNAGITGCMPGAGFEVWSNLRQLEILDLSENELNDSSIMPLVGLASLRSLFLGGNVIKNDFIVQRLSKMKLDILDLSWNGIFGNISRAVCNMTSLRELHLNGNFFFGVLPSCIRNLTFLRVLDLSNNLLTARFPTILFANMTSLEQLSLSHNQLEGLLLLNSFSNHLQLKYLRLSSNSASFQVQTENPEANISSQLQVLELSNCNLNANSGVVPSFLSHQHGLYLIDVSNNNLSGHFPTWLLENNIYLSYLSVKHNSFVGPLILPSKVNKNLSWLDASYNRLSGDLPVDINITFPNLSYLNLSKNFFQGIFPSAISHLENLATLDLSYNNISGDITASLPITMSMNHLVLNDNNISGEIPTSICTNMNLGVVDFSNNKFTGSIPNCIDSNYLLFILNLRGNHLTGSIPTSLSSFLSLQFLDLSKNHLSGPVPALPNLTYLHLSENELNGTFPLVWSFSTNLKTMDLRYNQFSGAIPSCIDETFPELRILLLNGNMFEGMVPNQVCHL >ORGLA06G0047300.1 pep chromosome:AGI1.1:6:3392658:3396318:-1 gene:ORGLA06G0047300 transcript:ORGLA06G0047300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEESEGAERLDFGEPAAAAADAGKSKSKSPDELPSPRMERVCENTTAADFKQNKSGNFVPNIRSGDWSDIGGRQYMEDTHVCIADLAKNFGYQSVDNEAISFYGVFDGHGGKDAAHFVRDNLPRIIVEDADFPLELEKVVRRSFVHADNQFAKTTLSSGTTALTAMIFGRTLLIANAGDCRAVLSRCGTAIEMSVDHRPCSLSEKLRVESLGGYVDDGYLNGLLGVTRALGDWHLEGMKEAGNPGGPLSAEPELKMITLTKDDEFLIIGSDGIWDVFSNQNVVDFARRRLQEHNDVKSCCREIVEEAIKRGATDNLTAVLVSFHLEAPPQIRVSRPGRVARSISAEGLNSLRTLLRNQ >ORGLA06G0047200.1 pep chromosome:AGI1.1:6:3391176:3391569:-1 gene:ORGLA06G0047200 transcript:ORGLA06G0047200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLPLVAAVLALFLMAATVQSIRLDAESHSAFSKQTVNNTSGDNVVAVVVAKTDGEPSGEMEKAISEEKSRVGHELPEIHVDYYGPRGHNPRHH >ORGLA06G0047100.1 pep chromosome:AGI1.1:6:3386223:3387974:1 gene:ORGLA06G0047100 transcript:ORGLA06G0047100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDHHPGGRDGAPLVFDELRWVIQIRRSLQEDGGDDDDDNGIPVSVFNVPKQLQAHKPEAYVPQFIALGPYHHWRPELYEMERYKLAAARRAQRHLREGVKLEHLVEQFARAERKVRAHYHRYLDFSGETLAWMMVVDGAFLLEFLQIFAAAEAAAGGGGGKPELRRVSSRMAHLVDFAGRKSAHNLILRDMLMLENQIPLFLLRKLLEPQCSSAEEAGELLGRMVTGLMKELCPFKMMDNFPAIDVAKHAHLLELLYHLLVPKPSDDAAAAADGHDEGYDIEEQPVDGGGEEKQQSAGCEYVKQLLAAVWGIVSSLKSGPMQYVAKPISFAVKAPWKMLTVVPGFSAMKHPVESFFMSGGGGDPSSSSTAAGQDHHHAISRPPLIEEIMIPSVTELAAAGVQFAPTNGDATTVSFDAKTATLHLPVVTLDGSTTEVVLRNLVAYEASAATGPLVLARYTELMNGIIDTGEDVAALRRRGVVLNRMKSDGEAARLWNGMSRSVRLTKVAAMDAAVEGVNRYHGARWRVKARRFMRRYVFGSWQLLTFLAAVLMLLLTTLQAFCSVYTCSRWFGAVAVAPPP >ORGLA06G0047000.1 pep chromosome:AGI1.1:6:3379324:3382103:-1 gene:ORGLA06G0047000 transcript:ORGLA06G0047000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVAVKAGSRPPWVGLGAAVWVQVAGGASSTFALYSHALKVALAADQRRLALLGVACDVGENLGLLPGVLCNRLHPALLLLIGAAACLLGYGSTWLAVSASGPALPYWLIWFALCLAANSGAWLGTAVLVTNMRNFPLSRGAVAGILKGYAGLSAAVYTVIYTGVLHDSASNFLLFVTLGVPVVCLVTMYFVRPCEPSLVENSSEQVHFLFTQLSSVLLGVYLVAATILDHFVTLTDAVNYVLLVIMVLVLFVPLTVPLKMTLFPSNRRKGQSDSSECSSSSADHDHTESLLPSSSASNLGNIEDDDSMDIDILLAEGEGAIKQKRRRPKRGEDFRFREALLKADFWLLFAVYFIGVGSGVTVLNNLAQVGIAAGVADTTISLALFSFGNFFGRLGGGAVSEYLVRSRTLPRTTLITCTQVMMIIIYLLFALGHHATLHVSVALLGICYGAQFSVMVSTSSELFGLKHFGKIFNFISLGNPLGALLFNSLAGYVYDQEVERQHATTMDTDIACHGPNCFRLTFCVLAGVASLGTLLSIVLTVRIRPVYQMLYAGGSFSQPRSSAH >ORGLA06G0046900.1 pep chromosome:AGI1.1:6:3376655:3377986:1 gene:ORGLA06G0046900 transcript:ORGLA06G0046900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYAWLCRAGLHPDVAFEYARLFARNELAADDLRHLDHGLLATMGVPIAKHRLEILKLARRESPPSSSSSSSLAAVRLPWRATRLLAAAARRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAVRDAERRQEDGAAEAPQQAHAHQPLQRRRRRREEDEDDQRRGDDLQGRRPRRRVGGGGGDNRLLRRKTRRLQLQRRRIRSIRRRRGHAMGVHVPRSQAHINRASLAACVVSSIHGELQACNNGCSVDLCPVEEEEDKVRTCKSTSTVVTGSLSLLHYLLFVCSVFCNCTCVEIERR >ORGLA06G0046800.1 pep chromosome:AGI1.1:6:3369591:3372288:-1 gene:ORGLA06G0046800 transcript:ORGLA06G0046800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRFLGGLCFLALLRLGGAAEAVVGVAGVDGRRAIAATDEDFVCATMDWWPPDKCDYGTCSWGLASLLNLDLSNKILLNAIRGTFSPLKLRLGGSLQDKLVYGTGDGGGPCAPFVKNTSEMFGFTQGCLPLHRWDELNAFFQKSGARIVFGLNALNGRVPLPDGSMGGPWDYTNAASLIRYTASKGYKIHGWELGNELSGSGVGTKVGADQYAADVIALKSLVDTIYQGNPSKPLVLAPGGFFDAGWFTEVIVKTRPNLLNVVTHHIYNLGPGVDTHLIEKILNPSYLDGMVSTFSNLQGILKSAGTSAVAWVGESGGAYNSGRHLVTDSFVLSFWFLDQLGMSAKYDTKSYCRQSLIGGNYGLLNKETFQPNPDYYSALLWHRLMGTKVLSATFNGTNMIRAYAHCAKDSPGITLLLINLSGNTTSQVSVTSEGAHANTVKKHSRKTRHLAAGSMREEYHLTAKDGSLQSQVMLLNGRALVADENGEIPRLEPVKVDAAQPIAVAPYSIVFAHIHNFPAPACS >ORGLA06G0046700.1 pep chromosome:AGI1.1:6:3364246:3368580:1 gene:ORGLA06G0046700 transcript:ORGLA06G0046700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSALGTEVFIPVAAAVGVAFAVAQWLLVARVKVNPAHAAAAAASGGSKNGGYGDYLIEEEEGLNDHNVVVKCHEIQTAISEGATSFLFTEYQYVGIFMSIFAVVIFLFLGSVEGFSTKSQPCTYSKDKYCKPALFNALFSTASFLLGAITSLVSGYLGMKIATFANARTTLEARKGVGKAFIIAFRSGAVMGFLLASSGLVVLYIAINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSVGIIVCLITTLFATDFFEIKAVKEIEPALKKQLIISTALMTVGIAIISWLALPAKFTIFNFGAQKEVTNWGLFFCVAIGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIYVSFSIAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNSIPGLMEGTGKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKWF >ORGLA06G0046600.1 pep chromosome:AGI1.1:6:3345002:3347332:1 gene:ORGLA06G0046600 transcript:ORGLA06G0046600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPWRLPNIVQELAAGVQEPPSRYLQDLAGGDQLAGAEIPEPIPTIDLGRLSGSDGADEAAKLRSALQNWGLFLVSNHGVETSLIDAVIEAAREFFRQPVEEKKKLSNLIDGKRFQIEGYGNDPVQTKDQILDWSDRLHLKVEPECDRNLAFWPTHPKSFRDILHEYTLKIKTVKNDILLALAKLLELDEDCLLNQFSDRAITTARFNYYSPCPRPDLVLGLKPHSDLCALTVLLTDKEVGGLQVLRDGTWYSVPAVRDYSLLINIGVTLEIMTNGTFRAPLHRVVTNAERERMSVAMFYAVDGEKEIEPVAELLGLKQQSARYRGIKGKDLLIGHYEHFSRGGRVVDSLKI >ORGLA06G0046500.1 pep chromosome:AGI1.1:6:3339894:3342923:1 gene:ORGLA06G0046500 transcript:ORGLA06G0046500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGHEWKIVKIPPIVQELAANVPEPPSQYMVGEQDRPAITGSGMPEPIPVIDLSRLSASDDDDSAAELAKLHSALENWGLFLPGFLSEVMKVTRGFYELPLEEKQKYSNLANGNEFKHEGYGNDMVVSEKQILDWEITSLVLTRLAKLLGLREGYFVDMFDEDATTYARFNYYPRCLRPEDVLGLKPHSDGSVITIVSVDDAVSGLQVLRQGVWYDVPVVPNALLINMGDGMEIMSNGLLKSPVHRVVTNAERERVSVVMFYALDPEKELEPAPELVDDEKRPRQYAKMKIKDYLSGFYETFARGTRVINTVKMSE >ORGLA06G0046400.1 pep chromosome:AGI1.1:6:3334434:3334625:1 gene:ORGLA06G0046400 transcript:ORGLA06G0046400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHHWNIVKIPPIVQELAAGVHEPPSQYMVGEQDRPAIAGSDMPEPIPIVDLSRLSASNGK >ORGLA06G0046300.1 pep chromosome:AGI1.1:6:3331622:3333109:1 gene:ORGLA06G0046300 transcript:ORGLA06G0046300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRSPAIVQELAAAGVEEPPSQYVLGEKDRSDELVAAELPEPIPVVDLSRLAGADEVAKLRAALQNWGFFLLTNHGVEASLMDDVLNLAREFFNQPIERKRKFSNLIDGKNFQVEGYGTDRVVTQDQILDWSDRLFLRVEPTEERNLAFWPDHPESFRDVLNEYASGTKRIRDDIIQAMSKLLELDEDYFFDRLNKAPALARFNYYPPCPRPDLVFGVRPHSDGSLLTILLVDEDVGGLQIQRDGKWYNVQVAPHTLLINLGDTMEVLCNGIFRSPVHRVVTNAEEDRISLAMFYSVNDEKDIGPAAGLLDENRPARYRKVSVGEFRAGIIGKFSRRERYIDSLKI >ORGLA06G0046200.1 pep chromosome:AGI1.1:6:3329786:3330264:1 gene:ORGLA06G0046200 transcript:ORGLA06G0046200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLQVLDSLNYTFFFVQIMSNGIFKSSVHRVMTNPEKERISVVLFYFMNLEKEIEPALELIDERHPARYKRVKIMDYLAGLFEHFLQGTRVIDTRLSEKKNIIVRFHFVFA >ORGLA06G0046100.1 pep chromosome:AGI1.1:6:3327201:3329715:1 gene:ORGLA06G0046100 transcript:ORGLA06G0046100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIVGDGGRVGGLRRRRQVVAVWDYGGGGGGGGEQPVPFRGNGGVPRQYLSYIRMEGSMEDVRSTLLVQELAGMRSKAVPRQYIVQREDQPTIAATASVPIVDLGRLSQPDGDANEAVKLRQAMESWGLFMVTNHGIEDALMDNMMNVSREFFQQPLGEKQKYTNLIDGKHFQLEGYGNDQVKSDTQILDWLDRLYLKVDPADERNLSVWPKHPESFRDVLDEFLIKCDGVKNSLLPSMAKLLKLDEDYFVRQFSDRPTTIARFNYYPQCPRPDLVYGIKPHSDATILTILMVDNDVDGLQVLKDGVWYDVPTKPHTLLINLGDHMELLLKLTVSL >ORGLA06G0046000.1 pep chromosome:AGI1.1:6:3311297:3313126:1 gene:ORGLA06G0046000 transcript:ORGLA06G0046000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPAQGQMVQDLAAAGELGAPPSRYVLREKDRPVAATGAVQAAERELAAIPTIDVSRLAAESGDDGGEAAKLRSALQSWGLFAVTGHGMPEPFLDEILAATREFFHLPPEEKERYSNVVAADDGGGGGERFQPEGYGIDRVDTDEQILDWCDRLYLQVQPEEERRLEFWPEHPAALRGLLEEYTRRSERVFRRVLAATARSLGFEEEFFADKVGEKVATYARFTYYPPCPRPELVYGLKPHTDNSVLTVLLLDKHVGGLQLLKDGRWLDIPVLTNELLVVAGDEIEIMSNGVFMAPVHRVVTSERERMSVVMFYQPEPHKELAPSEELVGEERPAMYKKLKAKAFGDGFWDAFAAGERTIDFLKVKVEHQQQQPEEAAVSTSA >ORGLA06G0045900.1 pep chromosome:AGI1.1:6:3298696:3302356:1 gene:ORGLA06G0045900 transcript:ORGLA06G0045900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PZZ6] METLASAMRRENRRFKPPPSSSASAAAALSSGRVPLVMAFLSCLAWLYVAGRLWQDAQTRMILSGLLEKSSGNLPKVLSVEDKLRNLGCIGIGRKIAEAEMDLTKAKNEGYLWGNGTATGSSDKKKLLAVIGVYTGFGSRLKRNTFRGSWMPRGDALKKLEEKGVVIRFVIGRSANRGDSLDRNIDDENRRTKDFLILESHEEAAEELPSKVKFFFSAAIEAWDAEFYVKVDDNINLDLAGLIEMLEARRSSQGLYMGCMKSGGVVSEEGQQWYEPEWWKFGDSKTYFRHASGALFILSNNLARYININSASLQSYAHDDISVGSWMMGLNTTYVDDDRLCCGSSRQEKVCSHA >ORGLA06G0045800.1 pep chromosome:AGI1.1:6:3295200:3297864:-1 gene:ORGLA06G0045800 transcript:ORGLA06G0045800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLLRRRIHRGHKTLLPRAFSSSSSSSFSGEGKFPSPTSDPASADQRTTKLSSHFAEIRGHLNPTPPSSPPRRIPASPPPDDVRRSLHLFRNPHPSSAAAANPSPSFADVFRARPAPPTGRATGADAFPFSALRESLNKNLGTNPTASAVPLPGAAAANPTDWSSILSSRQRHDGMPLPESVFGRETRGNARRGRDGKVEEQQFIRLYSCNELGKRLSELRPPVGKDGKEWFSVEELSRRLKKLREMDREERALQSGLGTDVLRDAIVTLQTKDLKTNNCNDTSXFCCSCLVAIGECLMKLMDNLFVTDDAVVTFGLLVAAASALMAFGSQATPAYLLGKPQQELVERYFHPDHMSSAEKMKQELQSVRDEFKMSENDCGSARVQVAQLTTKIKHLSTTLHKKDKHSRKGLQEMVQRRKKYLKYLRRTEWDSYCLVLSKLGLRDVPEYKPPDYKSKRSSSSKTKAKRKIKRKMKA >ORGLA06G0045700.1 pep chromosome:AGI1.1:6:3287007:3288777:1 gene:ORGLA06G0045700 transcript:ORGLA06G0045700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPVRMKAVVYALSPFQQKVMPGLWKDITTKIHHKVSENWISATLLLAPIVGTYEYASPILFPPLPPPLARMRFRWIWADLGLLGFVCNVLQGAGEAIPQILNVKHAEIATTFGIFMI >ORGLA06G0045600.1 pep chromosome:AGI1.1:6:3281893:3285929:1 gene:ORGLA06G0045600 transcript:ORGLA06G0045600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cystathionine beta-lyase [Source:Projected from Arabidopsis thaliana (AT3G57050) TAIR;Acc:AT3G57050] MSAAAAAGAAAIPTSLGRLFHLRPTPNPSRNLSGSSAQPLLRLSYHPRLTLSRRMEAPAAIADSHGGGDLSASAVGAEALGAVAAPDFDVEMKEPSVATILTSFENSFDGFGSMSTPLYQTATFKQPSATDNGPYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVTHLLKSGQEIVAGEDIYGGSDRLLSQVAPRHGIVVKRIDTTKTSEVTSAIGPLTKLVWLESPTNPRLQITDIKKIAEIAHYHGALVLVDNSIMSPVLSRPLELGADIVMHSATKFIAGHSDLMAGILAVKGESLAKEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKIAEFLASHPRVKKVNYAGLPDHPGRSLHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFNVTVSFGSVKSLISLPCFMSHASIPSAVREERGLTDDLVRISVGIEDADDLIADLDHALRSGPA >ORGLA06G0045500.1 pep chromosome:AGI1.1:6:3271139:3271342:1 gene:ORGLA06G0045500 transcript:ORGLA06G0045500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRDGISVWLIEYNVGEEAAEKEKQQQMKVTQKSELGKASSVHAIDKHQHRAIEQQGAVLFTDIL >ORGLA06G0045400.1 pep chromosome:AGI1.1:6:3262307:3267206:-1 gene:ORGLA06G0045400 transcript:ORGLA06G0045400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGTQPTSLRKYLGALKDTTTVSLAKVNSDYKELDIAIVKATNHVERPSKEKYIREIFYSISASRPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREELINYGRSRSHMLNLAYFKDDSSAGAWDFSAWIRTYALYLEERLECFRVLKYDVETDPPKTRDLETGDLLDHLPALQQLLFRLLACQPQGASSYNVIIQHALSMVALESVKIYTAISDGTINLVDKFFEMQRSDAVRALDIYKRATNQAERLSEFYEVCKTIHIGRGEKFLKIEQPPASFLVTMEEYVTEAPTVAQKDKVLAIEYKKEAEEEEKPASPPPAPEPEPEQEPEPEPEPVKEEAPKEEPTDLLGLNEPNPAAAEIEEKNALALAIVPIDDVPKVAPAQNGVTGWELALVTTPSSNETAITSSKKLAGGLDLLTLDSLYDDANRRASQPTSYNPWDVNPGVAGAGAAPMMQQPMMHDPFYASSGYAAPHNVQMAAMAQQQQAFMLQQQMMMAAAAAAAAAPPPQVFHHHQQQQQHLQANPANPFGNPFAAAAAAHHPYGAAAAAGNGYTGLI >ORGLA06G0045300.1 pep chromosome:AGI1.1:6:3256435:3259327:-1 gene:ORGLA06G0045300 transcript:ORGLA06G0045300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFRGVRDDLTELGRHILDIACFLHPLLGPAHLTVDSPPATPTHRHHHHRRSPSPRPATPPSPSILAGILADLAEIGGSFTGGFARRAALPEPAFSSASASASATTAESPRAASSTASSPSPPPAAAAAADVADDVVGAAQALAARPEAWIDFPVLALDENSIISDIQRDHMEAIEKLVPDLASLRARLCPSYMDIDVFWKIYFTLLESNLTEHTSEVDENVPGSVHHVNEIESDSAPNVCEIESVKSTQEGYQSPDDRVLIKTRSNQSIDQWVFAKSKSEQSMDQWSEIPSDVESSRDGRRYISGEELSDADSAHIVVMDKYMDSLLSDRRSLHYASSSVRRDSVRRKPASSTDYSHRPPQPTPPASLSKKESWDVIEDSEFEILDS >ORGLA06G0045200.1 pep chromosome:AGI1.1:6:3248606:3250321:1 gene:ORGLA06G0045200 transcript:ORGLA06G0045200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGLMRLPLQPFFAAVLAHFGLAPSQLTPNAWRLLAGFLALCRRSGVAPPPPPLTVFRHFFTAIGFPPGAWYSFRARGSASTGSLFTRLSNVTMNPWWKEQFILVSSPAPWPCPVRWGKPRKHANFPPVLTTAEKDMATKLLLARGSSLIDLTTYNSIAAAKNITAPPPPPRTLKSERAYASVNAMMREPRPQRRWMXRASLIWTDRRAHRRHRGRRRGRWWTTTPLQRRDHPGTAAAAAGGRRFTRLAYRHRASRSWTTTTTDTRVAGRRRGSCCRAPSRRDGSARSRRPSRPTSSRRATWPCSRRRTTWRSRWATRWSWRRRRGRGSATPARRRRPRRGRSWPRXRTSSPRWKRRWRRXGPSSPRQRVRSRRRRRRGAAGAGEDQVLVGSRGRALRSPERDEHGVEKKQAMKCPEPSEARRRSSSPPTRWPCTGLCLAGRSCIFWLGTRWSLRPLTPNSFVYAHD >ORGLA06G0045100.1 pep chromosome:AGI1.1:6:3234246:3236648:-1 gene:ORGLA06G0045100 transcript:ORGLA06G0045100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYAVVARGTVVLAEHSAAATNAGAVARQVLERLPGGGADSHVSYTQDRYVFHAKRTDGITALCMADEAAGRRIPFAFLEDIHGRFVKTYGRAALTSLAYGMNDEFSRVLSQQMDYYSNDPNADRINRMRGEISQVRTVMIDNIDKVLERGDRLDMLVDKTANMQGNTIRFKRQARRFRNTTWWRNVKLTIALIFLLTVIIYVVLVFMCHGFTLPTCIR >ORGLA06G0045000.1 pep chromosome:AGI1.1:6:3231765:3233123:1 gene:ORGLA06G0045000 transcript:ORGLA06G0045000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPKRNCRNMKTCLNIETKSQVASGNLETRSSLEDTQKQSVRSCHGVINGDKILLMENFETMDVFPNHDEDCLAKSTFVRNDSNHLETEMPMQEKQSPSVQNCCEALHGDNMLIQEKSENMLYKIDADSDCGKKKSVSFDKAYSNVAGSSLETHVPMQEPLRIASSCCEIVNADQVCAGEVGKNSFSLDENGGYVTCPCNTTWALENAMAMDTVESIHGDIGNNSNNSAACRSMGSDYMEEVHQLVTNCSEISAAIPESSGTLNNFAKCCGDSANKNSLLDQTSENVSTDHQEVSMLPQDQHYHSCSGDTSSALEYSDTNAGDGDSEHRNSFDQKGGESMYPVTGVLLQAEQHQKLQGEPESSSSHEISNSGSFVVCAEDSRSKSGLSADRMVTDLETNSESRTGFFNTSSSECGENFSASASSSENAQQKLSGSAVSAEVARLQHDPGE >ORGLA06G0044900.1 pep chromosome:AGI1.1:6:3220098:3221019:-1 gene:ORGLA06G0044900 transcript:ORGLA06G0044900.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFFLKNHVQFLRGLRVYGRGEWKSISMNFVRSKTPVQVSSHAQKYFRRVESAAADKQCYSINDVGLNDDDDDDDAAAMDGTNSYSNNNFGGWQSLAFAGGHLEPVSGGGAAGQVIAPASSSAAAMNSAAQFWAPMLFNPQIQQQFMQMQAQTQQAWNDQHMMMAAAPMEGVTDTNFEPAGAANYYYQQQQQEEEGGAYGVPADQWMMNQNNNMF >ORGLA06G0044800.1 pep chromosome:AGI1.1:6:3212276:3212704:1 gene:ORGLA06G0044800 transcript:ORGLA06G0044800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTAAELGEARSVIARVSNAYNSSAGSSNSTGDTKHCRIMRKLQATFPSRTMVEVIDLYVNLTVETTAQLQDAGATAAAVVHPTFGLANDNLGMPILNNNNGMVFGGAPMKEGAVAMNSGDGEVVNQDIGFCHSAWAQKTQ >ORGLA06G0044700.1 pep chromosome:AGI1.1:6:3185418:3208962:-1 gene:ORGLA06G0044700 transcript:ORGLA06G0044700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTAAELGEARSVIARVSNAYDSGAGSSNSAGDTKHDRIMRELQARFPSRTMVQVIGLYLNLTAETAAQAGAAQPQDAGGAGDAPPPPSSTLPLASRTTTSACPVRTATTTTASTPAWCLVVLLWRRGRWRXTAATVRWXTRTMVMTMCFGPIMSTGCSXLGCVCTGVATGETSRGTSSEARPRSRSPCTPITTSTXWRSPRPWKPTATTMTITTTTWAAGSCTPSSAPFRXTMVQVIDLYVNLTVETAAQPHDAGSTGDAAAVVHPTFAGGMPVVNNNDGMVFGGAPMEEGAVAVNGGDGEVVNQDDADDDVLWTDYEHRLFLTGMRVYGRGDWRNISRCFVRRKTPEQISMYADNYFHMMEIAAAMEADGGDDDDGHHEINNNNNNNLGGGQLHAVVGAVGHGPGAGHIAPATPSNNNAAAAAVNNNVDTPFWVPLLYNPEIERWMMEMQAQSQKAWDDQQMKMAEAATPKEEGAADK >ORGLA06G0044600.1 pep chromosome:AGI1.1:6:3183699:3185078:1 gene:ORGLA06G0044600 transcript:ORGLA06G0044600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADNGKRAHSRRHPSDTLVSESPTSYKEWLHDNYLFGRVEELAIREEEERQNCEIVQVKVGKIMIAFGACFPQDWLAIPCELQSFPRDKKKGTCHFAKTRAVEGKGKASGKYKGVKTR >ORGLA06G0044500.1 pep chromosome:AGI1.1:6:3172508:3174654:-1 gene:ORGLA06G0044500 transcript:ORGLA06G0044500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTAAEMDEARSIVARLTNAYDSGTLVAGAGNGDTRHDRIVRELQAWLPWRTMDQLIGLYIELMAEEPAAAQPQYFDAGAVVDPTFDFFNDHNNFLGMPPPPVQQADDHNMNNVVADASMNYYYGGGGAGGAMVFGGAPMGETVEQAAPPAPAPVPVVPVVMNRDDDEVNNQGGGRHRAAPTNTTRRFWTTEEHRQFLRGLRVYGRGEWKSISMNFVRSKTPVQVSSHAQKYFRRVESAAADKQRYSINDVGLNDDDDDDSAMDGTNIYSNNKFNNNFGGWQSLAFAGGHLEPVSGGAAPRQVIAPASSSAAAMNSAAQFWAPMLFNPQIQQQFMQMQAQTQQAWNDQHMMMAAAPMEGATDTNFEPAGAANYYYQQQQQEEEGGAYGVPADQWMMNQNNNMF >ORGLA06G0044400.1 pep chromosome:AGI1.1:6:3166183:3168212:-1 gene:ORGLA06G0044400 transcript:ORGLA06G0044400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIVIEGWTASEIEEARSLITSPNNGGEGGDGEGNKQKHCGHIVMELHEWFPWKTIGQVIGLYMKLNAGKPMVMHSLNKSDANNSIGEVDHVSALANGNPVRLEEHRPMLNNVGLVFDYPLEEMEMENQTDKEPKMVVEEEVQPKEGLVIKEKEAGVSKIHTNSQHVTPSIKRRVIWTEEEHRLFMVGLRVFGRGDWKNISKHLVTTRTAAQVSSHAQKFFLKMEARGEAVPPPAKRRRRRITGDQQAAAAEHAAALRRRMPVPPPPFNPFLLPSLVAPVMHRLLPPGSQAAGAAASGSGGQGASLPQMPWINGANGMGC >ORGLA06G0044300.1 pep chromosome:AGI1.1:6:3159869:3164224:1 gene:ORGLA06G0044300 transcript:ORGLA06G0044300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGAPPPAAMAVDDAEDDQLASMSTEDIVRASRLLDNEIRVLKDELQRTNLELESFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >ORGLA06G0044200.1 pep chromosome:AGI1.1:6:3152420:3158600:1 gene:ORGLA06G0044200 transcript:ORGLA06G0044200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAPPSVERQVAEIAAEPDRASAYARLLHLQRACADDPSAAADLAAASPSILLPLLLRDAGDRDEAVAASALKCLGFTLYHPVLVSTVSGQMAQSVLDTLIQLIMTTQMKAICNLGVWCISVQELEAVVVDHRATSLLTAIVHAIDNPFCSLSTTFEAAQAIMKLTSQNPEKMRELSSIWVPPIYRRLLSVDKAERDMAERCLIKVSSVVLPPQSLLSKAIASDLEHSLLSCMLNMIHDPVKKVQAVKSWGWYISLLGLHVVDNRHLLNKILKVPEQLFIDSDTQVQIATMVAWRNLVNAFLPQASETLVPKTKISPIESRADTNAQLKKIRLIMMPLGRILSRSHSIALSSSCLSTWHHLLYKLGDLINQLPILEAAFGPVLKIVFSIGPDIQNKPLYSFCVNLFHEYISTKVRDMASHGEYLPIPLNQNLLSQSCIHLKTLMDGQCIRWLPWDVTCFDFHLDILVSIVNPELLRKMTLESVMTVMDSATHIFRLLVQGVQVDCKAKCANGNAQICVAKVCKFVKKVFMDLVGKQNNNNCSVLLQYAFQFVKVILEELDHCLLASGICVIGLDIEHIKEMEYADCSPKLSYPGMKSYSYMEMVSPEVYMIVLSLSIVAEFTGELSHGDAEQLAIIICLSNFQDNFHAAVSFMYKQIMLLTDNRLRMRWLMVWNKIAKRLNGQITPNLKKIICDAGVHDVLYQFFCYPFFAFLLPGRKSTLCGSESSSESYLSLTHDLEVEVAIEVYRSICANSNHGPEADHKVFLESFCGFVVSIIDENISLFQANIEYCSEKKFKNCAILSTLGELVSGLLENGHILNYAIKEPTEASEESAGYSQPSILLCCLRLVSRFMGLSTIVIKANPTTQHQIMSRVFSSLSAFAGYLLLKKDVLLFFEIIGEQLTECLSLSGTLYREMQHGETINQIEKLWLKIIMCLKTSKLINDCSFLQKQQMLLQAAVSHPHRPISVATTPAWRASRSDISTLQHSSFSLSKLDKLPMERRKDLTDPCTPHNAIALEEIDILRKFKHPISESEKNDESIKISVGLGRKRLKIMKYSMKPREPGKSTVPPGNFSSKKDTDADAFSSHYMESKACRKPELILEMLKRKR >ORGLA06G0044100.1 pep chromosome:AGI1.1:6:3146542:3149342:-1 gene:ORGLA06G0044100 transcript:ORGLA06G0044100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G20250) TAIR;Acc:AT5G20250] MTVTSSVKVAGGELSVHGRTVLSGVPEAVRASSAAAAGPVDGVFLGGDFAEPASRHVVSLGAMRGVRFMACFRFKLWWMAQRMGEKGGDVPHETQFLLVESKAGVDGGGGDASYLVFLPLVEGAFRASLQGGGAGGDDLQLCVESGDAGTRAASFDRALFVGAADSDPFAAIAGAVAAAKSCLKTFRIRAEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLTAGGAPPKFVIIDDGWQSVGTDHQNPDDTGADAKDKQPLLARLTGIKENSKFQDGDDPAAGIKTVVRAAKEKYGLKYVYVWHAITGYWGGVRPGVAGMEGYHSNMQFPNVSPGVVENEPGMKTDVLTTQGLGLVHPRAVYRFYDELHAYLAAAGVDGVKVDVQCILETLGAGHGGRVSLTRQFHQALDASIAKNFPENGIIACMSHHTDALYCAKQTAVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMLPDWDMFHSLHPAGDYHGSARAISGGPVYVSDAPGKHNFELLKKMVLPDGSVLRARLPGRPTEDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSSVEKKNIFHKTGAEALSCGVKGSDVHLIADAATDSEWNGDCAVYRHASADLVVLPNGAALPISLKVLEHDILTVSPIKDLAPGFRFAPIGLVDMFNSGAAVEGLTYHRLDGVKLLSNGSASTLPELQSLSSQAIGLVCMEVRGCGKFGAYSSVRPRKCMLGSAQVEFTYDSSSGLVILDLETMPKERVHKIVVEL >ORGLA06G0044000.1 pep chromosome:AGI1.1:6:3131022:3132251:-1 gene:ORGLA06G0044000 transcript:ORGLA06G0044000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRAALLALRDALRLPGKSLRGAVPVGTVGNLTALRTLSLRTNAISGGVPADIGGCVQLRSLNLSGNRLAGRLPEGLFSLALLEKVDLSGNRLTGGVSPEFSRLASLTTLNLDRNGFNGTLPGNLMLPKLAQFNVSYNGQLGGAVPASLTGMPASAFLGTALCGGPLAPCANPSPPSPGGSKGVREEEEDRRERDAMKNAIAG >ORGLA06G0043900.1 pep chromosome:AGI1.1:6:3119426:3120136:1 gene:ORGLA06G0043900 transcript:ORGLA06G0043900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLFLLGGLCALFSLASSSPATKKCGDAKKRREEEGEEVVVVAKKRPEEEPRRPDPDADLGIVFSTFDHDGDGFITAAELEESLKRLGIAVSSAAEAAALVARVDANSDGLIDIHEFRELYDSIPKRRKSHQQHPLPSTAAADEEAAAADEEYEAEEEERDLREAFDVFDGNKDGLISAEELGTVLGSLGLRQHGGRPAVAECRDMIRLVDSDGDGMVSFEEFKRMMTVVKA >ORGLA06G0043800.1 pep chromosome:AGI1.1:6:3110730:3112577:1 gene:ORGLA06G0043800 transcript:ORGLA06G0043800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48730) TAIR;Acc:AT5G48730] MATAPVASPFPALPSSSSITIAASTAARRCHDALLPPPAAAGREPARAMGSSSSLAGAAGERRRRRGEEDGGEVEAEAERRRKEEVNRKIASRKALSVILRREATKAVLDKRKPGKGTRRLLPRTVLEALHERITALRWDSALKVFDLMRDQVWYRPYVGIYVKLITMLGKCKQPERAHELFQAMVDEGCAPNLESYTALVSAYSRSGRFDRAFSLLEQMKATPGCRPDVQTYSILIKSCLHAYDFERVKYLMEDMARAGIRPNVVTYNTLIDAYGKAGRFAEMESTLLEMLTENCKPDVWTMNSTLRAFGGSGQIETMESCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSERIKPNCVTLCSLVRAYGRAGDVKKIKTVLRIVENSDITLDIVFFNCLVDAFGRVGCLAEMWDVLDIMKLQRCKPDKVTCTTMIKWFLIKGIDDHRVQYLRDLKDGRSKDNI >ORGLA06G0043700.1 pep chromosome:AGI1.1:6:3102707:3109059:1 gene:ORGLA06G0043700 transcript:ORGLA06G0043700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGRAGRGGGGGVHGGGXPXRGGVGRRRYGRGGGRRGVAGARRRQEGRRRRRRRVRAGRSQDAGESDATLTRITDTSAAEARAGKDIQGIPWERLQITRSDYRKARLVQYKNYENFPQSGELMDKICKQVDKISKYYEFHYNTRLVKPSILHFQLRNLLWATSKHDVYFMSNSTVGHWSSLSHNLSEVLDFSGHVAPAQKHPGSLLEGFSGVQVSTLSVNEGLLVAGGFQGELICKVVGDRDVKFCTRTTLSDNAITNAIDIHRSASGSLRVTVSNNDCGVREFDMETFQLLNHFSYNWPVNHTSVSPDRKLLAVVGDDRDALLVDSRNGKVTSTLVGHLDFSFASAWHPDGRTFTTGNQDKTCRVWDIRNLSTSLSVLRGNIGAIRCIRYSSDGQFMLFSEPADFVHVYSAAADYKKRQEIDFFGEISGISLSPDDESLFVGVCDRVYASLLHYRMVHSFGYLDSFM >ORGLA06G0043600.1 pep chromosome:AGI1.1:6:3092585:3099349:-1 gene:ORGLA06G0043600 transcript:ORGLA06G0043600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding;nucleic acid binding;hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides [Source:Projected from Arabidopsis thaliana (AT1G48360) TAIR;Acc:AT1G48360] MLTGRESLVRLIGRRHRSPLPAALALAVLPSRSLQDDAADAERDAAMGSSSSGGGDAAVAAGSVACPVCGESIRGTDYCVNTHLDICLTRGTKRKLTQSTLLDFSFSKKDTADPALNNLNDSDEAEHMEPTNGNVSSDGAFLSLNNDKVNLKGSANASSPGCLHGSPDISETCDTCLPPDALLPYMENTANSGVVKKCLSHMPSTDSTSSTIGLLSVTDSSDSVVVDTVIVGRRFHDNIELQEGASIALLRDPQNAKDPDAIKVLYAGSECEQMLGYLPRELAKVLAPLLDRHYIECEGCVVGVPEQQLDHVPIQLKCQKYTDENETYDDLKHPQFLWGNFIGAVGNGNLLQPSSTRYQANFRLMITDVMANHSHLFSDKEKSFLDSFQLLSDDGQRLFVRIYTRKGPWFRMSSISYREISDLGQAAMELKLAGYIDMISCMDDLSNYDFKEVIDVLSVLEMKEILKELQKNNVSCTRRHELLSTLLSLYHNGTCTILPKRILKWTGTCIRTSDVADELMWRVQRLFFLNGDQDLSSFLLVDLGLVRFPVYACTISHRVFQERSDLLQYKEAIQVAQVMDQSLDNSNMEMVTRCIELSENRLSTAPKEENATRAESPPSFFSRFSASSVYSKILTLGVSVYERDRRYTDAIRVLKRLLSTVASDRKRGYWALRLSVDLEHMNCSNESLSIAEAGVIDPWVRAGSKIALQRRVVRLSKPPRRWKVPSYANAVTKNIKEVNIEGRPLNCETGAKNVFYGYDGELCGVEQLALQYYADEGGGWRGTHSEGGIWMTIFGLLMWDVIFSDVPDVFQTKFQTAPLDLETDEFYRSRKDLIESQLKKIQDGIAEEILISSWELHQGTSCRGVNWDRHSLTDLRAAVVCTGGHRLASLLRHLALDYRSWSSGMPDLLLWRFLDERGGGEAKLVEVKGPRDQLSEQQRAWILVLMDFGFDVEVCKVSPVSKRR >ORGLA06G0043500.1 pep chromosome:AGI1.1:6:3090115:3091873:1 gene:ORGLA06G0043500 transcript:ORGLA06G0043500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASGGGRNAWAKEMTIRRRITSIFNKTREHFPSLKDYNDYLEEVEDMTFNLIEGIDVEVIEAKIARYQQENAEQIYLSRAKRAEDLAAALKASRMNPGKAGANDTAAGSSQGISSGAGVQEGQYAPAAVPGGLAQPRPTGMAPQPIGGSSVPLQGDDEETMRLRAERGARAGGWSIEMCKRRALQEAFSTIFV >ORGLA06G0043400.1 pep chromosome:AGI1.1:6:3082359:3087928:-1 gene:ORGLA06G0043400 transcript:ORGLA06G0043400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKFFRFNLLFSFFLTIIQGGILWFHNLTEFPHGSSGLVFPTLVAGLHYLNIQIAFHGTHTKHYPGIFGVLAKYYRVYLEILTIPLFLIGYVIPQGSLVYWTTNGLITVAQQLSLKNDAVKKVLGLPDTRAHQKFPRVGHKMMQERPLEDAHMHTNLTSTNNETANHIMEGKASASSSPEELLEQALQHLETGNQDQAIPLIRTAIEKDSSLYVALIGMGQTLFSNRLFPEATVCFEHAIPKIEEQDPLLVLACYGAGLSRMRQGDSKMAIENLQRLAELKEPEKPINKKCYYQGLVALGSILINEGRKSEAVKFVQRAVAYDPNCEIYLKECDDTTEDKPKSAEH >ORGLA06G0043300.1 pep chromosome:AGI1.1:6:3076170:3077444:-1 gene:ORGLA06G0043300 transcript:ORGLA06G0043300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHDDDVPVAKRRRRLCVQVQPAGAGAAAAGIPEDMVEEILIRLPVKSILRFRSVCKSWRAMVADPCFARLQLRHSTAAERRRHPPSMLVLPWWGWRPQRQQMQGTIGFFRYPGHGAAAELAHVRAWWCSTSHAAAADWDDGADWELPLQCNGLVLVFSMEKSLSSSLMFVCNPATKKLAVVPPGTPDAHGNQSVGFGADQSTGKIDMKVVRCFVRSDESVGCEVFSLGSPAWRPVADSPCPVRAGAASPCILGAIYWITTAAPTPGMLRFDVRREVFDDFPSPPCVHHDGTSPATATLTELAGDKLCYAHVAAGHTVELWTTAAASAADGPRWSRHCAVELWRPTQLVVPFADDRHGGIFFNLDLAVIDRYDTQRQVVERVVDMNKEMTYFHSRDKQYYISGFKWMHHVIQYRESLVSVKAN >ORGLA06G0043200.1 pep chromosome:AGI1.1:6:3070196:3071043:1 gene:ORGLA06G0043200 transcript:ORGLA06G0043200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNADXCILRKKGKLYKCSASEHRLYNKKQITKSVISLKLALQQHSTSSSSPFSRPQTNHLSPGCSISPPHLSLHNAQYPPPPPPPPSPSASAVQLSTKGVVAEVVVAQLGDRRTGTAARRAAPGRP >ORGLA06G0043100.1 pep chromosome:AGI1.1:6:3066644:3069555:-1 gene:ORGLA06G0043100 transcript:ORGLA06G0043100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALAINSNDAIPRSDVNREYFAEEHDRKARAGIDYDSSHGKARPNDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLGKAGEMPSLTPPDDESIRTLYIGGLNNRITEQDLRDQFYAHGEIESIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGIRLKLMWGKPQAPKPEDDEAGRQGHVAHGGMLPRAVISQQQSGDQPQPPGTEGQQQAPSGSYYFNIPAPPGAERTLYPSMDPQRMGALVKSQEGDGKPGPQQAAQAQASSSSGQSYPMPPPYYHGQYPPYYPPYGGYMPPPRMPYPPPPQYPPYQPMLAPPAQSQASSSQQPGPSMQQQAQAPPQQQTTQN >ORGLA06G0043000.1 pep chromosome:AGI1.1:6:3048352:3052881:-1 gene:ORGLA06G0043000 transcript:ORGLA06G0043000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYYKLPVEKLAMRLLLFIGLVISVQFMADGASLPDDGCLKKCGDVDILYPFGIGEGCAIEGFVLSCNKREDGRGDVAFYGITPVLNISLRYGQVRMKSTYISSMCYNLSTGNMDYKNWLLNLTTSPFTISQKENIFIVIGANTAANMIGSSRYSTMPNMIGCLSQCSPYNSFTAQDGSCVGIGCCQAVLSNSISYHEVQFSTFYNTTKSYNNRSITDSASYCGYAVVMEAAAFRFRTAYLNSTAFRDEHNGSVPVVLNWVVGNETCQVAKQMGDRYACRSKNSMCIDSSSGPTGYLCNCTEGYRGNPYLPDGCQDINECDVNNPPPCPGRCKNIPGSFTCSSPSQSRTVILAVSLSVGIVAMAMIVTCSYLLRERKELANIKKKYFQQHGGMLLLQEIGLKQGQGTAFTIFTEAELMEATNKFEDKNVLGRGGHGTVYRGMLKDSRLIAIKRCMSSMIDDRQKEEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFHFIHGGNDCRNIPFSTRVRIAHESAQALDYLHSSASPPIIHGDVKTSNILLDENYTAKISDFGASILVPTDEAQFVTLVQGTCGYLDPEYMQTCQLIDKSDVYSFSVVLLELLTGKMAFNLEGPENERSLSLSFLCAMKEGRLMDIIDHHIQTDENAGVLEEVADLASQCLEMIGDNRPSMRDVADKLGRLRKVMQHPWAQHDPEEMESLLGESSVAGLEMVSTGNFSMEGGAVQGILESGR >ORGLA06G0042900.1 pep chromosome:AGI1.1:6:3045659:3046967:1 gene:ORGLA06G0042900 transcript:ORGLA06G0042900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLVKIGTWGGNGGGRVDLSVLPRSLKSVTIRSGAAIDAIAFTYIGTDGKEHLAGPWGGGGGNPTTITLGSQEFVKGISGTFTNVVTNLQIVTNVTTYNFGQGGGTPFSLPLQSGSVVGFFGRAGALVDSIGVYVHI >ORGLA06G0042800.1 pep chromosome:AGI1.1:6:3034565:3038984:-1 gene:ORGLA06G0042800 transcript:ORGLA06G0042800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRREERGCGCWAAVARGLRGACFRPAAAAAAAAGADEKGAAGGSAKGSHVHDAAETRYLNASNRELGDHFQTNLDDENGVNASTEKKLLQFTFQELKSATVNFRPDSILGEGGFGYVFKGWIDPNSTSPAKPGTGLTVAVKSLKQDALQGHREWVAEVDFLGQLHHKHLVKLIGYCIEDDQRLLVYEFMARGSLENHLFRRALPLPWPCRMKIALGAAKGLAFLHGGPKPVIYRDFKTSNILLDAEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPYLSDRRRLYQLVDPRLGLNYSVRGVQKVAQICYHCLSRDTKSRPTMDEVVKHLTPLQDLNDMASASYRPRSSQRGKARR >ORGLA06G0042700.1 pep chromosome:AGI1.1:6:3029815:3030579:-1 gene:ORGLA06G0042700 transcript:ORGLA06G0042700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALATVVSFLLVVLVSVAHGWNKDCPPPGSGSSGGGHHGKPPGSGSGGGGHHGKPPEHHHHHKPPPSPRCPSCHPPYTPPTPRPPPTPPYVPSPPPYVPPYIPPPTPPYVPPYIPPPTPPYVPPYIPPPTPPYVPPPTPPYVPPPTPPSPPPYVPPPSPPATKTCPIDALKLNACVDVLGGLIHLVIGQKARAKCCPLVQGVADLDAALCLCTTIRARLLNINIYLPVALELLITCGKHPPPGFKCPPLYGA >ORGLA06G0042600.1 pep chromosome:AGI1.1:6:3024221:3028606:-1 gene:ORGLA06G0042600 transcript:ORGLA06G0042600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase [Source:UniProtKB/TrEMBL;Acc:I1PZW3] MYVVKRDGRQETVHFDKITARLKKLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIAVSNLHKNTKKSFSETIKDMYGHFNERSGLNAPLVAHDVYEVIMKNASRLDSEIIYDRDFDYDYFGFKTLERSYLLKLGGKVVERPQHMLMRVSVGIHKDDIESAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQNNQEWSLFCPNEAPGLADCWGDEFEKLYTKYEREGKAKKIVPAQTLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVREKGVPIESHPSKLVGSSDSKNRYFDFDKLAEVTSTVTYNLNKIIDINYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYFHSLKASAELAGKEGPYETYEGSPVSKGILQPDMWNVVPSDRWNWPSLRSTISKVGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGVWTPALKNKIIYEDGSVQKMTEIPDDLKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMEQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTALLKANGENGTKAAEEEDVEAKMAQMVCSLNNREECLACGS >ORGLA06G0042500.1 pep chromosome:AGI1.1:6:3019369:3022243:-1 gene:ORGLA06G0042500 transcript:ORGLA06G0042500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLTDSFELSKVDQAPANVDIELGLQGGMSSSAQPGFEGFFEQVKEIEKLLETLTKLLKDLQNSNEESKIVTKASAMKEIKKRMEKDVNEVTKTARLAKSKVEKLNKDNAANREKPGFGKGSGVDRSRTTTTVSLTKRLRERISEFQTLREAIQKEYRDVVERRVFTVTGERADEETIDRLIETGDSEQIFQRAIQEQGRGRVLDTLQEIQERHDAVKEIEQKLLELQQIFLDMSVLVEAQGEILDNIESQVSGAAEHIQTGTNLLQKARFLQKNTRKWTCIGIVILLIIILIVVLSLKPWSK >ORGLA06G0042400.1 pep chromosome:AGI1.1:6:3014514:3016383:1 gene:ORGLA06G0042400 transcript:ORGLA06G0042400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALREAEAKLTVYVHPSNAADVRRAVARQLSSLLFSYEDRFDGVLLAHEATVESEQGKILNGLVPYFGVPVHANLLLYSPKPDMMLEGKVEMLGKESIHAIVLGVFSAAIMLDDIHEKFKFKRKKYGGKFVSRSDKQHVIKKGSMIRFSVKRYGLIFSPLDSFKIFIHCLLSTVKHLYSKVMALVCYYICNLLVVCSTCCTLFTNMHCSSMLELFFPWCAYYDRSPEKSHFDTMALKSNKKTM >ORGLA06G0042300.1 pep chromosome:AGI1.1:6:3007206:3007460:-1 gene:ORGLA06G0042300 transcript:ORGLA06G0042300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGIQHTGRIKHEVRARAGLVYVMDSWSRTQLTDVVAGISEKQRPVEELTNDLLAAIDAGEPVSGQLARLEEMNAEEDSVYFNLV >ORGLA06G0042200.1 pep chromosome:AGI1.1:6:3002289:3006766:1 gene:ORGLA06G0042200 transcript:ORGLA06G0042200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:metaxin-related [Source:Projected from Arabidopsis thaliana (AT2G19080) TAIR;Acc:AT2G19080] MASAAAAAAAAEWEEAERKVLVARKAAFGLPTACPTCLPVLLYLRMCNVPFDIHVDSSFPDADHIPYVEFGECVAFNNEKGGVIEYLKEEKIVDLNSKHPSVSYSDVLSTKAMVMTWLSDALQYELWLASDGSIPHDIYFSDLSWPIGKILYWKKTREVKQQLGITKLNAAEKEEEIYQKANAAYDALSTRLGDQIFLFDNSPTDVDALFLGHALFVLNVLPDTSVLRSCLQKYDNLVNFTKHLKVQLLEADSDSSATGLGSTDPSSSSTPRKRASSGRSYKPKPRAKKERTEEEKKFRRKAKYFLATQLVAVLLFLSLMGGADSSELDDEDGVDYED >ORGLA06G0042100.1 pep chromosome:AGI1.1:6:2999235:2999582:-1 gene:ORGLA06G0042100 transcript:ORGLA06G0042100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVIHYLLRGNQLQGRRQALAVGVGHHHRRRLLLLDSSRVFMLLAVVILVHLLTAGAAAVQGAEPCVLVAAFLLWLLGAAFAVLSLAAGQFPVLAATAATIATTLRSYLIGGL >ORGLA06G0042000.1 pep chromosome:AGI1.1:6:2994109:2994861:1 gene:ORGLA06G0042000 transcript:ORGLA06G0042000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:I1PZV7] MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGVLAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILLNTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYSGWKAAAVGANSQAAQSMLKQDYRDGLTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPEAMGKLLTKAGLSQPAPEA >ORGLA06G0041900.1 pep chromosome:AGI1.1:6:2982525:2983711:1 gene:ORGLA06G0041900 transcript:ORGLA06G0041900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:I1PZV6] MANTCSFFFLTRASKSENFISFPVCRSDTACWSLPIKMLSSLMSYSPSVDSKTENPDELIATGVLASLQNFIRKCIVAVLSYGPMPKHIAFIMDGNRRYAKFRSIQEGSGHRVGFSALIASLLYCYEMGVKYITVYAFSIDNFKRDPTEVKSLMELMEEKINELLENRNVINKVNCKINFWGNLDMLSEPVRVAAEKLMASTAENTGLVFSVCMPYNSTSEIVNAVNKVCAERRDILQREDADSVANNGVHSDISVPDLDRHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPDPLWPEFSFKHLVWAILQYQRVHPYIEQSRNMAKKQL >ORGLA06G0041800.1 pep chromosome:AGI1.1:6:2973985:2975076:-1 gene:ORGLA06G0041800 transcript:ORGLA06G0041800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAGVIRSNQDGGGGGGTVSGAARISPAVVFVLVILAVVLFVSGLLHLLVRFLLRRGRGRDGGGGGDAEAAEGVEESALQRQLQQLFHLHDAGLDQDVIDALPVFMYREVVVGVGGGGGAGGGAKEPFDCAVCLCEFAGDDRLRLLPVCGHAFHIDCIDTWLLSNSTCPLCRAALGADAAALFDAAFDEMADEEDRKQQEDAVFPVRLGKFKNLSRAVGPVHDRDDAAAAAAGVGVGGGIITREEGESSSSSLDARRCFSMGSYQYVLAEASLQVSVHRRHGDGNGRARLRRLAGANPAGNDAAAAADGKKIGAGSKGDSFSVSKIWQWPRQGKGKLPVLASDDSPAVDGRLPWPRRSPGAS >ORGLA06G0041700.1 pep chromosome:AGI1.1:6:2961571:2970924:1 gene:ORGLA06G0041700 transcript:ORGLA06G0041700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAINPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLLQSREPNTKKKAALCSIRIVRKVPDLAENFMGSAVSLLKEKHHGVLISAVQLCAELCKASKEALEYLRKNCLDGLVRILRDVSNSSYAPEYDIAGITDPFLHIRVLKLMRILGQGDADCSEFVNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAMEVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDANAKSLTKELVDYLEVSDQDFKDDLTAKICSIVEKFSQDKLWYLDQMFKVLSLAGNYVKDDVWHALIVLISNASELQGYSVRSLYKALLACGEQESLVRVAVWCTGEYGEMLVNNVGMLDIEEPITVTESDAVDAVEVSLKRYSADVTTRAMCLVSLLKLSSRFPPTSERIKEIVAQNKGNTVLELQQRSIEFNSIIQRHQSIKSSLLERMPVIDEASYLAKRAASTQATISSDKLAAAATPGSSLKLPNGVAKPPPAPLADLLDLSSDDAPATTSAPTTAPNDFLQDLLGIGLTDSSTAGGAPSASTDILMDLLSIGSSPVQNGPPTVSNFSLPGQAETKVAPVTPQVVDLLDGLSSSTSLSDENTAYPPITAFQSAALKITFNFKKQSGKPQETTIHASFTNLTSNTFTDFIFQAAVPKFIQLRLDPASSNTLPASGNDSVTQSLSVTNNQHGQKPLAMRIRITYKVNGEDRLEQGQINNFPAGL >ORGLA06G0041600.1 pep chromosome:AGI1.1:6:2949034:2958635:-1 gene:ORGLA06G0041600 transcript:ORGLA06G0041600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPPPPGTGAPPPPPPAAVGPPGGVGGGKPLTAAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDSAVHEWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSTNKVDRRERRTTEEDEDEDFCLPDGVEPLLKGTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDVWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPTMPNEFLTYADTKVETRHPIRLYSRYIDKVHIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSFTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNTPWNFNFMGVKHDPLMKYNMKLGTPRDFYHEDHRPTHFLEFSNIDEGEVAEGDREDTFT >ORGLA06G0041500.1 pep chromosome:AGI1.1:6:2945557:2948009:1 gene:ORGLA06G0041500 transcript:ORGLA06G0041500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKLSRFAHRVLCCGRKGSGEDLSDEGSGSLRWVFSLRELRSATNSFNYDNKIGEGPFGSVYWGQVWDGSQIAVKKLKCAKNGTETEFASDVEILGRVRHKNLLSFRGYCADGPERVLVYDFMPNSSLYAHLHGTHSTECLLDWRRRTFIAIGAARALAYLHHHATPQIIHGSVKATNVLLDSNFQAHLGDFGLIRFIPDGVDHDKIISENQRGYLAPEYIMFGKPTIGCDVYSFGIILLELSSGRRPVERSGSAKMCGVRNWVLPLAKDGRYDEIVDSKLNDKYSESELKRVVLVGLACTHREPEKRPTMLEVVSMLKGESKEMLSRLENDELFRPDSTVSSHGMSTPEGSSDCVPKNDQELAAA >ORGLA06G0041400.1 pep chromosome:AGI1.1:6:2942587:2942907:-1 gene:ORGLA06G0041400 transcript:ORGLA06G0041400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYVTSEAQARALLQVGGWPVGTLVHVVIVDAACRARRLDHTLRAMVARRGGSWSTATPCFESSASATWSTTSSTSPSPASSTTPTTPRSSLTSGGRRRLFVRWRV >ORGLA06G0041300.1 pep chromosome:AGI1.1:6:2936176:2936586:1 gene:ORGLA06G0041300 transcript:ORGLA06G0041300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPHPPSAPPIRSPFHRLLLRPPPRPPVPPIRRPRQGAVLPAYVAYSDTAALRSPNPYDNKSGNGASADCAFHLLDEIGLRQRRTPASSRAPRRSLTLLARAHCSPCWTLRPRMAFRPTWRARCSSGCTSPVIV >ORGLA06G0041200.1 pep chromosome:AGI1.1:6:2926575:2927754:-1 gene:ORGLA06G0041200 transcript:ORGLA06G0041200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1PZU9] MELELGLRLALPSPATSTAAGSELDLLNSAPGSCRKRGFEEALGGFKTDDDDDDGNGRGGDGDSDGEMGNKRRKLVGWPPVKCLHRRRDGGCGGGYVKVKMEGLAIGRKLDLSILGSYAELLDTLHLMFPSTNQEDGHDRRRRHPYAVTYEDGEGDWMLVGDVPWEAFAKSVKRLKILV >ORGLA06G0041100.1 pep chromosome:AGI1.1:6:2924769:2925137:1 gene:ORGLA06G0041100 transcript:ORGLA06G0041100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADAASSTAATSGRGIGDGGGNRRTRPRRRRQRVDAALSAAATSRRGIGGGGGNRRTASSAAATSGRGLVGGGDRRTRPRRQRRPANVSSAATSEHDLVGVLASSCSISFSLLLVLLDS >ORGLA06G0041000.1 pep chromosome:AGI1.1:6:2919545:2920099:1 gene:ORGLA06G0041000 transcript:ORGLA06G0041000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREATVFLPPPPPPPTQPQPPQAAAAAVRATVGGRGGGGGRQYRGVRMRKWGKWVAEIREPNKRSRIWLGSYSTAVAAARAYDTAVFYLRGRSARLNFPDQLDGAGGGGGSGGGAEDHRELTAAAIRKKAAEVGARVDAQHSVVGAAAPVPLQPPQPPPPQRRRTKNPDLNREPTPDTSDDE >ORGLA06G0040900.1 pep chromosome:AGI1.1:6:2909760:2910992:1 gene:ORGLA06G0040900 transcript:ORGLA06G0040900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein [Source:Projected from Arabidopsis thaliana (AT5G52010) TAIR;Acc:AT5G52010] MPPPLSFLHLAANPTPLHASPLRRARLRHRLSLRTDHPSRRAVASASASAGENPSTPGGPIADVEMVRGKDGVWTARPPTVVVLWDLDNKPPRGPPFPAATALRDAASLLGRVVSVSAFANRHAFSHVPAWVADKRRERRAMDRAERAGAASPPVPYSCAVCGRRFPTRPDLTRHFRQLHQRERNKKLSRLRSLKGKKRQKFRERFISGNTKYDDAARELLTPKVGYGLAAELRRAGVHVRTVSDKPQAADHALKRQVKHSVACGVDWLVLVSDDSDFTDTVRKARAADLRTVVVGDGCRALGSVADIWLPWDRVENGEVDEDMLRNGTHMGFRDEEEDEQDDDEFIVDWDTSDLDGVVDDIVATRTKLFGATTMSAFADEEIMDGILGVGINGGYMLWSSDDEDEDGYF >ORGLA06G0040800.1 pep chromosome:AGI1.1:6:2905060:2907869:1 gene:ORGLA06G0040800 transcript:ORGLA06G0040800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRDSEEERPQRKDNGEETENGEDGGNALSLKDVANELLGCVVHSEEEAYKLYCDYGHRIGFSVRKGKQSYFIGTKNIRTKDYYCSKEGLKYDEPVTEANFNRPDTRTNCKAMIRFRVDEKGRWTVIRFVPMHNHQLAKPGERHMLRSAKSLAVGKSGVIDPQASTESHPINGFSHMIGSDIVEPPGYTIRDCYNHVSMQGITIIEAGDSQSLVNYFKRRTNEEGMFYWDVQVDQEGRMTNFFFRDAKSRNDFDCFGDAVIFDTTFRTNKYSLVCAPFVGVNHHWQNIVFGCAFLLDESSASYVWLFKSFLESMGGRSPKSIFTDQDDAITQAVELVFPNTQHCFSYWHILKNAQSHLGTVNTSQTFQNMFMKCMQGPNSETELEESWAAMLNEYKLQENSWLSDLYRSRNKWCSAFNKDTFDGGINSSQWGEVSNNTFTGISDESTPLTRFALLLEKVVKGLRRNESEEDFRCSQTAPVRAIKHSTVLKQAAESYTHKIYKLFEAEFLDGCGATSCHESSCGGNLLRFEITMQGRGSKVWTVLLDTSTMEISCGCRKFERMGLLCSHALKAFSLQNVDTIPEKYISKRWTKDARRSMYKLSQDDSTQQECTEAELAYRNRATQYACSLIAKSQELDESRKIFWDTLETGEKALDVFFEIRSLRSQAAKDVSKRDKKKKKSSKGPSTKKAKPTPATSSAVPELLAQTNVHQFQSAQDAQGNTTIGRPLYYQAFSSAPMQPNQIYMHPNMHTMPLCAPQQDFSAYSAMRPNLNFGGAKNV >ORGLA06G0040700.1 pep chromosome:AGI1.1:6:2900694:2903383:-1 gene:ORGLA06G0040700 transcript:ORGLA06G0040700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67140) TAIR;Acc:AT5G67140] MAGGVVIGVAPPAAAEEPEPHVERLPADLLAHVLSLLPSFHDLAMAGGVSRRWRRAVERSLAGRRRMSFAGQRTGDDSTARFVRAAVNLRDLDISRSCWGCQITDQGLIRISTADCVKNLTSISLWGLAGITDNGVIQLVSRAHSLQHLNIGGTFITDESLYAVAKSCINLKSIIVWSCRHVTEAGLVALVGGCRRLECINVGGMRVPPESFAGLLAIRPALQIRSIPQILNANVQVS >ORGLA06G0040600.1 pep chromosome:AGI1.1:6:2896475:2897518:1 gene:ORGLA06G0040600 transcript:ORGLA06G0040600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34050) TAIR;Acc:AT4G34050] MAEAASAAAAATTEQANGSSGGEQKTRHSEVGHKSLLKSDDLYQYILETSVYPREHECMKELREVTANHPWNLMTTSADEGQFLNLLLKLIGAKKTMEIGVYTGYSLLATALAIPDDGTILAMDINRENYELGLPSIEKAGVAHKIDFREGPALPVLDQLVEEEGNHGSFDFVFVDADKDNYLNYHERLMKLVKVGGLVGYDNTLWNGSVVLPADAPMRKYIRYYRDFVLELNKALAADHRVEICQLPVGDGITLCRRVK >ORGLA06G0040500.1 pep chromosome:AGI1.1:6:2888063:2890480:-1 gene:ORGLA06G0040500 transcript:ORGLA06G0040500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PZU2] MAMRGVHIFTTLISFLLMLTTALAEDKKSYLARGSSVSTEDDTKTILVSPNGDFACGFYKVATNAFTFSIWFSRSSEKTVAWTASRDAPVNGKGSKLTFRKDGGLALADYNGTVVWSTNTTATGASRAELQNSGNLIVMDSDDQCLWESFDSPTDTLLPLQPMTRDTKLVSASARGLPYSGLYTFFFDSNNLLSLIYNGPETSSIYWPNPAFLSWDNGRTTYYSSRHGVLDSDGWFIATDQLNFEASDHGQKDVMRRLTLDYDGNLRLYSLNMTTGKWSVTWMAFCQVCEIHGVCGKNSLCIYKPDPRCSCLEGFEMVKPGDWSQGCSYKANATLIWNNNANSKSNHGFIFKKIPHTDFYGYDLNYSKPVTLWQCKRMCLDNANCQAFEYHKGIGKCFLKALLFNGRKSQDHYNDFYLKLPKVTPYSQLLASKPSHACVVTEKEAYPSSQMLTGNNSNIKFGYFLSSALTLLVVEMSLITVGCWAANKWGRRPEIQDEGYTIISSQFRRFSYKELEKATDCFQEMLGSGGAGAVYKGILNDKRMVAVKKLNDVIHGEQEFRSELSIIGRVYHMNLVRIWGFCAEKTNKLLVSEFAENGSLDRVLSDNLGLFPVLQWSQRYNIALGVAKGLAYLHHECLEWIVHCDVKPENILLDKDFEPKIADFGLVKLLSRGSNTHNQSKVHGTRGYIAPEWALNLPITGKADVYSYGLVLLELVKGNRVSRWVVDGEEEVEMAVKRIADVLKEKLASEDQSWLVEFVDCRLDGEFNYSQAATVLKIAVSCVEDERRRRPSMSTVVEILLSLVE >ORGLA06G0040400.1 pep chromosome:AGI1.1:6:2883520:2885316:-1 gene:ORGLA06G0040400 transcript:ORGLA06G0040400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSSSSSSSVEIIDADAFSSRSADADADADAEAAAADSSPVPSRSIADRIASSLRTQEAVDALCKKHGVPKGFAARPAGDLRACSTPPPGAVCVYKDALEAGMRVPLHPFACDVLRHFGLAPGVVTPNGWRIMAGFLVLSHHAGVPPSLAVFRHFFKLCLFKLSGWYYFRGKDTVGMLFTGMPKNLQGWKEGFFFLSSSAPWPCQVRWGGPPSKSSIADPVLTGEEEKWAAKLLDKHRAAVDLRTYLTESNLAAAFSSNLAGAASPQPPPPPSRSTRAEGMDPSVFEMMMSMRAEKAAAAQAPAMAQKVKTEPESDTPRCSPSSVKKRKFEAEANAKDGTPPAPHGFSCSASGLSSPPSGFSAQKPTVSDQDRKPMFSAQKPTASDHDRTPGHVPDKHDGDTADWKAARQLLQGVVTPSRERQFPAAKPSDVVASSYVTLLQAANYATFSLDYALELEERLRARERDAVAEADAMRKELEEKAQRELAAAKAAAVQEYVRSEEHKRELAVHALEGYERGMEDMRGVALRLSPRLDAARLVVPPGRPD >ORGLA06G0040300.1 pep chromosome:AGI1.1:6:2875720:2878134:-1 gene:ORGLA06G0040300 transcript:ORGLA06G0040300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVSIFTTAISFLLPLTIALAEDQRSSLARGSSISIQDDTTTTILVSPHGHFSCGFYKVATNAFTFSIWFSRSSEKTVAWTANRDAPVNGKGSRLTFRRDGSLALVDYNGAVVWSTNTTATSASRAELDNSGNLIVMDQAGHRLWKSFDSPTDTLLPLQPMTRDTKLVSASARGLPYSGLYTFFFDSNNILSIIYNGPETSSIYWPNPYERSWENGRTTYNSSQYGILNQEGMFLASDKLHYLKFSKEASSSQLLASKPSHICKVTEKDAYPSSQMFEGSNSKFKFGYFLSSALTLLVVEVILVTVGCWATYKWGRRPEIRDEGYTIISSQFRRFSYKELEKATGFFQEELGSGGSGAVYKGILDDNRKVAVKKLNDVIHGEQEFRSELSIIGRVYHMNLVRIWGFCAEKTHKLLVSEFVENGSLDRVLSNHQSVFPVLPWSQRYNIALGVAKGLAYLHHECLEWIVHCDVKPENILLDKDFEPKIADFGLVKLLNRGPSTNILSRVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGNKVSRWVVDGEEEVELAVKRTVDILKEKLASGDQSWLLDFVDCRLNGEFNYSQAALVLNTAVSCLDEDRRKRPSMNSVVEILLSLME >ORGLA06G0040200.1 pep chromosome:AGI1.1:6:2868088:2870544:-1 gene:ORGLA06G0040200 transcript:ORGLA06G0040200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PZT9] MRGVYIFTTIFFLLMPTIALANHRKPFLARRSSISTQAFIARRSSISTQDDTTTILVSPNGDFSCGFYRVATNAFTFSIWFSRSSEKTVAWTANRDAPVNGKGSRLTFQKDGTLALLDYNGKVVWSTNTTATRADRAELLNNGNLVVMDPEGQHLWRSFDSPTDTLLPLQPITRNVKLVSASARGLLYSGFYNFLFDSNNILTLVYNGPDTASIYWPNPSFDQPWKNGRTTYDSLRYGVLNQTGYFVSSDLFKFEATDLGDHVMRRLTLDYDGNLRLYSLNETSGNWSVSWMAFSRVCQMHGVCGTNAVCNYIPELHCSCLQGFEVIDPTDWSKGCKRKVDITAIWDKGNRHNITNNSTSQDFSIRKITATDFWGYDTAYTQLIPYSNCRNMCLTANNCQAFGYRKGTGECYPKYSLFNGRRFPDPYNDLYLKVPKGVPFREESDSRPTHSCGVTEKLAYPSSQMFEEVTSNFEFGYFLSSVLTLLLIEVVLIIVGFSVVRKWETRPEITDEGYAIISSQFRRFSYKELQKATNCFQEELGSGGSGVVYKGVLDDERKVAVKILNDVIYGEQELRSELSVIGRIYHMNLVRIWGFCVEKTKRLLVSEYSENGSLDRLLFDYHNLFPVLKWSQRYNIALGVAKGLAYLHLECLEWIVHCDIKPENILLDKDFEPKIADFGLVKLLKPEAAQMPSRVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGSRVSRWVVDGKEEVGLAVKRNVDTLREKLASEDQSWLLEFVDSRLDGEFNYSQVATVLKIAVLCLEEDRRMRPSMDTVVEVLLSLVE >ORGLA06G0040100.1 pep chromosome:AGI1.1:6:2864100:2867066:-1 gene:ORGLA06G0040100 transcript:ORGLA06G0040100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGSGVPQIRCEGGGRRSSPSPGALLLRGPWRSSRGILIIWADPSVISFLLMLPTAVAKDQKSFLTRRSSISTQDDTTAILVSPNDDFSCGFYKVATNAFTFSIWFSRSSEKTVAWTTNRDAPVNGKGSRLTFQNDGTLALLDYNGKVVWSTNTTATPANRAELLNNGNLVVMDLQGQHLWRSFDSPTDTLLPLQPITRNVKLVSASARGLLYSGFYNFLFDSNNILTLVYNGPDTASIYWPNPSVHLPWKNGRTTYDSRRYGVLNQTGRFVSRDLFKFEDSDLGDHVMRRLTLDYDGNLRLYSLNETSGNWSVSWMAFSRVCQMHGVCGFEVIDPSDWSKGCKRKADMTVIWDKGNRTNTNNTISRDFSFRKNTGTDFWGYDMDYAESVPFSNCRNMCLANAKCQAFGYRRGTGLCYPKYTLFNGRSFPDPYNDIYLKVSKGVPFTKESDSRLTHSCGVTEKLAYPSSQMLEDVPSKFVFGYFLSSLLTVLLIEVVLIVAGFSVVKKWETIPEITDKGYMIISSQFRRFSYKELHKATNCFQEEREWWIRCGLQGSPR >ORGLA06G0040000.1 pep chromosome:AGI1.1:6:2859788:2860897:1 gene:ORGLA06G0040000 transcript:ORGLA06G0040000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLPPPPSLLLLLTHHLTAAAAALLVLLDPPSPSARKRRRLDVEELDPVPLPSLQPEPEPLPLPPTSPDHYPLAFRVSAPTFNFLAGLLDPLLSHPSLPSSTLLALALARLATGLPYATLAALFRVPASAPRAASRRLRRVLLANFRFWLAFPAEPSSAAASSPLPSCRGALACARFDGPDGPLAAQLVAGASSRVLSLAAGFRGDRTDLEVLKLSSLYQELEQGKVLDHGQYLAGDGDGYPLLPWLMVPFRGPAVPGSPEAEFNAAHDAMCRKARRTVRSLKGWGAIARLRDEESPRAAVACIGTCAMLHNVLLAREDHSALAPEETDLPPAVQRRADDGDAAGVEDLEIHRRASAFRGALAATMRRR >ORGLA06G0039900.1 pep chromosome:AGI1.1:6:2855420:2857829:1 gene:ORGLA06G0039900 transcript:ORGLA06G0039900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQRGRGGFDELVLLHQQQEQRRRREQQQEEEEEEEVRRQMFGAVVGGLAAFPAAAAALGQQQVDCGGELGGFCDSEAGGSSEPEAAAGARPRGGSGSKRSRAAEVHNLSEKRRRSKINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPSYLSGALEPAQASQMFAALGGNNVTVAHPGTVMPPVNQSSGAHHLFDPLNSPPQNQPQSLILPSVPSTAIPEPPFHLESSQSHLRQFQLPGSSEMVFHGEIMPKHHLSSHQESLRGNEMNSIRKESSMLNTNNFDGVSLSKEQS >ORGLA06G0039800.1 pep chromosome:AGI1.1:6:2852061:2852537:1 gene:ORGLA06G0039800 transcript:ORGLA06G0039800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRDENVGAPLDGARTPSLSLPSHVSAVRRTGEQVAVRCHVRTKGLVMLPRRNTPPQHRERRPRSHDAALAGVAMPNASPTIFGGVVIFLTPWRRRSRAILAWLVATSTSQRLHRRASSSSSHALSFSPATPSSTSSRFAGLTQRRPSPCRLAGAC >ORGLA06G0039700.1 pep chromosome:AGI1.1:6:2846443:2849066:-1 gene:ORGLA06G0039700 transcript:ORGLA06G0039700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:I1PZT4] MDAAALDDLIRRLLDARGGRTARPAQLADAEIRKLCAAAKDVFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRIWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLKNMDQIRNIARPVDVPDHGLLCDLLWSDPDKEIEGWGENDRGVSYTFGADKVAEFLQTHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSIDDSLTCSFQILKPSDKKGKAGTGNMSKPGTPPRKIKINII >ORGLA06G0039600.1 pep chromosome:AGI1.1:6:2832875:2843148:-1 gene:ORGLA06G0039600 transcript:ORGLA06G0039600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPLPPTPPPSQQQQPQPQGKEQQQQMAVAMNARRLVMIGDRLRTHFRGGGGTVLEPPDLAHLVYAFARGIDFALSSGDVPTVASEIPSILKKVYLVGKDQFLQSSVMVLMISCKNACSEKWFQPTDCTEILRMANELSGKFCTPVSQPDNDSTVIQIISTIMPRYYPQLKFERLVTSLEAKVGYDVLMADFFIHKNVPREEKINLIVVQKEDLDASSCIANPPHVSFLVNGKGVDKRTNVSMETGPQFPTDITRMLKYGANIIQAIGYFNANYIIAVAFLNKLESFDAPNLNDYAQPVAADPPDSDLLEGPSRVSLKCPISFRRIKTPIKGRLCKHYQCFDYDNYMEMNLRKPTWRCPFCNTPSNFTDLRIDQKMVKILQETGEDTIDVLVFADGSWKTISTNDERSDRHSSDVIQQSRDTMDTDATADDVIDLINEDNDGDVPMSFTSASEDVKPFLNCQDLSVADYLSDLPMNTVSQAEDLYAGGASRGNNERGNATSTSGQNSSLPSTGGLGSSSFGTLESILPHNILHPVITDAVSPSLDTSNSVVPRQHVAQGTRSDIVPSQPRIDPQLRLEIARPPIPRNVAREPTGIQALPVQPQRVRPNIYNCPPPFPQSSPASAYQVHQVTNADSVITAMSTGIGSLSRAPDAAPLLQHQSTQQEIRATQNYHQGQFIGLTAPQNFMGTRPPPGVPGQAIGANAHGAPPAQQSHHVHRLVSNLMNQLGQATVAQPSTAPQVLPSQPGGTSVVNPQIRGHLFPAQQRSQAMRPQAVPRPTISQAPPRAQSPFLPATARPPSTPPPIGTSDDLQELPVDESWRPTGQMRGSLTGEAYSVAIGRYNPSVNIAGQQTSHVTSQARPAGPDARR >ORGLA06G0039500.1 pep chromosome:AGI1.1:6:2826598:2826906:1 gene:ORGLA06G0039500 transcript:ORGLA06G0039500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAMAWTPAAPTPRSEAALASELQGRRTTRHHAGRQALGQCSGLRLGGAFFRWYIELEVIIPAWRSGEGNIQSVVFAGFFSLFFFFAFFSRSFSSAPDCSR >ORGLA06G0039400.1 pep chromosome:AGI1.1:6:2813022:2816765:-1 gene:ORGLA06G0039400 transcript:ORGLA06G0039400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLVSAATGAMNSVLAKLAAFLGDEYKHAKGVRDDLAFLQSELTTMNKALHALADADQLDELSKDWRDRVRDLAYDIEDCIDLSVHRLHGAGESGLAAKMARMAKKIGAFRQIASQIQQLKARVLEVSERRNRYTLHGLVPTSSDASSSTTKVDARLCALWTETKHLVGIDGPRDDIISRLEQESSSAAAQHDVRMVSIVGCAGLGKTTLAKQVYDKIKAEFEYKAFVSVSQRPNIKELLLNISTQVGKSTNTWDDVANLVDNLREHLKQKRYIIVVDDIWSPEPWNFIGEALVKTIHGSIIILTTRVKEVAISSSSSHGGFVYQMKHLDGAHSKRLFYKRIFDCEEKCPPKFELASEEILKRCDGIPLAIISISSFLADHESLYHWNEVKKIISSPLPGNEYLETMQSVLALSYYNLPHDIRSCLLYLSAFPEDCEIAKSSLVSRWIAEGFINARPGENVYEAGLRYFNVLINRSLIQPWNEHYGEVLTCRVHDVILNFIVSKSVEENFLFLLDPSGLVPLQHSNYCKVRRLSLQGNYCQEEFASRMMPIKPHVRSLICSVDYTGFHPLSEFKVARVLDLDGCQSLTNNHLANIEKLVHLQYLRIRGRVTVLPANIGRLQHLETLDIRGSEVKELPPSIVLLQRLARLSVSQDVKFPAEGVSKMQALEELTGLTLFCQPGSFLKELGELTKLRVLVVYWKAYHARDSDEAQAEHKKSCKKIFTSSLNALDRHSLHSLDFVVFMERFLFDPWFLALQNLKRFGVESTSRMINIPSWIRLAAKLEKLELSKAYVTQDDLEMLGDLKALEYLALPCSDTQGSWLTISNHGFRCLKFAFLCNVLFMPDSMPNLKDLRIDIVLEEVGENDSVFEHLPSTLCRVNVDIIGNPPSTPRDVASELEEKILNVAKTHPNRPTLTTRTLDRDILVD >ORGLA06G0039300.1 pep chromosome:AGI1.1:6:2811425:2812908:-1 gene:ORGLA06G0039300 transcript:ORGLA06G0039300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKSRSLSEQQNMMMAFASMAPLAWSWSWHRQHLYVPYLYPYDLFFKSMELCPARLRFRHCYRDNFDSVCMLVCWTVWKERNARVFDQRSRIPEQLAEAIKEEVLLWKEAGYFETNNR >ORGLA06G0039200.1 pep chromosome:AGI1.1:6:2806038:2809359:-1 gene:ORGLA06G0039200 transcript:ORGLA06G0039200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61540) TAIR;Acc:AT3G61540] MKKACEEYRVVLLDQRGTGLSTPLTTSSLSQITSAAEQVEYLKHFRADSIVKDAEFIRLHLVPDAKPWTVLGQSYGGFCAVTYLSFAPEGLKSVLLTGGLPPLGSACTADTVYRACFKQVQQQNEKYYARYPQDIQVIHELVRYLNESEGGGVSLPSGGRLTPKMLQCLGLSGLGSGGGFERLHYLFERVWDPILVPGAKKTISYYFLKEFERWLGFDQNPLYALLHESIYCQGSPSKWSAHKIGSECESLFDPIKAIKEGRPVYFTGEMVFPCIFDEIHALRPLKETAHMLAHKEDWPPLYDVNVLNNNKVPVAAAVYYEDMYVNFNIAKETASQIAGIRLWITNEYMHSGIRDGGSHVFDHLMGLLNGKKPLF >ORGLA06G0039100.1 pep chromosome:AGI1.1:6:2797025:2804935:1 gene:ORGLA06G0039100 transcript:ORGLA06G0039100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SITE-1 protease [Source:Projected from Arabidopsis thaliana (AT5G19660) TAIR;Acc:AT5G19660] MAPERRLAYAALIPFLLLALPILPSDSPSGGGGGGGGGGAGGGGGETLDPPAAKYVVRFVEYRPADEHREYLEDGLRGAARPPPAASWRWVERRNPAAAFPTDFAVLEIRDACRAAVVDAVSALGRVRDVHADASYSRGVLSADRPRQQGKLFTAMSFEGEEGGGDREVGCSTDSNNSSSAGWRRKLLVQRSQVTSLFGAERLWGRGFTGRKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECPGFAPDTEIYAFRVFTDAQISYTSWFLDAFNYAIATGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHCRYGRVKPDVVAYSRDIMGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPEEHRKSILNPATMKQALVEGASRLSGPNMYEQGAGKIDLWQSYEILKSYQPRASIFPNMLDFTDCPYFWPFCRQPLYAGAMPVVFNATILNGMGVIGYVKDPPVWQPSEDVGNLLSVHFTYSDVIWPWTGYLALHLQVKDEGSQFSGIISGKVTLSIYSPAAHGESSPRSSSCVLYLKVKVVPTPVRSRRILWDQFHNIKYPSGFVPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPLTCFDASNYGTLLMVDLEDEYFSEEIQKLKDDVVHKGLGVVVFAEWYHVDTMVKMTFFDENTRSWWTPITGGANVPALNELLAPFGIAFGDKVLSGDFSINGEQTHYASGTDIVQFPAGGFLHSFQLQDNSKIAQDNSRSADTQNSPDKSKLSSILGMMEAGKGRVAVYGDSNCLDSSHMVTNCYWLLRKLVEFTGNRIKDPVLFSESAQLKFPVFESIHQLSRRPDVNFSTYSTVIGKELICHQDSRFEVWGTKGYGTQPTGTTRKLPEYQKSEAYNISTPIASDSTPDEAGLQRNISTPIASKFDKRMDYFGFLGHEEIDIGMLVASQWMVPCFAATACLMLYLSCRVQQKRRRRKKGSTAARLSSMDRMV >ORGLA06G0039000.1 pep chromosome:AGI1.1:6:2791488:2795618:1 gene:ORGLA06G0039000 transcript:ORGLA06G0039000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARRVLAAAVAALLLLFAVVAVARLDLDDEGDDSEVLDELLAVDEEEERGELGGGGEAAAAEAVRRAQSMVLVLDNDNARRAVEENAEVLLLGYAPWCERSAQLMPRFAEAAAALRAMGSAVAFAKLDGERYPKAASAVGVKGFPTVLLFVNGTEHQFTGLHTKDAIVTWVRKKTGAPAIRIQSKDSAEEFLKKDQTFAVGLFKNCEGAEYEEFVKAATSENEVQFVETNDRNVAKILFPGIASEEQFLGLVKSEPEKFEKFNGAFEEKEIIQFVELNKFPLITVFTDLNSGKVYGSPIKLQVFTFAEAYDFEDLESMIQEVARGFKTKIMFIYVDTAEEKLAKPFLTLYGLEPEKPTVTAFDTSKGTKYLMEAEINAKNLQDFCLSLLEGTLPPYFRSEPVPEEKGPIEKVVGRTFDSSVLESPQNVFLEVHAPWCVDCEAISKNVEKLAKHLNDLGQTNLKFARIDASVNEHPKLQINNYPTLLLYPAQDKSNPIKLSKKSNLKDMAKFIKEKLQIADVKTVAAGDNVKDEL >ORGLA06G0038900.1 pep chromosome:AGI1.1:6:2787649:2790552:1 gene:ORGLA06G0038900 transcript:ORGLA06G0038900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSESDVTSLAPSSPPRSPKRGGGVGVGGANYYVQSPSRESHDGGYKSSSMQATPVYNSPNESPSHPSYGRHSRSSSVSRFSGTLRDGSRKAGGERKALNDKGWPECNVIEEEGPYEDLAGDTGLSRRCQIILGFLCFVLLFTVFCLIIWGAARPYEPDVVVKSLTMDDFYAGEGTDHSGVPTKLVTLNCSLHIAVYNPASMFGIHVTTGPIRLLYSEISIGVGQVRRYYQPRKSHRLVTAVVHGNKVPLYGAGGGLMLSSSGGAVPLTLDFDLTSRGYVIGKLVRVTHKVHVTCPIVVDAKKTKPIKFSKKACAVYKI >ORGLA06G0038800.1 pep chromosome:AGI1.1:6:2778030:2782965:1 gene:ORGLA06G0038800 transcript:ORGLA06G0038800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25770) TAIR;Acc:AT5G25770] MAVALTLALTAMWPPPRLAAPATGGHVTVTARKPRGRRLSGKSTTASLGCGSKPNNIRGATAAAGGGSKMEAVAASGLRASFLDVLLSRRRNLQVPLTVEPGSPVKHPLYQGRPPMGRCVAMESCPRKGVVNSKEKLVEENFYLITESGEQGRVPVLLLKLNDTTPKRKPVIVFLHSSYKCKEWLRPLLEAYASRGYISVAIDSRYHGERANNNSTYIDALKSAWRNGDAMPFILDTVWDLIKLGDHLSEREDVDPCRIGITGESLGGMHAWFAAVVDTRYSVVVPIIGVQGFRWAIDNNKWQARVDSIKPLFEEARIDLGKSEIDTEVVEKVWDKIAPGLDSQFDAPFSLPVIAPRPLLLLNGAEDPRCPVLGLQEPVSRAAKAYEEVGSADKFMFIAEPGIGHQMTANMVKEASDWFDRFL >ORGLA06G0038700.1 pep chromosome:AGI1.1:6:2770833:2775187:-1 gene:ORGLA06G0038700 transcript:ORGLA06G0038700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDDEREAEARREKEAGNAAYRKLYLETAVRHYTRGALLDPRDISFLTNRAAAYLLMSKYKECVRDCDEAVEKGRELRADNKLVARALARKASALLKLAACAADYDPAIRALQQSLAEHYSEETLAKLGEAEEARKEIEERERLDQEAADHHRDRGNDFFEQKRYQEAAMHYTEAMKKNPKDPRVFSNRAQCHIYLGALSEGLEDADKCIELDPTFLKGYLRKAKVQLLMGNYEIALATYVEGLKCDPNNLEVLDGLRRCAACIKRANGGDARAEDFREILGDLHLNDDLCNKLQKSMDEAAVLKKEASDERLKRIESERLARTLEDLYLSQVQQRKETEESLSRVQQEFEQLKIQQDVVTVELQKVNEQNENLLGQLSDSREHFEWLLSEHDQLLRERDNAVREVEELRQKRGQMLSVLVTAMHCEFSSSEVESATENFSNSLKIGEGGFGCVYKGILRNMTVAIKVLRPDSLQGQSQFEQEVSILSRVRHPHLVTLLGACSESSTLVYEFLPNGSLEDFLMCSDKRQTLTWQARIRIIAEICSALIFLHKNKPHPVVHGDLKPANILLGVNLVSKLSDFGISRLLIQSSTNNTTLYRTMHPVGTPLYMDPEFLSTGELTPQSDVYSFGIVVLRLLTGKPPVGIKKIMEDAMEKGDLNSVIDTSVGEWPHLLIEQLAYLALRCTELSRRCRPDLSGEVWAIVEAIRDAALSSPSSSRSAQDQNSPPSYFICPISQDIMDDPHIAADGFTYEAEAIRSWLCNGHDTSPMTNLRLEHEELVPNRALRSAIQEWLQQHSMSL >ORGLA06G0038600.1 pep chromosome:AGI1.1:6:2758324:2764142:-1 gene:ORGLA06G0038600 transcript:ORGLA06G0038600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSTRGRLFEFSTSSCMYKTLERYRSCNYNLNSCEASAALETELSNYQEYLKLKTRVEFLQTTQRNLLGEDLVPLSLKELEQLENQIERSLMNIRSSKNQQLLDQVFELKRKEQQLQDANKDLKRKIQETSGENMLHISCQDVGPSGHASEDNQEFLHRAICDPSLHIGYQAYMDHLNQ >ORGLA06G0038500.1 pep chromosome:AGI1.1:6:2755687:2757640:1 gene:ORGLA06G0038500 transcript:ORGLA06G0038500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRLIRRKRASGKSLKKANVVKGQWTLEEDRKLVKLVEQFGLRKWSHIAQILPGRVGKQCRERWHNHLRPNIKKDTWSEEEDIVLIQTHKEVGNKWAEIAKHLPGRTENSIKNHWNATKRRQFARRRSRASSKNPKSGTLLQNYIKSLGIGPIKSSVRQAPSESTVVSSSSPASTQKLSEVNGKIWPDSNPSNQMDTQGILTMDENTYIQTNSCEELLVSIYDDLCLDMCDHLFETKDEAPYQVYNIDDDVDMNYIFNHIEYANKIGNEIDMEMAWDDDVLQDDESAGSSPLETPAGLAQINTVHVKEEMDLIEMVTRTQSCG >ORGLA06G0038400.1 pep chromosome:AGI1.1:6:2750033:2753991:-1 gene:ORGLA06G0038400 transcript:ORGLA06G0038400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G28830) TAIR;Acc:AT4G28830] MKLKQLEGLLGDLQQFTAPKVELEQYATGPHIASRMLYTAENSFDDITGKVVADFGCGCGTLSVASSLLDAEHVVGIDIDPQSLELAQENAADLELDIDLIQCDIKNLNLRGLLVDSVVMNPPFGTSRKGADMEFISMGLKVATRAVYSLHKTSTREHIKKVALRNCNAISAEVLCELRYNLPRTYKFHKQNEVDIAVDFWRFVPRARDEGSTASSVTNLKQRRMHR >ORGLA06G0038300.1 pep chromosome:AGI1.1:6:2741037:2742946:1 gene:ORGLA06G0038300 transcript:ORGLA06G0038300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16330) TAIR;Acc:AT4G16330] METAAAPPPRVQALADAGVSLLPAQYVQPPELRPDPTTTHRRAPTAASLSVPVVDLSSSGAGDAVRRACAEWGAFHVVGHGVAPGLLDAMRGAGLAFFRSPMEEKLRFACDQARGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPESRRDPTRWPDFVPGYRDTVVKYSDSMKDLAQKLLRIVSESLNLPPSYIEEAVGEVYQNITVSYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGLWIPVPSLPDGILVILADQTEIITNGRYKSAVHRAIVNADRARLSVATFYDPSKSRKICTAPQLVCKEHPQKYRDVIYGDYVSSWYSKGPEGKRNIDALLIEQ >ORGLA06G0038200.1 pep chromosome:AGI1.1:6:2737523:2738610:1 gene:ORGLA06G0038200 transcript:ORGLA06G0038200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFHDGHHVWLRSRANGLYLCADDDRSGVSLQQDRASAHAAWAVHILHFNGGDVLMLHSAANGRYLAAYRAEGSWNVERRDLNRLPSLTFSWYALGSRYGDDVLLRHFKSMFFLRALFRRDRISNSGGVGLCAMDRGTTTMQWVVEAIPPRESVPTLPDPLSPSSLSGVYRVWYVRANPDGIICPNNWRLFLFYGRSVRNLSALLAI >ORGLA06G0038100.1 pep chromosome:AGI1.1:6:2734701:2735066:-1 gene:ORGLA06G0038100 transcript:ORGLA06G0038100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETETEREASAVQVSELADPEPRCMSLEETKQLIGYMNTIVDSLLKIVDSGYSPYPVEEIHEIIRDIREEGCAAVRRSLDQIRRDLDADDDVGGGENCTATGDEEDGGGVRQQPDTTNPQS >ORGLA06G0038000.1 pep chromosome:AGI1.1:6:2731844:2733079:1 gene:ORGLA06G0038000 transcript:ORGLA06G0038000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFHDGHHVWLRSRVHGTYLRAGEDGSGVSLHEGRASVHAAWAAHILHLDGGDILMLHSAANGRYLAAPRTGWSWNSVDLRDLNQLPSFTVGWFAVTAGSGDYVMLRHSSGLFLRADGGNLLCNSVGVIVDMFDFRRREIRQWVVEAIPPRDSMPILPNPSPTAFSWCRIWYVRASPQGNFRREDWRSLLFHGRSVFHLRNRLASQLRIRESSDAILCVRAGSTGRVTPLVTDLPRNTLVIDIVVITAGTNGEISLYSDRLHIYMLMLLL >ORGLA06G0037900.1 pep chromosome:AGI1.1:6:2727331:2728563:1 gene:ORGLA06G0037900 transcript:ORGLA06G0037900.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGIDYDETFSPVVKPATIRVVLSIAASRSWPIHQLDVKNAFLHGNLEETVYCQQPSEFVDPSAPNAVCLLQKSLYGLKQAPRAWYQRFATYIRQLGFTSSASDTSLFVYKDGDNIAYLLLYVDDIILTASSATLLHHITTHLHSEFAMTDLGDLHFFLGISVTRSSDGLFLSQRQYAVDLLKRASMSECHSTATPIDARSKLSATDGAPVSDPTEYRSLAGALQYLTLTRPELAYAVQQVCLFMHDPREPHLALIKRILRYIKGTLHIGLHLGTTPIDSLTACSDADWAGCPDSRRSTLGYCVFLGDNLVSWSYKRQTTVSRSSAEAEYRAVAHAIAECCWLRQLLSELHISLTSATVVYCDNVSAVYMTANPVHHRRTKHIEIDIHFVREKGCFGTGSCFTRSFLSSVC >ORGLA06G0037800.1 pep chromosome:AGI1.1:6:2726839:2727201:1 gene:ORGLA06G0037800 transcript:ORGLA06G0037800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAASVVRPAPSEGPTTSMISPYRHTFVRRSQPAPATIHGPIRSSRAFRSATDQQQQTGHTMVTRSQTGHLRPIQRFTYTATHDAVSPVPSNYRSALADPNWRAAMADEYKALVDNNT >ORGLA06G0037700.1 pep chromosome:AGI1.1:6:2723047:2725020:1 gene:ORGLA06G0037700 transcript:ORGLA06G0037700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFHDGHHVWLRSRGQGTYLRADDDGRGVSMGQDRASVHAAWTVHTHHLDAGDVDILMLHSAANGRYLATGLGWTRRRLLSGNRASIVLRDLDQEVFPPACWFAVRSGWGDDVLLRHCSWRFLRADDRKWNWNRNSTGVIADMIDGRRLARWQWVVEAIPPRNSILRPPNPSPSFGFFARRIFFRRLTHNDLQWVWIWFTGRSALHLWNQLSRRMGFEPDPNSTMCVRAGTFGRLTPLVTDLPRNNATMVIFVLPPESLGEIYGLGLTCPNVHAA >ORGLA06G0037600.1 pep chromosome:AGI1.1:6:2719488:2721760:1 gene:ORGLA06G0037600 transcript:ORGLA06G0037600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFQDRHHVWLRSREHGLYLHADLADGSSVYLHPYRATARAAWAVHVLHHFDGHMLMLHNAANGRYLAASTSPWAATAARFGLGGGNRVTLRDLDRLPMFAAGWFPIVSASGDVLLGHASDRFLRAIDRGDGNGVTVEVSDSRRPNTPWVVEAIPPIDSIPRLPHLVGIGHIARAIRFVRAERASTDGTFPHVAWACFEFTGRSLFNLRIELARRLNFAVVSDVIMCVRAGLFGRLTPLITDLPPNNVTMEIIVVTAGTIGEISFLYANELRFPNVGAV >ORGLA06G0037500.1 pep chromosome:AGI1.1:6:2694256:2694552:-1 gene:ORGLA06G0037500 transcript:ORGLA06G0037500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREASAAKSSVQLSELPDPETSRICMEETKQLVADMQGIIEALLVFVDAGCSPVPVDEIHEMIRHMREVGCPAVRRSLDQIRRDTDALLAAAAALDL >ORGLA06G0037400.1 pep chromosome:AGI1.1:6:2681670:2682065:-1 gene:ORGLA06G0037400 transcript:ORGLA06G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEMRMREKLATMDERQAMEMRLTWISELIASNKKSIAGNKAYILALIDAIDNDRCPYTAAELSDKIRELREDRETVILPAQAVIKTMIDSVRAATPAAGGDGGTRRRGADDNSGAIGCGPTHQSRMISR >ORGLA06G0037300.1 pep chromosome:AGI1.1:6:2672463:2679274:-1 gene:ORGLA06G0037300 transcript:ORGLA06G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycogen/starch synthases, ADP-glucose type [Source:Projected from Arabidopsis thaliana (AT5G24300) TAIR;Acc:AT5G24300] MATAAGMGIGAACLVAPQVRPGRRLRLQRVRRRCVAELSRDGGSAQRPLAPAPLVKQPVMPTFLVPTSTPTAPAQSPSPAPTPPPLPDSGVGEIEPDLEGLTEDSIDKTIFVASEQESEIMDVKEQAQAKVTRSVVFVTGEASPYAKSGGLGDVCGSLPIALALRGHRVMVVMPRYMNGALNKNFANAFYTEKHIKIPCFGGEHEVTFFHEYRDSVDWVFVDHPSYHRPGNLYGDNFGAFGDNQFRYTLLCYAACEAPLILELGGYIYGQKCMFVVNDWHASLVPVLLAAKYRPYGVYRDARSVLVIHNLAHQGVEPASTYPDLGLPPEWYGALEWVFPEWARRHALDKGEAVNFLKGAVVTADRIVTVSQGYSWEVTTAEGGQGLNELLSSRKSVLNGIVNGIDINDWNPSTDKFLPYHYSVDDLSGKAKCKAELQKELGLPIRPDVPLIGFIGRLDYQKGIDLIKLAIPDLMRDNIQFVMLGSGDPGFEGWMRSTESGYRDKFRGWVGFSVPVSHRITAGCDILLMPSRFEPCGLNQLYAMQYGTVPVVHGTGGLRDTVENFNPFAEKGEQGTGWAFSPLTIEKMLWALRMAISTYREHKSSWEGLMKRGMSSDFTWDHAASQYEQIFEWAFMDQPYVM >ORGLA06G0037200.1 pep chromosome:AGI1.1:6:2667085:2670457:-1 gene:ORGLA06G0037200 transcript:ORGLA06G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWTAAAGMLGSAAYTRTRAENPSEFMPRRVLLSHAGLENEIDRGNSFWVKAALVYESVTGDHVDDHTRHLSRDLLLNLAAYCCPRIHPDPSPRRPQALLEDEDKKKAEDDQLAKRTKHPDEGTEENKQVIDSIFLVVGFLPRLTKAAATGGGKGAAAAAARRDAVDESFKATHMQDIVTDVIKLENQLPIKHLLAVADLAEAAVHAAAAGIPGLRDDVAKALRDYKLGFAGANFDGVIRSFCSYYSPFFSKDEQAKKPDDDAFSGELTLLDCLHASLVPPSSEAGGGGVKGGKTSRIPTAKELRRSGVRLEAGVEDGRAVVQFKEDAATLRLPALVFDFKLATVARNLLARELEEQSKPVTRYFQLMNELVEEVADVRILRRAGVVRGGSRGAGEVHELIKKIDGYATYPSVFMAMDVQVEKVKVFHEKRMNNFFVRYRPAIVAASSVVAASVVAIVATRKKRG >ORGLA06G0037100.1 pep chromosome:AGI1.1:6:2661291:2662337:1 gene:ORGLA06G0037100 transcript:ORGLA06G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAIVEKKARRIVRGRWHVEASNEAAAAAPAVVAAPAPRVVRVLYRDHDATDSSGDDDGEDDAPRRARLLVHEIHVARQPVAMSPAAAASSSQRRRVGPMKRRTEAAVDAMAAEVAPERKFRGVRKRPWGKYGAEIRVSQQSARVWLGTFDTAEEAARVYDHAALRLRGPAATTNFPVTPTAPAPSPPPSRDTNAGAASGYDESSDESQLVGSPVSVLRPMPARATAKKEAKEEDDSAPDILGISAGDGLIFPFTGDVMNFPPPDEDMFGGGISFGEPTPPPMVFDDDCMARLGHVPNDDVDDEHPVTSSYFLDDDLGDLPSWTEVDGFFSDVGGDDLFAAEPFPAL >ORGLA06G0037000.1 pep chromosome:AGI1.1:6:2653988:2657181:-1 gene:ORGLA06G0037000 transcript:ORGLA06G0037000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated/translation elongation factor EF1B protein [Source:Projected from Arabidopsis thaliana (AT5G53330) TAIR;Acc:AT5G53330] MDYDYRGRPGSGSYGGGGGGGGSSSLYPRVGQPSHGVANAPPPQPPRAAPYHHHGPPTVSAAPHPVPASSSTSMGIQVVIKPAYRITPPPQLPPQLTEIPRSTFNFDFEYERKILAEAEKENPNWSKFVIESQPSPPPQPPRGPKLTTPPTSVATPGDPIVDKYISMGLGREAVSFAVLNYGDNPAKVKEFVKSYNALHEMGFTSSNVPELLAIHDNDPDKVIQHLIGTS >ORGLA06G0036900.1 pep chromosome:AGI1.1:6:2651162:2652867:-1 gene:ORGLA06G0036900 transcript:ORGLA06G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G01130) TAIR;Acc:AT4G01130] MRRITWLATAAAAAAMCWLVAAASAAGQCRFPAVFNFGDSNSDTGGFWAAFPAQQAPFGMTYFCRPAGRASDGRLVVDFIVQAMGLPLLSPYLQSVGSGFRHGANFATLASTALQPNTSLFVTGISPFFLAVQLNQMKDLRNKVLTSNGNNGQLPAPDVLHNALYTIDIGQNDLTSNLGSQSIETVKQSLPSVVSKISSAVQELYNIGARNIMVFNMAPIGCYPAFLTKLPHTSNDMDGYGCMKTYNSAVTYYNELLNNSLAKVRKKLQDASIVYLDKHAVTLELFRHPKAHGLKYGTKACCGYGDGAYNFNPDVYCGSSKLLNGQTVTAKACADPQNYVSWDGIHATEAANKIIAASLMSGSYSYPPFDLSKLCHLQPIA >ORGLA06G0036800.1 pep chromosome:AGI1.1:6:2648217:2648627:-1 gene:ORGLA06G0036800 transcript:ORGLA06G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:I1NTN6] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ORGLA06G0036700.1 pep chromosome:AGI1.1:6:2643990:2647970:1 gene:ORGLA06G0036700 transcript:ORGLA06G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKERKETAQREKDAGDEAYGEELYKRAAAHYAAAADLDPGDISCLIKRAKANFSMNKCEECVAYCDEALGRRRRRRDARCGCDEKLAADALFLKALALLNLAVCAADHEPAITALEGSLELRPGSKETRAKLEMAKRNRDAFAEQERLDQEAAKTHRDKGLELLRKKKYKEAEMQFTEAIKRNPRYPKNFSDRARCLIELNSLPKVLEDANRCIELDDTLGMGYLRKGLVQIAMAKYEDAIATLVDGLKHDPQNLSIHNGLRECAARIKMAKDSDAIAKDLTKHQRKIECLHKQLNEGENKASKERSRRMKSEKLVKTLSSQVEQLRSANERNANLERKLSECRERFEQLQSIQNRILQHFTCPISHEVMNDPLMAADGHTYEAKFIRDWFRRGHNTSPITNVELEHKKLLPNHVLRSAIEECKKM >ORGLA06G0036600.1 pep chromosome:AGI1.1:6:2611125:2618575:-1 gene:ORGLA06G0036600 transcript:ORGLA06G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCGSPICSEQDVVSCAMKETLDSSTCVNHLVVISIVAVLTVALVLQLLMKIPKSRASARQLVAFNSLLQLAAVVFTGCLGLLNLGLGMWMVGISFNQDTSIYRPHWWLVILAQGFSLILTSFSFSIRPRFLGATFVRFWSLLLTICAAFICCCSVVYMVGEKEITIKACLDVLLLPGALILLLYAIRHSRDEEGYETTENALYMPLNTERDHGTADSESHVTPFAKAGFFSVMSFWWLNPLMKMGYAKPLEEKDMPLLGSTDRAQNQYLMFLEMMNRKKQLQSHATPSVFWTIVSCHKSGILISGFFALLKVVTLSSGPLLLKALINVSLGEGTFKYEGIVLAVTMFVCKFCESLAQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSNSAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQIWTTSVQLCIALAILYNAVGLATVSSLVVIIITVLCNAPLAKLQHKYQSKLMEAQDVRLKAMSESLVHMKVLKLYAWESHFKKVIEGLREVEYKWLSAFNLRKAYNSFLFWSSPVLVSAATFLTCYLLRVPLNASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRVVKFLDAPELNGQCRKKYIAGTEYPIALNSCSFSWDENPSKHTLRNINLVVKSGEKVAICGEVGSGKSTLLASVLGEFPKTEGTIQVCGKIAYVSQNAWIQTGTVQENILFGSLMDEQRYKETLEKCSLEKDLAMLPHGDSTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTASSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGKIIRSAPYQDLLEYCQEFQDLVNAHKDTIGISDLNNMPLHREKEISTEETDDIHGSRYRESVKPSPADQLIKKEEREIGDTGLKPYILYLRQNKGFLYLSLCVISHIIFISGQISQNSWMAANVQNTSVSTLKLIVVYIAIGVCTLFFLLSRSLSIVVLGMQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFFFMFSISASLNAYSNLGVLAVITWQVLFISVPMIVLVIRLQRYYLASAKELMRINGTTKSSLANHLGESISGAITIRAFEEENRFFAKNLELVDKNAGPCFYNFAATEWLIQRLELMSAAVLSFSALVMVILPPGTFSPGFVGMALSYGLSLNMSLVFSIQNQCNLANQIISVERVNQYMDITSEAAEVIKENRPAPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRRRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCTMVLAMSDGKVVEYDKPTKLMETEGSLFRELVKEYWSYASSGNI >ORGLA06G0036500.1 pep chromosome:AGI1.1:6:2608695:2609621:1 gene:ORGLA06G0036500 transcript:ORGLA06G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASSSSSLLLLMFLAFLDHGAAVANLSSIEAAVRDRAFQLFRRTSEIVAVDVPAVLAGAGVEASATRVRSSALWADGVNATVPGLAVAVPPRVVPAPFARRVAIVFVRFLGDASSWLFDAPPGYALAAPVVALLAFDASGPNGGVALRALGAPVRVEFRDISPASGFNATAARCLTFSSGGGKAVAAHAVAMEPGPSCVVSGTATGHYGVAVRVETPPPPPPPRPPPVRERWWVWKVGATAGGVAAASFLAVTVVGAVRWRRRRRREEMERRAMCGEELGRMAVRGSRMPSAKMVRTRPELEEELS >ORGLA06G0036400.1 pep chromosome:AGI1.1:6:2605165:2607218:1 gene:ORGLA06G0036400 transcript:ORGLA06G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATLRWVLQMHRDVPRAARFYSEGLDFSVNVCTLRWAELQSGPLKLALMHTNDSNLASQRIYSSMLSFTVPDINSTVTRLLSLGAELDGPIKYEIHGKVAAVRCIDGHMLGLFEPA >ORGLA06G0036300.1 pep chromosome:AGI1.1:6:2602011:2604598:-1 gene:ORGLA06G0036300 transcript:ORGLA06G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKSKGPKFAAVKKIITKKTIQKYKEDVLNPKKKDNEKEKLGRNVPQVSSALFFSYNTALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFQRLACTHKGTYADDCIVERVTQHKCYIVATCDRDLKRRIRKVPGVPIMYITRHRYSIERLPEATIGGGNVFCAGGSLYQIRLLC >ORGLA06G0036200.1 pep chromosome:AGI1.1:6:2594976:2598534:-1 gene:ORGLA06G0036200 transcript:ORGLA06G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIFSVAEGTVRSLLSKLSSLLSQESWFVRGVHGDIQYIKDELESMNAFLRYLTVLEDHDTQVRIWMKQVREIAYDAEDCIDQFTHHLGESSGIVFLYRLIYILGKLCCRHRIAMQLQELKARAQDVSERRSRYEVMLPKTTLQGAGPRLTRHASRHLDPQLHALFTEEAQLVGLDEPRDKLVRWVMEADPCRRVLAIVGFGGLGKTTLARMVCENPMVKGADFHCCPLFIVSQTFNIRTLFQYMIRELIQRPNKAMAVAGGKHGHTMDGNMDGMERWEVAVLAEKVRQYLLDKRYIVIFDDIWTISAWESIRCALPDNKKGSRVIITTRNEDVANTCCSGPQDQVYKMQRLSDAASRELFFKRIFGSADISSNEELDEVSNSILKKCGGLPLAIVSIGSLVASKTNRTKEEWQKICDNLGSELETNPTLEVAKQVLTLSYNDLPYHLKACFLYLSIFPENYVIRRGPLVRRWIAEGFVNQRHGLSMEEVAESYFDEFVARSIVQPVKIDWSGKVRTCRVHDMMLEVIISKSLEENFASFLCDNGHPLVCHDKIRRLSIHNSHNSVQRTRVSVSHVRSFTMSASVEEVPMFFPQMRLLRVLDLQGSSCLNNSTLNYICKFYQLKYLTLRKTNIGKLPRLIGNLKYLETLDIRATRIKRLPASASNLSCLKHLLVGHKVQLTRTTSVKCFRPDSGLEMTAGVVKNMMALQSLAHIVVKERPAVLSEIGQLQKLQKLNVLFRGVEENWNAFLQSLAKLTGSLRSLSIHILDEKEHSSSLEYLALIAESPPLFIRNFSLKGKLQRLPPWIPSLRNVSRITFRDTGLHAEAIGVLGDLPNLLCLKLYQRSYADDHIFFAHGNFLKLRMLVIDNMENIHNVHFEKGSVPNLEWLTIAFLREPKDGITGLENLLKLKEIEFFGDIILSMVTKVASCMKAHPNRPRVIGDKWNNVTEYA >ORGLA06G0036100.1 pep chromosome:AGI1.1:6:2589892:2592179:-1 gene:ORGLA06G0036100 transcript:ORGLA06G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQNCTLXYWMIYGLHQHGRASNARFQIIKRVAGSXXPPETRMSPTPAAAAPKIASTRSNASQMRHRGSCSSRGSLAWRMPERQTTMSXNKFQIPSXRNAEGCLXQXXASVASSQASQTGAKKSGRRFVTTWALNLSQILLLKAQSRCXLXATMICPTIXRHASCIXVSFLKIMXSRGDLWXECGXLKVLSPRGMDXAWNRSVKDTLMSLXAGAWFILSESIGVARXEAARFMIXCLKSSSPSHLRKTLHPSSVTMEPNWFRMTKSAASPSAAVATHQLKEQATVXLMFAPSECHLQLTISHSSFHNCGCXECWTCKAAVAXVTRIWTASADFFQLKYLSLRNTSVSILPRLIGNLNHLETLDIRETLIKKLPSSAANLTCLKHLLAGHKEQLTRTSSVKFLRPSSGLKMSHGVIINMAKLQSLVHVEIKEHPSVFQEIALLQNLRKLSVLFYGIEVNWKPFLELLNMLSGSVRSLSIDIFDAQGNISISSLEMLSSLVSPPIFITSFSLTGKLGSLPPWVASLRSVSRLTLRRSQLRADAIHVLGGLQNLLCLKLYHKSYADDRLVFPQGGFARVKLLIVDNLVNLEKLHFNEGSMPNLERLTLSFLREPKDGISGLNNLLKLKEVEFFGNIVSSVVSKVVSCVKDHPNHPRVVGDKWNIVTVYN >ORGLA06G0036000.1 pep chromosome:AGI1.1:6:2583257:2587195:-1 gene:ORGLA06G0036000 transcript:ORGLA06G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative NBS-LRR type R protein, Nbs2-Pi2 [Source:UniProtKB/TrEMBL;Acc:I1PZP7] MEGAVFSLTEGAVRSLLCKLGCLLTEDTWLVQGVHGEIQYIKDELECMNAFLRNLTISQIHDDQVRIWMKQVREIAYDSEDCIDEFIHNLGESSEMGFFGGLISMLRKLACRHRIALQLQELKARAQDVGERRSRYGVELAKATHEEAHPRLTRHASLHIDPQLHALFAEEAQLVGIDEPRNELVSWLMEEDLRLRVLAIVGFGGLGKTTLARMVCGSPVVKSADFQCCPLFIISQTFNIRALFQHMVRELIQEPHKAMAIAGCKHGLITDDYLEGMERWEVAALTKNLRRYFQDKRYIVILDDIWTVSAWESIRCALPDNLKGSRIIVTTRNADVANTCCSRPQDRIYNIQRLSETTSRELFFKKIFGFADDKSPTDEFEEVSNSVLKKCGGLPLAIVNIGSLLASKTNRTKEEWQKVCNNLGSELENNPTLEGVKQVLTLSYNDLPYHLKACFLYLSIFPENYVIKRGPLVRRWIAEGFVSQRHGQSMEQLAESYFDEFVARSIVQPVRTDWTGKVRSCRVHDLMLDVIVSRSIEENFASFLCDNGSTLASHDKIRRLSIHSSYNSSQKTSANVSHARSFTMSASVEEVPFFFPQLRLLRVLDLQGCSCLSNETLHCMCRFFQLKYLSLRNTNVSKLPHLLGNLKHLETLDIRATLIKKLPASAGNLSCLKHLFAGHKVQLTRTASVKFLRQSSGLEVATGVVKNMVALQSLVHIVVKDKSPVLREIGLLQNLTKLNVLLRGVEENWNAFLESLSKLPGPLRSLSIHTLDEKEHSLSLDNLAFVESPPLFITKFSLAGELERLPPWIPSLRNVSRFALRRTELHADAIGVLGDLPNLLCLKLYHKSYADNCIVFCHGKFVKLKLLIIDNLERIEKMQFDAGSVPNLERLTLSFLREPKYGISGLENLTKLKEIEFFGDIILSVVTKVASCVKAHPNHPRVIGDKWNIVTEYA >ORGLA06G0035900.1 pep chromosome:AGI1.1:6:2576108:2582511:1 gene:ORGLA06G0035900 transcript:ORGLA06G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tyrosylprotein sulfotransferase [Source:Projected from Arabidopsis thaliana (AT1G08030) TAIR;Acc:AT1G08030] MAFGGLALALALLVVSVNLLQVASSDGDHARCEGVVKGWAASVAGSEGKDGDKLSLRDLLFFLHIPRTGGRTYFHCFLKKLYTNAEECPRSYDKLRFDPSHPDCKLVVSHDDYSFMSKLPSERTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSAKLMTSRVLTKSRAVSTLDIWPWKYLVPWMREDLFARRDARGIDKVRSSHRVNAYDVEDMVMPLHQYINDPVAHEIIHNGATFQITGLTNNSYLSGAHEVRHCVRKHPDLGHFVLQVAKSRLDRMLYVGLTEEHEESARLFAHMVGAQVLSQSGALNLDIKDNQPTGNDSHSSTLDPEDEETNEHLNSTHGSQNNRALNAADTVKDDHGKGNLTVGKLMEAYEGCISKLRKSQSNRRKISLRKVEGANFSKEARRQVPEAVLEQIISLNSLDMELYEHAKKIFTQEHLMLKIQQSTVIQHKQLTDQKGWIEMVCSSWSCSPWKVVLFGLGVTITIALIILALTTRRRTFKLKVLVVDKLPQMSNETIDCFARKRERNTRCHYVSLN >ORGLA06G0035800.1 pep chromosome:AGI1.1:6:2568748:2572273:1 gene:ORGLA06G0035800 transcript:ORGLA06G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative WRKY35-like protein [Source:UniProtKB/TrEMBL;Acc:I1PZP5] MDGGDIHLLLSILADGEKQARQLGEPAAAADDEYHGGGRGEEYYRGVARQLQGTLARAMGVARAIEAAAFAGGGGGGGASGSRGTTGDRSDSPRSADESSGRTARDAAVAQQERHHDTIKRRKGLPRWTEKFRVPDASLEATPDDGFSWRKYGQKDILGAKFPRGYYRCTYRNAQGCPATKQVQRSDADLAVFDVTYQGAHTCHQKQRRAAAAGDQPPPPPPQADPSVELLVNFRHGLKVETNGLAPPPPPPPTTTTNFHDDQHFCFPSMPPFHAGVGPPPPPDDALGGGGCNNFSSPPFVSPAGSAAGESYFSMEHSYEPRGGGGHFVMSRGDSSELHEVVSAAASSSAVVDPAAAGGGFDYPLYHGEVDPHLPFPPLFGHASMYGQYRDA >ORGLA06G0035700.1 pep chromosome:AGI1.1:6:2558501:2566331:1 gene:ORGLA06G0035700 transcript:ORGLA06G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHLVESEKAAENAGPTYRNVLAKDAGLLRPPPGVESCWDVFRNSVEKYPDSPMLGRRRVVDDGKAGEYVWMTYKEVYDVVMKLAASISKSGISKGESCGIYGANCPEWIISMEACNALGVSCVPLYDSLGAGAVEFIVCHAEIQIAFVEERKIAELLKTCHATSKYLKTIISFGGVTNDQKEEAKNHGMSIFSWEEFLIMGGDHHFDLPEKKKSDICTIMYTSGTTGDPKGVMISNESLLVNITGADCVTRSIGEPFDHDDVYMSYLPLAHIFDRIFEELFISHGSKIGFWRGDVKLLVDDIAALKPTVFCAVPRVLDRIYSGLTGKISSGGILKKALFNIAYKLKLDSMRKGIKHEKAAPFFDKLVFSKVKERLGGKLRFIVSGGAPLSVAVEEFLRVVTCASVVQGYGLTETGAASFVAIPNDFSMVGTVGPPVQHLDARLESVPEMGYDALSSIPRGEVCVKGSVLFSGYYKREDLTQEVMIDGWFHTGDVGEWQPNGSLKIIDRKKNIFKLSQGEYVAVENLENVYGVLQEIDSIWIYGNSFESFLVAVINPNQQVLEHWAEQNGISGSLSELCENSRAKEYILSELTKIAKEKKLKGYEFIRAVHLDPLPFDMERDLITPTYKKKRPQLLKHYQGTIDALYKMAK >ORGLA06G0035600.1 pep chromosome:AGI1.1:6:2556360:2556840:1 gene:ORGLA06G0035600 transcript:ORGLA06G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:I1PZP3] MSISMTSTAAAVAVALLVVAGGAGLAAAGTSDLCGLAEMAFGECTAYVAGGEPAVSRRCCRALGDIRDLAATAAERRAVCACILSEMLAAGDGRVDSGRAAGLPAACNVRVGFIPTSPNFNCFRVR >ORGLA06G0035500.1 pep chromosome:AGI1.1:6:2554275:2554649:1 gene:ORGLA06G0035500 transcript:ORGLA06G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSHLRRAFAPALSHRRALPAPFLSRLAFLSTSASPDQAAAAAKKGEAAAGGAGKGAAAGEEEEEKKKGGDAGGARKEGEDGGGGGGGGEYVNKDTGEIGGPRGPEPTRYGDWERGGRCSDF >ORGLA06G0035400.1 pep chromosome:AGI1.1:6:2548413:2550535:1 gene:ORGLA06G0035400 transcript:ORGLA06G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HEADING DATE 3A [Source:UniProtKB/TrEMBL;Acc:I1PZP1] MAGSGRDRDPLVVGRIVGDVLDAFVRSTNLNVTYGSKTVSNGCELKPSMVTHQPRVEVGGNDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPGTTGASFGQEVMCYESPRPTMGIHRLVFVLFQQLGRQTVYAPGWRQNFNTKDFAELYNLGSPVAAVYFNCQREAGSGGRRIYN >ORGLA06G0035300.1 pep chromosome:AGI1.1:6:2522593:2525614:1 gene:ORGLA06G0035300 transcript:ORGLA06G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G06470) TAIR;Acc:AT1G06470] MHSKPEGDAAAAAAAEGGSPRSGYFRQRSMYAADPDGVGAATPRKAFDVENPPGGAGGLRPSESVTKLESLERAERAALAPAVVLKTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKIIMLFQTKGVENAVEMGWKDYFMRVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLMFAFAFRLESPSIKLLGIIVVISTGVLLTVSKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQKDSYGLKNPITLMSHVTPVMAIATMVLSLLMDPWSDFQKNTYFDSPWHVMR >ORGLA06G0035200.1 pep chromosome:AGI1.1:6:2510816:2511121:1 gene:ORGLA06G0035200 transcript:ORGLA06G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYCGGRVAAAAVLLALLVAVVAPPATTCADAARVLLGGELAAAAAATRVEEQDVKTTTTQAAAAAPPPPPSFARWRTAAGNAAAARFLGSVPSPGIGH >ORGLA06G0035100.1 pep chromosome:AGI1.1:6:2502949:2505007:-1 gene:ORGLA06G0035100 transcript:ORGLA06G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADDLARRLAAFIPLPQPPQKEQLSGVAAAVLDAGGRLGRAVGDVFRRLRIDDGLDVAFAQRHRRNGGSRIAAAAAVDARVSKDSGGGGVDAHDPVGVSGRFARSQGGRSWVQGSMNLSATYDSRTSDVESSVVARGDLWRAEASHSSAAAAAPPLFMVQLGPVLFVRDTTLLFPVHLSKRHLIWYGFERKNGVHSVCPAYWSAHRRWFFMSMICLNPFTCSFMDMQFPNGQLRYVAGDGFTTRAFLPLYRGIFQAHVKFPGEKKFSYSFKNRSGGSITPMVQWPDKSLSLGTVQTLSWKRCGLMLQPALQFSICPTFGGSRPGLSMELIHSVNENAGVVCGYSHTASPSAYASVSIGRSKLNGSAASSGLVLRVDAPLQSFGRPWFSIQMNSGLEF >ORGLA06G0035000.1 pep chromosome:AGI1.1:6:2495363:2499034:-1 gene:ORGLA06G0035000 transcript:ORGLA06G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEPMSRSSSSFFRRDAAGDEERAAALTPPPPPPPPADDKGGAPAGRRRWPSSVMRMKGVGSVMVGVVFLALLVLVHRWVGLDASFLRDSSMVSTSTRQWHPHHNISTPPLMTLPPFSCGNGTAAPATCPATPPSPPPTSKPATGGEPAASCPDYFRYIHDDLRPWRGAGITREAVERGRRHAYFRLVVVSGRAYVETYRRSYQTRDAFTQWGVAQLLRRYAGRVPDVDIMFACDDRGRVRAADFAAAPADAPPVFRYCRDATTLDVVFPDWSFWGWPEVNIGAWPATLEAVRRESARVRWPEREPFAFWKGNPGVARIRGELMKCNPASDGKDWNARLFSQDWNHAIHNGFRDSSIPKQCLHRYKIYIEGEAWSVSEKYIMACDSPVLFVNTPYQDILSRGLVAGEHYWPINRTRMCESIRAAVDWGNAHPAAARRIGEQGSRFVREQMAMDYVYDYMFHLITEYGKLLRYRPAVPANAVEICAESMACAAAAGRERECMDESVEGFVAGFDPCALPPPFTEEEKRKIAAREEEVLRKVAKLEEENM >ORGLA06G0034900.1 pep chromosome:AGI1.1:6:2491765:2491962:-1 gene:ORGLA06G0034900 transcript:ORGLA06G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLKRLLVALALAVLMFTATAVAGHPAPAKKHGHTPAHHHPAKKHGARKHGGHGGHTKPHHRM >ORGLA06G0034800.1 pep chromosome:AGI1.1:6:2483177:2485438:1 gene:ORGLA06G0034800 transcript:ORGLA06G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLPPCLRRALPASSSSTSAARRGVRLAEHGQSPPQSAPPPPSPATQKDGAVRTPGGGSTASSLNPAEVAHFASFAETWWDTEGPFKHLLVMNPTRVSFIRSILCKHFRRDPNSSKPLEGLKIIDVGCAAGILSEPLARMGATVTGIDAADESIKIARVHAASDPLTASIEYLCTTAEDLVKENKQFDAVICLEAAGIIFKTLKFRYSLSISERGAFLLSYISILENALVVLYS >ORGLA06G0034700.1 pep chromosome:AGI1.1:6:2476651:2481426:1 gene:ORGLA06G0034700 transcript:ORGLA06G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis O-methyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1PZN4] MLRRVAPSLRRAILTSSAHGRAGAQLTEPGLSPSHALLPQWRLCSSAASTNSPPPPPPPPQGTPRPAGGSTVSSLNPAEVAKFAAIAETWWDSEGPFKPLHLMNPTRLSFIRSTLCRHFRRDPNSSKPLEGLKVIDVGCGGGILSEPLARMGATVTGIDAVDKNIKIARVHAASDPSTASIEYFCTTAEDLVKEHKQFDAVISLEVIEHVANPSGFCESLSALTVPNGATVISTINRSMRAYATAIVAAEYILNWLPKGTHQWSKLVTPEELVLILERASISVQEMAGFMYNPLRGEWSLSDDLTVNYIAYGMKKVETPSESN >ORGLA06G0034600.1 pep chromosome:AGI1.1:6:2472004:2475371:1 gene:ORGLA06G0034600 transcript:ORGLA06G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNACGAAEARVLCCADEAALCTACDEEVHAANKLAGKHQRVPLLSDDGGAAPAAAAPAVPKCDICQEASGYFFCLEDRALLCRDCDVSIHTVNSFVSVHQRFLLTGVQVGLDPADPVPPVADKHVKSAGGSVDSATKHLQRNPTDLSGENSASLPSQNVINGNYSRQSSVTMAKTGQVNWTMSNNTIRSIDPPPKYSSEESPALLLASHTNTMAAYSNQISKDSDRIYNLPFTGGNGSDSLHDWHVDEFFSNSEFGFAEHGSSKGDNAKPGSAGGSPQCRLAEGLFVEGLLGQVPDNPWTVPEVPSPPTASGLYWQNNLLCPSYDSTMFVPEISSLENSQNNFTVSAGLKRRRRQF >ORGLA06G0034500.1 pep chromosome:AGI1.1:6:2466725:2469251:1 gene:ORGLA06G0034500 transcript:ORGLA06G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:I1PZN2] MSWQAYVDDHLMCEIDGNHLTAAAIVGHDGSVWAQSPNFPQYKPEEITGIMKDFDEPGSLAPTGLFLGGTKYMVIQGEPGVVIRGKKGTGGICVKKTGLSLILGIYDEPMTPGQCNMIVERLGDYLIEQGC >ORGLA06G0034400.1 pep chromosome:AGI1.1:6:2461913:2464934:1 gene:ORGLA06G0034400 transcript:ORGLA06G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEAAGGRRGVAAGERRKAKAKEAAVGAMARALFYPTLLYNVVRSKVQAEFRWWDEVDQFILLGAVPFRRDVPRLQKLGVYGVITLNEPFETLVPSSMYQSRGIDHLVIPTRDYLFAPSLVDISRAVDFIHRNASCGRMTYIHCKAGRGRSTTVVLCYLVKYKNMTPSTAFEHVRSKRARVLLTRSQWRVVQDFSKKNAEAELPTVTSHSAAASAAGNVVSVTEADLESSEVTAANIPDITEHASLSSHKTTPTKPMTNMLSCLFPSLK >ORGLA06G0034300.1 pep chromosome:AGI1.1:6:2456019:2460459:-1 gene:ORGLA06G0034300 transcript:ORGLA06G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:I1PZN0] MASPPTASASASEAAESGRRSAPGPIDVPSPRDHLHHLLDRRDTPRVVHVEGTTMQRQRGEAAGDAAAAAAAAKPEVKLVTGDGGYVLEDVPHVCDYLPDLPTYSNPLQDNPAYSVVKQYFVNPDDTVCQKAIVHKDGPRGNHFRRAGPRQRVFFESDEVHACIVTCGGLCPGLNTVIREIGGYRGFYACNTIDLSPKSVNDIHKRGGTVLGTSRGGHETMKIVDSIQDRGINQVYVIGGDGTQRGAGVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAGSAENGIGLVKLMGRHSGFIAHYATLASRDVDCCLIPESPFYLEGEGGLFRYLEKRLKENGHMVIVVAEGAGQKLINETKESMGKDASGNSILLDVGLWLSQKIKEHFKKIKTTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTVGQVNGRHCYIPFYRITEKQNKVSITDRMWARLLSSTNQPSFLSKKDVEDAKMEEERASKFFDGPPPNPKVEDKVASNGKAVK >ORGLA06G0034200.1 pep chromosome:AGI1.1:6:2451378:2453067:-1 gene:ORGLA06G0034200 transcript:ORGLA06G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWGGLGQAATVAQLVGADVGGLISSIIQAAATARQNKRECDQLARRVVMIADLLPHLQDAEVMRRPEVRRPLVELGDTLLEAHELVASCQGRSAAYRFVMAGRLADRFRDVQSKIDSYLIVFPFIAHIDITRRLDQIYRILAPNDTAAASSSSSAGSSQSDQIYNILVSNDATAASSPSSAGSLQSPDVLEFARISQGDGGEEFTVKELVAATNNFANEIGRGSCGSVYKGRLRDGREVAIKSLVKTSPDHGREESLMRELAILSRLRHDHIVRLLGFCVVREKKRESTLLLSFRKKKKKAAERQAGELLLVYDYMENGSLADQLHGHLSSSSSSSPVMASWKMRIKMLLGVSRGIQYLHHGATTTAIIHGDIKLSNILVDSSWVPHLTDFGAAVINGMERPSTVVHGTAGYIDPELYSTMNQTRSSDVYSFGVVMLEMLTGKRPIFIDRKEEGEVTNLVAFSLPIIEDGELGRLLDRRPAEPTARQLEALEMVARTAARCVQLQRKERPAISEVVAILETALDLLLRDG >ORGLA06G0034100.1 pep chromosome:AGI1.1:6:2442004:2450847:1 gene:ORGLA06G0034100 transcript:ORGLA06G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent peptidases;nucleotide binding;serine-type endopeptidases;DNA helicases;ATP binding;damaged DNA binding;nucleoside-triphosphatases [Source:Projected from Arabidopsis thaliana (AT5G50340) TAIR;Acc:AT5G50340] MPHPSAAAMLPSPSSLLRLLRRPHPRLLPPPPPLLTRFLSSSSPGDAAGWASYDPLTDSLAPPAAAAAASDSEASAEGEAWGVFDAVTGRIVMKEHPPYSQPPPPGPDEERSTVGRRRSAGVKDEARWSSVAAVGKARGKAGKERASYVCGNCGEGFSQWWGTCRHCEAMGTLTKYVPGSDPGASVGSHHAFRSWIPQKSKEMVPQSLQQVTKGVDQSEWRIPLSGNFGMEIARVLGGGVVPGSLILVGGDPGVGKSSLILQLASIMSENIGAGESSAVVYVSGEESIEQIGNRADRMSIKSRNLYLYSSTDIEDILDKIQPLSPRALIIDSIQTVYLRGFAGSAGNMTQVKECTSALLRFAKLTNIPVILIGHVTKTGDIAGPRLLEHIVDVVLYMEGERCLSHRLLRSVKNRFGSTDELGVFEMSGYGLQPVLNPTEMFLTEHDSDSEILAGLAVAVVLDGSRTFAIEVQALCVSGSPRNGEVVGIPRNRADIIISVLMKQAGLKLQDNAVFLNVVSGFMLTETAGDLAIAASICSSFLEYPIPNDIAFIGEVGLGGELRTVPRMDKRVLAIAKLGYKKCVVPKTSEKLLRPLNLELEILPCSNLKEVINTVFRPQG >ORGLA06G0034000.1 pep chromosome:AGI1.1:6:2435215:2436909:-1 gene:ORGLA06G0034000 transcript:ORGLA06G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTHGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVTLLGR >ORGLA06G0033900.1 pep chromosome:AGI1.1:6:2429015:2433849:-1 gene:ORGLA06G0033900 transcript:ORGLA06G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G13700) TAIR;Acc:AT3G13700] MSLSHHLPPPPGDPYYVYAPHPYPDPQRQGVLTLFVAGLPDDVKPREIHNLFSSRPGFDHCLLEYTGRGNQVVAFVSFVNHQAALSAMSALNGTVFDPDTGDRLHIELAKSSSRKRHGDGGVYRVVDKRLKRKERAADHENAGDGGNDDDAWGEDDNGGNDGDGGSDEPLDTENDDSDEKNELPAERSSGQPGLKQHRGQSLSDDQPDKLSSDIPPCSTLFVANLGHSCTEEELKEVLSKQPGFHLLKMRRRGGMPVAFADFTDIESSTAAMDALQGTVLASSDADGLQIEYARSKMRKS >ORGLA06G0033800.1 pep chromosome:AGI1.1:6:2426461:2427897:-1 gene:ORGLA06G0033800 transcript:ORGLA06G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLGRFGVEVTARTLVRASDPPPGFPAVLPVSNLDLILGSFNVSLIVVYPAPARGFAAVAAAVRAALPAFLSRFFPFAGRVVADAATGIPEVACDNAGAELVLADAGVALADVDFADADRSLGTIQLPYEQGVALSLQLVRFKCGGFSMSWGTNHLLVDGHGLTALPTAWAEMLRTGGLSWEPHHDRRSLFRPRSPPRHGASLDAEFTRYAPGSLVNPLLAAALVRRNYVVGADDLDRLRAAASTASRRATRLEALSAHVWKLLAAATHGSDARCRLAWLVDGRRRLDPAKYDPNLVSSYLGNVVTYASRESPVEAITSSPLADVAAMAGAAIGEVFRQERYEELVDWMELRKAAAFKNGEKWTETVGIGTGSPAVVVSAFVPFRVDGDFGFGSPALVMPWVRPGRLGSAAMTVARSPREDGSWVVSARLWPRLADAIEADPDAVLKPATAERLGLAGRAPAAADVARHASRL >ORGLA06G0033700.1 pep chromosome:AGI1.1:6:2423598:2424198:1 gene:ORGLA06G0033700 transcript:ORGLA06G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GMKTRERGRGRCIEPYRDVSIAAVSSPLESTIFLGGRIEEQEERGAALTLELVSDVDGRSFAEDGDVAEGGLGEGGGEANVVDDAEARVHAGMGNKVNGEHRA >ORGLA06G0033600.1 pep chromosome:AGI1.1:6:2419261:2420175:1 gene:ORGLA06G0033600 transcript:ORGLA06G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNVVVLPTVVSRSCRLTVVVEPFDKVVEIKQKVESCYGIPVTAQRLLYWNRELADDHDIEYYPIFDGSHVLLLLHWQVAARFCWIHGLAKWSGGDTTHDMVHVTAYLPPASWGRKVTVFARREESVAALKRRIHGVQKMAMPLPECMWLGVNDFVCGGLMVMMDHWPLGAYVEFDSGVVEVTIVNCNKMVEAGSSSGSNRNTNVDANDNKIVIGLLMEGSRSQHMDFLLEASPADMVATLREQLNDNFEGSPETPLLAEGDYHFELNGVAMNEELSLEAHGVVESGETIMIIFGRLPAPGHE >ORGLA06G0033500.1 pep chromosome:AGI1.1:6:2412385:2413809:1 gene:ORGLA06G0033500 transcript:ORGLA06G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRFDLEIASRELVRASRPPPGFPPVLAVSNLDLILGPFPIYLVSVYAPPPGGVAAVVSAVRAALPAYLSHFFPFAGRVVRDPATNIPEVACNNAGAELVVADAAVPLAAVDFAQVDRSIGLMRVAFDASLPLSLQLVRFACGGFSLTVATNHLLADGRAFIVLLNALGEMVREGRLTSEPLLDRSLLMPRSPPRFSPSLDEEFSRFTPATMINPLMAAAIQRRLYRIEAADLERLREEASAGGGGGRRATRFVALCAHVWKLLARAVGDSDTHCRMAWIIDGRKRLEPPSVGGGEGGALDRYMGNVVTYTSREASVEEVLGAPLHAVAGMVRAAITAAMTRDRFQQLVDWMETKKAAAFKDGGKWTEAVNLGLGSPAMVISGLLPFAIDGDMGFGKPRLVMPWLQHGRLGSASATVVPSPAGDGSWFFAGTRLWPRLLEVVEAAGPDCLLKPATAASLGLAYPAGAHGSRL >ORGLA06G0033400.1 pep chromosome:AGI1.1:6:2407617:2410452:-1 gene:ORGLA06G0033400 transcript:ORGLA06G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: endoplasmic reticulum, plasma membrane; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Saposin B (InterPro:IPR008139); Has 137 Blast hits to 137 proteins in 50 species: Archae - 2; Bact /.../ 0; Metazoa - 41; Fungi - 10; Plants - 36; Viruses - 0; Other Eukaryotes - 48 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G29520) TAIR;Acc:AT4G29520] MARGGGVAVAMAVAVAAVVLLHHPAASAAAAGPKKVATAARKEDIPYIRCQVCERIAREISAQVAKKQQALPATKKVPEIEIIEIAENVCNLKKQEADWMLKIDIVEKGDKLELVEQDEEGHCNAECKTIERACQEVMGYADTDVAELVYKKKPSADQLVKFLCKDLSEACVVDPPPVPKDRVPGEPFAAKPSKDAEMDRILKSMEGIPGAPSMKMYSRDDLMKNNFGVDGDDDDDDEDEDDDFPKNLGNVFKDKGSPKKDLKQQVVKQIKDTGKKLKGHVNKVSKVVKKWWQGKKKPSKSSKTEL >ORGLA06G0033300.1 pep chromosome:AGI1.1:6:2406363:2407034:-1 gene:ORGLA06G0033300 transcript:ORGLA06G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPPPSPPDGEHSFGHEAIALSFFVACVAATVVMASSMCSACGRKPKADDPAPDAAAAADVNAESHGDGGEEGEEEEKAPVVTLSPELATHGPIAGVAPPPSAAAKRRMSMTMSLSKNLSMNIPDKMRLSRRERRDKVEPEDTLWKKAIILGEKCKIPGEREGEADADADDLAAGSFRRSSYSRPMSRSISLAVHQSHVDAPPATTAAAAATAGASSAGSS >ORGLA06G0033200.1 pep chromosome:AGI1.1:6:2402549:2404351:-1 gene:ORGLA06G0033200 transcript:ORGLA06G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPHRGGSPSPRFTLQPSRLPPEDILFCVDVDLETRSEMRIAPGPAAAAAASPGAAGASSGAAAASRQAARPPVKRMDAVKQALLLFVHSKLTMCPDHRFAFASLGDTVSLVKKDFSSDAGSAVEAIQSLDASETRYAMADLTQLFKIAYQEGKRAELQGRLLRVVLIYCRSSTKPQHQWPIKQKNFTLDIIYLHDKPTADNCPQKVYDALVDALEHVSQYEGYILETGQGLARILFRQTCILLSHPLQRCIQDDLDIPKPLAKKNMVTEAAQNEDGMPVSTQ >ORGLA06G0033100.1 pep chromosome:AGI1.1:6:2401010:2401860:1 gene:ORGLA06G0033100 transcript:ORGLA06G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPREGGGGNGGDGEAREERVSSGYYSSSSAARQHGSEQPPPTQQMERRSSAAAAEEEGVGVVLVGSGDPGRIPAAVFERDTSESNKDWSMMSTESVFALQVAPSSDFTGFFLAHPELMDIATPPRSSSSSAAAAAAAGEAVGHAHSAQFESIPELGEATMRIQGQYSFAFPNLVEVKRHSAKNPQEDQPMSATMATAAAAAAAETTAPAPVRAETSSKPEEAPAKAATKGGWLPCFPCC >ORGLA06G0033000.1 pep chromosome:AGI1.1:6:2397415:2399742:1 gene:ORGLA06G0033000 transcript:ORGLA06G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGIGRRGLPSLLGSSSSESGGIGQEHIASDITQLIGWTPLVELKRIASKDGIDARIVGKVEAYQPLCSVKDRSALRMIEDAEEKGLITPGVTTLVEPTSGNLGLGLVLVALRKGYRFVAVMPGQYSFDKQILLKYMGAELFLSDPTLGFQGLVNKVEQLKKELPNVHVLNQFSNPANQEAHMRLTGPEIWKDTAGKVDIFVTGSGSGGTVSGVGKYLKLQNPAVKIICVEPAESPVISGGEPGKHKIQGIGPGLIPDMLDTSVIDEVVTVNTDEAMVNARRLAMEEGLLMGISSGANLAACLKVASREENKGKMIVTMFPSGGERYMNSDLFAAVREECNAMTF >ORGLA06G0032900.1 pep chromosome:AGI1.1:6:2392473:2394608:1 gene:ORGLA06G0032900 transcript:ORGLA06G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGRRGIPSLLNSSSSDEHIATDITQLVGWTPLIELNRIVRKDGVNARIVGKLESYQPLCSVKDRSALRMIEDAEEKGLISPGVTTLVEPTSGNLGIGVAYNALLKGYRFIAVMPAEYSLDKQMLLTYLGAEVILTDPTLGFQGQLDKVEQIKNDMPNVHHLDQFKNAANPEAHFVWTGPEIWKDTAGKVDIFVAGSGTGGTISGVGKYLKMKNPAVKVICVEPAESPVISGGKPSRHKIQGMGPGFVPKNLDISIVDEIITVTAQDAMANAKRLAREEGLLVGISSGANLAACLKVASRKEYEGKMIVTIFPSGGERYMNSDLFAQAREECSAMTF >ORGLA06G0032800.1 pep chromosome:AGI1.1:6:2389152:2391161:1 gene:ORGLA06G0032800 transcript:ORGLA06G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRGIGAKLGKVNHEKVTSALLLGSFVVLGWRSWEQQHEIDELEARKASLRAANTAMSSAMWAWREELFALAAAPSPPISASRLRVIYGEEQPPASPASKKPGADAEEEPFAIA >ORGLA06G0032700.1 pep chromosome:AGI1.1:6:2383393:2387168:1 gene:ORGLA06G0032700 transcript:ORGLA06G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDKENLDLSDLNASLPAAAAALSAEDRAGLVNALKDKLQSLAGQHTDVLEALSPNVRKRVEYLREIQGQHDEIELKFFEERAALEAKYQKLYEPLYTKRYNIVNGVVEVDGGNDEPASENAAEGKDADAKGVPDFWLTAMKTNEVLSEEIQERDEPALKYLKDIKWARIDDPKGFKLDFFFDTNPFFKNSVLTKTYHMVDEDEPILEKAIGTEIEWYPGKNLTQKILKKKPKKGSKNAKPITKTEVCESFFNFFSPPQVPDDDEDIDEDTADELQGQMEHDYDIGTTIRDKIIPHAVSWFTGEAVQAEDFDDMEDDEEDDEDDDEDEEEEEEDEDEDEDDEEEKSKPKKKSAGKPKLPSKGGAQGGADQPADCKQQ >ORGLA06G0032600.1 pep chromosome:AGI1.1:6:2381125:2381322:-1 gene:ORGLA06G0032600 transcript:ORGLA06G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPMVALRAALVGGVAAFAKIGAAMKAAGGAKVGAAAAAMTAAATAAISSKDTNKDNPKTETK >ORGLA06G0032500.1 pep chromosome:AGI1.1:6:2376346:2380264:-1 gene:ORGLA06G0032500 transcript:ORGLA06G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSPLEAIARLLTDLARRRSHPPPGGGRSGDSLAASVSSLATALNPHGGGASSSSSSGTRVLDAVLSLMCFDPMEVDRARVDCLVRTTVSALSASVSCRVDHIDGAEMLTVGSSVAPGDCRELVHSCAALLEKLGDPDVADHSYDLLYAVVKAALLSPRYRCLFPLPYYREDEDSTCDMGTISSVLTRHPTYQVLPNDYTIHLRGLGAVLELQTAVVSSVLDVLFEPMAWGISMELGQKLPFSYDYFPHQHIDLLAILTGPLSCRKFVDLTSYIDSQSHSSKGSVKYNSSWSMIVNFPLWFNFATALLFHREGSHGYLSEALSMEIISESIRDVNLAHRAAMYLSWVLCPSNEDQRQILAGNILELSHSWARNNKKGPSHVHHTSTVNHRRKLRIPTVGDTEKLHLSTNPVSSLIKEFDDRCVKFCSKTANSQVQDEELSDLPIHFNFLHLWIPLGILLVSSSFVNDQDCDMLLHYSSTGQVLESNEVQRKTKDHICNDSFSASCKGFTETWASAGASLVFGWLDLIINMSAVIFEREDICDHFVSQLKSKTNPYLLKCLYSLLEVLDEASQRDFLVDLHDRLLNWNKKGQSFDGFEAFEDIILRMNKKFHFRT >ORGLA06G0032400.1 pep chromosome:AGI1.1:6:2372285:2374157:1 gene:ORGLA06G0032400 transcript:ORGLA06G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRLTLLAMSLLILSPAMDGGGGGTVQAQIVPAVISFGDSTVDVGNNNYLPGAVFKANYVPYGVNFGSRRKPTGRFSDGKIVTDITAETLGFESYAPPYLSPQAKGDNLLLGANFASAASSYHDDTAAMYDAITLTQQLKYYKEYQSKLAALIGQKNATAILSDALYIVSTGTGDFIQNYYHNASLSSRYNVNSYCDLLISIFSGFANELYRLGARRIGVTSLPPLGCLPATIRLYGKGRSGCVERLNGDAETFNNKLNITVEALAKKHSDLKIAIFDIYTPLQNMSESPASQGFLEARKTCCQTGTRKTRVYLCNPATAGLCRNASDFVYFDGVHPSEAANLVIAESTISAGISLVT >ORGLA06G0032300.1 pep chromosome:AGI1.1:6:2367381:2368682:1 gene:ORGLA06G0032300 transcript:ORGLA06G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQSSAWSDLRPELLDIVLHRLHSLADRIRFRAVCRPWRHIALAQPLPPLMPWLALGNGDFPMVKSTAWMFRTMLVAMVPVTIGSLSCTTMVSAPXXTLSPRLQFSYLAYRKLRLTMSCSLMPSFTXQWCPQHPLTHHQICLRPCXSEIFVTPYFPFASHXSTQVHSMGVGKGCXYLALHSVMASFMLLIQISCYTSLISLLVLVATDILRXKKXLLREELQIWPQDIPLSKEDYHIIRRYLVECDGRLLLVRRWMQIRPFAKCDDLLETACTCWFDVFEADFTVQPCQWRRLNTLGRRALFIGKYCSKSVSSEECEEVKEDSIYFMCDYVKSDQSVDPLRDSVLVHEQLVLVKYVLHEIC >ORGLA06G0032200.1 pep chromosome:AGI1.1:6:2362696:2363955:1 gene:ORGLA06G0032200 transcript:ORGLA06G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSPQLGETMPSKKRKVELHADDQPPPTQAIMATPEPCSGRPWPDLPSELLGLVLLRLPSHADRVRLRAVCRPWRSSARVELDLLPPPLPWLLLRGGAFITLPDGAAHRLPAVPSDATHLASTGSGLLIVHGDGMLSLMNPSSLATTPLAALAAVLPKFISSIVDIASFQGKLYYLTSDVRKRQEELYIFGVDNAKQIGIRCISSTLKDIGEESWFDPCSTERYVTEQYLVASNDRLLMVRRWINLPLIYPSDSGIVKRTRRFEVFEAADLSSGCGRWIKVDTLMGHALFVSKGCSKSLSAGAEEDCIYFMHEDIKNGKPEDPFLDSGVYNMRDGTVAPLLTETVVAEPLAVHGGPWCPTWLFPSET >ORGLA06G0032100.1 pep chromosome:AGI1.1:6:2360096:2361289:1 gene:ORGLA06G0032100 transcript:ORGLA06G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRPCNGGSWPDLPSELLGLVLLRLPSHGDRVRLRAVCRPWRSSARLERKLLPPPLPWIFLPDGAFLTLPDGAAHRRLAIPGDVAHLVPTGSGLLLAHNDGMFSLMNPSSSATTPLPDLAAVFHGEIKCKYPDTAFQLGQRRITPIIKAVVSEHFIAFYFNSSKVIITSGQPHTVVKWSPPDSSYILDIALFQGKLYCLTFDIENCQEELYILEVGDEEPMVSDVKCIHSTPRDVGDEDEAWFNPHSTDRYTFHRYLVADGDRLLMVARWINLNLPPMLPRDSSIKRTRRFDVFEAVDLSSEHGRWIKVDTLMGHSLFVSESCSESLTAGAEEDCIYFMNDGITNRIPKDPLSDSGVYNMRDGMVAPLMPETAVTEHLAAHDGPWFSTWLFPTET >ORGLA06G0032000.1 pep chromosome:AGI1.1:6:2357926:2358876:1 gene:ORGLA06G0032000 transcript:ORGLA06G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGSRPVFVLGRHPARAPGSCPHTPSLLSXPCSTKSSLSPMALQCSAAAPSPPLPWLTLLDGTFLSISDGEIHRLPLSDDASYHCSIDNWVFLSHDDGGFSLMNPFAKATLQLPKLDTIWCHHLWYAAPKFPLFYKLAVPSPLDFSPTSLVVALIMNRSHQKALCICQPPVATESFRVEGSTMEGMQDLTFLDGKLYVLNNFNKLFILEIDESHIDYLIMLRYYLVESGGGLLMVTRYVGIVLPLAEPNSFKHSRTLSFKVFEADLTTGSRMWRRVTSLGGQALFVGTHCS >ORGLA06G0031900.1 pep chromosome:AGI1.1:6:2354997:2356178:1 gene:ORGLA06G0031900 transcript:ORGLA06G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAATQSSSWADLQMDILGLVLRRLPSLADRVRLRAVCRPWCSNAQLLTLPPPFPWLNLLDGTFLSISDGEIHRMPLPDDASCYGSIDNWLFLTDSDDGCSLMNPFSKATLQLPKLARIWHHERGNAYNACTRLFYKLAVPLPLDLSSDSLVAVLINDPLRHSVVCIVHRSIATDSFRFHDRPFKNNFYDIAFCGGKLYALSCGKLFTVEMSEVHIEKPKVPHVECIVEDFPIESHSQPCPENHICVTWPYLVESGGRLLNVIRLVGVPFPPEDDDDIFKDSLTFSFEVYEADLNTGSRMWRRVESLGDQALFVGRHCSKSLSAAEYVGAQEDCIYFMCDDYFRSDEDPLCDAGIYNMRSGVITPLLQENTAPRLHPTGEGHPTWFFPADGAM >ORGLA06G0031800.1 pep chromosome:AGI1.1:6:2351183:2351695:1 gene:ORGLA06G0031800 transcript:ORGLA06G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLAEEPVTESHFQPCRESHPRMLWRYLVESGGRLLRVTRLFGYPFPLSHDDALEDPRTVLFVVYEADLSNGSRMWRRVESLGSQALFVGTHGSKSVPAVECGAQEDCIYFISDYNRPYSANPLGDSGIYNMRNGMITPLVRLVSGNRHSGVYYQWRHPRWFFPADGAI >ORGLA06G0031700.1 pep chromosome:AGI1.1:6:2346710:2348373:1 gene:ORGLA06G0031700 transcript:ORGLA06G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKCLLLLALFLLLGTHGGEAQPLVPAVMTFGDSSVDVGNNDYLKTIIKANFPPYGRDFKNQVPTGRFCNGKLATDITAETLGFESYAPAYLSPDASGKNLLIGANFASAGSGYYDHTALLYHAIPLSQQLEYFKEYQSKLAAVAGSSQAQSIINGSLYIISAGASDFVQNYYINPFLYKTQTADQFSDRLVGIFKNTVAQLYSMGARRIGVTSLPPLGCLPAAITLFGYGSSGCVSRLNSDAQNFNGKMNVTVDSLSKTYSDLKIAVFDIYTPLYDLVTSPQSQGFTEARRGCCGTGTVETTVLLCNPKSIGTCPNATTYVFWDAVHPSEAANQVLADSLLAEGINLVT >ORGLA06G0031600.1 pep chromosome:AGI1.1:6:2341218:2341799:1 gene:ORGLA06G0031600 transcript:ORGLA06G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGHRASAVRRYPPGCGRDHRTAHPPGQPGPSTTATNLLRPPPNASARAATKSPKLARQPLLAVATEGPDRGEGNGLVAGIEVPVATTEVVLVRRASAVRRYPPGCGRGAAASKPSKAQSAPRNGEAESIAGDQKVEMDAGSNGWMDCGGDAGGVRQEEGGGRPWDLTGLMLPPFLPWARHGRRSQRQKLL >ORGLA06G0031500.1 pep chromosome:AGI1.1:6:2336803:2339858:-1 gene:ORGLA06G0031500 transcript:ORGLA06G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYRAYAPPSSLGRDPQGDFPRHPPSEGSYYASRMAALHGTSDILRHDVPLQPRAYGLDGAARASHPALAGLGGLAAGTTARGPSPLEDPALVRRSSSLGKTASIPDVEHPRPLLNLDGPREDESNILFVDGLPTDCTRREVAHLFRPFVGFKDIRLVHKEPRHSSDRAYVLCFVEFSDAKCALTAMEALQEYRFDERKPDAAVLNIKFARFPFRPAAAPHDDRRRLTLH >ORGLA06G0031400.1 pep chromosome:AGI1.1:6:2329812:2333368:-1 gene:ORGLA06G0031400 transcript:ORGLA06G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGKLALPSHESTIGKFLTQSGTFKDGDLLVNKDGLRIVSQSEEGEAPPIEPLDHNQLSLDDLDAIKVIGKGSSGIVQLVRHKWTGQFFALKVIQLNIQENIRRQIAQELKISLSTQCQYVVACCQCFYVNGVISIVLEYMDSGSLSDFLKTVKTIPEPYLAAICKQVLKGLMYLHHEKHIIHRDLKPSNILINHMGEVKISDFGVSAIIASSSAQRDTFTGTYNYMAPERISGQKHGYMSDIWSLGLVMLELATGEFPYPPRESFYELLEAVVDHPPPSAPSDQFSEEFCSFVSACIQKNASDRSSAQILLNHPFLSMYDDLNIDLASYFTTDGSPLATFNTSNRYDDR >ORGLA06G0031300.1 pep chromosome:AGI1.1:6:2328327:2328737:-1 gene:ORGLA06G0031300 transcript:ORGLA06G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAGDVRGWLLPQIVMAVASAAALALALWRQQPGGRGGSGGPAAAAPYALLLLLLWCLRAFERAAGAGDAAAQGRLRLAVWLLSSALTVTFAARVAPLMHGAAAVLVWAMSAATICGGFYMLDLFPLHRRLDRIN >ORGLA06G0031200.1 pep chromosome:AGI1.1:6:2322898:2323320:-1 gene:ORGLA06G0031200 transcript:ORGLA06G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTSDPLLNPPAPASNRGNGGVRRVPWASLIGFVALAINFALCIYRAEGDRGAIAFVTFAYLNLLLLFWCIRQFDQAPHGSAARGRIRAAVWILATSLTAVFTWKVAALMPLPVAAVAWVMAAATVVGGFYGFFIHEDK >ORGLA06G0031100.1 pep chromosome:AGI1.1:6:2318599:2318961:1 gene:ORGLA06G0031100 transcript:ORGLA06G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAATTCIGFILLTASSIAAIHRSHGEITETSFIVVSYLSLVLLFVFLRRFEAAPRNSPARGGAKAGVWVVTALLAAVFSWRVSALMPWPVDAIIWVMAASTVLGGFYALFLHHPGVD >ORGLA06G0031000.1 pep chromosome:AGI1.1:6:2313934:2314287:1 gene:ORGLA06G0031000 transcript:ORGLA06G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLAYVGFGFLTLNSLLAINRSHGDIAGIAFVATSYLSLLLLFWCLQQYERAPARSPAKSRSKAGVWFSSSLLTVVFSWRVSALMPWPVAAAVWLMAASTVVGGFYTLFLWSGRQ >ORGLA06G0030900.1 pep chromosome:AGI1.1:6:2309717:2310076:-1 gene:ORGLA06G0030900 transcript:ORGLA06G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGRSAWISRAGLGILTVNSGLAIYRSRGDAAAVAFVLGSYAALLLLFSCLSAFERAPPGSPARGRLKRAVWALSTLVTAMFAWKVAALMPPPVAAVVWALAVATSLGGFLAFFVYT >ORGLA06G0030800.1 pep chromosome:AGI1.1:6:2304536:2304892:-1 gene:ORGLA06G0030800 transcript:ORGLA06G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNDRHSSLLTKVGFGALTFNSLLAIYRSQGEPASVAFVVAAYAALLLLFYFLGKFERARPEERGKVKAAVWSLTTLLTAMFVSRVAPLMPPLVAAGVWIMAAATVVGGFWAFFLHP >ORGLA06G0030700.1 pep chromosome:AGI1.1:6:2300970:2301864:-1 gene:ORGLA06G0030700 transcript:ORGLA06G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASCSLQPPLIPRSAPSILLRVARPVVGARCLLLVHAPGPKQPCGGAVLCREMPTGRRRPRDEQPRPSASLSNMEEEDEVPPCVGPSAD >ORGLA06G0030600.1 pep chromosome:AGI1.1:6:2299676:2300023:-1 gene:ORGLA06G0030600 transcript:ORGLA06G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDRHGALTKLGFGALTFNSALAIYRSRGDPATVAFVAGAYAAIVLLFYFLLRFERRRDDRGRTKVVVWVLTTLLTAMFAARVAPLMPPLVAFVVWAMAAGTSVAGLWAFFLNL >ORGLA06G0030500.1 pep chromosome:AGI1.1:6:2291699:2292067:-1 gene:ORGLA06G0030500 transcript:ORGLA06G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERNAAAAAGLVRAGLLVLTLTSGAAIYRAAGDAGAIAFVATSYATLLLLFRYLRAYELAAAAEREGLRRKVWYICTVVTTLFAWKVAGVMPPAAAAAVWLLAVATSAGGFVVLFHHRRRP >ORGLA06G0030400.1 pep chromosome:AGI1.1:6:2286937:2288843:-1 gene:ORGLA06G0030400 transcript:ORGLA06G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast-localized ISCA-like protein [Source:Projected from Arabidopsis thaliana (AT1G10500) TAIR;Acc:AT1G10500] MALASGTSCALPGAARPHLAVSPSPPASSIRFCRGGSRGGRAVVSLRASVPPAAAAATTSGSIAPAISLTEKALKHLNKMRAEQNEDLCLRIGVRQGGCSGMSYTMEFEDRSNASPDDSVVEYDGFAIVCDPKSLLFMFGMELDYSDALIGGGFAFQNPNATKTCGCGKSFATGKETESTATACNN >ORGLA06G0030300.1 pep chromosome:AGI1.1:6:2282979:2286484:-1 gene:ORGLA06G0030300 transcript:ORGLA06G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1995) [Source:Projected from Arabidopsis thaliana (AT5G27560) TAIR;Acc:AT5G27560] MATSSPPCACAAPLLRRLLLPAPPRAPSPAAPPRLRLPLRRSPPPARAKFGKFEASDAAPTEASAEEAESAAAAGDGAAEQKAEEDDSCLPSDLEGAIWQSGKASADFVNSGGMRAIAELLIPQLEFLNEEGAQAEVWALSRIFLDTLVKETGQKVKAIFPDAGAAALLKYQWTDAEFKCASLSDRKPVDVEDEVVVMIIPDHQMVESVERIASQLSDDPIRPLVMWNPRLVSGDVGVGFNVRNLRRNFLSTFTTVYSMRPLPTGAVFRQYPGKWKVFYDDPKRPNRYLLARELVSRPDATDIEIIFGGGDEQSDEAPSLMNNVMGVFSSVSRFMRVISK >ORGLA06G0030200.1 pep chromosome:AGI1.1:6:2277952:2282402:1 gene:ORGLA06G0030200 transcript:ORGLA06G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVREENERLKTLLSRISHDYRSLQTHFYDVLQQGRAKKLPDSPATDIEEPEFVSLRLGTSRSKCKKEDKSTTSSEVKGSTEDFLKIKGGLSLGLSDCRVDANNSEKVQPDVMTLSPEGSFEDARDDTAETTEQWPPSKMLKNLRSVGAEAEDDIAPQPQVKKARVSVRARCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQVQRCADDMSILITTYEGTHNHPLSVSATAMASTTSAAASMLISGSSSTSLAAYPAAAASPALAFDASSKPPLIGGRPFFLPTAAAAAITSTPSYPTITLDLTSPAAAATSSHAAFSLSNRFSHTRYPSTGFTFSGSGPSSAPWPGYLSYGASLSAHPYNAGGGKSSSSFEAALSSINGSRQQGGGGGGGSAPPLYQMQQKAAAAAPPPPSVITDTIAKAITADPSFHTALAAAITSYVGKKGSPPASGGEDSKVGLKWGEHLGLGLTHSSPSTAAAAAASSSSQMFLQPSLGLSGSTTSASTSPVANREQAH >ORGLA06G0030100.1 pep chromosome:AGI1.1:6:2259352:2265641:1 gene:ORGLA06G0030100 transcript:ORGLA06G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTVVSMARSLVGGAIAAASSAARQEMSMLIGVQNDIWYIKDELKTMHAFLRAAEVTKEKDELVKVWAEQVRDLAYDIEDCLEEFTIHVKHQSLSRQLMKLRHRHRIAVQIRSLKLRVQEVRNRNMRYNFIKSAPSREMDDFSTNMEMTRYQAAHYVDEAKLVGFDGPKKEILKMISGSEDVEVQTIWIVGAGGLGKTTLAKKVYESSNITSMFPRRAWITVSQSFDVMDLLKDMIKQLLGKESLDNLFTKYKEVKIKENNLTDHLKEWLRNKRYFLVLDVLWSTKAWDCLKPTLWGNNREGSRLVVTTRNRDLAEGSSSPLVYPLQTLHREDATKLLLAKTNKSLCDINKDGMNETFEKILKKCGGLPLAIITIGGLLTAKDVKEWDGLYAQIPSELENNPSFEVMRQVLALSYKYLPSHLKPCFLYLSIFPEDFEIQRKRLVYRWIAEGFIRARDGVSIVDVAMKYFNDLINRSLMQPSRVNMEGTIKSCRVHDIIRDIMISISREEKFVCRIDDKETCLMEENIRHVAFYNSNSSEIAMDLNQVRSLTVFGERPKELTPLLCSPQVRMLRVLDFQGVRFGMTQKEMDHIGSVLHLKYMNFRCDYNLPNSSGYSKIYRIPRSIGKLQGLRVLDISNTCITSLPTEICELRSLNILRCTRKEYYEFFDPSKPIQCLFALSCIPVTMALADSDQRHEITAELHMACSTRWFSTYGVRVPMRIGNLKQLQELGYVDIRLTSSKAVKELGELSQLKKLRLLTNGATQRKCKVLREAIEKLSSLQSLRIDAFDVSSLRNLEWLHYISSPPPFLKNLTLEGCIKEIDWLRELTHLVKIHLSGSKLKEGKTVQILGELPNLMVLQLRWGAYVGVKLLFRAEAFPKLRKLEIRFLEDLREMRFEERTSPQMETIEISHCRLESGIIGIKHLPKLKEISLSWNCKVARLGQLQEEVKANPNRPVLLLYDDPSKHDLGDTQEGSGTPVEANEPPKNVGESSQSNQGEDDDDDQQQPITSTEIMPADADPAVSS >ORGLA06G0030000.1 pep chromosome:AGI1.1:6:2258326:2258856:-1 gene:ORGLA06G0030000 transcript:ORGLA06G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTKSAQPKKLILATTLLSNSPHCSRSPHPGHELAEKHSASATGGRRRLSSLRRRCRLCFSDSRGCRLAQVLNPIAHEVVLKITGFGGCGGLTAAAAAGAGVLASATEGGGGAGRRWGSVSARGRQQLQSAAMRRQGGVGEARGVAAAADLPTTAPLAHGGGGSPRAWRQSSAST >ORGLA06G0029900.1 pep chromosome:AGI1.1:6:2254601:2257000:1 gene:ORGLA06G0029900 transcript:ORGLA06G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPPLFLSLPLPPPPPLPHLLPSHRPAAALTLSPALSSRRVSSVCPVASQRHSDYFDPRAPPPPPPRDGYGGPAYSPPAAQGGQQNGRVFSTYSIYKGKAAMSLDPRPPQFVPLDSGAYKVVKEGFVLLQFAPAVATRQYDWTRKQVFSLSVWEMGSLLTLGPTDSCEFFHDPFKGRSDEGKVRKVLKVEPTPDGNSRFFNLSVQNHLLNIDENIYIPITKGEFAVIVSTFNYIIPHLMGWSTFTNSIKPEDSRAYTRPQSGPEYEWRR >ORGLA06G0029800.1 pep chromosome:AGI1.1:6:2248857:2251725:-1 gene:ORGLA06G0029800 transcript:ORGLA06G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYGLVKDELLHGIGGGQGRLYCEVKPTAAPAVITAAGGGAKSVKRRKREPSAAAMSAVTVAGNGKEAGGSNAANKRSSRFRGVSRHRWTGRFEAHLWDKGTWNPTQKKKGKQVYLGAYNEEDAAARAYDLAALKYWGPTTYTNFPVADYEKELKLMQGVSKEEYLASIRRKSNGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPPSSSSAAGTPHHHGGGMVVGADRVLAPAQSYPISAAADDDVAGCWRPLPSPSSSTTTALSLLLRSSMFQELVARQPVVEGDDGQLAVVSGDDADADADVKEPPPESEYGEVFASDEAAAAAAYGCSMYELDDSFALIDDSVWNCLI >ORGLA06G0029700.1 pep chromosome:AGI1.1:6:2236049:2237571:1 gene:ORGLA06G0029700 transcript:ORGLA06G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELPSASPRRLPCPPRRGGRRRRMAAAAAARRXALLLGQPPGLQGSDPAALLLRRRQPPAFRIRCPLSAVLPRRRARRLPAPRREAGLPPRARRRRHRLLSGCRLPRRQVRRGRVLRQRRRHAPPRQRRRAPHRGVLAARAGARGEHAPGASSRRAGHXAXRXPRRRRRRRGGRWCGHSPWGRRRAVGVAVHQGVGRGGAGRLSGRPGSRAADVXPLEDSASNGRXPXARPHDLAQDVTRASSGDATLEAGXHGAAEEENLLAQRRRDPVAEAAISESETGGELLHNRLSTYVAISSLAWTSIVRAKCGALDAAADDVYFMVSADCRRRLRPPADEGYFGNCIAIAIARASAGELLDDDGLAGLARAAAAIQAAIRDELELEDPVGGAERWAERLAAIPRGRLTAAGSSHRFMAYETDFGWGAPSRVELVTVYGNELVAMLGGAADGGVQVSVVLGRALMDAFADNFRRQVVACPNSTVSRSRHH >ORGLA06G0029600.1 pep chromosome:AGI1.1:6:2227148:2230051:1 gene:ORGLA06G0029600 transcript:ORGLA06G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVRVLAVSHVHPDEAAVGAAWPPPNTVELSFLDSFQVARGGIQRLFFYEGDDLPPFQSIVGALQSSLAAALPVFLPLAGKLAYLPESGDVVIDYSPEAVSPGVRFVEAEYSGSVDDMRRLAGDDEHQIEAFLQLVPELEVSMLPAPLLAVQVTRPRDDNVGGGGAGGAVAVGVAIHHGVADGQSVWQFIKAWAYNSVIKLKICSGLRKKNLKKNAHVSTYGIVTDRFVTPLPMGVDMSQQRRRTFLLNAGEIESLKQRISESDAGREQLRNRLSTYVAISSLAWTSIVRAKSLDAADKVYFMVSADCRRRLRPPADEGYFGNCVTTCVAKAISGDLSAGSDDGLAGLARAAAAIQRAIREGLEVPFGNSERWLDGATATTPPVRSFTRSGSSHRYMAYETDFGWGAPSRAELATVYGDEVVMMLGAADGGVQVSVVLRRALMDAFATNFQLMVGLGF >ORGLA06G0029500.1 pep chromosome:AGI1.1:6:2224232:2226169:1 gene:ORGLA06G0029500 transcript:ORGLA06G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSVRVVSVTHVQPEQTAGEMPPAAGESGDDGDDVVRLSFYDVMFVSMMPIQRLFFYEGAALPPFPSLVGSLRSSLAAALAAFLPLAGKLTFRAALGDVVLDYSAAAVPPGVKFVEAEYGSSDEISAFDAMRRLAGDVEHNVEAFMELVPELEVEQLPAPVLAVQVTRPAFRNDDGDDAVGVVAVGVSVHHAVADGQSLWQFMKAWSAAAMVGSPAAPGLLPPTFDRALIRHPRSEELASKFLQLSSPTLPEVKLSRVAADVIKGQRTRTFLLRADQIGSLKRRISRRIAAGEPPRETASPVTAYVAIASLVWTSVVRAKPHDAADEAYLMVTADCRRRLRPPIDPGYFGNCVAACYARANVGALRRGGGDNGGDDEGLARAAAAIGAAIREQLEDPLGGDIEGWLQFHLSLPAARLTAVGSSHRFMAYETDLGWGAPSRVELVSPFARELVMLLGAAGGGVQVSVSLDEAHMDAFETSWFQTAAGDVTV >ORGLA06G0029400.1 pep chromosome:AGI1.1:6:2220970:2222562:1 gene:ORGLA06G0029400 transcript:ORGLA06G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQSVRVVNVTHVLPGGASAAAPPHAGGGDVDVIELSFLDTMFFALTPLKRLFFYEAAEPSFPTMVSSLQSSLAATLAVFAPLAGKLAYRPSHDDVVIDCSVAAVSPGVVFIEAEYHDDDDAVVDMRRLAGDEEHHTEAFKRLVPEMDVGRLPAPLLSVQVTRPAAAGGGGSGGVVAVGVSIHHVVGDGQAVWQFMRAWSTASREGSPTAAAATIPVVFDRKPVLRHPNDEEIARVFLRVFAPALPLVDCSLFPEPDVTRQWRKTYLLRPHQIQSLKQRMLAKTKEQLILMAAPTTHVAVLSLYWTSLVRAKFTSTGGGGAGDGDVYFMIPGDLRRRLRPPVGDGYFGNCVKPCYASAAVGDLRGGDGLVHAAAAFQSAIRGSLECDDPLADDVERWSELERKVPKERIAQASASHRFMAYETDFGWGAPRRVELVSVYRMDVVALVAAPPATGGGVQVSVALDRAHMEAFDSYFLQNSDTKSDSPSI >ORGLA06G0029300.1 pep chromosome:AGI1.1:6:2218687:2218959:1 gene:ORGLA06G0029300 transcript:ORGLA06G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVRRQPASRTTTNKGIHMDIYTTSHHSTIMAASSVTGSRRLCILFYLLTVVATVVTAASAHTAHNATADEEYWEKRAEEARSFNRAAYVSD >ORGLA06G0029200.1 pep chromosome:AGI1.1:6:2214251:2215694:-1 gene:ORGLA06G0029200 transcript:ORGLA06G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGTVPIRRPSANADPAAAGELARRAFSFGHPHYKPSISNDGWSGSSGTLEVAGAYGTNGPYHGARADVPNWKVDVQPREFSMNYIMVGYTLDKDYRPYPSSDPPKTLANQIVVGLVNDSGAQTNCFNLDCDGFHLQNSSFALGSSWSDALSQHGGERYGVTLSIHRVSQINLVLLSSTVSVLK >ORGLA06G0029100.1 pep chromosome:AGI1.1:6:2196940:2197912:1 gene:ORGLA06G0029100 transcript:ORGLA06G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGVHGRIFAADTGHPIPGSLTIKGIGSEIRASRTYGDYHRMLAPGENYEVMASMEGFRTKATRIVVEEKAVSLDFVLDRDGANGLIRNDLGCPCDDDKLFHVQGARLELYLFVLFIIIALYVLFKRKTTSKFTIHRHSPKRPIAV >ORGLA06G0029000.1 pep chromosome:AGI1.1:6:2194026:2196091:1 gene:ORGLA06G0029000 transcript:ORGLA06G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVKQEHFTASASLHGGALVANYPWDGSRDQSKQYYGCPDDKTFRYMASVYSQSHYNMSLSKEFKGGITNGAFWYPIYGGMQDWNYIHGGCFELTLEISDVKWPKAAELPVIWEHNRMSMLNLAASLVKVRHFHRIHFFHWKG >ORGLA06G0028900.1 pep chromosome:AGI1.1:6:2178589:2183539:1 gene:ORGLA06G0028900 transcript:ORGLA06G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation factor GUF1 homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1PZH6] MAGAAALRRSARRVVRPGAYALSRALQHPERLLSSQASPDRGGVLGSELGLYPPERVRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFYRHANNQLPASDQPDAPSYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLNIIPVINKIDQPTADPDNVKAQLKRLFDIDPSEALLTSAKTGQGLSQVLPAVIERIPSPPGKCDSPVRMLLLDSYYDEYKGVICHVAVVDGALHKGDKIASAATGRTYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKSIVEPLPGFKPARHMVFSGLYPADGSDFDALSHAIEKLTCNDASVSVTKETSTALGMGFRCGFLGLLHMDVFHQRLEQEHGAQVISTIPTVPYIFEYGDGSKVQVENPAALASNPGKRIAACWEPTVIATIIIPSEYVGPVIMLCSERRGEQQEYTFIDAQRALLKYRLPLREIIVDFYNELKSITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRVGRELVDKLKKFIERQMFEITIQAAVGSKVIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSNSK >ORGLA06G0028800.1 pep chromosome:AGI1.1:6:2176006:2177449:-1 gene:ORGLA06G0028800 transcript:ORGLA06G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:I1PZH5] MAGSVVTRSQLCSILFYVLATAAAATATATATLVGNITVDEEYWAKRSEVARSFNRAAYVSDPVAVLNRFNEDVLNTTTATAAAARRSLMRRYRRRGPCTVTNPIDRCWRCRKNWASRRKRLAKCAMGFGHKATGGLAGKIYIVTDAGDEHLVIPRRGTLRHAVIQERPLWIVFARSMVIRLAKELIVTSDKTIDGRGAQVHVTGAQITVQAVSNVIIHNLHIHNSVPRSGGLIRDSKHHFGIRGESDGDGISVMGSSNIWIDHVSMSNCSDGLIDITDGSTAITISNSHFTKHDHVMLFGAHDDSPKDKIMQVTLAFNHFGKGLVQRMPRCRFGFFHMVNNDYTHWLMYAIGGNMNPTIISQGNRFRASDDMKLKEVTKREYTSYDEYKEWVWKSQDDLFLNGAFFNESGGRNERRYNRLDLIQARNGRYVGRMTRFAGTLPCRVGKKC >ORGLA06G0028700.1 pep chromosome:AGI1.1:6:2172496:2172702:-1 gene:ORGLA06G0028700 transcript:ORGLA06G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERKRGRLRHGDPPPLHSLLPPHRRRRGHRGFGEEAYWERRRTTPPPTRRTGRGAPRRPARSTAPPT >ORGLA06G0028600.1 pep chromosome:AGI1.1:6:2170687:2171307:-1 gene:ORGLA06G0028600 transcript:ORGLA06G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAYVEQSAYVLIIXSIMASSFAMDDGEAYLRDMTAITELLDGDMTAIAELLDQARSYSFADLQSHDPPPPAAAAVNDDDDNVSGLMMAMMKTVDAPAGCGDGGDCPICLNNGGGEAWKETACGHRFHARCVARWARVGRKGMSCPMCRRDMMSPAVDLLVRDIRALYGDEELSDVRELLEDGLRQLEISSSIAGGAEEAAYRASQA >ORGLA06G0028500.1 pep chromosome:AGI1.1:6:2164681:2169277:1 gene:ORGLA06G0028500 transcript:ORGLA06G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing DNA repair protein [Source:Projected from Arabidopsis thaliana (AT1G80420) TAIR;Acc:AT1G80420] MPESSSDPNNGRGKSSKRNLPSWMGSKDGEENPGKKKHTATHEKVQKGSDFSKLLDGVVFVLSGFVNPERGTLRSQALDMGAEYRPDWTSDCTLLVCAFANTPKFRQVESDNGTIVSKDWILESHSQRKLVDIEPYLMHVGKPWRKNKELVESDEDQKKPHKEHQKQVDRSHIKTSPSAGIEAKHSDVTSKQFSPTKIKQWAKNDLAQTISWLESQEEKPEPNELKAIAAEGVITCLQDAIESLKQGNDVKGVAEQWSFVPHVVNELAELDGRRKEGSLSKEQLSQLAIKCKKIYQAEFAHMHDNDKKHQSKPRSDDAQYDSDDTIEMTEEEIDLACRQLPGVCGRQ >ORGLA06G0028400.1 pep chromosome:AGI1.1:6:2156325:2164029:-1 gene:ORGLA06G0028400 transcript:ORGLA06G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta' [Source:UniProtKB/TrEMBL;Acc:I1PZH1] MPLRLEIKRKFAQRSERVKSVDLHPTEPWILSSLYSGSVCIWDYQSQTMVKSFEVSELPVRSAKFISRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDANTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVGAGFEVTDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEFIIYTALAWRNRSFGSALEFVWSSEGEYAIRESTSRIKIFSKSFQEKKTIRPTFSAERIFGGILLAMCSSDFICFYDWADCRLIRRIDENVKNLYWADSGDLVAIASDTSFYILKYNRDVVASYLESGKPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDIERANDILPSIPKAQYNNVAHFLESRGMLEEALEIATDADYRFDLAVQLGKLEVAKAIAMEAQSESKWKQLGELAMSTGKLDMAEECLVQAKDLSGLLLLYSSLGDAEGIEKLASQAKEHGKNNVAFLCLFMLGKLEDCIQLLIDSNRIPEAALMARSYLPSKVSEIVAIWRNDLSKVNPKAAESLADPSEYPNLFEDWQVALTVEKNVASRRVHYPPADEYLNHAEKSDMTLVEAFKRMQVIEDEETEDALDENGEPDEEVLEENKVEESTDEAVEVDADEPEETVLVNGKEGEEQWGTNNEGTSSA >ORGLA06G0028300.1 pep chromosome:AGI1.1:6:2128046:2133731:-1 gene:ORGLA06G0028300 transcript:ORGLA06G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate transporter 3;4 [Source:Projected from Arabidopsis thaliana (AT3G15990) TAIR;Acc:AT3G15990] MVVNNKVDSLSYDVEAPPAQVPTTPAVVSAPPTPRGEAPAMTTTAAVELHKVSVPERRSTAKALRQRLAEVFFPDDPLHQFKNQSSARRLVLALQYFFPIFHWGSDYSLRLLRSDVVSGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYSLLGSSRDLAVGPVSIASLVMGSMLRQAVSPDQEPILYLQLAFTSTFFAGVFQASLGFLRLGFIVDFLSKATLTGFMGGAAIIVSLQQLKGLLGIVHFTSQMGFVQVMHSVFKHHDEWAWQTILMGVAFLAVLLTTRHISARNPKLFWVSAAAPLTSVIISTIISFVSKAHGISVIGDLPKGLNPPSANMLTFSGSYVGLALNTGIMTGILSLTEGIAVGRTFASINNYQVDGNKEMMAIGVMNMAGSCASCYVTTGSFSRSAVNYSAGCKTAVSNIVMASAVLVTLLFLMPLFHYTPNVILSAIIITAVIGLIDVRGAARLWKVDKLDFLACMAAFLGVLLVSVQMGLAIAVGISLFKILLQVTRPNMVVKGVVPGTASYRSMAQYREAMRVPSFLVVGVESAIYFANSMYLGERIMRFLREEDERAAKCNQCPVRCIILDMSAVAAIDTSGLDALAELKKVLEKRNIELVLANPVGSVTERLYNSVVGKTFGSDRVFFSVAEAVAAAPHKTQP >ORGLA06G0028200.1 pep chromosome:AGI1.1:6:2121492:2121860:-1 gene:ORGLA06G0028200 transcript:ORGLA06G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVQDLHPGGDEVGAVNVIMILSVIVQIVTVVGTRIGSMIAMPVRTETVITVVQAIQVGMLTDKAVKGGTGILIDMDVRVPAGAGAGAGAGAGVQTVAEPKVKITALAHLVDHQSHPTWQN >ORGLA06G0028100.1 pep chromosome:AGI1.1:6:2117637:2119928:1 gene:ORGLA06G0028100 transcript:ORGLA06G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRCAARRAPALAAAAAEALERACCSSDAAAVVSGNNRLMAEHLRAGRLEAAREVFDGMPRRDVVSWNTIMAVQARAGSHGRAVGAFLEMRRQGFRPDHTSFSTALSACARLEALEMGRCVHGLVFKSCSSGNVFVGASLITMYANCGVVSCLEQVLDGVESPNVALWNALISGLVMNHRVGDARKAFDRMPVRNVVSWTAMIKGHFTVHEVDMAFQLFKLMPVKNSVSWCVMIGGFVTHEKFSEAVELFNSLMMNGEEVTNVILVKIVNAFAGMKSIRGGRCIHGLAVKSGFAYDLVLEASLVLMYCKSLDITEARLEFDKMEGNHVGSWNAMLCGYIYSDKIDEARKLFDSMNNRDKISWNSMINGYINDGRIADATELYSKMTEKSLEAATALMSWFIDNGMLDKARDMFYNMPQIDVMSCTTLLFGYVKGGHMDDALDLFHMMQKRTVVTYNVMISGLFHQGKITEAYKLFNESPTRDSVTWSCLVAGLATNGLIHEALQFYKKMLLSNIRPSESVVSSLISCLSNYSMMVHGQQFHATTIKIGLDSHLLIQNSLISLYCKCGEMIIAQSIFDLMAKRDKVTWNTIIHGYALNNLGQNAVEMFESMTKAQVDPDDITFLGVLSACNHMSLLEEAKYFFNAMTCTYGILPNIMHYACMVDLFCRKCMIKEAEGLVKSMPFEPDSAIWTSLLSGCRLTGNDKLAEHAASQLIAIDPCTKMPYLHLISVHGLTNKSTVIDSLRSQIKSTATEKDVGYSWI >ORGLA06G0028000.1 pep chromosome:AGI1.1:6:2110848:2113906:1 gene:ORGLA06G0028000 transcript:ORGLA06G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:I1PZG7] MAGSLAASAFFPGPGSSPAASARSSKNTTGELPENLSVRGIVAKPNPPPGAMQVKAQAQALPKVNGTKVNLKTTSPDKEDIIPYTAPKTFYNQLPDWSMLLAAVTTIFLAAEKQWTLLDWKPKKPDMLADTFGFGRIIQDGLVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVERYPSWGDMVQVDTWVAAAGKNGMRRDWHVRDYNSGQTILRATSVWVMMNKNTRRLSKMPDEVRAEIGPYFNGRSAISEEQGEKLPKPGTTFDGAATKQFTRKGLTPKWSDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLDYRKECGRDSVLQSLTTVSGECVDGNTESSIQCDHLLQLESGADIVKAHTEWRPKRAQGEGNMGFFPAESA >ORGLA06G0027900.1 pep chromosome:AGI1.1:6:2096775:2098743:-1 gene:ORGLA06G0027900 transcript:ORGLA06G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPPAQQDMSYYDHCTKRHEEKGCLYACIFTALCCFCCYETCECCLDCLCCCCN >ORGLA06G0027800.1 pep chromosome:AGI1.1:6:2091782:2094099:1 gene:ORGLA06G0027800 transcript:ORGLA06G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Source:UniProtKB/TrEMBL;Acc:I1PZG5] MAAFASALRVLPSPPAAVPRRLRSREQRQGCRSRRYSKVVAYYALTTPPYKLDALEPYISKRTVELHWGKHQQDYVDSLNKQLATSMFYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGSPGRGVLQQIEKDFGSFTNFREEFIRAALSLLGSGWVWLVLKRKERKFSVVHTQNAISPLALGDIPLINLDLWEHAYYLDYKDDRRMYVTNFIDHLVSWDTVTLRMMRAEAFVNLGEPNIPVA >ORGLA06G0027700.1 pep chromosome:AGI1.1:6:2086329:2090941:-1 gene:ORGLA06G0027700 transcript:ORGLA06G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate synthase 3 [Source:Projected from Arabidopsis thaliana (AT5G11380) TAIR;Acc:AT5G11380] MGARYLHSPPAVNLICEESTFVRSTSCCLHSCLCLRCKTSNLKPCRTLVFNMPSRRFNRRVAALPDVDDFFWEKDPTPILDTIDAPIHLKNLSSKELKQLAGEVRSEISFIMSRKCQPCNTGHSVVELAIAIHYVFNAPMDKILWDAGQHTYAHKILTGRRSLFHTIKQRKGLSGFTSRFESEYDPFGAGHGCNSLSAGLGMAVARDLGGRKNRIVTVISNWTTMAGQVYEAMGHAGFLDSNMVVILNDSRHTLLPKADSQSKMSINALSSALSKVQSSKGFRKFREAAKGLSKWFGKGMHEFAAKIDEYARGMIGPHGATLFEELGLYYIGPIDGNNIDDLICVLKEVSTLDSTGPVLVHVITENEKDSGGEFNSEITPDEEGPPDSSQDILKFLENGLSRTYNDCFVESLIAEAENDKRIVVVHGGMGIDRSIQLFQSRFPDRFFDLGIAEQHAVTFSAGLACGGLKPFCIIPSTFLQRAYDQIVEDVDMQKIPVRFAITSAGLVGSEGPTNSGPFDITFMSCLPNMIVMSPSNEDELIDMVATAAMVEDRPICFRYPKGAIVGTSGTLAYGNPLEIGKGEILAEGKEIAFLGYGEVVQRCLIARSLLFNFGIQATVANARFCKPLDIDLIRMLCQQHDFLITVEEGTVGGFGSHVSQFISLDGLLDGKIKWRPIVLPDRYIEHASLTEQLDMAGLTAHHIAATALTLLGRHRDALLLMK >ORGLA06G0027600.1 pep chromosome:AGI1.1:6:2082770:2085255:1 gene:ORGLA06G0027600 transcript:ORGLA06G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein arginine methyltransferase 10 [Source:Projected from Arabidopsis thaliana (AT1G04870) TAIR;Acc:AT1G04870] MASLPNGAASASSAAGGGGPAVVDKEVDFANYFCTYSYLYHQKEMLCDRVRMDAYHSAVFRNAHHFRGKVVLDVGTGSGILAIWSAQAGARKVYAVEATNMAEHARELARANDVADIVEVIQGSMEDVVLPEKVDVIISEWMGYFLLRESMFDSVICARDCWLKPDGVMYPSHARMWLAPITSDLAENKMEDLEIAMHDWNLFVEDTESYYGVNMNVLTKAYRAEHEKYYLKSAIWNNLRPNQVIGQAAVIKEIDCLTATVDEIREVRAQVTMPIKLDMTRLAALAGWFDVHFRGSKQNPATQEVELSTAPDVNGGTHWGQQVFLLTPPLKVNEGDNVKVSFTMVRSKENHRLMDMEFTYELHESSGKQLPAITTKIYLE >ORGLA06G0027500.1 pep chromosome:AGI1.1:6:2078822:2081304:-1 gene:ORGLA06G0027500 transcript:ORGLA06G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRATSVLLHHRSALTRRSPAIGGGVLPRALFFSTLDAAQARTRVEDVMPIATGLEREEIAAELQGKKRFDMDAPVGPFGTKEAPAVIQSYYNKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCTQYFSLEVIGGGGDPDGHDDDDDHHHH >ORGLA06G0027400.1 pep chromosome:AGI1.1:6:2076464:2077843:-1 gene:ORGLA06G0027400 transcript:ORGLA06G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20050) TAIR;Acc:AT5G20050] MESKTAKIVAGAAAAALLVLELALYLRFRLSRPFFLSTAVIVSAALSAAAAALLHHAAGERRRAARMARRRPSMDDERLRVEYSYFRKVAGLPRKLTLESLAAATDGFQYAVGRGSSGTVYKGILDDGTAVAVKRIDGGADHADKEFKSEVSAIASAQHAHLVRLVGFCLVPRGPRFLVYEYMEHGSLDRWIFSPHSGDRRRRCLPWAARYQVAVDVARALAYLHHDCRSKVLHLDVKPENILLDDGFRGVLSDFGLSKLVGKEQSRVVTTVRGTTGYLAPEWLLGVGITEKSDVYSYGLVLLEMVGGRRNLMQTEDGDDGSSTPRWTYFPKIAGDMAREGRVMEVLDRRVVESGEAVEEAAVRRLVHVALWCAQEKAGARPTMARVVEMLEGRGAAAEAVEAPPPSDMIVVDLLALDPAARGPGPFGLPPPPAASDGGMQVTSSGISNSFALSYLSGR >ORGLA06G0027300.1 pep chromosome:AGI1.1:6:2069536:2074012:-1 gene:ORGLA06G0027300 transcript:ORGLA06G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGGGGGGGGKEAKGKVMGPLFPRLHVNDAAKGGGPRAPPRNKMALYEQFTVPSHRFSGGGGGGGVGGSPAHSTSAASQSQSQSQVYGRDSSLFQPFNVPSNRPGHSTEKINSDKINKKISGSRKELGMLSSQTKGMDIYASRSTAEAPQRRAENTIKSSSGKRLADDDEFMVPSVFNSRFPQYSTQENAGVQDQSTPLVAANPHKSPSTVSKSSTKCYNTVSKKLERIHVSDVKSRTPLKDKEMEAAETSKNVEVEKSSSFHASKDMFESRHAKVYPKMDKTGIINDSDEPHGGNSGHQATSRNGGSMKFQNPPMRRNEISSNPSSENTDRHYNLPQGGIEETGTKRKRLLEQHDAEKIDDVSRLLEQHDAENIDDVSDSSVECITGWEISPDKIVGAIGTKHFWKARRAIMNQQRVFAVQVFELHKLVKVQKLIAASPHVLIEGDPCLGNALLGSKNKLVEENLKAQPLLVATIDDVEPSLQQPELSKENTEDGPPSPHDTGLGSGQRDQAATNGVSKSNRRATPVASDNKQNNWGVQLQPPQNQWLVPVMSPSEGLVYKPYSGPCPPAGSILAPFYANCTPLSLPSTAGDFMNSAYGVPMPHQPQHMGAPGSPSMPMNYFPPFSIPVMNPTAPAPVVEQGRHPSMPQPYGNFEQQSWISCNMSHPSGIWRFHASRDSEAQASSASSPFDRFQCSGSGPVSAFPTASAQNNQPQPSYGSRDNQTNVIKVVPHNSRTASESAARIFRSIQMERQRDD >ORGLA06G0027200.1 pep chromosome:AGI1.1:6:2062575:2066678:1 gene:ORGLA06G0027200 transcript:ORGLA06G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVLVATSLMLLILQHSGASDGARAGSGSIWQPQPDCPAPAKCGNVNIPYPFGIREGCFRPKGGFNISCKQEQAYIGPDIRVTNFDVVQSEARILTDIPSGTVAWKYNNETDPIAWTSRGGLRLGNHHMVSSAKNRFTAIGCSTVAFIYGRDKNGSNGQFDQFTSLCGSFCFDEGSIEDGPECSGMGCCQVPISTNLRRFSLGFYNYNTTKKVLNFSSRSYAFVVEKDQFKFKSSYAKADNFMEELARGIPIILEWITDNETCEEAALEESYACVANNSECLNVREAPGYRCNCTQGYEGNPYLKDGCRVVNTADINECNATRFPNSCKGICSNTDGSYDCICISIILLIICISTLLIKIQRMKLEKEKQRFYDQNGGHILYQKIISGQVNTVEIFTEEILKNATDNFNSSRELGTGGHGTVYRGILRDNSVVAVKRSRIINVTHAEEFVQEIIMLSQINHRNVVRLIGCCLEVEVPILVYEFISNGTLYHLIHGGSSSHVRRSVSLKLRLRIAQESAEALAYLHLSTNRPIIHGDVKSLNILLDDNYTVKVTDFGASRWLPKEAVQLMTMVQGTLGYLDPEYLQERKLTEKSDVYSFGVVLLELITGKTAIYCHNGEDEKDKSLAASFLRAMEEERVESILDASLTGASMEALPLLQEVAKLGSMCLSARGEERPSMAEVADRLKAVRIAWRDFLVSSEYNMMEVFIDSSEAPPSGNQSSAVFWTPDMQSLQVETLR >ORGLA06G0027100.1 pep chromosome:AGI1.1:6:2056467:2057440:-1 gene:ORGLA06G0027100 transcript:ORGLA06G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVNRIHLRNEAKTVTLERLVPHLGTLEATRNQLHEAKELARKNEHDLRDRIAELQESNFELSGSSKVQAAKISQLEKQIQILENDKAELARQRDLALKEVEDRKIKSQAQFDILIGKIKKLEGARDEIANAAAPIAQAMFFNNHGPSALDASEIFDKLRVAPDTYFKSIKEAGSIGASLALAMTKSLYPRVDVDAIDGFADGTSEEAALDLISDAQKSTDKIAVDVVERFQDTDLQPTGPDNSNDEKTDTD >ORGLA06G0027000.1 pep chromosome:AGI1.1:6:2046608:2047188:-1 gene:ORGLA06G0027000 transcript:ORGLA06G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTRAHLEQRLALAKRCSREANIAGVKAAAVATIASAVPTLASVRMVPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGRPHPAFFRP >ORGLA06G0026900.1 pep chromosome:AGI1.1:6:2033777:2043247:-1 gene:ORGLA06G0026900 transcript:ORGLA06G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVTRAHLEQRLALAKRCSREANLAGVKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGRPHPAFFRP >ORGLA06G0026800.1 pep chromosome:AGI1.1:6:2030472:2032634:1 gene:ORGLA06G0026800 transcript:ORGLA06G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLSYDPNKPXNTDVNHASCAADGLIALRAKRKGSPCQQDGDSQGAADIEIPDLPEDIWRLIHSLMPMRAAARAACVSRSFLSSWRCHPNLNFSSEAFGLNRNACGKEELAGLFYSKVNHILKRHSGIGVKKLTIKVYSDCSGKGSSYLNNWLQIAVKPGIEELIISLTQFQAKYNFPCFLLSNGSGDSIQYLHLSNCSFHPTVTLGGLRSLTRLYLCCVRITENELGCLLSHSLALEQLEIRYCDRIVCLKVPCLLQRLISLKVFGCDDLKLIENEAPNVSIFAFQGDKTQLKLGETLQIKSLCMVRSGYVYHARAELPSIMPNLESLAIKSRKETAFASKLCSKFLCLKHLSIALIGYFPAYDYLSLASYIHAAPSLETFYLNVMQRYVQNVSIFAHPADLRSIREEQHHSLKSVRVTSFISVKSLVELTCHILESTTSLECLTLDASQTGFRCDTPGSKIGKCPPLERDIIMEGHRGVLAIRRCIQPRVPSTVKLNVLEPCSCHSTEL >ORGLA06G0026700.1 pep chromosome:AGI1.1:6:2015572:2020028:1 gene:ORGLA06G0026700 transcript:ORGLA06G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAKRGGGDLPRSFHKNSRAFKNEWISGIAMEHQFFTKFLTTATLVRALNATTKHHDDLKIQVRLDGTRLYDGYMAEYDLDNDFSVVEVYSVRDVQVGPFQSALESLPHGEVLAVGRDTSGEIMVKTVELNGDSRVSEDDRDLHCKISKPWEGGPLLSVDGDMVHRPEASIGEKSNSHPEVHGDFLNQEQLDLDSMGYPMLPSSMLGAGMILVNSFEDPFGDIYGEGVWRKFSRRASILNRNVVALASFNGFFIEWSGSKMILTSASLVRDSGDENKIDENLRIKVFLNNQCKEGKLEHCNLHYNIALVSVKYRALRPLNTSFDCKSSRVVAVGRCFNSGTLMATSGRLVPWTGTLDCQFLARSTCKITKLKYGHGKVLWNMNLTAGIGGPLVNLDGNVIGMNFYDTRIGTPFLLWEEICKILASFETKSESGGDIGNASRACFWKMPRDVKNKVNRWPVPKPRWCRPEDAESDDDDKLAFDDIGQLQYSYILGRKVKLLRLTIPISVPIVEAKSTDEPGVDPFAQRKQKKKRVEKQGKNRLENLKKAAKVGALPSHIQLAATSLPITGTKADLPKKSRKEDLENVAGMGSATASGGKFDEKLPGEKPPKHPGKHKKFIPVAEGEGMGNLGKQQNNKILMSLLARNSEQLDVCKASSRIQWSILNCVLPTIIGTACSV >ORGLA06G0026600.1 pep chromosome:AGI1.1:6:2000706:2013851:-1 gene:ORGLA06G0026600 transcript:ORGLA06G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding DASRXRGLLGLPCYEQITATGSVLDGRTGSVQEARVEIGYAGQITPPPPSILKPTRQKRRTGLXLPLMLSMGTSGQAFGWENRQCYKKPLEFYSEASILQRWSMQTWLLQGILNPGVQSASVPPEFKIFRIPLTRNGGGGGGDEAEGSGGSGGGWGAAATAVRSSGCGLVRTPDGTTKLLIWTTEMLYGTTTTTLLIVAFTSALRAGEELAGDELHLFAVIVPAIPALGSGCARSSVIHVISTDARGRRRFGRWAISSARRLVEWIPSSERSPSLCRGAWQFPLCSNSGERSPSCAATTSSSFPSRHCQWPRHHLXGRWPAPYSSSPPCCHSLPSPRPFPS >ORGLA06G0026500.1 pep chromosome:AGI1.1:6:1991184:1991772:-1 gene:ORGLA06G0026500 transcript:ORGLA06G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRQVTRAYLDQKLALAKRCSREATLAGAKAAAVATVASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGRPHPAFFRPRGTLNFHSD >ORGLA06G0026400.1 pep chromosome:AGI1.1:6:1987206:1987805:-1 gene:ORGLA06G0026400 transcript:ORGLA06G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVTRAHLDQRLALAKRCSREANLAGIKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGRPHPAFFRP >ORGLA06G0026300.1 pep chromosome:AGI1.1:6:1980672:1981451:-1 gene:ORGLA06G0026300 transcript:ORGLA06G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKATNSSQLIEQKFAMAKQSSHEAAIAGLKAAAVTAVCTAIPTFASVRMSKWAKANLGNPVAKTVIITYAAGMAYFIAGEKKVVELSRKHSLEAAKARAKSEYTLTKSN >ORGLA06G0026200.1 pep chromosome:AGI1.1:6:1972637:1973884:-1 gene:ORGLA06G0026200 transcript:ORGLA06G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQRKPGDWNCKSCQHLNFSRRDYCQRCHTPRQDLPLGDGYVPGGVLTSLDIRPGDWYCNCGYHNFASRASCFKCGAIVKDLPAGQGGGVANGDFARALDSSAVRAGWKAGDWICTRPGCNVHNFASRIECYRCNAPREAGNVK >ORGLA06G0026100.1 pep chromosome:AGI1.1:6:1964416:1967095:-1 gene:ORGLA06G0026100 transcript:ORGLA06G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 19 [Source:Projected from Arabidopsis thaliana (AT5G20070) TAIR;Acc:AT5G20070] MSIHLRAHAFAASPLRGLSASTTAVSPSAAADALRSLLDAGAGAADAAHPHPHPHPHLSKILPFRRGRPLARSHDSPPPPAAAAAAPPPPPAWRLAWLPPARVPDVPSDAFVFLGAHGEEEGKEAAAYWAVDVSERDGEGAGDGSAFVDLRTLMVATDWRDKDAMGDLAIAGHARALLEWHSTAKFCGACGSRAVPAEAGRRKQCSNESCKKRIYPRVDPVVIMLVIDKENDRALLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIQVGEVIYHSSQPWPVGPSTMPCQLMVGFFAYAKSLEIHVDKKELEDAQWHSREDVKKALTFAEYEKAQRTNALKVNQICKGVEKRQSISADLKIESEEPAPMFVPGPYAIAHHLISSWAFEGAPKAPSSFSNL >ORGLA06G0026000.1 pep chromosome:AGI1.1:6:1961582:1963224:-1 gene:ORGLA06G0026000 transcript:ORGLA06G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVVAGVESQERRGGGAGTGRVTAFVVLSCVTAGMGGVIFGYDIGIAGGVSSMEPFLRKFFPEVHRRMEGDVRVSNYCKFDSQLLTAFTSSLYVAGLLTTFAASRVTAGRGRRPSMLLGGAAFLAGAAVGGASVDIYMVILGRVLLGVGLGFANQAVPLYLSEMAPSRWRGAFSNGFQLSVGVGALAANVINYGTEKIRGGWGWRVSLALAAVPAGLLTLGALFLPETPNSLIQQGKVERCDVEQLLKKIRGADDVADELDTIVAANSATAGVGGGGLQMLLTQRRYRPQLAMAVMIPFFQQVTGINAIAFYAPVLLRTIGMGESASLLSAVVTGVVGVGATLLSMFAVDRFGRRTLFLAGGAQMLASQVLIGGIMAAKLGDDGGVSRAWAAALILLIAAYVAGFGWSWGPLGWLVPSEVFPLEVRSAGQSVTVATSFVFTVFVAQAFLAMLCRMRAGIFFFFAAWLAAMTAFVYLLLPETKGVPIEEVAGVWRGHWFWSRVVGGDGEEEERNNGGKL >ORGLA06G0025900.1 pep chromosome:AGI1.1:6:1958417:1958623:-1 gene:ORGLA06G0025900 transcript:ORGLA06G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILEVGIWLLPFTLLVAPVRRMVRLVQELQRIMLVVACDRSRRGGRPPTFGEVLSRLDRLDSATVIV >ORGLA06G0025800.1 pep chromosome:AGI1.1:6:1946648:1952306:-1 gene:ORGLA06G0025800 transcript:ORGLA06G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEAGGAGEDELEMEAPSVSTVAIAVNGSRNSKHALKWALDKFVPEGKVLFQILHVRPTIKMVPTPMGNFIPITQVREDVATAYKKEVEWQANNMLLPYKKMCAQRKVEAEAVLLESDDVPTAISEEISKFSVCKLVLGSSSSIFRRKNKGSKTATKICECIPSFCTAYVVSKGKLSSVHSATSDAIGTPESISSSTVSSPSSRSFSSSVPSEWGDTYGSANVSFHQPSLSSQRDQAIANMNKLSNRRASPSGSGGSEISNHDDTVLTSSHSINSETRFSSSSSGNSIYKSFNRDRSFDNSDQASVSDMATNLKHSHDQEYLKLEIERLRVKLRHLQKLNELAQKESLDANQKLHKLGIQDIEDEIKLKETELTEEKVRRLIRKKEREEQEVARREDQLRNENAESEATKQSNGNQEGDENKTGERIFVRCFDEYNRYTWEEIKASTSSLSEDLMIGRGSYGTVYKAKFHHTVAAVKVLNSPEGCGTQQLQQELEVLGKIRHPHLLLMLGACPEHGCLVYEFMENGSLDDMLQRRNNTPPLTWFDRFRIAWEVATALMFLHSSKPEPIIHRDLKPANILLDRNLVSKIGDVGLSTLLPSMDQYLSTMIKNTAPVGTFCYIDPEYQRSGVVSMKSDVYALGIVILQLLTAKSPMGIAHVVETALEDGHFVDILDAAAGQWPLNEAQELAFLALKCAEMRRRDRPDLSDHVLPALERLKDVATKAREMAFNGHQTAPPSHFICPILQEVMADPYVASDGYTYDRKAIELWLSMNDKSPMTNLRLPHKSLIPNHSLRSAIIDWRTKS >ORGLA06G0025700.1 pep chromosome:AGI1.1:6:1943858:1944901:1 gene:ORGLA06G0025700 transcript:ORGLA06G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLGLSLGLGLASQGSLTSSTTTTSSPGAGSSSPWAAALNSIVGDVRRDQAAAHAAAAVGVGVGGEEMYQGRASTSPDSAAALSSASGKRERELERSGSGVDDDDGADGAGGRKKLRLSKDQAAVLEECFKTHSTLNPKQKVALANRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCERLADENKRLEKELADLRALKAAPSPASASAMQPSSSAAATLTMCPSCRRVATAGAPHQPNHQQCHPKSNTTISSSTAAAAAAVAVAGGNVLPSHCQFFPAAAAAADRTSQSTWNAAAPLVTRELF >ORGLA06G0025600.1 pep chromosome:AGI1.1:6:1942262:1942769:1 gene:ORGLA06G0025600 transcript:ORGLA06G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKVLLLGICVTARSSEERDAADELLQSMNWSAVRSNILVDDTNAKHSLPPQTVPGQQRRSAV >ORGLA06G0025500.1 pep chromosome:AGI1.1:6:1930051:1930942:1 gene:ORGLA06G0025500 transcript:ORGLA06G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGLDLGLSLGLGLTTAATWPAAGFCLNSGMAEQEMIRRDDVVAAAAAEDERFACSPGSPVSSGSGKRGSGSGSGDEVDDAGCDVGGGGGGGARKKLRLSKDQAAVLEECFKTHHTLTPKQKVALAKSLNLRPRQVEVWFQNRRARTKLKQTEVDCEHLKRWCDQLADDNRRLHKELAELRALKATPTPPAAAPPLTTLTMCLSCKRVANAGVPSPAAAMFPGHPQFLCGFRDHAGAASSSYGGASSGLAKGVRAAR >ORGLA06G0025400.1 pep chromosome:AGI1.1:6:1904364:1908946:-1 gene:ORGLA06G0025400 transcript:ORGLA06G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02100) TAIR;Acc:AT1G02100] MDAEAAAAAGGGGGGSVAARSSPAAVQATNDDAAASKLSCVNKGYMKDDYVHFFVRRTTKRAPIINRGYYARWSVLRKLLHQFLSAGNGSNDQNQKQILSLGAGFDTTFFQLQDEGIAPYLYVELDFKEVTSKKAAIINHYSQMKEKLGPEASISIEKGEVRSAHYKLFSADIRDIPKLDSVIQMAEMDPTLPTFIIAECVLIYLDPASTSAIVSWASDKFSTAIFFLYEQIHPDDAFGEQMIINLESRGCPLLGINATPTLSHKENLFLDHGWQRAVAWDMLKIYNDFIDSEERRRIERLELFDEFEEWHMMQEHYCVAYGINDAKGLFDDFGFKKD >ORGLA06G0025300.1 pep chromosome:AGI1.1:6:1895252:1898303:-1 gene:ORGLA06G0025300 transcript:ORGLA06G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:I1PZE0] MDATLMGSPSLAAGDAPTSGEHRMGTTIVGVCYDGGVVLAADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYGLLDHEWKEGMSQEEAEKFVVKVVSLAIARDGASGGVVRTVTINADGVSRKFHPGDKLPLWHEEMEPQNSLLDILAAGNPDPMVQ >ORGLA06G0025200.1 pep chromosome:AGI1.1:6:1892526:1894552:1 gene:ORGLA06G0025200 transcript:ORGLA06G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHAGARRRGGAGVVVVVSLLLLLLSAAAIAAEGVEAAVFDDAHLLPRPLVIELPTTTTSSSAAAAEEGEGDAVPAEVRCASWRLAGEANNLAPWKSLPEECAAYVREYLTGVAYRSDLEVVAREASAYARTTRVGDDGRDAWVFDVDETLLSNLPYYADHGYGLELFDHREFDKWVERGEAPAIPSSLKLYNEVRDLGFKTFLLTGRSEGHHGVTVDNLKKQGFHDWDKLILRAPADRKKTATIYKSEKRKEMEEEGYRILGNSGDQWSDLLGFSTSARSFKLPNPMYYIP >ORGLA06G0025100.1 pep chromosome:AGI1.1:6:1887949:1890335:1 gene:ORGLA06G0025100 transcript:ORGLA06G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAMDRIAGRLAVVDGLYYPTTFLLADPPPSAPDRKAALLALLSRDAPLFLERYGGSLSRDELAAFDALAGDYEVGWHLRRLRAASEGGPSASRVRNRRRAYLDRLVREGEYFSEEAMREREPYLHHEYLGRFQDPTGRAMARPGERWSETLMRRAEEAVIVEKIRGEQIRRGVDPSEWVGGGAEEAMEEQEEEEEEEEEEEEEESEDEQGMDMVEKGRGADNSSENPSATEVVDSNKTAGASKQTLSAEDMEDQLEQFTSLMQQKFLSGEDSEHMDYSRIDNDEMLDDHWSKEANYDAEEKYFEED >ORGLA06G0025000.1 pep chromosome:AGI1.1:6:1878676:1879053:1 gene:ORGLA06G0025000 transcript:ORGLA06G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHKWMDGFQEKLNSVANFLEEMNSVVSLVKKQRINDPSMMNWSLQLKDAIDEVEFTRTARTTRIVKESPCALLRLFFSEGATAVAPWVRAMGGGGRVDAPTLCCDQERCSTGWRRRQEREKRRKP >ORGLA06G0024900.1 pep chromosome:AGI1.1:6:1870531:1875076:-1 gene:ORGLA06G0024900 transcript:ORGLA06G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLDLMRLMSIQRQRDQERRRRQAQAPPRDGLIALRDKRKGSPCQQDSDSQGAADIEIPSLPEDIWRLIHSLMPMRDAARAACVSHSFLSSWRCHPNLNFSSEALGLSKNAYGNEELAGLFYSKVNHILKRHSGIGVKKLTIKVYSDYSGKGSSYLNNWLQIAVKPGIEELIIALTQFQAKYNFPCSLLSNGSGDSIQYLHLSNCSFHPTVTLSGLRSLTRLYLCRVRITENELGCLLSHSLALEQLEIRYCNRIVCLKVPCLLQRLISLKVFGCDKLKLIENEAPNVSIFAFQGDKTELKLGETLQIKSLCMVRSGYVYHARAELPSIMPNLESLALQSCKETAFAPKLCSKFLCLRHLSIALIGFFPAYDYLSLASYVYAAPSLETFDLDVMQRNVQNVSIFAHPADLRSIREEQHHNLKSVTVTSFISVKSLVELTCHILESTTSLECLTLDASQTGFRCTTPGSKIGKCPPLDTDVLMEGHRGVLAIRRYIQPRVPSTVKLTVLEPCSCHSTEL >ORGLA06G0024800.1 pep chromosome:AGI1.1:6:1867618:1868670:1 gene:ORGLA06G0024800 transcript:ORGLA06G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPRSITIVTLEDLHVLATLDEPRSISLVSIPAIRLAAEFVVAITPKVDYDGWVCNKLEDLRRVRRFDDLLTDLQKRILPMLGNNPDDKAALRNLRTCGYAMWSVRQHAHPSLHNLVGFYSNTLTRKARQALDPYKAYTIKQEWVHAMALRVEESRSAFMPFDSDYVTPSPPMPTIILSSLVDVHGVRSVIDPHRVELGAVDAVRLAPEYLHILLEKVEQEGWICPTLPALRHVARFANLLTDLQDRVLPGLLNDHTDPAVLRKLRTCGCGMKKLRAVAKGPLLRLTLLFSNCLTRHARDALDARKDFRISADWIDKIAVRVDRCLTIPLHLHHHLEDPFVDHLHDLP >ORGLA06G0024700.1 pep chromosome:AGI1.1:6:1862741:1863886:-1 gene:ORGLA06G0024700 transcript:ORGLA06G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLNVPAPRRGGGRRISCHCTPSLLNLLMFLALLSTNALALLAFFSSSSSSSPAASAATAAASTISDHVAAIAREIDTSSSSHLPHRADGLPPELLLFLSPHALPLGRDARTGLTHMPASVAHSCFRSPATLSLLAAFMSYDPHAACPRNATLQQHRLLSKACEPLPRRRCLSGGPRAALPASNMGVDGRRWVRPRHDYEFLLDDVLRLGATRIRIGLDVAGGAANFAARMRDRGVTVVTTVLDNAGKPMNEFVAARGLFPLLLSPAHRFPFYDGVFDLVHVGTNALDEGGAPSMGNSGTEEALEFFMFDVDRVLRVGGLLWIDSYLCQSEERRQLVVNLIKRFGYKKLKWMVGEKAGTGSAKTALYLSALLQKPARD >ORGLA06G0024600.1 pep chromosome:AGI1.1:6:1859355:1860356:1 gene:ORGLA06G0024600 transcript:ORGLA06G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFPGDVGPVMLAPPPPLPFFPIAAVQSGLGFMHMSAPPEIVTARSKLNSDAPSFSPRSPAAAADEVEVRDVWAANLEEEMRSIGALLPTYPVVSMDTEFPGTVHDVATPRHLRTPRESYAVVKRNVDELHLLQLGLALSGPAGRCPVAWQFNFAGFDARRDPHSGSSVAMLAAHGVDFAALRRHGIDHGDFARAFGRSKLACGRLTWAAFSGSYDFAYLVKVLTGGRPLPSTLEGFMAKVSKIFGPAVLDVKHLAKFCGGGGGIRGGLEHVAAALGVHRAAGRAHNAGSDSLLTSDVLHAMVDRFFPNSGVLNHAGAIDGLVKCSNLYYKY >ORGLA06G0024500.1 pep chromosome:AGI1.1:6:1853069:1856970:1 gene:ORGLA06G0024500 transcript:ORGLA06G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSASAGGEADAMALVQGYNADELAIAGEFLTTWLPFLSAGLCASCADSLRSRVSSLLPPQAEESPSSPPPRIDQIEPSGWESDPATAHPQHLPFEPSGWDSDPPQPPPEQEQQKQKPQPAEKPRMSWADMAQEDELAAAAEEDAAAAAADDGEEGSEAGRPGVQLTREQRELRRFRNVVRRKDFMCFERVNGRLVNILAGLELHCGVFSAAEQKRIVDYVYDLQEMGKRGELGDRMYTEPQRWMRGKGRVTIQFGCCYNYATDKNGNPPGIIRTIASDPMPSLFKIMIKRLVRWHVLPTTCIPDSCIVNIYDPGDCIPPHIDSHDFVRPFCTVSFLSECNILFGSTLKIAGPGEFTGSLPIPLPVGSVLILNGNGADVAKHCVPAVPTKRISITFRKMDPAKRPFNFRDDPELLNIIPLETAVQETGRSSDEGKGKQPDIQIRNPSKAHRNKKSKVRTSPGKGGRGGILGDGPPQYAQAQVTGISSQQNFHGQPTISGSSAERERRPVGPLRESRYQQDAPGMQSNMDGIRERANWLAQERMHGNSMNSIDDGTESQERRQRMEHRQILMINRTINDDMDSLSIGSHESDQTRVSVRTLYNKPRRTRVNLDE >ORGLA06G0024400.1 pep chromosome:AGI1.1:6:1851021:1852761:-1 gene:ORGLA06G0024400 transcript:ORGLA06G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase family protein [Source:Projected from Arabidopsis thaliana (AT2G18030) TAIR;Acc:AT2G18030] MARGSAAAAIAGVVWVLLLLVGVASGARLPGGSGGNRGREPRGGAAAAAVATETAVFALGSFWRSEAAFGCLPGVIRTSVGYAGGSKARPEYRNLGDHAECVKVEYDPRLIQYKKLLEVFWASHDPREVFGQGPDVGNQYRSIIFTNGSVEARLAGLSKEKEQAKDRRSVITTQIQPIGAFYPAEPEHQKFELKRKPFLLQLIGNLPEEELLTSTLAAKLNAYAAELCSPNTQNRINSKIDEIAKKGWPILRDI >ORGLA06G0024300.1 pep chromosome:AGI1.1:6:1846012:1850329:1 gene:ORGLA06G0024300 transcript:ORGLA06G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE6 [Source:Projected from Arabidopsis thaliana (AT2G34900) TAIR;Acc:AT2G34900] MVPGGGVPQGAEGGRAMAAAETAATAAAAGERAPGTEVDAFRRQVEDLVSKTDQLERRVNEVVGFYDGKKHGSGGRKAGRKDSSLSKGMPDLMRQFGTIVRQITSHEWAEPFLKPVDVVGLQLDDYYKIITKPMDFSTIQKKMEGKDDNKYNNVREIYSDVRLIFANAMKYNDERHDVHIMAKSLLEKFEEKWLQLLPKVENEERKQKDEESNGVPKVNISPEEAIAKLAKDTDNELIEINKQLEELRQMVVQKCRKMTTYEKRKLGAGLCHLSPEELTKALEMVAQDNPSFEAKGDELELDMDAQSETTLWRLKFFVREALERQANVASGRTDENAKRKREICNALARTASKRVKQQPN >ORGLA06G0024200.1 pep chromosome:AGI1.1:6:1844389:1844992:1 gene:ORGLA06G0024200 transcript:ORGLA06G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSATCSCGNRVRLPKQTYTTDRVGFALSHASARGVRDGARFPAASALQDIVADAVDEADAELGRRCGSPREDAVEHHGPRRRQVYAAGGLGAPVGSVIVGSTAFMGCNDGNMDFQAKILRKTLGGGMRQMGFLCAAAYVVVRDTVEKLADDLPPPPPSAA >ORGLA06G0024100.1 pep chromosome:AGI1.1:6:1840316:1841443:1 gene:ORGLA06G0024100 transcript:ORGLA06G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQHSNGGAAGDNGEAAPPSVPPSLAPPRKVALVTGITGQDGSYLTELLLGKGYEVHGLIRRSSNFNTQRLDHIYHDPHSQPSTPRPPMRLHYADLSDSSSLRRALDHILPDEVYNLAAQSHVAVSFEVPDYTADVTATGALRLLEAVRLASKRIRYYQAGSSEMFGSTPPPQSESSPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLSAARDWGFAGDYVEAMWRMLQQDKPGDYVVATEESHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPAEVDSLQGDATKARKELGWKPKIGFQQLVEMMVDNDIELAKKEKVLVDAGYRDPKQQP >ORGLA06G0024000.1 pep chromosome:AGI1.1:6:1832603:1833900:1 gene:ORGLA06G0024000 transcript:ORGLA06G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosome-binding factor A family protein [Source:Projected from Arabidopsis thaliana (AT4G34730) TAIR;Acc:AT4G34730] MFTCRPLVSPPLPRRLAVASPLAPARPPPSSASSLRVVRCMAKERRVRMVAKQIQRELADMLTRDPVLQRAVLPEAALGADQYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAIAGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDESMERGSRILAILDKLKEEREQQEGNEEEEDGEGANLSEEEEGDWDADEPDEEDIIYVK >ORGLA06G0023900.1 pep chromosome:AGI1.1:6:1825934:1831475:-1 gene:ORGLA06G0023900 transcript:ORGLA06G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing Brix domain protein [Source:Projected from Arabidopsis thaliana (AT4G01560) TAIR;Acc:AT4G01560] MAKERKRRQPADAPPPGDDDEGRDHRREKKPRKERPDPVLPSQIKNKDKRKEVHAKLKREKKAQKRKLARERGQAAQRAVELGEQPPEKLVPRTIENTREPDETVCRPDDQELFAGNDADEFNAVLKQQTTPKVLITTCRFNSGRGPAFIEELMQVIPNSCYVKRGTYELKKIVEYANHRDFTSLVVVHTNRREPDALLIINLPAGPTAHFKLSKLILRKDIKNHGNPTSHKPELVLNNFTTRLGHRVGRMIQSLFPQDPNFRGRRVVTFHNQRDYIFFRHHRRYIFEMKENKIASKDKKAKTSESKSQPEKQVICRLQECGPRFTLKLLTLQHGTFDTKNGEYEWVHKMYHQICCHTKVVIIQLPWDYTSLFLPFSPSKNLSLLAPCSSRLL >ORGLA06G0023800.1 pep chromosome:AGI1.1:6:1822702:1823061:1 gene:ORGLA06G0023800 transcript:ORGLA06G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKGGAAGLKQILKRCSSLGRRQQEQKQVSEWEEEEEASGLPSDVPRGHFAVYVGERRRRFVVPLALLDRPEFRSLLRRAEEEFGFAGAGAGGLLVLPCEEVAFRSLTSSLHYSCTR >ORGLA06G0023700.1 pep chromosome:AGI1.1:6:1812555:1815921:1 gene:ORGLA06G0023700 transcript:ORGLA06G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQASSSSSPATAAAPPPPQPAADPSPSAVPASEEALDPQTPAPPPQAQPEAVLTAAQKALRSKPTRPPEDSDKKNKKLKDVEISFPIVYGTISFWLGKKASEYNSHKWTVYVRSATNEDLSVIVKRVVFQLHPSFTNPTRVVEQPPFELSESGWGEFEIAITLYFHSDVCEKRLDLFHQLKLYPEEDTGPQSTKKPVVVETYDEIVFPEPTEAFFQRVQNHPAATVPRLPPGITLPPPGPMELVPHEKKRGDTKDHPLSQWFSNFSEADELLKLAAARQQVQAHIAKLRRQLSMIDGMPQQSKAVSVQGQQFGHG >ORGLA06G0023600.1 pep chromosome:AGI1.1:6:1796568:1805724:-1 gene:ORGLA06G0023600 transcript:ORGLA06G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:I1PZC3] MSGKVANATPKAAAGKPRLSAAGGGAYRRTSSGPLPSAGGGGGRASSESGAVSSRVRVAVRLRPRNADELAADADFGDCVELQPELKRLKLRKNNWESETYEFDEVLTEFASQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRAMEDILADITPETDTVSVSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRDQKSFVDLLRIGEAHRVAANTKLNTESSRSHALLMVNVRRAVKGKHEMDVSISGENGHSSSMVGSLRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLKDSFGGTARTSLVVTIGPSPRHRGETTSTIMFGQRAMKVENMVKLKEEFDYKSLCRRLDIELDKLIAENERQRKYFDDEIERITAEAQLRVTEAEREYKISLENEKAKYHQEYLDSIKILEEKWKIHQQSPKKLIKETEPTSSEVGEVQNLLQNEKVLRQSAEDEANDLKNQVLHWKKMEAAATAEVVKLRKMLDTEASQKEKLDEEIAVLKSQLLQLSLDADETRRSLDRGDGSGKIFPGFDSLMSHSRNSQPREQSNGPKPPIAKLFEQVGLQKILSLLESEEPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRSSEDETIRRVAAGAIANLAMNETNQDLIMAQGGVSLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIKALLGMVKCGHPDVLAQVARGIANFAKCESRAATQGNKVGKSLLIDDGALPWIVKNANNEAAPIRRHIELALCHLAQHEVNSKDIISEGALWELVRISRDCSREDIRMLAYRTLTSSPTLQSEMRRLRIEC >ORGLA06G0023500.1 pep chromosome:AGI1.1:6:1785390:1786379:-1 gene:ORGLA06G0023500 transcript:ORGLA06G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTAAAAHGGGHHHHHHHFGAPPVAAFHHHPFHHGGGAHYPAAFQQFQEEQQQLVAAAAAAGGMAKQELVDESNNTINSGGSNGSGGEEQRQQSGEEQHQQGAAAPVVIRRPRGRPAGSKNKPKPPVIITRDSASALRAHVLEVASGCDLVDSVATFARRRQVGVCVLSATGAVTNVSVRQPGAGPGAVVNLTGRFDILSLSGSFLPPPAPPSATGLTVYVSGGQGQVVGGTVAGPLIAVGPVVIMAASFGNAAYERLPLEDDEPPQHMAGGGQSSPPPPQLPLPPPQQPILQDQLPHNLMNGIHLPGDAAYGWTSGGGGGGRAAPY >ORGLA06G0023400.1 pep chromosome:AGI1.1:6:1771873:1775361:1 gene:ORGLA06G0023400 transcript:ORGLA06G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:I1PZC1] MALSAAAPANSSCFHPRAAAASAPSSLSVGTKVFVGLKAQTKLGSSESSCPNVTAGLYTAVNRRISLGLSNKRATRARISMMPVGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDSIDEEFSNQVLASMLYLDSVDNTKKILLYINGPGGDLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGEKGSRTGMPLCRISLQSPAGAARGQADDIENEANELIRIKNYLYGKLSEHTGHPVDKIHEDLSRVKRFDAEGALEYGIIDRIIRPSRIKKEGSTAQKKDLRNLGLG >ORGLA06G0023300.1 pep chromosome:AGI1.1:6:1760246:1767788:1 gene:ORGLA06G0023300 transcript:ORGLA06G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQEKLRRHVDEWRFRCRAAVAEIGPRSASTSVSSASVRLRVAPTDPGVGVGAASLLTAAAAAEDNVDVSKFVAVLSHSCLEISRLSDAASSNLYRQLLLFGHTAEGPNEALLEGEPQKTFAHSIPLLLEVYEIINGLVMILGNLLRQLDAICSVRDKNVRPLNSFKGLDLTTAFGSLGEGLMVFLLVDEILRHNGNTRSYLSLFSRMLDKVKSEVDVFSMSFEDVDFLDQVVHNLQKLFDIGFFQRLVQEDSPLCSSITLVRSNKKLLDTFYSFFSESSSEIIQRIGSLKELPIDRRTILHLLGLFLFFTTTTGEAPDKKSMNLLVEIFQLVPVVYVEGGKRIVLSDLIRFHCSPSLSLLPPIKEACEAFGIMKNSYLARLNEMHSRDIQAINDSLSCWSVSFQSAIHPSSQMLTEEWVRHLQKQILQGVVLADRIHMLVLSMLDLHMHLEVPLRREKAKSLCQMIVSLKAIGDLFHMKGSSLVRSLPHIINIIQSDIEQLIISLKTKLQNEIAKGSQAVKTGFLSSLIRGGTDTETRLIDSLSLVLMSLQLLEGGGSSQRQLTLSITMDILHSLGYLDIELVGVRKLISKFSILLNFWSLIDERTNCSFLYWRKEMLVTWLSMVYGDACKLSWLQNIIDAFSDGMSLLTLGNVGTVTLQHYEEEIENALRKEVVAPLCRDIETDLRLHVHSTHLKGSVFVNPTKTGVRNLSWYLRMKPLRLPSKFVDIKLLVENHLNSAFYTYSVMSNYDNRVRSYAPYSSCIDKNCMKLKYISKYFLMTGVEYILDVCRDASTRRAEIWC >ORGLA06G0023200.1 pep chromosome:AGI1.1:6:1753870:1758607:-1 gene:ORGLA06G0023200 transcript:ORGLA06G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMIQSVKARQIFDSRGNPTVEVDVGLSDGRFARGAVPSGASTGIYEALELRDGGSDYLGKGVLKAVSNVNTIIGPALIGKDPTEQVDIDNFMVQQLDGTSNNWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHHLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIAKAGYTGKVVIGMDVAASEFYSEKDKTYDLNFKEDNNDGSHKISGDSLKDVYKSFVSEYPIVSIEDPFDQDDWATYAKLTDEIGQQVQIVGDDLLVTNPTRVAKAISEKTCNALLLKVNQIGSVTESIEAVRMSKRAVWGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGDAAVYAGEKFRAPVEPY >ORGLA06G0023100.1 pep chromosome:AGI1.1:6:1749782:1752696:1 gene:ORGLA06G0023100 transcript:ORGLA06G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVWLTAFFLVVALIVLVIYQLMCLADLEFDYINPFDSSSRINKVVIPEFVLQAALSVLFLLSGHWAMFLLSAPMVYYNYTLYQRRQHLVDVTEIFNHLGREKKRRLFKIVGLIILLFLSLFWMIWTVLLEEDE >ORGLA06G0023000.1 pep chromosome:AGI1.1:6:1746165:1746602:1 gene:ORGLA06G0023000 transcript:ORGLA06G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWPEEEEEMYMIVLGHGDVLDDAGDATPPSSSGGGGETERMWATSQDGWVITYDTETLATALCGGGGAGRRKMVVVLPSFGRTPPADGSSCTLSGDPTDGGGFTVVIIEPPEGSALWYCHVGSSSAAPAKWDNVEYDVETLLG >ORGLA06G0022900.1 pep chromosome:AGI1.1:6:1744457:1745042:1 gene:ORGLA06G0022900 transcript:ORGLA06G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAVQAMSSSHGAAAAAAMVAAGQRRQLTRLLLNVTVEQSLWPVHVVLGADCTVADLVRAAVDAYVREGRRPPLPSGGAGDAAAGFELHFSKYSLESLRPEEKLVDLGSRNFFLCARRTPAA >ORGLA06G0022800.1 pep chromosome:AGI1.1:6:1738037:1741715:-1 gene:ORGLA06G0022800 transcript:ORGLA06G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQQEVPIHRGRRSARRRWPLAVRRPPSPAARLLPESSSTTPGALDLLRYGRNFRRASPPLRPPLVNSTEPSSAQALREPDVSFSVGLLSNTASKEPITAHTSSSSSPHTVQHDDTETSTPSQCCLWSSPGSSILVRRPCGWYFVFYIRMDPGGCFHMYPDVGCGPYQSLSEVDDAINQHLHDLRIPEMGEELDRLPPMEKMIRQTMYWPDGRRKRCKSAGYFEKDKCHLIQALVDKYNDDHNLLGDSAYELKDFLQHGVIYEDERWYQHLNFTVKLKGANGFDCGMDNLFFAEISHMQGEVDWVISCCCEIKPNANGHCYGCRNNGYVGMKHPNNDAYSGGHLDGYLPFGVNSYARNNDEELSVKDEEDMLRRMYKGLDQPGGFKRPIPKFATRIVWKTEEAGAEAG >ORGLA06G0022700.1 pep chromosome:AGI1.1:6:1719970:1722896:-1 gene:ORGLA06G0022700 transcript:ORGLA06G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT5G51570) TAIR;Acc:AT5G51570] MVSAFFLLCGCVDQASVAVVEKWGRFLRLAEPGLHFFNPFAGEFVAGTLSTRVQSLDVRVETKTKDNVFVQLICTIQYRVVKEHADDAFYELQNPQQQIQAYVFDVVRAIVPRMNLDDLFEQKNDVAKAVLQELEKVMGDYGYSIEHILMVDIIPDAAVRRAMNEINAAQRLQLASVYKGEAEKILLVKKAEAEAEAKHLSGVGIARQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTTVFIPHGPGHVRDISEQIRNGMMEASCSNV >ORGLA06G0022600.1 pep chromosome:AGI1.1:6:1712191:1718792:-1 gene:ORGLA06G0022600 transcript:ORGLA06G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:secretory 1A [Source:Projected from Arabidopsis thaliana (AT1G02010) TAIR;Acc:AT1G02010] MSMSGSDFGAPCDDPKIFRNICRDRILKDLLQPDKDKETKSSWKVLIMDKFTVRIMAYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKENVIMLLSDMSGRCPLYRKAYIFFSSPIPKELVSYIKNDSSVIPRIGALREMNLEFFAIDMQGFTTDHDMAFTDLYSAQHNSKKFNDTISTMATRIATTFASLKEFPCVRYRAPKGTDPMTTPKFDMVPKWLATAVWDIVSKYKSTIPEFPQKETCELLIVDRPIDQIAPVIHEWTYDAMCHDLLEMDGQKYIYEVSKAGSEPERKEALLEDHDPLWVELRHIHIADASERLYDKMNNFVSKNKAAQLHSRCMIFLGLEEHNCKCFNRVEYNMPFEQCYSIGVVYHSRDGGEISTKDLQKIVQALPQYGEQVEKLTLHIEIAGKINKFIREYGLRDIGQVEQDLVFGDAAAKEVISILRSKQDMSPENKLRLLIIYAIVYPEKFEGDKGEKLMQLAKLPHDEMDAINSLRYLVGSDTKKASRPGGFSLKFDAQKKKNAARTERQDGDETWALSRFFPLIEELIEKLSKGALPLNEYPSMSEPSSTEQGSTQSAATTKPAQAQPMSRRSRRTPTWAKSRNSDDSQSSDSSVLRHGSSDFKRLGNRIFVFMIGGATRSELRTVHKLTMKLKREIVLGSSSIDDPPQFISKLKMLTAGGANDV >ORGLA06G0022500.1 pep chromosome:AGI1.1:6:1710278:1711300:1 gene:ORGLA06G0022500 transcript:ORGLA06G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTPPPLPCLVVDNGETAATLYGVSDGEHRPCEAEELRRNRCWATSHGWVLCCDPATLSTFLWNPTGGDDDGGGKIALPPFTQPPPPPNSQCALSREPTDAGAGRFTVVIVEPSGSYVLWYCHVVVGGGGSSSSPSPAAGWTRHEYDVGGTNVRVAGGHRFVRRSVAGLTACRGRFYYFHTATDYGVLDFSPAPVFGTVPMRAVDMAEKVAAGEAMAKASVHTLEIGGELYMAYIFFHGDDGSRVVDVGVYRMDFRRRRAVRVRSVGDRAIIAGSNIGGWCPAGGETGLRPNCVYWTSPYDKCLHVCDIGANARKLREPFKGLAKLPRRSFWIIPVHK >ORGLA06G0022400.1 pep chromosome:AGI1.1:6:1707586:1707963:-1 gene:ORGLA06G0022400 transcript:ORGLA06G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGDDHRGGAAQVVQHHDDTEEYAELRTIAGQLRTLLGISLIEILSKHSDDEVYLGQRDTPEWTLDTANEEFRQFGDRLVGIKARIAEMNRDSRLRNCTGPARLRYTLLSPNTSDGYHEPGTKL >ORGLA06G0022300.1 pep chromosome:AGI1.1:6:1704197:1705234:-1 gene:ORGLA06G0022300 transcript:ORGLA06G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSRQQPEALPCLVFDYGEDGGEQRRPTTLYSVADGVHRPCEFEELRDKRSWVTSHGWVLTWDPTTLATFLWNPHAAGRRRIVLPSFGQTTTTPPPADSFCALSGKPTDDDGGGGFTVVMVEPPGSCFILFCHVGSSSSSPAAWVRHEYDIGTRKMDIEGRQRMKRSIHCITSCGGKLYHFIRSTAYGVLEFSPEPVFTTVRMKPASPFTTTDMFVASIFSVDVDGKLHLVFIFESGGGVVADVAVYRVDLEKRKHVRIGSIGDRAILVGGRRNDMGAAGWCRARRHGLLPNSIYWMNPGDRRLRVYELGKRTEEVRDPFKGVAESADGTWCRPYWMIPAHT >ORGLA06G0022200.1 pep chromosome:AGI1.1:6:1690153:1692711:-1 gene:ORGLA06G0022200 transcript:ORGLA06G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRNGRDDFFGGRDPFAGFGGFGRQRSLISGVFGGRDPFDDPFFNQPFGSGMHGPSLFGPMGGPFGDIRNDGFLEQAHPRGNGRKPIITELDEEEGENSGRQRQANREPYVQEPDDEMQGGQLQPRRDFNRANEGQPQARTFTYQSSSVTYGGVNGAYYTASKTRRTGSDGITVEESKEADTTTKEATHRISRGIHDKGHSLTRKLKSDGNVDTTQILHNLHEDELAGFEESWKENARHHLAGLNQNAGTSNNNEPGNRGTSGRGRQSAWGWALPGREQGRDQRLNGERPKSRVIPIS >ORGLA06G0022100.1 pep chromosome:AGI1.1:6:1686134:1689065:-1 gene:ORGLA06G0022100 transcript:ORGLA06G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage T-protein family [Source:Projected from Arabidopsis thaliana (AT4G12130) TAIR;Acc:AT4G12130] MTPLARRLLHASSPAAAAAGGGEPGVLACRLASRAVVRFAGPEAGRFLRSLLTNDLLLSASSQQRYAPTPNAPARAPPPAYAALLTPQGRFLYDLFLYRPPPPSQLLDRTGSAPLTGERPKGNQEDEGEDEPGEVLADVDAAEVDELLACFKRYRLRSKVEIDNVSKEFLCWQRFGRNVEHSGPSTQEPEAQSIGWGQGVDHAAESAAQGNGHGWEWFKDPRLDCLGYRGIFPANTIPPLVESDKEADERHYLLWRIENGVAEGSTEIPKGEAIPLEYNFAGLNAISFEKGCYIGQELIARTHHRGVIRKRLMPLIFEDENGQELKQAVAPGSEVVDKESGKKIGTVNTALGSRGMGLLRLEEALKQNSSLAIKDNRDVRVKAIKPDWWPVEWTQMLEQQSAVA >ORGLA06G0022000.1 pep chromosome:AGI1.1:6:1677834:1681098:1 gene:ORGLA06G0022000 transcript:ORGLA06G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAQLIFLLLLAVLAAASSRNDEEARALMALKESLDPAGRVLGSWARSGEPCGGSFVGVTCDSGGRVTAISLQGRGLSGTLPPAIAGLRRLTGLYLHYNGIKGAIPREIGSLSELTDLYLDVNHLTGPLPVEIAAMENLQVLQLGYNQLTGSIPPQLGKLNKLAVLALQSNQLTGAIPATLGDLTQLARLDLSFNSLFGSIPSKIAEVPLLEVFDVRNNSLSGSVPAGLRRLNGGFQYVNNKGLCGVGFSLLDLCLSSEDGLKPSKPEPFGPDGTVKTRQVPQSANTDNHCEGSGCSKSSNASVGVLVVGVVAVVIGAAFCGIFAFSYYRRQKQKIGSSLEVSDSRLSTDHYQQKEVCRRSASPLISVEYSNGWDPLSGGGVGSSGEVGDSFRFNLEEVECATQYFSEVNLLGKSGFAATYKGILRDGSVVAVKSLNKTSCKQEESDFLRGLKMLTVLRHENLVGLRGFCCSRGRGECFLVYDYMVNGCLSQYLDVKEGSGANVLDWPTRVSIIRGIAKGVEYMHSKKANKPSVVHQNISAEKILLDHHLTPRLSVPGLHKLLADDVVFSTLKASAAMGYLAPEYATTGRFTEKSDVFAFGIVVLQVITGRRAVSQLKVSTVANDLDSLIDENLNGVFSRTEAAKLAAIAALCTSETASQRPTMEAVVQQLSNCH >ORGLA06G0021900.1 pep chromosome:AGI1.1:6:1671224:1674452:-1 gene:ORGLA06G0021900 transcript:ORGLA06G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XDHGGSPVRMRCSDPASPMRTHERAAILVGISPQDAIHPRRRCCRHSVRIMWEVPSGLADVSSLGDFPGATTLKESTASSPTSSLQAVPDDTIDAPQSGHCLSSSLGSIIWVQKPPHGRPGVYHIRKDRLGSFHTYPDLGGPFQSLNEAEDVISSHINKLYPPVKFEERAGESYVDMIIRKALYWPDGTRKKCSKAEAFQNVNNNMNQLAKVILDMYNNDHNLLEDLAFELKGVINYEPIIESRRWFDHINFTATTKGLNGLDSDHLFFAEFFERRKRLCGHLLLFDKFXXQWXLLYLQDWEXKYEAPKXCQFICWWSLLYQRNIXHYSVEXLXRGXGCRGAEAKKNVSGSXXSRCMXRALXL >ORGLA06G0021800.1 pep chromosome:AGI1.1:6:1666223:1668354:1 gene:ORGLA06G0021800 transcript:ORGLA06G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQAAEDLAASTLAARGLVTAPSGLGFDALAVATDGHVQQNANASALATARTLLPNAPLPAAMEFNLPVLXVQSWQLQQVQERLAAYQPSQASQCSSHGQAPAGXPSQAAASQPGDQLAAWEHVN >ORGLA06G0021700.1 pep chromosome:AGI1.1:6:1661607:1663764:-1 gene:ORGLA06G0021700 transcript:ORGLA06G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding WYSDVVFRSPFTDEEARVYSDSRGYFASGRDVHVGPFPTSDELYAALYHHYHLRLKPCDLAPPPPCEGLLRGASEPESAQALQEPDVFSVGELLGAIALKEPIISSPSSSPQAVPEDNIDAVPSGCCLSSSPGSVIWVHKPPEGRRGSYHIRMDRSGLFHTYPDMGGPFLSLNEAQDAFTSHLNILYPPLKFEERDGESIVDMMVRKILYFPDGTRRRYSKHK >ORGLA06G0021600.1 pep chromosome:AGI1.1:6:1643443:1645876:-1 gene:ORGLA06G0021600 transcript:ORGLA06G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAASPLRAALLLFLSSSPSPRLALPMNPSSSSRGGAASYHSKAAAFASPQPRGGGGGRGGDGSDRIDALGRLLTRILRHMASELNLEMRTDGYVRVRDLLKLNLQTFAKIPLKSHTVDEIKEAVRRDNKQRFGLLEEDGELLIRANQGHTVTTVTSESLLKPILSADEVSVCVHGTYRKNLDSILHQGLKRMARLHVHFSSGLPTDGGVISGMRQSVNILIYLDVSKALQDGMKLYISDNKVILTEGFDGIVPVKYFEKIETWPGRAPIPFQK >ORGLA06G0021500.1 pep chromosome:AGI1.1:6:1640898:1642549:-1 gene:ORGLA06G0021500 transcript:ORGLA06G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVAYAPPMKSGKIGFESSQEVQHRIRITLSSKSVKNLEKVCGDLVKGAKDKSLKVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFEMRVHKRVIDLVSSADVVKQITSITIEPGVEVEVTISDQ >ORGLA06G0021400.1 pep chromosome:AGI1.1:6:1636832:1640089:1 gene:ORGLA06G0021400 transcript:ORGLA06G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoshikimate 1-carboxyvinyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PZA1] MAATMASNAAAAAVAAVSLDRASAAFSSRKQLRLPAAARGGMRVRARSRGRREVVVASSSSVAAPAAKAEEIVLQPIREISGAVQLPGSKSLSNRILLLSALSEGTTVVDNLLNSEDVHYMLEALKALGLSVEADKVAKRAVVVGCGGKFPVEKDAKEEVQLFLGNAGTAMRPLTAAVTAAGGNATYVLDGVPRMRERPIGDLVVGLKQLGADVDCFLGTECPPVRVKGIGGLPGGKVKLSGSISSQYLSALLMAAPLALGDVEIEIIDKLISIPYVEMTLRLMERFGVKAEHSDSWDRFYIKGGQKYKSPGNAYVEGDASSASYFLAGAAITGGTVTVQGCGTTSLQGDVKFAEVLEMMGAKVTWTDTSVTVTGPPREPYGKKHLKAVDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAIRTELTKLGASVEEGPDYCIITPPEKLNITAIDTYDDHRMAMAFSLAACADVPVTIRDPGCTRKTFPNYFDVLSTFVRN >ORGLA06G0021300.1 pep chromosome:AGI1.1:6:1630070:1633131:-1 gene:ORGLA06G0021300 transcript:ORGLA06G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSVAAAHATIAARAGAAAPAPAPPERLGFRLSALAGRGLRSPLPPRRGAHPASRRNQHHNRVRAAAVETLEGQAATGALLEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFILSAGHGCMLQYALLHLAGYDAVLEEDLKQFRQWGSKTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYTYCILGDGCQMEGISNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVSARFEALGWHTIWVKNGNDGYDEIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGSALGTKEVEATRENLGWPYEPFFVPEDVKSHWSRHVPQGAAFEADWNAKFAEYEKKYPEDAATLKSIVSGELPAGWADALPKYTPESPADATRNLSQQCLNALAKVVPGLLGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRAAMRISALCEAGVIYVMTHDSIGLGEDGPTHQPIEHLVSFRAMPNILMLRPADGNETAGAYKIAVLNRKRPSVLALSRQKLAQLPGTSIEGVEKGGYIVSDNSTGNKPDFIVMSTGSELEIVAKAADELRKEGKTVRVVSFVCWELFDEQSAEYKESVLPEAVTARVSLEAGSTLGWQKYVGSKGKAIGIDKFGASAPAGKIYQEYGITAENVIATAKSL >ORGLA06G0021200.1 pep chromosome:AGI1.1:6:1622249:1628211:1 gene:ORGLA06G0021200 transcript:ORGLA06G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIGTNAAPCLGTSTAADPSYAQELQEPDALLSGELLSSTALKEPITASTSSSPQTVRRDGIEASTPSGSSILVRRPPGWYFVFYIRMDPGGRLHMYPDVGNGPYRSLPEVDDAINQHLDNLRIPRRGEELGRLPLIEIGGYEKDNRCLVQALVEKYNDDHNLLGDFAYELKDFLQIGVMYEDQRYYYHINFTTKTKGAHKSGCAMDNLFFAELSHMQGKDEWVVSCCCVIKPTANGHCYGCRNDGKYGLKHPNNSDAYSGGHLDGCLPLD >ORGLA06G0021100.1 pep chromosome:AGI1.1:6:1607190:1608236:1 gene:ORGLA06G0021100 transcript:ORGLA06G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXORDIUM like 3 [Source:Projected from Arabidopsis thaliana (AT5G51550) TAIR;Acc:AT5G51550] MAMAMHRFSLCCVVLLVLAPLAAVAWRPWPPRNGSGEVEGIGASKKFEGSSDFVKLQYHMGPVLAADITVHPIWYGRWPAEQKRTIRAFLRSLSPPGSASGDGGIPSPSVAAWWRTVRLYTDQTSANVSGVVRLGREKCDARASRGGRLTRLDIQSVVRDAVTARTRPLPVDSSGVYLVLTSPEVVVENFCGQVCGFHYFTFPSVVGYTLPYAWVGNSAARCPEVCAYPFAIPSYVGGGRRAEAPPNGDVGVDGMVSVIAHELAELASNPLANAWYAGEDPSFPTEIADLCEGIYGTGGGGAYTGQLLTDGRSGASYNVNGVGGRKFLVQWVWNPILSYCSGPNALDQ >ORGLA06G0021000.1 pep chromosome:AGI1.1:6:1601957:1602546:1 gene:ORGLA06G0021000 transcript:ORGLA06G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPSSSLSPFSLFLSPSSPSAGGGAWAAVWRRPAGAEAVPAVEESTMARPALGEMGSASVLCTSRRQGCEHVAEEDGHDRRRFPRGYVNYLYLFDCVFSKERRVLATAXWRRGSPCCSTCSVTRYFCSSLEVLSWLLRLSRRSPA >ORGLA06G0020900.1 pep chromosome:AGI1.1:6:1600268:1600540:-1 gene:ORGLA06G0020900 transcript:ORGLA06G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLAFSILSASPADIAAGGYWARLSWRRKADDQAVDGRRQPSEQQQRGEGSSPSQREERRRPREAPPLPPRFAPEFDGIDCFETIVMH >ORGLA06G0020800.1 pep chromosome:AGI1.1:6:1599158:1599433:1 gene:ORGLA06G0020800 transcript:ORGLA06G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILAFSILSSSPAEIAAPGYYTRFSWRTTSAGKQQKAAAEKAPTRQQEGEKQQAGRSSPAAAAERKPVARPRFAPEFDGINCFETIVPF >ORGLA06G0020700.1 pep chromosome:AGI1.1:6:1596381:1596665:1 gene:ORGLA06G0020700 transcript:ORGLA06G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERFLTSLVFCECEAPGMDVFAYAAGTSLTAAVNKVSTASPGGRVVGPAVSAAAPSSEAKKDAAGKAPRRLLQAAYSPAFDGLNSFETIVMH >ORGLA06G0020600.1 pep chromosome:AGI1.1:6:1592661:1592963:1 gene:ORGLA06G0020600 transcript:ORGLA06G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIIAMSVVGAGPGNVFGPGMSAGALESFVPRRQAGATDNAAASAAAAAAAEGTGRGATRPAEQATAAAEGRRAGGDGGARFDPARDGLLYCFETISPH >ORGLA06G0020500.1 pep chromosome:AGI1.1:6:1582446:1585771:1 gene:ORGLA06G0020500 transcript:ORGLA06G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:WAXY description:Granule-bound starch synthase 1, chloroplastic/amyloplastic [Source:UniProtKB/Swiss-Prot;Acc:Q42968] MSALTTSQLATSATGFGIADRSAPSSLLRHGFQGLKPRSPAGGDATSLSVTTSARATPKQQRSVQRGSRRFPSVVVYATGAGMNVVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTSVVAEIKVADRYERVRFFHCYKRGVDRVFIDHPSFLEKVWGKTGEKIYGPDTGVDYKDNQMRFSLLCQAALEAPRILNLNNNPYFKGTYGEDVVFVCNDWHTGPLASYLKNNYQPNGIYRNAKVAFCIHNISYQGRFAFEDYPELNLSERFRSSFDFIDGYDTPVEGRKINWMKAGILEADRVLTVSPYYAEELISGIARGCELDNIMRLTGITGIVNGMDVSEWDPSKDKYITAKYDATTAIEAKALNKEALQAEAGLPVDRKIPLIAFIGRLEEQKGPDVMAAAIPELMQEDVQIVLLGTGKKKFEKLLKSMEEKYPGKVRAVVKFNAPLAHLIMAGADVLAVPSRFEPCGLIQLQGMRYGTPCACASTGGLVDTVIEGKTGFHMGRLSVNCKVVEPSDVKKVAATLKRAIKVVGTPAYEEMVRNCMNQDLSWKGPAKNWENVLLGLGVAGSAPGIEGDEIAPLAKENVAAP >ORGLA06G0020400.1 pep chromosome:AGI1.1:6:1574556:1576380:-1 gene:ORGLA06G0020400 transcript:ORGLA06G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:damaged DNA binding;exodeoxyribonuclease IIIs [Source:Projected from Arabidopsis thaliana (AT4G17760) TAIR;Acc:AT4G17760] MSSSTSARDEEAPDLVCQIDCVHGMVDALSCVRWKRHQDAVLELSEHGIVLIVEESGCLQAKVYLKRELFMEYEYAAEGRPRFGLSLGLLVDCLNTFSAPGHSSPVEIRYPGPDMQLLLKSVGSPDSCMYAEIRTRIPDTISWDYHFEHAGNTPVTFTVKSAVLKESIEDLEWPGSSIQIQMQPDPPSVLFKGEGHGDLQIEFPYYANTDLLIAFQCDHETSYRYKYKFLRATTSNFPNSIVKENRGSKVTIGRGGMLKIQHLVSVARPGMQNFRNVAGGAQQPSRIAYIEFFVKPEEYEINDA >ORGLA06G0020300.1 pep chromosome:AGI1.1:6:1552516:1557695:-1 gene:ORGLA06G0020300 transcript:ORGLA06G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIATTACVWQQEKAAAMGAGAGDVAASWRVAAVALLGAVNCVVSFVVFSFLDLLDMVLCVVYKVVDYAVEAEWKACYCSAAARDGAAAAIFVPPASASAAPGPKVVRLSPSSAKMQLEDVSDTLYVRPSLLSDATKKSGPAAPSLTVSPAIAELIRGKIGRAAPRPPRHAAPCWSDCDCKVCHSWSASSRSSHLYVHVQSPTTASGVETEDVVFVHGFISSSVFWTETVFPAFSEAAKGRYRMFAVDLLGFGRSPKPADSLYTLREHVEMIERSVLQRYRLRKFHVVAHSLGSVLALALAVKYPDAVQSLTLLAPPYFPVPEEEAGAATQYVMRRVAPRRVWPPIAFGASMACWYEHVSRTICLTICRHHRTWDRLFRLFTRNRMRTFLIEAFMCHTHNAAWHTLHNIICGSAGKMDSYLDVVAGQLACEVAVFHGRDDELLPVECTLAVGARVPRARVTVYDHKDHITIIVGQEKLFATELEDIWRRSAAAAAAGDGE >ORGLA06G0020200.1 pep chromosome:AGI1.1:6:1548916:1549896:-1 gene:ORGLA06G0020200 transcript:ORGLA06G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium-protoporphyrin IX methyltransferase [Source:Projected from Arabidopsis thaliana (AT4G25080) TAIR;Acc:AT4G25080] MARAAVSTAPLSRVHSPPPLIPRHPHSHSRVGLLHPQRKALTTAAALPPAADLPPLSLPAAAAAAAALAAAVSLSDPERRRRAQAEAAGGGDKEAVRAYFNSTGFERWRKIYGSATDGVNRVQLDIREGHARTVAATLSMLRDSPVPLAGATVCDAGCGTGSLAIPLASQGASVLASDISAAMVSEAQRQAEAAAMAASDTFRMPRFEVRDLESLEGKYDIVVCLDVLIHYPREEAKQMIRHLASLAEKRVLISFAPRTLYFDFLKRVGELFPGPSKATRAYLHSERDIEDALRDAGWRVANRGFISTQFYFAKLFEAVPIAAASQ >ORGLA06G0020100.1 pep chromosome:AGI1.1:6:1546732:1547295:-1 gene:ORGLA06G0020100 transcript:ORGLA06G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTARFFLPVRPRPDATISGGRKPYLVAVSARPRRGGSRRNRSWGDGGGDDEDGAADDRIDANFFGDARDEPDPEPEDAAASGRRPSSPAPEQEPAGQLRGSDVLRALQRAAAAKEAKRRKRAGARPAARRQDAGERRGGELAAVGAARPIEIRREWATRIRELELRVKQLVDKHHHSPPPSRSEA >ORGLA06G0020000.1 pep chromosome:AGI1.1:6:1543241:1544602:-1 gene:ORGLA06G0020000 transcript:ORGLA06G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein [Source:Projected from Arabidopsis thaliana (AT5G42090) TAIR;Acc:AT5G42090] MVAASPLAAAAALALLLLLLAAPAAAEIRETVIRSDPRSIIPLDEFGFSHSGVLELNVSGIAFDPPASSELDLSQLGFFLSTLDAWVHVLRQLQDLDVTCALQADLVKLAYSFDRLRPPSNPAGVEVARSSSFSTAFPVSEPGQYTLVFANCLGGGLKVSMDVRSAMYNVDPPTGERSYLSAGATALPTIFGFFGVAYAALAAGWIAILLRKRAAVFRIHYFMLAVLVLKAVNLLAEAEDKSYIERTGTAHGWDVLFYIFSFLKGISLFTLIVLIGTGWSFLKPYLADREKKVLMVVIPLQVVANIAQVVIDESGPYARAWVTWKQVLLLVDVICCCAVLFPIVWSIKNLREAARSDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALMTITSYRYQWTSYVAKELATLAFYVFTGYKFRPEVHNPYFAIDDEEEEAAAEALKLDDEFEL >ORGLA06G0019900.1 pep chromosome:AGI1.1:6:1538352:1540168:1 gene:ORGLA06G0019900 transcript:ORGLA06G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCAGLPGVAYEIMRANGLTRAWQSAKKGWGFSTCNPTEWASDSMASKWQLPSAQAYVQAHETVQFAALSSDQLQPTVQMQRMGKQACLANKCKSRQHHQGIRLRLITKVIHGEDACNLALAKQEHDRKGKREPIAGLTFVRVTNMTKPTGNS >ORGLA06G0019800.1 pep chromosome:AGI1.1:6:1522256:1524742:-1 gene:ORGLA06G0019800 transcript:ORGLA06G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSVVPPGFRFHPTEEELLTYYLKKKVASERIDLDVIRDVDLNKLEPWDIQERCRIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSSSNRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPSSASASVSVNLPSYYSSSSSSSSPMHGVAGDQGAQEEGWVICRVFKKKNLVHHGGGAAAASHHAAAKLAAAAMEGSPSNCSTVTVSDHVKAQMLHSSASDDALDHILQYMGRSGCKQETKPAAMSASSAAAAAALEQHLSTPQYGKFMKLPPLEHVAGGVGLLAAAGGGGEYCSAADASGIADWDTLDRLAASYELNGALSDVASGKNMAGFFDVVDQPAGAAAFSSGDGDLWSLARSVSSSLHADLTTMNNV >ORGLA06G0019700.1 pep chromosome:AGI1.1:6:1514166:1516051:1 gene:ORGLA06G0019700 transcript:ORGLA06G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISNVVTNTCCASPTPLLRRRATAMALRVQLVLAVAVVVPALGVAAGGATLGVNYGQVADNLPPPQAAAMLLRALNATKVKLYDADARVLSAFAGSGADFTVGLPDRLVPRLAADPSAAAAWVRANILPHIPATSITAVTVGNEVLTGNDSAMLRSLLPAMQSLHAALAACNLTSRVAVTTAHSLAVLSSSFPPSSAAFRRELLPYMAPLLAFLAKTGSPFLINAYPYFAYKGDPEHVDLNYVLFEANAGVGDPATGLRYDNMLHAQVDAVRAAICRANYGKAVEIRVSETGWPSRGDDDEAGATPENAARYNGNLMRLVAQGKGTPAAPGEALQVYVFALFNEDMKPGPASERHYGLFKPDGTPAYDVGVKAPTIGGSWKGRANGTSGGGAGGLVVAEGPGGADGAGQGTGFYTVSAAAHKVKRWRCWESLFATVVLVMASGLCWS >ORGLA06G0019600.1 pep chromosome:AGI1.1:6:1492583:1494691:-1 gene:ORGLA06G0019600 transcript:ORGLA06G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase [Source:UniProtKB/TrEMBL;Acc:I1PZ84] MPALAVDAAAPVAHAFACDAARFPAPLLGPAAAAAAVAEKPDAAAWSADLSSALYNVDGWGAPYFFVNDDGDVAVRPHGAATLPGQEIDLAKVVAKAAGPRSGGGLGLPLPLLVRFPDVLRHRVEALNAAFDYAVRSTGYGGRYQGVYPVKCNQDRHVVEDIVEFGEPFRFGLEAGSKPELLLAMSCLAARGNPDALLICNGYKDDEYVSLALIARTMGLNTVIVLEQEEELDIVVDASRRLGVRPVVGMRAKLRTKHAGHFGSTSGEKGKFGLNAAQILSVVAKLKTLGMLDCLQLLHFHIGSQIPTTALLGDGVGEAAQIYCELARLGAAMRVIDVGGGLGIDYDGSHSAQTDMSVAYSLEEYAAAVVAAVGRVCDRKGVAHPIICSESGRALVSHHSVLVFEAFSASAPGRIDPATGYLLDELTDDCHADYRNLMAAAVRGDFDTCALYADQLKRRCADQFKDGVLGLEHLAAVDSLCEIVARGMGAAEPPRTYHINLSVFTSLPDMWAIGQMFPIIPIQRLGERPAVDGVLSDLTCDSDGKVDHFIGGRHSLPLHELPVHGTRGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVSQSDGPHCFAVTRAAAGPSCADVLRSMQHEPEVMFEVLKQRTDGATAAALARAFGAMPYLSFDPEAAAMASGESSGMSSDSEGSAAGAAEEDDDEWEFMRGLTV >ORGLA06G0019500.1 pep chromosome:AGI1.1:6:1486260:1488965:1 gene:ORGLA06G0019500 transcript:ORGLA06G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNTQGDHLRKIKIVAQNFDNDSKSYGRRMERNTQVAKFVEHVQYRFLSSRGRYVWFNISSQKLRIVQIYMQRTALFTNPFSKSFKQLDLSSHRMSHLQKADYSDSISSAANAYPQNQSASDLPHNLGGKESNNPSNPSSLTKLTRFSNDVTADGHPYDRRED >ORGLA06G0019400.1 pep chromosome:AGI1.1:6:1466612:1471762:1 gene:ORGLA06G0019400 transcript:ORGLA06G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G29860) TAIR;Acc:AT4G29860] MALAEAENPPRRRRPAPDPVAVLRGHRAAVNDVCFHPSLPLIFSGAADGELRVWDTASHRTVSSVWAHGGSAGVYSVAASTRLGNKIISQGRDGSCKCWEIEEAGLSRRALYTIRTSTYHFCKMSLVKSTCSTCCTQSGLISATGDIESQSTVTEERELGTCCKGPNIMAIAGQESSQVELWDIDNAIKVMCLPETGSANLADHPTKQRGLCMAVQAFFPCGAAYVNILSSYEDGSTLLWDVRNPGLPVSSVKYHSESALSIAIDGLCNGGISGGADDKIVMFGLDHQKGAFILRKEIKLERPGIAGTAIRPDNKIAATAGWDHRIRVYSYSKGNALAVLKYHSASCNAVTFSPDSKLLASCSADTTVALWELYPPKTDSQVGLKTRDEISQ >ORGLA06G0019300.1 pep chromosome:AGI1.1:6:1463697:1464215:-1 gene:ORGLA06G0019300 transcript:ORGLA06G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:I1PBX5] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ORGLA06G0019200.1 pep chromosome:AGI1.1:6:1460892:1461707:-1 gene:ORGLA06G0019200 transcript:ORGLA06G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEEVAAAAAAAGEAPPPPPLAVVEEVKEAVEAPKPEEAPKAEEGEEKKAEGEKEKEKAKKERKPRARKPRSAGPHHPPYFEMIKEAIMALDGNGKAGSSPYAIAKYMGEQHMGVLPANYRKVLAVQLRNFAAKGRLVKVKASFKLSAAEEKKATAAKAARSKAAKGIVGGAKRKRTPRPSAAAAKKPASSAEAKKAVPPARPARAKRARKAAPAKPMQPPKSIRSAISKKANKASA >ORGLA06G0019100.1 pep chromosome:AGI1.1:6:1454823:1457050:-1 gene:ORGLA06G0019100 transcript:ORGLA06G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLGHRENGRQRPDQYKGLHTQWMMPQTQRHLKDHQSMNLLALMNDRDNAIRERDHALAEKKAAIAERDMAFTQRDAAMAERNAAVVERDNALAALELARTNGLNMNNGNGFPQGSLSGSKNIHHHDQLSHAQSSPLQLADSPYDHAREMHISEAYPISTAPGSAGKAKRPKKNSSQASPLKRPSGVLRKTKKPSGDWKNVGMSGCGDDSAHASVMKNEWKDQNLGLNQVAFDESTMPAPACSCTGKLRQCYKWGNGGWQSSCCTMNISMYPLPVMPNKRHARMGGRKMSGGAFTKLLSRLAAEGHDLSTPVDLKDHWAKHGTNRYITIR >ORGLA06G0019000.1 pep chromosome:AGI1.1:6:1453093:1453664:1 gene:ORGLA06G0019000 transcript:ORGLA06G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:I1PZ78] MSVTLHTNLGDIKCEVFCDQAPRTAENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFADEFRESLKHNARGVMSMANSGPNTNGSQFFITYAKQPHLNGHYTVFAKVIHGFEVLDLMEKAQTGPGDRPLAEIRLNRVTIHANPLAN >ORGLA06G0018900.1 pep chromosome:AGI1.1:6:1447741:1450897:1 gene:ORGLA06G0018900 transcript:ORGLA06G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGDGGAAKKKKKRSASAASERRPRADGGMRIVVPLQGVVQGRGGLVLGSLIPCALFYFFQLYIKRNRASPPPPPGSPTAASAAAVSPIHRSLSRGLLAPRAALPAISARGASVRDDDSLYYAGLRRCAADPYHPATNPSGIIQLGLAENYLSLDLVGRWMEEHAAEAASMAGGEDEDERELSIRGLAAYQPYDGILALKMALAGFMRQIMQGSVSFEPSQMVITSGATPAMEILSFCLADPGNAFLVPSPYYPGWDRDIKWRTGIELIPVPCRSTDNFNISITALEIAYNQAKKRGIKVRGVLISNPNNPTGSFVPKQTLHDLLEFAAEKNIHLISDEVFAGSTYGSGKFVSVAEVVDDLEDFDKGRVHIIYGLSKDLSLAGFRVGVIYSYNESIVTAAAKIARFSSVSTPTQRLLVAMLSDQKFISDYLKVNRERLHKMYHLFVDALDQVGIECYKSSGGFYCWADMSKFIRSYSEKGERKLWDRLLEEAKVNVTPGSSCHCIEPGWFRCCFTTLSEHDIPVLVQRLRTITDSHKPNR >ORGLA06G0018800.1 pep chromosome:AGI1.1:6:1432194:1437934:-1 gene:ORGLA06G0018800 transcript:ORGLA06G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDEDMRDVSSTSSSSCGGGEGAGFEEGMGEGVMVMEVRWFEVDLEYEFDAPRWFDLAVEESPVEAAAAQLWFASAPSYPPSPLIAKMLAEDLGLQSLRSTVDIDAAHCSKSSHECSNGAEQTIHRPHIPNEGRIPCHQVSANERKHGVRTIGKGTIPKGSTLMKPTASQLARQNRQIEVKNSTRSKKSVGVRSDRSTMSSNDCTYQAAKRQRLERGHLNKVVSTNQPELIHKNHAKNVMTSSSDHAIAVPKLKITIPREPELATKLRAERSRILRAVPTNSKQLNKQAAQSISMTQASSIRKVVQPSGRNDHQHASVPHRGIGSNVPVCTANRPRHLDNICKTPDECRDDLFKFKARPVDKKILGSKGDIGVFQNAKRSTTVPKEFKLSTGRKGKQAPLSELFNKLTLTTEARRALDHRTADLPNYITTKDCKENMIGNMQS >ORGLA06G0018700.1 pep chromosome:AGI1.1:6:1423678:1429833:1 gene:ORGLA06G0018700 transcript:ORGLA06G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAPWLWWWVVVVVGVAMAEAASGGGGGGDGEGKALMGVKAGFGNAANALVDWDGGADHCAWRGVTCDNASFAVLALNLSNLNLGGEISPAIGELKNLQFVDLKGNKLTGQIPDEIGDCISLKYLDLSGNLLYGDIPFSISKLKQLEELILKNNQLTGPIPSTLSQIPNLKTLDLAQNQLTGDIPRLIYWNEVLQYLGLRGNSLTGTLSPDMCQLTGLWYFDVRGNNLTGTIPESIGNCTSFEILDISYNQISGEIPYNIGFLQVATLSLQGNRLTGKIPDVIGLMQALAVLDLSENELVGPIPSILGNLSYTGKLYLHGNKLTGVIPPELGNMSKLSYLQLNDNELVGTIPAELGKLEELFELNLANNNLQGPIPANISSCTALNKFNVYGNKLNGSIPAGFQKLESLTYLNLSSNNFKGNIPSELGHIINLDTLDLSYNEFSGPVPATIGDLEHLLELNLSKNHLDGPVPAEFGNLRSVQVIDMSNNNLSGSLPEELGQLQNLDSLILNNNNLVGEIPAQLANCFSLNNLAFQEFVIQQFIWTCPDGKELLEIPNGKLISDCNQYINHKCSFLGNPLLHVYCQDSSCGHSHGQRVNISKTAIACIILGFIILLCVLLLAIYKTNQPQPLVKGSDKPVQGPPKLVVLQMDMAIHTYEDIMRLTENLSEKYIIGYGASSTVYKCELKSGKAIAVKRLYSQYNHSLREFETELETIGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLNWDTRLRIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEAHLSDFGIAKCVPSAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDSEVSVTCTDMGLVRKAFQLALLCTKRHPSDRPTMHEVARVLLSLLPASAMTTPKTVDYSRLLASTTTAADMRGHDVTDIGDNSSSDEQWFVRFGEVISKHTM >ORGLA06G0018600.1 pep chromosome:AGI1.1:6:1406011:1413204:1 gene:ORGLA06G0018600 transcript:ORGLA06G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:Projected from Arabidopsis thaliana (AT2G45500) TAIR;Acc:AT2G45500] MSFLRALADSLSSLLYSAAAKGGDMDGAAAAPSPAAVVGERVAVKLRGYFELAKEEIDKAVRAEEWGLPDDAAAHYRNALRVMLEAKAARVPDAVSSSERGQVRVYQEKIAKWQTQVEERLRVLGQRSGVAAPVPKKVVTNNPVNRNDRAASTSFHRPTSQPSPTFNRGGQASSHQKSSSGGAKPVQRAGANYDDKLVEMINTTIVDRSPAVKWEDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAVDRQPSVIFMDEIDSVMSARLANENDASRRLKSEFLIQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKTQLKGQSFKLSSHDLERLAADTEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLKYEDFKKAMTVIRPSLQKSKWDELEKWNEEFGSS >ORGLA06G0018500.1 pep chromosome:AGI1.1:6:1399342:1402751:-1 gene:ORGLA06G0018500 transcript:ORGLA06G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQLTYLAASAGVCLASALAIALLSIALYIIGVVASFAVLCAKEFAERAHDRPPLVGTVFRQLKNFDRMFDEHVNYATAHRTSRIVYPGHCEVFTSDPAVVEHVLKNSFSKYSKGDFLTTAMKDLFGDGIFATDGDMWRHQRKLASYEFSTKVLRDFSSDTFRRNAAKLAEKISCAAANRISINIQDLLMRATMDSIFKVGFGFELNTLSGSDESGIQFSKAFDEANSLVYYRFVDIMWKLKRYLNIGSEAKLKRNIQIIDSFVMKLIHQKREQMKIAADYKTKEDILSRFVLASEQDPGTMDDRYLRDIVLNFLIAGKDTTGNTLTWFFYLLCKNPIVQDKVALEVREFVEWSKEDNTIESFTKRLDEGAISKMHYLQATISETLRLYPAVPVDAKMADEDDVLPNGYRVVKGDGINYMIYAMGRMTYLWGEDAQEFRPERWLVNGVYQQESPFKFVSFNAGPRICLGKEFAHRQMKIMAATLIHFFKFRLEDESKEPIYKTMFTLHIDNGLHLLANPREISP >ORGLA06G0018400.1 pep chromosome:AGI1.1:6:1397362:1398708:1 gene:ORGLA06G0018400 transcript:ORGLA06G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKGQRRREKNYRAAHGGESRLPPPPKQRELEALPSKLRRLIAIQEKHKGGEKGAGAGESSATGKQGESDAAKNKARKDKKTKKQNLEPIADSKAAEISGKDGPIGDENASVDESKRKRKRGKAVDLRFKELDEAVAVSKKQKRKKYLDEKKKKRKGDKMETLPEFPGREKVKFGEVVEAPPKLSFPKVKNALDATREMLRKEAIENYRNIKGWTSRPGLQLPTLAENKSL >ORGLA06G0018300.1 pep chromosome:AGI1.1:6:1393635:1396067:-1 gene:ORGLA06G0018300 transcript:ORGLA06G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 14 [Source:Projected from Arabidopsis thaliana (AT4G11980) TAIR;Acc:AT4G11980] MAAAARQCLLGFRRAAPPPLPLLSTRAPSPAPSRRRGARMASSGDDAPPLSTTVAVPGAGEPVRVVAAPGLTEADFTSAVESSLFRQWLKNLQEEKGVLTYGRLNLRQILIQGVDMFGKRVGFVKFKADIIDEETKAKIPGIVFARGPAVAVLILLESEGQTYAVLTEQVRVPVGKFILELPAGMLDDEKGDFVGTAVREVEEETGIKLNLEDMIDLTALLNPDTGCRMLPSPGGCDEEIGLFLYRGHADEDTIRALQGKETGLRDHGELIKLRVVPYSQLWRATADAKALSAIALYEMAKKEGLLPSSPTTSRRRGSSSSANL >ORGLA06G0018200.1 pep chromosome:AGI1.1:6:1391373:1391756:1 gene:ORGLA06G0018200 transcript:ORGLA06G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRSTSWPSTLLQTSLAAVQARHCRSPSSPSPVAAVRIVYADYYAVVAEMVQAPARLGLRSGIAACCGAGGGEYNWEYEARCGMRGAAACADPSSAVCWDGGHTTEAANRVIAGGWLRGPYCHPPILH >ORGLA06G0018100.1 pep chromosome:AGI1.1:6:1377893:1382146:1 gene:ORGLA06G0018100 transcript:ORGLA06G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADQIPEWKGYYINYKLMKKKVKQYGQQVQQGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGALASRIEKLGKQRAILAEQPDISAIAELREAYREVGLDLIKLLKFVDLNATGIRKILKKFDKRFGYRFTDYYVTSRSNHPYSQLQQVFKHVGVGAVVGALSRNLADLQERQGSYLSIYDQPSTALKDPIIDMINSSVDKLTRSTNFLRFLGQHALIVGEESPSTAEEEEIEDQKYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQIFSSVYFSAWSNKSYFRPLIFSSIVLFLGNVCYAMAYDMKSLTVLIIGRLLCGMGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWKFKIYMVTFNQSTLPGWVMAVAWLLYLVWLWISFKEPNRATEVNGTQQNPASVQRADIEQLENGLAQPLLRDSSKKDEDDDEEVDDSEEGTHDSRKPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITNHYFNWNTSAVAIFLAILGLTVLPVNAVVGTYISNMFEDRQLLMVSQITLLVGIIFSFKITSTYSVVQYVVSALVTFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADCTITAAGYLGIGKLLNVTLLPSLVICAASIASTFLTYNSLF >ORGLA06G0018000.1 pep chromosome:AGI1.1:6:1376483:1376896:1 gene:ORGLA06G0018000 transcript:ORGLA06G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPPAASEFALRFYLFTRGGLARGWFGDRLGMPEEEQLRVVGGGGGGAEEGAEEEAAEPPRAEEGEVRPWRRAAPCAAPASPPGIAAAAVSRRGRSLRSRFRTRAPSSRRRRHLLPAHPRRFARFWRRCCTCGNC >ORGLA06G0017900.1 pep chromosome:AGI1.1:6:1369614:1372746:-1 gene:ORGLA06G0017900 transcript:ORGLA06G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT4G27750) TAIR;Acc:AT4G27750] MSRKEVVVAAAGGGAGAESGAEGSSSAGSSGGGGELAEALARRRLYREVTLALRTGLRDAKADFSFLRARGLRSLLGFLRSTASATDDSQLLLFRHSQSIPDLQVIPVLFQNSLHQPKEDPVVTLDHIFGVEPMKITSPPTDNEIALALRVLEGCCLLYSRCTALAHKYKAVKVILNILANRGPAEQGVCLDALISLMLDSPPNQMDFEEFSGLEKVAELLKDVQVEEHIRLKCGEFLLLLIGHVYAKENSPIHEQMRILFGEQCASLIWAASRFGSTLDVEQRQMALQIQARRVVESLEPY >ORGLA06G0017800.1 pep chromosome:AGI1.1:6:1366452:1367753:-1 gene:ORGLA06G0017800 transcript:ORGLA06G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDLVDGYKFGDQTTSDVRVCFKRADDQAEWFCCHSSVLSGNSKYFADWLSRNDIGSNNCIEVDCISADYEHYVKVLKLIYLPAESIIDSFESVRSAVGVLRASTLLKCELITRSCIEYLEAASWDEKEEEEILEVAQSLGSEEAVALLARLQAPNVSAVKNVFISAIRFATSMESPSPPFLDDLKTSAQEQIDFMLHEDDDTALVTMDEDVRSVVREGLKKLFSTLKIGLDLLTSEYEQLPEQAEQRVLCSLADIDWMANVLTKIEMMNEFVSGWSEISGYVLSVVQDKKYSSGLWLVKAKLIEVTGKAFDAVGYGSVVFPASSRVHFLRMWLPFMQTTKRLLDEKSKDDAIPQMDADLFQNIEGAIVSLVLALPSGDQADILGEWMKNAEQFRYPDLTEAFEVWCYRSKTAKRRLVGGLNGSGNPTVSL >ORGLA06G0017700.1 pep chromosome:AGI1.1:6:1360992:1364060:1 gene:ORGLA06G0017700 transcript:ORGLA06G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLRYLAGTAGASGFGSRATAEDATAACSDLRHITAIITGATSGIGAETARVLAKRGARLVLPARSLKAAAEARARLLAECPAAAGDVVVMPLDLSSLASVRRFVARFLALGLPLNLLINNAGKFADRFALSDDGVEMTFATNYLGHFLLTKLLMEKMAETAAATDVEGRIVNVSSTIHSWFAGDDAVGYIDAVTRRKIPYDPTRAYALSKLANVLHTRALADRLKEMKANVTANCVHPGIVRTRLIRERDGLVTNTVFFLASKLLKTIPQAAATTCYVAVHPAVAGVSGKYFADCNEASPSRLGSNADEAAKLWRFSDEVAAEEKEESVHAGSFRLQVQSSNADRGLAFA >ORGLA06G0017600.1 pep chromosome:AGI1.1:6:1354173:1355357:1 gene:ORGLA06G0017600 transcript:ORGLA06G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLLLPVPVPVPPSLLYAVAALALAAVAHLLHLPSLLLYALHTYIHPDAVPSSTPRAVLRPPGAAAGSGNPKQQRGGGGGGKAAASPFDEGSNSAQLYRLRLSHATLATRPRFADFHLALLLPLALLPPALLLPASAAGAAAPLAPLPPVVFLFVALLRLVMLPSPRPAYLAAALGALLVATLLSSSPFAGALASLAALPATRFARSFWLGTDQPRSGLAVLASSAPARLLLYLAVLISSAASILQCCGFLDSPELEVKLLAAAAGLQLLASRAAVQMYLNEAVFCWYQRLHVSRSPDTEYGRAKVFLHNHHLCAVATQLVAPPLLVLSLLALWRVQGKDYFEGVEELNWLVGWSVAMKEAALLAARWIVAVWSTVTVGTLVFYKRGWLFVL >ORGLA06G0017500.1 pep chromosome:AGI1.1:6:1351089:1351775:-1 gene:ORGLA06G0017500 transcript:ORGLA06G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAMFRFMSKNGGGDGCGGGGGGGGGGGGVALEVTVLSAESLRLPPPSYYSLIPRRLRPYVTVSSAASACSTDVAAAASGEHSWNDTLVVPVGAEFLESRGGGGGVHVAVYSEPACRLVGGATPLGWCRIPAADVLDGLRPPRALRRLSYSLRCPRTGGPARGVVHLAVRVLGDLVPPPPPQHAPSTPPAQPGWCRVAMGIPVSGTSAAVVGMPAWAAWGGEAAASR >ORGLA06G0017400.1 pep chromosome:AGI1.1:6:1345141:1349777:1 gene:ORGLA06G0017400 transcript:ORGLA06G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XB3 ortholog 3 in Arabidopsis thaliana [Source:Projected from Arabidopsis thaliana (AT5G07270) TAIR;Acc:AT5G07270] MGNSLGCVGLGERLAAAAKDGDAAEAQRLLAANPGLARCTTFGNLNSPLHVAAAKGHHEIAALLLENGADVNARNIYGQTPLMQACRFGHWEVVQTLLVFRCNVWRVENLSGRTALHMAAAGGHVKCVRLLVADAAGDRDGYMNKAANGGVTALHLAALHGHVECVHLLIDERASLAAQTLPCAAPPMASIGAGSTPLHYAACGGEVKCCQILVSRGADRTAINCNGWLPIDAARIWGCNWLEHVLSPKSHLPIPKFPPSGYLSQPLPSLIAIAREQGLNLSSEVSDGFDEGADACAVCLERPCTVAAEGCDHELCVKCAMDLCSVIKSYDSAGIAGEIPCPLCRTGIASFRTIAAPPPPSLAGSPGRRSRRNNSGGGGGEHEASNSGGSEKGYGSIDPDAGAVVLLYYAPPFAPSAILT >ORGLA06G0017300.1 pep chromosome:AGI1.1:6:1337073:1340220:1 gene:ORGLA06G0017300 transcript:ORGLA06G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L29 family protein [Source:Projected from Arabidopsis thaliana (AT1G07830) TAIR;Acc:AT1G07830] MLSLSRALGRRLFSSSSAAASDATAAAAAVVRKAQNPLEEFFEVERSTEEDKPPPHYGRSWKASELRLKSWDDLQKLWYVLLKEKNMLMSQRQMLHSENMRFPNPERVSKVKKSMCRIKHVLTERAIAEPDPRRSAEMKRMINAL >ORGLA06G0017200.1 pep chromosome:AGI1.1:6:1328822:1335873:1 gene:ORGLA06G0017200 transcript:ORGLA06G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo sac development arrest 7 [Source:Projected from Arabidopsis thaliana (AT3G56990) TAIR;Acc:AT3G56990] MASNNGAGTLRSTSINGVKLYSITGNRYVAPWVLSKKKRALRKDAEYQRRLDLIHDLRFETATTKIKVTPDEQYVIASGIYPPQVKVYELKELSMKFERHMISEIVDFQVLGDDYSKLAFLCADRSVCLHAKYGRHYSLRIPRMGRDMAYDCWSCDLLCAASSPDVYRINLEQGRFLASLSSQSPAINVVSRSNIHGLIACGGEDGAVEFFDMRRKSSVGRINTAVSPEDFNQEVTSLQFDENQGYLMAVGSSTGKVAIYDLRMSSPLRVKDHMYGSPILSIKWHQTLNSTEPKLITADKHIVRVWDPNTGNNMTSIEPDGGTINDVCVFRNSGLMLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEEKTENIVYEDFKFLTKDEMDRYDLSKYIDQGLVRAHMHGYVMKLQLYKKLLATSAVDPENIQEKVKQKKIEEQRKSRITQVVKIPKVNRQIMDNILKEEEEMDADLENDEKSGIKKKKKKLEMNKALLTDPRFKEMFENKDFEIDEQSREYLALHPQTSLKEPRLIEEHFETVSDDEEQEDASSSDASAESDSDNGMQSSKRIRLYEVKDDRHAEAFLNSTSLANEDALPIGDRVAALERQRNSNALDEVKYGPGGSREISFIARGSRRRNEESDDEEPKDFKRRGVQSLGLKQGKAEYYLFGGSRGRGRGRGGGGGRGRGGGGGGGRGGGGGGGGGRGGRGRGRGRGRGRG >ORGLA06G0017100.1 pep chromosome:AGI1.1:6:1318364:1326794:-1 gene:ORGLA06G0017100 transcript:ORGLA06G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTSRILAAGHLLRGSRSRYDPSPVAAAAPIFRRPPTVPRPLPSPLLGGFGPNCWVYPGDGKYAPFGRLRCFMSDSTYPPPPRDVRGHAFSTSANAVAVGKSSDDKVKKDISKKDVDDQIADTQILKNLGKYLLLNDSPDFRFRLILSLGLLVGAKVINVQVPFLFKLAVDWLAALAGAETSLASFTEANATLLALFASPAAVLIGYGIARSGVSACTELRNAVFSKVTLRAIRSVSSTVFSHLHELDLRYHLSRQTGALNRIIDRGSRAINYILTVMVFNVVPTILEIGMVSSILAYKFGSTFAWITSVSVATYIAFTLAVTQWRTKFRTAMNKADNASSTVAVDSLLNYETVKYFNNEQFEVEKYDKYLKKYEDAALKTQSSLAYLNFGQNIIFSSALSTAMVLSSYGVMSGALTVGDLVMVNGLLFQLSLPLNFLGSVYRESRQSLIDMKSMFQLLEEKPGIKDEPHAQPLQFKGGRIEFENVHFGYVPERKILKGATFTVPAGKSVAIVGTSGSGKSTILRLLFRFFDSSSGSIRIDGQDIREVTLDSLRKCIGVVPQDTVLFNDTIKHNIQYGRLSATDEEVYDVARRAAIHDTIMNFPDKYNTVVGERGLKLSGGEKQRVSIARVFLKEPSILLCDEATSALDSTTEASILNSLKTLSVDRTSIFIAHRLTTAMQCDEIIVLENGEVVEQGPHDFLLSKGGRYAELWSQQNNSDAIDAATVSLEVS >ORGLA06G0017000.1 pep chromosome:AGI1.1:6:1311595:1315448:-1 gene:ORGLA06G0017000 transcript:ORGLA06G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNVALVIVAAVVSVLVLLVSVYLLINYQHPDDANQAYFPKLVVVLGITVALLSILMLPADVANRQACRRAIYSGACSLTLPMKTLWLAVYIADAVLVFLVIPFAMFYYEGDQDKSVGKRLTSALLWVAVSAVVCGLILGILYGLVGKVDFTVRHLSSAVETFPNSFTSFSTGQPCISTSPKQCAAYTAPANSQTTWTMRATFPEYVVALATIVGSVLFTIFGGVGIACLPLGLIFSFVRRPKAVITRSQYIKEATELGKKARELKKAAEALHQEERSGKKGRKWRKNVKALGKELVLLEDDMKALEEMYPQGEQAEATWALTVLGYIGKLLFGAVGLIISIAWVAHIVIYLLIDPPLSSFLNEIFVKLDGVWGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFVSAFFQSIQSIRMKIWKNVGLLICPELFVQGWRKRKPTGRFQLSN >ORGLA06G0016900.1 pep chromosome:AGI1.1:6:1304182:1310523:1 gene:ORGLA06G0016900 transcript:ORGLA06G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTMKLPLPAMAAVVALCAASYLLAVWTHPAPPLPASSLAAVPCNTRQPPAPAASKNDTALDFSIHHGASEEDAAEAGAPPLRRVPACDAGYSEHTPCEGQRWSLRQPRRRFAYRERHCPPPAERRRCLVPAPRGYRAPLRWPRSRDAAWYANAPHEELVAEKGVQNWIRRDGDVLRFPGGGTMFPHGADRYIDDIAAAAGITLGSGGAVRTALDTGCGVASWGAYLLSRDVLTMSFAPKDTHEAQVLFALERGVPAMLGIMATKRLPYPARAFDMAHCSRCLIPWSKYNGLYMIEVDRVLRPGGYWVLSGPPVNWERHFKGWKRTPEDLSSEQSAIEAIAKSLCWTKVQQMGDIAVWQKPINHVSCKASRNELGGLGFCNSNQDPDAGWYVNMEECITPLPEVSGPGDVAGGEVKRWLERLTSPPPRIAGGSLGSSVTVDTFIKDSEMWRRRVDRYKGVSGGLAEKGRYRNLLDMNAGLGGFAAALVDDPVWVMNVVPTAAVANTLGVIYERGLIGTYQDWCEAMSTYPRTYDLIHAYSLFTTYKDRCEMEDILLEMDRVLRPEGTVIFRDDVDVLVKIKNIADGMRWESRIVDHEDGPMQREKILVSVKSYWTA >ORGLA06G0016800.1 pep chromosome:AGI1.1:6:1301185:1302182:1 gene:ORGLA06G0016800 transcript:ORGLA06G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTTLLACCKLYISESRNDAALRAIEQAARGGGGGAVVVNRFTDDAYNRVGYTLVAPLTPSPAPPPLRHAVLGMVRAALEAIDFGAHAGTHPRLGAVDHICFHPLAHASLRHVADLAGAVAADIGDELQVPTFLYGAAHREGRTLASIRRQLGYFKPNSSGDQWRGAPETDALPVAPDAGPERPPRSKGVVVVGATGWVDNYNVPVHTGDVEAARRIARAVSERGGGLPSVQAMGLAHGGGVVEVACNLLDPARVGAEQVQGMVERLAAGEGLSVGKGYFTDFSQDKIVDLYFRSAANTEG >ORGLA06G0016700.1 pep chromosome:AGI1.1:6:1296067:1297104:1 gene:ORGLA06G0016700 transcript:ORGLA06G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAKTLVPARGGGDMEEPLLAESSDRFSMFPIRYPQIWEFYKKAVASFWTAEEVDLSADARHWDAALSPDERHFVSHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDDVEKDRLFRAIDTVPAVRRKADWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLRGKLDESRVQEIVADAVDIEREFVCDALPVALVGMNGELMSQYIEFVADRLLMALGCKKMYNVANPFDWMELISLQGKTNFFEKRVGDYQKASVMSSLNGGASCNHVFSIDEDF >ORGLA06G0016600.1 pep chromosome:AGI1.1:6:1291072:1292910:-1 gene:ORGLA06G0016600 transcript:ORGLA06G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor [Source:Projected from Arabidopsis thaliana (AT1G63100) TAIR;Acc:AT1G63100] MLAGCSFSSSRHQMSTAQRFDILPCGFSKRGSRGDGAAPRVAGDARSGATTCSFRTHPAPPVTQSVSWGAKPEPGGNGNGAHRAVKRAHDEDAVEEYGPIVRAKRTRMGGDGDEVWFHQSIAGTMQATAAGEGEEAEEEKVFLVPSAAAFPHGMAAAGPSLAAAKKEEYSKSPSDSSSSSGTDGGSSAMMPPPQPPEFDARNGVPAPGQAEREALELVRALTACADSLSAGNHEAANYYLARLGEMASPAGPTPMHRVAAYFTEALALRVVRMWPHMFDIGPPRELTGDAFGGGDDDAMALRILNAITPIPRFLHFTLNERLLREFEGHERVHVIDFDIKQGLQWPGLLQSLAARAVPPAHVRITGVGESRQELQETGARLARVAAALGLAFEFHPVVDRLEDVRLWMLHVKRGECVAVNCVLAMHRLLRDDAALTDFLGLARSTGATILLLGEHEGGGLNSGRWEARFARALRYYAAAFDAVDAAGLPEASPARAKAEEMFAREIRNAVAFEGPERFERHESFAGWRRRMEDGGGFKNAGIGEREAMQGRMIARMFGPDKYTVQAHGGGEALTLRWLDQPLYTVTAWTPAGDGAGGSTVSASTTASHSQQS >ORGLA06G0016500.1 pep chromosome:AGI1.1:6:1284884:1288916:1 gene:ORGLA06G0016500 transcript:ORGLA06G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHHQEVQEQGHGDGEEEEQDNSQITSPLLRSSMRLGSPEENSPVEQVALTVPVGDDPATPVLTFRIWVLGTASCVVLSFLNTFFWYRKEPLTVTAISAQIAVVPLGSLMAAALPERVFFRGRPWEFTLNPGPFNVKEHVLITIFANAGAGSVFAINVITAVRVFYGKRISFFVSLLVVLTTQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKERRSKGGMTRTQFFLVAFVCSFAYYIFPGYLFQMLTSLSWICWIFPKSVLAQQLGSGLHGLGIGAIGLDWSSISSYLGSPLASPWFATANIAAGFFIYIYVITPIAYWINLYKAQNFPIFSDGLFTVTGQKYNISTIIDLQFHFDTKAYEKNGPLYISTFFSISYGLGFACLTATVVHVLLFHGSEIWQLSRSAFQDKKMDIHTKLMKRYKQVPEWWFISILIASVAITMFTCEYYIEQLQLPWWGVLLACALAIFFTLPIGIVTATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYIGPQQALAFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMDTIPNICNTELLPQGSPWTCPYDHLFYDASVIWGLIGPRRIFGDLGTYSAVNWFFLGGAIAPLLVWFAHKAFPGQKWILLVNMPVLIAGISQMPPATSVNYTAWIFVAFLSGYVVYKYRRDWWERHNYLLSGALDAGLAFMAVLLYLCLGLEKISLNWWGNDLDGCPLASCPIAEGITVQGCPVASA >ORGLA06G0016400.1 pep chromosome:AGI1.1:6:1280611:1283339:1 gene:ORGLA06G0016400 transcript:ORGLA06G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYAAAADAARHHHHHQQLPLSAAPAAGMPAPPPAASQVAAAAAAAGQPLKRPRPADFSDVPGAPEMAGYYSRDEERPGYRPARDTEALNASYERFLRTGQIQSYGAGAGHGPGAESIRPAAGGNAGYPVEDRPMMAGGGMEARNIGFGGGMPEPPLPPDASNTLFIEGIPTDCARREVSRILKSHAFFCLSLIFHCWSFTFPQDIFRPFVGFREVRLVSKEARHPGGDPILLCFVDFETASQAAIAMDALQGYKFDEHDRNSPHLRLQFARFTGPRGGSGPGGGRVRR >ORGLA06G0016300.1 pep chromosome:AGI1.1:6:1269603:1271766:-1 gene:ORGLA06G0016300 transcript:ORGLA06G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoenolpyruvate carboxylase-related kinase 1 [Source:Projected from Arabidopsis thaliana (AT1G12580) TAIR;Acc:AT1G12580] MAAAGGGGDAKYNSYKAAGLRGAILEAAHVSCLEDRYALGPQLGWGQFGVIRSCSDMVTGEALACKSIAKDRLVSPDDVRGVKLEIEVMARLSGHPNVVDLKAVYEDEASVHLVMELCAGGELFHRLEERGCFSEHEAAALFRYLMEVVAHCHSKGIVHRDLKPENILLVSKSPSSPIKLADFGLATYIQPGRSLSGMVGSPFYIAPEVLAGGYNEAADVWSAGVILYILLSGIPPFWGKTKSKIFECIRSTELRFPSDPWDKVSDSAKELITEMLRRDPRQRLTAKQVLEHSWIQDHADQSQDSCGHCHEINLRGEDAGSCSFSTPLASCSRDVSFNTGGPVACQSMSEEACSPTFACRSSFSAFVAENAPSCALSGFSFGGVCEPCNAVFPSPVASMPSFSFFCGQEPGEPESSPDGDALGEKAHCDATVVALVSSSAPRTAEVLRAAVRANPSRAIGMNSRRNHTIGAGEREHLDVAVAESVIRWASCTNLSTTHSLRASLVC >ORGLA06G0016200.1 pep chromosome:AGI1.1:6:1262393:1268924:-1 gene:ORGLA06G0016200 transcript:ORGLA06G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G01920) TAIR;Acc:AT1G01920] MAAAAAAAAAPGDAKLDSFLQWLQANGADLRGCTIRRCGREGYGVFSTAAEAGATDEVVMVVPLDLAITPMRVLQDPLVGPRCRALFEEGGVDDRLLVMLFLMVERLRPSSLWKPYLDMLPSTFGSSIWFTEDELAELEGTTLHRATVMQRKSLQTLFDNKVKGLVGELLNVDESGSSIEVRFKDFLWANSIFWTRALNIPLSRSYVFPESLDEKRANIGDDCGDSSLSAPQGTGTAITAKNISGNDNPKSSNTESIWVEGLVPGIDFCNHNVKALATWEVDSMGHVTGCPSSMYLVLADKSFVKAETEICINYGNKGNEELLYLYGFVIDNNPDDYLMIHYPVEALRQVQSADIKMKLLEIQNAELRCLLPRSLLENGFFGSCSGENKENKNNTSPFSSYSWSGQRKVPSYIEKIVFSQEFISTLRTIALQEHELEHTASLLGEIGSNEDREPSSDELRSAIWEVSGDNGALSLLVDLLRVKMTELEEGTGTEASDSQLLEKFDLSDSEDATRSDESNETKSKVNIRSCIVYRRGQKQLTKLFLREAEHLLELSSKEEN >ORGLA06G0016100.1 pep chromosome:AGI1.1:6:1253316:1254607:1 gene:ORGLA06G0016100 transcript:ORGLA06G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLESARADLALLILYLNKAEARDKICRAIQYGSKFVSNGQPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNALLSTFLFLDQIVWAGRTGIYKNKERAEFLSKIAFYCFLGSNTCTSIIEVAELQRLSKSMKKLEKELKHQELLKNEQYQMKLQKCNERRLALIKSSLDIVVAIGLLQLAPKKVTPRVTGAFGFASSLIACYQLLPAPAKSK >ORGLA06G0016000.1 pep chromosome:AGI1.1:6:1249050:1249292:-1 gene:ORGLA06G0016000 transcript:ORGLA06G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPPPPPTSLFPQWLLLLLDLPAHAVVVTLLVRQGREGAAAGRLDAFATASAASPPPTPLARAGDWRVEEEERGGEE >ORGLA06G0015900.1 pep chromosome:AGI1.1:6:1241587:1242929:1 gene:ORGLA06G0015900 transcript:ORGLA06G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASPKAKKGATVKFGSMKNPPPPPVVGAAAGAAAAAAGGKVPAEEVWEVRPGGMLVQKRGGGADEEPVNVKPVPTIRVKVKHAGITHEIYINSQASFGELKKMVAARTGLHPDDQKVMYKDKERDSKAFLDMAGVKDRSKLVVVEDPEARARRLIEERRNGHLEKAAKAVAAVTAEVDKLAPKVAALDASVRKGEKVAENDVVQVTELLMNELLKLDAVVADGDVKAQRRLQVKRVQKYVETLDAVMAKNAAIVRKSGEKLTSKQHHHPPARQQQQQPPPARQQQQQQQQAHQHHQQPAAGQTRWEMFDLLSSLPSTSSASSTTTVSSTASSGAPPPLPPPANRLDWMLF >ORGLA06G0015800.1 pep chromosome:AGI1.1:6:1227029:1229566:-1 gene:ORGLA06G0015800 transcript:ORGLA06G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGRMIPPALLLAAAVAAALATAVSGQGRPVTESGAQTAPTPSTFTPKDNFLIDCGSTSPVTTGGKVYKTDAQSNSLLSAKDAIKVATTDADVPSPLYLTARIFRDEAVYSFPLTVPGWHFVRLYLFPLKNSDFDLATATFTVSTDTNVLLHSFTAENKPVMKEFLVNATENHLAVKFYPLKGSAAFINAIEVVNAPDELITDTAMGIAPVGEMTGLAEAAYQVVYRINVGGPAIAPDKDTLGRQWDVDAPYVQSKEAVKDVSVPVGNIKFPDGTSKLVAPAQVYASCAKMADAGVGSPSFNMSWKMEVDPAFGYLVRLFFADIVSKSMNDLYFNVFVNGRKAISGLDLSTVTGELSAAYYKDIVVNSSIATDKLSIQVGPMGEDTGRVDALLSGVEVLKMSNSVGSLDGEFGVDGKKADDGSGSRKAVAAVGFAMMFGAFAGLGAMAVKWYKRPQDWERRNSFSSWLLPIHTGQSFTTSKGGSSKSGYTFSSTLGLGRLFSFAEIQAATKNFEESAIIGVGGFGNVYIGEIDDGTKVAVKRGNPQSEQGINEFNTEIQMLSKLRHRHLVSLIGYCDENAEMILVYEYMHNGPFRDHIYGKDLPALTWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDNFVAKVSDFGLSKDGPGMNQLHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLETLCARPPIDPQLPREQVSLAEWGMQWKRKGLIEKIMDPKLAGTVNQESLNKFAEAAEKCLAEFGSDRISMGDVLWNLEYALQLQDANPPEGADKPADHDGAGAAPATSSGSGVSTVPDESTTAAGEMFAQLADMKGR >ORGLA06G0015700.1 pep chromosome:AGI1.1:6:1218163:1223103:-1 gene:ORGLA06G0015700 transcript:ORGLA06G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPRSNLGLVPRDMHGSIPISTTNSSGPSIGVSSLVTDANSSLSGGAQLQPSTSMNADSFMRLPASPMSFSSNNISGSSVIDGPIVQQSPPQEQMQKRRSSSVTSQPVIDAVGALHAQKKSRVDIRQDDILQHNLIQQLLQGQSSLHLQGQQNPQIQALIHQHKLAQIQQQQQHQMLQPFSQIQQSQVGIPRQPQLRPPLAQPGMQLAGPVRTPVENGLCSRRLKQYLYHKRHRPENNPITYWRKLIDEYFAPRARERWCVSSYEKRGNPSGAVPHTAPDSWRCDICNTHVGKGYEATYEILPRLCQIRFDHGVIDEYLFLDMANEFRLPNGLMLLEHTKVVQKSIYEHMHVIHEGQLRIIFTPELKIMSWEFCSRRHDEYITRRFLSPQVAHLLQVAQKYQTVATESGPAGVSNSDAQNICNMFVTASRQLAKNIDHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFSHKNKLGPIEGLKSYPKQTAAKLPVQNMHEPKQLMAAAGLPNDQTNLKAMGVKAEMNTHANETHGIGPIGNGPQNAAALNNYQNPIGNGPQNAAALNNYQNILRSSVANQSLLQQEASSMFKGPTAMHNGIQLEASRSFRGPNQVHLAQFQHPASFQQPMPQQSSLQGLGVSPQYQQHVLHQLLQEAKNTNNRVLAQQQQQQQQLQHAPANSGLASGGTAITGSAASGDHMNNNGAVKGGTPMVTTGPSSVINNTASILPSRSNSFKSVSSNPQVAPAAGGGIGSGGHAATPKADALHELDDLDNLGNLISTELEESGLFLGDQAGGGYSWNM >ORGLA06G0015600.1 pep chromosome:AGI1.1:6:1212173:1213924:1 gene:ORGLA06G0015600 transcript:ORGLA06G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGQPDAMRRITVHYVNPPPIAGAGEAHVDGLDDEVLDYVIGDVLQDQEGLYQSILYGKYGDDMRGARNTALAQSDGLHYYYHGENSSGEATTSRNSEIDQQIEYDLVFARQLQAMDNLTIETPADEDDDISCVPSPSDSETDEPAEGNNEEAATQDDNDDPDNMTYEQRQALVESVGNENRGLSDLLISYLETWKYKSGFFPRKANHDNCPICLSAFRRRETLITLACKHSYHEGCIARWLKIDKACPVCKYEVFGPS >ORGLA06G0015500.1 pep chromosome:AGI1.1:6:1205090:1206841:1 gene:ORGLA06G0015500 transcript:ORGLA06G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08510) TAIR;Acc:AT5G08510] MEEAKQLHARALRRGVRLLQPLLLRVLAAGDHRYAARLLESYPAPPSAPLHNRLLHALASLHRPHPLLLPFFSRLHRLRLLTPLSFTLLFSSSSSSASSSTPFFLCSHSLLIKLGHFASSDPFLSSALVSFYAKSKLLVEARKVFDELTCRDTAVYNALLSAYVKGGLVDLAEKLFEEMPERNVVSWTAMVSGYAQNGRHEEAVETFLEMWERAGVQPNELTVSSVLPACAAVGAMELGRKVEEYARGKGLLRNVYVANALLEMYSKCGSIRQAWQVFQGIGRQQDLCSWNSMIMAFAVHGLWREALALFYKLRMAGVKPDGITFVGVILACTHGGLVNEGKLFFDSMEAEFGLKPRIEHYGCMVDLLGRAGLLIESYSLIASMPVEPDAVIWGALLGACSFHGNVELAELAMDKLIHLEPQNTANLVVLSNVYASSGKWDGVARVWKLLKEKDHKKSAGYSFIELDGTMHKFLVEDKSHPRFEEVYNTLNSVTMTMKLVSLENLEELKG >ORGLA06G0015400.1 pep chromosome:AGI1.1:6:1198932:1202210:-1 gene:ORGLA06G0015400 transcript:ORGLA06G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHHEASVQQAREEEEELDHHGDPMITSPLLRPSTSGSSPENGEENSPVEQVALTVPVSDEPETPVLTFRMWVLGTASCAVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAATLPEHAFFRGRPWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHVITGVRVFYGKTLSFFISLLVVLTTQYHQMLGFGWAGIFRRYLVEPASMWWPSNLVQVSLFSALHEKEARRKGGLTRNQFFLVAFVCSFAYYIFPGYLFQMLTSLSWICWVFPSSVLAQQLGSGLRGLGVGAIGLDWSSISSYLGSPLASPWFATVNVGVGFFIVMYIITPIAYWFNFYKAQNFPIFSDGLFTSTGQKYNVSSIVDSHFHFDTKAYEKNGPLYLSTSLLVTYGVGFATLAATIVHALLFHGSEIWLLSKSAFQEKRMDIHTKLMRRYKQVPEWWFICILIANIGTTIFACEYYNEELQLPWWGVLFACSIAFFFTLPIGIIKATTNQTPGLNVITEYIIGYLYPGRPVANMCFKVYGYISMKQALAFLEDFKLGHYMKIPPRTMFMAQVVGTSIAAFVYIGTAWWLMETIPNICNTELLPSDSPWTCPGDHVFYDASVTWGLISPRRIFGDLGTYSALNWFFLCGAIAPLLVWFAHKTFPGQNWILLIKTPVLIGATFQMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLEDISLNWWGNDLDGCPLASCPTAKGIVVKGCAVYT >ORGLA06G0015300.1 pep chromosome:AGI1.1:6:1192007:1195698:-1 gene:ORGLA06G0015300 transcript:ORGLA06G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASSHQEDREEEEHSHGDGEITAPFLRPSTSRGSPELEEEEENSPIEQVALTVPVSDEPETPVLTFRMWVLGTASCAVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAAALPERAFFRGRPWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHVITAVRVFYGKHISFFVSLLVVLTTQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKEARSKGGLTRNQFFLVAFICSFAYYIFPGYLFQMLTSLSWICWVFPHSVLAQQLGSGLSGLGIGAIGLDWSTVSSYLGSPLASPWFATANVAAGFFFIMYIITPIAYWFNFYKAQNFPIFSDGLFTSTGQKYNISSIVDSHFHFDTKAYEKNGPLYLSTFFAVTYGVGFASLTATIVHVLLFHGSEIWQLSKSAFQEKRMDIHTKLMRRYKQVPEWWFVCILIANIVVTIFACEYYIEQLQLPWWGVLLACAIAFFFTLPIGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYISMSQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYIGTAWWLMETIPNICNTELLPSDSPWTCPGDHVFYDASVIWGLISPRRIFGDLGTYSAVNWFFLGGAIAPVLVWFAHKAFPNQNWILLINMPVLIGATGQMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLENISLNWWGNDLDGCPLASCPTAKGVVVDGCPVYT >ORGLA06G0015200.1 pep chromosome:AGI1.1:6:1189899:1191503:1 gene:ORGLA06G0015200 transcript:ORGLA06G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16420) TAIR;Acc:AT5G16420] MAARRWAWGPPARAFSTTAKATVPLAHLAELPASLPTSRYTVTPPVQPWPRRLTARSLARLLLRLPTPHLAVLAFRHALFHAAPPLPPSLPVFAAVLSRLPAADPALLPPVLSALRAANLPAFSDRPFLPLLRSLPPLPSLRLFLSLPSFNSHPSVRSFNALLHSLVSARRLRLAAALFRAAPTKLYITPNLVSCNILLKGLVGIGDLDAALKVLDEMPGLGITPDVVTYTTVLSAYCGKGDIEGAQKLFDDIIASGRRPDVTMYTVLIDGYCQCGKLQDAARIMDEMEAARVQPNEVTYSVVIEACCKEGKPIEARDFMREMLGAGYVPDTALGAKVVDVLCQDGKSEEANQLWRWMEKKNVPPDNMVTSTLIYWLCRNGMVRKARKLFDELERGFKPSLLTYNSLISGLCENEELQEAGRVWDDMVERGYEPNALTYEALIKGLCKTGKPNEGATVFEEMVSRGFSPSRLLFQVLVDSLSEPRHEDTIIKILENAALRGRDFLDGNSWEIFIRKVVDTTDTSKKHLDLVLDM >ORGLA06G0015100.1 pep chromosome:AGI1.1:6:1188362:1188897:1 gene:ORGLA06G0015100 transcript:ORGLA06G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESRYVKVDSRFFLVDDNSSSSSCAGTGGGGDGDYHYLDACFLCKRDITFNRHIFMYKGNAAFCSDDCRQDQMDMDSALAAVKRRHRTLQRSRDMSSSSSPAPAPAQCAANEAGLFAVIPRRPTVADLTTHAAPAVSG >ORGLA06G0015000.1 pep chromosome:AGI1.1:6:1183191:1185699:1 gene:ORGLA06G0015000 transcript:ORGLA06G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRKWSLLSSTILIWGGAATAGLAGVFLFNAKEKFQKYLSGGGQRLRQQDRAAMGKN >ORGLA06G0014900.1 pep chromosome:AGI1.1:6:1178935:1179156:-1 gene:ORGLA06G0014900 transcript:ORGLA06G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFGTFGYVKFLSCTSGFPKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA06G0014800.1 pep chromosome:AGI1.1:6:1174683:1178330:-1 gene:ORGLA06G0014800 transcript:ORGLA06G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARSMLGSAISAAAAAAGEEMSLLMGVQKEIWFMNDELRTIQAFLIAAEAMKKKDLLLKVWAEQVRSLSYDIEDCLEEFMVHVRNQSLLQQLINVKDRHLIAVKILNLKSRLEEVSSRNTRYNSIKMEANNTFDEIESMEDVRNHSPSNIDKSKLVGFDTPQKELIDKINMDANDDDHCRVLCVVGMGGLGKTTLVRKIFESKEDIINNFPRRAWIVVSQSFSMIEMLKDMISQLLGHESLKRLEGKPIRAHDLGTHLRDGLKELRYFVVFDDLWNTDHXEWIREFALPSKNNKRSRVIVTTRLDGVANACTTEPFVYRLKLLETECAIDLLLRKMGENKEDMKNDNNLKSIVTQLVKKCGCLPLAIVTIGAMFANKPSSKWEEMCRQLPSELESNPSPGVKAIRRVVTLSYDHLPSHLKPCYLYLSIFPEDIEIKRRHLVNRWVAEGLVRARVGMTISDVGESYFDELISRSMIQPSRVNVEGRVKSCRVHDIMRDIIVSISKEENFVYSAGDNVPTVVVEKFRHLSCHGGNYPIVGIDFSRVRSLTVFGKLDQRPMLVGSSICLAQFTMLRVLDLENARFRVAQKDINNIGSLRHLRYLNMKTGIWSNNIYALPSSIGKLQNLQVLDIRKSEISTLPTDISKLLMLRILRCSKRNDYCYFDPQEPIECLKHMLRMPLMLTPLVGSTTRNATIAELHKAYSSHWSRTEGVRVPTGISKLKELQVLEVVDLKLTKSKAIQELGELHRLQKLWVTTKGAQDKKRKTLCEAIEKLSSLQSLCVDEDYYFRTETLECWVLAISPLLPCXESXSCMDVFAXCLTLLGTLSSCGRLFCILANXMVEPXRYLGHYPTSCSXISITNHMFGMDXHSKSTSSQISRSFVSGGXTNXEGXDLRRTPCPTWKEWKSKVASXDQGLLASSTLNSSRRFHLSVRWRGLICWKRKXRHTPRNPHCGCFETEATLTWEARXSXWKVKVPMLKKKQRNPSMRTPGRAHKXSHHR >ORGLA06G0014700.1 pep chromosome:AGI1.1:6:1169143:1171634:1 gene:ORGLA06G0014700 transcript:ORGLA06G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRNWSLLSSTVVIWGGVATAGLAGIFLFGGKEKFQNYLCHEGERLRQQDRAAMGKN >ORGLA06G0014600.1 pep chromosome:AGI1.1:6:1159458:1159838:1 gene:ORGLA06G0014600 transcript:ORGLA06G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPKTLEGKAPEEATPIEAAPKVALETTMISNEEVVADQAPEKVVEEVTAIAELPPNDDAIVMQDKEEEVEEKIVEEKPSAVAAEVNNTEVVEETIEVKNTEVDKGTTEVKNTKEEKPIQS >ORGLA06G0014500.1 pep chromosome:AGI1.1:6:1156011:1158518:1 gene:ORGLA06G0014500 transcript:ORGLA06G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASTTPTDKCSMILVNYVQNLTSSYTNKSNETSIVATSSIMFILASLFFILSLFSRASDVSAVLNPTIRLFLSSSLSLFLPVMSYLFSEAKNNPDDMVSSGSSSQQADLSLRARTILSWMLLVELLRKKVETILVNSSGVQGYSSTIEQASRIAWLGYLVFFNLKSSGQKVVFGFLWVFAASQLFQRVTISEILKSSYAYGKNAQRLHSYMAHILGSDADGTGGSVAVLKRCKYAVMGEEELEMEAGPVESSFYQLNTQKITTDIDSATTTVMTTVGKAWTLEEEENSPLYRDHRLKRLCLSFALHKLLRRRFENFHFTDIEVRDCRNLIFRGLCKCNEEEDNNSKEEANAVALFQVLSDEMHFVCEYYHSVLPVVLSSPFFLLANYLLSPILLLAFCLLTLIACNNGDLFYAFQSIRSDNLVLSTGMIKIVRCLFPFIKGSAPALYSTLDLAITTLLVLANIYDEVWEFIVCILSNWFMVSLIHSYARLPDKNHISPTFKAIIRRIIWVRSLMSQPRLQFNQLSMLGGGFLPCRQPLMLQSKIVPKEVKKSIMVYLMNHIDGRAPLCNGWSMLQTNYPNYCTELSWVCQNDNITEVMLIWHIATTILEAKFPKKKGSSSFQAHRTVATTLSKYCAYLVAFKPELLPNNLDGTQRVYGAMKKEMKAALGCCRYYFPRKMVGRVAVDRLMKVGQQLESKRKLEEADEMTLMCKGVKVGKFLFKKATQIDNEAPVWEVLANIWTELIVFIAPSGDDEVLVKAHKDALGQDAVEFISVLWALTTHTGVTRPCVKPWALIPVENLP >ORGLA06G0014400.1 pep chromosome:AGI1.1:6:1083737:1086145:1 gene:ORGLA06G0014400 transcript:ORGLA06G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGRWCWXWXLRVLELPENHRDDLEPDLLLHRQEQXGIHGVRLPHRLRPRRPLLQPQPLQRHLRRRRHPRPQGPRHPLQGALALPPRHVLPLLRGQERRRRRRRRLHRRPHGPARALAAGAADPRVDAPRRAAPQEGGGDSDGGMARRHRRARRPRRVARQPRLLQPPRRRPQGRVRHPVDSLRRQAGAADDVHRGRQALLRLRQERQARHLLHGADASERCRGRAPPPWLPWRGELLRRCKYLVMGEEDLVIEPINSGYRITGDIDAVTTVGKIWTLAESDHLLASLDMDQRLRRLCVSFALFKLLRRSFERLPPMTEAETRHCRDLLFRGLYTGAGDGDGGGAEALFEVMSDEANFVTEYYHSVVPVVLASPFFLLANYVLLPLVVLVLCLVVVVLCGNGDVLFSLRSIESDNYTMSSGGVATMARCLLRAVATSPPAFFTAIDLSITSLLFLVLVYEEVWEFVVFLLSNWFMVSLLHAYASSNTRWHDSAVFRWAIRRILWARSKMLSHHGLRFRQLSVLSSCRLSLTLPAAVSLTLPILPTVPVSGQVKHSIAEYLAKXSIPSRSTWPSRCTTAATECPPSQSTRSCSRSARAAAAAAAASRRPSXHGTSPPLSWRRSAHRRRPRATTPSWRGRCPGTWPTWWRSTRSSSPATRTARSSCSRSXTTSXSRCSASGATTSGHCXCWGAPGGSSATWSSWPESPSSRKRCCRRERRXGARWWRKRGGMVAAAGYCGRWWAMCGWSSPWRWRRRATRIAWWGTGRCCRRAASSSPCSGRSPRTPAXAAARRTRWIVAFDXEAA >ORGLA06G0014300.1 pep chromosome:AGI1.1:6:1076281:1077861:1 gene:ORGLA06G0014300 transcript:ORGLA06G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSVLQLLLLHVSILSSMGSRGHGHGDGGAENREHYMVVETSSLLKPKAICSGLKAMPSSNGTWVALHRPYGPCSPSPTTTSPPSLVDMLRWDKLHTDAVRRKATAGGDVVLEPDKPIVDVQQSDYKMQASFGIGTGGRSGSSSSSSRISRPSAIDDPILAQPMSIDTSIDLPLIQCAPCPMPECYPQQNALFDPRRSRTSAAVPCGSAACGALGRYGAGCSNNQCQYFVDYGDGRATSGTYMVDALTLNPSTVVMNFRFGCSHAVRGNFSASTSGTMFARTPLVRNPSIIPTLYLVRLRGIEVGGRRLNVPPVVFAGGAVMDSSVIITQLPPTAYRALRLAFRSAMAAYPRVAGGRAGLDTCYDFVRFTSVTVPAVSLVFDGGAVVRLDATGVMVEGCLAFVPTPGDFALGFIGNVQQQTHEVLYDVGGGSVGFRRGAC >ORGLA06G0014200.1 pep chromosome:AGI1.1:6:1069189:1069734:1 gene:ORGLA06G0014200 transcript:ORGLA06G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAGTLAASAIVIVTSVVLSPTRISFSVTGGGASISRSAGGQAFLLNLTIAADNPSHRAGVQYLAFAVSLQQFTARNRMESVEATVQDGVPFYQPPASSRNVLVTASLVNKNFFGASHGGGGGRGPPFTVVVKGQVRFKVWLAYSRPYDVAVECAPVDVFSGAGGAKTSPKSTMVKCIP >ORGLA06G0014100.1 pep chromosome:AGI1.1:6:1067212:1067826:-1 gene:ORGLA06G0014100 transcript:ORGLA06G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSKLQPTARFRWLNLVRCTVASVVTVLAVVVIRPEKLRLSVAGGRVSVSRMPAMKPLPRVNMSFVLRAFNPSGRASIEYTGITVVLRAIDDGDAASPAAAAPIIAQFPFPDVPVAQQVAHEAAARVSLAAAEDVPLRYVKALFDGRGISAAIQVDGFLTTRMEIDGRISRSNGGVATTFYCLPVTIAVGDGDDDESRSRVP >ORGLA06G0014000.1 pep chromosome:AGI1.1:6:1037919:1038341:1 gene:ORGLA06G0014000 transcript:ORGLA06G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSPLRQRLAAALAACGGRSGGHPRRPPSARSGGRKGSRAAPPLNAPVMPSLLPSCVELVHKPDDRAKHFRRRTSPATRRASCCLCTTPPAALGAGSSRLEARGRRIRDGAVAVATASASS >ORGLA06G0013900.1 pep chromosome:AGI1.1:6:953697:954209:-1 gene:ORGLA06G0013900 transcript:ORGLA06G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQACKLRPDGTIEKYKARLVAKGYTQKEGEDFFDTYSPVARLTTIHVLLSLAASHGLLVHQMDVKTAFLNGELDEETYMDQPDGFVDEGQEGKVCKLLKSLYGLKQARKQWHEKFDKTLTSAGFAVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLEVINEVKLFLS >ORGLA06G0013800.1 pep chromosome:AGI1.1:6:953181:953696:-1 gene:ORGLA06G0013800 transcript:ORGLA06G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFDMKDLGVADVILNIKLIRGENGITLLQSHYVEKILNRFGYIDSKPSPTPYDPSLLLRKNKRIAKNQLEYSQIIGSLMYLASATRPDISFTVSRLSRFTSNPGDDHWRALERVMRYLKGTMELGLHYTGYPAVLEGYSDSNWIFDVDEIKAISGYVFTLGGGAVSWRSCK >ORGLA06G0013700.1 pep chromosome:AGI1.1:6:945846:950194:-1 gene:ORGLA06G0013700 transcript:ORGLA06G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKDVEVEELDEEVVAAAAAPAADGGEEQEAELPARRPSTFAELGVVPELVAACDAMGWKEPTRIQAEAIPHALEGRDLIGLGQTGSGKTGAFALPIIQALLKQNKPQALFACVLSPTRELAFQIGQQFEALGSAIGLSCTVLVGGVDRVQQAVSLAKRPHIVVGTPGRLLDHLTDTKGFSLNKLKYLVLDEADKLLNVEFQKALDDILNVIPKERRTFLFSATMTNKVSKLQRACLRNPVKVEVASKYSTVDTLRQEFYFVPADYKDCFLVHVLNELPGSMIMIFVRTCESTRLLALTLRNLRFKAISISGQMSQDKRLGALNRFKTKDCNILICTDVASRGLDIQGVDVVINYDIPMNSKDYVHRVGRTARAGNTGYAVSLVNQYEAMWFKMIEKLLGYEIPDRKVDNAEIMILRERISDSKRIALTTMKEGGGHKKKRRKNEDDEEEEERNAPVSRKSKSFNKSRRR >ORGLA06G0013600.1 pep chromosome:AGI1.1:6:942665:944540:1 gene:ORGLA06G0013600 transcript:ORGLA06G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAPGEDDAAWERAIAAAVKNAPFSAPKTLTLDGAVKSTTGRLPSPSLLGRYPSLEELSVAGARLSSLAGLPRLPALRRLSLPDNRLSGAASLAAVAESCGATLRHLDLGNNRFADVAELAPLAPHGVESLDLYQCPVTKAKGYRDKVFALIPSLKFLDGMDAEGNDCLDSDDEEDEEEDEGEEGEGEGDEEEEEEGGEEGEGDEDDEEEGDEEEDEEESEEEAEDEEDEAGADEEDESKVANGSKGSSGSAQPNKRKRDSEDDANGDN >ORGLA06G0013500.1 pep chromosome:AGI1.1:6:940425:941241:1 gene:ORGLA06G0013500 transcript:ORGLA06G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGVEDPNWRSDPCVYLLPPWCQRPLCLCGDRCQLMASRNPDIRGRRFFRCPNYDHRTTACAYIEWVDTENPILDLTTCLQEVRWYFASKSTEQYLQRKAAYERQCREQQSDKRVLTTALPPWEAHPRCRYGDRCQVLRSIKPTTLGRRFFVCPNILDDDFMEPPRRCQYREWIDTRRVLTPPSRVVQLELPEQYRVTKARFERGEGSSRRG >ORGLA06G0013400.1 pep chromosome:AGI1.1:6:923922:924972:1 gene:ORGLA06G0013400 transcript:ORGLA06G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAHHLHRLPPPIQPAESMFSPRQPCFGAAAGEVVAGGAGGAVMAGLCQEEQLVQGYRQVFVGGGGVRQPAAPAAAEVMRQYSQVCAAAADAAESGVTFGGGQEAAAPRKRKRAEVPVVLGAAGDVAVAAQARQQLVDVDRLVLHHAAKMWAELAEQRRRHARQMVATVEAAAARRLRAKDEEIERIGRLNWALEERLKGMYVEAQVWRDLAQSNEATANALRGELEHVLDAHARRGADHGDGDDAESCCYGENDVLARAAGDGEAASAERRCKGCGEAAAVVLLLPCRHLCACAPCAAAAAACPACGCAKNGSVSVNFS >ORGLA06G0013300.1 pep chromosome:AGI1.1:6:905489:906136:1 gene:ORGLA06G0013300 transcript:ORGLA06G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIRLEEHVYLISKTLPFIQLKAMFSYSAGHGRRPKKKLYHRETGLDKAMDLQKKPSLLLRLRELILSQKTNSILIRDLEKEVGFVQKWNFLSLIERHPNIFFVSGGSASREPISVTLTEKAKRISSEETLARELTEPILVKNLRKLLMMSLDCQIPIEKIELIQSELGLPKNFKSNLIPRYPELFSVREVKGLDHLCLESWDSSLAVTAREEN >ORGLA06G0013200.1 pep chromosome:AGI1.1:6:897225:901071:1 gene:ORGLA06G0013200 transcript:ORGLA06G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTGVVSSLLSKLATMAEQKYGDVKRIRREITFLTDELSSMNALLLKLADMEELDPQLKEWRNKVRELAYDVEDCIDALAHHHRLSRGDADLGGLIRRAAHNMKKLRASYRAADQIHELKARIMEVSDRRLRYKLDEAASAAPAPALAIDPRLPALFAESKGLVGIEGPRSTLVSWLMDGEGQLKVISIVGFGGLGKTTLAKEVNHAVGAHFQLKAFVSVSRNLNPKKLICDVLSQIMDQKDYGKLEVEQLIPILRKHLADKRYLIIIDDIWRIQAWDLVKSALPDNSCQSRIITTTRISTVAESCCSTLKDRIYYIEPLNEVESRELFFKRIFATEHGCPPHLEEVSNEILKKCGGLPLAILSIASSLANKPDIKEQWEMVKKSIGFALEGTPTLEGMNKILLFSYYDLPTHLKACLLYLSIFPEDYVIASDKLVWRWMSEGLIVGEMGQNLEQAGQIYFNELINRSMIEPVGVRYDGKVLACRVHDMVLDMIISLSAQENFVTILHGHEDKFAGEKIRRLSLRCNRPDVEVTQVTSKKFAQARSISLFGYKEMLDLQGFQALRVLDLGQTVLFKQVKNIGKCYQLKYLDLSDTDIVELPEEIGNVQSLETLDLRNCRRLTLPSTIAGLRKLVRLLVDYTAALPEEISGLVALQVLSCASYNSVKFMRALGQLTELRSLAFKCWNPDWYFDAGMYKEVSVASLRELGKHKLQYLDISDDDAILDALMCSSSESDCPFPHLQKLVLSNHNIQRIPRWIGSLVNLSHLEIAVKTTRQNDLGTLGNLPCLLYLKICRLYEPIESLIVPNRGFRCLKELCFQCWCPLGLEFARGAMPWVQTFRLWFMPCWKSCDHGVSVGLGIEHLLELKLVDVETGNGCGKREVKSFEAAITAVVANHPRRPALVLRRSGERSAVRKENWTAVETNMNKSLFDXSTVKQSLQKESRHSS >ORGLA06G0013100.1 pep chromosome:AGI1.1:6:892003:894286:-1 gene:ORGLA06G0013100 transcript:ORGLA06G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQVGGGGDLRGGAAAAAEVGERLGTLLEYWLWRKRSEYVESGCSVQEGAPKPVDIEHSLGNKEIVRLLNSTVVKDTGYMWLSCHTCRHDLANTTRGGIAAAADTVITIEEKGGEEWCRKKLGHHAASPKGPCWRCHMTCLIVTFIATAGTGDRGMIAIVEEKRKIVERGWSKV >ORGLA06G0013000.1 pep chromosome:AGI1.1:6:887098:889418:1 gene:ORGLA06G0013000 transcript:ORGLA06G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVFVVVGFCLLRMFILFPNPVYHVAAFSWIGNNRSENTKEKYNHKVCKPRVCPGISSPRWPMNCPALGRQPAHYRGRVFVRSFDLESTSKLARTASLFASPPRRHLNLSIAWLDLPIDPCTTQRTVSSIDRLAFTSASTGSIMVAALPDDVLAEVLRRLAPRCLAACRCVCKPWRDLVDDRRLLLLRAEELLPRPLASIFLNFFGLFNSEFFARRPSTGAAAAISGDLDFLPTSTTYGSREYQIQDHCNGLLLIEDADTTRCSXSHPSAGSPGQTIISTTSLEAKWTPCWRHRHGHRHRMPFRSSRQEHGCGKRGVSPAKEEKKQRAPSLRCGRVGHQSSPTTDTPTFILESQRRVCTLHFAETNVLKFGFLMKHLAR >ORGLA06G0012900.1 pep chromosome:AGI1.1:6:879694:881169:1 gene:ORGLA06G0012900 transcript:ORGLA06G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAALPDDVLAEVLRRLAPRSLAACRCVCKPWRDLVDDRRLLRADLLPRSLAGIFVNFHCLYSSEFFARPPSGGGGAAAAISGNFDFLPPKDEYDQYRHHQVEGHCNGLLLIRFRDLVVNPATRWWDRLPPRPLPRDEMDRIDAAYLVFDPAVSPRHYEVFLTPSFRWKSESEKAELDPMVEASEWPPESYTLPVFSSRTGLWQERSFIRQGQAAGTIADMRSDWASDQRNGVYWRGALYVHCQTNFVIRISLNDDKYQVIKPPEYSGRYLNFYLGRSEKGVYLALSRDNCLKVWILDETCSKMKWELKHDKHIRHILLGRNNRQGLGPWILQDINHQKNPYIYEYDEIIEAPNQMKVECEQAALEKFEWISDDENVLDNEDIVTGGYHEYINIIGFHPYKEIIFLDESLKRGLAYHLSSSKVEDIGNLYPTNLEYELINEQFITASFPYTPCFM >ORGLA06G0012800.1 pep chromosome:AGI1.1:6:876184:877796:1 gene:ORGLA06G0012800 transcript:ORGLA06G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALPDDVLAEVLRRLGPRSLAACCRVCKPWRDLVDDRRLLRADLLPRSLAGIFLNFSGLCYPEFFARPSTTAGATTAISSLLDFLPFDGTKWYKIEDHCNGLLLLDRDCVVNPATRWWARLPPRPPPREDMERWSTSILLTSSSIQPRLRTTRRIQVELEPSECPPPLYVLPVFSSRTERWEERTFVREGEAAGNTTVPDKRISLRNDKYQVIKLPKVTRMPSEDSYFCLGRSQKGVYLALARHCCHLLVWILDESCDGIKWELKHDKDIKHILLGRNKVDLGPWILQEINYQKEEGSLSSYEWFRKKLEYELNEEATLEKSEWNSDDDNAPCNEDIIGRYNEAIDIIGFHPFKEIIFFSESFERGIAYHLNGSKVEDLGDLYPVGYDFVPSNEQMISASYPYTPCXM >ORGLA06G0012700.1 pep chromosome:AGI1.1:6:871169:872064:-1 gene:ORGLA06G0012700 transcript:ORGLA06G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S21 [Source:UniProtKB/TrEMBL;Acc:I1PZ15] MQNEEGQMVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFIRAQIIIIRQGDADSALDRLWQKRKAEVKQQ >ORGLA06G0012600.1 pep chromosome:AGI1.1:6:862959:863282:-1 gene:ORGLA06G0012600 transcript:ORGLA06G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYRRRALMAASTLVPATCGSAGGMPCVPAARGGGRKLLGVATGGGRQLRCGWPRKDIESAFQMADRRGKVSGLIRQPLTKGKTSCGGVRVSVRAGGGGGVYRCR >ORGLA06G0012500.1 pep chromosome:AGI1.1:6:856475:860591:-1 gene:ORGLA06G0012500 transcript:ORGLA06G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPSFGVHRAESSHQHMMAGPATLDPQRAEAANKHVRALNSQFASWVQSQLQNHPAELWEDGMRDYISHASEIMEKFKDVVNWIRQNKTGSAAVLSPGPPNDEKTISPAAVDSKFTVQSSPDNGQKGPVTAASSPAFQNSSSPNLFSFASHQKTPAFTGIFGDKKNMPGDSNKPSFQFGANNGFSTPSMPSIFSASGAQSFSMPSQTLFSVNQQPAISGNKSAAEASGDADEDAEPEQPSSPSVKKAEEKGIVVVYEAKCKVYVKHDDPANGWKDIGVGQLNIRCKEGAEKASKESTPTIVIRNDVGKILLNALIYKGIKMNVKKSTVASIFHTSDGQPSESGSATVVARTYLIRVKNDEAAAKLSAAIMENAPSE >ORGLA06G0012400.1 pep chromosome:AGI1.1:6:848934:854376:-1 gene:ORGLA06G0012400 transcript:ORGLA06G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRTLVPILPPVAALLLLLVAASSIPLLAAAQPADACGGAPDQAAADGACHDVPRALRLKLIAIPTILVSSVVGVCLPLLSRSVPALRPDGGLFAVVKAFASGVILATGYMHVLPDAFSSLTSPCLPRKPWSEFPFAAFVAMLAAVSTLMADSLMLTYYNRSKPRPSSGGDVAAVADHGESPDQGHRHGHGHGHGHGMAVAKPDDVEATQVQLRRNRVVVQVLEMGIVVHSVVIGLGMGASQNVCTIRPLVAAMCFHQMFEGMGLGGCILQAEYGRRMRSVLVFFFSTTTPFGIALGLALTRVYRDNSPTALVVVGLLNAASAGLLHYMALVELLAADFMGPKLQGNVRLQLAAFLAVLLGAGGMSVMAKWA >ORGLA06G0012300.1 pep chromosome:AGI1.1:6:838668:839750:1 gene:ORGLA06G0012300 transcript:ORGLA06G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSAGHGRRPKKKLYHREPGLDKAMDLQKKPALLLRLREIILSQKTSSILVRDLEKEVGFVQKWNFLSLIERHPNIFHVSGGSASREPISVTLTEKARKISSEEIQARELMEPILVKNLRKLLMMSLDCQIPLEKIELIQSELGLPNNFKNNLIPKYPELFSVRDVKGLDHLCLESWDSSLAVTAREEKLNFEGFQMDYRGIPKDGNIVGPFAFRLKYPAGFRPNRNYLEEVVRWQKLAFPSPYLNARRVEPATPQARKRAVAVLHEILSLTMNRRLTSDKLEIFHNEYRLPCKLLLCLIKNHGIFYITNKGARSTVFLKEAYDDSNLVEKCPLLKFHDRFASLIGRTCSDSNDLLKA >ORGLA06G0012200.1 pep chromosome:AGI1.1:6:833217:834421:-1 gene:ORGLA06G0012200 transcript:ORGLA06G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSQTPLRIAFVFLVILAATDAHSDHRTPPPACGGAAVGGECHSVARALRLKLIAIPAILAASVAGVCLPLFARSVPALRPDGGLFAVVKAFASGVILGTGYMHVLPDSFNDLTSPCLPRKPWSEFPFAAFVAMLAAVFTLMVDSLMLTFHTRGSKGRASSAAAAAVAHHGDHGHCHAHALGQADVAALSTTEAADQGSGDVEAGNTTKAQLLRNRVIVQVLEMGIVVHSVVIGLGMGASQNVCTIRPLVAALCFHQMFEGMGLGGCILQAGYGGRTRSALVFFFSTTTPFGIALGLALTRVYSDSSPTALVVVGLLNAASAGLLHYMALVELLAADFMGPKLQGNVRLQLAASLAVLLGAGGMSVMAKWA >ORGLA06G0012100.1 pep chromosome:AGI1.1:6:825901:828449:-1 gene:ORGLA06G0012100 transcript:ORGLA06G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTISFSSSSPPSPPPPQPAPGDIDAVSLGRLSRNLENLLDPAFLNCADAEIVLASGGGGAVVGVHRCILAARSRFFYDHFSSAPAPAPATAGDKPQLDLDGLVPGGRHIGRDALVAVLGYLYTGRLRSAPPEAAACLDDGCSHDACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNKALAEDILPILVVASTCHLPELLNQCIQRVANSNLDNRYLEKRLPDDLYAKLKEFRVPDEPHSGILDPEHEKRVRNIHKALDSDDVDLVGMLLKESPVTLDDAFAIHYAAAYCEPKVLAELLKLESANVNLKNSSGYTPLHMACMRREPDIIVSLIEKGASVLERTQDGRDALTICKRLTREKDRNEKSEKCKERSKAYLCIGVLQQEIKRRPQILEDQMSAEESIATPLLVDNFHMRLLNLENRVAFARIFFPSEAKLVMRIAQADSTQEFAGLTSANFSKLKEVDLNETPTMQNRRLRERLDALTKTVELGRRYFPHCSEVLDKFLNEESTDLILLESGTAEDQQTKRMRFSELREDVRKAFTKDKAAGAAISSSTSASSSPRYETKLRPGNKKGKLSR >ORGLA06G0012000.1 pep chromosome:AGI1.1:6:818472:818699:1 gene:ORGLA06G0012000 transcript:ORGLA06G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEAGIWGCGLRRDFELGRRLRMLGRAAAPTWMEEARAARAGGDGQQMRPGARATGRCGRESVVGGAMEREGATRR >ORGLA06G0011900.1 pep chromosome:AGI1.1:6:817766:818116:-1 gene:ORGLA06G0011900 transcript:ORGLA06G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWRCTVPMYFAYATYSNGNFAVMFFYELLQILSNWILTVKFLAAQLAATQIPVVVILTAMNPFGAHMGCLPSMVCELPSNSQNMWPQVQFYQILTYTMATESLKHSRIFKFISI >ORGLA06G0011800.1 pep chromosome:AGI1.1:6:812036:812413:1 gene:ORGLA06G0011800 transcript:ORGLA06G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSSSSAAASSRRRPRRICAPTAASSSTSSAASLHRRPPRSGGRGEATEVATRHATVASLDGRGNGVGGRRGYVRRLGGATVRSGRMGSTSKDRGREQEVTGSSQPANLFFSPLLISSFSISF >ORGLA06G0011700.1 pep chromosome:AGI1.1:6:806524:809117:-1 gene:ORGLA06G0011700 transcript:ORGLA06G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGYKYQAQELMRDYLLADPLVPYTSVLVGIALCKMAYDLTRVLSSFYFKGYSSLTKIQRVEWNNRGMSSAHAIFITAISLYLVMSTDLFSDRLKGPITFRNSIISTFALGVSVGYFIADLAMIFWLYPSLGGMEYIVHHTLSLVAIAYTMLSGEGQFYTYMVLISETTTPEINLRWFLDTAGLKKSSAYLVNGILMFVAWLVARILLFIYVFYHIYLHYSQVMQMHAFGYYLTFIVPSVLFVMNTMWFMKILKGVKKTLGKWS >ORGLA06G0011600.1 pep chromosome:AGI1.1:6:803210:804673:-1 gene:ORGLA06G0011600 transcript:ORGLA06G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PE58] MHTTNGAPACCDANADTPPLHLIFVPFLSRSHFGPVTAMAAEADACHRGGRTAATIVTTRHFAAMAPASVPVRVAQFGFPGGHNDFSLLPGEVSAAAFFAAAEEALAPALGAAVRGLLREGGSTATVTVVSDAVLHWAPRVARECGVLHVTFHTIGAFAAAAMVAIHGHLHLREAMPDPFGVDEGFPLPVKLRGVQVNEEALVHLPLFRAAEAESFAVVFNSFAALEADFAEYYRSLDGSPKKVFLVGPARAAVSKLSKGIAADGVDRDPILQWLDGQPAGSVLYACFGSTCGMGASQLTELAAGLRASGWPFLWVIPTTAVEVTEQEERASSHGMVVAGRWAPQADILAHRAVGGFLSHCGWNSILDAISAGVPLATWPLRAEQFLNEVFLVDVLRVGVRVREAAGNAAMEAVVPAEAVARAVGRLMGDDDAAARRARVDELGVAARTAVSDGGSSCGDWAELINQLKALQLTLSRDRRTDAVTRD >ORGLA06G0011500.1 pep chromosome:AGI1.1:6:785518:787839:1 gene:ORGLA06G0011500 transcript:ORGLA06G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDLLKSCSQTMMSFVSNMTISYADQSNESSVVATSVVMFILAAVFFNLNLFSSVSDVSAVLNPTVRLFLSSALNLFLPVMSYLFSEAKKATVDKSIFVNLKQGQQADDLPLLARVILTWMLLVELLRKKVEAILITTGMHVYSSLISHASSVAWLGNLVFFNLQAAGKKALFGVLWVLCAAKLVQRVAITEIGKRSFAHGKNARIISSYMTQLPKLRRHVVEEVDVDVGNCGAVRLELCKYAVMGEENMVLKAGPHGYELDLGLATSDAAVVTVGKIWQTKEHPRLKRLCLSFALFKLLRRRFENLPPATKQETDECRELILDGMCKDAHATGDVPAEVALFQVLNDEVNFLTEYYHSVLPVVLASPYFFLVNYLCFPVVVLGLCVMTIVLCGNGSVLYAFKSLTNDNYAVSSGILSLTKCLWKNVVRSPPVFFSFVDVSICYLLFIVVIYEEVWEFIVFLLSNWFVVSLLCNFSANPWRRESPTFRGSVRCILWLRRNLSHYPSLITIKQFTVLSTCCLSPRLPTATLPRHAKLAILERFRGGDPLSNGGAVLTSMGGRHRRFSRLAWTCQSGAVAEVILTWHIATSLLETKQQHQSPSRRTAARLSRYCAYLVAYRPELLPDDREGTERIYKDLKKGIKAALGGARGYYFSSERSRHETIRAISAKLALPEDADMTVLQRGAVLGKELVDEAGDGAVVEAVWEMLADVWVELIVYVSPSRAEEHAKAHEAALVQGSELVTLLWVLATHTGITRSDDHHHDDHPAPTFV >ORGLA06G0011400.1 pep chromosome:AGI1.1:6:732847:733374:-1 gene:ORGLA06G0011400 transcript:ORGLA06G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLGVPPQRAALVPTFVSTPLLSSSSMPPTFYRVLLRAIIVAGRPLPVPPTVFSASSVIASTIVISRLPPTAYQALRAAFRSAMTMYRTAPPVSILDTCYDFTGVRSITLPSIALVFDGGATVNLDAAGILLQGCLAFAPTATDRMPGFIGNVQQRTLEVVYDVPGKAIRFRSAAC >ORGLA06G0011300.1 pep chromosome:AGI1.1:6:724258:725610:-1 gene:ORGLA06G0011300 transcript:ORGLA06G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSQDQSNCSDTSIISGNLEDLMWEEINDPMEAEIEDQIEAEVEAQLEAELAGSSTRRGGYTRRYINRDHEEDHNRLFAKYFGNNPLYTDDQFRRRFRMRKHLYLHIVEALGVWSPYFQLRRDAFGKVGLSPLQKYTAAMRMLAYGTPADLMDESYGVAETTAIECLINFVQGVRLLFGQQYLRRPTQEDIQRLLQFGEAHGFPGMLGSIDCMHWEWQNCPVAWKGQFTRGDYGVPTIMLEAVASADIWIWHAFFGAAGSNNDINVLDQSPLFTEVLQGRAPEVQFTVNGTNYNMGYYLADGIYPEWAAFVKSIKRPQNDKAKLFAQRQESARKDVERAFGVLQKRWAIIRHPARLWEREELADIMYACIILHNMIVEDERGAYDIPDDNTYEQGQFSAQMSELDHGPIYGFADILEKNAEIRDRATHRRLKQDLMDHMWQKFGGQQH >ORGLA06G0011200.1 pep chromosome:AGI1.1:6:719523:720174:1 gene:ORGLA06G0011200 transcript:ORGLA06G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFGGEFPVDGQPGEPCQPGELVAAAVVAATTVEAPADVEGTEAPMVSVAAAGTVAVARATVAMVGKATAVAMAGTMRKAEKEAAEGKAEKVGHNI >ORGLA06G0011100.1 pep chromosome:AGI1.1:6:714399:716663:-1 gene:ORGLA06G0011100 transcript:ORGLA06G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFNGTDAHCGGAVGAMGSYVYNLTSSYADQKNEVNIVATSLAMLLLAALLLAFDLLAGAATLRPAARLVLSVSLALFLPVTSYLFSEAKNDVPGAAAADAELPLRARLILAWMLLVELLRKKVEATVTGTKGAGGGGPASRTGRVAFLGYLVFFNVHGAGRKAVFGVLWVFAAAKLVQRVAIGEFVKRSFAFGKNPQLLAGYMAQTLERRPRRDDELMTSCKYAVTGEENLEREAGPNGYLVDLHKTVAGDDDAVVTVGRVWSLAESDQLLVSNPKLKRLCLSYALFKLLRREFEETPLTAAEAADCRELIFRGLCNDGGAAAAATLFEVFDDELGFVTEYYHSVLPVMLASPFFLLVNYIVFPVLVLGLCLMTIVLCGNGDIAFIAGSIKRDNYAVSFGLLRMTRCLLSRVLRSPSALFSSIDLSITFLLFLTILYEEAWELAVFLLSNWLTVSMVSDYAVKPPSRLRRAAIRGVQWVTNRMSRRNLRVKQYSVLWFCRLPMKLPAAAVPEEAKHYIVQYLAEYDGAVAPLSAGRSAVARNALRNASRLISSACESDSVAEVILTWHIATSLLEVRCPPQKEAAAARSSTVATRLSRYCAYLVAFRREMLPDDVDCTARVYGAMTTELKRELGLKGYYFSTDATRYGKMMAIAGGQEDDDESAAEETTVVRKGARLGKALMDEAAGGDEAAVWKLVADVWTEIVVYVAPARDAEQVRAHGEALARGGEEFVTVLWALVTHTGIARPAALSV >ORGLA06G0011000.1 pep chromosome:AGI1.1:6:712968:713567:1 gene:ORGLA06G0011000 transcript:ORGLA06G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIRGHPRRCLLGALVGAIAATALVIAASFVLRPPPLAFSVADARSGATDENKAAFLNLTLVAGNPSGRAAVEYEALDVMLWYGTTDYIETNTSLLLLGLAAADGAALLLQPPRNATAVEVTARTLDDRFVQEIVAGQGRRTGPFNVAVAAQVRFKVAGVVYTRPYNVRVSCSDVYFVVADNQSAAAAASSTPIDCHG >ORGLA06G0010900.1 pep chromosome:AGI1.1:6:664517:665242:-1 gene:ORGLA06G0010900 transcript:ORGLA06G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDGKRTKLPWLGVARYAVTAVLAATVAATVVQAIRMVLRPVELDLSVANGAVSVERPESPSAASLIKYKVTLRAYNPSGRAVVHFGGDNLVRLIYGAAARTELAAFTLPAFVVPQQESHFVTKSAFLNASALPASLAARLYDGETDQVVVQAVASLSFTIGGARGVSAGRRGHNFTFHCWPVSISSYYEVSGGEASCSQDTTEAAVAGLTHDRCIGGPCPEPYKNSGNCSGNNSTGIKG >ORGLA06G0010800.1 pep chromosome:AGI1.1:6:658803:663707:-1 gene:ORGLA06G0010800 transcript:ORGLA06G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gametophytic factor 2 [Source:Projected from Arabidopsis thaliana (AT5G48030) TAIR;Acc:AT5G48030] MRLPGGARLALLLARRRALPSSSPAASASPFHASRAHGARWGDAFRAAAPAWRSPFSSPTSARLFHGTRPVAARDYYDVLGVSRNASQGEIKKAYYALAKKLHPDTNKGDSDAERKFQEVQRAYETLKDDQKRSLYDQVGPDQYEKASAGGGPGGAYEGGFGNPFEDIFGGGGGGGGMNDFFRNIFREREFSGHDAKVALEISFMEAVQGCTKTINFQTAVTCDTCKGAGVPPGTKPETCLACRGSGFIFMQTGPFRMQSTCTQCGGSGKTVKEFCKSCKGRKVVPGTKNIRLNIVPGTDDGDVIKLVRSGGADPDGGSPGDLYVTLKVREDPVFRREKGDIHVDAVLNVTQAILGGTVQVPTLSGDVVLKVKPGTQPGQKVVLRGKGIKTRNSSYYGDQYVHFNVNIPANLTPRQRVLIEEFAKEEQAEEEKDAKAAGASG >ORGLA06G0010700.1 pep chromosome:AGI1.1:6:656567:657300:-1 gene:ORGLA06G0010700 transcript:ORGLA06G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTMARPTMLACCKLYISESRNAAALRAIEQAACGGGAVVVNRFTDDAYNRGRTLASIRRQLGYFKPNSSGNQWRGAPETDALPVAPDAGPERSPRSKGVVVVGATGWVDNYNVPVRTGDVEAARRIARAVSERGGGLPSVQAMGLAHGGGVVEVACNLLDPARVGAEQVQGMVERLAAGEGLSVGKGYFTDYSQDKIVELYFKSAANTEG >ORGLA06G0010600.1 pep chromosome:AGI1.1:6:653369:656091:1 gene:ORGLA06G0010600 transcript:ORGLA06G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAARRLLSRRATSFSASALLRRGGPGAPESLLRPTVAAVSRVGFLRGFARRPGGDGYSPMRSGGGGGGGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRSPERQRRVEPVPQRASDRPRYNDRTRYARRRENQR >ORGLA06G0010500.1 pep chromosome:AGI1.1:6:644885:652389:1 gene:ORGLA06G0010500 transcript:ORGLA06G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGELPKVSAAATAAVRHEGWMLRYGRRKIGRSFVRTRYFVLDNKLLAYYKKQPKDNMVPVKALQIDGNCRVEDRGLKTHHGQMVYVLCIYNKKEKENHITMGAHDIEDALVWKKKLELLIDQQQDTMTAKNRKAFASLDFDMEFGGPLSFSDRDSGQALLIRYMIGPRSLILGCQIRMTPTMLTQERTGGYLDVRMARSCSRAMRAVGVVEATCESIFGLIMSMDVTRYEWDCSFQYGSLVEEVDVVLFRSTEHQNCGPQPGFVRAFIESGGFKISPLKCVNGRPRTQVQHLMQIDLKGWGVNYFSSFQYYSLLQMLNCVAGLREYFSQTDDIHPVPRIPVMSTMATVSKLKKDKKLQETDLKTKQADFGQVDNKNLDMIDEESEEDDDYQVPEANLEQEAPTSSDSDAKYTDPIDLSCFSGIIRRDANEKSRNCWTVPDSKLFKVRSESFPHDKSKVPATKYLMELVAIDWLRDIKRMDHVARRKGCAAQVAAEKGMFTFVVNIQIPGSSHYSLVLYFVTRTLEKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYMRGQEYIEVDVDIGSSAVANGVLGLVFGVVTTLIVDMAFLIQANTYDELPEQLLGAARLSNIEPSSAIVPVLDK >ORGLA06G0010400.1 pep chromosome:AGI1.1:6:640104:641395:-1 gene:ORGLA06G0010400 transcript:ORGLA06G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERLISSPLLPRPPPRAAFSRPPPSLAAAPPHHRAGGAASGYGSRPPLASLLLSRHHHHHQPPVLAANPAADVAAGEAVPPATATASRRFLQKVASAAAATLLATIALTLIQPAWAPPALASFHSAAKAGGGIFKSELLSSAWTGFLAGCLHTLSGPDHLAALAPLSIGRSRVESAAVGALWGCGHDAGQVIFGLLFLSLKDRLHIEVIRTWGTRVVGLTLLVIGALGIREATEVPTPCVALENGGGGGGAHRGPLDALPATRKKITFATFATGIVHGLQPDALMMVLPALALPSRVAGAAFLGMFLVGTVVAMGSYTVLIGSCTEALKERVPRITEKLTWAASLVAISMGLGILISQSLGFSLY >ORGLA06G0010300.1 pep chromosome:AGI1.1:6:634159:638081:-1 gene:ORGLA06G0010300 transcript:ORGLA06G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTPLYRKYRDALRHVRAPAGAPSSSSSGGGGGGGGGGGGPVIEMASLLRSNRPYAPLSTDDPSAASSRSAVTVGLPPAWVDVSEEISANMQRARTKMAELAKAHAKALMPSFGDGRDDQRAIEILTHEVTDLLKRSEKRLQKLSMKDSSEDSNVRRNVQRSLATDLQSLSMEFRKKQSTYLKQLRQQKEGQDGVDLEMNMNGSKSTFELGDDEFEDVGFTEVQMSKLKKSEAFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIIDRIDYNIQNVAASVEEGYKQLQKAERTQKKGGMVMCATTLVILIFIMIVLLILKKILF >ORGLA06G0010200.1 pep chromosome:AGI1.1:6:625079:627627:-1 gene:ORGLA06G0010200 transcript:ORGLA06G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPSAAAPGIGGYQPQRGAAVFTAAQWAELEQQALIYKYLVAGVPVPGDLLLPIRPHSSAAATYSFANPAAAPFYHHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTAAPAPQSQPQLSNVTTATHDTDAPLPSLTVGAKTHGLSLGGAGSSQFHVDTPSYGSKYSLGAKADVGELSFFSGASGNTRGFTIDSPTDSSWHSLPSSVPPYPMSKPRDSGLLPGAYSYSHLEPSQELGQVTIASLSQEQERRSFGGGAGGMLGNVKHENQPLRPFFDEWPGRRDSWSEMDEERSNQTSFSTTQLSISIPMPRCGSPISLRLP >ORGLA06G0010100.1 pep chromosome:AGI1.1:6:619329:623340:1 gene:ORGLA06G0010100 transcript:ORGLA06G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKIIKEVGDGTFGSVWRAINKESGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRENDMLFFVFEYMECNLYQLMKSRGKPFSETEVRNWCFQIFQALSHMHQRGYFHRDLKPENLLVTKELIKIADFGLAREISSEPPYTEYVSTRWYRAPEVLLQASVYNSAVDMWAMGAIIAELFSLRPLFPGSNEADEIYKICSILGTPNQRTWAEGLQLAASIRFQFPQSGSIHLSEVVPSASEDAISLISWLCSWDPQRRPTAVEVLQHPFFQPCFYIPPSLRFRSTNGYAATPPSVGAKGAVDQKNARRYSVGPLSNGRPAVNYSYLSANTPARAAGVQRKLELDHQVNMNSCQAPEGNHKLTKAEAMNQPWSRPPPAAAAVRSNGNYFTKDQGPRAPDIAEKLSQLTVGSNRVPSLASDKFVDMKARTHGNTMKRPLPPVGTRTWHAPADPFRRPYEMPGDRAFLPRKLVS >ORGLA06G0010000.1 pep chromosome:AGI1.1:6:608641:610278:-1 gene:ORGLA06G0010000 transcript:ORGLA06G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFFGSFLNESASQEYFSGHPNVERCPFLRNINGATTFSFSSALPVAARGAKGPIFEDGPGFDSAFKLFHGRDGIVPLSGKSYVPDENNSESVDAKPEPALPFNPLAARAATISLSAFGPFGFNFFNGKGKRQNKKPNNLNQSNKKPSNPNQNSMKQKGGNSSSHEAMSNEWLENGQCPLARSYRAMSGILPLVAKALQPPAGVKLKCPPAVVAVRAALARTELVKSLRPQPLPAKMVAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIGMLRKSVNMPKTAMAFTIAASIVGQTIGSRAERIRLKALAAKSDADSTTVADMYPNKTGNCSDTEGKAWDSLAMKMMAGRASGGAAAPTPSMCF >ORGLA06G0009900.1 pep chromosome:AGI1.1:6:607006:607725:-1 gene:ORGLA06G0009900 transcript:ORGLA06G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGRFYGSSLPRPRFFSGGDRVEPPVAVTDPLMAWAHEAHWSMGGLSSKRLRLQGRIEGSIDKLRRRARRDARKAAKARAAGIKPRSLAALGSDDDSSSSDEEEEVEAQKQQIVDEPSELEEEQEEENEEEEEEEALATIAAAAKRKRARKLSDEFDRVATMQEGEAKKQKPAATSTPARTSPRRKAAEVALTPVPARASPRGKAAAEAATAAPARASPRRKAAARRTSPRMKH >ORGLA06G0009800.1 pep chromosome:AGI1.1:6:603201:606364:1 gene:ORGLA06G0009800 transcript:ORGLA06G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGRGLSELFRNTSEDMFLKAMMENSMGVAAAAPSMEMMGFRNLSQGFREDSEELFNSWLMNGEASFLKIHKILSQYMKIPGFSAMNNRPRQPSRLSSEAAGFPNQQHEIAQEHFPTDNLIPQNLAVHSEFTMNHNQQQLKYYSFSPTSYCVLDFFQIVLNWLSPMTGMQQKRECKLVTYFWQRKRYAAMQSNMPPITTETIETANKLRQDLTNTSTVNSAPMSNTPIQTPTFVSPSSSSTSPLDNPHMVAQDTITSVVSMLKDTLERKKLSSHANGDTSSGISFGFYDAQHFEQNILGGTNIFPLVTTSQIQDSVMLPKVERPTEQGSGNFVAPANQVWLGAASREPSQSGSSTAIPAHSAGFEVCDDLPPIGQAMTGCESTRTNAANGNGTADCRSKGKEFRERILKENLKDDRKKGSLTRMGSISSEQAADKGDPTKKRRVERSRKMAEAKERSSTPVIPSDIQVVLKRCETLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEDLVEEKERLLEEIERIVSDANT >ORGLA06G0009700.1 pep chromosome:AGI1.1:6:599092:600709:-1 gene:ORGLA06G0009700 transcript:ORGLA06G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L13 [Source:UniProtKB/TrEMBL;Acc:I1PYY5] MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTSGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKFAPTIGISVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTDDMKAFKAYAKLRVERMNQRHIGARQKRAAEAEKEEKK >ORGLA06G0009600.1 pep chromosome:AGI1.1:6:596190:598318:-1 gene:ORGLA06G0009600 transcript:ORGLA06G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATLVGVGGLSPALFSPSRPLSCSSSTSVSAPFILRAGGGGDARRHGLRRLVTPLRGSACRGESTNSRVLQCANEANVVTEDDIVNDGIDDETASDAEMDEDAEANGDESSDTDEDASVSWIEQQPLPYPSDALEPYISKETVEQHWGVHQNIHVERLNGMIGGSEWEGMSLGQMMLSSFNEGREAPHPPFFHAAQIWNHDFYWRSMQPGGGGKPPERLLKFINRDFGSYDGMIRQFMDAASTQFGSGWVWLCYKTSKLPHVKSRSPIPSDNYGRLVISKSPNAINPLVWGHSPLLAIDLWEHAYYLDYEDRRSDYVSTFLEKLVSWETVESRLKKAVQRAVERDEYVSTKHIRKQLLARAKSRIRAMPQQVNGDAREQTSGQEKSLGV >ORGLA06G0009500.1 pep chromosome:AGI1.1:6:591576:592988:-1 gene:ORGLA06G0009500 transcript:ORGLA06G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEEFEEFAEKAKTLPDTISNEDKLLLYGLYKQATVGPVTTGRPGIFNLKDRYKWDAWKAVEGKSKEEAMADYITKVKQLLEEASASTS >ORGLA06G0009400.1 pep chromosome:AGI1.1:6:586747:588672:-1 gene:ORGLA06G0009400 transcript:ORGLA06G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHVAPLLLLLTLAAVAAAASEEAAAADTEAAAAVEAGLLVRHEAQLARLEELTESLAKSVQALESALARSVEPDPPPPAAAAAAPGDRRAPQGVAVTKRRPYWSERFHFAAAARLGDGAYAAAATALPYEDADGLTKYFAVGDSRGRVFVFSAAGDALLELEPGVSGEPPVTALLAYLSPRRTDCFLFAGHADGSIAAHRLIESSPHGDDWLTLAAASSRLLVRGLDAAPVLHLEAHHAGRARYVLSCDSGGRIRVFTENGTLYGTAIASSTPLAFVKQRLLFLTEAGAASLDLRSMSVRETPCEGLAEALNGSLPKAYSFDPSERFKAYGFTDAGDLVHVLLLGDIASLKCRVRAIKKAEIDNPVAIQTIKGYLLVASQDKILVYNTSTQYYGRVGAPRPLFATSIKDIKSVFAGSGGVMPASPAGKPLVAADREKLVILGLGDGYIAIYRSNFPVYKPESNAVVWSGPALLFLLFLIGIWQVYVKKKDSLGWTPEETFNTSVTAPTGSILNHSTSDRAFADSSTRAGERGYVDGTTRASDRSYVDATTRATDRAYAEATRGVDLRGGALRGAPRRYVSPTRYTGAAGIPYRPVSTEPVLRTTPELKYRGPGMEPPGFPKKRDTLFSSNQTVVDDHVD >ORGLA06G0009300.1 pep chromosome:AGI1.1:6:584757:585066:1 gene:ORGLA06G0009300 transcript:ORGLA06G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGYIAGSLIGSFAIAYLCDTFVSDKKAFGGSIPKTVSDKEWWQATDTKFQAWPRTAGPPVIMNPISRQNFIVKST >ORGLA06G0009200.1 pep chromosome:AGI1.1:6:581455:581928:1 gene:ORGLA06G0009200 transcript:ORGLA06G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSVSGMAWAHAVMGWAAVAFALFVLAITTADASSSQGPCAAYGGGSGGATFMRAGAALVLLSATAQAVAATAARAAANGARFLSGFFALVAHFAGAYTAAVLSELVPVVVAAAGGVCAASGYKHMLVAHYVVLDIPLIVFYLAGFVALINQYHLE >ORGLA06G0009100.1 pep chromosome:AGI1.1:6:577591:577893:-1 gene:ORGLA06G0009100 transcript:ORGLA06G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFGPPSAVAVVVVAVAPHARAVAAIAAAARGATAAAALHTGAVATAAGSMGRCCHAGEKKWEEKEKEEEEEGNGDGRMTCGSLCDFGDVNRETVGAYI >ORGLA06G0009000.1 pep chromosome:AGI1.1:6:571757:574167:-1 gene:ORGLA06G0009000 transcript:ORGLA06G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVVVVCAVVGFLGVLSAALGFAAEGTRVKVSDVQTSSPGQCIYPRSPALALGLISAVALMVAQSIINTVAGCICCKRHPVPSDTNWSVALISFIVSWATFIIAFLLLLTGAALNDQRGEENMYFGSFCYVVKPGVFSGGAVLSLASVALAIVYYVALSSAKSPPNWGPQQNQGIAMGQPVIPPQSSEPVFVHEDTYNRQQFP >ORGLA06G0008900.1 pep chromosome:AGI1.1:6:570775:571430:1 gene:ORGLA06G0008900 transcript:ORGLA06G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16790) TAIR;Acc:AT2G16790] ISLXIRRTVAALLAETLGCSFIEADDYHSQANKAKMSKGIPLTDGDRIPWLEALRDAVRERLDHGEDVAVSCSALQQKYREILREGDCSFRSGSGSYSSCRVKFVCLEASAEVIADRIRRRSMEGEHFMPASLLQSQLDLLQIDEAEGITVVDAMVHPNAIVHDTIARFREQLASTVC >ORGLA06G0008800.1 pep chromosome:AGI1.1:6:565824:568930:1 gene:ORGLA06G0008800 transcript:ORGLA06G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAPPLAGVGDRGSSSSSSHPPPPPPPKILLAKPPLPPPSSSGADDDGGGGGGAGRSRQATQPGSLSLVSDAWEVHTDKILPYLTENNDFMVIGVIGPTGVGKSTIMNELYGYDGSSPGMLPPFATQTEEIKAMAKHCTAGIDIRISNERVILLDTQPVFSPSVLIDMMKPDGSSAIPILSGDPLSGDLAHELMGIQLGVFLASVCNILLVVSEGINDLSMWDLILTVDLLKHNIPDPSLLTSSTTQDKENKNDNQSGIEDYIADLCFVHARLREQDFSPSKLMVLKRVLEKHFKSSSFSIGSSGATPQVSDSSVPSSMKIEDLSSNQQDIYLLPLRTPDNSTNFEYRTCPSMLGMLRDQILSRPSRSFSKNLTERDWLRSSAKIWDMVKKSPVISEYCKTLQDSGVFRN >ORGLA06G0008700.1 pep chromosome:AGI1.1:6:563093:563450:-1 gene:ORGLA06G0008700 transcript:ORGLA06G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKYIAAGLVGSFAISYVCDHFIAEKKIFGGTTPHTVSDKEWWQATDKKFQAWPRTAGPPVVMNPISRQNFIVKDLES >ORGLA06G0008600.1 pep chromosome:AGI1.1:6:559397:560445:-1 gene:ORGLA06G0008600 transcript:ORGLA06G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALSAAAAAAGRRMAGQTGFPLLASCRRGDQAHQNYSISAQAQPEEEQKAIHDGGGAAGAQVEAALNRKNVEVHPEEETVEDAWVPDHETGVFVPADEAAVSGTENHDHCGAAAAAAGGSPSVLDQAVFVREEDMEDVERPAVDMAAANHKPK >ORGLA06G0008500.1 pep chromosome:AGI1.1:6:557690:559219:1 gene:ORGLA06G0008500 transcript:ORGLA06G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYPLPPPSPPQQQLPPASSSKPRRPPPHRSHGGYKNGTVSVDSGAPHDARGLRALIKALAAEHGEAAPAVHAHAAKLGLDRRRAVRDGLVELYLARGELASVRALVDGFPDGRDVVSCTAMVTGHARHGFLDEAVVLFFAMADDRGVAIDAVAAAAAFSACAQIGDLALGREAHRRVAERKVAMDVVAWNALVDMYAKCGDAAAAHRWFRRMPVKKNVVSWNTMMSAFARAGELEEALALFQEMQAAAVRPDDATFVAALGACAQLGALDTGRWLHAYMGRMGHSADGVVGNALLDMYAKCGAVDQATEVFDGMARRDVYTYTSMILGLAMHGRGEDALSLFAGMQRAGVTPNEVTLLGVLTACCHAGLVEEGLQQLNAMPEPRIEHYGCVVDMLGRAGRLDEAEEFIAAMPVHSDALIWSSLLAACRAHGDVERAERVMRRRVADADAGDYVLMSNTYASNGRHGEAVKVRGQMRRNEIDKVPGCSLIEIDGVVHEFKAIPANSIR >ORGLA06G0008400.1 pep chromosome:AGI1.1:6:548617:549600:1 gene:ORGLA06G0008400 transcript:ORGLA06G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLRKLFDSFCTKEMKVLMLGLDAAGKTTILYRLHIGEVLSSIPTIGFNVEKVEYKNVAFTVWDVGGQDKLRPLWRQYFRNADALIYVVDSMDRERIGVAKEEFQAIIRDPLMLNSVILLLANKQDLKGAMSSSEVCQRLGAYEELKNRRWHCQGASALTGDGLHGGLDWLASTLRDVQTWGTSVRF >ORGLA06G0008300.1 pep chromosome:AGI1.1:6:540524:544697:-1 gene:ORGLA06G0008300 transcript:ORGLA06G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFGATSTVGLMAAPTGIVSDKKPSSLSSVSSVSVASRPRNARLQRKCNFRVKAAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIEKTAKALVEELKKLSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGRSSENNLYVVEGMQFERGYISPYFVTDSEKMSAEYENCKLLLVDKKITNARDLINVLEEAIRGAYPILIIAEDIEQEALATLVVNKLRGSLKIAAIKAPGFGERKTQYLDDIAILTGATVIRDEVGLSLDKADKSVLGTAAKVVLNKESTTIVGDGSTQEEVTKRVAQIKNLIEAAEQEYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAARVDAIKDNLENDEQKVGAEIVRRALSYPLKLIAKNAGVNGSVVTEKVLSNDNFKFGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPEPAPVTNPMDNSGYGY >ORGLA06G0008200.1 pep chromosome:AGI1.1:6:526110:529850:-1 gene:ORGLA06G0008200 transcript:ORGLA06G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQPLPQPRSSMREALEKEDKEKAAAAKEKAAVPKNGGNGGGGKNGGGNGGGNGGAGAQPGEETTREIQVVREAYRREPAAPAYVMPEEPPAMVELVGWYLYGFCSYFITHLLLPVLFPAIITQVAFPASDFTPDTKYIVKGATCSIHEMSMYQRLTKHSIAIDGSRLSPLGWSGLSWAIGILIAAPILTQAAHHLDRGQYQSLILIAATSFGSFFCLLTGFFKTVWVFLFYILFIGASIIVAEAVHTRNLGLMIRGLAAHDSGKHLVLRRRAAASQLTLYCTAIGGIGAALMAAFMYHMLRRTDQLTGLWVVSIFSGLIWFIGICHGLFTNRPSSSSPTTAFEPNFFTKLSYSMTLVRYPQAIGSLVAVFLSSFATMCIFTSGTLYAIGGVCIKPVLVLVLWILYFLFPLISLPLLHPIQIIIRADAVRMQLLGFIICLFVSGAGFYFKSHRWRAAHIIVIALVQSTANGVLYSFGRILLLDASPPGKEGAFAVWYAFVRCIGAMIGFAAASAGPGRAGGSFAAAFLGSFLGIIVLIFGNVSNIGALKAAGHLKGMEDEKRLGMEKGEGMSAVADSGEGRGRV >ORGLA06G0008100.1 pep chromosome:AGI1.1:6:515776:516276:-1 gene:ORGLA06G0008100 transcript:ORGLA06G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEKNKKMIKVISSDGEAFEMTEAAASMSRILLHMIEDGCTGAGGAGITLPNVAGSALAKVIEYCTKHAIAAAEGSSSSRKAKEELKKFDVEFMEVGIDMLYDLIMAANFMGVEGLLSLAAQRTAELIKGKSPEQIREMFGIKNDHTPEEEEQIRKEYEWAF >ORGLA06G0008000.1 pep chromosome:AGI1.1:6:512388:512869:-1 gene:ORGLA06G0008000 transcript:ORGLA06G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEKKKKKIIKVMSSDGETFEMTEAAASMSPNVAAKPLAKIIEYCTKHAAVEGGSTAAAAAELKRFDEELIDVDTDTLYHLLMAGNLMGVEGVLELAVQRTAELIRGKSPEEIRDTFKIANDFTPEEEEEIINENAWALQ >ORGLA06G0007900.1 pep chromosome:AGI1.1:6:509761:511716:1 gene:ORGLA06G0007900 transcript:ORGLA06G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRQEMPPPTELSALGHREVAAAERPFKKTCHSSVPTSSTLCEPHVWPGLLESLLHQIIALLSSFQDLLAFSATCHSWRAALSSFPSVYTFNFPPLCLKLNTPNICPLRVLLKDNLLSYCKWQLDDPSKRNISLRCSAPPDAPNRMRYLGCSYGYLIFSYHEKNCLFVDAYTGTKLKSPKLNFMGDRDIYYSILTAPLNSLNSHLILCSRSSIFYWQVGTNSWTKHVYGGEHILQIVLFKGEIFAMDVLGRLHTMQFAPELSIQEVAVLRREEMVTGPRSGPWLVACGEMLLMVDLSTDRDQLPRTFQVFRLEFSAETVECVKMERLENSALFVSLDGRDPTFCCTSPERWGGKSNWIYVAKPSGDSGEPWTAVELGQPVPSRIDRVPDFQVDNMWVVPSLIYDVNQ >ORGLA06G0007800.1 pep chromosome:AGI1.1:6:507475:508290:-1 gene:ORGLA06G0007800 transcript:ORGLA06G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAGSSHGQLICCRSGYCLVVDVFTGAEVSPPRLPFSKDHEEIYFCGTLTAPITSPNSHLLISNRSSLFDWPVGSDSWSELKLPVNRVDQIVEFNGQLIAVIEYKLYTLQLAPKLRLKKMKTLWWDDMSECPYLRPWLVVCDGMLLIVDHYITLSFGAPVNYRPYRLDMSAKPAKWVEVKKLENWALFIGGDARSPPFAFKNPERWGGRSNCLYYAHYSQPWSLHGLGDDADAVWDPTTDDNLVFKRNWYSQLQAFWVYPSMFYSDGDGQ >ORGLA06G0007700.1 pep chromosome:AGI1.1:6:506191:506577:-1 gene:ORGLA06G0007700 transcript:ORGLA06G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDINKMMSSDGEISSMAERAASLLRQLIEDGCAAAKPLAEMVEYCAKHAADEAQGKEEEVLDGESSDEEETDILRLEASLMGVENMLSARRSSSRTRTSRRSRRRRTTPSTAHSIHSFIEHCYTD >ORGLA06G0007600.1 pep chromosome:AGI1.1:6:487626:488063:-1 gene:ORGLA06G0007600 transcript:ORGLA06G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDINKMMSSDGEISSMAERAASLLRELRQLIEDGCAAAKPLAEMVEYCAKHAAGDEAEGKEEEVLDDGESSDDEEETDMLRLEASLMDVENMLSVAAQRTAELIKDKDKPPEPEPSPEPSPENDPFHGFHSLHSFTERCYTD >ORGLA06G0007500.1 pep chromosome:AGI1.1:6:469720:470774:-1 gene:ORGLA06G0007500 transcript:ORGLA06G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSLPDSIRRRSDAYHAREEIKAMKRQREAALDDVVEAVKIPKATWMADGTHWPGTWIQPSAEHARGDHAGIIQVMLKPPSDDPLYGTSGEEGRPLDFTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRSSAVMSNGPFILNLDCDHYVYNSQAFREGMCFMMDRGGDRIGYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGIMGPVYVGTGCLFRRIALYGFDHPAPRNIVVAAAVASPRGARLKLPQLHLKRGRL >ORGLA06G0007400.1 pep chromosome:AGI1.1:6:465396:466829:1 gene:ORGLA06G0007400 transcript:ORGLA06G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGPSRAADADDDDDELSRLLSLAEADLDAGRLRAAHKHARRAARLDPDSTRASLLLTAVSVLAADDSSHRATLLLPDSPHSQASPLSPSALRRHYKSLSKSLRSAPPSSSPAVSSAVKEALRRAADAYAALANQAAAPVPPTFWTACAGCRLLHEFDRKYVGFRLMCPSCRRTFLASEVPPPPEAEAEAEPDPLPPAKKKPKTQKREMTLAEMQLQLSKKRATNNSSRLDEDDDDDNDDDDEDDEEEEQQQNNDSEMMDVEDSDFYNFDADRCEKCFKRGQVWALYGDDDGMPRHYALVEMITPGGRFRAQIRWLDLQPDGGEGKPCGEFKVGRTVTVHSVNIFSHHVAYERVAREVYRIYPKKGSVWALHGGKDADSGRPKYEFVVFLSGYSDLYGASFGYLEKVEGFRSIFTRQDVGRYAVQTLHKGDMGKLSHQIPARRAPKGEGSTLPPTDCWELDPASLPSELLHDNQQK >ORGLA06G0007300.1 pep chromosome:AGI1.1:6:455108:464104:1 gene:ORGLA06G0007300 transcript:ORGLA06G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT5G35930) TAIR;Acc:AT5G35930] MAAEAAAAKEEEPCCISHAFDRAARRNPTGLAVIHAASSSSSGSDSERRFTCADLLAAVSTLSRHIAAELSSSTSRHRDESPGCSGRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAVLVVSSGGLGAAHVFASSSCSVIHMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGVCGTEKGLLNRFLWMQRWKPLCSDDLLLFKTSVSFVDHLQEFLSAVLTCTTLVIPPPNDWRANPASLANLIKAYGISRMNLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATDAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYRTGDFARRLKSGEFIFLGRKDRTVKIYGQRFSLQEVESTLNEHPDVSAAAVTFQNNEFLDFRAYLVLKSSTASVEDCQRRKRYKSSEVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERITVNPHLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKHGCLLSSSHEPHPKKGLDISSSIHSSFNPIATSVDDNFPEVKAHINGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYQTKSLLLDTCSNDRNSVDVSPWILNFCLVKKWSIGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHRFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDMLTGKQAWTIQTDGEVKMQPAVDMIRNLIWCGSYDHHLYALNYKDRCCTYKISCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFKIIWQYEAGAPIFGSLAIHHHGGKVICCLVNGLVIALNSHGSVVWKASVGGPIFAGACLSSGLPTQVLIPSRDGRLYSFDTTSGALLWKYEVGDPITASAFVDEVLTATSPGASERFACICTSSGQVHVIRIRADAKEEKVNGSICNDLVQGFAAIDLPGDIFSSPLMVGGRIFVGCRDDQLHCLTISS >ORGLA06G0007200.1 pep chromosome:AGI1.1:6:451958:453400:1 gene:ORGLA06G0007200 transcript:ORGLA06G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, decarboxylating [Source:UniProtKB/TrEMBL;Acc:I1PYW0] MAVTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKVEGNLPVYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLISEAYDVLKSVGKLTNSELQQVFSEWNKGELLSFLIEITADIFSIKDDQGSGHLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEAAKVFQGDFSSNLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSMEKGWSLNLGELARIWKGGCIIRAIFLDRIKKAYDRNSDLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIARAAKM >ORGLA06G0007100.1 pep chromosome:AGI1.1:6:437996:443324:-1 gene:ORGLA06G0007100 transcript:ORGLA06G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAVGGSNWEEMVRRMLPPGTTIPEAPANLDYSIALEYDGPPVSYELPRIDPVDLPAIPTAQPVSGPLVPGRSNGVVAPVVRPVFMPPVHRKQDAHRAEPPPVAAQGRRRRSSESVDSAPQNEGFSDDDDSCSVSQESAHNFHGQRGGRTAAQEGRRAQVVTFGVTEDSRYESKEFDDVSEQYVAVTKKEKRGRTCSRCGKRKWESKESCIVCDARFCSYCVLRAMGSMPEGRKCITCIGQPIDESKRSKLGKGSRILSRLLSPLEVRQILKAEKECQANQLRPEQLIINGFPLNPDEMASLLSCQRPPQKLKPGRYWYDKESGLWGKEGEKPDRVVSTNLTFNGKLQPNASNGNTQVYMNGREITKIELRVLKIAQVQCPRDTHFWVYHDGGYEEEGQNNIKGKIWESHVLPCALFSLPVPPANSDEPNDEAPYSARTVPDYLDQKRIQKLLILGSPGAGTSTIFKQAKLLYDTRFTQEELDSIKLMIQSNMFKYLGILLEGRERFEEEALAGSNNPSSEDENTQHDGNKSNGSDSCIYSINAKLKKFSDWLLDIIAMGDLDAFFPAATREYAPIVEEMWKDPAIQATYKRKDELHFLPDVAEYFLSRAIEVSSNEYEPSEKDIIYAEGVTQGNGLAFIEFTLDDRSPMSEMYTDNHEPHSQTLNKYQLIRVSAKGMNEGCKWVEMFEDVSMVIFSVALSDYDQLGAPSSGGNRPLVNKMIQSRDLFEATIRQPCFRDMPFVLVLNKFDLFEEKIGRVPLSTCEWFSDFCPLRTHHNNQSLAHQAFYYVAMKFKELYAACTDRKLFVWQARARDRLTVDEAFKFIREVLKWEDEKDGGGYYPDESFYSTTELSSSRLIRQE >ORGLA06G0007000.1 pep chromosome:AGI1.1:6:433344:437185:-1 gene:ORGLA06G0007000 transcript:ORGLA06G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27270) TAIR;Acc:AT5G27270] MAAAIAGAAASTAASITCSSSSYEDDGAATSWSLSSGTSSSSSSSQRQRPYRRLLHDEAQRLRRERRGQGSGAHTPRWVRRTPDQMARYVEDDRAGHVYGRHVVAAVRAARATASCSSPSSADMREAMASFVAKLTFREMCFVLHELRGWRQARDFFAWMKLQLCYEPTVVAYTILLRLYGQVGKIKLAEETFLEMLQAGCEPDAVACGTLLCAYARWGKLNDMLMFYAAVRRRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMLEANVAPNQFTYTVVIGSYAKEGMLEEAMDAFGEMKRCRFVPEEATYGLLISLSAKHGKGEEALGLYDEMKVKSIVPSNYTCASLLTLYYKKEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRMFEEIDKAGLLSDEQTYVAMAQVHMNVQNYDRALQVLDAMRARNVKPSQFSYSALLRCHVAKEDVDAAEDTFRALSNYGSPDVFCCNDLLRLYMRLGHLDKARALILKMRKEALQFDEDLCVTVLEVCCKTSINKDTDNLTEVIQNEGSSSKVLNPTDSSTLSMMLKSLLDKPGGLSSVSQLIMKFAREGSTDEAKFLYEHLTELGAKPDDTAIATLIVQYGQAQQLEQAQKLFEAASTSFPVGGSVYNAMVDALCKCGKTEEAYRLFMELIDQGHNGDAVTISILVTHLTKQGKLYSAVSIYDRMISSGIPRSMQTFNIMISVYGQGGKLEKAVEMFSAAQELGLPIDEKTYTNMLSFYGKAGKHHEASLLFSRMKEYGIRPGKISFNTMINAYATSGLHNEAEIIFQEMQKNNHVPDSHTYLALIRAYTEGKCYSKAEEAIQMMLRSNMTPSCTHFNHLISAFLKEGQIDEAQRMYNQMEEAGIPADLACCRTMMRMHLDHGYVDGGILFFETACRLLKPDSFILSAAFHLYEHSGRESEAGDVLDAINMSGASFLRNLKVGSKLEQVRNDTHAS >ORGLA06G0006900.1 pep chromosome:AGI1.1:6:430625:431803:1 gene:ORGLA06G0006900 transcript:ORGLA06G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSKVSEASGSAPPATSVIEGWAELPEGLLHSIVALLGSFLDLLAFTGTCHSWRAAFSSYPSKSNFRTILPPLLVRPNVRVKAPSSSNGHRKLRSCEVIDLANRNTPLRCQIPQETLQRMHFAGSSHGQLICCRRGYCLVVDVFTGAEVSPPRLPFSENCDEFYYCGILTAPITSPNSHLIISTQSSLFDWPVGSDSWSELKLPVNRVDQIVEFNGQLIAVIEYSLYTLQLAPILRLEKIKTLWWDNMNECPYMRPWFVVCGDMLLIVDHYISFSFGAPVLYRPYRLDMSTKPAKWVEVKKLENWALFIGGDARSPPFSFKNPERWGGRSNCLYYAHYSQPLSLHGLGDDADAVWDPNTDDNLVFKRNWYRQLQALWVYPSMFYSEGDGQ >ORGLA06G0006800.1 pep chromosome:AGI1.1:6:427181:429383:-1 gene:ORGLA06G0006800 transcript:ORGLA06G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRRKRQRRRPRPPSPQAEPSPTELTALGQREVSVAERPFKKTCHSSVSTSSTMCEPHVWPGLMDSILHQIIALLSSFQDLLAFSGTCRSWRAALSSFPSIYTFTFPPLHLKPDIPNSHPHCSSFRYTLLYKCRWQLGDPSKRTLSLRCSAPQNTPNRMRYLGCSYGYLIFSYYENCLLVDMYTGAKVKPPKLQSAGNKETYYGILTAPLNLPISHLLLCSRSSIFYWQVGTNSWSEHPFGGERILQIVLFKGEFFAMDFHHRLHTMRFAPQLSMQEVGVVWGEEMFVGVHFKPWLVISGDMLLMLDLSVGIHHSYGFPGTFQVFRLDFSAQTAKWMKMEKLENSALFVSLDRRNPTFSCTNPERWGGKSNCIYVAKPSEDSDEPWTAVELGQPIPGATHCVPYSHALLRTEGHCSQLENLWVLPSFINGVDQ >ORGLA06G0006700.1 pep chromosome:AGI1.1:6:416721:417830:1 gene:ORGLA06G0006700 transcript:ORGLA06G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKGKDLISQLPDDILLHILSMVRYKEAVRTAAVSRRWKHLHTKLPAVSFIMSVLGAQGSSLSTQSRQRVDSMARTLRRRCAGPDRHTVKRLCLAYRKDVPMECRYADEFIALAAASSLQLFLNCPKNLRNDDAGPWSLHLPAATACLSMESCWYSVRPPHVHGPGASALKSLTFKDSFMVLHPGYLQDTAFPSLEELHISGCTLSGSIEITSATMPRLKHLRIADVSGVSLGTATAIAVLADELTTLRVSCHDGGKPDPPSSHEMLCVETLFRASFTEYSYFRLRAPKLRVFDWRCCYAKEVRVDAVGRHLSDVVIELFAGRLPRCYNEAKRFLQMEDCDKLMNDVLQGIMPGRWKYVQRYIYTDR >ORGLA06G0006600.1 pep chromosome:AGI1.1:6:413118:413432:1 gene:ORGLA06G0006600 transcript:ORGLA06G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVKEKVKDKVSAVKAKGKVSKAKADEKKEVATARSHAERELAHERAKARVAAAKMELHQDKALHREEAIQHRLHKHGAGTTAGVRPTAAAPAPHPPPASYYE >ORGLA06G0006500.1 pep chromosome:AGI1.1:6:407621:411081:1 gene:ORGLA06G0006500 transcript:ORGLA06G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EYES ABSENT homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) TAIR;Acc:AT2G35320] MDGVVAASADATRDEIKIMNVYIWDMDETLILLKSLLDGSYAGAFDGLKDHDKSVDIGKRWENLILELCDEHFFYEEIENYNEPFLSAVKEYDDGKDLTTYDFEADCFSSPYDDLNKRKLAYRHRAIGEKYTKGLEKILDHHMIKVWNDLYSATDKYTDGWLSSAHKLLEEAMGKSTAESTAKHSSINCIVTSGSLIPSLAKCLLYRLDDVVAFENVYSSWEVGKLQCFKWIKERYDGPNVRFCAIGDGHEECTAAQIMKWPFVKIEFHPDAPHRFPGLNLPTIHRIMDTVYDSSSNDG >ORGLA06G0006400.1 pep chromosome:AGI1.1:6:399574:405558:1 gene:ORGLA06G0006400 transcript:ORGLA06G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEGMGMAAAWAAGDLWVLAAAVVAGVVLVDAVVRRAHDWVRVAALGAERRSRLPPGEMGWPMVGSMWAFLRAFKSGNPDAFIASFIRRFGRTGVYRTFMFSSPTILAVTPEACKQVLMDDEGFVTGWPKATVTLIGPKSFVNMSYDDHRRIRKLTAAPINGFDALTTYLSFIDQTVVASLRRWSSPESGQVEFLTELRRMTFKIIVQIFMSGADDATMEALERSYTDLNYGMRAMAINLPGFAYYRALRARRKLVSVLQGVLDGRRAAAAKGFKRSGAMDMMDRLIEAEDERGRRLADDEIVDVLIMYLNAGHESSGHITMWATVFLQENPDIFARAKAEQEEIMRSIPATQNGLTLRDFKKMHFLSQVVDETLRCVNISFVSFRQATRDIFVNGYLIPKGWKVQLWYRSVHMDDQVYPDPKMFNPSRWEGPPPKAGTFLPFGLGARLCPGNDLAKLEISVFLHHFLLGYKLKRANPKCRVRYLPHPRPVDNCLATISKVSDEH >ORGLA06G0006300.1 pep chromosome:AGI1.1:6:393039:393353:1 gene:ORGLA06G0006300 transcript:ORGLA06G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVSIHYFICHMCRHAAARGSSAFSAASSASSSAAPVLPVVVRPALAEHAAEMERLISQLPLFTLASSLAALPKSSRARCRHPLLLRAVSGEEGDCRRRTEG >ORGLA06G0006200.1 pep chromosome:AGI1.1:6:385140:387138:1 gene:ORGLA06G0006200 transcript:ORGLA06G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:I1PYV0] MAHANKNHIESFPPPGKKITIVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEAKYDTEQGTMIKNLMNEGKLVSSDLIVKLLFKAMRESGNDKFLVDGFPRNEENRHAYENIIHIEPEFLLFIDCSKEEMERRILNRNQGRDDDNIDTIRRRFDVFQQQTLPVIQYYEKRGKLRKVDGNRQVDEVFEDVKAIFAQLNNQKIHGGQQASGLSRAQMNPLKRWFFDFFCGCFGTKEEARN >ORGLA06G0006100.1 pep chromosome:AGI1.1:6:375368:376279:-1 gene:ORGLA06G0006100 transcript:ORGLA06G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVEKEGETGHLTSPTAPTLTTPTNQAFVNIITVLMMMSLMEEEAMAMETMATTTTKRMSVAEVSLVEAVLFDIDGTMCVSDPFHHRAFSELLQALGYNSGVPITPEFGMAHMAGRSNHQIGSFLFPDWPQHRLDAFFADKEALFARYAAEGLREVAGLTDLCRWAAARGLKRAAVTNAPRANADLMISILGLSDFFQVIVAAADDCDLPKPSPEPYLRALSLLGASPRHTLVFEDSVVGVQAGVAAGMPVIAVAEEAREAKVVAAGASLVIRDYKDHKLWAALDKLQAAAAAQSNGQLGA >ORGLA06G0006000.1 pep chromosome:AGI1.1:6:370671:375040:1 gene:ORGLA06G0006000 transcript:ORGLA06G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle 48C [Source:Projected from Arabidopsis thaliana (AT3G01610) TAIR;Acc:AT3G01610] MGKRARHGGSGRSHSPYFESQLRRLITDGGLSSSSAEDVAIALRSRFPEFRRHKLDPFTSAVRRALNSIPSDSHSDSADDSHASTPSQRRRRRPRHDAHATASSSTSLSDDAAHPPPPPIYDVTKSMLRTQYASQTPKRDTGSNQQLEIEIAAEKPRRFITSDGGAGGEAKPESAPPSEGGDRGGKGPTFSDLGGMESVIEQLMMEVVVPLCHPEVPRWLGVKPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRSLFKKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEYHQQIGSGSGDVGSESAEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDEYARKKILMMLTRNLRLEGQLDLLKIARATSSFVGADLKALVDKAGNLAMKRIIDRRRAQFCQEHDENSKHDWWRQPWDANEIEGLSITMDDFEEATKMVQPSLRREGFSSIPDVTWDDVGGLDSLRKEFDRYIIRCIKHPEEYKTFGLNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFIRAQTNTPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGAGERKGVFVIGATNRIDVIDDAALRPGRFGKKHYVPLPGADERVSILRALARNKPISSSVDLGALARREECNNLTGADLASMVNEAAMAALEERLEFLENGESSMSSSSAIELPHFERALAKMQPSVSEQQRRHYEALCKKYSAS >ORGLA06G0005900.1 pep chromosome:AGI1.1:6:366270:369811:1 gene:ORGLA06G0005900 transcript:ORGLA06G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1PYU7] MWRAGCMEQWMPTVSMVATNVVIAIMTALIKQALNQGMNRLVLITFRQMVATVFLGPIAYFKERKTRPKFTTEIFVYMFLSGMLGPVLLQYTLFVGLEFTTATFAATFGNLLPVVTFLISLVFRFEALNVKSRSGSAKISGTLVSLSGAMMLTFYKGSALTHTPSSSSSPASSSSHSQAEEHDTAHWVLGSVSLLANVVGFALWLMLQRKFTRKYPAIYSATAFMSLFSCLQAGALALSIQRSSISIWALKGKIEIATVVYCGVVASGFGYLMLTYCVEKRGPVFTAAFSPLSQIFVAGIDLFILHEPLYLGSVLGSVLVILGLYLVLWGKREETAAVSKDAIASPEKPVQDVEQQQEKV >ORGLA06G0005800.1 pep chromosome:AGI1.1:6:362804:365163:1 gene:ORGLA06G0005800 transcript:ORGLA06G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1PYU6] MWKMSQVDEWKPVIAMLFFDLISAVTTALLKKALAEGLDRLVLITLRQLVATIFLAPIAYFKERGKRPKLTLEILVYLFFSAALGAALSQYTFFYGLQYTTATFAITFTNMSPVITFLIAALLRVESLNMKNKAGAAKIIGTLMSFAGVMLLTLYKGVALTHQVVSSVSSDHHHAEIEEPSKKSWTLGTVALLANCLCFSFWLLLQTKLTKKYPALYSSTAYMFLISSLQGGALTAAIQRRASVWVLTRTVEIVAVLYTGIMGSGVGYVLMTWCVEKRGPVFTSAFIPIIQIMVAIIDFFYLHENLYLGSVVGSILMILGLYILLWGKNRDTSAAASAKEAKEEEEDKEKQVKPLGPN >ORGLA06G0005700.1 pep chromosome:AGI1.1:6:343642:344733:-1 gene:ORGLA06G0005700 transcript:ORGLA06G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATRCSPAAAPPRPVRIPRVRVRCCEDTLGVPRSRRPTHDTELAQSQRFPELVTPYGAASYLPHQQRYPPPPRPRRIVLVRHGESEGNVDEAAYTRVPDPRIGLTPQGWRDAEDCGRRLRHLLSTGGGDDWKVYFYVSPYRRTLETLRGLGRAFEARRIAGVREEPRLREQDFGNFQDRDKMRVEKEIRRRYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFQPPGERNPDMNVVLVSHGLTLRVFLMRWYKWTVSQFEGLANLSNGGALVMQTGAGGRYSLLVHHSVDELREFGLTDDMIEDQKWQMTARPGELNYNFITNGPSFFTHFTHHHHDKHKAAIDDGTGGSATAPS >ORGLA06G0005600.1 pep chromosome:AGI1.1:6:339039:342473:1 gene:ORGLA06G0005600 transcript:ORGLA06G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAHHHHHEHHHLLDMSSPPNASGAIISSFDHAAGLLSLHDVAAAAADHHHHHLRGGGGGLQLPSPWSQQQVSLSLYNNAAGAAGSPPSSLVAHQQLAAAQPLMFQLRGSKYLGPVKALLAEFCSLDVEAMDGAKQQRPPNPNPKIGKWDDVEGSGSWGNLSLSSMDLLDLERRKARILSMVEEVDRRYRRYREQMRAVEVSFEAVAGGGAAQVYTKLAMRAMSRHFRCLRDALVGQVRALRNAMGESQRDAAGGVAAAAPGATKGDTPRLRVLDQCLRQQRAFQQSGAVDSFPWRPQRGLPERAVAVLRAWLFEHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMIEDMYKEETKPESSDGNNKLNPSAAGNKQQHRDDPKKNYTATTAEASLVQQSSYHLHLRSSGNPNSSSLMIPAAASTSIDHHHDSSHQLLGGHSYSSAAGLHHGHGGAVSLTLGLQQQQQPFAASMMHQHQQQQQQQQSFMVEAAEEEEDDVLPYRNLMESQLLHDFAG >ORGLA06G0005500.1 pep chromosome:AGI1.1:6:330138:330590:-1 gene:ORGLA06G0005500 transcript:ORGLA06G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TALPSSLGRRAASLLALPPHAASLLARPPPPTSSMVTSGSELPLPSPGRLKKPKLLPPPRLADHPKKEGTSSSPLLPPHCPRRKGPRPPGGLRRGPGGPRVGNAINRFKYSIRFLIEQVIGARILLICIMKLLIFPLVMLYLRYAWLCLDI >ORGLA06G0005400.1 pep chromosome:AGI1.1:6:329146:330000:-1 gene:ORGLA06G0005400 transcript:ORGLA06G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRAGPTRRAAAAGSGQAWDGARRSSARASGTAHMRGRLVWRRTAVAVRGLAGRGGAASRRRVRPGQRRCLPMRCLCSSSVFSTSRGGFGLVNGESAMAGRLGPTMSSVREEASSLCSDGGGAPTPSPRTRVPATRTVVVVTRTPRASMADCHVSAMSMPHQMKTESNXPRRRHVSQNSNLNHRGTSFAPVLIV >ORGLA06G0005300.1 pep chromosome:AGI1.1:6:327594:328543:-1 gene:ORGLA06G0005300 transcript:ORGLA06G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWNNPAIFLAAALAVATAAQVVTAGFTTDLYWQQQPAPGAVTPYKTSDWHDGSATFYGDPSGMGDDFGGACGYVSNDIVSLYSTKTAALSTPLFADGNGCGQCYELRCVKSPWCNPGSPSVVITGTNLCPPNWYLPNDDGGWCNPPRHHFDMAPPSFLKLAQRVAGIVPVQYRRVPCQRTGGVRFCLQGNHYWLLLYVMNVGGAGDVSSLSVKTSGGDGAWIQAAHNWGITYQVFAALDNSDGLTVKLTTYSTPQQTIIVSDAISPWWITGLCYQGSNNFY >ORGLA06G0005200.1 pep chromosome:AGI1.1:6:321691:326888:1 gene:ORGLA06G0005200 transcript:ORGLA06G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGQQRRRGGRREMRRIEDTTRRQVTFSKRRKGLLKKASELSVLCDAEVALLVFSPRGRFFHFASAPSLEGTIDRYISHTQEAPANKKPRELTNMKSQSETLAMEIDTVEAYTRKMQGENLESCSLQELHGLEMQMEKSLSSIRLQKQKKLMDKISQLQQQEKILSEENALLLDQGKVQHAPIGAPAREMNQNQHVQDIDVDTELVIGRR >ORGLA06G0005100.1 pep chromosome:AGI1.1:6:315934:316816:-1 gene:ORGLA06G0005100 transcript:ORGLA06G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRNPSWAEYVSWAVQWLTAYWAFSRSVAPAGGEEAGGELLLRGRELRVKGWWVLPIKAHHAAGRLTGAAAGSLCSMAPLREAKRWRRRLQWRRPLWRVARPEQIARRIDADGAPGESTALRARSYGCIRVFLVLSLLLLAVEVAAYL >ORGLA06G0005000.1 pep chromosome:AGI1.1:6:310773:311372:-1 gene:ORGLA06G0005000 transcript:ORGLA06G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVAWESRSLQLQGGGGGHGGGGGERREYMFEKVVTPSDVGKLNRLVVPKHYAEKYFPLGPAARTSPAGTVLCFEDARGGGGGGDSTWRFRYSYWSSSQSYVITKGWSRYVRDKRLAAGDTVSFCRAGARLFIDCRKRAASVSSSSLVPPALIKVQLPPSRPVVDEEEAACGRRCLRLFGVDLQLRADASPALDLQL >ORGLA06G0004900.1 pep chromosome:AGI1.1:6:305554:307657:-1 gene:ORGLA06G0004900 transcript:ORGLA06G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTAAAVSTSAAAAVTKASPSPAHCFLPCPPRTRAAHQRGLLLRAQVSTTDAAAVAAAPAKKEKISKKQDEGVVTNKYRPKEPYVGKCLLNTKITADDAPGETWHMVFSTEGEIPYREGQSIGVIADGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGSDVKITGPVGKEMLMPKDPNANIIMLATGTGIAPFRSFLWKMFFEKYDDYKFNGLAWLFLGVPTSSSLLYKEEFDKMKAKAPENFRVDYAVSREQTNAQGEKMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDDIMVSLAAKDGIDWADYKKQLKKGEQWNVEVY >ORGLA06G0004800.1 pep chromosome:AGI1.1:6:303341:305001:1 gene:ORGLA06G0004800 transcript:ORGLA06G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1PYT6] MGWWLGGGLPVIAMLALNVVAAVLVSLVKVAMDGGLNPLVLVTLQQLTAAIFLGPIAYFKERKSRPKLTLEIFTYLFVSAALGAALRQYMIFVALRYTTATFVTAFSNIAPVLTFLLAILTRSESLNLRRKTGIAKLVGTLISVAGAMVLTFYKGVAVTHTTKIHHATAAAAVAAEAAMSSRNWTLGTVAILGNCVCLSCWFLLHSRLAKKYPHVYSCNAFMCMFSFLQVAVVGLSTQRNVSVWIVRTKFHILTILYAGVVGCGLSFVLLTWCIEKRGPVFVAAFIPVVQIIVSVIDFTVLHEQLFLGSVLGSVLVIGGLYLLLWGKRQEALHLPPKVAEHDKEQQQQQQQQQQQQQQQQVQL >ORGLA06G0004700.1 pep chromosome:AGI1.1:6:287970:289998:-1 gene:ORGLA06G0004700 transcript:ORGLA06G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISLLEEASVQKADAKPVYCTKFLSDWVCGLRNFKWKVVAGIHQALVPNEHSWEEVMGSPIIQYAGGCSLSACSVCIEAQHRLAFERIHGRGSFAWRVESPPAKLRKECLKRNAWSQESGGKSDRVLDVIIDIGGLPTTDRIIMSPWLLCSVKW >ORGLA06G0004600.1 pep chromosome:AGI1.1:6:280602:282693:1 gene:ORGLA06G0004600 transcript:ORGLA06G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAPAALLLPSNHSYRPLLPRPILHHATGFACASASPSPPPRLRLRLRHAAPLRAAALPAIAIAPGDHWGNWAFLLSAAAFGTWSEESTSWGAALSGSLVSIMAGLAATATGLVTAGAPAQDAVMDYLLPATVPLLLLGADLRRVVSTTGDLLKAFLIGSVATTIGTTIAFLLVPMKSLGQDSWKIAAALMGSYIGGAVNYVAISEALGVSPSVLAAGVAADNIISALYFMTLFSLAAKIPAEPKTAQEGSNGGESEGGRRMSVLHGGAAVALSFVICKAGSAISSQLGIQGGTLPCVTALVVALATAFPRLLGKLAPSGETIALILMQVFFTVVGANGNLVDAVTKAPSVFAFALVQVTIHLGIVLAAGKLMGFERKPLLIASNANVGGPTTAAAMATAKGWSSLIVPGILVGMFGISIATFVGIGFGMFVLRRICGA >ORGLA06G0004500.1 pep chromosome:AGI1.1:6:276637:278838:1 gene:ORGLA06G0004500 transcript:ORGLA06G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGEEEEGRQVQEVVAHVYDVASSGSSEGGGGGTAILHVNRFFKDAIGLGGIFHTAIQVYGDEEWSFGYCENGTGVFSCPPCKNPMYTYRESIVLGKTTCSIFTVNQILRELSWKWPGGSYELLSRNCNHFCNTFCEKLDVPKLPAWVNRFANAGDAALEVAENTAEKLKQAKKDIAGACKAATTYLTGASSSSPSNADDSGGSTNSSLFEGTWLRSIIGISMKPSRSLMCSDSSDDEKSEDERESDCQQPSGDQIEEKKDATQEQAGK >ORGLA06G0004400.1 pep chromosome:AGI1.1:6:271170:271817:-1 gene:ORGLA06G0004400 transcript:ORGLA06G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAGGNQGGKVLKKGKKKHAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKRQQKREEQQRLDDEGAAIAEAVALHVLIDEDSEEPCHLMLNNLRICNHWEDFVGFGFAPDSQGVDAYPSGKPTSVSHAYVPQLRWTNWGMSQTFSSWEQLTDCEAPLYQEALAQSDIHPGPIAIVSPLQKRREDPFTIQGEAVAAASSATESESGQWNQQ >ORGLA06G0004300.1 pep chromosome:AGI1.1:6:264774:266273:-1 gene:ORGLA06G0004300 transcript:ORGLA06G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPGRVGDGGGAAPRPGGAHRRAEHVPGAELPRAHGVADGLRRRGRRHDVEHGGVQVGGGPPVAVLRRGRRRGDAVRAGGEVADWAVQPDEPLHPVPPQRRRHPGVPGHGRVAHHRSPPVVRPRPRQGRRPVREPDRRVQPDGRGDHRRERDDRRAGGDVVVQVPFEQAQVHAWVPDRGDALGHGGDIERDAGELAGVEHPPGVQQQHRGAGGDDPGTDALAQHRRHQPGLVLPRPHRGLLHRVRRRLRGDQVRVGRVRDRVRDAQPAHRGAEADVRVADERGDRAGQRDVGRDQRRAGGGHNGGELGVGGADQDGGGAWGVRARRVRPGDVAGHHEVGVLDDGELQVPPGRRLRPQRHPRRGQHQLPGRGGHGGVQGGRQAGGHPGRPLPRHLHRQRHRHALQVAQVPVDLHRHRGGVHRRHAGAVPAAAGGARWRLPVPHGHAAHRPARHAAVRLLRPGLHLADLAADCSAVAVAVTVVTTTTLASACGLLKQKQ >ORGLA06G0004200.1 pep chromosome:AGI1.1:6:256254:260175:-1 gene:ORGLA06G0004200 transcript:ORGLA06G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQKRSKLEDCSQSLSESDDIHSESLPNNDDNYQCDSSDNSHSNHMDVPSQDHLNVISTNDLNDMDVSQPHVNEISTNELLKTLKRKLSKSKRSEDDNIKMKRSESIELDNDVMINQVLRDIIQMDQIINNLKKKLNIHHNIGDQNLKDKNVDTDLKSDAFSRFSVKYFSKVLDSLSPHHKTVIENSCFRSMLLFDKCFVPNSFALWIAKQVDVNCSDIVLGQKLIPLNKQSVHVVLGLHVGGSTIHSKFDSGKQKILHIFGKTSIPSVKFFGEKLIKNEELPDDQILICFMIVSLSCFLCPNSSLIPSTKYLSAFGDMDLIESLDWCKLVFDWLMDHISKLKKSKTFGGCLFHLAVNYLDFLNFGSQKVLLDTPRIKVWKRSMIKDYSKFDKISERVYGKRPVNDIASTCYQMVDDSSSSFADMLKSSVGDLLPNHERDEEINDDVNQAVQKNNNITSSTKGNSKTVLDDDKLPIPNEEFNPVNKSTNLNSSGQIKYINIDEIMTKLNKTGHVPINPPDETEKNSALTAQNNQLFQPSFKIWDSDDDLHREKXXFQKRNYTCSSCRFTLSYSXFILPKSCFKKXDSPDKMYMVTLENSTSPTASLNENKENEKGCHMIKDSPDVVFIGEKKFSKKCADIGNKTNMMYNKMNRILLDSQK >ORGLA06G0004100.1 pep chromosome:AGI1.1:6:251984:256016:1 gene:ORGLA06G0004100 transcript:ORGLA06G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGEEKKERKRGSKSQMEEGESTMSESKSSSRGKKKSKTTSEQKVEIHTKETQHLENAEEKQEEGKIKRNKKAPVQSFNLNFKMISDFSSKKQDSSSKEQDITTSKLVHVQKPNEFISMKTSKGFKGNKEKEKNNSMDMQKMESGVIEKEKNVKVLRKKKEKTQVSYKRTKNAKVLRKXKEKIQVSYKRRNKARVLWKXKKKNQEPYGRKHKARVVRKKEKGETLIXWKEMITSLFLVQLLLC >ORGLA06G0004000.1 pep chromosome:AGI1.1:6:249689:250700:1 gene:ORGLA06G0004000 transcript:ORGLA06G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGADNERAEGPQTMLLVEEGIRKVDTEFACISYLTPWRWCNQAVRWLAWKTGTTLAGLVLPDTWSWEKIVGSPAVQGRGGCSLSACTVCTEAQHRMIYDRLHGSGSFRWRVEVPPTTLRMECIKKEAWNPEEGGKVEHVLEVVVGMGGLPTMDDDYFLPVVGLNKYPGPYKTQMLGLTEYVKPLTAIETARLIRQRGPIIGALAVNPPHYFSYRADAKQRAKRVYRGCPESAIIARKAAWHAVVCFEYRFVKSLIGEELQLKIMDSHSPDGPRRWICFDAFDRFCLPVICRPPCPRPSSSE >ORGLA06G0003900.1 pep chromosome:AGI1.1:6:246696:246977:1 gene:ORGLA06G0003900 transcript:ORGLA06G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATCRSHFPSSLLPSTHASSLSLPLREAEAHRAEEARPRRRRRREEALPYLCCADVGGSWGMQSREKGSWGSRSATRCLAGVQSYRIRESGR >ORGLA06G0003800.1 pep chromosome:AGI1.1:6:242315:246111:-1 gene:ORGLA06G0003800 transcript:ORGLA06G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDFNPPSTPRLGPGGPAEEREGGHSSPTXAYPALLPSLPNPPKKIMEPLTFCRRKMHPQNLXIVDLDHWMCFTIRAPCASSPTPMTSSSSPALVTTQTSAKGRRIRVPGWGGDGRVGRGRRGMLSGLEGWSCRRRPSSGRQNEXATRCRSHGDGXGWWADVGVGGISLSIAQGGRREEGGRSGVPRRRRXCLPTNRQGAGVWWCGWAVVVXEGMESTATXGGERSGGSKIEGRGKKKLGLGFHWMNLKAIXKFIIPKILWGIFYQMTYRQSQKIIRNVKIAXTTLNYHACFEISPYNLFRKLSRTPKFLPNIPPPPRFTNSFTYPXIFCLDPFPNLSVNXLLNSYHFVPFTMKELESDSSHSHVRXAHPDXIXLAMNPCRKKXRKSLVYPXKLTXSLLYPPILLDLLCTPKFPLXSPPYPSRXVSVXSVAXPVCYIVSIFKKYQNAPASQPXVNRSNLLLFSPHPLHAASLQSRGGRRPPVARWGAAGSPPPPVVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVGCRRLTPSRRARRRPLPSRREVGRRWSPPSRRAGVRRRPPPSSRAAAAHSPLSQAPPATVLLSCGQSPIPSRRCAALLVGVAAIFISSSCSFHCCLLLXCLTKCIFDLSFATFVYGVESWFGSCTIGYGNEIVIKLILVLLLSAVEFGWVQNASGRAEGTIVAIGGGGGEIGIGRQLLEHGACVDEEAVKDIGGEAELRENQATLLLPVAVPRASASHEVDVEVDFASESGAAGVDGRRQGDELAVLHGIELQLILCSAAEEGVVEGEDVHDVLHAPLFLRHWHRPSCTPTTNCSQN >ORGLA06G0003700.1 pep chromosome:AGI1.1:6:239461:241729:-1 gene:ORGLA06G0003700 transcript:ORGLA06G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cwf15 / Cwc15 cell cycle control family protein [Source:Projected from Arabidopsis thaliana (AT3G13200) TAIR;Acc:AT3G13200] MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDLAAHTTLKPRKEGQHTQEELQKRNLRDELEERERKHYSSKDKSYAEERDRRKSTSLLLEGSRREAEDKIVPREIDADDSDVEPRSDDESDEDDDDDDDTEALMAELERIKKERAEEKLRKERQQAEEEAKMKEAELMRGNPLININNAGSFNVKRRWDDDVVFKNQARGETKTPKRFINDTIRSDFHRKFLQRYMK >ORGLA06G0003600.1 pep chromosome:AGI1.1:6:236835:238611:1 gene:ORGLA06G0003600 transcript:ORGLA06G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-determining protein [Source:UniProtKB/TrEMBL;Acc:I1PYS4] MAFAPRLLLPSRCPPPASSPARHGGRTAPELSGPTPRVVVVTSGKGGVGKTTTTANLAASLARLSLSAVAVDADAGLRNLDLLLGLENRVHLTAADVLAGDCRLDQALVRHRALHDLQLLCLSKPRSKLPLAFGSKTLTWVADALRRAANPPAFILIDCPAGVDAGFVTAIAPAEEAVLVTTPDITALRDADRVAGLLECDGIKDIKIIVNRVRPDLVKGEDMMSALDVQEMLGLPLLGVVPEDAEVIRSTNRGVPLVLNDPPTPAGLALEQATWRLVERDAMTAVMVEEQERPKKKAGFFSFFGG >ORGLA06G0003500.1 pep chromosome:AGI1.1:6:230078:235520:1 gene:ORGLA06G0003500 transcript:ORGLA06G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPEPEPPKRNMRISYSRDFMISVGETDRCKKLPQGFDASLLSDLQEMSAGVLDRNKGYYTTPLGRSDGSGPYSYSSRGGSSGGRWETRSSGSSDRDGDLPDRDSSMQDRRNGNQYRRNWQNQEHDGLLGSGVLPRPPGYGGQVASKDRGNTYQPNRTSERYQPPRPKAAPFPRKDIDAMNDETFGSSEFSNEDRAEEERKRRASFEMMRKEQHKALQEKKNGPEIEKENSGHDIISLLQTPSERTATTAKSEKPDGSAISSAYQEDTAKTSSVLSASTARPLVPPGFSNAFVEKKLQPQSSNISLEPKVIDATSEGNILATAQFGGLVEGNQSASEITASKNKEKGIPDNIASVGKQHTLPSGGVTYSAEFASSILKGSGDWEGDAMDKYSIENEGKSKNIGSVRKDHSISILEQFFGSALSKGGTDLPPYVENQQMKNDDDVIVSSLPESSKFAHWFHDEDSKPAEDLSSNGLLSMIIKNEKPGQESIAHGPPLSDGAVQNLLPISPTHKLDVASTHPLFTPAAPAVGMLEQHNHADIEPAPIMMTCEDLEQAMLAQVATSSNSNQKNVVQEHQLVVDEPIATQKVAVDNHASQHLLSLLTKSTDNKGSSSFGLHIGSSDRSHNSDVTSNGGVSGIAPVNKAETAPTSEKNLTLEALFGAAFMNELQSKDAPVSIRGSATSGPNYEFAETGKTSIASSHEGYYPGEQVLPFGTIKDGVAPKESGTGNRNLALSGPSQGSASLDKKSLEIQLPEEDNLFTVNDSLDGQKPDIFPSVRSSRVEELLPEKAVDDLNYRLQSLVPGDSEHVQVFGPDALGSHSHERRYQAESQNLYHLLQGRPPALAPRPMMDHIGNRNQQTPFDMTQAIQHDPHRSFSSNMNPMQQSLHAPRAPHVDPAAHHLMMQHISTPGNFPPEGLQRGVPPSQPVHHMPGYRPEMSNVNNFHMHPRQPNYGEFGLMMAGPSGPELRGNHPDAFERFLQMELTARSKQMHPAMAGHVPGGMYGPELDMNLRYR >ORGLA06G0003400.1 pep chromosome:AGI1.1:6:224894:229148:-1 gene:ORGLA06G0003400 transcript:ORGLA06G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPQQLLDSSSPGPGPGPGPEVEDDGGRRVRKPYTITKSRESWTDPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPHKASKRAPQVVLPQQASHLMEQGCLIPMDISPVARNFNANDVFSSWDSALAQSFSPRHTHGAANNCSSSVESQSGTCPTSEAIEQEIMLPTLRAMPDFAQVYNFLGSIFDPETSGHLQRLREMDPIDVETVLLLMKNLSINLTNPNFEAHRKVLASHGSGMDQVKHESLGDLGSTHTLHLPFMVTTK >ORGLA06G0003300.1 pep chromosome:AGI1.1:6:220591:222998:-1 gene:ORGLA06G0003300 transcript:ORGLA06G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1PYS1] MVQGASGGGWSSKVEEGVIVGGLLVVQCILAGYVVFVDHVLSLGANPLSLIVLGAVASSLFFLPFAVVLERKKWPSKISRTLMAQFVFIALGGTTVFQELMLLGIKKTTPAIASAMPNLSPGLIFIIAACFRLEKFDKGCKYTRAKILGTLVCLVGAMAMSFLQSPVSSSPQLTTTSYYDWILGCFYLFLAVVVLSIYTVLQAATLVSFPAPLTMCSVTSMMGAVFTAILQFIVDGKIDMGSPRIDVTIISTIVLMGGGVVGGCVVFQTWCIGKRGPLLVSIFGPVQTVCSALLSALLFSQLLCLGSLAGMVLMFCGLYIVLWAKSKEGHGIIHLEGGDVEKALLS >ORGLA06G0003200.1 pep chromosome:AGI1.1:6:213342:218796:-1 gene:ORGLA06G0003200 transcript:ORGLA06G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSWTPNESNTGAVEYPSNMVFYAEYPGWYFDTNTQQWLSLESYQQGGVQAETTAAASAGYAGTGHNVAQTSDSYTGDYSHQGQQQHGSLGDNSLSDSFYGSNQHTENQTAQQANVESLESSKYYHADINTYAHSTSQYASSEDHQASYKGFGSSTSHQSVYKGFEPSVGHQSTFTSHHSGYNGSESSTVQQAAHQGFKPSTGNQNYKGFEPYSGHQLGYKGYDYSTGQSGHQEFGPSTDSQANHVAYQQLPSHYSSFDGAAKPQDSVPTANMPQMQTRADSDGCMNLPNNYLSTGSSVNFAQQQFIGSNALPQQFGYSSHEQRSSAGRPPHALVTFGFGGKLVVVRETISMSTNFDSGNQGNPCGTVSILNVSEIVSDRVDHPSIPSGSALSYFHALCRQPIPGPLVGGSAAAKDVNKWLDEITGGYDSSIREFQGGDDQKLLISLLKILCQHYGKLRSPFGSDPSQEGIDGPEMAVTKLFSSCKSSGAHKGEYGAIVHCMKNIPSENQIQATAKEVQNLLVSGRRKEALQYAQEGQLWGPALILALQLGDKFYVDTVKKMAYHHFVSGSPLRTLCLLIAGQPADVFNAENPVDGNYGILHIPQRPVEAVNSKSMLDDWQENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLAAELNIESYAESSRMCLIGADHLRCPRTFTSPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLVEVGKVSDSLRYCQACLKVLKASGRAPELEAWKQLFSSLEERIRTYQQGGYGTNLAPAKLVGKLFTSLDKSLSRMMGTQPSALSPVPQGSLTERDSYSAPAATNFVNNQPVMAMSSLMSSVSEQSMSEMSGNTGPDRKVTHNRSVSEPDFGRTPNQGAGLDNAQSTSGSGSSRFGWLLQKTMGLVSRSHHQAKLGEQNKFYYDEKLKRWVEEGADIPAEEPPLPPPPTKALFQNGIPDQSSNGPGSVSYTANGFSEARPLNPSGPSSGMPPMPPSQNQFSARGRMGVRSRYVDTFNKGGASATGPSYNKPATPSMNPLSGATFFVPTPATVASEQIPDPTVNVHQDQPSSTIALRESSASPPPSVQSIPVQSNIQRYPSMDNIMTPSGSGNGSSFSRSRAASWSGAYSEQLSGNAVSRSPDGQRTMMQSPLIPGQKQSHSRSSSNSSLQFNNGLGEDLHEVEL >ORGLA06G0003100.1 pep chromosome:AGI1.1:6:202144:208799:1 gene:ORGLA06G0003100 transcript:ORGLA06G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein arginine methyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT4G16570) TAIR;Acc:AT4G16570] MPSCCCLLGLGFPSPPSALRILRRRMASRAFQLRLNPLTGDSEWLVVEEEEEEDHHPTPPPKQLLATTSYLDMLNDSARNRAYRRAIEAAVTDPSSRVLDIGAGTGLLSMMAARALAAVGGETRGGSVSACESYLPMGKLMRRVLRANGMENRVKVFHKRSDELKVGDDLDSPADILVSEILDSELLGEGLIPTLQQAYDMLLAKNPKIVPYRATTYGQLVESTFLWKLHDLHNNEANAADGVWLTPGEMERIVSVKPQQHAMQCDALEDEIRLLSEPFKVFEFDFWKRPDSHREANIKIRTTRDGYVHAIISWWVLQLDSAGSIFYSTAPRWARQSSSEGPQRDMKDWCDHWKQCVWFMQGKGIPATEDQVLSLRARHNQTSISYQLNINDEACDRSSKGDHLTLLPERIALYGDKDWRSALINTIKNALTVKSSPTCVVADDSLFLALLISSMSPTSKVIAMYPGLRDKGAAYLRSVADANNFSIDQIQVIGKRASSITADDLKHKKVNLLVGEPFYLGSEGMLPWQNLRFWSVRTLLDSMLSEDAFIMPCKGILKLCAMSLPDLWRSRSSLKDVEGFDHSVVNETLGACGYLPGDQQGPCLPYYVWQCGYTKKLSKVYSLMDFNFSEPIHSCFGKTKIEFSHDGTCHGFAVWIDWVLDERKSVVLTTGPDNRYWKQGVQLFSKPVEVNPGKSVMHVEASFDPSTGEITFSSSSTTCS >ORGLA06G0003000.1 pep chromosome:AGI1.1:6:196889:201290:-1 gene:ORGLA06G0003000 transcript:ORGLA06G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:I1PYR8] MALLLRHSPKLRRAHAILGCERGTVVRHFSSSTCSSLVKEDTVSSSNLHPEYAKKIGGSDFSHDRQSGKELQNFKVSPQEASRASNFMRASKYGMPITANGVHSLFSCGQVVPSRCFSSGADLPPHQEIGMPSLSPTMTEGNIARWVKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEEDIGKFKDYKAPSSAESAAPAESKPQSEPTEPKKEKEQPKAPEPKATKTEESFLSEDRTFSSPIARKLAEDNNVPLSSIKGTGPDGRILKADIEDYLASVAKGAKKETAAAPGLGYVDLPNTQIRKVTANRLLHSKQTIPHYYLTVDTRVDKLIKLRSELNPLQDTSGGKKISINDLVIKAAALALRNVPECNSSWMNDFIRQYHNVNINVAVQTEDGLFVPVIRDADKKGLATIADEVKQLAQRARDNSLKPEDYEGGTFTVSNLGGPFGIKQFCAIVNPPQSAILAIGSAEKRVIPGAEGQFEVGSFMSATLSCDHRVIDGAIGAEWMKAFKGYIENPTTMLL >ORGLA06G0002900.1 pep chromosome:AGI1.1:6:191711:193150:1 gene:ORGLA06G0002900 transcript:ORGLA06G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSCHPHNPTTLPLPEPDSSKSPEPTSVLYNRSPSTSLGSCSSKPPEDPPPPPIAADDYCEDWDAVVDMHMLAPAPAPDSSFLRWIMDAGYADADTFPDHPSFDSDLLQLPMPMPSDHPPQPLVDDLLDAARLLDAGDSTSAREILARLNHRLPSLPSPPGHAHPPLLRAAALLRDALLPPTALPVSSTPLDVPLKLAAHKALADASPTVQFTTFTSTQAFLDALGSARRLHLLDFDVGFGAHWPPLMQELAHHWRRAAGPPPNLKVTALVSPGSSHPLELHLTNESLTRFAAELGIPFEFTALVFDPLSSASPPLGLSAAPDEAVAVHLTAGSGAFSPAPAHLRVVKELRPAVVVCVDHGCERGALNLLRSCAALLESLDAAGASPDVVSKVEQFVLRPRVERLAVGGGDKLPPPLQSMLASAGFAALQVSNAAEAQAECLLRRTASHGFHVEKRQAALALWWQRSELVSVSVWRC >ORGLA06G0002800.1 pep chromosome:AGI1.1:6:178521:180104:-1 gene:ORGLA06G0002800 transcript:ORGLA06G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVCLLGLLMLMQEVKGGQGLGNDGGDLWQEFLRLPTENGGTKWALLIAGSSGYDNYRHQADVCHAYQIMKKGGLKDQNIVVMMYDDIAYNPKNPRKGVIINKPNGGNVYAGVPKDYKGKDVNKNNFLAVLLGKKSALTGAGSGKVISSGPNDHVFVYYSDHGGPGVLSMPSGEGLYANELVQALKKKRAGGAFLNLVVYLEACESGSIFEGLLPSNIGVYAMTASNKTESSWATYCNTPGYTTCLGDLFSVAWMEDADPRRPGDRQTLAQLYTIVKKRTTRSQVKLYGDLRLASQPVSLYYLPPGPGITSTASGAILTDDEEGRRGGGVDQRDAGLVYLWREYYEEKSVEAWERLLREMERRSRLDTSVDLIVGDLLSSKAQLLQVRAGQPLVDDWDCLKSMVRTFEAHCGPLGQYGMKHTRAFANMCNAALDHHHMAKAASKACTMHPPLITY >ORGLA06G0002700.1 pep chromosome:AGI1.1:6:172032:173114:-1 gene:ORGLA06G0002700 transcript:ORGLA06G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-lactate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PYR5] MKKASSLSELGFDADGPSFFRHLTLTDGDDGTLPRRRLIKISVIGAGNVGMAIAQTILTQDLADEIVLIDAVADKVRGEMLDLQHAAAFLPRVNIVSGTEVSLTRSSDLVIVTAGARQIPGETRLNLLQRNVSLFRKIVPAAAEASPESVLVIVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLEVSAQDVQAYMVGEHGDSSVALWSSISVGGMPVLAHLQKNHRSAATAKKFDEAALEGIRRAVVGSAYEVIKLKGYTSWAIGYSVASIAWSLLRDQRRIHPVSVLAKGLVRGVPADRELFLSLPARLGRAGVLGVAAELVLTDEEERRLRISAETLWGYCHALGL >ORGLA06G0002600.1 pep chromosome:AGI1.1:6:168498:168848:1 gene:ORGLA06G0002600 transcript:ORGLA06G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVACVLVVGVLWLSAGQSAACDGHPCPTPAGKCPINTVKLGVCADVLDGLIHASTPPKEPCCPLIAGLADLDAAVCVCLAINANLLGLNLDVPVDLSLLLNYCGCKLPAGFKCA >ORGLA06G0002500.1 pep chromosome:AGI1.1:6:163797:166064:-1 gene:ORGLA06G0002500 transcript:ORGLA06G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVPHQIRSDQISRSPSLLHTPTPAAAEQRAPPPPATMSSHHRYAHLLDDPFFPFPPPSSSSCPFLSPPAASSTCPFFALDSPFAADPFHLHPFLPTPPTSSLLDPFLLHTLTDRVSQLELALAARAPHPRPTSRKCTYVTESTGRKVKWTTEDKPRAGERVLKWEAELDSPYDDGFDRKWKWEAKAKTASAAATKLKWATHLKGKGCLEPWSHSYTWEEDFSATDDDDDEEIEDQLHHKALQDHSKLKTKAKDDKKKKKKDNNTVVVNKEQKKCPFSVKIEEIPPEEDNTAGCVAIRKAFALGNGKAKKKELSPQDAALLIQLNYRAHLAHRSQVLRCLRDLAVAKAKLKEIRSLFYNISYRHRMAHDHEERQRFTEKIIVLLLTVDALEGPDYMVRTAKKSMLDELEGMLEIVDPQPPGKQRSLTRRKFDLPEGGPVTDEKMAGVNNAVKVIQKGKK >ORGLA06G0002400.1 pep chromosome:AGI1.1:6:155291:159333:-1 gene:ORGLA06G0002400 transcript:ORGLA06G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALLAFVAVAGALVAQATDPYVFFDWDVSFITASPLGLPQKVIAINKQFPGPVMNLTTNYNVVVNVLNSLDEPLLITWDGIQQRKNCWQDGVLGTTCPIPPGWNWTYNFQVKDQIGSFFYFPPLSLQRAAGGFGGITVNNRAVISVPFDTPDGDITLFIGDWYKKSHTDLRKMLDDGKELGMPDGVLMNGKGPYRYNDSLVPAGIEHETIKVEPGKTYRFRVHNVGISTSLNFRIQNHNLALVETEGSYTMKQNFTNLDIHVGQSYSFLITMDQNASSDYYIVASARFVNESLWTKVTGVAILQYSNSKGKASGPLPDPPNDEYDKTFSMNQARSIRMNVSTGAARPNPQGSFHYGSINVSQVYKLRNEPPVTINGKKRTTLSGISFSPPDTPMRLADLYDKKGVYTLDFPTMPIDGPPVMKTSVINSTYKNFLEIVFQNNDTKVQTYHIDGYAFWVVGMDYGEWTENSRGTYNKWDGVSRCTTQVFPGAWTAVMLSLDSPGFWNVRTENLDTWYLGQETYIRVVDPDGGYNVTEMVAPDNMLYCGLLKDKQKAQKPHGSSSSSSSAASPNRYWLAVVVSLVAAVFVQ >ORGLA06G0002300.1 pep chromosome:AGI1.1:6:146664:148038:-1 gene:ORGLA06G0002300 transcript:ORGLA06G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSMSSSSTQQQAQVPPGFRFHPTDEELVDYYLRKKVAARRIDLNVIKDVDLYKIEPWDLQERCRINGGSAAEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYATKQHSLLVGMRKTLVYYRGRAPNGHKSDWIMHEYRLETTETAPPQEEGWVVCRVFKKRLPTTRRDSDHDAPCGSWYVDEDAPGAFMSPMMITRSSILRPHQHHAGITLQEQHLHTTYKHRDLTTKIQQLQVPAAGHHLLNTMPHDLESSTSSFHSLLVSPDHHQINMHHAQADPFFDDMHAVDQATTTDWRVLDKFVASQLSNDATNKPADHYTDEGDILQVSDKQQEVAAADYASTSTSSSQIDPWK >ORGLA06G0002200.1 pep chromosome:AGI1.1:6:140296:141204:1 gene:ORGLA06G0002200 transcript:ORGLA06G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62730) TAIR;Acc:AT1G62730] MGSTAAAGGNLRTALSYCVQQVRNYDYHHYLCLLHLPPAMRKAAFAFRAFNIETAKAMDVVSDPKTGLMRLLWWKDVVDKVFANKLVEHPVAQVLSSVVSEHKISKHWLKRSVEARINDANRDDYAIPETISELERYAEDTQSTILYMTLQAGGIQSTIADHAASHIGKASGLLLLLKALPHHVSKQGRIPYIPASIAEECGLLTREGGRSEVRMGDELPDAVFKVASVADAHLQKARELASSVPAEAIPVLLPGVPAQVLLDSLRCREFNIFDSRLSRGVHGISPLWYQIKLIWHSVRKKY >ORGLA06G0002100.1 pep chromosome:AGI1.1:6:134100:139134:-1 gene:ORGLA06G0002100 transcript:ORGLA06G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3754) [Source:Projected from Arabidopsis thaliana (AT2G46915) TAIR;Acc:AT2G46915] MPLLLLRAGAGAKPQLSFSVPPPPGDVRISSRPPTTATRCSSSSSPKLSSATVQFRSDSDPWNQPTVDDDGDFDLKGRKAIPGIHVPRQRYIAVSKPALLDALLSLFPSQPPTPSPTSAAAADFKRFARCLDALLHAEHKEMLEEMRTYYMLTHNHHQHTAAADDDDDDQPVLNGNANTTAGFFGITDNGTLLLTRSLGLRTLLGLSPDPDSHNRIAFATHFQRSFMNLLRNAQFEELSAQDLLLTYALNTDYLLTLPIYVDWKKAAESNAIIFRRGYATERQKGLLLVEKLDYLQSKLLQNIFFGFSKPLRKLGKWLNEALKRSTGNEGFQIWIEKLKVWLKEQTYAENSLSLIENSSWDKLRSDQLPDADLPIWIAAQRAVSRYEGILSPVGPRGRLLRRLLAWTGLIPSLPEATIKSDIDTKDLEGYVRPNFLPRITLANIWAPASRESCNNNLWEITKASFGVLFGKSTLQEPAFQELILLYTDEADQSKEREKSDMMPLQLKIFERIPIPDLPVVFPHKKLSFRILDTVRLDIATVIGLLAYVVNYKFESLASSPSAFLLDIVAFTALAILVFRVALGYKQTRDRYQLLVNKTLYEKTLASGFGSVYFLLDASEQQQYKEALLAYGMLLCRKKYQVSSRVSIRDTCEQFMYEKFKAKIEMPIDKAMETLLRLGLVIELPTDGGSRVIALPCSDAYEILKSRWDSLLEHKTEQG >ORGLA06G0002000.1 pep chromosome:AGI1.1:6:129168:132057:-1 gene:ORGLA06G0002000 transcript:ORGLA06G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQSGSPKARQPVFQRMRVTLTIGVIGLCVASYILGAWQGTSTTSIHPSIIYTKSQCGESILRTSSNSSGRSSSDARLDFQAHHQVSFNESSLVAEKFPPCQLKYSEYTPCQDPRRARKFPKTMMQYRERHCPRKEELFRCLIPAPPKYKNPFKWPQCRDFAWYDNIPHRELSIEKAVQNWIQVEGKRFRFPGGGTMFPHGADAYIDDINALISLTDGNIRTALDTGCGVASWGAYLIKRNIITMSFAPRDSHEAQVQFALERGVPAMIGVISTERIPYPARSFDMAHCSRCLIPWNKFDGIYLIEVDRVLRPGGYWILSGPPIHWKKYFKGWERTEEDLKQEQDEIEDLAKRLCWKKVVEKDDLAIWQKPINHIECVNSRKIYETPQICKSNDVDSAWYKKMETCISPLPDVNSEDEVAGGALEKWPKRAFAVPPRISRGSVSGLTTEIFQEDNKVWAERVDYYKKLIPPLTKGRYRNVMDMNAGMGGFAAALMKYPLWVMNVVPSGSAHDTLGIIYERGFIGTYQDWCEAFSTYPRTYDFIHADKIFSFYQDRCDVTYILLEMDRILRPEGTVIFRDTVEVLVKIQSITEGMRWKSQIMDHESGPFNPEKILVAVKTYWTGQPTQKQ >ORGLA06G0001900.1 pep chromosome:AGI1.1:6:123729:128785:1 gene:ORGLA06G0001900 transcript:ORGLA06G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVEKPWELKEDVEIMTEEEEEDDSSNLLQARGRNKKHALINGDEQQQEEEEEEVVEEHKSVFFDPTQVSEHGTEVSGKNEVTRVEQFVAKKEKAHETSSSKGKELETQENANSQETNENSNNSSLENRSPSNGSHEVCNSGETVTVANGKAGLKVITIIDKNQNGLANSNGSLHIANVSMNKTSVHEIEAEKDEDVIKGKVNIEEYDLEKILDEQETHDLFCPNCNSCITRRVILRKRKRTVRQTSPDEPPKKTQIAEPSANTSNQTVPERQGQESPDIFRCLSCFAFFIPTGCGFNIFRIFGRTEVNQEAQVQEAAASGQMSGSDNCASWLFSCFEPGDGPKKTDAGPEKEPLLPDKQDSNNGSASSVEGSTASVHSHGISVQQQESKRPLPAESSSQLQPSNTKKEDFGTVSFSGSSSVEAHSSSSASIINPGQTATGFLQTGETHVVIGQQDIVLQQNVPLPKPGDAAHLDKQKQETPPASHTFPTPGVKIPDANPAKFIPDVVRPMVDKPSRGIVIPPEAVESQTRPEHSSVQIGPDASMPLIDTPAPEQRDDWDILKAIVYGGLVESITSLSVVSAAASSGARTLDIFILGIANLIGGLPIIFHSMAELRSIGDVDEREEQGGHYWLQLGRRSKYRLHVAMAVLSYLLFGLLPPLIYGLSFRGGDVREKKMVAVAAASLGCIALLAMGKAHVARRRSYVKSLLYYLSIGVSASGLSYVAGLLLAHFALITHQTPPASSSWASY >ORGLA06G0001800.1 pep chromosome:AGI1.1:6:114988:117654:-1 gene:ORGLA06G0001800 transcript:ORGLA06G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutaminyl cyclase [Source:Projected from Arabidopsis thaliana (AT4G25720) TAIR;Acc:AT4G25720] MPRRRALPPHRRATATAMPPANLSISAPSAAAAPPPPLHLRRRPLIAAAALLFLLVIVVLAAAHPYGYAPWLANAAPAALLRRPVSTTFYSFDLLREYPHDPYAFTQGLLYGGNDTFFESTGLYHRSSVRRVDLKTGKVLVQHEMDGRLFGEGLTLLNDKLFQVVWMKKQGFIYDRHNFSKRESFTHKMSDGWGLATDGKVLFGSDGTSRLYQLDPKSIQVMKTVTVKYQDNEVPYLNELEYINGEVWANVWQTDCIARVSHEDGLVVGWIFLHELRQHLWNSGNTEIDVLNGIAWDEENQRLFVTGKLWPKIYEIKLRPVDGPQDGSVEKLCPKASFYR >ORGLA06G0001700.1 pep chromosome:AGI1.1:6:103714:114282:1 gene:ORGLA06G0001700 transcript:ORGLA06G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-tRNA ligases [Source:Projected from Arabidopsis thaliana (AT3G48110) TAIR;Acc:AT3G48110] WRVWLPLPSLLLPLSSSLSPAAASHHPTSPPSRTRRRRRLLSAVASADGDAPSPVSVSASAATKGPSSSSVLTFQQAIQRLQDYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQDLFLHSLSALGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLLPVSVEITYGLERILMSLQGVDHFKNIQYTKGITYGELFLENEKEMSAYYLEHANVDNIQKHFDDFEEEARSLLSLSLPIPAYDHVLKASHAFNILDSRGFVGVTERARYFGRMRSLTRQCAQLWVKTRENLGYPLGTYQESNLIYPHVSEKPSRKGVVGQPRAFVLEIGTEELPPHDVIEATKQLEKSLIQILEKRRLSHGKVRSYGTPRRLAVVVENLNMKQMEEEIELRGPPVAKAFDQEGRPTKAAEGFCRKNNVPIDSLYRRTDGKTEYIYARVKESARFADEVLTEDLPTIISGISFPKSMRWNSNIVFSRPIRWIFALHGDLIVPFCFAGISSGNQSCGLRNSSLANFKVEAAELYLHTLEKAGILIDMQERKQRILHDSSILAEGVGGDIIAPDSLVQEVINLVEAPMPIIGRYDVSFLELPKDVLITVMQKHQKYFPVTSKTTGNLLPCFITVANGAIKEEVVRKGNEAVLRARYEDAKFFYKMDTQKKLSEFRDQLSSILFHERLGTMLDKMKRVENTVAEVALLLGINEKTIPAIKDAAALAMSDLATNIVTEFTSLAGIMARHYALRDGLSEQIAEALFEITLPRFSGDVFPKTDPGIVLAVTDRLDSLVGLFGAGCQPSSTNDPFGLRRISYGLVQILVENKKNFDLTKALTLAAEEQPITIDSGVIDEVVQFVTRRLEQLLVDEGINCEIVRSVLIERANCPYLASQTAIEMEAFSRTEDFPKIVEAYSRPTRIIRGKEIGSALEVEASVFEKDEERALWSAYLEVADKIHPGVDIKAFADASLELLQPLEDFFTNVFVMAEDEKVRNNRLALLTKVASLPKGIADLSVLPGF >ORGLA06G0001600.1 pep chromosome:AGI1.1:6:97897:102240:1 gene:ORGLA06G0001600 transcript:ORGLA06G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:I1PYQ4] MEGGVGGEVDHLAGERATAQFDVEHMKVAWAGSRHAVDVADRMARLVASDPVFRKDNRTMLPRKELFKDTLRKAAHAWKRIVELRLTEEEANLLRLYVDQPGYVDLHWGMFVPAIKGQGTEEQQKKWLPLAYRFQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLRFDHVRIPRDQMLMRLSQVTKEGKYVHSDVPKQLLYGTMVFVRQTIVADASKALSRATCIAVRYSAIRKQFGPQTGGPETQVLNYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTHKLEAKDFSTLQEAHACTAGLKAVTTSATADGIEECRKLCGGHGYLNSSGLPELFAIYVPACTYEGDNVVLLLQVARFLMKTVSQLASGKQPVGTTAYMGNIQYLMQCKCGVNTAEDWLNPAAIREVFEARALRMAVNCAQNINKAPSQEEGFYELSPDLLEVAVAHIQLIIVTKFIEKLEQDIPGEGVKEQLRILCNVYALYLVHKHLGDFLSTGSITARQGALANEQLGKLYAQVRLNAVALVDAFNYTDHYLGSVLGRYDGNVYPALYEEAWKDPLNDTDVPDGYQEHLRPLLKQQLKLSRL >ORGLA06G0001500.1 pep chromosome:AGI1.1:6:89863:91012:-1 gene:ORGLA06G0001500 transcript:ORGLA06G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGRPYGRRGGAAPSYVPRPRAPNPSPSAPAPARPPQQLRKPVFTTVERLRPQSHGHTLTARVLSARIILDNPPPRARLAECLVGDNTGTVLFTARNQQVDLVKPGTTVIFRNAKIDMFKGTMRLAVDKWGRIEVTDPASFQVKEDNNVSLVEYELVDVVDED >ORGLA06G0001400.1 pep chromosome:AGI1.1:6:85384:87906:-1 gene:ORGLA06G0001400 transcript:ORGLA06G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) TAIR;Acc:AT5G54080] MAMATATPAAQNEQQEKGGLEYVYLSGLGNSLSSEAVAGTLPRGQNSPLVCPLGLYAEQLSGTPFTAPRARNLRTWLYRIKPSVTHEPFHPRRPAHPRLIGDFDRTTTDTVATPTQLRWRPADVPPHHPPLDFIDGLYTVCGAGSSFLRHGYAIHMYAANKSMDGCAFCNADGDFLIVPQQGKLLITTECGKLLVPPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASARDFLSPTAWFEQVHRPGYTIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLSKFCPFNTVLFDHADPSVNTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISRPDANEPSRLSGTLAFMFESALIPRVCQWALDSPSRDLDYYQCWIGLKSHFSHDNGGATSEEPCRK >ORGLA06G0001300.1 pep chromosome:AGI1.1:6:83028:84428:1 gene:ORGLA06G0001300 transcript:ORGLA06G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAVVRVVSRRTVKPAAPRPRESIPLTSWDLSMLSADYIQKGLLFPPPPPCLLVVDHLAAALSTTLNTYYPVAGRFVTHNHPEPEGGCSVSIDCDGQGVQIVHAVADAVTVADLLPPDAHVPPLLHSFFPLGDAVNYDGHHLPLFVVQVTHLVDGVFLAFVYNHALSDGTAFWDFLNAWAEIARASCLAAPTSPPPLFNRWSPSPGDGAPVVLPYADLSELIERLQPPLLCERMLHFSSESLVALKERARQELLAAGDTAGAAALTRFQALSSLLWRCITRARRLPAEQQTMCRAAINNRGRLQPALPREYFGNSIYAISTEKVQASELVERGHGWAAAAVGRAVAAHTDADIRARVAAWEVKPIIYTARYFDPSGVMMGSSPRFDMYGCDFGWGKALAARSGKANKMDGKASLYPGREGGGSIDAEVVLTPHHMAALDDDLELWAAVTPDHTLPLLLNNNKP >ORGLA06G0001200.1 pep chromosome:AGI1.1:6:79141:80056:1 gene:ORGLA06G0001200 transcript:ORGLA06G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVGCECQLCGGRRGVVFCGAHGGRLCLQCDRALHQAHGGAGDHPRAPLCDSCNAAAAELRLNDGATLCGPCAYRYAYAYPYTYTYVYTGCPTPLEMMRLLHAAPPPPPATCSLQQQGGGEGEELLPTLLSATATPNTATAAPMAMPPPPPPPPLQHHTTTSLIMMIRNIHKREERNRAKLRFSKQIKYACRKAGADARKRVKGRFAKASSSSSSSSSSSIDHRL >ORGLA06G0001100.1 pep chromosome:AGI1.1:6:64841:78118:1 gene:ORGLA06G0001100 transcript:ORGLA06G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKASSPSPTSTARETRSSSARGHASPTPTPTLRRSTRETTSRFSSSSSSSANKLKGSPAKQSANNNTAKRKMNNAAADTSTRPIKKNKRLNAKSYLALFSTPQQTAKSPPTPAPPLLVQVDDDNASTVPMQDSGTLLEHEEADTQEQGYQSGLHKVPEVVLEETDVSKNKADEHASTSEPLIPVDLCSNDNASESSHGMEVKEQTVGCSNPCFVTDLPNRPCSIVHHEEAKKTIEAGDPREIKGASTSNQALVTHSDGTDYNEYLCAVCRSRETPGILKSCDGKDCKNRYHDSCLDPPLQYVSLGIWLCTLCTKKRLQFGLFAVSEGIESLWDVKEGAQNNKQYFVKYKNLAHVHNQWLPESDIIRTPGGQDLINKFCKRIQKEKTIRWKQEWAEPHRLLKKRPLMSEKEAEEFFNSLGDKFAYCNVEWLVKWKDLGYEYATWELETSSFLCTPEAKDLKRNYESRHEDARRGFDPAKINKVKQCLFQKLQKLPDGFPPGLDKDHLSSLNRLREFWHNSDGAICLDDQERVIKTILFSMSILPDVCQPLLIVSTSASLSLWEAKFNRLAPSINVVVYNGEKDVRKQIQDLEFYENGLVTFQVLLSHPDAILEDIQTMESIVWEAVMVDDCQSLRVSKCLEQLKHLSTNFRMVLLSFPLKESIPEYINLLSFLNPEGSVISSSSNGDFTDTGDILATLKEKFARHVAFERKADSSKFLEYWVPARLSRVQLEMYCYTLLSNSPALRSHSRTDSVGALRDILVSLRKCCDHPYLVDQSLQSSLTKGHSLTDILDIGVCASGKLLLLDKMLQEIRNQGRRVLIVSQSGGGAGNPMGDILDDFVRQRFGFESYERVERGLLVPKKQTALNMFNDKTKGRFIFLIDSRACVPSIKLSSVDAIIIYCSDWNPTNDLRVLQRISIESQSECVPIFRLYSSCTVEEKTLILAKHDHILDSNVQNVMPIVSHSLLSWGALFLFNRLEEFQKHDYSSKDSEDDGLFMNNVFLEFAEKLSTNVEASTKMENAVISRAQQSGSFYSRDIAVISEREGISAVDGDLPKFWTFWSNLLGGRSPHWQYISEPVQRNRRKIQNMEDQMRIPAEETDEAIMKRRKIGEIMDSSPKILPVKDNDAVLPENSAASSSHETSVDDTWQELGAESLQGTQKGLHTQLKPELSKLYELLELPETVKCLCEELLDYILKNHQVSQEPKGILHAFNIALCWRAASLLKHKINRRESLALSVRNLNYECDEVLAEYVYEKLRILKKKFSRRASETSKQSQSTPVNNTSSYKQQTSPKLRSDGSICHQVTTIDGDLENVSHEEAPHDILTEEMILEQKELISVLETHREEHVLRDELLERITEKRINLIHMVFSLREKNIQDKQENETTLLDMHKQKEVAKLRETCNLVVEHLRKGHIDSEDRDATVKLIIEWFTLLLYAFLNHMRCQHNKLKMQQSTSWNKELQLKEIFLQQAKSGHLDRSFDQQIPLPDSCFTLEEFSHFKEIVGNFPVGAATSANCQHSLASTMEIALVRSVSPSEVGNSEAAINGAVEVPVPTEKRPTSEVGLSQNRMDNDSDGIDSQGGPPLAVQHSLSSNPAIDNSNNLESSVASHRSEHLGDIAVEVNANNCGTTLADSPHLEAPTVAALPSQSALPMAMEVDIQTDHVVQSAQQNIVTGRVPQEEEREGSTTVTSAQPLQPEMRPSSPVSGILRERTNPDQRRESRQPEAAPSSVDPTQLFPVASLMFNHPPLGNEPLKNELHRLQVHMDSLNKIYELKKSQLQTECSQEIEKIKQKYDLLIKEQDSAHHQHRKTLDDLYGKVLLNQSLADDFRVKFVSTSAAQARAVSPPLCQTTRQTAGVSQQVPTRPSVAGSIALPVGSSSASRPSLQRHCAQPSHVDRSSSSGGSHSSSPSSQVVRPPPAILGSVVRATSTPFSHTPAARGNYGVGSEVARAPAPHLQFRLPRAHPTAPVNQQQRQLPVRLESTCSRTQLTPVSTPVNARQLSSQSVSPVSNSSSSSSSHPGPALSNPALAANSSSNPVLSAGTVALPPSPRPPESIAAPRGQQKGAPSGLNTVPVVGSGLPASRSMSDSVSLDAWLTSNLGLKDGETSTPRTRMDSHRTVDVVCLSDDEPEEH >ORGLA06G0001000.1 pep chromosome:AGI1.1:6:55160:57598:1 gene:ORGLA06G0001000 transcript:ORGLA06G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 14 [Source:Projected from Arabidopsis thaliana (AT3G54850) TAIR;Acc:AT3G54850] MPKRVADEIAALPEPRGPLRRPCADLSRRVRLLAPLLDHLPASSSSSSSTPLADALGAARDLLRKTRDGSKIDQAMRGDAFLDEFAGVNRQIHLALDALPYNTFHMPQEVQEQVALVHSQFQRASTRTDPPDTQLSMDLAWALTDNPSDPALLTRISHKLQLHTMADMKNESIALHNMVISTAGEPDGCVDQMSSLLKKLKDCVVTEDHANDALTTRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLISQWCEANGIELPKNKQNSRDKKAAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKTGSMETRENAAATLFSLSVVDENKVTIGAAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKVRAVKAGIVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKIVIAQSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADTEQTLAAKAAGVEDALKELSETGTDRAKRKASSILELMRQANEDSLKGNGH >ORGLA06G0000900.1 pep chromosome:AGI1.1:6:51557:53677:1 gene:ORGLA06G0000900 transcript:ORGLA06G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGLLLRPPPCVATCTPTSPSLSSQWRRRRLTLAQPYCAVGLSFVSGRHLRRRRRTESKRTSRGTGVYASLFGVGAPEALVIGVVALLVFGPKGLAEHXYSKXTAPEVIQGEVIPNXFKALVLKSTLEIHVCQXKITIAPXYICSVWTPGLLLKQQLLLKVMTVLYQEGVMVLVLQ >ORGLA06G0000800.1 pep chromosome:AGI1.1:6:44618:44878:-1 gene:ORGLA06G0000800 transcript:ORGLA06G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding NDGSKFPTPITPSLLKNDGSKFPESRNPKQCNVQFIAGLHILNHVVDRLXGRSSVMLKVHLIMNQMLAK >ORGLA06G0000700.1 pep chromosome:AGI1.1:6:33866:40317:1 gene:ORGLA06G0000700 transcript:ORGLA06G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASLYRRVLPSPPAVEFASEEGKRLFSEALESGTLQGFFNLISVFQTQSEPAFCGLASLSVVLNALAIDPGRQWKGPWRWFDESMLDCCEPLDKVKAEGITFAKLACLAHCAGANVRSFRADQSTIHDFRHHLVRSASSQDCHLIASYHRKPFKQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWVPLPLLWEAMNTTDDATGLLRGSLLYPSSSSPIFHTRMFMLISRHTAAPSLLYTVSCRDESWKSMAKYCMEDVPDLLKDESVDNVPALLSRLVKSLPANAGNLIKWVIEVRRQEEGGSGLSKEEEERLILKEMILQQVRDTELFRLVRELQFTKQPCCSCSYSSDDDSFTRIAASVCCQGAALLTGNLSSKDGFCCRETCFKCVQVDGDGPKTVVTGTAVSGVNEQSVDMLLPISTLETSVCNSNSSNEVVKYPSRTDILTVLLLALHPSTWVGIKDERLKAEFQSLISTDILHDDLKREILHLRRQLHYVRSCKEEEYGDPVPQSH >ORGLA06G0000600.1 pep chromosome:AGI1.1:6:29482:31186:1 gene:ORGLA06G0000600 transcript:ORGLA06G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVVGGGGAAVLVALLVTVVLAVMRSAGSRSSKRGRLPPSPMALPIIGHLHLIRPPPHRAFDRILARHGPLVYLRLGPSTHCVVIGSADVARDFLKFEASIPERPPTAVTRQLAYGKAGFAFAPYGAYWRFVKRLCMSELLGPRTVELLRPVRAAELAGVLRAAQSAAERGEGVDMSHELVRMANNSIMRMVASALPGEMAEAARDCAKQVAELVGAFNAEDFVAVCRGWDLQGIGRRTNEVHARFDALLETIIAAKEEARRRSLGLGRRESSSKDLLDMLMDAAEDDTAEVKLTRDNIKAFVLDIFTAGSDTTATTVEWMLAELVNHPECMAKLRGELDAVVGRSRLVGEQDVARLPYLQAVLKETLRLRPPAVFAQRVTVEPVQVRGYTIPTDTQVFFNIFSIGRDATYWDQPLHFRPDRFLPGGAGATVDPKGQHPQLMPFGSGRRACPGMGLAMQAVPAFLAALVQCFDWAPPPSQPLPLDMEEAAGLVSARKHPLLLLPTPRIQSLPSFYS >ORGLA06G0000500.1 pep chromosome:AGI1.1:6:21352:22817:-1 gene:ORGLA06G0000500 transcript:ORGLA06G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGKVEMTPAVAAAAVLPVGFRFRPTDEELVRHYLKGKIAGRSHPDLLLIPDVDLSTCEPWDLPAMSVIKSDDPEWFFFAPRDRKYPGGHRSNRSTAAGYWKATGKDRLIRSRPAGPLIGIKKTLVFHRGRAPRGLRTAWIMHEYRTTEPHFQSGKNGSFVLYRLFNKHEQDDTHTPASNLDQQLSTSSQGNPQNGTPAVQPALASIMKDHQTLPSSGFSQLTEIQDASTSVHDKEQTVAHDDAFLDVLSQLPDLEPEQRYNGFPNITSPIRPYSDHPFVGNLGEQDLSAHFGSTLSEQDLQSLLFSPNYTKMDKHPTGNVESNPTASSNNPNNNTLLMDSWRKNDSYQMLLIQTTQMLLAVLLQSMHHKQKQVMLILKQEPRVAAWSTVE >ORGLA06G0000400.1 pep chromosome:AGI1.1:6:19776:21196:-1 gene:ORGLA06G0000400 transcript:ORGLA06G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSDALKEQGQEQAPSMKHLTAQDLVDPQQGTAARRIRLVCSVERASVSQPVSSHLQSEYEAGSCCNTRNSSNNKKESVRSEDEAGSCCNTGSSSNNHSEENDDAASQIMDGEPMHIQCKEDTPIQVDYSVEVMDKLQGFSFHEEMLVHANQPRGTNLKQRLLRVESRNSNENNVPSLETREQQHAPHIQKWTSSVVRLGWGWQWPALFVMAGSLLLLVGVWKSLNHTT >ORGLA06G0000300.1 pep chromosome:AGI1.1:6:15891:16355:-1 gene:ORGLA06G0000300 transcript:ORGLA06G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin [Source:UniProtKB/TrEMBL;Acc:I1PYP1] MAALSSAAVTIPSMAPSAPGRRRMRSSLVVRASLGKAAGAAAVAVAASAMLAGGAMAQEVLLGANGGVLVFEPNDFTVKSGETITFKNNAGFPHNVVFDEDAVPSGVDVSKISQEEYLNAPGETFSVTLTVPGTYGFYCEPHAGAGMVGKVTVN >ORGLA06G0000200.1 pep chromosome:AGI1.1:6:8052:9068:1 gene:ORGLA06G0000200 transcript:ORGLA06G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19950) TAIR;Acc:AT3G19950] MSSSPAHAQRFYCHQCDRTVPIPPPTSPDADVLCPFCGGGFVEELGEDINPNPNPNPSPFLPHHPFFPFASPSFDLRNPSDLAAFFGPPSPSPSPSPAARHFDPSNFLHDHFTGLLSGGATIQIVLEGSSASLPLGGAAAGPGGISLGDYFVGSGLEQLIQQLAENDPNRYGTPPAAKSAVAALPDVAVSADMMAADGGAQCAVCMDDFHLGAAAKQLPCKHVFHKDCILPWLDLHSSCPVCRFELPTDDPHHAHPTLGSHRAAVPASASPSPSPAPPPRLAERRFRISLPWPLRAAFGGQAESSNPTNQDPVGGSTDASGSGNNNATGGHRGYDDLD >ORGLA06G0000100.1 pep chromosome:AGI1.1:6:1082:2078:1 gene:ORGLA06G0000100 transcript:ORGLA06G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73320) TAIR;Acc:AT1G73320] MEMDPPRLGMGAYSGPVRPVGDGDGGAAGETMLLWALGQPAAQRHNAFVRHGAHSLTLDACGRRLSLLQSPSSMSTPGVTGAVVWDSGVVLAKFLEHAVDSCLLTLRAARALELGAGCGLAGCVAALLGAHVLLTDLPDRLKLLRKNVDLNVGDDARGSARVAQLVWADDPHPDLLNPPLDYVLGSDVIYSEEAVDDLLLTLKHLSAPHTTIILAAELRNDAVLECFLEAAMADFQVGCIEQQQWHPDFRSTRVALFILLKKPPSQPDVLL >ORGLA05G0246000.1 pep chromosome:AGI1.1:5:23190877:23191655:1 gene:ORGLA05G0246000 transcript:ORGLA05G0246000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06120) TAIR;Acc:AT3G06120] MSHIAVERNRRRQMNDHLKVLRSLTPAFYIKRGDQASIIGGAIDFIKELQTLLQSLEAQKKRRQQPQAHLISPASISASGGGSPSPTPSPRSLITSCSPTAAAGSSAGSSSSISPKDENKQQLQLVAELAACCNSPMADVEARISGANVLLRTLSRRAPPVRIIALLESLHLEVLHLNITTMDDTVLYSFVLKIGLDCHLSVDDLAMEVHQSFMPPPAAHPDHHLHS >ORGLA05G0245900.1 pep chromosome:AGI1.1:5:23185076:23185329:1 gene:ORGLA05G0245900 transcript:ORGLA05G0245900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGDKSFLAYCRLGHLLPIINYHLIVSLWHLMARTCPVEFFVYNLKEDSGAIMGLLIL >ORGLA05G0245800.1 pep chromosome:AGI1.1:5:23169722:23181888:-1 gene:ORGLA05G0245800 transcript:ORGLA05G0245800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAAKRPKVDPSSSSSAPPPPPPPPQRGDDDYVPGNIVEIELCNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRASSVAAFVKRGEDSGHVKISLRGNTPDHKLCITRKVDTNNKSEWQLDGTTVPKKEVIDLIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPNLPIQHRQLIDRSKELKILQVAVKQKEQTLNNLKALNAELEKDVERVRQRDRLLKKAELMKKKLPWLKYDMKKKEYKEAQEKEKTEKKKMEEVAKFWEDSKGPVEELKKKKMSHTSNTKRINSHMAENMKRRQDITHKELQLKGQLRATLEDIEDLKRQERSRQQRILKAKEALAAAERELDDLQPYEAPKAEMIQLTEEIARLTCDINELKRKKTDMESQLVRERENLRNCSDRLKQMENKNNKLLQALRYSGAEKINEAYNWVQDNRHMFRAEVYGPVLLEVNVQDKVHASYLEGHVASYIWKSFITQDASDRDLLVRQMKQYDIPVLNFMGDKGIRREPFNITLEMQQVGIYSRLDQVFEAPPAVKDVLISQANLDRSYIGTDETHNRADDVPKLGISDFWTPDNHYRWSRSRYGGHLSAFVDAVNPSRLFMCNLDVIDSERLRSQKDKHIKDIDGMDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMMFEKKRQEETRRRVDIKRRMLETIYKEEDMESSKRKFVDQAAKLNDQRYELVLKLKDLLIEAVALKWSCTQKNMASIELDTKIWEMEKDVKKLEKNAIEAAKEYENCKRKTQEHKQQLSNAKQHAESIAMITEDLAKKFLEMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQSRQREIESISGKLEDDKGECERCYSDIEATKGKWLPTLRTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRQTGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQPNTPQCFLLTPKLLPDLEYSDACSILNIMNGPWIEKPAKAWSTGDCWRTVMSVSGH >ORGLA05G0245700.1 pep chromosome:AGI1.1:5:23159421:23160578:1 gene:ORGLA05G0245700 transcript:ORGLA05G0245700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSMSTTTRLPLVAALLSAAACVAAAQPATAEAAPETPGVGIKVSFRPSVAIVVGIFTMIFSLTFLLLMYAKFCHPSSPVAAPAPTVVPAAAADDGVAKPVIESLPFFRFAALRGARQGLECAVCLARFDDADLLRLLPRCRHAFHLDCVDRWLESKASCPLCRARVDAEDAALGLKYASSARFVPAGGASESERFDGDQDLLGIFVERVPSSRMEPAAAAHCPDLDRYKHRIVVSDAVFKSRWSEINSSDLIALDTELLRSMETMEVEMEMELYKETEEEETDHDHQRKTLLAASGGRSSVDAAARARMISSSSSSGSVRSMSEMVSLPRLRAAMRERLAHEDRWVPIARRTARWFAGRESRHDEAAPVVDSPPASHSNSLV >ORGLA05G0245600.1 pep chromosome:AGI1.1:5:23149721:23150853:-1 gene:ORGLA05G0245600 transcript:ORGLA05G0245600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAALARGKSGISAAKFEASKGLGAKPKRSASATGSRGKTEKKVYSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFWLMEHGLLSPERAKKAYEKKQKRQQQIRSGTPIKPSVKKDKPESSKKPSSYNSSDSKAKKRVDYSDDDDDFIVKLKRSRG >ORGLA05G0245500.1 pep chromosome:AGI1.1:5:23144757:23146367:1 gene:ORGLA05G0245500 transcript:ORGLA05G0245500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPAGPGPLVVVLLLSLLLLVAGIHGRLQHEEEEEEEEVQDQEGGSSSFTLPVWAPHVPESGEERREHFRALMAKDMRRMMRQVPELMSKTDMFELPMRSALNIAQVGMYVVVVRIGTPALPYSLALETANEVTWINCRLRRRKGKHPGRPHVPPAATTMSIQVDDDGGGGGGSGGKSKVTKVIMNWYRPAKSSSWRRFRCSQRACMDLPYNTCESPDQNTSCTYYQVMKDSTITSGIYGQEKATVAVSDGTMKKLPGLVIGCSTFEHGGAVNSHDGILSLGNSPSSFGIAAARRFGGRLSFCLLATTSGRNASSYLTFGANPAVQAPGTMETPLLYRDVAYGAHVTGILVGGQPLDIPPEVWDEGPLGNDNPEAGIILDTGTSITYLVSAVYDPVTAALDSHLAHLPKAEIKGFEYCYNWTFAGDGVDPAHNVTIPSFSIEMAGDARLAADAKSIVVPEVVPGVVCLGFNRISQGPSIIGNVLMQEHIWEIDHMSTVLRFRKDKCINHQQLNRHHKKASSSSSSSSSSPPPYPAA >ORGLA05G0245400.1 pep chromosome:AGI1.1:5:23137685:23141698:-1 gene:ORGLA05G0245400 transcript:ORGLA05G0245400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G20270) TAIR;Acc:AT4G20270] MALRPQLVPPLLSHRRRLVIPLLVLLLAAAAAAASDEQLLSLRGQAAVLVSIKDAFSPPLPTPLRTTWSVANHASLCSSWHAVRCAPDNRTVVSLDLSAHNLSGELSSAIAHLQGLRFLSLAANSLAGDLPPTIAALRHLRYLNLSNNQFNGTLHYYLSTMTSLEVLDVYDNDLSGPLPLPDTNSNLRHLDLGGNFFSGSIPTSFGRLQAIQFLSVAGNSLSGRIPPELGNLTALRQLYLGYYNQFDGGIPASLGRLASLVHLDLASCGLQGEIPPSLGGLANLDTLYLQTNQLNGTIPPALANLTALRFLDVSNNALTGEIPPELAALTHLRLLNMFINRFRGGIPEFIADLRSLQVLKLWQNNFTGSIPGALGRVAPLRELDLSTNRLTGEVPRWLCALRKLDILILLDNFLFGPVPEGLGACRTLTRVRLARNYLTGPLPRGFLYLPALTTLELQGNYLTGQLHNEDEDAGSPLSLLNLSGNRLNGSLPASIGNFSSLQTLLLSGNHFTGEIPPEVGQLRRLLKLDLSGNNLSGEVPGEVGECASLTYLDLSANQLWGAMPARVVQIRMLNYLNVSWNKLNGSIPAEMGSMKSLTDADLSHNDFSGHVPHNGQFAYFNASSFAGNPRLVLCGTPAPGPAPGTTTPGSVGDGRAPVMWLAAALGLLACSVAFAAAAVATTRSAIERRRRSGWQMRAFQKVRFGCEDVMRCVKENSVVGRGGAGVVYAGEMPGGEWVAVKRIVDGGFSAEVQTLGRIRHRHIVRLLAMCWSAEAKLLVYDYMAGGSLGDALHGHHRHHDEYDDDGSNTNIIGSLLLPWAARLRVATEAAKGLCYLHHDCSPPILHRDVKSNNILLDARLEAHVADFGLAKYLRAGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGQKPVGEHLQLHQEEEEANTTTTVVDLVQWVRARCGSGKDGVWRVLDRRLGGDVPAAEATHMFFVAMLCVQEHSVERPTMREVVQMLEQAKQQLSRCHPPPPPPTSTSIDHACMI >ORGLA05G0245300.1 pep chromosome:AGI1.1:5:23127548:23127940:-1 gene:ORGLA05G0245300 transcript:ORGLA05G0245300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQQHLSVEIEVVASVLRDPRSGGKDDGESTRSKTRAFDATTQATVEEDELTSAEEQGHDEISRSEVHGSRSKPLPRSNVTMVADSCTRWMGHQHELDHSARSGEREEEVGRSSWSSGRNLLGGGCKRC >ORGLA05G0245200.1 pep chromosome:AGI1.1:5:23123344:23125582:-1 gene:ORGLA05G0245200 transcript:ORGLA05G0245200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYGRIEVFPQHFLPSQQSMETPADGLSTSKTNLDSPPSSRRRSWTPKRVMGAASLLHLLSLPRIRWSSSTEDDDKIELTRAEVESLRTEIADAEERESQLKARLENIDEVLRYARLSGYLYIRSRWTQLPGEPPILDDADVDDWLPRFVVLQGQCVYYYLKSTDLSPQESTLLCDIVEVGQLPNFVPEDEKTRYAFYIMTSQGLKFECSSMSEIQVDSWVRAIRGDCGLSDGGESRSKTSRQEVGSW >ORGLA05G0245100.1 pep chromosome:AGI1.1:5:23119838:23122281:-1 gene:ORGLA05G0245100 transcript:ORGLA05G0245100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:I1PYK3] MSKLCQSACKAARSLLSATAAASSPRTSLLAEGRNAALATLTNLGRKTLPTAYAYSYHHNSSAAAAGWLAAIPAAVYMLQDQEAHAAEMERTFIAIKPDGVQRGLISEILSRFERKGFKLVAIKLVVPSKEFAQKHYHDLKDRPFFNGLCDFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKAEIGLWFEPRELVSYTSNEEKWIYGVN >ORGLA05G0245000.1 pep chromosome:AGI1.1:5:23115719:23118821:1 gene:ORGLA05G0245000 transcript:ORGLA05G0245000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAMYPETFGFSAYPQQQQPPPDAASCIYTTALPLIADPPDILGNMAQPSLLSEYDLGGEGDLFKAPEPIIEEPVLSLDPVAAAISMMSGSENVMDETIEVADISDIQNDSLLSEVLYECEKELMEKSAIEETISELLDVKIPMLQVEEFPRETQVQLPAMEKEKPSVPECCSLQKSVSSGCLNSADWINGPARPNFLDFQGLDFETAFGLRRAYSEGDIQNLGASTPRPGNSGNAPLASCERLVTISDLKSEERKQKLSRYRKKKVKRNFGRKIKYACRKALADSQPRVRGRFAKIEEGDLLKPRK >ORGLA05G0244900.1 pep chromosome:AGI1.1:5:23095385:23096128:-1 gene:ORGLA05G0244900 transcript:ORGLA05G0244900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAASAGLGVVVVALVVVVYLILLIRPAASFRVNVGVGIGGGIGIGNQNQNQDQNQYQNQKPYYDDSNNNNNYDEGEGEGGDDQEEGPEAAAPVGPGQSFTGGRGTYKYMAHEFLDAHNKVRAQYGLQPLKWSNKLARYARRWSAARRFDCVMMHSPESPYGENVFWGTGWGWRATDAVKSWAGESSVYDWRGQSCNPGQMCGHFTQIVWNDTKLVGCGRSECVAGGVFITCSYDPPGNWKGEVPLT >ORGLA05G0244800.1 pep chromosome:AGI1.1:5:23089832:23093504:1 gene:ORGLA05G0244800 transcript:ORGLA05G0244800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALLRTILVTGGAGYIGSHTVLQLLQLGFRVVVLDNLDNASELAILRVRELAGHNANNLDFRKVDLRDKQALDQIFSSQRFEAVIHFAGLKAVGESVQKPLLYYDNNLIGTITLLQVMATHGCTKLVFSSSATVYGWPKEVPCTEESPLCAMNPYGRTKLVIEDMCRDLHASDPNWKIILLRYFNPVGAHPSGYIGEDPCGIPNNLMPFVQQVAVGRRPALTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDRIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVFAGRRPGDAEIVYAQTAKAEKELKWKAKYGVEEMCRDLWNWASKNPYGYGSPDSSN >ORGLA05G0244700.1 pep chromosome:AGI1.1:5:23082789:23083400:-1 gene:ORGLA05G0244700 transcript:ORGLA05G0244700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMILPLLLLLCWFLLLSVGDAMSQQLELVFNDDASASAPAGRLVSWTNGMGWYKGMPREFVDGHNQLRARYGLQPMRWDNKLARQARRWSDAMRGDCQIRHSTGNSFAESLYIGRNGWNARASDAVRCWGDEEHLYDRDTGKCTAGVDFHECGHFAFMVRPNFTRIGCARAECFNGGVFITCNYFKDKQHQPATPPTYS >ORGLA05G0244600.1 pep chromosome:AGI1.1:5:23080840:23082449:1 gene:ORGLA05G0244600 transcript:ORGLA05G0244600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G65700) TAIR;Acc:AT1G65700] MASAGPGLESLVDQIISVITNDGRNIVGTLRGFDQATNIILDESHERVYSTRYVLINCDLLPGLLSNALIGSLVQNSAISNKFLSWCLKVCCQHEGVQQLVLGLYIIRGDNISVVGEVDEELDARLDLSNLRAHPLKPVIH >ORGLA05G0244500.1 pep chromosome:AGI1.1:5:23076222:23079635:-1 gene:ORGLA05G0244500 transcript:ORGLA05G0244500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:regulatory particle non-ATPase 13 [Source:Projected from Arabidopsis thaliana (AT2G26590) TAIR;Acc:AT2G26590] MESTEPLQDIMCEFRAGKMSLDGTRVIPDTRKGLVRIGRGEEGLVHFQWLDRGQNLVEVDQIVFPEEAVFEKVTQSSGRVYILKFRHDSRKFFFWMQEPSADDDSQICRQVNAYINRPLDGEAVSIEAEMSHEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLEDLQRILSAIQPSDAVADPDAGLGLGDILKPDLVLPLMETLPIEQLASYLPEGPWTAGDILELLQSPPLRQQVEAFTHVLRTGQIDLAQFGVDPSKYKFTVASFLEALEDSVAKASGAGDKDSESQRGGGNDPMDES >ORGLA05G0244400.1 pep chromosome:AGI1.1:5:23067182:23073684:1 gene:ORGLA05G0244400 transcript:ORGLA05G0244400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDIGLSAAINVSMAVAFLLVFAFLRLQPINDRVYFPKWYLRGMRDSPVSSGAAVQKVVNLNMRSYLKFLSWMPAALKMPEDELINHAGLDSAVYLRIYLTGIKIFVPISILASLVLFPVNWTNDTLDSMKVVHSKIDKLSISNIPYGSNRFVTHLVMAYAVTFWTCYVLFREYEIITTMRLRFLASEKRRPDQFTVLVRNIPPDPDESISELVEHFFLVNHPDHYLRHQVVYNANKLADLVEKKKKLQNWLDYYQLKYERNPSKRPTTKTGFLGCFGSEVDAIEYYKAEIEKIGKEEADERQKIMKDPQSAVPAAFVSFRSRWGAAVCAQTQQTSNPTVWITEWAPEPRDVYWNNLSIPFVSLTVRRLIVAVAFFFLNFFYVIPIAFVQSLASLEGIEKALPFLKPLIKIDVIKSFIQGFLPGIALKVFLILLPTILMFMSKFEGLISQSSLERRSASKYYIFLFFNVFLGSIVTGSALDQLKAYIHQSANEIPRTIGVAIPMRATFFITYVMVDGWTGVAGEILRLRALIIFHLKNFFLVKTEKDREEAMDPGSICFDWCEPRIQLYFLLGLVYAVVTPLLLPFILVFFGLAYVVYRHQIINVYNQQYESGAQFWPSVHGRIIIALIVSQLLLIGLLSTKGFEETTPVLLVLPVLTFWFYKYCKNRFEPAFVRNPLQEAMRKDTLERAREPTFDLKAYLANAYLHPVFKGREEEDNMSISEDVGMEEVIVPTKRQSRRNTPAQSKYEGSDTLSLPETVHER >ORGLA05G0244300.1 pep chromosome:AGI1.1:5:23053596:23058566:-1 gene:ORGLA05G0244300 transcript:ORGLA05G0244300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKSWGGVVFAVAAAAAALLCSSDAADDVVSVSFSKTPPRVSRSASAVFTFQVLHTNGSGPCQDCLITCKVDGERAWECGRNGSSSNGTAVVRYSRLKDGNHTLAVCAGAGRPPPTTTTTCATYAWDVDTVAPTASVKAEAGFTSGSNVSVLVSFSEPCPGGGGFTCNATYCHLSVYGPGRVDPSSLQVLRPALQYSVHVTIPPELLYGRLILVMAKGFCTDAAGHHFIRTANSTFTLRFDRRSDSMNIGSSIPEKLLQIEGATRVVEATNDDKDLRVYLSFAEPVMNSSSQILAALTATDAILTPTNRSTLGNRRFGYLVKRTSNTAVVTVSCDGNSIISRQGTPVSSSEPYTFLYDNQRPSVKLATSTVRTSSRNIPVLIKFAKPVFNFTSSAVQVSGGNLLSFHEASKSIYTAQIQAVDNLVSVHVAENSVQDVAGNTNLPSDRLEVRHYSVPASSSSIAIVTTVIFAATAAFATLLTVSTSSLLASGVIQRPPSYLVSEPSRNLLRMACHIQVFALSRWLSINLPVEYYELSKGLEWSIPYMRLPWEGPSADPFVGYSTMPAIAYSEMLDRTALAADVLRRPPAAPGVAMAMPSTSPLDGKPLTAMEYRYLFENQDMKPEAQIIMKLQDLDGWKYFFRNIFWLAVIAAAFLLLHAALLLYLKLRHRHSHTHVGALVFPRLELMLVILAMPCVSQASAALIRGGTTAGLAVGIVLTGVLTAFLVALLLFLSLGVTTGRLLQYKEVHQEGREYHWYQEIVRRTLGPGKRGQWTWKDPARTACLVKLGPLFEDLRGPPKYMLSQIAGGKRAAERIIVSDDENEDAEAPFLQKLFGILRIYYTFLESVKRVALGIVAGAHASSDHSSRAHAVVVLAIASFQLFFMVLKKPFIKKRVQLVEIVAVASEVLVFAACLRLVDSGGSAVAEGSGVGLAMLTVFAVALAAQVCNEWNALYRQVRLLSSDRRSFVEGAKAAWVGLLLLVLPSSALGEQLEKMKKQQEQPEAVALGGGGGGTERSWLGQLREMAKASFSKEGQGGEAEASGSRAKGGSRSMSSVASSSDSKAKGPENSHSQWSSRSKGLYKDLEAIFSNR >ORGLA05G0244200.1 pep chromosome:AGI1.1:5:23047009:23051170:-1 gene:ORGLA05G0244200 transcript:ORGLA05G0244200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRMMMESNTMAKEMAVRNHLLPGSPRRRTAHNLSSSSLRKSSDASLLHKVPCAALRSLLANLNDVLLTTRLFLLFPAVLLAIAATYLHFGQVWVFVLSLIGLVPLAERLSFLTEQIAFYTGPTVGGLLNATFGNVTEVIIALLALREGKIEVVKCSLLGSILSNLLLVLGTSLFLAGIANLRAHQPYDTKQAHVNTALLMLAVLCHSLPLMLRYAVTSGDHAIVSGDAALHLSRACSILMLIAYLAYLFFQLNTHRQLFEPQQVEDDDDDDLVIAQNDEPVLGFSSAMIWLALMTLLTALLSGYVVSTIEAASESWELSVSFISIILLPIVGNAAEHAGAVIFALKNKLDITLGVSLGSATQISMFVVPVSVIVAWTMGIPMDLDFNLLETGSLFLAILVTAFTLQEGESHYLKGLILVLCYAVISVCFFVIRRRSAGGTDGVHHLDGIV >ORGLA05G0244100.1 pep chromosome:AGI1.1:5:23040108:23040974:-1 gene:ORGLA05G0244100 transcript:ORGLA05G0244100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYDEREFWQFSDQLRLHNFSSLSIADSIWSSPSPAAVDHSSNKLAFANNSNNNLAAQQQHLLNNATAKTYFNKSVGRPANNNFNFNYNSNSVVVDAFNGKKKAAVDAPAGGGGGGRNNNKKNSSSNDNKMSSRLKKSQLPASESVPKEEAIGGYIFVCNNETMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEATSFGGSNIDPGAWEDSKCPGGESRFPAQVRVATRKICEPLEEDAFRPVLHHYDGPKFRLELTVAEALSLLDIFAEKLFA >ORGLA05G0244000.1 pep chromosome:AGI1.1:5:23038861:23039323:-1 gene:ORGLA05G0244000 transcript:ORGLA05G0244000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKNRRVKTELNDNTWLSSFRQITDVETLHELVQLGSLLTQITLIETGEDNIVWTRNAAGALLKTLIIYSEDVISQIRSGNQSQR >ORGLA05G0243900.1 pep chromosome:AGI1.1:5:23034171:23035589:-1 gene:ORGLA05G0243900 transcript:ORGLA05G0243900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLLCLLLLQLVGLVVAGGGRWRWQEEFLRLPSSDEATRWAVLIAGSNGFYNYRHQADVCHAYQIMRKGGVEEQNIVVMMYDDIAHNPDNPRPGLIFNHPSGPDVYAGVPKDYTGDDVNVNNFLAVLLGNRSALTGSGSGKVVASGPNDHVFVYYADHGGPGVLSMPADGEYLYADDLVKALKKKHAGGGYKSLVVYVEACESGSIFEGLLPSDISVYATTASNAEESSWGTYCPGDDHDAPAAEFDTCLGDLYSVAWMEDAEAHQEGRLAETLRQQYRTVKNRTSDEGTYTLGSHVMQYGDMALAPQSLDLYYMDTSPATANDHKLAAAGAKGSHSYTVSVNQRDADLLYLWRKYRRAGEGTAEKVEARERLVQEMGRRSRVDRSVEMIGGLLLGGARHKQQVVRAALVEDWECLRSMVRTFEDQCGSLGQYGIKHMRSFANICNAGVPHHAMAKAASLACPSPPPLHL >ORGLA05G0243800.1 pep chromosome:AGI1.1:5:23026707:23030313:-1 gene:ORGLA05G0243800 transcript:ORGLA05G0243800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIGNKFRVGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDSPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMTSAPPRAIAPATGQSSAMAPIANNNRLSATEEGRRSGWSDMDAMRRQVPPPAINAGSLAKQKSPIGHEQSTSKDAMFSSSTFLGRSSGSSRRPAVSSSREPSTEADQSRSRTTDASPGAFQRSGAPRWSPQMVDSSDNRRTPSGRHPSNAKNYESTIRGIQGLNFDGDDRIQY >ORGLA05G0243700.1 pep chromosome:AGI1.1:5:23010213:23020786:-1 gene:ORGLA05G0243700 transcript:ORGLA05G0243700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIETCSMGGIFNYGVSVGNKSSPRSLAIEKAQEELRQEHDVREERKRELDFLEKGGNPLDFKFVHVASVSVQSTSLTDQIVEQNVISDAKGSFASAASPRGDSVESNGRPGSSPCRETNTADNLMLFRGDKNDVVEEKIVKRGTKRTNAAQSKQPLPTDGHNNAKQAEDSVLSRLGVKSQAYVRRNRSKPCREITSVKSPTVPAKSSEPKDAKGEMQEKQADGHGARSVSGLKQAGQKRENATKSTASDEHVTMELDGIQTNRGSNCLVKNEASQSDGSSKAIEVLPNAYGNQQLGGCGEVVAAGEPVEIPDSTSNIILRTSYSSAKSTHHVSETHAYDQKVEDGQLDKGFTSIHVDELDNSGISPVCAVESGTVCTNLVDPHCEESIDMIHNHADGKSNQVDMKNVDEPQDLDTSRLSNKGIKESVQLEGFIGSTSVKENSNHVQPEVSTTVPVKDESEAFDSAIVAQKDIVCSSPVHSMNKEESPGSEGRNSCLGNSNSIHPIVVGPVLPKNSLPNSIEQFKSVYRQPKGCKERYKVLVDKNSGDGADSAEDSGSSQHYHFTLPGIPKGSARQLFQRLQGPIEEENLKAHFEKMILLMRQLHARRRKGNSQELKSIIQPHSSHVAALTQACPNNLSGGALTPLDLCDAVSSNLDASTPGSGYQSSYTIGLTPPNHHGSNGPTTPNSTLNSRLSGSPGTVLSNNFSPPSTFSASSRDAQKYGVPRCTSLQSDEQQKIHYNQILSGRNLQQTGGSVPGAFPPGVDRGARVMPGTHGMGMVSGLNRANSGQGAPNAVNVHPGAMSAPGNPTLRPHNPMQALHVSQGNTQAISSMNHSLSNAASSSPVQSFPIQQHQQTHQISPPSHMFGNPQHPQIQGMSHSNPQQQTYAMRLAKERNIQQRMVPQQQNDLPGASAVPSVQNGSHGQQQKQSPAALSAPSSQPQHQRQQAAQNPPDSCAHPNQPTNATQHKPKKSQQQPRQNQQQRNQGSQQAKLMKSLGRGNMLIPQTTVDTTPTNAVSASSKKQITENKLIQHGQGTLPGNKASNPSIPHPGNQHKLYSSPLPQSPKQLPDIGNQGVLQGSPSQALLTSQQLPLHPKSSLTTQQQQRHVHSSQNSIQRMMMHQNLQSNSDCRPDSQVVQVQNNQIVPTPPIPQSTESGSTGLSSISQQKHEVSNDSNAVNSTSMMLSSPQDTFAGGERLLPSSSHDVLERQMPGGLPMYGGQWHQEQSKQQLQPPNQQRPVVQGSVYAPLNPGPG >ORGLA05G0243600.1 pep chromosome:AGI1.1:5:23007541:23008709:-1 gene:ORGLA05G0243600 transcript:ORGLA05G0243600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKQPPPHAPPPPPPPPPVEGKPKPPPHAPPPPPPEAKKSFMRRMFPFLLAANVFVGAYMLMRTYQKDSGKKDTENDPTSSTPTSSPAAAEKPAEPIVAPIKVLTPISQDDQRQLYKWMLEEKRKIKPRDAAEKKKINEEKALLKEFIRAGSLPSL >ORGLA05G0243500.1 pep chromosome:AGI1.1:5:23002617:23006239:-1 gene:ORGLA05G0243500 transcript:ORGLA05G0243500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar ATP synthase subunit C (VATC) / V-ATPase C subunit / vacuolar proton pump C subunit (DET3) [Source:Projected from Arabidopsis thaliana (AT1G12840) TAIR;Acc:AT1G12840] MATRYWIVSLPVQTPGSTANSLWARLQDSISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNVFIEGVSHKIRRQIEELERAGGVESGALTVDGVPVDTYLTRFVWDEGKYPTMSPLKEIVGSIQSQVSKIEDDMKVRGAEYNNVRSQLSAINRKQTGSLAVRDLSNLVKPEDMVTSEHLVTLLAVVPKYSQKDWLSSYESLDTFVVPRSSKKLYEDNEYALYTVTLFAKVVDNFKVRAREKGFQVRDFEYSSEAQESRKEELEKLMQDQEAMRASLLQWCYASYSEVFSSWMHFCAVRVFVESILRYGLPPSFLSAVLAPSQKGEKKVRSILEELCGNVHSIYWKSEDDVGVAGLGGETEAHPYVSFTINFI >ORGLA05G0243400.1 pep chromosome:AGI1.1:5:23000011:23001279:-1 gene:ORGLA05G0243400 transcript:ORGLA05G0243400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRRKRGESKPQAHDAGDTTPIDNILTSLDDAPPPPPPPRRELPLLQLNDHQEADDADASSSSSPHQQRRLWVKDRSRAWWELCSSADYPEADFRRAFRMSRPTFHFLCDALAAAVAKEDTALRAAIPVRQRVAVCVWRLATGEPLRVVSKRFGLGISTCHKLILEVCSAIRNLLMPRFLHWPDHPTSTAYKTRFEATSGVPGVVGAMYTTHIPIIAPKVSVAAYLNRRHTERNHKTSYSITLQGVVGPDGTFTDVCIGWPGSMSDEQVLRKSALHQRASAAAGSMSWVVGGASYPLTEWMLVPYAQRNLTWTQHAFNEKVGEVRRVATEAFVRLKGRWACLQKRTEVKLQDLPAVLAACCVLHNICETRGEDMDPDLRCDLPPDEEDDTVLVQSESANKVRDDIAHNLLHRGLAGTAFF >ORGLA05G0243300.1 pep chromosome:AGI1.1:5:22993616:22996347:-1 gene:ORGLA05G0243300 transcript:ORGLA05G0243300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLALPAAPPAPTLSFTLLAAAAAVAEAMEEVLGAALPPLTAPAPAPGDDSACGSPCSVASDCSSVASADFEGFAELGTSLLAGPAVLFDDLTAAAASSVAVAEAAEPRAVGPTARSVFAMDCVPLWGLESICGRRSEMEDDYAVVPRFFDLPLWMVAGDAAVDGLDRASFRLPAHFFAVYDGHGGVQVANYCRKRIHAVLTEELRRAEDDACGSDLSCLESKKLWEKAFVDCFSRVDAEVGGNAASGAPPVAPDTVGSTAVVAVVCSSHVIVANCGDSRAVLCRGKQPLPLSLDHKPNREDEYARIEALGGKVIQWNGYRVLGVLAMSRSIGDKYLKPYIIPVPEVTVVARAKDDDCLILASDGLWDVMSNEEVCDAARKRILLWHKKNAATASTSSAQISGDSSDPAAQAAADYLSKLALQKGSKDNITVVVIDLKAHRKFKSKA >ORGLA05G0243200.1 pep chromosome:AGI1.1:5:22983863:22988834:-1 gene:ORGLA05G0243200 transcript:ORGLA05G0243200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXDDLDFDFSKAKKKKKKKDKGARPVPLEHDDLDLDKPAPPPPAAAADEADDDEAAAAAASKKPQKKKKKKGGFTVDNEDIDKLLAEIDDTSPPTEEAEPVEEVPAPDADDALGKKSKKKKKKGGFTVDDEDVDKILAEFEDQPPPVDDPEPEPEAVKDVGNVAASTSVDDAEGKKSKKKKKKSGRTAQEEEDLDKLLAELGEGPTPAEKEKEVLPQAPPAAAMVKEDTETAEDGKAGEGEVESAAAKKKKKKKEKEKEKKAAAKEADAKKEEEKAVEAPKGKVDMKKLPKHVREMQEALARRQEAEERKKREEEERLRKEEEERLKKEEEERKAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEAKRLEAMRRQFLEQSELQVADGAVPETKKRPIYDSKKKKGQQKTVETAKVVEEQPQEVNETINDEEEYVLVDQESQLQVEESEERTEPDQDVEESKPEEEEDEDEWDAKSWDDIDVNLPKTSAFEEEEANPVAKKVAEPVQKQENSKAQSTVATVKKVANSNKGETEDGESSSANARRNRGASKKGPIKEDETKNGSDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTKELKADATLKVPGLLVIDTPGHESFSNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKSRDAVFIVALNKVDRLYGWKKCTNAPIGKALRQQNEDVKREFNMRLTDIVTQFKMQGVNTALYYKNKEMEDTYNIVPTSAISGEGIPDLLLLLVQWAQKTMEERLTFVDEVQCTVLEVKVVEGHGTTVDVVLVNGILHEGDQIVVCGMQGPIVTTVRALLTPHPMRELRVKGTYQHHKKIRAAQGVKISAQGLEHAIAGTALYVLKPDDDLDRLKDAVMEEMTRVRNRIDKSGEGVYVQASTLGSLEALTEFLKSPAVNIPFCDFSIGPVHKKDVMKASVMLERKKEYATILAFDVKVMPDARDLAEESGVRIFVADIIYHLFDQFTAYIKNLREEKKKESAEEAVFPCVLKIMPNCVFNKKDPIVLGVDVLEGIAKVGTPLCIPTKEYIDIGKIASIEINHKQVDMATKGQKVAIKIIGSNPDEQQKSFGRHFDMEDELVSRITRRSIDLLKENYRDDLSMDDWKLVVKLKSILKIP >ORGLA05G0243100.1 pep chromosome:AGI1.1:5:22975533:22980857:1 gene:ORGLA05G0243100 transcript:ORGLA05G0243100.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLTRLTLXFTGQVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDKAQVEQLLRYIVEEVPEDSEKKRSFKFPFIACEIFTCEIDIILRTLVDDEELMDLLFSFVRPGHPHSTLLAGYFSKVVICLMLRKTSPLMNYVQEHPDIVVHLVDLIGTTSIMEVLIRLIGADETIYSNYADTLQWLENTDVLEMIVDKFSSSDSPEVHANAAEILSAVTRCAPPALAAKICSPSFVGRLFRHALQESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGTLVTASPETVDGMLESLGDLLKLLDISSAENVLPTTYGCLQPPLGKHRLKIVEFISVLLTIGSETAEQELINQSAVKRSIDLFFQYPYNNFLHHHVESIIISCLEVNRSQLIDHALNECNLVGKILAAERSFSLSTESNTPTLLSEGKVPPKIGNIGHITRIANKLIQLGNSNSIIQSHLQENSEWVEWQTTALVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGMYSNDDIEEAQVIERDDEDVYFDDESAEVVISSLRLGDDQDSSSLFTNSNWFTFDGDRGINDRLAASVPSSSPNSEETSLNTEETDEVQIGEDTSIEPQLESVSLENGPVEEAGDLADVSKQTDSNTEDEKLLCTEEEDLSKEAEESERHVDVRDGQVDIQAEDAAEGSCGDMGTGIAVDEPVSPSSEPNNASAGASPDTGDIHTAGSTGSNDSGAELHAKEDSQDGQKTDEPTTTE >ORGLA05G0243000.1 pep chromosome:AGI1.1:5:22961092:22963256:1 gene:ORGLA05G0243000 transcript:ORGLA05G0243000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQLGGGGKVAEPKDLAATDKDRPSSKKNRRRQKKPATSAAISPPVAAMQTLFDTSREVFQDSLPGFVPPPQAVARLAALLNDLKPHDVGIEPSMSCFKNADSKGPPRVTYLHFYDCPKFSFGIFCLPKSAVIPLHNHPGMTVFCKILFGSMHLKSYDWAKSAPDNDNNALETSDGARLAKVNTDAVFDASSETTVLYPENGGNLHCFTARTACAVLDVMGPPYNRADGRDCSYYDESPYLSSSGGDARYSWLKENHSTFEMKGVQMPQRFIV >ORGLA05G0242900.1 pep chromosome:AGI1.1:5:22950231:22953389:-1 gene:ORGLA05G0242900 transcript:ORGLA05G0242900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:I1PYI1] MALALRCPAAASPSPAKSTFPPSSSPPPSRLPRRPPASCRCYYYGDGGGFRKNYDHIPKQFREDNLKDGLMDNYKNVPQFLYGLSPAQIEMFMNDDNPYDRQSQRVTEESISASRSYDEFGMYNLSGMHEGPAGYSMGMGMGTMSMGRAGRGYRRMRSSAPDLPSLLLDSRIIFLGMPIVPAVTELIAAQFLWLDYDDRTKPIYLYINSTGTMDENNELVASETDAFAIADFINRSKSKVYTINLSMAYGQAAMLLSLGVKGKRGVLPNSITKLYLPKVHKSGGAAIDMWIKAKELDTNTDYYLELLSKGVGKPKEELAEFLKGPRYFRAQEAIDYGLADTILHSLDGSFKPKDLTAQLAKAQEMRQSGKRPAAGAGRWSTPSVPR >ORGLA05G0242800.1 pep chromosome:AGI1.1:5:22948583:22949684:1 gene:ORGLA05G0242800 transcript:ORGLA05G0242800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKQGGGKQQAAAASPGPDQKDEELDPKFEWLDNANNFLLRLYLTGFKKEDFRVQVDGTGKLTVRGQRPAAGSKHNTRFHKVFQLPSNANIDDITGRFEASVLTITVPKRPAPTSSAPAPTSVQEIKQKAPTAKQEPQPQFDEAPNKKKQQQEEEEEAAKKKQQQLQEEEEATKKKQQEEEEEAAAKKTKKLQEEEAIAKHKPATTTERKQAEPTTAAPLPGHVVDRESLAEKVKRRAEEECAKAAAAAEAAEEKTATALSRWRERVAGELEHLGDMRWAEGVVETARRNKDVIATAVAAFSLGFFVSHKFFCRR >ORGLA05G0242700.1 pep chromosome:AGI1.1:5:22946859:22947401:-1 gene:ORGLA05G0242700 transcript:ORGLA05G0242700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAPMGVAHLDPHSMEAIDSSSSVVIKEDEDDTVEHDDGFKRRAVCGGSSSAAGGGGWKVWRGEEQHDLWQIKDRCRGATSISTGIVVRPPSPEVKHALHLDTMLTHDTERRHTLIDLNLMSSNLRAADDEFLMQGQQERIV >ORGLA05G0242600.1 pep chromosome:AGI1.1:5:22940610:22942076:-1 gene:ORGLA05G0242600 transcript:ORGLA05G0242600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT5G62740) TAIR;Acc:AT5G62740] MGNLFCCVQVDQSTVAIREQFGKFDAVLEPGCHCLPWFAGKRIAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKANDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGQATTTSH >ORGLA05G0242500.1 pep chromosome:AGI1.1:5:22924344:22928226:1 gene:ORGLA05G0242500 transcript:ORGLA05G0242500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:I1PYH7] MTLAAAAEAEAPVPTHAFAIAAEEVAMEPLSTATAPAAMEEESSSSGGGGGVGERRSRFRRICVYCGSAKGKKPSYQDAAVDLGKELVERGIDLVYGGGSIGLMGLVSHAVHAGGRHVIGIIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPVIDPYPKMLGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYNPLLSFIDLAVNEGFITEEARRIIISAPTAKELVMKLEDYVPEYSIGLVWEDQNQKQNNLVPELDSGITSS >ORGLA05G0242400.1 pep chromosome:AGI1.1:5:22915218:22915523:-1 gene:ORGLA05G0242400 transcript:ORGLA05G0242400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEVGGFFSSSASGYRNGLALLLLGHKGEEKPVKVTPWNHYRLVGGGEAEPASEENNVPSGKCASFICFGCPPARLKGVSPPKLASSDTAGNSSEQSP >ORGLA05G0242300.1 pep chromosome:AGI1.1:5:22912533:22913949:1 gene:ORGLA05G0242300 transcript:ORGLA05G0242300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLVLLLLIIAAAGAAAFGIRRDAPKAYCFYGIGWTGVPYLPGTAAAIYIGNTNSCIAGYHSPPDTATSYRFCIPSWVAFTANATTLCGQPAVDHAAAISGFKRLIGLQPGDPHAKRVAQIAPYKLGEKIGRCSIQVQLDDGAKCRVEDFLPEDVAGILIAHLKSTAEAHLGHRIDNAVVTVPGHFNGNQRQEVSSGSTEYGGFRYVSVVDEQVAAAAAHGLHEDRGDGKVILVFHLGGRTAHATKFVIRDGTPSLIALRHDPFLGGDDFTARVVDHMADLIRDKHGGRDVRADAAALRRLTAECERAKKALSYQQETVVTMRWDDDDDLFSEPLTRSKLEELIGDLVGRAVDLVESCDASGGDVVGVDEILLVGGSTRIPMVRDLVKDYFHGKEASNEKGVEPDEAVIRGALLLSHPHQARYLDPCYDYWHSR >ORGLA05G0242200.1 pep chromosome:AGI1.1:5:22905952:22907058:1 gene:ORGLA05G0242200 transcript:ORGLA05G0242200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFKAERLYLVTMDTGSSLSWVQCRPCTIKCHVQPAKVGPIFDPSNSSTFRHVGCSTSICSYLGRTLRIQSKGCMEREDICLYTMSYGGGWAYSVGKVVTDRLVLGGGETTRTTLSLANFVFGCSMDTQYSTHKEAGIFGLGTSNYSFEQIAPLLSYKAFSYCLPSDEAHQGYLSIGPDSSGGVPTSMFPGTPRPVYSIGMTGLTVTVNGEVRSLVSGSGSSPSPSSLMVVDSGAKLTLLLASTFGQLEDAIIPAMESLGYSLNTAAGQNQLCFLTESDRQNYLQRKPPPPSNWSALPVFHISFTLGLTLTLPPKNAFYLDDILGLCSSFARDDYLESGYQILGNLVTKSYGITYDIPRKQFRFRTGEC >ORGLA05G0242100.1 pep chromosome:AGI1.1:5:22901614:22902744:1 gene:ORGLA05G0242100 transcript:ORGLA05G0242100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAALALLLPVLLLVGVDDIEPLPELLVNCTSRLCPAGIAVFLMGYAYAYARSRGNTAPLKKTTNSTTVLLKMMPLLLLLLVAPGLGTAAGSHSCPPSIYGKYHCWLLL >ORGLA05G0242000.1 pep chromosome:AGI1.1:5:22883261:22885277:-1 gene:ORGLA05G0242000 transcript:ORGLA05G0242000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMWRANARVVERRGGREAEERGGVISVVLAHGYGASQAVWDKLVPSLSKSHNLLLFDWDFTGAGAGKDDDEYTFGRFADELIAVMEERGVGASGAVVVAHSMSAMAACIAAQRRPDLFAHIFLVCASPRYINLEEEGYVGGFEEAAIHGMLAAMESDFDGWVRSFLPNAAGDASAVEHLLKSFLAMDPTVALKLAKMIFLGDQREVLDGVKTPCTIVQVKADFAAPPSVAEYMHLRMKGAATAVEIIGSVGHFPQLVAPQQLLDILAGVLRLREAAAEAEHDDAGTVEIAGGIDVAI >ORGLA05G0241900.1 pep chromosome:AGI1.1:5:22879369:22881197:1 gene:ORGLA05G0241900 transcript:ORGLA05G0241900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAAGRATLCAAREEQELLATRLNVNGIRPPHCAAESILIYLTAPGLSMMPMRVMASDSIASVKLRVQTSKGFVVRKQKLVFDGRELARNDSRIMDYGVSHGNVLHLVIRISDLRLITVQTVHGNKFRFRVEPGRTVGYVKQQIAKNSTHDDDHHSLVLQGEVLDDAHLIHDVCRTDGAVIHLLVHRSAKLAARPVDRDFEVSIVARNRNAAADAAQPTLHLQRDFAIEPVIVNPKAALPPVIENLVGAVLAGMEKGNAPIMSSEGTGGAYFMQDASGQEHVAVFKPVDEEPMAANNPRGLPPSPTGEGLKKGTRVGEGAIREVAAYILDHPPGGRRSFAGHHGSATVGFAGVAPTALVRCMHRSFKQPAASEQGPPLFKVGSLQAFVKNSGSCEDMGPRAFPVHEVHKICVLDIRLANADRHAGNILTCRDEQGHGLTLVPIDHGYCLPESFEDCTFEWLYWPQCREPFSEETVEYIRSLDAEEDIAILRFHGWEMPAKCERVLRVTTMLLKKGVDSGLAAFDMGSILCRETLTKESVIEEIIREVEDDVGDEAAFLQSVSQSMDRRLGELSKKKKVM >ORGLA05G0241800.1 pep chromosome:AGI1.1:5:22876948:22878393:-1 gene:ORGLA05G0241800 transcript:ORGLA05G0241800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSTEMAFHVFLIAALLLLPLPAAFSKALRTQSLLANPLSPDPITQEQQLSLAAPRTNASTLHFRLAHREHFALNATASDLLAHLLARDAARAAALLAAPNNATRPRRRGGFAAPLLSGLPQGSGEYFAQVGVGTPATTALMVLDTGSDVVWLQCAPCRHCYAQSGRVFDPRRSRSYAAVDCVAPICRRLDSAGCDRRRNSCLYQVAYGDGSVTAGDFASETLTFARGARVQRVAIGCGHDNEGLFIAASGLLGLGRGRLSFPTQIARSFGRSFSYCLVDRTSSVRPSSTRSSTVTFGAGAVAAAAGASFTPMGRNPRMATFYYVHLLGFSVGGARVKGVSQSDLRLNPTTGRGGVILDSGTSVTRLARPVYEAVRDAFRAAAVGLRVSPGGFSLFDTCYNLSGRRVVKVPTVSMHLAGGASVALPPENYLIPVDTSGTFCFAMAGTDGGVSIIGNIQQQGFRVVFDGDAQRVGFVPKSC >ORGLA05G0241700.1 pep chromosome:AGI1.1:5:22866507:22867508:-1 gene:ORGLA05G0241700 transcript:ORGLA05G0241700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VARGSTVLLGNRSHASVHGVGTVDMKFTSGKIVQLKNVQHVPSIDRNLVSGSRLTRDGFKLVFESNKVVMSKHGYFIGKGYECGGLFRFSLSDFCNKSMNHICGSVDDEANVWHSPLCHINFGLMSRLSSMCLIPKFSIVKGSKCHSCVQSKQPRKPHKSAEERNLAPLELLKIYKAEVENQLDRKIKRLRSDRGGEFFSNEFDLFCVEHGIIHERTPPYSPESNGIAERKNRTLTDLVNAMLDTAGLPKAWLGEALLTSNQVLNRVPNRNKDKTPYEIWIGRKPSLSY >ORGLA05G0241600.1 pep chromosome:AGI1.1:5:22865329:22866207:-1 gene:ORGLA05G0241600 transcript:ORGLA05G0241600.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPHMVFSFIKWTLRQLFLMKLDEEIYMDQPDEFVVEGQEGKVCKLLKSLYGLKQAPKQWHEKFDKTLTSAGFAVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLEVINEVKTFLSQNFDMKDLGVADVILNIKLIRGENGITLLQSHYVEKILNRFGYIDSKSSPTPYDPRLLLRKNKRITRNQLEYSQIIGSLMYLASATRPDISFAISKLSRFTSNPGDDHWRALERVMRYLKGTMELGLHYSGYPAVLEGYSDSNWISDVDEIKATSGYVFTLVMLFRGGLANRLF >ORGLA05G0241500.1 pep chromosome:AGI1.1:5:22859039:22861390:-1 gene:ORGLA05G0241500 transcript:ORGLA05G0241500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGPDKGRGAEAVVVVAVRAAARDISKAALVWALTHVVQHGDTILLLAVMPPPHNSGKKFWGFPLFAGGCASAHRSVLTQNSDVADLCNQMMLKLRDFYDPNKIITKLKVIPASPGGVATESKRAQASWVVLDKELKHEEKRCMEELQCNIVAMKRSRPKVLRLNLVRSPPKESKSPLPPLPELSDSVGETESSINEQRCPIREPAVTPSSSPESETAFGSTDVGTSSVSSSDLGTSPYSASETNSTFKKEATKDNFQHSDVNVSDSESEASTPPAASSLQPWMADILKGSASSRLAGNRPRRTRTPTADALLEKISKLDLLAEISAIRSRSDLNFRGNVRDVVSLSRSAPPGPPPLCSICQHKTPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQYKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNGSLDSHLYGRNKETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLV >ORGLA05G0241400.1 pep chromosome:AGI1.1:5:22858441:22858735:-1 gene:ORGLA05G0241400 transcript:ORGLA05G0241400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWVSSFT >ORGLA05G0241300.1 pep chromosome:AGI1.1:5:22853697:22856369:1 gene:ORGLA05G0241300 transcript:ORGLA05G0241300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNQFDLLVDVDNDDPSHLIAAAEKKAAAAAASPKLASSPAPAPAKLPTKPAPPAQAVREARNYGAPRDGAGRGGPGRGRGGGRGGRGGPRRDFGEGDANGFEGGYGGGGGFGDGGLARGEDGEGRQAERGRGPRQPYRGGGRRGGYSDGQSGDDYGRPRRAYERHSGTGRGYELKREGSGRGNWGTVTDEGLAQEVAEAVNTEEAPATAEDEKKPEDVPQSEVDKDKESPENEEEEKEPEDKEMTLEEYEKVLEEKRKALLALKAEERKVEVDKELQAMQQLSVKKANEEVFIKLGSDKDLKKKEKDEKDERTKKSLSINEFLKPAEGERYYNPGRGRGRGRGRGDRGGFYGGYNGNGGRRQAAAPVIEDQAQFPSLGGK >ORGLA05G0241200.1 pep chromosome:AGI1.1:5:22851432:22852409:-1 gene:ORGLA05G0241200 transcript:ORGLA05G0241200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIMARRCSGDYSTAGQRAGEEGGGGGGAGLRLFGVQLHAAAASSPASYLHKSYSMDCLRLQVSSPSSLQSSSSSPSPLTSSLLLSIDEGCERPAADGYLSDGPHGAAATMRERKKGVPWSEQEHRLFLAGLEKLGKGDWRGISRSFVTTRTPTQVASHAQKFFLRHNSAAKKTNNKRRSSLFDMVQDCDSGGRSLASSDPATRCNNNISASLSLQVSHHKSGDSAWPSSETPSVSEAQQGHGYGTSHHCSPLDLELGMSLSTTPSIGT >ORGLA05G0241100.1 pep chromosome:AGI1.1:5:22846695:22848975:1 gene:ORGLA05G0241100 transcript:ORGLA05G0241100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNApolymerase sigma-subunit C [Source:Projected from Arabidopsis thaliana (AT3G53920) TAIR;Acc:AT3G53920] MGLQMMRGRPCAPCCSSSSSSSSSSSSSSWMPSKHPHAPLSRRKISSESLRALALHLLLKRRKYQNGDISRISASSSGVLEITENKSNNKADAERKILDDALDRKPQIDWVEEDISSWMDKSYTSSNLEYNLLMQNIQVLESSLAGKDLVRLERDILVHIERLGALKSFNASISRATLTQTYESEFSLPGDIIKLDPEIPLEEQNDVVIVRSGKSQERKLKRMKASEKGSRVSVKTPSRKSKKSSSSQFIAEWKNYPGRRRSIVREQSALLVTIKECANLEKIRENLLKDGSEVSHASWAKAAGIDEALLRSRLQEGYCCRERLLVTTEWLVKYIAKTYAGMGTAFEDLLQAGKMGVLNGAEKFDSQKGCKFSTYVKYWIRKAMLALLAENSGVIQLPARMEGIIRKVREARRAIRYNTGRNPPDAEIAALIGVSVANVRLARKCSRRVVSLYTEIGVGQNAKFVEVTPDTSLEAPDEAMFRMQLRERLLHVLDRLPPREGHVLKLRHGLEDGKCRSLEQIGSIYHVSKEWIRKIEKSAMSKLRNDDVHNELKDFCGF >ORGLA05G0241000.1 pep chromosome:AGI1.1:5:22841670:22845229:1 gene:ORGLA05G0241000 transcript:ORGLA05G0241000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDASGGDGPEQELKRAAAAAYNYEGDARWAEYWSNILVPPHLASRPDVVDHYKRKFYQRYIDRDLVVEPMSSTGSTQPSGPEVRSSSSSSSENVRARSSGSSSRSAAPPPPPPQTDSATNPLRFDARTIHFSINAWVLVVAGLGMLPILPKHLADRACKLSLLGTILSSAYSLYSTYGKPRAWNMPAVQGWLQAVLGTKDFIHLMFSLMLFTSQLHLKIAALPVFCWALDHVARFLRRNFARSSFYRSYLEEPCLWVETNNTTLSLLSSNAEIALGFLLIISLFSWQRSIIQTFMYWQVLKQMYHAPVTASYHQSAWAKIGRIVNPYIHRYAPFLNTPISAAQRWWFR >ORGLA05G0240900.1 pep chromosome:AGI1.1:5:22836945:22838516:-1 gene:ORGLA05G0240900 transcript:ORGLA05G0240900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAIESYKKAITTAASVAASVMLVRSVVNELVPYEVRDVLFSSLGYLRSQISSQHTIIIEETEGWSHNHVYNAVRAYLATRINTNVQRLRVSSMDESSEKMVVTMEEGEELVDMHEGTEFKWCLISRSISADPNNGNGSGQREVRSYELSFHRKHKEKALKSYLPFIIATAKAIKDQERILQIYMNEYSDSWSPIDLHHPSTFDTLAMDQKLKQSIIDDLDRFIKRKDYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANHLKFDIYDLELTEVHSNSELRRLLVGMTNRSILVVEDIDCSIELKQREAGEERTKSNSTEEDKGEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYKERLDQALLRPGRMDMHIHMGYCTPEAFRILASNYHSIDYHVTYPEIEELIKEVMVTPAEVAEALMRNDDTDVALLGLLELLKSKIKDASETKAESKDANKQTEENKDDKAMENKNDSSTDECT >ORGLA05G0240800.1 pep chromosome:AGI1.1:5:22832611:22834192:-1 gene:ORGLA05G0240800 transcript:ORGLA05G0240800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSGDYEKYMATAAAVAGTAMVVRGVVSELVPDELREMLRSAARGIRARVSSTHTVVIDETEGLSTNQIYDAARTYLAARINTDMQRLRASRVDDAQGIMITMDQGEEMLDVHDGVEYTWRLVSRETAAAATAHAAPYGIGGGGAANRRGRSRFEVKSFEVSFHKKHKEKALRSYLPFVIDTAKAMNDKHRNLKMHMIEYDAWTAVDLRHPSTFDTLAMDHSLKHSVMYDLERFVKRKDYYRRIGRAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTEVKSNSDLRRLLVGMSNRSILVVEDIDCTIDLQQRDEGEIKRAKPTYSGEENEDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYRERLDPALLRPGRMDMHIHMGYCTREAFRVLASNYHNVENHAMYPEIEQLIEEVLTTPAEVAEVLMRNDDVDVALQVLAEFLKAKRNEAGETKAENKNGNQKINKYEQSMV >ORGLA05G0240700.1 pep chromosome:AGI1.1:5:22821172:22827933:-1 gene:ORGLA05G0240700 transcript:ORGLA05G0240700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPGDPGDGVPSKRARTERDPYRVETMEFLVLVKAELPDDVYSHFVRSMIKIRRQRNMSIEKCKEIILEILDGQPEAIQVFEHFIQGYSPCRAKMRSKAHNFVERVKACPDISREDFHALLNVLAKYYKNEIKTSEEVLEKVERIIGNYPEFLEEFKIFVPHHLRAHLPNEKSCTSPKSSRVSETFVSFTLDAMNKLDGLRVKATNGRNQATPLKYTQDQNQNHEGRGYSLRHKQTKRTTGLIENPRKEGDDKSPHAEDDEEHKTEPLLQWSTSRENELPLKVDPSNCKHCTPSYCLLPKNCVTLQSSYQTELGRSILNDSLVSVTSGREDCYKFRTKNQYEENMFKCEDDLFESDMLLQRFRATADFIEDLQYRFGSNVKIQEHLTPLHKRCIEQLYDDSGIDMLDALSESENTSSALAVILSRLNQKIGDFSEARLSLNKMCSDTVANNYYRSLDHCSPSFKQLDMKRMSPKALLAEDKQISQIKSHTDIHIHEDVGVIINYAYSRSCTTEDKPMMNWTKLVKAFVSVKFQWPDLKDTVSRRNVCEHCGMSRDFLNNIPVAVLTNEFVFSSKEVESLRAKSNESTSSLYHFDAEVEEGEFIPDVENIQLRVRCLPTNNSMHSTYGHWSGSEEHKSSRDDSNKEVGSSEYFGRTSKECDANRGISCCTLAVLCRLLQVMYERLLVAKNLSEGASTHDSYAHFKEKLCSLIHGSTDNWNFEQHCLKFLGPNSYVLFTLDKLIDRVIKQICKIYPSREDSSVLQRQERSRRTFNILKDPALPARRTNSSKELLHHQNARGPSIELPKQGREEAKGGCESHGDTGKMKQNHFQRRKKRALENGPPSFSQPGSGNQAHN >ORGLA05G0240600.1 pep chromosome:AGI1.1:5:22819828:22820058:1 gene:ORGLA05G0240600 transcript:ORGLA05G0240600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDVMSEILLRLPSKSVVRYRAVCRTLRRITSCPYFLAARDAGGILRRPPGVGAGPRPVRMLIHCSIWTASRGEG >ORGLA05G0240500.1 pep chromosome:AGI1.1:5:22816669:22817760:-1 gene:ORGLA05G0240500 transcript:ORGLA05G0240500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1PYF7] MVMNPDAVRNVVGIIGNLISFGLFLSPLPTFVTIVKKKDVEEFVPDPYLATFLNCALWVFYGLPFIHPNSILIVTINGTGLLIEIAYLAIYFAYAPKPKRCRMLGVLTVELVFLAAVAAGVLLGAHTYDKRSLIVGTLCVFFGTLMYAAPLTIMKQVIATKSVEYMPFTLSLVSFINGICWTIYALIRFDIFITIPNGMGTLLGAAQLILYFCYYDGSTAKNKGALELPKDGDSSAV >ORGLA05G0240400.1 pep chromosome:AGI1.1:5:22810076:22814069:1 gene:ORGLA05G0240400 transcript:ORGLA05G0240400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAALRLLLLALAVACCAVAEPPQQERSALRAFLAGTPHERALAWNASTPACAWVGVTCDAANATVVALRLPGVGLIGRVPQGTLGALRGLRVLSLRSNRLFGDVPGDLFSLPDLRSLFLQGNLFSGSVPPDVAKLTALQHLTLSHNNLTGAIPFALNGLANLRSLRLDGNRFSGSLPSLTLPLLEDFNVSYNQLNGSIPASLARFPPESFAGNLQLCGKPLSRPCEPFFPSPAGAPTPTDGRGSGGGSVPVSEKKKKKLSGAAVAAIAVGGGAAALLALVLLVVCTAASRRRAANGEVGKTAAARGLTPPSTASGELGELTSSTSKEIALAAAAATAERSRLVFVGKGAAYSFDLEELLRASAEVLGKGSVGTSYKAVLEEGATVVVKRLKEVAASRREFSAHLDSLGKVDHRNLLPVRGYYFSKDEKLLVCDYLPAGSLSATLHAKAIGAHLHTRHTDYEYDTRNANLIKRVSSVISISIGDAVAISTTNSELTSGSRGTGRRTMDWDARMRAALSAARGVAHLHAAHSLAHGNLKSSNLLLRPDPDAAALSDYCLHQLFAPLSARPNAGGYRAPELVDARRPTFKSDVYSLGVLFLELLTGKSPGNASVDGDGAVDLPRWVQSVVREEWTAEVFDVELVRLGGSAEEEMVALLQVAMACVATAPDARPDTADVVKMIEEIGSGHGRTTTEESEDRSRGTPPAGTTP >ORGLA05G0240300.1 pep chromosome:AGI1.1:5:22804681:22806939:-1 gene:ORGLA05G0240300 transcript:ORGLA05G0240300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: RuvA domain 2-like (InterPro:IPR010994); Has 29 Blast hits to 29 proteins in 9 species: Archae - 0; Bacteria - 2; Metazoa - 0; Fungi - 0; Plants - 27; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G12790) TAIR;Acc:AT1G12790] MERSTHSTGWTCLPPPPPEPAAPGRGVCAMSTSWRDKQQPSLINFIAAFLAANSYRLNFLSISPDFIFNNGELSVAFIFETNWDCQNEGAVFSRVNMLKRQLKHLYVVVAVPTKEQNESFNRSYHKYGMKLGFPTFVPVTDPEMGFEKIVKIAHALGVCKQQDIISRLKNEREQAVQCTDSFLRVLTSIPGIDNHDANALAQAIGSIEAIAKASKKFILENTDLSTDKAETIVRFFRDPQYYLSPKIN >ORGLA05G0240200.1 pep chromosome:AGI1.1:5:22797026:22800107:-1 gene:ORGLA05G0240200 transcript:ORGLA05G0240200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGSSSSGGRGGQRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATQPVTAFGGFPCTAWFDVEDTSVDGCDDIEGLDASAAHIANLLSSEPPDVKLRIGGFSMGAAASLYSAACYAHGKFTSGIPYPITLSAVISLSGWLPCSRTLRGKMESSHIAARRAASLPILLSHGRADEVVSYRNAERSSDTLRSSGFLYLHFKSYNGLGHYTIPEEMDDVGKWLSSRLGLDRSR >ORGLA05G0240100.1 pep chromosome:AGI1.1:5:22795237:22796313:1 gene:ORGLA05G0240100 transcript:ORGLA05G0240100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNQERPKCSCVQSSCTQLYWRCFRSRYFCSDNCNCSGCYNIKYYEDAIEEISDMIQMKNPNAFDPRIIVSVQDATAADPQSSTSAISDPKNTSDAMPGNEQRKHAKGCSCRKSKCSKLYCECFKNSVGCTAKCKCQECRNSFGVKNSESSNKPDPDDKSATDGLTHEETTTENITLPGETWNSDPNKRPRYF >ORGLA05G0240000.1 pep chromosome:AGI1.1:5:22790504:22792545:1 gene:ORGLA05G0240000 transcript:ORGLA05G0240000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWFKLGGSAVRAMGALRGSPPAMASVFTGGWLLGSSISSWQTLKVAEQQIDALAREQEEYLNKFEAKWVEELNRLKLEMMNELEESEERLNREIDVLKMMVRIAMEEKEMRMAMEEEAASPGPLQGESGDLGDV >ORGLA05G0239900.1 pep chromosome:AGI1.1:5:22787531:22788657:-1 gene:ORGLA05G0239900 transcript:ORGLA05G0239900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFFIRLRGGEMLEQCRDKRVVLVGDSLNRNMWESLACILYAAAPDRSRATVDDASADHKIFQALDYNCTVEFYWSPFLVDLDDQTRVLKLDRLPATTYRRLAAADVLVFNTGHWWTHTGKFRAWDHLERNGKKVEMGAEEAFNRALRTWTRWLDRNVDSHKTMVFFRSISPEHKNKNWCYNETAPMARAEEYVEAFPRGMVSIVERNVRRARTAVGYLDITRLSELRRDAHPSVFTVRGGKLLTPEQRRQPGSYADCSHWCLPGLPDTWNLLLFASWTSLRS >ORGLA05G0239800.1 pep chromosome:AGI1.1:5:22780995:22783153:-1 gene:ORGLA05G0239800 transcript:ORGLA05G0239800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKENGSIQKAGKVDHSQRGRPNWVLVAGGVLLSTLSVRLGCKLKQMFDAKKQNSMPKVKRRPGACDLHSNLYRFNDQTSCYCCMSAVADGVEIRQAPGSPLPKSTDLSPLLLVEIPGPESSKENSGVMWTSSPDQLEDPRKPFQYSNSSGSPCFSESGSDIYNKREIIQKLRQQLKRRDEMIVEMQAQIVDLKNSLVVQVTQTTNLQSQLDAASRELFESEREIQHLRKIIADHCVAEALSHDKPLQAGHWQSDATNGHANGYADSSVDDPELHYIGIEKRKGEVEKVEMLKREVCDLKEVIEGKDFLIQSYKEQKLELCGKIRELQEKLSAQVPNIL >ORGLA05G0239700.1 pep chromosome:AGI1.1:5:22768306:22770809:-1 gene:ORGLA05G0239700 transcript:ORGLA05G0239700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADRLSIRAAALALDDAAAGRDKPDTKRDVFADLGSPVSPLRLRPGGAAATPSSSSSSAGSAKSPALCNAGAGVGRGGGGGRGSHSGELVAEGNPPRPPGHRRSGSGPLIFSGGSSSAGSGGGGGGCGGGSTASSPLTNALPTGNICPSGRVASAAPAPPRRARPDVLGSGTGHYGHGSIMRGGGGMAPPRSSIDASPYHGSYSRSPAPQGSSGGLQEVTRAGNEWYKKGHYGEALRHYDQAVALCPDSAACHSNRAAALIGLGRLAEALRECEEAIRRDPASGRAHSRLAALCLRFGMVERAREHFMLAGQVNQSDPAEFQRLQEVERHLGRCMDARKTGDWKSALREADAAIANGADSSQLLLALRSEALLRLNKLEEADSTITSLSKLDIASLSSMSTKLSGMVADSYVHVVEAQVNMAFGRFDIAVTMAEKARVIDPGNTEVGRITNNIRLVAQARGQGNELFKAGKFAEASLAYGEGLKYEPSNPVLYCNRAACWSKLGRWMKAVEDCNEALKVHPGYTKALLRRAASYAKVSDTCIIVFLIICALWC >ORGLA05G0239600.1 pep chromosome:AGI1.1:5:22760498:22764387:1 gene:ORGLA05G0239600 transcript:ORGLA05G0239600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) TAIR;Acc:AT4G20130] MATPAASPLLLPLPLPLPASTFPPRRAVPCARRLVLRPPRAGRPRLRDPPPAAPPPAVEEVGEEEEDDDAPPLRLLEPPQEDDPFPPEMEPADPDFYRIGYARMMRAYGIEFLEGPDGMAVYASRDVDPLRRARVIMEIPLELMLTITQKRPWMFFPDIIPLGHPIFDIIESTDPETDWDLRLACLLLYAFDVEDNFWQLYGDFLPSVDECTSLLLAPKHKTIPLKLKRLAPDHERFLWALSIVQSRSVNLKLRMGAFLQDANVLVPYADMLNHSPDANCFLHWRFKDRMVEVMIKAGRAVKKGDEMTIDYMSGVNSSFMERYGFSSPTNPWELINFSSDAKIHLDSFLSVFNIAGLHDELYHNAALTSGENNFVDGGVVAAARTLPTWSEGDVPAIPSLERKSAQALQEECHTMLESFSTTIQQDQEILDSDGHIRRTREIAIKYRLHRKLLLQKIIDALDIYQDKILF >ORGLA05G0239500.1 pep chromosome:AGI1.1:5:22752559:22757056:-1 gene:ORGLA05G0239500 transcript:ORGLA05G0239500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDGAVKDQESSANPASASAALSEASAAASEVTAAAAAGAGAGAAEEGAAVSGRPPRPPHDKRLGVRHPLKHRRFRAGGKVMVEPGDPPSAQEVADEEASEVEQEAAPVEREPPQEEGGDVEVSSAPAEMEVVEGDAMEVSPEPAVAVGESELEGRPGEEEEVSSPVVPQGERKQETAAAAPVPAVEEKKHKDQENKHKEREREKERERVDEVGYMSGGWKSEDGFLSCGYSSFRGKRASMEDFYDIKSSKIDDKQISLFGIFDGHGGSRAAEYLKEHLFENLMKHPEFMTNTKLAISETYKKTDSEFLDSESHTHRDDGSTASTAVLVGNHIYVANVGDSRAVISKAGKAIALSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRLLKQFVVADPEIQEQEIDDELEFLILASDGLWDVVPNEDAVSLVKIEEEPEAAARKLTETAFSRGSGDNITCIVVKFQHDKMDGDSSPTSDKS >ORGLA05G0239400.1 pep chromosome:AGI1.1:5:22748645:22750658:-1 gene:ORGLA05G0239400 transcript:ORGLA05G0239400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLRLSLAAAAAAALAVAVALLLPPLAAAQGETTCPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLFLARGAVIRATQDTSSWPLIDPLPSYGRGRELPGGRYMSLIHGDGLQDVFITGENGTIDGQGSVWWDMWRKRTLPFTRPHLLELISSTDVIISNVVFQDSPFWNIHPVYCSNVVITNVTVLAPHDSPNTDGIDPDSSSNVCIEDSYISTGDDLISIKSGWDEYGIAFGRPSSGITIRRITGSGPFAGFAVGSETSGGVENVHVEHLNFFGMGVGIHVKTNSGRGGFIRNITVSEVTLNGARYGLRIAGDVGGHPDASYDPSKLPVVDGVTIKNVWGQNIRQAGLVRGIRDSVFSRICLSNVKLYGGDSVGPWKCRAVSGGALDVQPSPCAELTSTSEMSFCTN >ORGLA05G0239300.1 pep chromosome:AGI1.1:5:22745020:22747614:1 gene:ORGLA05G0239300 transcript:ORGLA05G0239300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT4G01030) TAIR;Acc:AT4G01030] MAKAQAQLVSLSFPPPAPPSARPRHAPPLLNAAALRTGVPPYSAGVLVSLLRDCADLHGDDTDHRVARRLAPQLHSLAVRTGLSRDPRVTCALVDLLARLGRGPSCARLLHEAAEDGAKDAVLWNKHVAMLAEAEEWDEAIAVFREMQARGVPADGYTCARVLHACGRAGALREGRAVHAYALKLALDAHPLVPGFLAGMYAENADVAAATRVLDAMGAGSVVPWNAVVACCARLGLVDDALELAARMSRSGPEPNVATWNTVLSGCSRHGRDREALGVVASMLKQGLRPDATTVSSLLKSVANTGLLRHGMEIHCFFLRNQLEPDVYTGTALVDMYAKCGRLDCAQKVFDALEHRNLTTWNSLVAGYANAGRFDIALELVELMKKNRLDPDITTWNGLITGYSMNGQSSQAVLLLRQIKAAGVTPNVVSWTSLISGSCHNGEYEDSFYFCHEMQKDGVQPSLVTMSVLLRACAGLALQKKGKELHCFALRRAYDCDMVVSTALIDMYSKGGSLVSAKVIFESIQQKNLVLCNAMLTGLAVHGQGREAIGLFHDMWNSGLKPDSITFTSLLTACRSMGLVTEGWEYFDSMETKYGVKPTTENYACMVDLLARCGYLDEAMDFIERSPIDPGASHWGALLTGCSIHGNLALAEVAARNLFRLEPYNSANYLLMMNLYEYERMYDEAESLKYAMKARGVDSRPGWSWIQIEQGIHVFEVDGKPHPETAEIYEELIRLVFQIKKAGYVPDTSCIAYNVQEEEKEKLLLGHTEKLAITYGLIRSDASRAPVRVMKNTRMCNDCHEVAKHISSLCDRQIILRDAVRFHHFVDGKCSCNDYWLYDAEE >ORGLA05G0239200.1 pep chromosome:AGI1.1:5:22736287:22737537:1 gene:ORGLA05G0239200 transcript:ORGLA05G0239200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATAREARMYGPALAVRRWEYINAGAYVFAALLLAVALAALSAGGGXGGGASRAALAVAAVALALVAAVNAHDLAAHLAGVDFRVGLVRYDAQLGLVELLVPALHVAGCVLAIVAMALLLSQGERETHAANTLLAAALVWLLGSILNSCQVYERADGRAQLLQSSVQVPMLLGSLLFLVGAVVNRRRRPEPPVLVVSARSIRSSNLAAGVGMHDAMTKPCGLTVTQGRSWAWTMCVLGSVLWLVAAVFNMGKVFVMHQSDAPRLEKLRGGAQERLSRDREGRVPLNWEEAARSRRVALPAELR >ORGLA05G0239100.1 pep chromosome:AGI1.1:5:22733202:22735160:1 gene:ORGLA05G0239100 transcript:ORGLA05G0239100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sigma factor E [Source:Projected from Arabidopsis thaliana (AT5G24120) TAIR;Acc:AT5G24120] MASTVTTPSRPVSAGCHRRSPRRSAPVVLSLGGGPRRRTPSSTSCSALASPAKQGTAKLPPPQPTASRTAAADAERERERTDYNEVAAALESIYKLSPAVVEEKDADEEDEKSKQTKRKRKGRVGRSRNATVTVRSSRRRRRGQRMDLGKRVEMRRREEEEGGGGAGKVEDEERGFEEMLLREHAVSTDMGSLDWKRMKIPPVLTSAQSIRLFRIMQPMKAILEMKENLENEVQSEPNDAQLAEAMNMSVLQMRRHLEVGRAARNKLIKHNLRLVLYTINKYYPDMSNDERFDDICQAGANGLITAIDRFEPKRGFRISTYALFWIRHSIVRAITLSNFTRFPFAMESERQEIHRAREELAFELGRAPTEEEVMKKVGLSPARYRDVVRMTRPTYSLHARNRVTQEELINEVTDDDAIGVDTSSHNTLLRLAIDDLLDSLKPKESVVIRQRFGLDGRGKRTLSEIAGNLNISREMVRKYELKALMKLKHPTRVEYLRRYM >ORGLA05G0239000.1 pep chromosome:AGI1.1:5:22729585:22732265:1 gene:ORGLA05G0239000 transcript:ORGLA05G0239000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGGSPLLRSPSPVMNTMPTPPSAAVFDVEAASGARRLGIKPAADAGAAFVLESKGKWWHAGFHLTTAIVGPTVLTLPYALRGMGWALGLVALTAVAAVTFYAYYLMSRVLDHCEAHGRRHIRFRELAADVLGSGWVFYLVVTVQTAINAGITIGSILLAADCLQIMYSDLAPNGPLTLYHFIIVVAVVLSLLSQLPSFHSLRYINLGSLLLSFGYTILVSAACIRAGALSDVPEKDYSLSSSNSEKTFNAFLSISILASVFGNGILPEIQATLAPPAAGKMMKALVLCYTVVLFTFYLPAITGYWAFGSQVQSNVLQSLMPDKGPSLAPTWLLGLAVVLVLLQLLAIALVYSQVAYEIMEKSSADAARGRFSRRNVAPRVALRTAYVAACAFVAAMLPFFGDIVGVVGAVGFIPLDFVLPVVMYNMALAPPRRSPVYLANVAIMVVFTGVGLIGAVASVRKLVLDAGQFKLFSGNVVD >ORGLA05G0238900.1 pep chromosome:AGI1.1:5:22721954:22727109:1 gene:ORGLA05G0238900 transcript:ORGLA05G0238900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAKEMKYRRRARVPEPFDYGQCGSDRSGVLDWGALKENPVELLRKLDELRDHITRSCEITDQPRERHRMSRRTASLRPSHAEPPPPPPPPLGRGPEHYRSRYTGRYGSGFPHSPNDQLHRSMHRDRYERQPSGRFRQWPERQWENSGYLGGNHHQSTCQCAQCLHGQRAVMQEEHIPMTRYFAGQQGSHLFDRSPSVSSELDRRSVASSLYSHFSVSKRRTEFFRKKAESFCRPVRGAAPFVVCSSCNQLLQLPPGKCTARKQIQVRCGSCSEIVSFKLKEVKIHPLVAPTSFPASKTVGSSSRQVNKSFGWYQHQDEGNSSFHKLQAQERWQQNKDLADNISVSSTSSYDRIDKECGSNRSSQLLSVSVRRSRLANIPKDILCQGDAYSQVETSAFNTGNLQAPVIEDKCVDPFSSRLKDCSGGDRTSKECSLNIMADSVDANVRDERSDVTYEQNSKDHKEGFGEETVSSRHEQKLKESTSGFCDDGSMGNIDKLRADNDDTSSLEDGDVSKKYEEKIKQDDNNFQSEFITERYSKCSKEDNNSVIQVETIATICKQDDLDDCYSELLSPNSEHAIMPSKLESSVNERTNSSSHVSSEAELDEVQSAATKNGDSKFFAGFLKKGLKDISLFNQSVDSAKVSINGHSISERVLRKAEKKSGPVGPGSYWYDYRAGFWGVMGHECSGIIPPFIKEFNYPMPKNCAGGNTGVIVNGRELHQKDFELLAGRGLPRISGKSYSVEINGNVIDETTGKKLRKLGKLAPTVEKLKRGFGMHVPEEKS >ORGLA05G0238800.1 pep chromosome:AGI1.1:5:22716627:22719266:-1 gene:ORGLA05G0238800 transcript:ORGLA05G0238800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24140) TAIR;Acc:AT3G24140] KPPPPPRPSGRVLSRRARRRRSSVLAWRHRRCFGGLILQTWKKNHTFPVQQRSHLERFAALDEAPPPAPEETVVTGGEMVDYMLGQPPPTTPGPQSQVSFDKLTFSDVLQFADFGPKLALNQPAASDNGGGGGDDGDDDDDSYFLRFQSLPSLPAVPPPRGGAGAAHQVVDEQEGSKQTVDAGGVSESTTLVQQADGGGGRAEKAGEQGKSGRRKRPRTVKTSEEVESQRMTHIAVERNRRRQMNEYLRVLRSLMPGSYVQRGDQASIIGGAIEFIRELEQLIQCLESQKRRRLYGGDAPAPPARPVADGAVLPAPMQQPPPATPPFFPPSIPFPASSGAGDGTGAGVAKVALDLDASGGGEVGGGVREEMAENKSCVADIEVRVVGVDAMIKILSRRRPGQLIKTVAALEEMHMSILHTNITTIDQTVLYSFNVKIAGDARFSAEDIAGAVHQILSFIDVNYTL >ORGLA05G0238700.1 pep chromosome:AGI1.1:5:22711040:22713676:1 gene:ORGLA05G0238700 transcript:ORGLA05G0238700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive GH3 family protein [Source:Projected from Arabidopsis thaliana (AT2G46370) TAIR;Acc:AT2G46370] MTICSCEETINEFEMLTRDAARVQKDTLKKILEINASAEYLQNFGLGGRTDAESYKSCIPLCVHNDIEPYIQRIVDGDTSPVVTGEPITNLSLSSGTTHGKPKFIPFNDELLETTLQIYRTSYAFRNREYPIGQGKALQFVYGSKQVITKGGILATTATTNLYRRQRYKEGMKDIQSQCCSPDEVIFGPDFHQSLYCHLLCGLIYSEEVHSVFSTFAHSLVHAFQTFEEVWEDLCTDIRDGVLSKKVTAPSIREAVSKILKPNPELADSIYKKCIGLSNWYGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGNLPLISADYGASEGWVGSNIDPTVPPEQVTYAVLPQVGYFEFIPLEKPIGEETENSASIHYIESDPVGLTEVEVGKIYEVVITNFAGLYRYRLGDVVKIARFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEASKFLEGEKLEVVDFTSFVERSSDPGRYVIFWELSGDASDEVLSSCANALDLAFIDAGYTGSRKIKTIGPLELRILRKGTFKEILDHFLSLGGAVSQFKTPRFVNPSNSKVLQILSRNVTQSYFSTAYGF >ORGLA05G0238600.1 pep chromosome:AGI1.1:5:22675661:22678428:-1 gene:ORGLA05G0238600 transcript:ORGLA05G0238600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenine nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT4G01100) TAIR;Acc:AT4G01100] SRTAVAPLERMKILLQVQNPHSIKYNGTIQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASSGILWLYRQQTGNEDAQLSPLLRLGAGACAGIIAMSATYPMDMVRGRITVQMQTEKSPYQYRGMFHALGSVYREEGFRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLLQTNPYDLGKDNELHVVTRLGCGAVAGTIGQTVAYPLDVIRRRMQMVGWNNAASIVTGEGKEALQYNGMIDAFRKTVRYEGVGALYKGLVPNSVKVVPSIAIAFVTYEFVQKVLGVEMRISD >ORGLA05G0238500.1 pep chromosome:AGI1.1:5:22672982:22675090:1 gene:ORGLA05G0238500 transcript:ORGLA05G0238500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQVGEAIGGVNALMAFHDDLRCINPRQCALLAHAYALAFRAVAGELRARLRFHDRLTKWKPLDDPLRELHRVVRDGEAYIRHCLLLDPAHWWARAAAATHGTECVEHHLHNLLWCVSVVVEAVENVGEVTGSDPDELARRRLALARDYDKDLLDPKLFRERLGETFLATHELAARMDMAWKEDRWLLFQLLDERKGPTSSPEPPLTRQEHRLADLLAAPRGKLHPASVLLMSDFHMRRRLGGNGNLKEVQWLGEAFAVKHVVGVDAEAAAAEVAALASVSPHPNVAHCRYCFHDEEKRELYMVMDQLMSKDLGSYVKEVNSAKRRAPLPLVVVVDTMLQIACGMAHLHSNKMYHGNLNPSNVLVKPRHGDAYLHVKVAGFVSGSGTANATNPCIWCAPEVVGNEAAATEKGDVYSFGMICFELITGKIPFEDNHLQGENMSKNIRAGERPLFPFQSPKYLTSLTRRCWHGEAAQRPPFHSICRVLRYVKRFLVMNNPEQAAADAAGAGPAVDYLDMEAQLLRRFPEWEGNGVADVPFEMYAYRVMERDKMSNACRDRSSDSGSDGNSLWGDDSASGGSSTTATDASASSRPLLDRSGSTRSSPPPPRRKVAIAAAKAGKCRSGIVTRLKPSSKITASSMSVTCAGPPQKSRSMGTVRPPPVVARRTPRIKSDGHLNRAAIPPTRRRKSGGNASDSELA >ORGLA05G0238400.1 pep chromosome:AGI1.1:5:22663998:22664675:1 gene:ORGLA05G0238400 transcript:ORGLA05G0238400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRFLFQEFSSVLSRSMPNRPTSAEGGGVSAINNVFNGALRRFSTVIPNNHGLPVSQAKCLNSIQSPNAATKANHPTIGVVPFASRTFFSYTKPYHLPSLGETNYLDYAAADFKA >ORGLA05G0238300.1 pep chromosome:AGI1.1:5:22658118:22661478:-1 gene:ORGLA05G0238300 transcript:ORGLA05G0238300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARASRHRQSPDQSQSQSPSPHHKHHHHHQTTRAPKPKPKPQPPPPPQPQQPRSQPPPPPRHQPQQAAAEDGVGRVLGRPMEDVRATYTFGRELGRGQFGVTYLATHKPTGRRYACKSIAARKLARPDDLDDVRREVHIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAALCREIVSVVHSCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRLYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLQGHIDFSSEPWPSISSGAKDLVKRMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRAGLPKLGTKISESELRQLMEAADVDGNGSIDYVEFISATMHMNRLEKEDHIYKAFEYFDKDHSGFITVDELEEALTKYDMGDEATIKEIIAEVDTDHDGRINYQEFVAMMKNNSPEIVPNRRRMF >ORGLA05G0238200.1 pep chromosome:AGI1.1:5:22654892:22657199:1 gene:ORGLA05G0238200 transcript:ORGLA05G0238200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRPSPAAGGWAGVAGVGPTNVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLENLKDYAVRAVVNAVDHLGTVAYKLTDLFEQQASEVSTVELKVARLNQQILTCQIFTDRAGLRQQKIGGTTFKHHKHYILPSTGHKRTQAARLQTDNGQDSKPKPYPSAKTLSWHLSSENSISTTGAQKYTFTLGDTISSKPASNGSMYLLGKDIPASPMHKPLQPNGNTSFDAKKNVGSKDQPGFMHISTFNALDKPRGREIQKVPVSTKSMLATLFIKHKSAKTRKASVR >ORGLA05G0238100.1 pep chromosome:AGI1.1:5:22643130:22643520:-1 gene:ORGLA05G0238100 transcript:ORGLA05G0238100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTWPVGTASGIGAIAGGAATDWIGASSSMDGFRRIKSDGRGRLSRWPIDV >ORGLA05G0238000.1 pep chromosome:AGI1.1:5:22641218:22642351:1 gene:ORGLA05G0238000 transcript:ORGLA05G0238000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGVSSQAMLWKTLASEPSTAFLHISLDVNHVLWWVSVALMALVSAIYLLKVVFYFEAVRREFHHPIRVNFFFAPWIACLFLVKGLPRQVWTIHHVVWFLLMAPILLLDLKIYGQWMSGGERRLSKVANPSNHLAIVGNFVGALLGARMGLREGPIFFLAVGLVHYIVLFVTLYQRLPTNVQLPKELHPVFFLFIAAPSVASMAWARLTGEFDFGARIAYFVALFLYMSLAVRVNMFRGFRFSLAWWAYTFPMTSAAIATVLYASEVTNVATRAMAVGLSGIATVTVTGVLVTTMYHAFVRRDLFPNDVSIAITRRKPKFSKILAHLRSSGTDVKELVFSVSSKNGADDSASVSKASNCSSGDQSPVPHAGAGRGR >ORGLA05G0237900.1 pep chromosome:AGI1.1:5:22616650:22621532:-1 gene:ORGLA05G0237900 transcript:ORGLA05G0237900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRNLFVSALSVGVGVGLGLASARWAAPGSGEGGGGGGGAGIGVAELEAELRRLVVDGREGDVTFDEFRYYLSERTKEVLISAAFVHLKQADLSKHIRNLCAASRAILLSGPTEPYLQSLARALSHYYKAQLLILDVTDFSLRIQSKYGSSSKGLAQSQSISETTFGRMSDLIGSFTIFPKSAEPRESLQRQTSSADVRSRGSEASSNAPPLRKNASMSSDMSDVSSQCSAHSVSARRTSSWCFDEKVLIQSLYKVMVSVAENNPVILYIRDVDQLLHRSQRTYSLFQKMLAKLTGQVLILGSRLLDSDSDHTDVDERVSSLFPFHVDIKPPEEETHLDSWKTQMEEDTKKIQIQDNRNHIIEVLSANDLDCDDLSSICQADTMVLSNYIEEIIVSAVSYHMIHNKDPEYKNGKLVLSSKSLSHGLSIFQESGFGGKETLKLEDDLKGATGPKKSETEKSATVPLKDGDGPLPPPKPEIPDNEFEKRIRPEVIPASEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFVDEVDSMLGQRARCGEHEAMRKIKNEFMSHWDGLLSKSGERILVLAATNRPFDLDEAIIRRFERRIMVGLPTLDSRELILRTLLSKEKVAEDIDYKELATMTEGYSGSDLKNLCVTAAYRPVRELLKREREKEMERRANEAKEKAATAENSESPESKKEKENSENPESKEKEEERKENSENKEEKTENKQDNSKAEGGTEATIDLRPLTMEDLRQAKNQVAASFATEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >ORGLA05G0237800.1 pep chromosome:AGI1.1:5:22609613:22610574:-1 gene:ORGLA05G0237800 transcript:ORGLA05G0237800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFRLLAARRRAVSTITAAAAAAPAASSDAGRDSEDEGPFFDLDFSSVRGASSSSGSMSGSDDSDDDCTDLDFIISLHRSRSASPSYDTLFFAAAASEPSTKASFQPSHHFCAKRRGGGGGGGLRTLSFGAKKAAFYGGRHSFARSSCSARSLRLFMESPADDDGEEVDEPRRAPPSRDVIRRYLTKISRRFRRTAPRAAGEARGLRLLRKSRSASAATSLSASSSAPSGRDDSLLEKQDGIASAIAHCKESLHRASVSECDSSLSRSRSDPGKREADQSCH >ORGLA05G0237700.1 pep chromosome:AGI1.1:5:22602629:22603372:1 gene:ORGLA05G0237700 transcript:ORGLA05G0237700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEPKKPKAKDHVDLVFDAAKVKAKAKAKAIDGDADVEIAKPAEQHGHGSRLLRRACCALGVVAAVAAVAMLVLSLTVLKVRDPTLSMDSVTVERFHVGFGTEASRPLRINVTLVAGIVIKNPNYESMRFGTSTTEFYVDGVPEYVGLGSAPPGEVAARGTSRVRVGMDVFVDRVGAAVVGEVLFGRGEVRLASHTAVDGRVSVLGGLYGRRAVRVAMRCRVVLRVSTAVVVAGSPSCVADFSGH >ORGLA05G0237600.1 pep chromosome:AGI1.1:5:22600903:22601470:-1 gene:ORGLA05G0237600 transcript:ORGLA05G0237600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMDKAKGFVAEKIAHIPKPEASLDSLSFKGMSRECITVHSNVNVSNPYDHRLPICELTYTLKCAGNVVASGTMPDPGWIAASDTTKLEIPAKIPYDFLISLVKDVGRDWDIDYQLDVGLTIDLPIVGNFTIPLSTSGEMKLPTLKDMF >ORGLA05G0237500.1 pep chromosome:AGI1.1:5:22595897:22597120:1 gene:ORGLA05G0237500 transcript:ORGLA05G0237500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITHASSLSRFHPLLKKLIMEYSNDWDLQALVRSCGTAVADSEPEPPAAPSTTRRAEAETVVVGRAGGVPEFVGQPVRSAAASFYDLEYLDLYHELPRAPFLVTAPSTSRERGEGGEHEVLISFPAIASTSGQGRKQPGRKPGVRTARPKRSKKSQLKKVVCEVPVADGGVSTDLWAWRKYGQKPIKGSPYPRGYYKCSSLKACMARKMVERSPEKPGVLVITYIAEHCHAVPTQLNSLAGTTRNNKPASPDHQQQQQPSPGGASTDEAAAAAAAKTEDSADTTCSMADDENDLWAPVEMDMDDFFGPFDDDLDHFLDDDAVLGRRLSL >ORGLA05G0237400.1 pep chromosome:AGI1.1:5:22589753:22591192:-1 gene:ORGLA05G0237400 transcript:ORGLA05G0237400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G11690) TAIR;Acc:AT4G11690] MWGTTAAAVRRLCAAGDVRSALAMLARGTKSGDAALDVTACTALVNGCCKGGDVAEARRVFDEMPLLGLAPNEVTYTALMHGYFTHGQREKGFALFEEMRRGGVEPNLYTYNCLIGEWCRTGEFERARSLFDEMPVRGIVRNVVSYNTLIAGLCRHGKLWDAAKLLDMMRTEGTRPSIITFNLLVDGYGKAGKMSNALHFFNQMKAAGFQPSAVTYNMLIAGFCRARDMTRANRALSDMKERGLEPTKVTYTILIDSFARENHMDKAFEILAGMEKAGLEVDAHTYGVLVRALCMEGNMKDARKLFQSMGEKGVEPSNVIYDMMIYGYGREGSSYKALKLIMEMRQKGLIPNSASYGLTIRVLCKDDKCQEAEALLDDMETVRSFAGHHQNFIASKSDSQQKDGR >ORGLA05G0237300.1 pep chromosome:AGI1.1:5:22574719:22575825:1 gene:ORGLA05G0237300 transcript:ORGLA05G0237300.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAATTTICKHNERNPELVGTLVHCVRHGHEQGQAPWRRRRRPAEAAAVADPTPLFLHHHIGHGIRHHCRRGGRERRRRAPSTASRRRQRRPSAEAQDRWHCPASAPAQRAGSCSHLHRLLRPHQRPLCRRRGHAIAGGAGQGREGCHQEQGSRWEAAVAVVQLLVLHRHRRRAPAVQQQRRGGRRGGGDEVVDALLLPQHLLRLHLRLLQQHRRRVKAPPQEPTSPRASPRAAERRQCRRREAARRQQGRREESRRQARRRRRRRGRGGQHGGGEAVAQPVRRLPELDGGDGGGAAHLRRRRHGRPPDVVPVAQLKAPPPGHPRRLRGRLGSRLRHALIDRSIDLHPCNKCSVQLVLPSIDCSPEHVT >ORGLA05G0237200.1 pep chromosome:AGI1.1:5:22561644:22569089:1 gene:ORGLA05G0237200 transcript:ORGLA05G0237200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain;Phox-like;Sorting nexin, C-terminal [Source:Projected from Arabidopsis thaliana (AT1G15240) TAIR;Acc:AT1G15240] MATAGGPGRAHTVRDLAEEGKKRAVLLLVFAFGLAFLMSLTSSSVWINLPFATALIVLFRYISLDYDFRRKSTTTTDNDASRALTKTKSIEPNKIPSIQKDGKSDWKSKVNSPPVEAAIEQFTRHLVTEWVTDLWYSRVTPDKEGPEELINIVNNVLGEISVRARNVNLIALLTRDLIDLICKNLELYHLCQAKIGKEKFVNLSTERRDAELKLTLITENKLHPALFSASAEHKILQSLADGLISVTAKPQDLQCYFFRCTARELLACAVMRPVVNLANPRFINERIELLALSHANKAERGVAESLEHATMVKQREPPMPTVDELAALIDPTSSGVELVRFSQDQSKAAPDTQLSNTRHPSNLKPNSSSTSLTNSSHPLESSILSSTTHGHSNSSMSLHSQSSGRTTAECYEGEWAQTMDISSQRKSQALAPEHLENMWTKGKNYKSENVKHVARVPSKSSSLGTSPVQQSAPYSTSVGHYPSAPQRQTTMSRSDDQHLIKHSTTAAYLNGTNHLRMALSRESADHASQEDFGVDSESSYATEEDENNNVTGLDSPVTRVWDSKSKGNATSSHIHHPLESPGFHKAKKNRSHIGKLKMTKTSGRKRSRSNSQKPPVWLEVERSSLLMGDDLDILNTSADDSRTDGLYDDTEVESMSRMFSGANASSLSLASTDSSYSSNYSTTNVLEDSYLKLKCEVVGASIVKSGSGMFAVYSVSVTDANGNSWSIKRRFRHFEELHRRLKEYSQYNLHLPPKHFLSSGLEVPVVRERCKLLDIYLKKLLQIPTVSSCIEVWDFLSVDSQTYIFTDTLSVIQTLSVNLDERSKEKNTKSFNSSQALNGNLVSASQSLHVHKDDTMPKEKDKDFDAVDGLRSRKRNTEQNLGIGVGNTNANLHEDLSGSDPEQNEHSFIINSGNSKKTLSSETDYPPQSLESDGYSVAPNDWMAPNLSVPLFHLVDVVFQLQDGGWIRRQAFWIVKQILQLGMGDTFDDWLVEKIQLLRKGRIVAFAVKRVEQILWPDGIFLTKHPKRKAATPPPGSQSNGMANYLTDEQRLEDAHRANFVHELMIEKAPSALVSLVGRKEYERCAQDVYFFLQSPVCLKQLAFEVLELLVLAAFPELDDIVKKWHEDKQQLYVLE >ORGLA05G0237100.1 pep chromosome:AGI1.1:5:22558451:22558705:1 gene:ORGLA05G0237100 transcript:ORGLA05G0237100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAQGPLRARTKPAGRRGGAPPPAAEDPSRAAAAARRSVRKWSTWTMKTAKVAAYYGFIPLVIVIGMNSDPKPSIGQLLSPL >ORGLA05G0237000.1 pep chromosome:AGI1.1:5:22555069:22557324:1 gene:ORGLA05G0237000 transcript:ORGLA05G0237000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPSGSDDAMVHASEMVDGDEMIHGNEMVVHDSVMIDGNEMVQENVMVLGSGEMVQGSEMVHNNEIIQVNDMIQVNEMVNGDKMAHGHELVGVELTTPTASRRRRKKSVVWEHFTIEEMPGGVSRASCNLCKQTFAYSCGSKISGTSHLKRHITLASCPMLKNEDMKLSLPLATVTNNDGEGCAERVAKRHYRSTGYANAMFDQDCTCSNLAKMIILHDYPLHIVEQRGFTAFIGSLQPRFRVIDVDTIEGQVHSVYQKERENLMHVFSTVPGRISLTVRLWATSQTLGYISLAAQFIDTEWRVHRRMVNFMMVSSPHSENSLSEAISTSLSDWNMKDKLFTITLDNDPSSHDIYSANMINYLSNKDNIMIKGQLFVVRCYAHILNTVAQDVIASVHSVIYNIRESIKFIKASSVHEDKFAEIALQLEIPSAKTLCLDVTTQWNTTYLMLLAALDYQQVFASLETCDGDYNEAPSTEDWKKVEAACSYLSLLYDSAHNIMAAPNPTSNIFFHEAWKLQSELSNAIAHEDPIFRSTAKIMHERFDKYWKDCNLVLAIAVVMDPRFKMKLVEFSYSKIHSVEASKYVKVVDDAIHELYSEYATQGEANRDAHVTDNSAAVTPPNGDELLDFDIYLSEIATSQPSISELEQYLEEALMPRIQDFEILEWWKLNTIKFPTLSKMARDVLAIPMSVVSSGSSIFSATATGSQMLDDYRSSLRPETVEALFCAKDWLQYPPATTEAPSTALVKMEN >ORGLA05G0236900.1 pep chromosome:AGI1.1:5:22551691:22552014:1 gene:ORGLA05G0236900 transcript:ORGLA05G0236900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KYTNAGAHMFVTMLLTVVFTAVVALALVNAVNSHDFAAYLASVDCCMGLAGPVRCLASGFVELLVPALHVVGCVLAILDRLHACLMSPSLQLSIASPCTRAGGSAAK >ORGLA05G0236800.1 pep chromosome:AGI1.1:5:22546042:22548210:1 gene:ORGLA05G0236800 transcript:ORGLA05G0236800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETGNDNQVVQGNEIVPSNEEAQAEEVQGDELVPAEDLTQGDEVQGNELVSAEISTPPTLRRRRKKSLVWEHFTIEAVSGGATRACCKLCKQTFAYSSGSKIAGTSHLKRHITLGSCPKIKNQEHKLALTPAGGTDNDGEGTVERPSKRRYRYTGYANAAFDQDRSCSYLAKMIILHDYPLHIVQQPAFTTFIDSLQPRFRVVDVETMEGEVYAVYQKEKENLTQAFSTMPGRISLTIGLWTTSQTLGYVSLAGQFIDSEWKIHRRMLNFMMVSSPHSENALSEAISASLSDWNMKDKLFTITLDNDCSSHDIYSANLRDYLSNKNNLMLKGQLFVVRCYAHILNAVAQDVIASIHGVIYNIRESIKFIKASPTREEKFAEIALQLEIPSTKTLCLDVTTQWNTTYLMLLAALDYKQAFSTLETSDDNYNEAPSAEDWKKVEAACNYLKLLYDSAHSIMAAANPTSNLFFHEAWKLQLELSNATGHEDPVFSSIAKDMHERFDKYWKDCNLVLAIAVVMDPRFKMKLVEFSYSKIYGVEAAKYVKVVDDAVHELYKEYVAQPLPLTPAYVEQGEGNNAPASENSTQTTAPSTGDGLVDFDMYLSEIATSQPTKSELEQYLDESLTPRIQEFDILNWWKLNTLKFPTLSKMARDILAIPMSMVSSGNSIFSAGTGTRMLDDYRSSLRPEIVEALFCAKDWLQYSPATPEAPSTTLVKVDAP >ORGLA05G0236700.1 pep chromosome:AGI1.1:5:22536117:22537989:-1 gene:ORGLA05G0236700 transcript:ORGLA05G0236700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGGGGHGLYEDHPAAAGFLPFDHDDDVVASFFFGRSAASGGGAGAGAGAGDDDGVGLITPYSSITDYLQGFLQDPVYASSPLGGDAAVKHETVVDHPSQAGGVAAAPATPNSSVLSSSSEAAGGDDLRRCKKGRRPEDDEEEEIDDEGSAVQSCKTNKMKNKKGAKKEREPRVAFMTKSEVDHLEDGYRWRKYGQKTVKNSSYPRSYYRCTAPRCGVKKRVERSEQDPSMVITTYEGQHTHPSPVSYHMHRQQGLMHVSARGVMPGAAGAYQFGAPPPPLLGFDEALAARVRMTMNQQQQQQLGFVPSIHAAAARPTMPPLHLYTSQLLMTK >ORGLA05G0236600.1 pep chromosome:AGI1.1:5:22533162:22534490:1 gene:ORGLA05G0236600 transcript:ORGLA05G0236600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1PYB8] MAPPLLLVSLLLVGFVSARAITPSAEAAAVFPKEALPTNSGYLPITTTNASLFFAYYEATHPLTPPASTPLLLWLQGGPGCSGLAGNFFELGPYFVNRDALSLSPNPFSWNRRFGLLFIDNPLGTGFSAAPSPAAIPTNQSVVAAHLFAALQSFFALQPGSRSRPFFLTGESYAGKYIPAAGSYILAVNPTLPTRLRVNLHGVAIGNGLTHPVAQVATHADTAYFMGLINAKQKRELEALQARAVELTNAARWSEAADARGLVLSWLENATGLATLFDAAKQRPYETGPVGKFVNRAEVKAALGARGDVEWEECSDAVGAAMHGDVMKSVKPEVEALLRGTRVLLYQGIRDLRDGVVSTEAWMRELEWDGLPAFLDADRAVWRIGEELAGYVQRSGPLSHVVVYGAGHLMPADNGRAAQEMIEDWVLQAGLFGRHGGMKRAA >ORGLA05G0236500.1 pep chromosome:AGI1.1:5:22529242:22530597:1 gene:ORGLA05G0236500 transcript:ORGLA05G0236500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1PYB7] MAPPSRPFYLVHVVIFVAVSLSVTAATATAAAVLPRGALPTKSGYLPIPPAANASLYFAFYEATEPVTPPATTPLLVWLEGGPGCSGFLSNFLQIGPYLFAGGSLSPNPFAWNRRFGLLFIDSPLGTGFSVAPSPAAIPTNQSVVADHVLAALQSFLSLEPSFRARPLYLTGESYAGKTIPAAGALIVATNPTLPEQKRINLRGVAIGNGMTHPVAEVTTHADIAYFMGLINAKQKRAAEAMQAEAVALTREERWREASAARARLMSWLENATGVVTLLDVEVQQSVAVMAAGLADFLSTAEVKAAVGARPDVAWEACSAAVGAAQEEDVMKSAKRDVEALLRRGASPTATATPTRVLLYEGIRDVGNGPVCAEAWLRELEWDGLAAFQDAGRAVWRSGGGLAGYVQRHGALVHVAVYGAGHFVPYSQGRVAQEMIEDWVFRKGLFSAATV >ORGLA05G0236400.1 pep chromosome:AGI1.1:5:22525113:22526453:1 gene:ORGLA05G0236400 transcript:ORGLA05G0236400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1PYB6] MAVAPPSPLSLVFVVLAAVSLSASAAAAPASVLPRGAIPTKSGYLPIPPANASLYFAFYEATEPVTPPATTPLLVWLEGGPGCSGFLSNFLQIGPYLLAGGSLSPNPFAWNRRFGLLFIDSPLGTGFSVAPSPAAIPTNQSVVADHVLVALQSFYSLEPSFRARPLYLTGESYAGKTIPAAGALIVATNPTLPEQKRINLRGVAIGNGMTHPVTQVTTHADIAYFMGLINGKQKREVEAMQARAVELIKAERWSEAYVAREGLLKWMENASGVPSLFDVEVTTSLEAEAAALAPLLNGAEAKAALGARGDAEWKMCSAAVGAAQHEDVMKSVKPEVEALLRRGTTPTRVLLYGGIRDVKDGPVSTEAWVRELEWDGLAAFQDADRAVWRHRGGELAGSVQRHGALVNVAVYGAGHFVPFSQGRAAQEMIEDWVFGKGLFGASGGKA >ORGLA05G0236300.1 pep chromosome:AGI1.1:5:22519116:22524384:1 gene:ORGLA05G0236300 transcript:ORGLA05G0236300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PYB5] MQQEQRKKSSAEEFFTEYGDANRYRIQEVIGKGSYGVVCSAIDLHTRQKVAIKKVHNIFEHVSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTASVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKDPVPFSQKFPNADPLALKLLQRLLAFDPKDRPTAEEALTDPYFKGLSKIDREPSCQPIRKLEFEFEQKKLSKEDIRELIFQEILEYHPQLQKNYRNGTERATFLYPSAVDQFKKQFSNLEESNGSGSAIPMERKHASLPRSTTVHSTPIPPKEQPLAASLKSSRPVSDEPCKNPWVMGGFSGNIPTSSQVSQVAKPVAPGRPVGSVFPYETGSTNDPYGPRGPVMSSGYPPQQQISQAYGYHQVPARMNCIEQSQAMDAYKMHSQSQTQAYAYPNSKVTADVALDMRGSTFHHSAGSKNGSLDRMVTQTDIYTRSLNGIVAAATSAGVGTNRKVGAVPISTSRMY >ORGLA05G0236200.1 pep chromosome:AGI1.1:5:22513870:22516624:1 gene:ORGLA05G0236200 transcript:ORGLA05G0236200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSCPRVYMSRKALDFGQLASCRCRWAGRSGMRVAPRRRMPCVCFVASPSQPGLAAVDVPAEAISSATTTTMIPERISVSSLLEVVSDDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLLELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVTLDDYLLKSYYKTASLLASSTRSAAIFSGVSTTICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPKLREIIDSEFSESDSLATAIDLVHRSGGIRRAQELAKEKGDLALQNLQCLPKSQFRSTLENVVKYNLQRID >ORGLA05G0236100.1 pep chromosome:AGI1.1:5:22504558:22504779:-1 gene:ORGLA05G0236100 transcript:ORGLA05G0236100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKEFKFGTIDYVKFLSCTSGFPKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA05G0236000.1 pep chromosome:AGI1.1:5:22496015:22500854:-1 gene:ORGLA05G0236000 transcript:ORGLA05G0236000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTPGQVSFLLGLFPVLIGWIYAEILEYRKSLLYGKVHSDANLENETIKEDDKAVLLEGGQSKSPSTKLRNMSTKANLIRFITMDESFLLENRAVLRAMAEVGIILVYFYICDRTNIFPETKKSYNRDLFLFLYILLIIASALTSLKKHNEKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGSLGLFNKYNEKPSVMAIKIACCFLTVILIWEIPGVFEFLWAPFTFLLGYKDPEPSKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLFIKGAIVTLSLTAGYLWYEYIYRLDKITYNKYHPYTSWIPITVYICLRNCTQQLRSASLALFAWLGKITLETYISQIHIWLRSSTPNGQPKWLLSFVPDYPLLNFMLTTAIYLLLSYRVFEITGVLKGAFIPSRDNNRLYQNFMAGIAISACLYFCSLILVKITIV >ORGLA05G0235900.1 pep chromosome:AGI1.1:5:22493081:22493974:-1 gene:ORGLA05G0235900 transcript:ORGLA05G0235900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant basic secretory protein (BSP) family protein [Source:Projected from Arabidopsis thaliana (AT2G42900) TAIR;Acc:AT2G42900] MEAPLLLPLATASSSSSGATADESSSSPSTASIVARVVAVLAVASVSLFARHEASKGFHVDIVNAAPRDTVAGRRFDLFFVSNGKAERILHYANRGVEAALFPDASFPRKQVTRVTVRMAGHNLTDDDDATVIAAGVAPGEYVISLSPRLMHPASDKAADAVANAVRRAVARMWLWDGRGAAPALVTEAMVDYLASGADAVEASPANDDDDDDAPHCMSARFLGHLERRRGGFVARLNRAMRDRWSDAAMDAALGVPARPVCAAYRAAAAAAALTQQQPPAALAGSTSAGDGSRVAM >ORGLA05G0235800.1 pep chromosome:AGI1.1:5:22486484:22487966:-1 gene:ORGLA05G0235800 transcript:ORGLA05G0235800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWRVHCLGWLLVLLLFSHEAAGGGGAEQVATQAHHDASRRLAAQVAHGTPERDVTSPLATVPVDNPAANPTVTSTTNPAAMPGTQTTPSLANPVAAGGGGGGGGGSWCVASPSASTAALQVALDYACGQGGVDCSAIQSGGGCFNPNTVHDHASFAFNSYYQKNPVQTSCDFAGTAILTSTDPNPFTSRCNSAGSSSCKYPSTSTGASVLNTSTPTNPAFGGYDNSPPGFGNNSPPLYGSMSPPGYNDNIGAAAAMAGSKETLLSLACVVATVSLNLYK >ORGLA05G0235700.1 pep chromosome:AGI1.1:5:22476205:22483914:1 gene:ORGLA05G0235700 transcript:ORGLA05G0235700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGRGGGGGGGGRVPFYAAAAAAEPRAGDAAAIPPASRKLVQGLKGILTDRSEAEIYATLLDCGMDPDVAVERLISQDPFHEVRRKRDKKKEIKAPQETRPRPFYKPAFRGSKTGGDSTGSGKGPTKKETELHSLPKSSVSDSVKESNPTEKISAADHATINDSLILSSGQADAKSTPLQPPSQVKHGWGGMPGRPSMADIVKMGKPQAKPVRSVACNTGMPTIGGSVISNATNHTSKDSQDLVLPSQVNSVATDRIPNGTNEVSPASNDSSIDVLPPREGLEVPESVATVKPGSSTADVYKDAVEEDMDSDKNKEMSASNADGRTSSGPYPASSKEVHSEHTQIATHHNDLIVETEDSQSDGNAFENNRVADSEGNMSATDKQFEQLILHEEKKSKSSEDNPAVIIPDHLQVSNADCAHLTFGSFVSGTLDAPVSLKTANGDEEVAAVSDNHSIDQSDVRIHEYENKDTVAPAADEHVASSTKSDTENVDVAPVQQPELRTANLIDVPNNTMYNNLSTSDYATPSAVQPDSSTHIYLQEHRQLQNISPLSSFMQGNIPNGLLPPALPPLRDFDPAFSLLLTNPPLATMVHGTTSSSMGNATTVSTQPQEIVNPGASSNPQLNQSQPSTSTSIASGPPLPQHLTLHPYAQATLPLGYASMIGYPSLAPSYTYLPPPAFQQPYMNSGLFHQAAAAVPNSSVKYPLPQYKGNVSLASLPQQASLLSSYVGGFGAASSMPGNFALNQSTPSATAAPGFDGTVPAQYKEGNQFVSLQQSENAAMWMHGASSRTMPPLAANALYGYQGQQGHQGGLRQGQLPSQFGAPLAPTQPGLGHEHRNPSDGNLSSAAAAAQANQMWPNSY >ORGLA05G0235600.1 pep chromosome:AGI1.1:5:22469406:22470236:1 gene:ORGLA05G0235600 transcript:ORGLA05G0235600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAALRLRLLYRMLRVGELLALVVFLSWSSSRVPAAAAAVVRLAGSLLLNARFVFVLGNAIVLLLLALSRHDLSISSNHGTTTAAAAAAVSSDSAGAGSTPASTTAPPAASFPLFIVPQPSPPPPHATEAPVVAAPPAPVVPCAPSVAPAAPAAAAAFEDKQAVRVNKARAPRRSRSEKMGSCGAFRRAASPELRRSESDNGRRRRSSVTARDAEVCWGADDAEEFRRTVEAFIAKQTRFHREESMTMTMSIVAGVGHGEVAPAIAGALAVVE >ORGLA05G0235500.1 pep chromosome:AGI1.1:5:22460049:22461953:1 gene:ORGLA05G0235500 transcript:ORGLA05G0235500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47840) TAIR;Acc:AT3G47840] MALFSRLRASSPPLAAADPFVLSLVFKSCAAAADARFLPHAASLHAFAVRSSAVSSVFVATALADVYAKAGCLGLALKVFDEMPHKNVVSWTTLVASLTRAGRRHEALRRFSEMRASGVHCDSYAYAAALTACADAGLLSRGREVHAFCAKLGLDSTPYVANTLATLYARCSDVDRALAAVSRMGTRDVAAWTTVISAYVQTGRAKEAIEAFVRMLREESSVAASPNEYTYAAVIAACADIAWVCLGEQLHAQAARKGFAYARSVANSLVTLYTRAAGCLSAADAVFRESVVKDVVSWSAIISGYAQEGLAEDAFALFREMRHHSGCPRPNEFTLASLLSVCATAASLDAGRQLHTLAVAAGLEHHAMIRSALIDMYGKSGSMLDADIVFSHRVKDDVVSWTAMIVGYAEHGHSKKALELFQEMCHVGLKPDHVTFIGVLNACCHAGEVELGLRYLNEMNQIYGLYPAKEHYGCVVDLLGRAGRINEAEELIGKIAANERDGVVWTSLLRACAARGEEETGKKAAERAMEAEPWGAGAHVAMANLYASKGQWHEAAQERHMMKQKGVVKGAGWSSITVGGEGRRVGVFVASDRTHPQDSAIYRMLELIYFGTGMARYVPDQLDLGSEVDMMISS >ORGLA05G0235400.1 pep chromosome:AGI1.1:5:22455960:22457150:-1 gene:ORGLA05G0235400 transcript:ORGLA05G0235400.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVERRVMLIGGMLQALKDEQHFIRHEFEALQKFTKSELDLKVAKMETVVATMRGLHENSQKECLKNSVLLLGVTAAVFGVLQQQAERPGVEIPTTGKSEA >ORGLA05G0235300.1 pep chromosome:AGI1.1:5:22454248:22455051:1 gene:ORGLA05G0235300 transcript:ORGLA05G0235300.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRLQELLSGGSGDSLWYIYLACCNFHPKVRIGHLKCLTRIQLCMVNITENGLSSLLFISLGLERLELRHCSTIKSLKIPCLQRLSYLEVMTCDGLRVIESKAPNLSSFRFAGDLRVQVSLGETVQIKQIYRLCNDAAFYARTELPSSMPNLERLLIHSDTEMVNTQMLPSKFYHLKYLNIALGGGTYDYLSLAL >ORGLA05G0235200.1 pep chromosome:AGI1.1:5:22448280:22450244:1 gene:ORGLA05G0235200 transcript:ORGLA05G0235200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XNWWWXSMLEIPYSTAVLTLRXRMVXWKEEDLRMVADLEPRSMGMARASRRRTPAERQEVEEVGGQSRRHTTRVIYCSAPHLPVKQSTYQRSQVLLVNFDAWLVXLLVLPRFPSPSAAIIQRHWLGLCYAPPPSSGIN >ORGLA05G0235100.1 pep chromosome:AGI1.1:5:22444049:22444306:-1 gene:ORGLA05G0235100 transcript:ORGLA05G0235100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPGAHAAAAACESPGSEREGREEGMRAAAALLHHTAAGRPLPSLLLLPPLPPQIDGQPSCSPRGCGNDGRLHQRSTAHSGLWR >ORGLA05G0235000.1 pep chromosome:AGI1.1:5:22432808:22439799:1 gene:ORGLA05G0235000 transcript:ORGLA05G0235000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad21/Rec8-like family protein [Source:Projected from Arabidopsis thaliana (AT5G05490) TAIR;Acc:AT5G05490] MFYSHQLLARKAPLGQIWMAATLHSKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVAIVYERKVKALYDDVSRFLIEINEAWRVKPVADPTVLPKGKTQAKYEAVTLPENIMDMDVEQPMLFSEADTTRFRGMRLEDLDDQYINVNLDDDDFSRAENHHQADAENITLADNFGSGLGETDVFNRFERFDITDDDATFNVTPDGHPQVPSNLVPSPPRQEDSPQQQENHHAASSPLHEEAQQGGASVKNEQEQQKMKGQQPAKSSKRKKRRKDDEVMMDNDQIMIPGNVYQTWLKDPSSLITKRHRINSKVNLIRSIKIRDLMDLPLVSLISSLEKSPLEFYYPKELMQLWKECTEVKSPKAPSSGGQQSSSPEQQQRNLPPQAFPTQPQVDNDREMGFHPVDFADDIEKLRGNTSGEYGRDYDAFHSDHSVTPGSPGLSRRSASSSGGSGRGFTQLDPEVQLPSGRSKRQHSSGKSFGNLDPVEEEFPFEQELRDFKMRRLSDVGPTPDLLEEIEPTQTPYEKKSNPIDQVTQSIHSYLKLHFDTPGASQSESLSQLAHGMTTAKAARLFYQACVLATHDFIKVNQLEPYGDILISRGPKM >ORGLA05G0234900.1 pep chromosome:AGI1.1:5:22429784:22430122:-1 gene:ORGLA05G0234900 transcript:ORGLA05G0234900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLSLSLPPPPHTFFRPMPSPVSSTGRRSPTGTAAVLGAISMGVFADGAMWMLHALLQQAVEGKALEENISVTLPPNILLSANLDIVRFGMYRGAFTGVRRPVPSRPHPNPAF >ORGLA05G0234800.1 pep chromosome:AGI1.1:5:22427140:22427454:-1 gene:ORGLA05G0234800 transcript:ORGLA05G0234800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNGGAKRSCRTNARARAAGRPDLTPGGGSGARRSCGCGAGAWAAGWPDLTPGGGARRSCGADAGARAAMETGGVSAPTPGRPGVTPRLLPRSTPLLPSQSME >ORGLA05G0234700.1 pep chromosome:AGI1.1:5:22418179:22421562:-1 gene:ORGLA05G0234700 transcript:ORGLA05G0234700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PY99] MQFSSVFPLEGKACVSPIRRGGEGSASDRLKIGDSSSIKHDRAVRRMCLGYRGTKNGAQCVLTSDAGPDTLHVRTSFRRNFADPNEVAAVILGGGTGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFIMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGTDLEAMKVDTSFLNFAIDDPTKFPYIASMGVYVFKRDVLLNLLKSRYAELHDFGSEILPRALHEHNVQAYVFADYWEDIGTIRSFFDANMALCEQPPKFEFYDPKTPFFTSPRYLPPTKSDKCRIKDAIISHGCFLRECTIEHSIVGVRSRLNSACELKNTMMMGADLYETEDEISRLLSEGKVPIGVGENTKINNCIIDMNARVGRNVVITNSEGVQESDRPEEGYYIRSGIVVILKNATIKDGKVI >ORGLA05G0234600.1 pep chromosome:AGI1.1:5:22410206:22416914:1 gene:ORGLA05G0234600 transcript:ORGLA05G0234600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRRERRHQHHHRKAAAQVQAAPAIAAVGVGAGGNGAAAAAARAAYGDVFGGPPRFAAPFGGAPADYAEVFGGVAATCSIPFLDLPPVPAVGADYGFFGRAGAGDYGEIFGRFDFGDFALPYEELFAEAEAEAVGEIGAEEIASSTGSSSRSSMIKESSQPDAQSFMLPQHFKEHESSVISFPPDNQQFVMSYNKTTQRSDDLVEMTTDPSMDYVVDSCEFPHVPATNHVATMDSGIEANGEKRKKSTTTSASVSLRSSESDFTVDQKQHIPAYPPISEKVSANENHKNSNSISTSSNGTPSPDYAFLRVSDVNVQTQTVKPPPPLKQTSKLLKRREILAKGDVHLENHSCPPASSAHAPSNTSTSQAERRDDTALFNNEANPSSAAAAMKEAMEYAEARLRAAKELMERKGDSFKLRKKPSHHRSTRSTEIKVPTESDTFYENLSVKKSTKEEMNSEDSLLDKHQKASAVRTDHCDDSGKRALSLEKPQQMQSCTAPNQTSSKLGKLGNWTSGDEFYELTGEDQKQKTDAAVGEEDKCEVTNPVTKLSKEQKCEVTAADSDLERYEKLWEVNDGRDAGVKHVNPREDNTSPMGKDRVSMILEASTENIDHEKIYNSHFEGPKVVETSNESHDGEDGAVEIPCKSGITISEPNLMKDMHGSFMEASSPGEYVADFGKGTTEESPVAGISLEPKTTKEELEAACDAEMQCTTGDSEKLQESSEVTSIDNSLARQIKSLILEDLEGSSETQAFPGDPDTAGSEAETYGRNLGTTGLETESYGREKFSFVEESFMHNANRNVTESPVETPIPEQVENVEIEDRVGSCAHSEESTVDKDSECPEEGSDITSQNNNLPDHEDSTMLNVFEVASKLIKRDLDQEKQDTLQPGEVETRTVLDSNDKDTKENPSENSNTIGSEEVLSHGNQEDQKVPEMDKTKGRSDANAQVKLSGVNFYEDGDVTSAANNVTTRLTTNSKDQASSSSEMLTGRQHLPQDAGPAISQTSNGTFPSLEKTEEVCKEAGRELPTDKSAAFEDENSRACKSKAELKQQQFHSEKSSSLPKSAEGHIPSSADISRKETPGVQRLKEQGSLRTEREREKDKEASRRLEETKERDKKFEKEREIAEERERKKLEEQEREREREKDRLAVERATREAHERAFAEARERAEKIALERVTAARQRASAEAREKEERASTEAAAERAARIKAERAAVERATAEARERAIEKAKAEKAAAEARERRERYRSSFKESFKSSNLDNRQDTQFQRAVSSNLMRNPDSYSKGLEVESALRHKARLERHQRTAERVTKALAEKNMRDLLAQREQAEKHRLSEYLDPEIKRWSNGKEGNLRALLSTLQYILGADSGWQPVPLTELITAAAVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKDAWNKFTSEER >ORGLA05G0234500.1 pep chromosome:AGI1.1:5:22400605:22403197:1 gene:ORGLA05G0234500 transcript:ORGLA05G0234500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:anaphase promoting complex 10 [Source:Projected from Arabidopsis thaliana (AT2G18290) TAIR;Acc:AT2G18290] MESDGEEEAAATPGAGGAPAAGRLKGCPELMVDDDMREMAKTAAWSVSSCKPGNGVASLRDDNLDTYWQSDGAQPHLVNIQFQKKVQLQLVVVYVDFKLDESYTPSKISVRAGDGFHNLKEIKTVELSKPVGWVHISLSGADPRETFIHTFMLQISVLSNHLNGRDTHIRQIKIYGPRPNHVPHQPFHFTSREFVTYSTVR >ORGLA05G0234400.1 pep chromosome:AGI1.1:5:22395396:22395737:-1 gene:ORGLA05G0234400 transcript:ORGLA05G0234400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGSKQQQAMMSLPSSRGGGGGGWTQRQNKQFECALAVYDKETPDRWHNIARYMGGAKSADEVRRHFDHLVEDVARIESGRVPFPRYSSSSSSRGADDGNRLLTVFHLSSV >ORGLA05G0234300.1 pep chromosome:AGI1.1:5:22390837:22391440:-1 gene:ORGLA05G0234300 transcript:ORGLA05G0234300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQARAQWPQKQNKLFEQALAVYDKETPDRWHNIARAVGGGKSAEDVKRYYEMLEEDIKHIESGKVPFPAYRCPAAAGYQAERLKHLKI >ORGLA05G0234200.1 pep chromosome:AGI1.1:5:22383812:22384452:1 gene:ORGLA05G0234200 transcript:ORGLA05G0234200.1 gene_biotype:protein_coding transcript_biotype:protein_coding YARRDGHHTHDATDRCVVADRFEVDPWRRVGGEQDLQSGGGAVRLSFETHHGGGVAPSPEFAACAEIMVLLLLQRGELLVHYDRPSHHHRRHFPTPQPVEAAAAVEVGWGFQVLS >ORGLA05G0234100.1 pep chromosome:AGI1.1:5:22380876:22381640:1 gene:ORGLA05G0234100 transcript:ORGLA05G0234100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRGHDEPVDEMGVAYGRTPPSSSSSPAASASAGNGAGAAEVRYHECLRNHAAAMGGHVVDGCGEFMPMPGDAADALKCAACGCHRSFHRKDDGQQQQQLRLLIPSPPTPRVPLLMPPPPQPHPHPQHPYLHPPFPYHHTPSGSGGTTTESSSEERGPPSSSAAAAQGRRKRFRTKFTPEQKEQMLAFAERVGWRMQKQDEALVEQFCAQVGVRRQVFKVWMHNNKSSIGSSGGGGSRRQPQEQQSQQQQQQQ >ORGLA05G0234000.1 pep chromosome:AGI1.1:5:22375078:22377024:1 gene:ORGLA05G0234000 transcript:ORGLA05G0234000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTENYDPCYPDQPVVHRYLPVWAKLPAFAAKPAFVWADDGDTAMSYATLTYSQLDAAVERMSSGLLGALRRADTVLVLASPGLRLVKLLFACQRAGLTAVPIIPPDPSRPGAGGAAHSHLLRAVSQTRPRAAVADARYIDAIKKSNAVVDVAGEPDRLAAMLRSLRWLSVDELEQGGANGAAPVTPFVGGEPEDAYLIQYTSGATGAQKPVVITAGAAAHNARAARRAYELHPGSVVVSWLPQYHDCGLMFLLLTVVAGATCVLASPDAFVRRPRLWLELVTEFRATCTPVPSFALPLVLRRGRSPDGRRRRPLELGSLENLILINEPIYKSCVDEFVAEFRGDGLLPASISPSYGLAENCTFVSTAWRSRRCVDLPSYKKLLPSARLSFHMADEEPEIEIIMVDEETGEPVEDGVEGEIWVSSPSNASGYLGHPSATREVFCATLPGKGSCYVRTGDRGVVVVRGAERYLYVVGRSADVLALDVDGGQRSVCAHYIETAAFGGAPDRLRGGCIAAFATSPVPSTSLVVVVAELLKGSGGSGGGDHKDICEGIKRAVWEEEGVRVGWIVLVDSGVVPKTTSGKLRRGAAREKLLAGKLPILLEARYDGDESSSSVPWDGGEEEMEKCPGMDAAYGSASRRLRLQSFL >ORGLA05G0233900.1 pep chromosome:AGI1.1:5:22371366:22372370:1 gene:ORGLA05G0233900 transcript:ORGLA05G0233900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPNPQQVNSMGDQSPLSPNLHPLSPSPSAAAAAAATPTPAAAAASTRSSRSKKPPHSSDPNQSKKPRLTLTVPGRPLSADGEVAAAIQHLRAADPALATVIDAHDPPAFQCPHRPFHSLVRSILYQQLAFKAAASVYSRFLSLLGGEHNVLPEAVLALTTQDLRQIGVSPRKASYLHDLARKYASGILSDAAVVNMDDRSLAAMLTMVKGIGAWSVHMFMIFSLNRPDVLPAADLGVRKGVQHLYGLDAVPRPSQMEKLCEQWRPYRSVGAWYMWRLIESKAPPPPPAIPVGPPALTEHGDELMLQQQQHQQQQQQSVIQMIDPLQMLPGMG >ORGLA05G0233800.1 pep chromosome:AGI1.1:5:22364265:22368711:-1 gene:ORGLA05G0233800 transcript:ORGLA05G0233800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGEESGGEDGGRTASASDLRKPFLHTGSWYKMSSAGGGGGMGSRLGSSAYSLRDSSVSAVLCTLIVALGPIQFGFTCGFSSPTQDAIISDLGLTLSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGVISYVVPVYIAEIAPQTMRGALGSVNQLSVTIGILLAYLLGMFVPWRILSVLGILPCSILIPGLFFIPESPRWLAKMGKMEDFESSLQVLRGFETDIAVEVNEIKRSVQSSRRRTTIRFADIKQKRYSVPLMIGIGLLVLQQLSGVNGILFYAASIFKAAGLTNSNLATFGLGVVQVVATGVTTWLTDKAGRRLLLIISTTGMTITLVVVSVSFFVKDNITNGSHLYSVMSMLSLVGLVAFVISFSLGLGAIPWIIMSEILPVNIKSLAGSVATLANWLTAWLITMTASLMLSWSNGGTFAIYAAVCAGTLVFVCLWVPETKGRTLEEIAFSFR >ORGLA05G0233700.1 pep chromosome:AGI1.1:5:22362145:22363098:1 gene:ORGLA05G0233700 transcript:ORGLA05G0233700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHYYSGGAGHHQDVAAAGSPGDMASSTFSLFFPMSNGQCWPPSTVEESAAYDDHSTVTTSPSSPSSSSTGSVDCTLSLGTPSSRRAEPVAAAAPAANHGAPVPAHYPSLSAATVSWDATAESYYCGQQGRPATGAAKCAAGAGHDALLDRRCANCGTASTPLWRNGPRGPKSLCNACGIRYKKEERRAAATTTTADGAAGCGFITAQRGRGSTAAKAAPAVTTCGEETSPYVVGGGGGEVADAAYLAWRLNVVPPAATATAFSVWPERASLYHYN >ORGLA05G0233600.1 pep chromosome:AGI1.1:5:22354846:22357649:1 gene:ORGLA05G0233600 transcript:ORGLA05G0233600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSLVIVPALMQLGWHAENIGHSDTTKGQGKGESACLSSQGDLQSSLGSSVPARIFVKEMQVLNLREGRKAGSTDLLRHMLFFPFEVSESSAQIKEL >ORGLA05G0233500.1 pep chromosome:AGI1.1:5:22344379:22345635:1 gene:ORGLA05G0233500 transcript:ORGLA05G0233500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WASNGFSKHMAILEARAAEQVVEACVRTESVRKCVFTSSLLACVWRQSYPHHRRRFPAIIDESCWSDESFCRDNKFQLWFALGKTMAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTPSIAYLKGAHAMLAEGLLATADVERVAEAHVRVYEAMSGGGAAGGRYICYDHVVRRGEEFAELQRQLGLPITGVAAASRPGYSDDGDVDGDGRFALCNGKLARLVSSRRRCTYDVYYPASYD >ORGLA05G0233400.1 pep chromosome:AGI1.1:5:22336236:22337153:-1 gene:ORGLA05G0233400 transcript:ORGLA05G0233400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIPEPQDPTADVAADVLPAEASSSDVTTTGVEEETDSDGEFEFEFPFVSRDSPAGTAAVADDLFADGRIKPFYPVFGRAGAGGGGDRQQHPAKDDAAATVPPRTRGPLGRLFLEESRGSFDRWSTSTSSSSSSAAASDEGGLDGAPPESYCLWTPGAGAGSASASASPRPPRKSGSTGSMARWRRISELVVGRSHSDGKEKFLFLPIPPPSSKENDVEHFKPKPKPPKPTPASGRKTAQAAAAEIDTVAAIHRIAYGAKGGGATGTGAGGGTPRRTFLPYREELVGLFANVNGISRSHPHPF >ORGLA05G0233300.1 pep chromosome:AGI1.1:5:22330171:22332493:1 gene:ORGLA05G0233300 transcript:ORGLA05G0233300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSPEVGMEDSSATHLSDEVQDPGRGSSDASVRTSLRDSTGKEAVAAAEPVREELVQSAVGFLKHPKVVASSDVQRRSFLEKKGLTVDEIDEAFRRLLSPSSNSMSPNYCTYQGVSDHSSKITQENPSTVTKCMDDDSGRPEPETESVDPVVPRHPKSYMEIMEMIQRGERPDDIQDINDDPPNPYQPISESRMAPKPKPWEKQGQESSIWELKSQSMDTIESRSEIQLDSANQFTETENRSNQGDSLLLEETVAGSEAHTDDAASTKS >ORGLA05G0233200.1 pep chromosome:AGI1.1:5:22325179:22329828:-1 gene:ORGLA05G0233200 transcript:ORGLA05G0233200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYDEMPPAMVLVPPPFTFPAAAARTRMAVPAYEVMFGKLQRRSLFDDYFDQVGSITSGMIMLRPLVDSHVDLTAKMTTTGGEALFRWQRYLDDPNTFMDLHLSTPKPMVQLRSCAYYPKYRIGAFGTFPLLKANRDCSEGDYGIMGLRYGSENLSIGASFLPFALSGQVPYGAWLVGRKGNISAGIQYKPLSGESMHPVPLTDLKNWNCAISYGMGSTSPLSPSFNFSLELVRNTQLVASFYQHFVVQRRVMNPREEEHIIGTTNFVDFGLELATSLDKDKAKENASNPSFQVAASWQASKNFLVKGKLGPSKSSMALAMKSWWRPFFTFSFTAMYDHLKGTGSYGFGISIEDLKEPSYQMADSNYVIVTQNKEDVEPRFLKKLGKKYIFQPDIDSGNYDNLPTGLKPIDKIL >ORGLA05G0233100.1 pep chromosome:AGI1.1:5:22317721:22324080:-1 gene:ORGLA05G0233100 transcript:ORGLA05G0233100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFWSKAEPPPPMVLVPPLFDYPPIAARTRMSVPAYELMFGKLSLQNLFEDYFDHAGNMTSRVMLKPLEDPHVDLIATVSAAADKIDGTQVKGDALFRWQKDLDDPHTFVDLLVSTSNPMLQVRSCAYHPKYRVGAFGTFPLLMGNRVRSEDYGVMGVRYGSENLSFGSSFVPFPGSAELPSGAWLVGRKGSLSAGVQYKPLSGNKHLMPYTDWKNWNCAISYGVGLTSPLSPSFIFSLELARSTEFIASFYQHMVVQRRVKNPFEDDQIVGITNYIDFGLELATRIDKDKPSESANNSLFQFAASWQANKNFLFKGKLGPSKSSVALAFKSWWRPSFTFSVTAVNDHLKGTRSYGFGIRVEDLRQPSYQRADPNYVMLTPSKEHLAPGVLREYGKRPMFQAEVDSGNYDHLPTELKPISKIF >ORGLA05G0233000.1 pep chromosome:AGI1.1:5:22310346:22313428:-1 gene:ORGLA05G0233000 transcript:ORGLA05G0233000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGGDGGFVRADQIDLKSLDEQLERHLSRAWTMEKRKEEAAADQRGSKPPALAAAHYSQNRRQRREEWEIDPAKLVVRGVIARGTFGTVHRGVYDGHDVAVKLLDWGEDGHRSEQDIAALRAAFSQEVSVWHKLDHPNVTKFIGAIMGARDLDIQTESGHLAMPSNICCVVVEYLAGGSLKGFLIKNRRKKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPSDMTGETGTLGYMAPEVLNGSPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEMPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPVDQRQGCLSCFRQYRGP >ORGLA05G0232900.1 pep chromosome:AGI1.1:5:22308031:22308552:-1 gene:ORGLA05G0232900 transcript:ORGLA05G0232900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMAARRSEAAPAPQQLRGSQLKQLRELFRRFDMNGDGSLTQLELAALLRSLGLRPTGDEVHALLAGMDANGNGSVEFDELAAAIAPVLTTQTHLVDQAQLLEVFRAFDRDGNGFISAAELARSMARLGQPLTFEELTRMMRDADTDGDGVISFKEFAAVMAKSALDFLGVA >ORGLA05G0232800.1 pep chromosome:AGI1.1:5:22300322:22304924:-1 gene:ORGLA05G0232800 transcript:ORGLA05G0232800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSTSSARRRAARAPPPPNLGDLSGVSAPLPSNGLHAPSISTHPTNAATPNPSPPGASIVVSSAPQCSPRVRSPVLVRRRSVPFSPDSPLAPGSRGGGERRSTFREDVSHAAAETYLVTRLAFILLRYLGVGYRWISQLAALIIYAILLMPGFIRVGYYYFFSRQVLRSVIYGDQPRNRLDLYIPRDPKKPSPVVAFVTGGAWIIGYKAWGALLGRRLAGRGIIVACIDYRNFPQGTISDMVSDASDGISFVCETVGAYGGDPNQIYLMGQSAGAHIAACALLEQAAKESRGEQISWSVTQIKAYFGLSGGYNIENLVDHFHERGLYRSIFLSIMEGKKSLPHFSPETVAKKLSPETIALLPQIVLLHGTDDYSIPFSASETFAGVLKQAGAKAKLLLYEGKTHTDVFLQDPLRGGRDKLVEDVISVIHADDADAREKDALAPIPGRLVSEWQIKLAHRISPF >ORGLA05G0232700.1 pep chromosome:AGI1.1:5:22293503:22293778:-1 gene:ORGLA05G0232700 transcript:ORGLA05G0232700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEGRTAQETERTKLGRWWIVQVLHAESAPPSSSFRLTPLGTGIGDERRQQGRGTMGTCGNEEFVQTPEEKRAAAAAIGGGGRRRPDEK >ORGLA05G0232600.1 pep chromosome:AGI1.1:5:22289719:22291954:-1 gene:ORGLA05G0232600 transcript:ORGLA05G0232600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:I1PY78] MISWHELYMVLSAVVPLYVAMMVAYGSVRWWGVLTPEQCSGINRFVAVIAVPLLSFHFISSSDPYAMNLRFVAADTLQKVLVLAALAAWSRFPARFVPPAWPPLDCSITLFSVSTLPNTLVIGIPLLVSMYGPYSGDLMVQIVVLQSIVWYTLLLFLFEFRAARVLIAAQFPDTAASIAAVHVDPDVVSLEGSQAEAHAEVAPDGRLRMVVCRSSVSRRSAAAAATPRASNLTGVEIYSISSSRNATPRGSTFTLADIPGHQPPNSALRASSFGAADLFSLHSSSRQHTPRPSSFDEHAAARARASATVAPTNDLKDTHMIEWSSGASAASEVTGLPVFRSGRETRRLVPSDAPSIASSRVIRPPPGATGGERAASFNKAVGGQDELAKLEAGAKTEQQTTAVTTTTKGGGAEGAERARGQQNAPGGVMLRLILTTVWRRLIRNPNTYASLIGLTWSLIAFRFHITMPIIVAKSISILSDAGLGMAMFSLGLFMATQPKIIACGYSVAAASMGVRFFFGPAIMAAASAAVGIRGTLLRIAIVQAALPQGIVPFVFAKEYNLHATILCTLVIFGMLIALPITLVYYIILGLL >ORGLA05G0232500.1 pep chromosome:AGI1.1:5:22274322:22279913:1 gene:ORGLA05G0232500 transcript:ORGLA05G0232500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PY77] MGGRARSILRWLRHHRSRRVSSSSFHLTTTGDDTVKDLHDPRREDAEGDGWEEVHEGPESDPEEYIALVSEDAGTHLPDKVCHCAWKNQIAKFIDPFQEPDFFTEYGEANRYKVSEVIGKGSYGVVAAAVDTQTGERVAIKKINDVFDHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKLKVCDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAESLAKIRNEKARRYLSNMRKKPRVPFTKKFPGVDPMALHLLERLLAFDPKDRPSAEEALTDPYFNGLANSEREPIAQPISKLEFEFEKRKLAKDDVRELIYREILEYHPHMLQEYLRGGDQMSFMYPRKVMRCQKRKPGGGRGELIATSWPWRSIKMLIPRVQNSDAHLRSNQKEDLERAIGNKHGDDEYHAKLNVGEKPCHASVTDGISKPLMSARSLLKSESISASKCIGEKPKQDRDQEDSLTESMDETADEVSEKVAQLKT >ORGLA05G0232400.1 pep chromosome:AGI1.1:5:22270873:22271394:-1 gene:ORGLA05G0232400 transcript:ORGLA05G0232400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRQQQHAHGEGRTAAQRLGYAPAASADSPSTTLLRRVQTHAPNSTQVVGFLTLAVSGAVLLLLTGLTLTGAVVALIFLGPIALLTSPIWVPVAVALFVLAAAALSACAFVVVAVAAGTWMYRYFTGRHPVGADRVDYARSRIADTASHVKDYAREYGGYLHSRAKDAAPGA >ORGLA05G0232300.1 pep chromosome:AGI1.1:5:22262162:22263820:-1 gene:ORGLA05G0232300 transcript:ORGLA05G0232300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAAQLTPARIDFSGVDPAAPGTGRWAEVRAEVMGALTTHGWFDAHYPQLTPELRASLFDAAVRPLFALPVHAKRRNHYGPEKPFHGYLGGLPGLDSYESLAIADGLKPESVRAFADLVLPRGANDDGFCEIVNGAAKRIADLEGMVRRMILEGLGVAEHHEAQGEPFWHLFRMSEYRAPNSDEKVTGYIAHQDTNWLSIVCQNEVNGNEMRTRDGEWVLVKPSPTSLIVNVGNALRAWTNDRLHAPFHRIMVSGHATRYTCMLFSVPNFMIQIPDELVDESHPPRFKTHDNDDFIRFCVSEEGARHEDKLKAFCGL >ORGLA05G0232200.1 pep chromosome:AGI1.1:5:22257366:22258541:1 gene:ORGLA05G0232200 transcript:ORGLA05G0232200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALLPPAHPGRFYSDFGPKPFGGGDQRLSSPNLLTNGGDLFYGCYSPFSPTRVLSPPPPPRRAASFSHCSSSSDSVVDDGDGAGAAAAAAAATEHRLHLAHLALQYQEMANRFELCLSHLADAADEAAALRQENAELRVANNDLACRIAKFGGRQSSAIALAGDLRRLRLPKEQTVPALPPPPQSPPAALMNPVAVPEKQAVLPKSISIRSTGYQKLNQGGKHRVSKPVNVGSQRVFVGIDGAEGGEHKVGVKEEPPMGGLEFEVYNQGMFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTQVCRMVLAGGVCPYGHRCHFRHSITPADRFSFGH >ORGLA05G0232100.1 pep chromosome:AGI1.1:5:22242070:22244020:1 gene:ORGLA05G0232100 transcript:ORGLA05G0232100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQNYAKILSLVRQATADPNGKSGNTLGGVSKPSVKEIHAKSKWQPPPEGWAKINVDGAFDQGDGRCGIGVVIRDCLGRVLLSSWRYLRRCSQAEEAXLLACSEGINLAAEWIHLPVILESDCLMATASIAGKDMERSRWTFLLREIKAAVRRLQELRGEPKRRHTNQXLSQKRNHQKWNTNADEEKKLDSNMKTSKNQFDVPLIDRGRSRQFDRLPVRSSARXRCSKSPPLKXWRQHRPELCTMSARSQSTREKDPCPLVVVSRREAKRKSLARWSEKALPXQAVASGEAERXSQWQDLASPSTSGKESEXSVAAARQPSTCSDSAAAAEEEAPWGERPRSRTCAAPHSS >ORGLA05G0232000.1 pep chromosome:AGI1.1:5:22236271:22237476:-1 gene:ORGLA05G0232000 transcript:ORGLA05G0232000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPAPPWAELPDAVLLGIVRRIPCAFDRAHVGEICRSWRRTLLQIPPPRPLPGILLLTPHGPTLSFVVAGDAWCTHPVFVPEAFRRARYFGSYDDSWLFLAVGQDNGHVLFNLTDSQEEELPNWGTFQLHDRELEAEILLVAATLSSSPNVHGSVAGGILTADLPPANSMEHIAFWRTGSDVMSKTIRTSGVGLLEDVAYHDEAFHFLTLDDIIVVCRASMAEPWSPGKIVVVDEVNVSIELGNITPRDELGYRDLRIVASYLVESRNDLLMVEKLAPNLLSPASAFRVFQMIKERLHDGQVHYSWEELTTKLDGRMLFVGQGCSRSYEAANYPGLDAGVYFLDDRSTRHDPKIPFQGARARRYLCSDNGKWSGTPPQIKLCVHGSRPIEPLSSRLAFPL >ORGLA05G0231900.1 pep chromosome:AGI1.1:5:22227521:22229451:1 gene:ORGLA05G0231900 transcript:ORGLA05G0231900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKRNQKRRRRQIRAQNELIRWCKRKGSPCQHGDSRAVKTMRRSTPYPCLPEDIWHHIHSLMPMRDAARAACLSRTFLQSWRSHPNLILNKDTIGLNASACGGNFSRKVDHIMRNHSGIGVKIFRLEYLGVVGFDASRYLDSWLQVVVKPGIEELTLVLCKTKREYNFPCSLLSDGIQNSIRYLRLHWCVLRPTAELGPLQSLTSLRLRSVSIRGEELQCLLSNSPALEQLRISSCTEIVCLKIPCSLQKLSNLTVIGCDSLKVLENKAPNLSSFFVSGCSNLTILENKTPNLSSFFCRGVGAKLSLGETLKMKKLGMGRANAVHYARAELPSIMPNLETLNIRSGPEVCIYIQKL >ORGLA05G0231800.1 pep chromosome:AGI1.1:5:22225488:22225646:1 gene:ORGLA05G0231800 transcript:ORGLA05G0231800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASIIQQLQGNRSQIYHGKKERKTGCIIDHIAVVSEEQQTGYSIVNTTNLCKP >ORGLA05G0231700.1 pep chromosome:AGI1.1:5:22219464:22225469:1 gene:ORGLA05G0231700 transcript:ORGLA05G0231700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPASVANLGTNGRPAASSSHLASRIRFAGLGSIRRWQYAPGRLCRCMVVTNLIDEEKGVQFSSRGSVSVKPSDDSDLLLKPPQKPIRANGPPESVNAASPSPSRPTLEDRDKVRESLDEVLEKAEKLKASTSGNGIGNGDLRQNGASKPDSSATPAAEGANSRKTKTLKSVWRKGNPVSTVHKVVRDHPRSESRNQSSSTAKPSMPAPTKPVPPLLTKPSVAPPPRRPVKADTSKEKKGPILIDKFASNKPIVDPVVAAALIEPVKPVRGPPAKVKDDRRKKTSTPAGPRRRMPKNDGLVDEDTAVRKGRRWSKAKRRAARLQLEASQVEEPVRVEILEVGEEGMVIEELAYQLAIDESEILRFLSVRGAMLDNVQTLDKDLVKMVCMEYDVEVLESGPVKVEEMAKKKEFLDEEDLDKLEDRPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYQVIVQVDGNPHACVFLDTPGHEAFGAMRARGARVTDICIIVVSADDGVRPQTNEAIAHAKAAGVPIVIAINKIDKEGANAERVMQELSQIGLMPEAWGGDIPMIQISALNGEGVDELLETIILVAELQELKANPHRNAKGTVIEACLDKAKGSLATLVVQNGTLNKGDIVVCGEAFGKIRAMYDDGGKLIDKAGPSNAVQVIGLNNVPLAGDEFESVDNLDVARERANARAEALRIERISSKAGEGKVTLSSIAASVSSGKQVGIDTHELNIILKVDFQGSVEAIRQAIQVLPQENVSLRFLLQAPGDVSVSDVDLAVASEGIIFGFNVKAPGSVKSYAKKKSVEIRLYKVIYDLIDDLRNAMEGLLELAEEEVPIGSAKVRAVFSSGSGKVAGCMITTGKVVHDCNVRVLRKGKEVYMGTLDSLRRVKETVKEVGAGLECGIGVDDFDEWEEGDVVDAFNTVKKTRTLEEASASVTAALKDAGVQL >ORGLA05G0231600.1 pep chromosome:AGI1.1:5:22216119:22216361:1 gene:ORGLA05G0231600 transcript:ORGLA05G0231600.1 gene_biotype:protein_coding transcript_biotype:protein_coding HEERQRLDGVEPELEHQHGVHDELASFTNPMASNPQRKSTNQSKFRTTTTPSDDMPMLIDWISSPLLRTRLASTKTNPEI >ORGLA05G0231500.1 pep chromosome:AGI1.1:5:22203710:22205215:1 gene:ORGLA05G0231500 transcript:ORGLA05G0231500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFGGFGGWSAMDVAAAAAAALGNVSGVVYHADPAAAVYASLVPGMAVVPGRAPPSAVQIEAARRWKELEKMALRSVNLMVTCAGAIQAGDYAAAAGSLSDAREIFAKMPTTRTGIGRVLTHFADALAERLFPAFPQSAPPPPPPRGEQRELFRGFYEAGPYLKFAHLAANQAILEAFEGCNSVHVIDFALTDGIQWPSLIQALAVRPGGPPFLRITGIGPHAAGNRDELRDVGLRLAEFARSCSVPFAFRGIAADQLDGLRPWMFQVAPGEAVAINSVLQLHRLLVDQDAAAAASFPAPIDGVLDWVASMNPRVFTVVEQEADHNKSSLLERFTNSLFYYASMFDSFEAISRHGGGDGAGNPLAEAYLQGEIADIVSREGSSRVERHEQMPRWVERLRRGGMTQLPLGATGLWQAVMQLREFSGAGFGVQENGGFLTLTWHSQRLYSASAWRATAGKKMTMMASGAADAMEESQNSNTNGGGGGGSSGGGHGALNQIMQ >ORGLA05G0231400.1 pep chromosome:AGI1.1:5:22196619:22199210:1 gene:ORGLA05G0231400 transcript:ORGLA05G0231400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G15510) TAIR;Acc:AT1G15510] IRLSKLKLYQXKMSKVQFTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRAVDAGMRACAHADAEHPSFRLRLGNAMLSMLVRFGEIWHAWRVFAKMPERDVFSWNVMVGGYGKVGFLEEALDLYYRMLWAGMRPDVYTFPCVLRTCGGIPDWRMGREVHAHVLRFGFGDEVDVLNALVTMYAKCGDVVAARKVFDGMAVTDCISWNAMIAGHFENHECEAGLELFLTMLENEVQPNLMTITSVTVASGMLSEVGFAKEMHGFAVKRGFAIDVAFCNSLIQMYTSLGRMGDAGKIFSRMETKDAMSWTAMISGYEKNGFPDKALEVYALMELHNVSPDDVTIASALAACACLGRLDVGIKLHELAQNKGFIRYVVVANALLEMYAKSKHIDKAIEVFKFMAEKDVVSWSSMIAGFCINHRSFEALYYFRYMLGHVKPNSVTFIAALSACAATGALRSGKEIHAHVLRCGIGSEGYVPNALLDLYVKCGQTSYAWAQFSVHSEKDVVSWNIMLSGFVAHGHGDIALSLFNQMVEMGEHPDEVTFIALLCACSRAGMVIQGWELFHMMTEKFSIVPNLKHYACMVDLLSRVGKLTEAYNLINRMPIKPDAAVWGALLNGCRIHRHVELGELAAKVILELEPNDAAYHVLLCDLYTDAGKWAQVARVRKTMREKGLEQDNGCSWVEVKGVTHAFLTDDESHPQIKEINVVLHGIYERMKACGFAPVDSLEDKEVSEDDILCGHSERLAVAFGLINTTPGTTISVTKNRYTCQSCHMIFKAISEIVRREITVRDTKQLHCFKDGDCSCGDIGYG >ORGLA05G0231300.1 pep chromosome:AGI1.1:5:22193599:22196010:-1 gene:ORGLA05G0231300 transcript:ORGLA05G0231300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAMASSAPTAAKPVALLPAPICRCGGGGLRSTLLALMPPAAAAASRFRVSASASDVPDFLSSDWLETRKKKPFGPRLNFNAEEAVEYQLEALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGRQFDLGQFERFRRIFHHSTYRVLLAHKERTILSSLWVEENLYKQRVWVRGSRPEEEAIFQFTMVQRVGGSWDGYWLTESLINDDGDALSGGLAY >ORGLA05G0231200.1 pep chromosome:AGI1.1:5:22188782:22190344:-1 gene:ORGLA05G0231200 transcript:ORGLA05G0231200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1PY64] MAEQQQQQAPLLQRPAAAPPSSSRLPDFKQSVKLKYVKLGYHYLITHGAYLLLAPLPGLVAAHLSTFTLGDLADLWKNLQYNLVSVLLCSTLLVLVSTAYFLTRPRPVYLVDFACYKPDDERKCSRARFMNCTERLGTFTPENVEFQRKIIERSGLGEDTYLPEAVLNIPPNPSMANARKEAEMVMYGALDELLAKTGVNPKDIGILVVNCSLFNPTPSLSAMVVNHYKLRGNVVSYNLGGMGCSAGLISIDLAKDLLQVYPNTYAVVISMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRGSARRRSKYQLVHTVRTHRGADDRCFGCVTQREDADGKTGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVTRKVLKRKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSWMTLHRFGNTSSSSLWYELAYAEAKGRIKKGDRTWQIAFGSGFKCNSAVWRALRSVNPAKEKNFTNPWIDEIHRFPVPVPKVTAI >ORGLA05G0231100.1 pep chromosome:AGI1.1:5:22185905:22187130:-1 gene:ORGLA05G0231100 transcript:ORGLA05G0231100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding nuclear protein [Source:UniProtKB/TrEMBL;Acc:I1PY63] DWSKRKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRFISRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVEAVALKPPEVPIDLAMQQQHEAELAAAAAQPLPDDDDDLIE >ORGLA05G0231000.1 pep chromosome:AGI1.1:5:22181070:22184560:-1 gene:ORGLA05G0231000 transcript:ORGLA05G0231000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PY62] MRPSLLRYAAQALRRRDYSSAAAAAERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINSPALVKGFVGEEQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCTAISKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANVPVTEVNVPVVGGHAGITILPLFSQATPASNALSHEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVRLGKNGVEEVLGLGQLSEFEKEGLENLKGELKSSIEKGIKFAHAN >ORGLA05G0230900.1 pep chromosome:AGI1.1:5:22168064:22171771:-1 gene:ORGLA05G0230900 transcript:ORGLA05G0230900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPMLGGIADRWRELHGQDSWNGLLDPLDLDLRSSILSYGELVQATYDSFNRERRSPHAGACVYGHGDLLAAAGASAAGSYAVTKFVYATSGLPVPEAFLLLPLPSLLPPAWSRESNWMGYVAVATDEGVAALGRRDIVVAWRGTVESLEWVNDFDFTPVPAAPVLGAAAAANPRAIVHRGFLSVYTSSNKDSKYNKASARDQVLEEVRRLMELYKDEVTSITVVGHSLGASLATLNAVDIVANGANCPPASSSSSQPPCPVTAIVFASPRVGDGFFKAAFASFPDLRALHVKNAGDVVPMYPPLGYVDVAVKLRISTSRSPYLRSPGTIETLHNLECYLHGVAGEQGSAGGFKLEVDRDVALANKGVDALKDKYPVPPRWWVSKNRCMVKDADGHWTLHDFEQI >ORGLA05G0230800.1 pep chromosome:AGI1.1:5:22166491:22167800:1 gene:ORGLA05G0230800 transcript:ORGLA05G0230800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQWLGDGTARRWRELHGESDWDGLLDPFDLDLRRTVIRYGEMAQATYDAFNHEKLSPHAGLSRFAARRFFERAQLPGHAAAYRVARCRESNWIGYVAVATDEGKAALGRRDIVVAWRGTVQSLEWIKDMDFVMVPPKGLLRDKASDAMVHRGWLSMYTSRDSESSHNKDSARDQMDVAMQVLSEVAKLVSMYQDEELSITVTGHSLGAALATLNAFDIVENGYNRAPRAAAAAAGCPITAFVFASPRVGGHGFKRRFDGARGLGLRLLRVRNARDVVPRYPPAPPYHGVGTELAIDTGESPYLRRPGNELVWHNLECYLHGVAGARGGEAGRFKLAVERDVALANKSYGALRDEHAVPAGWWIPSNRGMVRGADGRWTLMDREEDEDSAE >ORGLA05G0230700.1 pep chromosome:AGI1.1:5:22158304:22162688:-1 gene:ORGLA05G0230700 transcript:ORGLA05G0230700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPATPKTSSSRKAPLPPPPLVQAAAGQPETPRRRSSGSPASASASASGSKTGVFARSFGAYFPRSSAQVQPARGAAPEVGELVRLVEELQERESRLRTELLEHKILKETVAIVPFLENELAAKSSELGRCRDALTRLESENARLRAALDAAAASSRDNEQRILEMERQMTELRKRRQRDVATGPDDCSSSASSDNSESSNAATNSAKSAKVAGCSSVRPPPPPPPPPLPPPMPATYKSKSYFSGSSRASPANSSSSSSSSSAPSTPSCSSDTAASRSRLPELSKLPPIPPPPPPPPPPPMPRSRSASPSPSTSSSGSAGPPAPPPPPPPAAKRTSRTSTPATTSSSAPASGPCVRRVPEVVEFYHSLMRRDSKRDGGGGGGGAEACPGGGAAAARDMIGEIENRSAHLLIKSDVERQGDFIRFLIKEVEGAAFVDIEDVVTFVKWLDVELSRLVDERAVLKHFEWPEQKADALREAAFGYRDLKKIEEEASSFCDDPRQPCSSALKKMQALFEKLEHGVYSLARVRDGAMNRYRGYHIPWEWMQDTGIVSQQIDPDVQIKLQSVKLAMKYLRRVSSELEAIKDGPDEEELMLQGVRFAFRVHQFAGGFDGDTMRAFQELKEKASTFQSQRECQNQHLQQHKLAGRS >ORGLA05G0230600.1 pep chromosome:AGI1.1:5:22154758:22157968:1 gene:ORGLA05G0230600 transcript:ORGLA05G0230600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27430) TAIR;Acc:AT2G27430] MEAAGDDAAAAVRMRAAVKRLSFGTAEERAEAAGEVGRLARSDEGRKRLLPELGVVPPLVSMLADARGGGAGARMAAAGALLELARGAHRNKVHIVQAGLLKKLPLLMDDKDMSRSQELALLLLSISSLVNTDFPLASSELLPFLVAVLSADDAPADTKLPCLGALHNLSAKLEHVRDVASSGAVRALLALSLDRKTSEAALSVLGDLAATAAGREEMEEDEAAPRALVEAMTWHDAPRCQEHAAYLAMVLAHGSRLQRRRMRRLGVVQALLEVSLLGSPLAQRRAAKILQWFKEEGQDRIRAHSGPRMEGASSASCDGGGEGAKDRRNAVDRIVKQSLDRNMKSILRRATASVDLTSVKLLVGSSSSKSLPCETLHP >ORGLA05G0230500.1 pep chromosome:AGI1.1:5:22148516:22151650:-1 gene:ORGLA05G0230500 transcript:ORGLA05G0230500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketol-acid reductoisomerase [Source:UniProtKB/TrEMBL;Acc:I1PY57] MAASTTLALSHPKTLAAAAAAAPKAPTAPAAVSFPASHAACAPLAARRRAVTAMVAAPPAVGAAMPSLDFDTSVFNKEKVSLAGHEEYIVRGGRNLFPLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFDEARAAGFTEESGTLGDIWETVSGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSAGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTEQGMDEEMAYKNTVEGITGIISKTISKKGMLEVYNSLTEEEKKEFNKAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGEKVRSTRPENDLGPLHPFTAGVYIALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKDAPINQDLISNFMSDPVHGAIEVCAELRPTVDISVPANADFVRPELRQSS >ORGLA05G0230400.1 pep chromosome:AGI1.1:5:22147166:22147582:-1 gene:ORGLA05G0230400 transcript:ORGLA05G0230400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEREGAVVAKGHEEGMKMAASLLEEFGLPLGLLPLGDVVEVGFARATGYMWIAQRRKVEHHFRMVGKHVSYDADITGYVKPRCIKKLKGVKAKELMLWPPVNEIAVDEPSTTGKIHFKSLAVAEPGFQSWVFPSKR >ORGLA05G0230300.1 pep chromosome:AGI1.1:5:22141215:22143447:1 gene:ORGLA05G0230300 transcript:ORGLA05G0230300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGFDGTENGGGGGGGGGVGKEQDRFLPIANIGRIMRRAVPENGKIAKDSKESVQECVSEFISFITSEASDKCLKEKRKTINGDDLIWSMGTLGFEDYVEPLKLYLRLYRETEGDTKGSRASELPVKKDVVLNGDPGSSFEGM >ORGLA05G0230200.1 pep chromosome:AGI1.1:5:22120347:22125723:-1 gene:ORGLA05G0230200 transcript:ORGLA05G0230200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:I1PY54] MKYVLVTGGVVSGLGKGVTASSIGVVLKDCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTDEPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEENVKVKLAQFCHVPISSIVNLHDVTNIWHIPLLLRDQKAHESILKVLDLQCVGKVPRAPKLTEWTERASKFDKLKTPVRIAMVGKYTGLSDSYLSVLKALLHASVALDRKLVVDWVPSCDLEDSAATETPDAYEKAWDLLKGAHGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPATTTPCVIFMPEGSKTHMGATMRLGSRRTFFQANTCKSAKLYGNASYVDERHRHRYEVNPEMVPEFEKAGLSFVGRDESGTRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFMGLIAASSGQLDHLLQQSCGVVSSPVRRGNYCNGATKQQKLYQNGHVKNGLVNGCYYANGNSILHT >ORGLA05G0230100.1 pep chromosome:AGI1.1:5:22116614:22119693:1 gene:ORGLA05G0230100 transcript:ORGLA05G0230100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:I1PY53] XXXXXXXXXXXXXXXXXXXXXXXXWKSIKDKLIFPFLELDIKYFDLGLPYRDQTDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFSLKSMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVYEGKDEEIELEVFNFTGAGGVAQSMYNTDESIRSFAEASTATAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAQWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFTQKLEAACIGAVESGKMTKDLALLVHGSSNVTRSHYLNTEEFIDAVADELRSRLTAN >ORGLA05G0230000.1 pep chromosome:AGI1.1:5:22108895:22111582:-1 gene:ORGLA05G0230000 transcript:ORGLA05G0230000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCGLRLLPLVCLLVIAAAAEEDKTNILQADKNNDNNIAHSDGGKTGRHDETNPNTVHHDEGKNDPDGNNKKDKSTEVISTAKYAAAVHHVDKDISTAKSSHVTDFSQDPLIKGCDPSHTCVIENKKFIACLKVPGEDSSALSLLMDNKGMDPLYVGITTPEFVTSAEDTIHVQANDHNETQVTIFNNGAPNMTIILRVAEETCNISIHRAIAREISQVMPMRLTSKYMLVPVFLLIGAVVACIKLRRRGIQDGGPAYQKLDAAELPLSTGGKKEADQSDQWDDNWGDEWDDEAPLTPTRHMPNLSSKGLASRRSTKDGWKD >ORGLA05G0229900.1 pep chromosome:AGI1.1:5:22103413:22105476:-1 gene:ORGLA05G0229900 transcript:ORGLA05G0229900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39680) TAIR;Acc:AT5G39680] MAAARACPMGVSVDQRRAAVAVLRAAAAAGELSLGKAVHARVVRAARFDVVQYNNLIALYVKCGRLGLARQVFDAMPSRNPVSGNLLMSGYASSGRHRDALALLRVADFGLNEYVLSSAVAATAHVRSYDMGRQCHGYAIKAGLAEHPYVCNAVLHMYCQCAHMDEAVKVFDNVSSFNVFAFNSMINGFLDRGQMDGSTSIVRSMVRNVGQWDHVSYVAVLGHCASTKEVVLGSQVHTQALKRRLELNVYVGSALVDMYGKCDFPHEANRVFEVLPEKNIVSWTAIMTAYTQNELFEDALQLFLDMEMEGVRPNEFTYAVALNSCAGLASLKNGNALGACTMKTGHWGLLPVCNALMNMYSKSGSVEDARRVFLSMPCRDVVSWNSIIIGYAHHGRAREAMEAFHDMLFAEEVPSYVTFIGVLSACAQLGLVDEGFYYLNIMMKEVGVKPGKEHYTCMVGLLCRVGRLDEAERFIESNCIGTDVVAWRSLLSSCQVYRNYGLGHRVAEQIFQLKPKDVGTYVLLSNMYAKANRWDGVVKVRRLMRELGVRKEPGVSWIQVGSEVHVFTSEDKKHPYMEQITKKLQELIDKIKVIGYVPNIAVALHDVEDEQKEEHLMYHSEKLALAFGLIRTPKGEAIRIMKNVRICDDCHVAIKLISLATGRRIVVRDTVRFHCIEDGVCSCDDYW >ORGLA05G0229800.1 pep chromosome:AGI1.1:5:22093720:22095687:-1 gene:ORGLA05G0229800 transcript:ORGLA05G0229800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETGATDEGHACEVVVAGGDGKAAAARRRRRLELRRLGLAAEDDAAAKRIRSVKDGSSSDDSSTEVVPRSWPACVSHGSVSVIGRRREMEDAVAIERTFMASTGDVAGAIRGGGEGEEDFFAVYDGHGGSRVAEACRKRMHVVLAEEVSLRRLRGQSASAGDVRWKEAMLASFARMDGEVIGSVAATAPRVDGTEPSGFRTVGSTAVVAVVGRRRIVVANCGDSRAVLSRGGVALPLSTDHKPDRPDELERVEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPFVSAEPEVRVVERTDKDEFLILASDGLWDVVSNEVACKIARNCLNGRAASMFPESVSGSSAADAAALLAELAVSRGSRDNISVVVVELRRLKSRAA >ORGLA05G0229700.1 pep chromosome:AGI1.1:5:22080099:22080917:-1 gene:ORGLA05G0229700 transcript:ORGLA05G0229700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLRTLPPASFPGEVRSAVSSLLLSPGGSALDTVFSHLPPPVTIPPLGSSVYYRQSELLRHFAASQAAQAQSATAAASSSSAAAAGLDDGAPRKLYRGVRQRQWGKWVAEIRLPQNRVRVWLGTYDSPETAAHAYDRAAFKLRGEYARLNFPGVMDGRDCPDNLRQLRDAVDAKIQAIRVRMARKRARARRQREESKKSQRAEDAKAATPARPVASERAASETTTTTTTSSSYGSPDGVLSMSAASVDGDCPLERMPSFDPELIWEMLNF >ORGLA05G0229600.1 pep chromosome:AGI1.1:5:22078157:22078590:1 gene:ORGLA05G0229600 transcript:ORGLA05G0229600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVRRRAWDCGSPLYDSFELASVYGLLDSNLMALPFAERSAELDAAADRAPARRTAAKEQRRRKKAAAAAARRTGKAVLRSIFSADLAVFVSFRQIL >ORGLA05G0229500.1 pep chromosome:AGI1.1:5:22071212:22074825:-1 gene:ORGLA05G0229500 transcript:ORGLA05G0229500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFKLNLLLKLQRQRRRRRRQIQARNGSVLIHPRDKIKAPACQNNIHSLSGQAKCSDPTLPEDIWCHIHSLMSMRDAARVACVSRAFARSWRCLPNLDFSEESLGINRSTCKKDEKLGDLTSKIDWILKNHSGIGIKKLIVQVGSVYSRDSCHLAHLDSWLQCAVKPGIEELIVNLSSMNAKYNFPCELLSSGTGDSLRYIYLASCNFHPTVRIGCLKSLTRLQLCMVNITENELRCLLSISLGLERLELRHCSTLKCLKVPCLQRLSYLDVMTCTGLQVIESKAPNLSSIRFEGDFYVQLSLGEPLQIKQLYRLCNDAAFYARTELPSSMPNLERLIIHSDTEMVNTPMVPSKFYHLKYLSIALGGQTYDYLSLVSFFDASPFLETFILNALRERTERVTGFRDPSGLRMMPEHRHDKLKCVKIINFSSVKTLVELTCHIVESATALECLTLDTTSGSPRCSVNKLGKCFLMRRETLMEAHRALKAVQTYIKLKIPSKVELNVLEPCSRCHALDL >ORGLA05G0229400.1 pep chromosome:AGI1.1:5:22065442:22067213:-1 gene:ORGLA05G0229400 transcript:ORGLA05G0229400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTCRAPPFPWPRPRPLAAGRARGGYGLGRARGVKRRAAAAAARGVVVRCGLLPVDPWAPTMDSQSVASQLFAVSLFPYLGFLYFMTRSKTAPGLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGSAESLLTLTNLFIVLGLRGALRKLEDTKESGSEDSQDIKEKGSI >ORGLA05G0229300.1 pep chromosome:AGI1.1:5:22062320:22064727:-1 gene:ORGLA05G0229300 transcript:ORGLA05G0229300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGGHRRRRVIEPRDCRSRTLASTTQDKHRSLLLDKVRSSHEEALERLRPAAVAADLDAGLCFGLLDPVSNAIVNADTQTQEIETPAISRRISGLPDQLLPLPPRMGGRVLPAPRRRRRARRGAPRRAGPWLEKIRFHLGHHCRRRQVGPQMRHAGREASPSRPARPRVADPVSPPRRGRVRARNRDVRGLVALVGDEAPTPAVDMERAWELAGSRLRGRGIAARHYWHAMPLQMALLHAIQGFYLRALARLPSGELRSRYHRSLVKGGHCYGPMDPVSNIILNVVWYDAAHPPAEELELAMIPPKSMFRVATRSFLGLVSFLCTRYPDLPLHDAIWCLLAADGDLNAAAREAERRXHRPSCTIQEAYAAAAAAARHPKPDEQVEFLSSSRAMTTPLLLQNGGQLSSEDVRRLAAALLPPAPSAAVSPMQRKPTKPPRRRQLKLKAQIIRDQNKIRRKVKAALDRYALQNNEPGGYELHVICGMNNCVSGPVYCTDDDIVSYTPLIYFRCHVNFLARRRHSDPSAIAGEGALLLFFAECGNYRLNHDGICCPVTISPPCSEQVRCLYCEHEGLGLCIRRXKISMGVRWILRRWYVEKIPAETNLIQKLMRNRFTPTMVLLIASKXIFCPGXKRNSFIAIAMKAPTRKKVTLMMISILC >ORGLA05G0229200.1 pep chromosome:AGI1.1:5:22060550:22061602:1 gene:ORGLA05G0229200 transcript:ORGLA05G0229200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELCGGEGEGQIMLATELAQLRAMARELEAKMDPDRVAARELCRALASSVDRSIRLAASCFPPPEHPPPAAGNAGRDAAFKKRKGMAKVRRQVRVTSVQDTASLDDGLSWRKYGQKDILGAKYPRAYFRCTHRHTQGCNATKQVQRADGDPLLFDVVYLGDHTCGQAAVAAVAQRAPPEHAGQEQQRQSSLLAAGTEGIHQQVVAEPMEAAAPFLFTSTAAGGVDDGYFSFISPANSDCQFSSDFSAGSVGVDMDHEARFEDLFSNTLEFFQSEIHNL >ORGLA05G0229100.1 pep chromosome:AGI1.1:5:22052819:22054348:1 gene:ORGLA05G0229100 transcript:ORGLA05G0229100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLLLLPFPSPPATLHHHPPPPKSLFLGASLPLLHPPPPLRLLRPGAPRRLAVVAQAAVKRRKEIPFDNVIQRDKKLKLVLKLRNILVSNPDRVMSLRDLGRFRRDLGLTRKRRLIALLKRFPGVFEVVEEGVYSLRFRLTPAAERLYLDELHLKNESEGLAVTKLRKLLMMSQDKRILIEKIAHLKNDLGLPPEFRDTICLRYPQYFRVVQMDRGPGLELTHWDPELAVSAAEVAEEENRAREEQERNLIIDRPLKFNRVKLPQGLKLSRGEARRVAQFKEMPYISPYSDFSHLRSGSAEKEKHACGVVHEILSLTLEKRTLVDHLTHFREEFRFSQSLRGMLIRHPDMFYVSLKGDRDSVFLREAYKNSQLVEKSKLVLLKEKMRALVAVPRFPRRGVPATSEEADRTNGAAQMLSEGSDVEDDEDEGLSDMEDLISEISGGKSDTDYHWGDGWVGENDDSPPDFEDDDGSSLKEVKVTMKKTANSANGKAHVPVFPDGRPRERW >ORGLA05G0229000.1 pep chromosome:AGI1.1:5:22040350:22047050:-1 gene:ORGLA05G0229000 transcript:ORGLA05G0229000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTHGFRRVGVVEMEAATAAEVAGAGSGTDGDLQIKGSKENGQTAEQPAASEALEMPSTPLPLPRDIDWSEHFSFFNSVGGFGGSMDGARGLTSVGLSNSESRPDSVTQIQSCLNNADERVEELTLKNCISSDAQHEVSAGGSTSSGEKPTVMRGLWGNFTRMAWRASDVANREKLAANRGDVANLRVGDMPIRENLAVSFGNNMISRNDASNKEMGMSHGDHANNKFNLPFGNQQPFLSPWPNQNEQRVERENALIVSSFSARILDQMRSKNVTPSSGVQSFPFKSVLKGKGVVYQGAREEIQVQGNARTRAPMDKIRKIPNIPQDSMARMDGTIFGSGGNVLEPQCEGTSLRELIKPARQTMSKFEKMHFFKQILDLVDKSHAQGFSLQHLRPSYFTISASNQVKYIGSYGTQDLSAPSKLDIATDDIFNRKRYLDPKVESQDSNGDNASITKYQKVGEQGSIAVRRPVHTFWANHRGGNQSEGVDPGALWQGNSSCTVRERFKAAEPFYGGSMPYAQRPSSSGNQQSVFELRMLEESWYRSPEEISQLKGILPSNIYSLGVLLFELFCCCETWEVHCAAMSDLRHRILPPNFLSESPKEAGFCLWLLHPDPCSRPKARDILGCDLINEGRDLSLLDNKTPVAVNEEDTESGLLLGFLSQLKEEKEMHAAKLSADLASLETDIAEVEKRHSMRMGFSLEDMDVLAGSNDLSGASACALGGASLSGLPPSLCRSSIYEERVMRNLEQLENAYYSMRSTIDTSEANIIKRVDNDALRVRQNFHELHSDANAIDEQADPLGCFFDGLCKYARYSRFEVRGILKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDALLNDRVDIHYPLIEMPSKSKLSCVCWNSYIKNYLASTDYDGTVQLWDASSGQGFTQFTEHRKRAWSVSFSEVDPTKLASGSDDCCVKVWSINQKNCTDTIRNVANVCCVQFSPYSSRMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLISASTDNTLKIWDLNRTNSSGLSTDACSMTLSGHTNEKNFVGLSVHDGYITCGSENNEVFSYYKTFPMPITSHKFGSIDPITGQETNDDNQQFVSSVCWRGRSNMVVAANSTGSIKVLELV >ORGLA05G0228900.1 pep chromosome:AGI1.1:5:22038126:22038762:-1 gene:ORGLA05G0228900 transcript:ORGLA05G0228900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTQYAFLLLSAVMASLFAGSAAGVYHIIGAGKGWRMAPNKTYYADWARTRNISVGDKLMFLYRSGVYNIVEVPTKELFDACSMRNITNRWQNGPTIIELTQPGPRYYFCGVGKHCEEGEKVAINVSVSAPTLPDSDADADDDDADDSDSSSATPATAADLLIYLAGLAACLLPALLLI >ORGLA05G0228800.1 pep chromosome:AGI1.1:5:22034427:22035578:-1 gene:ORGLA05G0228800 transcript:ORGLA05G0228800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATCNDGVLPVDLLHDILLRLPARPLCRLRAVCRPWRVQSPPGNVKTWHKYVAVVDGVAYFVLRDEFLLRETGGGDWITAFDVEAEQWRPELVGGPPETFHNRLRVSLAALRGSLVVAQDDHRAGTLDLWFLLAGDGGKVGPQHWSKLYTVTMPYHGRPFRLDGERAEPVVVLDDGRIVFWVWERRVSSRGGVMRVYDPNTGGQTDVAAEANCVHVGVYTGSLLRPR >ORGLA05G0228700.1 pep chromosome:AGI1.1:5:22026383:22026607:1 gene:ORGLA05G0228700 transcript:ORGLA05G0228700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWRLDLELGRAERYSMEIGDCRTGEVEMVPPLAGAGLPCARCAVLVQQFIAAAAATEGEGMRTREVEKNTPM >ORGLA05G0228600.1 pep chromosome:AGI1.1:5:22016115:22021838:1 gene:ORGLA05G0228600 transcript:ORGLA05G0228600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:I1PY38] MKYVVVMGGVISGLGKGVTASSIGVVLKACGLRVTSIKIDPYFNADAGTISPHEHGEVFVLDDGGEADLDLGNYERFLDIKLTRDHSITAGKIHHYVYEKERRGDYLGQTVQVIPHITNEIQDWIERVAIVPVDGEVDPADVCIIELGGTIGDIESTQFIEAFSQFSYRVGAGNLCVVHVGLVPVLNVVGEQKTKPTQNSIRKLREYGLTPNIIACRCTKELERSVKEKLSLFCHVPVANIFTLCDVSNIWRVPLLLRDQKAHEAILKVLNLESVAGEPNLEEWTARADLYDTLQETVRIAMVGKYTGVSDTYLSVMKALLHACIACGRKLVVDWVPSTDLEDSTATVAPDAYNTAWSLLRGADGILVPGGFGERGVEGKILAVKYARENDVPFLGICLGMQLTAVEFARHVLKLPDANSTEFDAKTENPCVTIMPECSNEGKGGTMRRGSKRIFFKVAGSKSAKLYGSVSHIDERFRHRYQVNPNMVQLFENSGLQVVGTDKTGERVQIVEIPNHRFFVGVQFHPEFKSRPSKPSALFVGLIAASCGQLDDALQDAACNHEPQQNRRAEKRLAATDLGDGTCRSKRQVKACSNSNDTDEAQDVKTC >ORGLA05G0228500.1 pep chromosome:AGI1.1:5:22012850:22014061:-1 gene:ORGLA05G0228500 transcript:ORGLA05G0228500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHTPPWEELPADVLRIVFSRVACRADRQVMAGVCGAWRRAVKVKVATGQPPRPRQLPCLLRPNGGDSSVCCLLCSGGHGISVVHAAHLPQQPVDARHARFFGSHDGSWAFLASAQTSGHVLQKFGTDTIIPLPDFMDVQGGESSSIVHLAATLSHQPGHASCLVAAIVKTYPIDVMSLRAVAFWRMDHGTMASELHRTEIEAMEPEDIIFHKGVLLVLTQQENLLAWIPEYTDEGRGVEMHGPEHRACGKPRIYNELAVQSRYLVESQNCLLLIVRYREGHPTSSTQELKVFQLVELEIPDENGIMMTRYNWVELFSLFGEMIFLGRGCSRSYNVSNYPGFTEGVYFLDDGSFYHADLLSHDAADQKYTCSDNGRWVNLQVDRCFPPEQSCSCSPPIWVLP >ORGLA05G0228400.1 pep chromosome:AGI1.1:5:22008217:22010646:-1 gene:ORGLA05G0228400 transcript:ORGLA05G0228400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESSPFDEVPAYVLYEIARHIPCKVDRVRALVVNCSWRTSLQALPPPPLPPQLPWLLRPSAGGPTFSCLLSGADELSVHRVRVPADLRGARYFGSYEGGWLFLASGQTFGHMLFNIRTEQRLFLPDTVTQPWRSDDFPMIMLAATVSSPPSAKDDLCFGAAIVSDVGQKLAQLCNVFWELGDCRAVSFVPPQDPFNLFFEMEDVIXYQGAFNFLSIWRNVLECRLTLHQVVLQVHQKWRLFLPQEELSLCRNAAARYLVESRGQLLMVIRERPAYNQSREFFIFEMTKTEEAVDEAEYIWRRMPELDGRMLFVGHGCSRSYEVGDFPGFQEGIYFFDDQDSYSVSSIAEDNEYTCFDNGKWSAGPPPMETYCFWPDQVNSNYSSPVWLLPGEYLFDVSRCFHLLLFHGHGLRPDGHVRGLAGGGQGPRTGARLTPVIPVTVKAKAVVAGPGKLFTQNGSLNAAKIHQASASGPGSCFNRKRSE >ORGLA05G0228300.1 pep chromosome:AGI1.1:5:21999615:22001815:1 gene:ORGLA05G0228300 transcript:ORGLA05G0228300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRRGEGVGSIWEKGDEERRHSIWERGKAWGPCVGPCWRAPNAEPTRPSSQIPTAQPDPMVDRLRALVVNRSWRTSLQALPPPPLPPQLPWLLRPSAGGPTFSCLLSGADELSVHRVRVPADLRHARYFGSYDGGWLFLASRQTSGHMLFNIRTEQCLFLPDTVPRPWSSDDFPMIMLAATVSSPPSRGTDDPCIGAAIVHCTPFITDSRQITFWRMGSHMAIPSIPPDHQFDVVSNQFVVEEMEDVIYHKGAFHFVTKLKNVFVCRLALHQADLVVDHREWLMFAPQDDLGYPRPVATARYLVESREQLLMVLKCTCNLPGWPPLVFSVFEMTHVQAPAGAPQYVWTPVPTLVGRMLFVGHGCSRSYELANFPGFQEGIYFLDDLQFYSVSRIVQYQEYLCFDNGKYTLGPPHVVSRCFWPDQVNSNYSSPVWLLPGGEDDANNAQAQVDVHMM >ORGLA05G0228200.1 pep chromosome:AGI1.1:5:21956636:21958033:-1 gene:ORGLA05G0228200 transcript:ORGLA05G0228200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFCGETIPVELWWEILLRATTKDVARSSCVSTQWRGIVSDPSFRKLHHDRYAMPNLNDGISDTLLVATSDVDGESVSSVFPAALVSPAVTGQAPICRVNNPYGYSLTNVCNGFLCFASWSRAKVIVCNPVTGEKLALPRAPHLGLEKRRRYSRPVTFALGFSPTTGAYKLFRFADRRMDVYTLAAAGGWRQHPFPHPYRVVQNTPTIVVGGKICMLTANPASHQHPNDVGKPGPVMVVDVASEEYRTYNPADYGCLWADMAVSGFELHGRLCLAIRSDTEIHFWKMPVEENDALPWLDGDTHTLCYGVDNKMYSRYVGPTMTMTTSLAARCLAPTEVMSWDCKIRLPTTPPWLVSCNWNIYTGYRPSLLSPLTFASQQDNDDDEDEGDESRPFVRRLLCALRHQKSQKRRMSPTSTDHTNGKRVCYRNPCIC >ORGLA05G0228100.1 pep chromosome:AGI1.1:5:21954185:21954958:1 gene:ORGLA05G0228100 transcript:ORGLA05G0228100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCHEVEVPGKPTETGTALLEAATGSIQGFGPVNQIHQHLCAFHFYADDMTRQVEAHHFCAHLNEDMRQCLIFDGPDAGARLIGVEYIVAEPLFLTLPDDEKPLWHTHEFEVKGGVLFMPGVPGVVERRDLERVCKTYGKTIHFWQVDRGDALPLGLPQIMMALTRDGQLRQELAKCTCKIIHVQLCFFLQSIVSRHSLTTCVGDWCVHCRCGGEVQRVVRQGEGEPSVHERPGSRHPPAGECRRQGLEDRSPGG >ORGLA05G0228000.1 pep chromosome:AGI1.1:5:21951369:21951721:1 gene:ORGLA05G0228000 transcript:ORGLA05G0228000.1 gene_biotype:protein_coding transcript_biotype:protein_coding FEFRLRVSLTKDGNLSLVSRIRNVNGKPFSFSFGYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVKNV >ORGLA05G0227900.1 pep chromosome:AGI1.1:5:21944590:21945623:1 gene:ORGLA05G0227900 transcript:ORGLA05G0227900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHYTNLTDPRTELEVVRDWNGVDQVVLRSPRGAYARVSLHGGQVLSWRNDRGEELLFTSSKAIFKPPKATRGGIPICFPQFGNSGTLEQHGFARNRIWALDEEHPPLNQNDNNSKASVDLILKPSEDDLKCWPHGYAFVFVQYVFPFLL >ORGLA05G0227800.1 pep chromosome:AGI1.1:5:21937465:21941000:1 gene:ORGLA05G0227800 transcript:ORGLA05G0227800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDEAVATQKIGKTTSPPKDQPTPCPFPDWSAVQAYYGPGVLPPTYFAPAIASGHAPPPYMWGPQPIMPPPFGTPYAAMYPHGGAYPHPLMPMMANPLSMEPAKSASSKEKGSNKKLKEVDGAAVSTGSGDSKKTMTSSGDYSAEGSSDVNDLKVGKTGRKRRLDDGAGAETSAAAKMENALPPSHILGSTAVLPNHSFPAQVIRPSATNVANSRALGTPISPPPGVIVPSHTGVSTELLIKDERELKREKRKQSNRESARRSRLRKQAETEDLATQVESLTAENTSLRSEISRLSESSEKLRLENSALMGKLKDPAASTQAETSLQKTTTASSPRVVENFLSMIDNTNKTSVRHTEHAEPKLRQLLGSGPATDVVAAS >ORGLA05G0227700.1 pep chromosome:AGI1.1:5:21931289:21932225:1 gene:ORGLA05G0227700 transcript:ORGLA05G0227700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAPSSSLRALVVPILPSVSSSPSARPGLRGVAAPVQHARARRRRGAAVVARAAALPSDAEWLERLPEKKKPLYTHSLPCIEAWLRSIGFSQTREDRAVWVAEMPLWHARLSLDVTDLHIRYLKSGPGNLDKDVERRFSYALSREDIENAILGGP >ORGLA05G0227600.1 pep chromosome:AGI1.1:5:21929257:21930627:1 gene:ORGLA05G0227600 transcript:ORGLA05G0227600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIPVELWQEILLRASTKDVARSCCVSTQWRDIVRDPSFRKLHHDRHATAHDVPDALLVATYNIDSQRVASVFPVEPAAVSPTSSTRTATAPICRVNHMEGYRIANICNGFLCFASHSTAKAIVHNPVTGERLEVPRAPRLPPDQDNARSPVTFALGFSPNNCVYKLFRFTNRTMDVYTLGRGAESTGWRRHALPLHPRNLVESKPAVVIGGKICMATIGPAPYRHPADNGTPGPVLVVDVAHEEPCTYSPPDYGLPWADAAVSVFELHGRLCLAIRTERMIQFWTMPVEEDDDDQPWQLLYKFKVVDDEIIRFNQFQRLVPMSAWLDGHTNTLCYREGNNVYRKYVGTTTATVRRFSSTKVVIMSWDSKICLPVASSSLSSFQWDIYAGYRPTLLSPLTFASGQHEEDDNKCDLFIRSLLRTLRSQKSQKCRPSPTSAGCTNAKRICCINPRGF >ORGLA05G0227500.1 pep chromosome:AGI1.1:5:21922799:21928179:-1 gene:ORGLA05G0227500 transcript:ORGLA05G0227500.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVXSSSSSXQLAGSVRLHVHLSHVNHHSSRRSSSSPPVATHHPLPPVAAHHPPPLEKSMLHFAEKATLPFAFASSAMARDSSAAPIISVAWAPEASGRRPRGPASTEAMVGAEEEWXCVAPDVGVLLRRPLMTLRNGLGGGGNYMINNPQRRXSENPHIRFCFLLLPQVSQFTDMEGSDSVTSPDLELVAGPLDLGTIFDVDMEDFVHGRRTLFAVCAYALLKAIPGLRALVDDIPHHSYDVGHVGGEPKTVTVNVQALMDELLSEIDYWCLEKDYKNSMNMVLMQVSFTQQTNDLLLFESSTKWGCYNCAVHFTRMECSKSIYMDGRSVSEFEEECYLDCHECKIAVGYKRMKVCKLPQVLNFYEVSGLLPELRILNQFLTAHLLHLSVQCPSVSTRPCITTADPGQMRNCNVPKDLMREATDK >ORGLA05G0227400.1 pep chromosome:AGI1.1:5:21918081:21921243:-1 gene:ORGLA05G0227400 transcript:ORGLA05G0227400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 1 [Source:Projected from Arabidopsis thaliana (AT3G27925) TAIR;Acc:AT3G27925] MAAASSSAAACFLSPSPPPRPRHHSIKHLACAASRSPSPGPSSSRSLALPSPSASASPWPWPRRLRDLLPDETDRILSSATGSLIVALASAALILGDAGSASAFVVATPRKLQADELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKSGHIVTNFHVIRGASDLRVTLADQTVYEAQVVGFDQDKDVAVLRIKAPTDKLRPIPVGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLQSTKRDSYGRLILGDIITSVNGTKVTNGSDLYRILDQCKVGEKVTVEVLRGDKKEKIPVILEPKPDES >ORGLA05G0227300.1 pep chromosome:AGI1.1:5:21913695:21914387:1 gene:ORGLA05G0227300 transcript:ORGLA05G0227300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNAIIAGGNMMADDDGGGFTFVEVPPLAGGRCVGPPLYPVFGRPRSPPPTPPPHRQAPEKASRLPLWRFLMVDHGPPPPPPPTTQPAADDDLDLDLDGEPAESTFLYCPLCPALPVATAASPARCRKSGSTGSSLLRWRQRSIGRSHSDGKEKFVFLNASSSSSSSSSGSEHKGGRGGEVGHDGALSYYANGGSRGGGGRRRSFLPYRQDLVGLFANATAFRRSYHPF >ORGLA05G0227200.1 pep chromosome:AGI1.1:5:21909836:21910543:1 gene:ORGLA05G0227200 transcript:ORGLA05G0227200.1 gene_biotype:protein_coding transcript_biotype:protein_coding HAMADGDRGVAATAAAAAVVHEDVVESDEEDFTFAAASAAAAAVTCVVGGGRIGAVVYPVFGRPRSPPPVQEVEEPDTATVRVPLGQLLLEERASAPPSGEQADEDGVLDGVPAETYCLWSPGSPAPAVSNSPARCQKSGSTGSVLRWRQRLIGRSHSDGKEKFVFLSSGSDVRSKGRTTTTSSGDAGGRGGGWRYYASGGGNGGGRRPSFLPYKQDLVGLFANAGAFRRSYHPF >ORGLA05G0227100.1 pep chromosome:AGI1.1:5:21907337:21907852:1 gene:ORGLA05G0227100 transcript:ORGLA05G0227100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQRPRRWRDGDGDGDGEEGPTTAGGGGGGVGCRCRSCAAVMLADCIALGCCPCAVVSMLSLALVKAPLAVGRRCVGRLRSRRRTLLHNKRVRDVAATSAAAAGEKKAIAKPEEELEAADIVANDDDTASAAAATAGAGAPTDEDLAWLEEMYQMGHWGFGRVSISGKTP >ORGLA05G0227000.1 pep chromosome:AGI1.1:5:21903833:21904192:1 gene:ORGLA05G0227000 transcript:ORGLA05G0227000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSLATPLLAGGAHAMRPPRQDTSAAAAAGATGGGGSYTPVFIVLGVIAALLVISCILGQVCTKKHLRPRPRRDRVAYYDDDMEGGFVHGGGGPGAIAKMEAAAPPATSVEAPPPAA >ORGLA05G0226900.1 pep chromosome:AGI1.1:5:21901255:21902738:-1 gene:ORGLA05G0226900 transcript:ORGLA05G0226900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTAVSSTLPLFHVRTASSAPAALRFTTRGRGGGRHSVACNSTAASSPKVLELGDAIAGLTLEEARGLVDHLQERLGVSAAXXXXXXXVAAPGAAGGGEDGAPAEKTEFDVVIEEVPSSARIATIKVVRALTNLALKEAKDLIEGLPKKAKEAVSKEEAEEAKKQLEEVGAKVSIA >ORGLA05G0226800.1 pep chromosome:AGI1.1:5:21899601:21900080:1 gene:ORGLA05G0226800 transcript:ORGLA05G0226800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLGFFRWSKIARYLPGRTDNEIKNFWRTKIQKKHRKSTDGIYATTTSESIMPAATVHQNTVAEDQGSSSVSGRTTTATVAVTQEYAIEAPTPSGMSSGSYLDQLQPGYASSIHGGQDGGAAAAAAGDVVVSDEFLAASSDNFWALEDLWPTVQSLHGNC >ORGLA05G0226700.1 pep chromosome:AGI1.1:5:21898468:21899011:1 gene:ORGLA05G0226700 transcript:ORGLA05G0226700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTVEGSGGGGVQTVEAAVRKGPWTMEEDLSLVNYIAANGEGAWNTLARAAGLNRTGKSCRLRWLNYLRPDVRRGNITPEEHTLIVELQARWGNR >ORGLA05G0226600.1 pep chromosome:AGI1.1:5:21891650:21894680:1 gene:ORGLA05G0226600 transcript:ORGLA05G0226600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster assembly protein [Source:UniProtKB/TrEMBL;Acc:I1PY18] MLRAAGKRLLGVGLRPAGGGAGEAAAAAAVAVVRRRGYHERVVDHYDNPRNVGTFDKDDPDVGTGLVGAPACGDVMKLQIRVDEESGRIVDACFKTFGCGSAIASSSVASEWVKGKQMEDAASIKNSEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKLDKGNE >ORGLA05G0226500.1 pep chromosome:AGI1.1:5:21881198:21882742:-1 gene:ORGLA05G0226500 transcript:ORGLA05G0226500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1PY17] MNGGDAAAAAATPSHRRLPDFLQSVNLKYVKLGYHYLITHLLTLLLLPLMAVIVLEAGRTDPDDLRQLWLHLQYNLVSVLVLSAVLVFGATVYVLTRPRPVYLVDFACYKPPDKLKVRFDEFLHHSKLCGFSDDCLEFQRKILERSGLSEETYVPEAMHLIPPEPTMANARAEAESVMFGALDKLFKFTGVKPKDVGVLVVNCSLFNPTPSLSAMIVNKYKLRGNIKSFNLGGMGCSAGVIAVDLARDMLQVHRNTYAVVVSTENITQNWYFGNRKSMLIPNCLFRVGGAAVLLSNRGADRRRAKYALKHVVRTHKGADNKAFNCVYQEQDDEGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPFSEQLLFFATLVAKKLFNAKIKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLQPVHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRVRRGHRIWQIAFGSGFKCNSAVWHALRNVKPSPESPWEDCIDRYPVELVDGFATHNNTQQ >ORGLA05G0226400.1 pep chromosome:AGI1.1:5:21873359:21874242:-1 gene:ORGLA05G0226400 transcript:ORGLA05G0226400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQELAPCTCGMLYGSCGGGCGGAAAAASAFSLLLPMAGGQYYYRQCGGVAEEDSRSPYGGGGAAVDCTLSLGTPSTRRAEAGAYGGGLQPWDVPSSARPGGGGGGKQDGAGVAPCNKEAPAAGRLPRRCANCDTMSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAVAPTPPPSLDTGAGYAYCYSRQPPPPPAPQWGCYGQAAAKSASYAMFDAADDGPCLSWRLNMMPSSPAFAVGERPGLFQYY >ORGLA05G0226300.1 pep chromosome:AGI1.1:5:21866582:21870706:1 gene:ORGLA05G0226300 transcript:ORGLA05G0226300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGGVGVGDESGSDYESGGGMRKPLLMHTGSWYRMGSRQGSLTGAGTSSMAILRESHVSAFLCTLIVALGPIQFGFTGGFSSPTQDAIIRDLDLTLSEFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYTVPVYIAEISPQNMRGALGSVNQLSVTVGILLAYLLGMFVPWRLLAVIGILPCTVLIPGLFFIPESPRWLAKMNMMDDFETSLQVLRGFETDISAEVNDIKRAVASANKRTTIRFQELNQKKYRTPLILGIGLLVLQQLSGINGILFYAGSIFKAAGLTNSDLATCALGAIQVLATGVTTWLLDRAGRRILLIISSAGMTLSLLAVAVVFFLKDSISQDSHMYYTLSMISLVALVAFVIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLMLSWSAGGTFVSYMVVSAFTLVFVILWVPETKGRTLEEIQWSFR >ORGLA05G0226200.1 pep chromosome:AGI1.1:5:21859720:21863389:1 gene:ORGLA05G0226200 transcript:ORGLA05G0226200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGSRRGGASCADESGSDQDGGSGGGLRKPLLNTGSWYRMGSRSSLAASSMAAIRESHVSAFLCTLIVALGPIQFGFTSGFSSPTQDAIIRDLKLSISEFSAFGSLSNVGAMVGAIASGQMAEYIGRKGSLIIAAVPNIIGWLAISFAKDASFLYMGRLLEGFGVGVISYTVPVYIAEISHQNTRGALGSVNQLSVTIGILLAYLLGMFVPWRLLAVIGSIPCTLLIPGLFFIPESPRWLAKMKMMDDFEASLQVLRGFETDITAERAVASANKRTTVRFKELNQKKYRTPLLIGTGLLVLQNLSGINGILFYASRIFRDAGFTNSDLATCALGAIQVLATGVTTWLLDRAGRRILLIISTAGMTLSLLAVSVVFFLEGNISHDSHSFYILSMISLVALVAYIITFSFGMGAIPWVMMSEILPVSIKSLGGSFATLANMLTSWAITMTANLLLSWSAGGTFLSYMIVSAFTLVFVIFWVPETKGRTLEEIQFSFR >ORGLA05G0226100.1 pep chromosome:AGI1.1:5:21857084:21857953:-1 gene:ORGLA05G0226100 transcript:ORGLA05G0226100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTRAAATPRPSGGGGAGAADITAGKISFRSRKIVKSTPAKGKSVATTTTAVLSPPPLSSPGELAVALSHLRTADPLLSEVIASTGAPAFISSPSRPAFHSLAHSILHQQLAPSAAAAIYARFLALIPAAADPDAAVVNPAAVLALSAADLRAIGVSARKAAYLHDLAGRFAAGELSESAVAAMDEAALLAELTKVKGVGEWTVHMFMIFSLHRPDVLPSGDLGVRKGVQELYGLPALPKPEEMAALCERWRPYRSVGAWYMWRLMESKGAAAKKAKSNAIAALPSSC >ORGLA05G0226000.1 pep chromosome:AGI1.1:5:21854867:21855124:1 gene:ORGLA05G0226000 transcript:ORGLA05G0226000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRSSSPNSKSEWSRKENKMFEEALAYYGEDTPNRWDKVASAMGGIKSAEEIRCHYEDLTDDVKTIESGRVQFPKYKTQGYWT >ORGLA05G0225900.1 pep chromosome:AGI1.1:5:21846387:21850637:1 gene:ORGLA05G0225900 transcript:ORGLA05G0225900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsJ-like methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G25730) TAIR;Acc:AT4G25730] MGKTKGKQRQDKFYHLAKEQGYRSRAAFKLLQLDARFRFLPTARAVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRAAVRRLMDSNGVAAFDVVLHDGSPNVGGAWAQEATAQSSLVIDAVRLATMFLAPKGAFITKVFRSQDYNAIMYCLKQLFEKVEVTKPTASRSTSAEIYIICLRYKAPAKIQPELLDIKHLFSVDPDPKKVRDPLKPDRQKRNRDGYEEGNTTLRKVGLASDFIWSEGQTPLEFLGSFNAISFDDPASLPIKNHELTTDEIKALCEDLYVLDKNSFKHILKWRIRIRKALSSSEVTKKTDDTAVEVNVKDDDQLLQEMEELTSVIDRKKKREKKRQSKRRAKDKARKATGMQIDATGDNYGDPDLFSISAIKGGKELQAIESAELNVEDAQGDSENEDIQTREYSDEEMDSDEEQQRYDAQLEEMLDEAYERYVTKKGGEVKQERKRAKRVNTDADEELLEGGEDDGDDVDMDQGSDEEQDQETNPLLLSLDAEKPTKEQMMEQWFSQDVFTEAGTGVVEQSDSEDEREQLTRIAKKKADSGKKEKPAKAKRLQQDDFEIVPAEPVRTEDDSSSSSDESDELDEDLDDDTKAEVLAYAKKMLRKKQREQILDDAYNKYMFDDEGLPKWFAEDEKRHNQPMKPVTKEEVAAMRAQFKEIDARPAKKVAEAKARKKRVAMKKLDKARQKADAIADQNDINEQSKRKMIDRIYKKAIPKKPQKEYVVAKKGVQVRAGKGKILVDKRMKKDKRASKVKGGKGAKGKGKKGGGQKGGMRGKAGRKAQN >ORGLA05G0225800.1 pep chromosome:AGI1.1:5:21842293:21844659:-1 gene:ORGLA05G0225800 transcript:ORGLA05G0225800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding;GTP binding [Source:Projected from Arabidopsis thaliana (AT5G66470) TAIR;Acc:AT5G66470] MELGLALRLVAPPPRLPCRPLQPPPMPCFSPCAARRSRIRSSRLERRVGVVVSEAEEEAEGWQEEEAAVVTTRPRLELIEKPDRSLCLLDEYESEELGTSHCANHRSGYVAVLGKPNVGKSTLINQIVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDTMMMKNVRSAVGSADCVLVVVDACKMPEKIDEMLEEGVGNKDTELPVLLVLNKKDLIKPGEIAKKLEWYQKFTNADDVIPISAKFGHGVDDIKEWILSKLPLGPAYYPKDIASEHPERFFVGEIVREKIFLQYRQEIPYACQVNVISYKSRPTAKDFIQVEILVEKESQRSIILGKDGKAIKMLATASRLDIEDFLQKKVYLEIMVKVKENWRQDELLLKRYGYGGEIQAL >ORGLA05G0225700.1 pep chromosome:AGI1.1:5:21838255:21840093:1 gene:ORGLA05G0225700 transcript:ORGLA05G0225700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYVGVKRKNVVVGGDVGREMPVAPPSSSSAAVGMVEFPAAAAGLGYAGMTAKEAGGGYQERRVVVGEMDFFKTAEKRGERKEPPPATATAAASGHAGASPDDLSLNKDDLTINMGLLVGRRRNSGSEESIVDDGGVSSNDEEHREAKALAVTKAEIGRLSEENKRLKNMLSNVTTKYNSLQMQFVTLMQQRRSVLAAPIHQQELLDPEKKEQEGSQQQQQQLIPRQFISLGSASLQPDVEAPHSVVVVGGGGGDVCAPSSSNPDAAVPAMMPLPHFDHHNHHHPIHGGRERGSSPAEADHHRHHQQEQPPPPPQQQQLPPSWLPADKVPRFLPGKGPEPVPEAATMRKARVSVRARSDAPMVSAMGANGGSTGRRWRRETLARARTTGAPWPPAARCGSRSRGARRTGRC >ORGLA05G0225600.1 pep chromosome:AGI1.1:5:21832274:21836700:1 gene:ORGLA05G0225600 transcript:ORGLA05G0225600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSVALVLLAAVLLQALLPASAAEGLVRIALKKRPIDENSRVAARLSGEEGARRLGLRGANSLGGGGGEGDIVALKNYMNAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSDPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKGNHTYVPVSQKGYWQFEMGDVLIGGKTTGFCASGCSAIADSGTSLLAGPTAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPSKICSQVGLCTFDGKHGVSAGIKSVVDDEAGESNGLQSGPMCNACEMAVVWMQNQLAQNKTQDLILNYINQLCDKLPSPMGESSVDCGSLASMPEISFTIGGKKFALKPEEYILKVGEGAAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKMRVGFAKSA >ORGLA05G0225500.1 pep chromosome:AGI1.1:5:21820057:21827071:1 gene:ORGLA05G0225500 transcript:ORGLA05G0225500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGAGGGGGGGKGGAAAGPVPAASRKLVQSLKEIVNRPEAEIYAALRDCGMDPDEAVSRLLSQDTFQEVKSKRDKKKEVKEIPEPRSRAASNAASRGVRGGADRGGRNSSFHSSSIDNVASRSISGPGMTSTNSTQKQTIPSSLVNKSVVADGPSVPAQSSSGFQHGWSGTPGQLSMADIVKMGRPQVKQSSSKPAVTADKGYTGQYPSLPSTVNQNLKQSASTVSPTNPDQGLHSAQDSIHPKVHNHSAAVNKQAYDNDWLPQDEPPPGNQSALPETSGDQSLYESSLQSSTLVAGVINPHENSHLDENRSAAFSSERHLEHHGGDSEYDDGLLQESSTYLPQKNSHSEDEVEGSNSDVALATENFQGLSLHNEELVATKLAEDNPAVIIPDHLQVTGSDCVTLSFGSFESGAFSGLLPVPSRSADDNNVELPVIEESVPLDQIDSRDQDYYDSAAVNSSGNENLDTIIGTNMENIDVPSVSQPDVLRQEVLDHSGLQYNLPSDSSAAYANTTQPSTMESSQGNNQAHTLSHLSNLLQANSLHNSLLGSNIAPLRDLDFSLSPLLAAQSMTKYNSAAPTTTGPAISMQETLKPGVFSNAQSTQNLPSTSIATGPPLPQQLVHPYSQPTVPLAPFANMIGYPYLAQNYPAAYLPSAAFQQAYSSNGPFHQSAAAAVPGAMKYNMNVPQFKNNLSATSLQQQPSSVISGYGGFGSSSNLPGNFTLNQNAASASTNLGFDEALSSTPYKDPSQYMALQQGDNSAMWLHGAGSRATSALPPSHFYGFQGQSQQGGFRQAQQPQQHSQFGGHGYPAFYHSQSQEHHQNPAEGGLNGFQNAQSQPSHQGWQQHTGY >ORGLA05G0225400.1 pep chromosome:AGI1.1:5:21813948:21815774:-1 gene:ORGLA05G0225400 transcript:ORGLA05G0225400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLRLAVPTAAPPVLPPLRQSAVRAAGSPAAAALRTGALRGCASLPLKPQPLLGAGQAASGRRGGAAVCHSSAHLSARTMQWVSAGATAVLLLAKGTAIHKSFLVPLFALLAPCSVISWIKSDYGQWTAFLALLVRLFFSIPGELELPLSTMLLVSVAPYQLMNLRGTQGGAALSLALAGYLAFQHFTRVGRLGKAFDQGSIIATLAIICITVIPLMMLF >ORGLA05G0225300.1 pep chromosome:AGI1.1:5:21810598:21810960:1 gene:ORGLA05G0225300 transcript:ORGLA05G0225300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCEVRRGCSSLPRSMPPPIPHSPLAQVGAKGEVRQSASQPPRPLHGRGDTCARLQRSMPPDTIRARSLLSFCRRRDACADLQHSTRPVDNWFVLFTLQSIHLSRIIIVSAEGFYRLQDH >ORGLA05G0225200.1 pep chromosome:AGI1.1:5:21805547:21806739:-1 gene:ORGLA05G0225200 transcript:ORGLA05G0225200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKPSDDTTGQVRPEVDGSDEKVEIANQNEKEVMPSPQEEEAAIKKKYGGIVPRKPALIAKDHERAYFDSADWALGKEDTLKNLKGRLKHSDQNCSLLNSKHVHVDFFMLLLTTKRVLIHRLKMQARTRNPMRSRTRNDCF >ORGLA05G0225100.1 pep chromosome:AGI1.1:5:21802053:21803810:-1 gene:ORGLA05G0225100 transcript:ORGLA05G0225100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit D [Source:UniProtKB/TrEMBL;Acc:I1PY03] MGFDVGVVAFNPEGWGPPDTAPAPASLGGGAAAASIPFAPFSRSDKLGRIADWTRNPAGPAALAAASRDSVFDFTSVDDSLAAAAEDSSFRLVDAKPPPRHPRFGPKWRFNQRPQLPQRRDEEVEARRREAEKERARRERHFQNNRSHHHPGFRGNQSSSAKSSVDIQPDWTMREQIPFANFTKLSFSVADQPEDLLLCGAVEYYDRAFDRVNPKAARRLERFKSRNFFKVTTTDDPVIRRLAEEDKATVFATDAILAALMCTPRSIHSWDIVVQRVGNKLFFDKRDGSQLDLLSVNETAQEQLPENKDDINSAHSLAVEATYINQNFSQQVLLRDGEKVTFDEPNPFASEGEEAASVGYRYRRWKLDDEISIVARCEVHAVNADPGGGRQFLTLNALNEFDPKITGVDWRQKLETQRGAVLATELKNNANKLARWTCQALLAGADMMKLGYVSRVHPRDHYNHAILTVMGYKPRDFATQINLNTSNMWGIVKSIVDICMKFEEGKYVLVKDPAKPQVRIYEVPSDAFENDYVEEPLPEEEQVRPPSDDVDATAEEMDAAAEAEANNAAASAGGEGEKSAEAAAA >ORGLA05G0225000.1 pep chromosome:AGI1.1:5:21788456:21793014:-1 gene:ORGLA05G0225000 transcript:ORGLA05G0225000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PY02] MPEANAGGRTAPAAEQRSKNTTEMDFFSEYGDSSRYKIQEIVGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDTWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSMDAISRIRNDKARRYLSSMRRKQPVPFSEKFPNVDPLALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPISKMEFEFERRKVTKDDIKELIFREILEYHPQLLKDYMNGSENTSFLYPSAVDNFRRQFAILEENGGKSGALDRKHVSLPRATTVHSTSIPPNEGLDATCQVTQRIPTARPGRTVGPVLPFENPGAADPHSAQKVVRNPMVPPAAANKSGYSYNLKSDYSDRQHQEELEKDRVQYRPAQHLMDAKVAPDTAPDMRSSQYYFTRSAPRTDLTDRAALQGSMLYGIAPFNGIAAVAGGYSKVGAVQYGVSRMY >ORGLA05G0224900.1 pep chromosome:AGI1.1:5:21782295:21784400:1 gene:ORGLA05G0224900 transcript:ORGLA05G0224900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATASASASAPVSLLFLSLPLSPSSCRGLPAPHTHLPSRRLALAPARPGAALLSSLGDAQEEEEYDDEEEEELVEVGYVSGAHGVRGDVLVSPRTDFPQLRFATPGKRWLRARAAGKQQVKEFELVRGRAHTGKKSWIVTFDGVDSVDEARQIVGSAILVKAGDRPKMEEDEIYSLDLVGMRVIVKDTGKLVGTVGQVFNFGAGDLLQVMVGGTEDTVSQPNSENQDSTPSGEHVWIPFAEDIVPDIDMESREMWITPPKGLLELNARSDKRSKKERRAMVRSASSFSCMLCSMYKAFFRT >ORGLA05G0224800.1 pep chromosome:AGI1.1:5:21776936:21780499:1 gene:ORGLA05G0224800 transcript:ORGLA05G0224800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLMMKNNCNDDDIGSEFYDSFNLDNTIENVHSQNNKLELAKTGEFNQYEQTTGFSLPCGDLQYDVYLRTQIAGQDNKSGEGADFMDDGTFTLLKDLVTESRTSSPYYEKDHQLNSLNYVNQDRHGIPTTTNPTWDLTQSCNPLNHSLTEDSVPFNVGTSAGVLTNTSNDFMPIDELAITSGRYGVLPSIEKTEEGSIAIDEANSFGATATMCYNSVHFSHWIDQNLTGPLPDLADLPDIYPDSFLPTPRKNITLVLDLDETLIHSSAVDRDGADFSFPMYHGLKEHTVYVKKRPHVDTFLQKVSEMFKVVIFTASLSSYANRLLDMLDPKNIFFTKRYFRDSCLPVDGSYLKDLTVIVADLAKVVIIDNSPEVFRLQEENGIPIESWTSDPADKSLVELIPFLEAIAVADDVRPIIAQMLGRPRSIA >ORGLA05G0224700.1 pep chromosome:AGI1.1:5:21757203:21760121:1 gene:ORGLA05G0224700 transcript:ORGLA05G0224700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGEGFPFHDELASLFAERPPNGAMPGMLQQQQPWSFIDYHHHLMQESAPTTPPLDYEAFAGEFDDDVAPLEEVKRELVVDGVGLFPGGGASAAAAAAAVAGPMTPNSMSVSSTSSEACGVGGGAGGDEESAGKCKKEEEGDGGDDDGKEGSATTKGDGDGEDKNKKGVKGKGKGEKRPRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTQKCPVKKRVERSYQDAAVVITTYEGKHTHPIPATLRGTAHLLGAAAAAHHHGGLQYHHPGHFAAAVGHRLPPQPHDTLGGGLLAPPHAQHLHAMQHQMQLAAAASGGSVHAAAMQQMPQPDHAGLAAIIASTTGASTTPAPPPATGSAAAATTPLRMQHFMAQDYGLLQDMFIPSPFLHNDDANNNNHR >ORGLA05G0224600.1 pep chromosome:AGI1.1:5:21738086:21738634:-1 gene:ORGLA05G0224600 transcript:ORGLA05G0224600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCYAVDSPVSGGDVGARDGALALLAGGDEAVVSWLAPLFAHLGRPTYMGPPGSGQSSKIANQIAVAGAVVGASEALAFANAAGLDAPLFLDAVSKGAAGSRVMDIFGERMLRREFASGGSVKYIIKDLGMALETEEGPEGAKALPGAAMFQQMFSAMAANGDGDLSLQGLITVVERLNGIRK >ORGLA05G0224500.1 pep chromosome:AGI1.1:5:21734590:21736950:-1 gene:ORGLA05G0224500 transcript:ORGLA05G0224500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSLGLLQAPSSFTAAAASRPASRRAPRALFAVRASAAADATKDAVLKAFREKRALKIISGLQNFDRSSVASVVSAADKGGATHVDIACDQDLVKLALELTSLPICVSSVDPSAFRSAVEAGAKMIEIGNYDSFYDTGIEFSSEKILKLTKETREMLPDITLSVTVPHTLSLLDQVRLAELLQEEGADIIQTEGGKCSSPTKPGVLGLIEKATPTLAAAYSISRAVTIPVMCASGLSSVTAPMAVTAGAAGVGVGSAVNKLNDIVAMVAEVKSIAEALGLPSRNVSSNLRTVHH >ORGLA05G0224400.1 pep chromosome:AGI1.1:5:21731079:21733580:1 gene:ORGLA05G0224400 transcript:ORGLA05G0224400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:urease accessory protein G [Source:Projected from Arabidopsis thaliana (AT2G34470) TAIR;Acc:AT2G34470] MASHDHDHHHHHHHSHDDGDHHHSHHQDGSHGGGGGSWVGEDGRVWHSHDGLAPHSHEPIYSPGDFSKRAPPLISRRFAERAFTVGIGGPVGTGKTALMLALCRSLREKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADFLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLIINKTDLAPAVGADLAVMERDALRMREGGPFVFAQVKHGVGVEEIVNHILQAWEIATGNKRR >ORGLA05G0224300.1 pep chromosome:AGI1.1:5:21729370:21730463:1 gene:ORGLA05G0224300 transcript:ORGLA05G0224300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFGRKRLLAVLGSCCTILSLLTPTQCASSSPDSLNQSYKIVQPLELTPKLSLQLKLHAFLLWSSVGFLMPIGVLLIRVTSNVKSTRSIRILFYCHVASQIVAVILATAGAVLSISNFENAFNNTHQRIGLALYGFIWLQPLIGFLRPDRGMRFRSIWYLTHWLLGIAICVVGVANVYIGMHTYHERTGRSVRPWTVLLTVEVSAMAFVYLFQDRWNHVVRQQQQQEASALGDDDDDDDEQSEEHVYPANDHKEVAVVP >ORGLA05G0224200.1 pep chromosome:AGI1.1:5:21724163:21725926:1 gene:ORGLA05G0224200 transcript:ORGLA05G0224200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L18a [Source:UniProtKB/TrEMBL;Acc:I1PXZ4] MVAYRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYAEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHNSNIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >ORGLA05G0224100.1 pep chromosome:AGI1.1:5:21718571:21718900:1 gene:ORGLA05G0224100 transcript:ORGLA05G0224100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGREEEAAAAASKVGYSSGDLPPSAPPHLQGQDPQQYQYGTFQPPPHHHAASGELARPPVGFPQPAPPPGFAGASGGGGHYHHHHQQQPYAPAEPYYAQGYQTGPGMA >ORGLA05G0224000.1 pep chromosome:AGI1.1:5:21715249:21716097:-1 gene:ORGLA05G0224000 transcript:ORGLA05G0224000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAAPASNQPHDTSNILENVWASIMTSSSSPAVSSSVAVSSSAVTGQEENSAILQRLPSLGRWISMGAEEWDELLLSGAALASESSGELQLVAASPGDHNQVVGGGGGANYRTTATAAARRSYRGVRRRPWGKFAAEIRDTRRRGARVWLGTFATADEAALAYDMAALRMRGHRAHLNFPLATVQKHLERDLAGGRSSSDQTSTRARRRRRPRANTTTDAAARSVVFSGVQTATNCDHMVSFASEKDQVSMQECSKSDAGVIDFEEIGGEYWDYLFPPLV >ORGLA05G0223900.1 pep chromosome:AGI1.1:5:21713067:21714674:1 gene:ORGLA05G0223900 transcript:ORGLA05G0223900.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVSGFGLCGALTIPRVALTTFLLFFYRVAASALQDGPLLRQRGGSGSSGGRQGQRERVPRRSRRRTAECGPLQHEDGGAEATDELKDDLAVLLPDVGPQLGEEVADGKFGGLVIDHTKKKKKAKGTVIGSSALEQLSSVPEIIVCRSTSKPLANKFIWRNFINRRRSKSITPRPWAAAAVGGGTAGCPLAATLADPGGGRVLVLERGGAPAEFPPLATAGGFVRTLAMADPAPELDAPAQTFVSEDGVPNVRARVLGGATSVNAPILFHNHGEKRQRRNEEEEGEPRPPYLFFLSL >ORGLA05G0223800.1 pep chromosome:AGI1.1:5:21706045:21710835:1 gene:ORGLA05G0223800 transcript:ORGLA05G0223800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNRHWPSMFRSNLACNIQQQQQPDMNGNGSSSSSFLLSPPTAATTGNGKPSLLSSGCEEGTRNPEPKPRWNPRPEQIRILEGIFNSGMVNPPRDEIRRIRLQLQEYGQVGDANVFYWFQNRKSRTKNKLRAAGHHHHHGRAAALPRASAPPSTNIVLPSAAAAAPLTPPRRHLLAATSSSSSSSDRSSGSSKSVKPAAAALLTSAAIDLFSPAPAPTTQLPACQLYYHSHPTPLARDDQLITSPESSSLLLQWPASQYMPATELGGVLGSSSHTQTPAAITTHPSTISPSVLLGLCNEALGQHQQETMDDMMITCSNPSKVFDHHSMDDMSCTDAVSAVNRDDEKARLGLLHYGIGVTAAANPAPHHHHHHHLASPVHDAVSAADASTAAMILPFTTTAAATPSNVVATSSALADQLQGLLDAGLLQGGAAPPPPSATVVAVSRDDETMCTKTTSYSFPATMHLNVKMFGEAAVLVRYSGETVLVDDSGVTVEPLQQGATYYVLVSEEAVH >ORGLA05G0223700.1 pep chromosome:AGI1.1:5:21698630:21699858:-1 gene:ORGLA05G0223700 transcript:ORGLA05G0223700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWMRELKDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAEKENTFFMETSALESMNVENAFTEVLTQIYHVVSKKALDIGDDPAAPPKGQTINVGGKDDVSAVKKSACCSSA >ORGLA05G0223600.1 pep chromosome:AGI1.1:5:21694886:21697564:1 gene:ORGLA05G0223600 transcript:ORGLA05G0223600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRNMVWTHQVASPENQVQPESFYHGGAGSNLSNLSVQVAVGVPGNTDFRSHYESINLQHQHVQNPYPHVGVASSSVFPSTMYNPCISTTAVNRYVPPIQSFGLGNPLLLPLYHQLAQGSMDENGSSGNFCDSVREFIKRKNALLVGGHHFVNSFASSSSSAYVPPNPSHRSWNASFEANILPSTGVSNPPEYSSADSLNNSNSMASHPELVHHGNYVFPAGHMSQYNAWIAQASRTGGVPQWEHGNAAANPPGGFVHSGTIDMPNGGLQGYQAGPFANFYGPLPHFHQNPLNSMQHPALFNHIQMQVPHQHCLSNNLLHHPSGNGLPLDPRILAISSNSGHTFGPTAQPSLANQVNAGSSRIQPYENAPFVDLSRLYEAGVIDEHRDMRLDVDSMTYEELVALEERIGNVNSGFTESYIEENLKSSSYVPDADCMPDQSSVEKDACIICQEEYEAKELVGTLGCGHKYHAMCIKGWLMVKNLCPICKTTALPADRRNG >ORGLA05G0223500.1 pep chromosome:AGI1.1:5:21687023:21687937:1 gene:ORGLA05G0223500 transcript:ORGLA05G0223500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTVSPTIVLANMYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELSKFGEVETLNVCDNLADHMIGNVYVQFREEEQAVAAHNALQGRFYSGRPIIVEYSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLYGGRSRRSHGRSRSPSPRHRRGNRDRDDFRRERDGYRGGGDGYRGGGGGGGGDGYRGGDSYRGGGGGGRRGGGSRYDRYDDGGRRRHGSPPRRARSPVRESSEERRAKIEQWNREREEKP >ORGLA05G0223400.1 pep chromosome:AGI1.1:5:21682858:21683532:1 gene:ORGLA05G0223400 transcript:ORGLA05G0223400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44170) TAIR;Acc:AT5G44170] MRFTASPVVELPVGGAVLTFEQDNDSFEVGTSVWPSSLVLVKFAERCLRDPALPFADVLRFPGTRAVELGSGCGPAGLGLSRLGLADLVLTDIAAVLPALRRNLRRNRRHLPRAPRLAQLHWNCPAHLASLASPRRFDLVVAADVVYVPESVPHLVAAMDVLADADRGVVLLGYQVRSPEAHQAFWDAVPAAFPVIEKIPREHLDPDYAYEESDVFVLRRRPRQ >ORGLA05G0223300.1 pep chromosome:AGI1.1:5:21678590:21681906:-1 gene:ORGLA05G0223300 transcript:ORGLA05G0223300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAAKAKRSHTVRGRRAHQTPAAAAAHRQKRAEAAAAAASASGPRGRNLPSNWDRYDGEDDADESAAPSEWTGEVAPRSKGADFGFLLEQARAQPREAQRLSSQDSPFDFMQASTSMLEAKGEEILSWCEDDNFILEDDLAPDFEVPFLSMDLHALATHLSKLKLSERLFLEKDLLPEDLAVASEVNQIQIQRGTDVDSDAKGSLIHDHDSIRCDDQMKADCQLKCFEEDKSTSSPKTSSHSVHLDTGEDNNNSEGAKFEVVAAEEELDMLLNTLGGTHLSGSNLDESFGNKSTLQNVKVNQPDKKVTPSTSSKSLVTAACDDALDDLLSETSPSVQNEGFAEPGSTSKNDHNIDIRYANQIDISTSIDDLVDDLLTDTSLCLNGQKQTTSAKGKDNISSVSVPPNSGPSNASDDFDSWFDSL >ORGLA05G0223200.1 pep chromosome:AGI1.1:5:21673772:21674122:-1 gene:ORGLA05G0223200 transcript:ORGLA05G0223200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSGDNAFRKRKGCLQAHVQQYFFFAASDSQPEKHREFGTSKYRKYFSSFLPFVFTWSKIGIGTSYKVIKYSSVNGHTLLLIILIKILKEFKFFVLSNYNTIINAMKKKEAKSIR >ORGLA05G0223100.1 pep chromosome:AGI1.1:5:21673318:21673704:1 gene:ORGLA05G0223100 transcript:ORGLA05G0223100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYNANRAWPAASRPATAAPPPPRGEEEEVRRAVAECPVVVVGRSGCCLSHVVKRLLQGLGVNPAVHEVAGEAELAGVVAGGGGVALPAVFVGGRLLGGLDRLMAVHISGELVPILKEAGALWL >ORGLA05G0223000.1 pep chromosome:AGI1.1:5:21666038:21666856:1 gene:ORGLA05G0223000 transcript:ORGLA05G0223000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLLLLAALLATAAVLAASQKPKAATPTKATPASPGPAAAAADGPAPTNVTAVLEKSGKYTTFLRLLHESRVDTQINSQLMDSYNGLTMFAPTDAAFAALKPGTLNSLSSQDQIQLMLYCVLPRFYSLAMLTTLGGPVNTQASGADGPYKYKIKPSNNNVNISTGVNWALLSTVVSKDFPLAVYSVDKVPLPYELFGPKPPTPAPAPAPAPSKSKTKKHKKSAGIAEPPVADDASADDTTKKAAAPATAVSRWVFAAAGVLAGAILAAL >ORGLA05G0222900.1 pep chromosome:AGI1.1:5:21661529:21662284:1 gene:ORGLA05G0222900 transcript:ORGLA05G0222900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVARRFLVAAAAVCLVLAAVPAAMGQAAAPAPKGAPAAALNVTAILEKGGSYTTFIRLMKSTQQDTQLNSQLNGTSTGFTVFAPTDGAFSSLKPGTLNSLSAQDQVSLVQAHIVPKFYSMDAFDTASNPVRTQASGGDGPYTLNITATSTNQVNVSTGVVDTTLGTALRADQPLAVYSVDKVLLPYALFGPKPPPSPPPAPSKKPAKGDTSASAEAPAGSADHPAGAAPAAARAAGWGVAALLAAACLL >ORGLA05G0222800.1 pep chromosome:AGI1.1:5:21655638:21656770:-1 gene:ORGLA05G0222800 transcript:ORGLA05G0222800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15150) TAIR;Acc:AT3G15150] MSSVVNKLTNAAERESSEAETLIAEIRKAIGEMKSIAVDYERENKSDKVKQLEAAALELVASCEDCTCYADAIRKVPGAYQPSNQICVKMTDFEKLIEAEVNKVKGNSSTSVENHLLIRQFREAVWNVHHAGQPMPGDEQEDVLMTSTQTSILNVTCPLTGKPVIQLTEPVRW >ORGLA05G0222700.1 pep chromosome:AGI1.1:5:21648728:21653425:1 gene:ORGLA05G0222700 transcript:ORGLA05G0222700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1PXX9] MTGIDLNTVEEDEEEAAEEVAANGSSPAPARAGAVCLELWHACAGPVAPLPRKGGVVVYLPQGHLEHLGDASAAAAAAAAVPPHVFCRVVDVTLLADAATDEVYAQLSLVPEKEEVARRADDGEGEDGDGMKQRFARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGTLANVAHAVATESVFNIYYNPRLSQSEFIVPYWKFMKSLSQPFSVGLRFKMRYESEDATERRYTGIITGSGDTDPMWHGSKWKCLLVRWDDDAEFRRPNRVSPWEIELTSSVSGSHLSTPHSKRLKPCLPHVNPEYMVPRGGGCPDFAESAQFHKVLQGQELLGFKSHGGTAAATSQPCEARHLQYIDERSCSSDASNSILGVPRLVDRAPLGNPGFSYHCSGFGESQRLQKVLQGQELFRPYRGTLVDASMGSNGFHQQDSPRAPGVVNKWQAQLHGRAAFHGPPAPALPSQSSSPPSVLMFQQANSKMPRLEFGHGQLDKHENDRRVRFGPSEGIERREQRIPLQPYPTSGEVIDGQVTVEKSHSPGRHGKDGPDNKAVGTNSCKIFGISLTEKVPAREELDDGDANYSLQSLKQVPKSLGNSCATVHEQRPVVGRVIDISTMDMMI >ORGLA05G0222600.1 pep chromosome:AGI1.1:5:21620452:21621180:-1 gene:ORGLA05G0222600 transcript:ORGLA05G0222600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLALIERMERQVEGLEREKNKMLEEIERVGQSEKDAVCKVSSLEQRVDEREKEIDEMMQRSNQQRSSTVQVLESLLETEREACAEANRRAEALSLQLQATQSKLDMLQQELTSVRFNETALDSKLKASHARRLRGEATESVHDMDIDDDNTGRRRKRSKSTTSPFKSNHTEDGGSVFVGEDTNNGSQQAQETETEDYTKFTVLKLKQELTKHGFGAQLLQLKNPNKKDIVALYEKHVVGK >ORGLA05G0222500.1 pep chromosome:AGI1.1:5:21618540:21619781:1 gene:ORGLA05G0222500 transcript:ORGLA05G0222500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 73 [Source:Projected from Arabidopsis thaliana (AT4G28500) TAIR;Acc:AT4G28500] MTWCNSFSDVRTAVDSSLSPAAAVAAAAGKKAAASLAVLVKMCPSCGHRARYEQETTTIQDLPGLPAGVKFDPTDQELLEHLEGKARPDSRKLHPLVDEFIPTIEGENGICYTHPERLPGVSKDGLVRHFFHRPSKAYTTGTRKRRKVHSDEVDGGETRWHKTGKTRPVMANGRPRGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEERDGELVVSKVFFQTQPRQCGSTAAAAAAKEASAAVAAAVVNSNYSIVHGHQGGGGGSFLKEANVVHEFYDPAATMGYRPPAPAAHFAPNFAVHAARNSFGGP >ORGLA05G0222400.1 pep chromosome:AGI1.1:5:21605190:21605906:-1 gene:ORGLA05G0222400 transcript:ORGLA05G0222400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSARASSSSSASMATAAQRDDVESRRDGGENERRPLLEKRSAGDGGGGSGGDGMSPVQRAISQTYQSTAHLAKLLPTGTVLAFQLLSPIVTNQGHCDVEANRAMAGALIALCALSCFVLSFTDSFRDAATGGAVRYGFATPAGLWVIDGGAPLDPQAAAAYRLRLLDLVHAVVSVMVFAAVALFDQNVVSCFYPVPSEGTRQVLTALPIAIGVVGSMLFVSFPTTRHGIGFPLSPR >ORGLA05G0222300.1 pep chromosome:AGI1.1:5:21603390:21603872:1 gene:ORGLA05G0222300 transcript:ORGLA05G0222300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPLLDAMANSSASPRLSSAPAAAATFAICCAASAAFVDASGRVAKKNALVIAATTGADDINRPFLVRLLSEIGLGVGVEDNRKRQSAKTRREDWAGNRRGRQPQGGGRQRSICDTPVRRETRWAAEGEGIALHVTRDRMARRGTHKEHANPGYRPAID >ORGLA05G0222200.1 pep chromosome:AGI1.1:5:21591217:21601636:-1 gene:ORGLA05G0222200 transcript:ORGLA05G0222200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGDGGDEGAGAAPAGVEEESPAPASAPAPAPASASAPVPAAVGGGAGASGSSGKVKRVMKTPYQLEVLERTYTEDPYPNETMRAELSVKLGLTDRQLQMWFCHRRLKDRKPPPKRQQLEEEVPVPVMAPPPVLPPPLPHSELTMGTGGMYGEPLLPPSSRRGTGRPSAVPRISASEVARRYYEPPQVMLPPLAPMQLTRAEHRVIDSVERLIGEPLREDGPVLGVEFDPLPPGAFGAPIVPEQQRQPFRSYEAKMFSGHDTKHIKASAFLPSIDPFVPSTVSGKRKSMTGSSSHLGSRAVREYQFIPEQSSDIYERTTQSRYYDVSTEASDSRMSTGSRFLHGVEKAPGYTFHGQISGSSHLSLHGRPPVFSSGSTDYEMDPSNINSSSVPNESQYGIPQVAGFENSVAPSDRMVYHDDDAFRMERKRKHSEEAKIAKEVEAHEKRIRKELEKQDMLNRKREEQVRREMERNDRERRKEEERLLREKQKEEERFQREQRREHKRMEKYLLKQSLRAEKIRQKEELRKEKEAARQKAANERATARRIAREYMELMEDERLELMELVSRSKGLPSMLSLDSDTLQQLDSFRGMLRQFPSEIVRLKVPFSIKPWTSSEDNIGNLLMVWKFFITFADVLGIPSFTLDEFVQSLHDYDSRLLGELHVALLKSIIKDIEDVARTPSVASGMTANPGGGHPQIVEGAYDWGFNILAWQRHLNLLTWPEILRQFGLSAGLGPQLRKRNAENVNNHDDNEGRNGEDVISILRSGSAAVNAAAKMKERGYGNRRRSRHRLTPGTVKFAAFHVLSLEGSQGLTILEVAEKIQKSGLRDLTTSKTPEASISAALSRDSKLFERTAPSTYCVKTPYRKDPADSEAVLAAAREKIRVFQNTISECEEVEKDVDDAERDEDSECDDADDDPDGDEVNIEEKDVKASLVKAQDGGMPTAVGHIKKETNSIVNSLTTPLIHTKSSESSSLRTLDKSVQVRTTSDLPAEISSDNHEGASDSAQDAEIDESNQGESWVQGLAEGDYCDLSVEERLNALVALIGVATEGNSIRAVLEERLEAASALKKQMWAEAQLDKRRSREEFSSKMQYDSGMGLKTDVDQQNTLAESNLTPVHNLVKDSNGNGSLVNNELPVDQQSQPNACSVVHERNGVRQEFSANPENLSGQQYVTSEKTRSQLKSYIGHKAEQLHVYRSLPLGQDRRRNRYWQFSTSASPDDPGSGRIFFESRDGYWRLIDSIETFDALVSSLDTRGIRESHLHSMLQSIEPTFKEAIGRKRCASIEPSAGRVLKNGTSEIISPNHSNEFGSPCSTLSGVATDSAMAYSDSFRIELGRNDVEKTAISERADLFIKWMWKECNNHQPTCATKHGKKRCSELIQCCDFCYQIYLAEETHCASCHKTFKSIHNISEHSSQCEEKRRTDPNWKMQISDDSVPVGLRLLKLLLATVEASVPAEALEPFWTDVYRKSWGVKLYSTSSTKEVFEMLTILEGAIRRDFLSSDFETTTELLNLSTQDSASRNTVPRSGSADVLPWVPDTVAAVVLRLLDLDSAISYTLRQKVGSNKERGAGEFMKLPPRYTPAKTKQETEPMGTGFDRQETWLTPSNGRGGRGGRGRGSRGGSRGGRSRSRGGKVPRGISSSPKIEFRGYSASAVSSEKAPRKYARRGRNRGRGRGLRTVRPRQPSDIGARSIPKPNLLGSFSMLRNAKHTTAMESPQSSGAEEWGLERRSSYVKDDEDNSASQSEESSEENSEPMNDEYDEPLPDYSRDNSGSSPLQMMDDGSDDNEEDAEGDEDGEEDGEDYDAEQHVDEDNDDAEMGEDDVEDNDDGDGGGGGAENGDEDEDGTSYSSEYSE >ORGLA05G0222100.1 pep chromosome:AGI1.1:5:21587961:21589736:-1 gene:ORGLA05G0222100 transcript:ORGLA05G0222100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYKILGVDKAASDDDLKKAYRKLAMKWHPDKNPNNKKEAENKFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGAGPGGATFFSTGGDGPNVFRFNPRNAEDIFAEFFGSSSPFGGMGGGMGGGMGGGPGMRTGGTRFSSSIFGDDIFGSAFGGGADGHHGMHGGGAGRALKAPAIERKLPCSLEELYKGTTKKMKISREIADASGKTIPVEEILTIDVKPGWKKGTKITFPEKGNEQPNVIPADLVFIIDEKPHPVFTRDGNDLVVTQKIPLAEALTGHTVHLTTLDGRSLTIPITSVINPGYEEVVRGEGMPIPKDPSKKGNLRVKFDIKFPARLTADQKSGVKRLLGQ >ORGLA05G0222000.1 pep chromosome:AGI1.1:5:21583255:21585856:-1 gene:ORGLA05G0222000 transcript:ORGLA05G0222000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRFYAAQLGHADRAGMEEVDMDEEVRPEFACPYCYEDHDVVSLCAHLEEEHPFEPHAAPCPICSDKIAKDMLNHITVQHGYLFKNRRRLRRFVIPGSQALSLLSRDLREAHLQVLLGGGGHRSNNSSNTTNISADPLLSSFGLSFPTSDTEETSKPPISIPDDASVVKETPAQPWDSSIDSSLTREEREQKRKQASVRATFVQDLLLTTLFGD >ORGLA05G0221900.1 pep chromosome:AGI1.1:5:21572903:21573325:1 gene:ORGLA05G0221900 transcript:ORGLA05G0221900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASKAVAMTATTGGPNDAGGACVDGGKDDAGAAQLLPAAVARMAGSMTTAADKASMAVVDGGPDLGDEGSRGGRDRVAPRINVVSRIRQERRPPDDDAGGRGRQRRRWGKAASLEEGFSPFFPILAPPSSSPLHPLPL >ORGLA05G0221800.1 pep chromosome:AGI1.1:5:21567866:21568204:-1 gene:ORGLA05G0221800 transcript:ORGLA05G0221800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPMPQKKERDSSHYKFNSFCCCSRNSGFYTLYYSREFHDGKLQRSLDSGSITALREDLLYQHLTMEGNYAELPSHIARYLE >ORGLA05G0221700.1 pep chromosome:AGI1.1:5:21561022:21564421:1 gene:ORGLA05G0221700 transcript:ORGLA05G0221700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPAAASVSGAFGLSADPARCSFDQTLRREDFQDNRLLRSLVNIHEQETYSREIITEAIESCMKKQADNLVNTLDVISGRLSQLELYCYKLERSIGELRSDVMDYHGEANINFRCLEKHVKEHYLSCQVQNSVQVLQDKQELAETQKELTKLQILHEESAQKSEGTAPSVLMTKEIDGSMPVAKHELALVPLHQVNAAQSPAMQFQSCNGLVLQQLVPVSLSTQQDQQHMNQATMYCMQNQAHVEHRQAQPFQPAPQPVQRHTQNTPQTVVEAQQVTSQAPDFYLQPQQQWAHQTGQQVHQARQPQPQVVQQQHYNNIQQVPAQIVQMQTSSPQAQSAPHVTLLYPPYGSQQPACANSEPRSRSMAMQPSYSTISSSQRNHHEVAPVYVQSNTISVPLAEHSVQPQQPPQIQSLGNGSFKPSKVSLHGVASYTVQGNAQAYNTAYGNPSNNAATVVAVLPQQAQSSAPMVLHHLGPQSLQNHPIDMVEKVARMGYFKDQAESMALRMATAGQNVEFKHLA >ORGLA05G0221600.1 pep chromosome:AGI1.1:5:21552809:21555630:-1 gene:ORGLA05G0221600 transcript:ORGLA05G0221600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53000) TAIR;Acc:AT1G53000] MPICPPSSESSPAPGLGGRALIFHGLALGAAAAAAAAAAYLYRRPGRFRGRAVGIIPARFASSRFEGKPLAPILGKPMIQRTWERVKLASSLDHVVVATDDERIAECCRGFGADVIMTSESCRNGSERCCEALQKLNKHYDIVVNIQGDEPLIEPEIIDGVVMALQRAPDAVFSTAVTALKPEDASDTNRVKCVLDNQGYAIYFSRGLIPFNKSGKVNPQFPYLLHLGIAGFDSKFLKIYPELPPTPLQLEEDLEQLKVLENGYRMKVIKVDHDAHGVDAPEDVEKIEALMRARNIL >ORGLA05G0221500.1 pep chromosome:AGI1.1:5:21551806:21552033:1 gene:ORGLA05G0221500 transcript:ORGLA05G0221500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRVVQVWCLIVLAMIVVFAATPAMAARDGRRLHPPAPAARGGGAWNRVSVTAEIVGGGGKWEVPGGPDPQHHH >ORGLA05G0221400.1 pep chromosome:AGI1.1:5:21545409:21545660:1 gene:ORGLA05G0221400 transcript:ORGLA05G0221400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKVSVLVAGVTTLMCIILLILSYSAVTAEAGRQWEGREPTVAARGRFRKLMREETTLDDGGAAIGESKRRSPGGPDPQHH >ORGLA05G0221300.1 pep chromosome:AGI1.1:5:21534442:21536004:-1 gene:ORGLA05G0221300 transcript:ORGLA05G0221300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAITAPSSIEHIPLVRCPKGANAGPQAVIPCIDLSAPGAAAAVADACRTLGFFKATNHGVPAGLADALESSAMAFFALPHQEKLDMSGPARPLGYGSKSIGSNGDVGWLEYLLLSAGAASSGGAALPAALRAAVEAYTGAVRGVGCRVMELMAEGLGLGASEEGRCVLRRMVVGCEGSDEMLRVNHYPPCLLPPGRDRDECGVTGFGEHTDPQIISVLRSNCTAGLQILLRGDYSSPARWVPVPPDPDSFFVNVGDSLQVLTNGRFRSVKHRVLAPEGEESRLSVIYFGGPAASQRIAPLEQVMREGEQSLYREFTWGEYKKAAYKTRLGDNRLGPYELQHAAANDEAATKK >ORGLA05G0221200.1 pep chromosome:AGI1.1:5:21523155:21524195:1 gene:ORGLA05G0221200 transcript:ORGLA05G0221200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVREMAVAAAAAAAASSGGGGGGLRMPPPNPNLPYREDCWSEGETEALVRAWGSRYVELNRGNLRQKQWQEVADAVNSRRGAAARRRPPRTDVQCKNRVDTLKKKYKAERARVMPSTWSFFPELDRLVGPTLSASASKRPSPSPSPVPPPPHFAMPIHPSAVRKPPSPSPSPSPPPPMALPLPSYRRGSPLPAAALIQQEAAAAAAAAVSDSEDSEGPGDNNNHNAQRSPSQSVSSRSGNSNKRSRQEVDGGFRELARAIEAFAEMYERVESAKQKQALEIERQRIDFLKQLEVKRMENFVDAHVKLARAKRIKKHAGTAPDGIGAAELVSSVAALPFLSTSTYI >ORGLA05G0221100.1 pep chromosome:AGI1.1:5:21519790:21520133:-1 gene:ORGLA05G0221100 transcript:ORGLA05G0221100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRKKGSSSRLSDPINTTVTTDNGQEMAASHFISQQASQLDEAARKRLQRMNERLKLLEMQMETLEAGVAKASNDSYE >ORGLA05G0221000.1 pep chromosome:AGI1.1:5:21515837:21519239:1 gene:ORGLA05G0221000 transcript:ORGLA05G0221000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:I1PXW2] MAAVREPREEAAVGEGEGEEEGRWGGLLPELVEEVVRRVEASGGERWPARKDLVSCACVCRRWREAAAAVVRPLPESGRITFPSSLKQGSFIAGLQKYQFCEVGFLADQIGIDMVYNLSYNLKSGFEVSAFALLPGPKDFPIQCFVKRNKKKSMFYLYLGLLNGTMDKGKFLMAARRFRRGPHTEYVISLDADDLSQGSNAYVGKLRSDFWGTNFKIYDNQPPYDDAKTSSTRSSQRFGSTHRFGSRRICPQISAGNFNVGQISYKYNLLKSRGPRRMFCTMECPSTQETWENSLKTKSLRCTGTTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATADPSHPDSVGDEETVILQFGKVDSNIFTMDYRQPLSAFQAFAICLSSFGTKLACE >ORGLA05G0220900.1 pep chromosome:AGI1.1:5:21509904:21511963:-1 gene:ORGLA05G0220900 transcript:ORGLA05G0220900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSFVLVVLILQAVVIGSSSLDELPVQLSNRRLLHDRNHAALLYKDHFPTVGNMTFPTSHQLQNDPNYKPLDSSSHPAEASAKKGSSKGFKKWLYMVVIPVAGLIMLAGMAWMFLPCRKKSVATIGPWRTGLSGQLQKAFVSGVPQLQRPELERACEDFSNIVASHPYYTVYKGTLSSGVEIAVVSTTIKSSKDWSKHCEDCFRKKIESLSRINHKNFINLLGFCEEEEPFTRVMVFEYAPNGTLYENLHDEAFDHIDWRSRMRIIMGIAYCIQHMHELNPANVHPDLHSSAVFLSEDCAAKIADLSVWQEVVSDGKKSTANNDHHEPISARLAGNVYSFGILLLEIISGKPPYSENEGSLANLALGCIIKGRSIASLLDPVLESHKENELDVICQIIMECIQSDPTKRPGMREITTRLRETIAISPDAATPRLSPLWWAEVEVLSPVEPR >ORGLA05G0220800.1 pep chromosome:AGI1.1:5:21506814:21507485:-1 gene:ORGLA05G0220800 transcript:ORGLA05G0220800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: transcription factor-related (TAIR:AT4G18650.1); Has 560 Blast hits to 560 proteins in 40 species: Archae - 0; Bacteria - 0; Metazoa - 2; Fungi - 0; Plants - 558; Viruses - 0; Other Eukaryotes - 0 (source: /.../BLink). [Source:Projected from Arabidopsis thaliana (AT3G14880) TAIR;Acc:AT3G14880] MEVEAATRRFHLWLRGLRGLRRELRAARWADDPAQLGSLVGRFVAHVECYTAARAEMDPVWTLSAPWASPVERGAAYWLAGWRPTTLVHLLYTESGRRFEAQLPDLLLGVSSGNLGDLSPSQLAQIDDLQRRTVAEEDGLSREMALVQEGHGAVAAGGEVDVDGIVGRVRGVLGRADALRLRTVKRAVEILEPAQAAELLVAAADMEIGFREFGLKYSSARSD >ORGLA05G0220700.1 pep chromosome:AGI1.1:5:21503325:21505871:-1 gene:ORGLA05G0220700 transcript:ORGLA05G0220700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLKIGHGLDRFRRRRSTSSSSSSPLALSLSSSAAAALSDDDPGSPMDPEMPPAARRALSRSSGSRGRLSFELPPLAGGPSDKEEAPPRTSSSSSAPAPARPAPAALHEGPPSDAEMVREKFSKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLQPMAADQKARWRREIDWLLSVSDHIVEFVPSKQVSEDGSTMEIMITQQRRDLQMNIPALRKLDAMLLEYLDNFKDKQEFWYVSKDASESEKGNTPRQDDRWWLPTVRVPPGGLSDASRKWVQHQKDLVNQVLKAAMAINANVLMEMDVPEAYIESLPKNGKSTLGDSMYKIITEDHFNPEELLGTVDMSAEYNIIDLKNRIEASVVIWQRKMVHKEGKLSWGHGVKFEKREKFEARAENVLLLIKHRFPGIAQSALDISKIQYNRDIGLAILESYSRTLESLAFTVMSRIEDVLHADSLAQASNTRTQESMRMASLSRYDTDKVVIDAKAEVERLGRMEPVSATLFDFVSPRDQDVVATKMDSKEKGCRGDAHSRKLTKVSPIATKRYSYLEKLENLSGTRSPISRH >ORGLA05G0220600.1 pep chromosome:AGI1.1:5:21501935:21502568:1 gene:ORGLA05G0220600 transcript:ORGLA05G0220600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAVQPVAVKGLAGSSISGRKLAVRPSPRALCRTTRRPRAAVVAKYGEKSVYFDLEDIGNTTGQWDLYGSDAPSPYNPLQSKFFETFAGPFTKRGLLLKFLLLGGGSLVAYVSASASPDLLPIKKGPQLPPTPGPRGKI >ORGLA05G0220500.1 pep chromosome:AGI1.1:5:21491447:21500269:-1 gene:ORGLA05G0220500 transcript:ORGLA05G0220500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgin candidate 5 [Source:Projected from Arabidopsis thaliana (AT1G79830) TAIR;Acc:AT1G79830] MAWWSGKVSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRDDEEGSGSHASNSDRIGFFNPVMAFMGNNGEEDSAEASEKQQPPKSTTAEQSQSASTEAPTSKVDASEESESTQSPKPSEQGETLISSTEPPVSKAEVSEQLATPKTPKSLSATEEKPSHSTESPTYKGDSEAPQSPTDPSTAEENSGSTETGNTIETGNQDHQETKYSGPNDEAPQSQIGESDRGIPDGTKPSSPTELDQSGNTGTTEYLHAGTENIDDKNAIQSQPLDSILASSDDVNEAVKIVKGADDRNEISSSHENTDTVDQASHVEVIEHDEHTNAAENDEEANRTEAQVATVVEREENTMVQLEDLSSMSIIVSNDSNSQNESVPTSADVPVGLVEVGSNSNDLRKEENIQGSVTTSNYLESVGAVAELEKLRREMKMMEAALQGAARQSQSKADEIARLMNENEQLKSTIDDLKSKSAEAEMDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIISQVMAEGEELSKKQAAQEATMRKLRAQVRELEEEKQRLNSKIQVEETKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAEARVNTEAKVELESRLREACEKENILIKTIEELRHALTRQEQEAAFREERLKRDYDDLQRRYQSSELRYNELVTQVPESTRPLLRQIEAMQETAARRAEAWAGVERTLNSRLQEAEAKAAAAEEKERSVNERLSQSSSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLAIKEEAAMQEGRAKQLEEEIKELRTRHKKELQDAAEHRELLEKDLEREKAARAELEKTSSRDAPKIPLPDQTRNAPLRKLSSSGSINSLEESHFLQASLDLSDNASLERRMSAESNMSYYLRSMTPSAFESALRQKDGELASYTSRLASLESIRNSLAEELVKMTEQCEKLRTEASALPGLRAELEALKQRHFQALELMGERDEELEELRNDIVDLKEMYREQVDLLVSQLQSLGARV >ORGLA05G0220400.1 pep chromosome:AGI1.1:5:21483907:21487204:1 gene:ORGLA05G0220400 transcript:ORGLA05G0220400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:I1PXV6] MVSSRRNTGGIQRDGSLRDWSEFVDPSPSPKLLYSQSYVAMRGLLSSLVSMDFALLSSRLKSAWAAILSQRHTRSPERSKSRGLSCKRLAFHLFVCFMVGIFIGFMPFFSVDVSQKIVSENGRLPFDEGAVDRGMVDGKVKELETIVVEKEVDIIDESEVEESPPVPAMLDDEADFVESAPAIPDINDLDITVRKLLIIVTITTVRPQQAYYLNRLAHVLKTVQSPLLWLVVEWPDQSFQTAEILRSSGVMYRHLICRKNTTSVRKIAVCQRNTAIYHIKKHRLDGIMHFADEERSYMSDVFEEMRKIRRFGAWPVAIHTGIKYRVVLEGPICKGNRVTGWNTIQNIQKKSAVRRFPVGFSGFAFNSTMLWDPERWNRPPMDSVIVHSGGRGGLQESRFIEKLVKHERQIEGLPEDCNRVMVWNFNLEPPLLNVPPGWSLHKNLDAVIPVT >ORGLA05G0220300.1 pep chromosome:AGI1.1:5:21472101:21475880:-1 gene:ORGLA05G0220300 transcript:ORGLA05G0220300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1PXV5] MPPPPPPLEARDYIGLGATPASSSSSCCASTPVAEVVGAHLALRLGLPGSESPARAEAEAVVVDAALTLGPAPPPRGGAKRGFVDSLDRSEGRRAAATAGDDERGVREEEEEEKGLGEAAAGAPRAAKAQVVGWPPVRSYRKNTLAASATKTKGEDQGKSEVGCCYVKVSMDGAPYLRKVDLKTYSSYEDLSLALEKMFSCFITGRSSSHKTSKRDRLTDGSRADALKDQEYVLTYEDKDADWMLVGDLPWDLFTTSCRKLRIMRGSDAAGMAPRSLEQTGQNK >ORGLA05G0220200.1 pep chromosome:AGI1.1:5:21464847:21465965:-1 gene:ORGLA05G0220200 transcript:ORGLA05G0220200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAQPGGAAAAGGGAARLKPSPRALFSCGIFSTCTHPALSPTATPNNNVVAGMGGAKGGSGTPCGGDAAAASPVVEAAAPAVEMSSHHQHPQQQQQQQGAAVQRSVGPSSSSSSSSSSASQSFTQWRLPVHHPPQASGSAGGGGGGGGGGGGAVMMSAEEKFAAGEVVAALRTVEREMEAAARAVPVAVVAGVVAAVREPATARLAAKVLLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIYGRNGDEAASSPPSPPPPEVVKAVVAAMQGECSARGRRKGAQLLRALQEGGRLGLAWDGVGEP >ORGLA05G0220100.1 pep chromosome:AGI1.1:5:21458465:21459982:-1 gene:ORGLA05G0220100 transcript:ORGLA05G0220100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPGRGRSFGSSRKGKRSAGNSGSDKPKQPQRGLGVAQLEKIRIQSEMAAGYLQNPPLGQPPPIHGIGSLNLQEDARSSNSLSSSPSSSFPANINSYPIHPNLAMAYGGSRSGDIRYGEFQSTSPIIRSPPNHEAIYGAAAHYSHPSSDHTLPLFEPEESIYLRRHYGLNQPVDHSMNSDDPEEVDLELKL >ORGLA05G0220000.1 pep chromosome:AGI1.1:5:21445391:21447256:-1 gene:ORGLA05G0220000 transcript:ORGLA05G0220000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLLRAAVLAVALLLLADSGEAFFDLFSIFRPRSDSDYFPFDGSPEQAKRKPKIEQEEDGAAPATATGLTKVPLLGAPSKAALDTIVLPVDDSAGHAGSWTIVSENSGVSAMHLAVMRHGKAIMFDTSTTGRSLMRLPMNNCRADPRAKREGTMDCWAHAVEFDYSTGALRSLKTATDTWCSSGAFDADGNLIQTGGYFEGDKAVRRLDACDTCDWREYPNSFAEGRWYATQQVLPDGRFIVFGGRRAFSYEFVPQPGMTNGQSIKFPLLRETTDDVENNLYPFVNLLPDGNLFVFANDRSVVFDHRTGKVVRELPKLAGGGRNHPASAMSAMLPLDLRNLTRGADPEPEVIVCGGALKTAFRLGENNTYQPTLRDCARINLGKIDAVWAVEAMPVGRVMGDLLVLPTGDLLMLNGAAKGSSGWGFARQPILSPILYSPRHPEGSRFRPLAASTVARMYHSTSAVLPDATVLVAGGNTNAAYNFSGVDFPTEVRVERFAPPYLSRELTGNRAVIDVASVPAGGMRYGTKFTFRFHTPVAAVEWGDVRVTMYAPPFTTHGYSMNQRLLVLPVAGFSAQGQMYELTVDTPRKPELAPPGYYLVYVVSKDVPSEAAWVKIQ >ORGLA05G0219900.1 pep chromosome:AGI1.1:5:21438824:21444794:1 gene:ORGLA05G0219900 transcript:ORGLA05G0219900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase beta subunit family protein [Source:Projected from Arabidopsis thaliana (AT1G72550) TAIR;Acc:AT1G72550] MPTVSVGRDRFFVALGRTYTQEEFEVLCFEFGIELDDVTTEKAIIRKEKHLEDDDGEVDGDDDEVIYKIEVAANRYDLLCLEGLARALRIFTGTEATPMYKISCIPRDSMLQMYVKPQTSQIRPYVVCAVLRGVTFDEARYNSFIDLQDKLHQNICRKRTLVAIGTHDLDTLKGPFSYEALPPQEINFVPLKQEESFRADKLMEFYKSDMKLKKFLHIIENSPVYPVIYDSNRTVLSLPPIINGAHSAITLKTRNVFIECTATDLTKAKIVLNTMVTMFSEYCKNKFEVEPVEVVSHDGSKTIYPDLSCYKMEVSLSEVVGPIGISLDETQVISLLNKMQLQAESCPSKGEPRISVSVPPTRSDILHARDLVEDVAIAYGYNNVPKSKPKCMTIGGRQPLNRFSDKLRAEVARAGYMEVLTFTLCSREENFDMLNRTQDVNKAVIIANPRTSEFEVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVVTLDESRDVGASNNRRLAALYCNKQSGFEEIMGLVDRIVNVVRAPHIKFGQNYYVPTNEPAFFPKRQCKIVMSDGKQIGYLGIVHAEVLRKFGIPDPCTFVEIDVEALL >ORGLA05G0219800.1 pep chromosome:AGI1.1:5:21434414:21436222:1 gene:ORGLA05G0219800 transcript:ORGLA05G0219800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel interactor of JAZ [Source:Projected from Arabidopsis thaliana (AT4G28910) TAIR;Acc:AT4G28910] MDDENGLELSLGLSLGGTSGKSKARDAPLEPKAEPQVEESSSKGVSQTPEAPFVHYYQTNTENQEHSSKQRHSPAAPPFGNFWGQPGSSSVPVADGSNEQKPVSSKRKLLSEEISFQKKPNTAAEQPDAFSKSSDGGVKNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNRGSDRKRSSDDAAVGFQGKRQPSFSGSESSSGKLPQGNPLSLQASNVVAVPYQVPSQVSAPPSITNASNFTPVCTVQLRPPTNNGLAVTMGSTSQVAFGYPAVQLPTLETSSSWAFGAPPQAMSSFTAKDKVERAGISQADDGKKTQEAGASSSALVEDDKKSDRALPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGTGPNGRTISGVTYKFGRNEVKIVCACHGTHMTPEEFIRHASADAPGQENSATLPAFPVGNQAASAQN >ORGLA05G0219700.1 pep chromosome:AGI1.1:5:21420860:21422107:-1 gene:ORGLA05G0219700 transcript:ORGLA05G0219700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQQQKHEVDRATVAGAVAALFKWMRARAAEAPPNLLADERDDLLLLQLSLRRIPPSPTTKPRLLPLPHPVIVPGESASICVISDDRPKSRSPAASDLLDASRSHHLPVSEVIPLSALRTDYRPYESRRRLAASHDLFIADRAVLPLLPRVLGKAFYSTKKAPVAVDFARTGWLEQVRKVMNSTFLYLRTGTCSGIKVGRLDMKEEDTVENVMAAVEAAVENVPKKWANVRSLHLKAVDSVALPIYQAVPELGMKIEVRFAQLEGEVGSGEVIDASEAGAALKGVGKKKAKKALKHVEEENAGEEAAQESGKRKRGKKQLAEDLMEQDIQDVSEKRKKVKEGTPAAETPKVSKKGKEKSKRALDKEGEDASVEEASNKKKKGKKEVVSDVGEKNSKVKKSNGDKEKRRTRLRV >ORGLA05G0219600.1 pep chromosome:AGI1.1:5:21415623:21418653:-1 gene:ORGLA05G0219600 transcript:ORGLA05G0219600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYFSRILDLAWQLRHQLTRLIEHVFGYIDWIPEHHGFQFSPCYKRSLPATERYIRGEAPLLSVSNSTEDQTPNGLSEAIVVRKGLSSICQRFPSFLHDFRLGQQLAFHLRELCSAVASEIHAKLARFLHRFWTTLQGSSKDIGWLKRTKTLPCSVDGTDRFKELLYGIRNGMHHLPNTLVYLFIPGLFSNHSPLYFVNTKRIFSKMGLTCHIARIHSEASVEKNARELKLYIEELYWGSGKQVLLLGHSKGGVDAAAALSLYWSELKGKVAGLALVQSPYGGTPIASDILRKGQIADKETRRIMELIICKLIKGDIRALEDLTYDKRRDFISKHKLPVDELPIISFHTEASTAPTMLVTLSRVAQAELLPWLPLPRFLSSSEYAESLLASLKLPVVVPASAAMAVSALHLRLRYGERSDGLVTRRDAEVPGSVVVRPERRLDHAWMVHSTLRKDHAEADATQMCEALMAMLVEIGRKKCC >ORGLA05G0219500.1 pep chromosome:AGI1.1:5:21412425:21414981:-1 gene:ORGLA05G0219500 transcript:ORGLA05G0219500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L21 [Source:Projected from Arabidopsis thaliana (AT4G30930) TAIR;Acc:AT4G30930] MATRRCLLRLLSRRLLAHTPQPASLASIATRTLASLAKPLVPQASRVLASPRLFPSRCHYASNRSSGDEEEGDDDDHYDEEGSGDEWGEEEEEAVAAKPPSGKTEEEKVAEAAEIGYTVVGPLGADEKPFKPYEPVFAVVQIGSHQFKVSNGDSIFTERLKFCDVNDKLILNRVLMLGSQSQTVIGRPTLPDATVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITNIEGIDKSEATAVAA >ORGLA05G0219400.1 pep chromosome:AGI1.1:5:21395421:21399553:-1 gene:ORGLA05G0219400 transcript:ORGLA05G0219400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate 2 [Source:Projected from Arabidopsis thaliana (AT2G33770) TAIR;Acc:AT2G33770] MDLYAIDSDTESYAETSDSEEDQEECELTYCGHAQNILSSLDESIGKIDNFLSFERGFLHGDIVCSAADPSGQLGRVVGVDMLVDLETSSGDIIKHVNSKKLSRVRSFVSGDCVVMGPWIGRVIRAFDLVTVVFSDGARCEMLLRDSEVLKPIPPILFEDAPYFYYPGQRVRIVHPSISKSGTWLCGSWKSSRDEGVVSHVDVGLVHVNWITSVTNVWGGQSSSPPNFQDPKKLTLLSCFPYANWQLGDWCTLSDCEGSLWENSDKSCFMSMTWKSSSDTQTAIGTYGSDYSQTYVVAKTKSSVDVLWQDGSTSLGLEPQSLVPVSTLGDHDFWPGQFILEKLTVEDNGRCQRTGIVTSVDALERTVKVKWAVSVDSDTVSYGDGLTEETVSAYELVLHPDFSFFTGEVIIRSAVNIENSEANLTNGTVAVSRESLDTSSAFLSCIGNVLGYNDEGLEVQWASGAISRVQHFEIIALDRILDDSLESMIEEHTTDDLVDMAEQEKMHLEDTKSALEESAGDCTGSLRKATAFLFSKTAFNFLTNVASSLFGAHDSTSSSSVNADSQYQIVTTAELQPSAEDISEEKQTMELITQFEKPTLASENAMTKGFDVVTDCSDHHFVKEIGHENVKRGWVKKIQQEWSILQNDLPDGIHVRVYEERMDLLRACLVGAAGTPYHDNLFFFDIFFPPDYPHEPPSVHYHSGGLRLNPNLYESGKVCLSLLKTWAGTGSEVWDPENSTVLQLLLSLQALVLNEKPYFNEAGYDKFMGKADGEKNSITYNENAFLLSCKSMTYILHKPPKHFENFVKEHFTCCAPHILDACKAYLGGDLVGHARDSAYISDDGCKNSSTGFKIMLAKLLPKLVTTFSEAGIPCSP >ORGLA05G0219300.1 pep chromosome:AGI1.1:5:21389194:21393142:-1 gene:ORGLA05G0219300 transcript:ORGLA05G0219300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAPKGYLCAGSSSFDDPDVVEVTPAAAAAGGWSSGHQKRKRSQVVPHEVIELDADDDPDGVVIIGEKSSVDKNKQAVGYPIDWLKHAKSSLAGEIAGPSSYPSKNPDILLGGLKIFQENPFYNNIDDYAYEAFEEDYGYDEDEYDDYDYDSTLYESEYNFTLAAKFDGLDIPPGVEAPLPWLQTTAAEMANKTKPVNMMDDKIDEKYSAFKQFDTVDDHSDHYYSKPDLRKVQVVKKPSKEWAKRIQHEWKVLEKDLPDTIFVRAYEDRMDLLRAVITGPAGTPYHDGLFFFDIYFPPHYPSVPPLVNYRSGGLRLNPNLYACGKVCLSLLNTWSGSGCERWSPSNSTMLQVLVSVQALVLNAKPYFNEPGYAMHANTPHGEKSSLTYNEDTFLLSCRTMLYSLRNPPKHFEDFIAGHFRKYGQSILIACRAYLDGAQVGCLVGNGVQDVDEGDKSCSARFKSALKRLFEELLMEFTVKGAECDKFLAEKAKAAASRAPADTTLRL >ORGLA05G0219200.1 pep chromosome:AGI1.1:5:21385210:21387912:-1 gene:ORGLA05G0219200 transcript:ORGLA05G0219200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRDPNPNHLLLVAVLLLLVPATVAAAATYSDHCHGLPSAPDLAGGGEGGADPTSLRLSLQLNTGYFSGGGGARLFGPDLSIPPRSFSFLPSSVVRTTDASLLHVSATLTVSGGRRRRPPNDGRHLLVEYDGQAHRFRPRLPRFAGRRGSVTFGLEGYYSSASGELCMVGTGSGRAADGTAVNLLSAVLRVRYPGRANLTRPFVTGSLESTDSPSFFEPVSLVTYAEEGYAYAESASCPPPPTGRLDALQVFEGSKFSCAHLSSLFKATFRLDYTNGSSESTASSLGLHQRFMFINRMRCADDGAVRAYVVFANQTDVSAYYFMLGEKAMVVEGFWDEKRSRLCLKGCHVVNSGPSRADLAVGECGIGMSFWFPAVWSLQERSFAAGLVWNTSLKSGEGIAASSNTIAPYFRGSLSGLKYNYTKVDEAKKYYEKYGLNKKRKGKFPDSNSYRDLTFRFFLQKGGGSGYASPVTIGSMLYDGNSLVDSDRSYHIMTETNHRLLNVSYDIHYVGNWSLETFRRQHISAEGVYDAKTGSLCMIACRVVNISLDCEILVTAQFSPLDTKVAQHVKGTIRSLRKKTDPLFFEPLDIASYGLYIDKVDESIWRMDLESTMALISMTLSCLFIAVQLFHVKKVPEALPAMSIAMLVVLSLGYMIPLVLNFEALFKNSNKQTFPLSGGGWLEVNEVIVRIITMVTFLMQLRLLQLACSARSMDVSKDQSWAAEKKVLWICLPLYIIGAVAAWVVHMQFNNNRRMLRKVARLPQVNRHAFWEDLVSYGGLILDGFLLPQVILNACLGSKVKALSPGFYIGSTMIRALPHVYDVFRAKHFVPSLRPFYRYANPRDDLFSLAWDIAIPCGAILLLVLLFLQQRFGGAFFICSKNRKASEYEMVSTVSS >ORGLA05G0219100.1 pep chromosome:AGI1.1:5:21376306:21378942:-1 gene:ORGLA05G0219100 transcript:ORGLA05G0219100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G28380) TAIR;Acc:AT1G28380] MMRVLGFMGDGGGGGMMTAQAAAEAAVGAIGCGYDLTSDLRLSRVKAGGRLVDIDGPSGAARRELVLPGGAVVGGVPVGIVADKGERTRFRSDVLSFAQMAEQVNQTMSVAGKIPSGAFNAMFDYRGCWHKDAAATGSLCFDGRFVELYAVEAPRAHLALLDRVKRDVPPFWDPAALAEFIDKYGTHVIAGVKMGGKDVVCIKQLKGSNLTQSDVQSRLKKLSDDKLAQDSPESLTARDDKFLLGLNGSLLLGPGSAAWRLFRPSVVSHKDDIVSIHIRRGGVDNGQGHSKWLSTISGSPDVISMAFVPITSLLTGVRGCGFLNHAVNLYLRYKPPIEELHQFLEFQVPRQWAPEFGELPLALGPRKKKNSLPSLQFTLMGPKLHVTTAKADSGNRPVTGIRLFLEGKKNNRLGVHLQHLSATPGTITIAGEVASAEDATVRERDYIEPIKSPLLSHVCTAPVQYNGARIDDCAAIVTRAWLEVQETCLKKVLFLRLGFSGVASTKIRRSEWDGPFVVSRKSGSLSALFSARLSAAGAGGSAQMMQQQQPVGEKVEVNSAIFPKGPPVPLPVQRMARYVDTTEVMRGPADLPGYWVVTGAKLCIEGGKVALKVKYSLLIAEVLDYPE >ORGLA05G0219000.1 pep chromosome:AGI1.1:5:21364131:21369258:1 gene:ORGLA05G0219000 transcript:ORGLA05G0219000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15180) TAIR;Acc:AT3G15180] MEAPAMEPGEMEAMLRAAAEFASYPGAHGDDTVRQFLEQYPLPKLLGALQSEADVPGMDETIAACLDKVFSSRYGASFLPSYGAFIQAGLLANSKNTRQLACKAVIHLLDKAGDSAVVVDTFVQHNLYPLLMNCLTEGDEEISSISLDGIKRLAQIPKGIEIIFPPNGQGSVQLHKVAAQSSSMARIRILSLVAKLFAVSTYTATAIYDSNLLSLFEDEIKDRGDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLMVDVINDSSADSIVRSRATLISGRLLSSADAFMTIDRNCVANLLLAIDKLLKMEESQNTDETESALEALGLIGTTSAGACLLLTDSSNAARHVVEASFGRQGRGKQLAALHAFGSISGVDRQEDQIKLDNQAEERLKRFVYTTARNSPKLTPLALLLSVLQQDPDIRITGYRVISGLVAREWCLMEICSKLDIINLVTDPKMEMTKLGMEARHDCCLAISKALSSSHLHEPSLSELIGKLNEAVKRGPYLSERKRVEPQPVVVPAERF >ORGLA05G0218900.1 pep chromosome:AGI1.1:5:21353840:21356911:-1 gene:ORGLA05G0218900 transcript:ORGLA05G0218900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVVLMVVVCLAVAAAAASAASGGGHSRGRPGKSARLQLVPAAPGASMAERARDDRRRHEYISARLAASRRRRRAEETSSVSSAGAVAASAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFVLIADTGSDLTWVKCRGAASPSHATATASPAAAPSPAVAPPRVFRPGDSKTWSPIPCSSETCKSTIPFSLANCSSSTAACSYDYRYNDNSAARGVVGTDSATVALSGGRGGGGGGDRKAKLQGVVLGCTTAHAGQGFEASDGVLSLGYSNISFASRAASRFGGRFSYCLVDHLAPRNATSYLTFGAGPDAASSSAPAPGSRTPLLLDARVRPFYAVAVDSVSVDGVALDIPAEVWDVGSNGGTIIDSGTSLTVLATPAYKAVVAALSEQLAGLPRVAMDPFDYCYNWTARGDGGGDLAVPKLAVQFAGSARLEPPAKSYVIDAAPGVKCIGVQEGAWPGVSVIGNILQQEHLWEFDLNNRWLRFRQTSCTQ >ORGLA05G0218800.1 pep chromosome:AGI1.1:5:21352303:21353249:1 gene:ORGLA05G0218800 transcript:ORGLA05G0218800.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >ORGLA05G0218700.1 pep chromosome:AGI1.1:5:21347021:21347568:1 gene:ORGLA05G0218700 transcript:ORGLA05G0218700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRQGQRVRLYVRGTILGYKRSKSNQYENTSLLQIEGVNTKEEVGWYAGKRIAYVYKAKTKSNDSTIRCIWGKVTRPHGNSGVVRAKFRSNLPPTSMGKKVRVFMYPSSI >ORGLA05G0218600.1 pep chromosome:AGI1.1:5:21341862:21343013:-1 gene:ORGLA05G0218600 transcript:ORGLA05G0218600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAVAAAMAGPRRRRMLLLMANYAALLVGSVASSLLSRFYFTHGGRNRWVVTLVQSAGFPLLVAGALAGGRPASAPRPFTWLSRRFLAVCLVIGALMGANNLLFAYSTSLLPVSTSSLLLSTQLAFTLVLAVVIVRHPVTFVNLNAVVLLTLSSVLLALRSGDSGETAEGGVGGGGRKSYLVGFVVTLGAAGLFSAYLPVMELVYREAVSGGFVLAVEVQAVMQAMASLIAAVGLAASGGVADDVSGWVDGGSSPAVYWAVVATVVLTWQACFMGTAGVIYLTSSLHSGVCMAAVLTLNVLGGVAVFGDPFGAEKALATALCAWGFSSYLYGEYAKAKKVAAAAAAAAAEEEESASDGEGSVHKSLTGGGAAGGHVETAV >ORGLA05G0218500.1 pep chromosome:AGI1.1:5:21335675:21339767:1 gene:ORGLA05G0218500 transcript:ORGLA05G0218500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRLLKDDATEEKGERARMASFVGGMAISDLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGFRMAAECARDALLQRAMDNKEDSDKFRSDLMNIAMTTLSSKILSQDKEYFAGLAVDAVLRLKGSTNLEAIQILKKTGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNLPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLYGGGWPEMVMAKEVDGLARKTPGKKSHAIEAFSRALQSIPTIIADNAGLDSADLISQLRAEHHKEQSTAGIDVISGGVGDMEKLGISESFKAKQAVLMSATEAAEMILRVDEIVTCAPRRREDRM >ORGLA05G0218400.1 pep chromosome:AGI1.1:5:21326684:21334157:1 gene:ORGLA05G0218400 transcript:ORGLA05G0218400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAWAWFRIRPENLVLITLPAIGGFYSNTTGSCANHIYTLASRCSRRGGRIENRFQRVSPDCLPLPNGGGGGGGSRKPAAGPRSSKDDDAPAAAAAADSARTSPYLAAASASLESKPRTRAPPPPPPAPAPAPPSSSSAAAAPGRSPARERERDHGHHPSDISDPTSPSSTGVGGGGAGGSGSAVPDSAVLLQWGHNKRSRGRRDASASSSSAAAPSPQRRQAAAAAGVKIQRRSSAPAEKLMPPPSTTPSRSSTLRVTSSLPARGGGGGDAHHHGRSALPHHSSIHRCSGEFAFVAMLEFDGMMMIRSGEQSAAATKAEKQQQRPAAHRVAEAGGVVMALAKTDSKYQHHTGHGGHGQAADHHGPSSSSKAATAAAQKIELPRIYTTLSRKEKEEDFMAMKGTKLPQRPKKRPKLVEKQVNFVCPGMWLSDVTRSKYIVREKKSTKKLYSPFATKIQGTERDGEHGQRLRVIAKTRSATHRDSSTSGVNFALEPGEKSRKRETSTAPSMDSKGDAAPKGPRGFVAHSNRTPLMHENGSDPGGWSPSTFPPRQAGRGVGRDTEKSQATDDWRLAPATGRGERGKIFRKQVGKR >ORGLA05G0218300.1 pep chromosome:AGI1.1:5:21318111:21320788:1 gene:ORGLA05G0218300 transcript:ORGLA05G0218300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSAAAVAVLVVAFAAAAAAQESCNTELPGVLVGNYSGLNCQPVWNNFVLRYHQDKNNVLRVVLSTMYSTGWVGMGFSRDGLMVGSSAMVGWIGRKGLPHVKQFALRGKTSGKVVVNRGFLVSNSNNHDHTVVVQQARIYVAFQLQFSYRLTHQHIILAFGSSIPVNNKLTKHQDKTSFTFDFTTGKAFVDGSFPYGLRRAHGALNLFAWGVLMPIGAILARYFRRMDPLWFYLHVGVQFVAFIIGLAGVVAGVALYNKIQADIPAHRGLGIFILVLGILQILAFFLRPNTDSKYRKYWNWYHHWSGRLVLFFAAVNIVLGIHVGGGDNSWKIGYGFNLAIILLAVIGLEFMLRTRWSKESEPTPTY >ORGLA05G0218200.1 pep chromosome:AGI1.1:5:21312860:21316811:1 gene:ORGLA05G0218200 transcript:ORGLA05G0218200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSTADPASSSTSTYSTTTTTSPTPTPSPSSLRKRVLSIDTSSRDSRGSPGRERESKARQEEESVMASAAASEAERERDVEAAVPVPMSPAGRLFRETNFNCYIVAVIGLGARVDVAAARAGLEATLVRHPRFCSVQVSDEASKRAKPRWVRTTVNLDDHLIFPELDPTATSASPDQVIEDYMSTLSTQPMDHSRPLWELHVLDFPTSEAAATVAVRMHHSLGDGVSLLSLLIACTRSAADPARLPALPPAPARRDGPVYARRRPPLSAGIVALAAWAWSYLVLALHTLVDVACFVATSLFLRDARTPLMGTEGVEFRRKRFVHCTLSLDDVKLVKNAMKCTVNDVLVGVTSAALSRYYFRKENDTNSEKRTRRKRIRVRSALLVNIRKTPGLHVLAEMMNSNKNNVARWGNLIGYIVLPFRIAMFHDPLEYIRQGKRTVDRKKSSLEAIFTYWSGNLIVKLFGIKTAAALCHGMLANTTLSFSSMVGPAEKVEFYGHPIEYIAPSVYGHPHALTVHYQSYMNIIKLVLAVDDAQFPDAHQLLDDFAESLRLIRQAASTKS >ORGLA05G0218100.1 pep chromosome:AGI1.1:5:21301831:21306928:-1 gene:ORGLA05G0218100 transcript:ORGLA05G0218100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESFVGKDFLPRGSGIVTRRPLVLQLHRIDGDREYAEFMHLPRKRFTDFALVRKEIADETDRETGRSKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSFIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQQQWIGVVNRSQQDINKNVDMIAARRREREYFSTTPEYKHLAHRMGSEHLAKSLSKHLETVIKSRIPGLQSLITKTIAELETELNRLGKPIATDAGGKLYTIMEICRMFDGIYKEHLDGVRPGGEKIYHVFDNQFPVAIKRLQFDKQLAMENVKKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDAVHAILKELVHKAINETHELKQFPTLRVEVGNAAFESLDRMRDESKKNTLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGTTVLAYINMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREIKQLSKLLDEDPAVMERRTNLAKRLELYRSAQAEIDAVAWSK >ORGLA05G0218000.1 pep chromosome:AGI1.1:5:21296893:21300877:1 gene:ORGLA05G0218000 transcript:ORGLA05G0218000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13400) TAIR;Acc:AT4G13400] MEIGLLVRTQTQPGWAPTTRGGGRRRPLALALGRLSSRRPVRCRCLAAMQTSPPPPSVRTVSIPFSDLKERDRDLSGKIEEGLGPNGLGIISIADVPGFPVLRKTLLRLAPKVANLPEDVKKELEDPDSRFNFGWSHGKEKLESGKLDTFKGSFYANPILDAPTTDDVLVRRYPSYCRTNIWPASHLPELEIAFKALGKLMLEVGLMLAHHCDRYVMQQGVGPYDGESLEQTIASSRCHKGRLLYYYPQQFSKQEEGGSVSSWCGWHTDHGSLTGLTCALFTKNSMEIPCPDSAAGLYIRTRDDKVVKVTFEENELAYQVGETTEILSRGRLCATPHCVKAPSSENASNVDRSTFAMFMQPDWDEKLKFPSEIPYHQELIPPNGTLTFGEYSERLVNKYYQGKT >ORGLA05G0217900.1 pep chromosome:AGI1.1:5:21294312:21294881:1 gene:ORGLA05G0217900 transcript:ORGLA05G0217900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRQGQRVRLYVRGTILGYKRSKSNQYENTSLLQIEGVNTKEEVGWYAGKRIAYVYKAKTKSNDSTIRCIWGKVTRPHGNSGVVRAKFRSNLPPTSMGKKVRVFMYPSSI >ORGLA05G0217800.1 pep chromosome:AGI1.1:5:21291722:21292159:1 gene:ORGLA05G0217800 transcript:ORGLA05G0217800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLQLPCPCQEATAVATSGVKKRRKKSPATAAVRSSKAAAAASSRHVVPVIDTPGEEEEMRMAWPGCHVEPAVDGGDGMRVKVVMKRKDAAELMARLEERCAVERKAKMVELNAGLRGGHGHGHGGGGARDAWAPRLVAIPEN >ORGLA05G0217700.1 pep chromosome:AGI1.1:5:21276582:21289668:1 gene:ORGLA05G0217700 transcript:ORGLA05G0217700.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARPPPRSMSKIPESSIGLYDPSMERDSCGVGFIAELSGEYSRKTVDDAIEMLERMAHRGACGCEKNTGDGAGILVALPHNFFREVTKDAGFELPPPGEYAVGMLFMPTDDKRREKSKLLFREKAELLGHTVLGWRRVPTDNSGLGQSAVDTEPVIEQVFVTKSASSKADFERQMYVLRRFSVMSIREVLGVKNGGPKDFYMCSLSSRTIVYKGQLKPSQLKGYFFADLGDESFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNKNWMKAREGLLKCEGLGLTRDEMLKLLPIVDATSSDSGAIDNVLELLIQSGRSAPEAVMMMIPEAWQNDVNMDPERKALYEFFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTYSGRVIMASEVGVVDVPPQDVSRKGRLNPGMMLLVDFENHCVVNDDELKKEYSKVRPYGEWLKRQRIQLTDIIESVNEAERIAPSISGALPITKENKADMGICGILTPLKAFGYTREALEMLMLPMAKDGQEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLSETTERQCHRLTLKSPLLNTNEMEAIKKMNYRGWRSKVLDITYPKKNGRMGLKQTLDKICAQAREAIHEGYTILVLSDRGFSSERVAVSSLLAVGAVHQHLVSHLERTRIGLLVESAEPREVHHFSTLIGFGADAICPYLALEAIWRLQIDGRIPPNDGKPYTQEQLIEKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLASEVVSKCFEGTPSRVEGATFEMLAQDALRLHEIAFPSRTLPPGSADANALPNPGDYHWRKNGEVHLNDPFSIAKLQEAARINSREAYKEYSRRIYELNKACTLRGMLKFREIPNQISLDEVEPAKEIVKRFCTGAMSYGSISLEAHTSLAEAMNTLGGKSNTGEGGEQPCRMVPLPDGSKNPRISAIKQVASGRFGVSIYYLTNAVEVQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPGARISVKLVSEAGVGIVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQMKTGRDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPAGIATQDPVLRAKFAGKPEHVINYFFMLAEEVREIMAQLGFRTVNEMVGRSDMLEIDPKVLEGNEKLENIDLSRLLKPAAEISPGAVQYCVEKQDHGLDMALDNKLIASSTAALRKGVRVFIETPVRNINRAVGTMLSHEVTKRYHIHGLPSDTIHIKLNGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSRFNPQDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTAVILGKTGRNFAAGMSGGIAYVYDVDGKFSSRCNYELVDLYAVVEEDDITTLRMMIQQHRLHTQSDLARDILLNFDTLLPKFIKVYPRDYKRVLDKLKEEKAAKEAEQKAREVVDKKPVEVIQAPNGISVKTEKVMNEEPSSRPSQVSNAVKYRGFIKYEREGTSYRDPNERVKDWNEVAIELVPGPLLKTQSARCMDCGTPFCHQEGSGAGCPLGNKIPEFNELVHQNRWHEALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIDNPVSIKSIECAIIDKGFEEGWMVPRPPLRRTGKRVAIVGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKADKEGIVQRRVELMAKEGVQFIVNAHVGSDPLYSVEKLRSENDAIILACGATKPKDLPIPGRELAGIHFAMEFLHANTKSLLDSNLEDGNYISAQGRKVVVIGGGDTGTDCIGTSIRHGCTNLVNLELLPEPPRKRAPDNPWPQWPRIFRVDYGHQEATSKFGKDPRSYKVLTKRFIGDENGNVKALEVIRVEWEKVNGRFQFKEVEGSEEIIEADLVLLAMGFLGPEATVANKLGLEQDMRSNFKAQFGNFATNVEGVFAAGDCRRGQSLVVWAITEGRQAAAAVDNYLSKDDEGETNGTEDIAVSSEGLVQPVVA >ORGLA05G0217600.1 pep chromosome:AGI1.1:5:21270572:21272842:1 gene:ORGLA05G0217600 transcript:ORGLA05G0217600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCRWLLTPEASGIRFGSHSARGAGPKQASDDGRPAPGKLREVIAPWAPVPPKVTGLRSPEGFPKSGRGAPEATLGRGSSEGPLKPDMPAGADHVSCALTRQAKNXALVDVNKCALRAPTNSDTVDGCQAEHAITQGMPLPELGNTRKLKEIIWTGDPEDHKKNLYVLTTLIVIFPVDKSTHWFWSH >ORGLA05G0217500.1 pep chromosome:AGI1.1:5:21267120:21267953:-1 gene:ORGLA05G0217500 transcript:ORGLA05G0217500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAHDARPSSEMTASAAGASAVAGAEVGRRSMAQKRSVSQKIKWRANGGQETVHLGRRAKRGDGGRSSDAREAGGAAAGGVGQWRMRAKRGDGGRSADASELRNWRGYGACGRRSARVRTAIDGGLGAPTC >ORGLA05G0217400.1 pep chromosome:AGI1.1:5:21259726:21261024:-1 gene:ORGLA05G0217400 transcript:ORGLA05G0217400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32590) TAIR;Acc:AT4G32590] MAATSSTSTALFSLAIPSSRVGSTRGHRKASSGSGPFRAARIRCSNAASPNASAGAASAEEAAPPPKPQIDLEFVGPKADADGSFPVDRAAAGSGEKLLRDVMVENKIELYAAYGKVMNCGGGGSCGTCIVEIVDGKELLNERTNTENRYLKKKPESWRLACQTIVGNKENSGKYKTTSIPQERRVFSLMLITQNLAHENAFIHHDFSEI >ORGLA05G0217300.1 pep chromosome:AGI1.1:5:21256545:21258655:1 gene:ORGLA05G0217300 transcript:ORGLA05G0217300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGFLSKLPRKTSASGRGADLDSGQCSNGAGNGNPIQRTSSCGSIPSGRSTSTIKRMSSAIFPSSVVAGIEPLVSFKDVPNSEKQNLFVSKLNLCCAVFDFSDPNKSSAEKDIKRQTLLDLIDYVDSSSSRFSEAVIAASSRMFAVNLFRVFPPNYRSGSSGGGEGEEEEPMFEPAWCHLQLVYELLLKFIGSSSLDAKVGKKYFDHSFIVKLLNLLDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIFYHFVFETDRHNGIAELLEVFGSVISGFALPLKEEHKIFLWRVLVPLHKPKSVGVYLQQLTYCVTQFIEKDPKLASSVIIGLLRYWPITNSQKEVMFLSEIEEILETISTAEFQKCMVPLFRRIAQCIKSSHFQLPQRDGFFQVAERALFIWNNDNVISLIAQNRQMIMPIIVPALEHNSQNHWNQAVLNLTDNVKKMFSEMDDVLFSACLVKYKEDEERQASLESKRRLTWEKLESAASFQPVTGHTAVLVGRQPSANLIATLI >ORGLA05G0217200.1 pep chromosome:AGI1.1:5:21243872:21245359:1 gene:ORGLA05G0217200 transcript:ORGLA05G0217200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEMDAVNRNGTWELVDLPHGHRPIGLKWVYKLKKNEAGKVVKHKARLIARGFVQQPGIDFDEVFAPVARMESIRLLLAVAAQKGWHVHHMDVKSAFLNGDLVEEVYVKQPPGFVVAREEDKVLRLHKALYGLRQAPRAWNAKLDRTLKELGFDQSKHEHAMYRRNNGGSALLVGVYVDDLVITGPSTRAIEQFKEEMKAKFQMSDLGLLSFYLGIEVKQGDDGISLNQGRYAQRIVESAGLKDCNPCATPMEERLKLSRDSTAPPVDATKYRRLVGSLRYLVHTRPDLAFAVGFVSRFMERPTEEHMVAVKRILRYVAGTMEYGLHYKREKEEQRLIGYSDSDLAGDIDTRRSTSGMLFFLGSSLVSWQSIKQRVVALSSCEAEYVAATNAATQGIWLARLLGELLGKQPKAIELKVDNKSALALAKNPVFHERSKHIDLRYHFIRSCLEEGSISASFITTMDQLADILTKALGRVRFHELVARIGVVKISSE >ORGLA05G0217100.1 pep chromosome:AGI1.1:5:21237449:21238039:-1 gene:ORGLA05G0217100 transcript:ORGLA05G0217100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVPPWTLSPTHRSREVEDEADXDDGEAAVRGAEGRRPQIEEAVVDMRAPPGTTPTPPPARKRTAAASPLGATPAPAPERKGMAAASLPGAIPTPTPATERKGTTAASPRGTQSTTPARKGLAVASPPGKPLSTPGRKRNFVAGDWRGRREKERGNNFPPTRARQRRPRLGRKNGSLQMSNDIYGYGNLDYXDVG >ORGLA05G0217000.1 pep chromosome:AGI1.1:5:21233385:21236794:-1 gene:ORGLA05G0217000 transcript:ORGLA05G0217000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGLFIPIFLEEKAAHQKEEEEEIHLRLLDQLFAPFDMFEGGDPVLHEWQYARPIYGKERDAAPPRHCHRLFRIKLSAKRGQALWSHRETRRFCAEREGGFPLRLARLAADGCCLELEDDGGQVGALSCFRLSTSQSGLSRKAEMVAAVAAAGSPPVRVVAVIRRLPGAGCDRLALTTNMWIARLAVRLHDKLFVLPPDGSTVTIAFSERWFRIPSKWTEEKLYARVGHEFINITVALEDLARNLYQMHEQEEQEEMILHEKQEQERRMQEELDREREELEMKPLACIPLGHGDMKWESPDEAMHRRFELSVGTNGEVGCSFVEQECPRDMRWRLACYGRFVLPLTTMELQAVGFLEGYLDPKMDGFTEQRGKTVALRPVAMVSMSPQVDQILSFKFLVDNLAIWLNDGVVLTGWSGITVSIHCGDDDNSCAFPSFTSADNWTHQVLEWKVDDNNPISCSCLLLQLNRKLCRLNAARIDWQEHDFGLSAIFALEAEEDQGLLYQQKQQENEELRLNALSLPGTLSGGGEGKGEYSLLFESPEESDWAKVSEPYVPKFPTEEEIQKREEWRKEQLKLVMEPIIQPVQEPRRGSNYFMCNPGSRSTTKAELPTDEPYAVLLYYWTLSDKCESKLQPKRNLHPRLVCLEWCTCAPSSILQVYTLEIIVADSLHSCKLDISGFVAIRDLRDGRRNYIFNREIGHPFTVVSRHGVLRLPTLSPRRAIHTNPEILLEFNLKMKRTGNGIDSYRELIQGVVEHPSIYRHDWSRVNALSILPCGNRSTPMMRLKLARILKGVEATVELQPLRLPPGGMDLRCTARSGRIADDIELFDGKYGGDDDTSLQFAWQHGDPLGRSMXWREQEMVHWFCAKVPCFVLSGGRLTIRSAFADCCLVHV >ORGLA05G0216900.1 pep chromosome:AGI1.1:5:21227257:21228224:1 gene:ORGLA05G0216900 transcript:ORGLA05G0216900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKVYFPSSQEKQSREERGGGSSTVQSNRKPIRSLSPLSSSPIPNMPRKPCNSKMRGFLARGLGFYLVSDLDAFMVF >ORGLA05G0216800.1 pep chromosome:AGI1.1:5:21220561:21222501:1 gene:ORGLA05G0216800 transcript:ORGLA05G0216800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGGAAKKPAGGGELSRFLQSHLQTINDTFQMMAEAAPGGLERTEWSEVVKLGEQVSRQATVAGMVWSGDLPDVETLKENIVAYFNILQGFLLGCHGSTVGAGPTLHKSICSSAKNVVDSSFSLFNQAVSAYESRSPDRKTTIHQVTGTVWEACLALNKVPTTNCVAIGRAMTQIGVYLKDILREMKELPIGDSNGTAEKSSNGAVDTTSCSDRDGSSSDLELDEDFTEEEVAVAKLVVTVASDALVVVKETIRFITCLLKISGNRSGANEEKVETMEQLLSCCRQAADQINDLGASVYPPQDLSEMKSSVKRLYGGANAMRREIGSLGGSPEGAFVALERFEKSLGALEVEIADDVANEMENLTISSS >ORGLA05G0216700.1 pep chromosome:AGI1.1:5:21212528:21217711:1 gene:ORGLA05G0216700 transcript:ORGLA05G0216700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQRTRHRDGEERRLVAAADGGAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGASYHSSATSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALAFLLFQNRDDARQFMKHLYPDLGVELPERSYGADCRLYVPENPKNKFINIYETLFDEFVVAHILGWWGKAVMIRNQLLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWYPFMGPLRFVQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQDSKPVKKVGAFCWLSLAICIVELLICMKFGHGLFHDPMPTWLIIFWSSVGVALVVFLLAWSWRNHLKYQRKRL >ORGLA05G0216600.1 pep chromosome:AGI1.1:5:21196243:21196839:-1 gene:ORGLA05G0216600 transcript:ORGLA05G0216600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7/L12, oligomerisation;Ribosomal protein L7/L12, C-terminal/adaptor protein ClpS-like [Source:Projected from Arabidopsis thaliana (AT1G70190) TAIR;Acc:AT1G70190] MAASLLRSGHRLRLLRHGSLSWASFSAAAAEELIDVRKLPTDYDASTFDPTAPSRPPPSDRVWRLVEDVSSLTLAESAALSALLLRRLDVPAPPIAILNSAAGLGGGGGAGAAGAAGEKAGGAAAAEKTVFELRLEAFDAASKIKVIKEIRSFTDLGLKEAKELVEKAPAVIKGGVSKEEARTIIDKMKAVGAKVVMD >ORGLA05G0216500.1 pep chromosome:AGI1.1:5:21190353:21193756:1 gene:ORGLA05G0216500 transcript:ORGLA05G0216500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1PXR7] MEERIPLLSKRFPADGTAGVGGGREEEGGDRWWSGLAREAGKVGSMALPMAAMSVAQNAVQVASNMMVGHLPGVLPLSASAIATSLASVSGFSLLVGMASGLETLCGQAYGAKQYDKLGVQTYRAIVTLTVVTIPISLLWVFIGKLLTLIGQDPVISHEAGRYIVWLIPGLFAYAVCQPLTKFLQSQSLIFPMLWSSIATLLLHIPLSWLLVFKTSMGFTGAALAISISYWLNTFMLAAYIRFSCSCKVTRSPPTIEAFRGVGLFLRIALPSALMLCFEWWSFEILVLLSGLLPNPELESSVLSICLTTTSLMYTIPYGLGGAASTRVANELGAGNPEGARLAVHLVMSIAGTEAVLVTGMLFAAQRILGYAYSSDEEVVTYFTSMVPFVCISVAADSLQGVLSGIARGCGWQHLGAYVNLGSFYLVGIPVALLLGFGFKMEGKGLWLGIACGSVLQFLLLAVIAFFSNWQKMAEKARERIFGETPSEKQHLVLDATNSV >ORGLA05G0216400.1 pep chromosome:AGI1.1:5:21184473:21187202:1 gene:ORGLA05G0216400 transcript:ORGLA05G0216400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNITNVAVLDNPTAFLNPFQFEISYECLIPLDDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFMRVGYYVNNDYDDEQLREEPPAKLLIDRVQRNILADKPRVTKFPINFHPEPSTSAGQQQQEPQTASPENHTGGEGSKPAADQ >ORGLA05G0216300.1 pep chromosome:AGI1.1:5:21180324:21183540:1 gene:ORGLA05G0216300 transcript:ORGLA05G0216300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDSICKKYDKYDVERLNGANVAGEDPFARLYGSVDADINECVEKAEAAKQEKNRATVVALNAEIRRTKAKLVEEDLPKLQRLALKKVKGLTKEELATRSDLVAALPDRIQSIPDGSSSAKKNGTWGASGSRTGGAIKFDTSADGNFDDEYFKGTEESNQFRREYEMRKMKQDEGLDIIGEGLETLKNMASDMNEELDRQVPLMDEMDEKVDRANTDLKNTNVRLKETVLQLRSSRNFCIDIVLLCVILGIAAYLYCSKKVRPDCAMDLFSTSSGDGWMSFDVSTSCSLYFYLICVIVVWCSSGTCEHPC >ORGLA05G0216200.1 pep chromosome:AGI1.1:5:21174945:21176009:-1 gene:ORGLA05G0216200 transcript:ORGLA05G0216200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKRGPWSPEEDEQLRSYVQSHGIGGNWIALPQKAGLNRCGKSCRLRWLNYLRPDIKHGGYTEQEDHIICSLYNSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMGAVQPRAAASAPSQCTSSAMAPVLSPASSSVTSSSGDACFAAAATTTTTMYPPPTTPPQQQFIRFDAPPAAAAAASPTDLAPVPPPATVTADGDGGWASDALSLDDVFLGELTAGEPLFPYAELFSGFTGAAPDSKATLELSACYFPNMAEMWAASDHAYAKPQGLCNTLT >ORGLA05G0216100.1 pep chromosome:AGI1.1:5:21157877:21161020:-1 gene:ORGLA05G0216100 transcript:ORGLA05G0216100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta [Source:UniProtKB/TrEMBL;Acc:I1PXR3] MATRRALSSLVRAASRLRGASPAPRPRGPLHRPSPAGYLFNRAAAYATAAAAKEATPPAPATGKATGGGKITDEFTGAGAVGQVCQVIGAVVDVRFDEGLPPILTALEVLDHNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVNSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >ORGLA05G0216000.1 pep chromosome:AGI1.1:5:21152956:21156846:-1 gene:ORGLA05G0216000 transcript:ORGLA05G0216000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTICGSLMHELQVIWDEIGEPEAARDRMLLELEQECLEVYRRKVDQANRSRAQLRQAIAQSEAELAAICSAMGETTVHVRQSNQKACGLRDELGAILPYLEEMKRKKVERWNQFLDVVGRIKKISSEIRPANFDPFKVSVDQSDLSLRKLEELRVELKSLEKEKGEMVKQVMEYLKTLHSLCVVLGVDFKKTISEIHPSLDEAEGPRNISNTTIEMLALAIQRLRETKMQRMQKLQDLASTLLELWNLMDTPFEEQQAYQNITCNIAASEAELTEQNTLSIEFLNYVEAEVLRLEQHKASKMKELVLKKKTELEEHRRRAHLVGEEGYATQFTIEAIEAGAIDPSLLLEQIEAYISTVKEEAFSRKDILERVEKWLNAREEEAWLEDYNKDDNRYNAGRGAHIMLKRAEKARVLVSKIPGMVDVLETKTRAWETERGNEFTYDGVRLILMLEEYMVVRQEKEQERKRQRDQKKLQDQRKAEQEALYGSKPSSSKSHSTKKVPRNSTPGVQPPKSEILHSKTIRAAKKTEDINTPSPGHKGLDTVGLPIRKLFPSSNSSSLLEMETPRKPFSQITPGNISSSPVRPISTGGTEENRTPKTFAPVPTTPMTVSPHMQMAVTPVLTAKAVSVLSYDEPELTSQEDTEYSFEEKRLAVYLAAQVA >ORGLA05G0215900.1 pep chromosome:AGI1.1:5:21147608:21148135:-1 gene:ORGLA05G0215900 transcript:ORGLA05G0215900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYLSCTMAKVPGGKGARVILPDDGGVRQVALPATAAELMMDAPGHFLADARAARVGARLAALSADEELELGAVYATFPMKRLGTPLAPADMARLAAVATREARRSAKVAAAVVAPPPTPLQAEDAAPRLRLDEMVDDEAVAADMNVYKHRLSSARSRRPTLETIQEENYMSTN >ORGLA05G0215800.1 pep chromosome:AGI1.1:5:21135963:21137438:-1 gene:ORGLA05G0215800 transcript:ORGLA05G0215800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PXR0] MEPHVLLVSFPMQGHVNPLLRLGRRLAATGLLVTFTTVRLAAAAGRLRDVPEDGACADVGLGRLRFEYLRDDDDDGDGDELSPNDMLSHVTAVGPSALAEFIDGQADAGRPVTYVVNNIFVPWALDVAAGMGIPCAMLWIQPCSVLSIYYHFYESPEAFPTAADPDVPVELPGLPVMAMDELPFMVRPEYAQCLWGDTLRAQVGAIKRTVSWVLVNSFYELERSAVDALRVHTTVKLAPIGPLLEHGHDNGGGDDDAPAPALGAEDNDRCVAWLDAQPPRSVVYVAFGSLVNIGRDETAAVAEGLVATGRPFLWVVRDDSRDLVPEAVLAACRGAGGDKAGKITAWCPQGRVLAHGAVGCFVTHCGWNSIMEALAAGVPVVGYPWWSDQFANAKFLVEDYKVGVRLPAPVTGGELRACVDRVMSGPEAAVIRKRAMHWKHEAAAAVADGGSSDRSLQDFVDHVRRSKAPKELARLAQDIQIKNGPVNPVLV >ORGLA05G0215700.1 pep chromosome:AGI1.1:5:21132235:21133817:1 gene:ORGLA05G0215700 transcript:ORGLA05G0215700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPARWALLLLLAVALLVPAALAAGGGGNGGASASTPNNGNGGNNGNNGNNGNKGNSGNNGNNGGGNEKHEKSPPPPYHDSPPPPRASPPPPVYSPPPPPPRSSPPPPPVYSPPPPVSSPPPPVPSPPPPVSSPPPPVPSPPPTVSSPPPPVSSPPPPVSSPPPPVSSPPPPVSSPPPPVHSPPPPVSSPPPPASDVVYCTNTTRYPTCTSPAYCPSRCPKSCHMDCATCKTVCDCNLPGAVCQDPRFIGGDGNTFYFHGRRDRDFCLLSDANLHINGHFIGNHVPGLKRDPTWVQAIAVQFSGGHRLYVGARRTAVWDDDSDRLAVVFDGETVQLQRVAHARWESGSGLSVTRTKAANGVLVELDGVFKITANVVPITKEDSRIHRYGVTDDDCLAHLDLAFKFYALTDDVHGVLGQTYRSSYVNRLDVSAKMPVMGGEKQFTSSGLFAADCAVARFGRAGDAGAVAIASDELVDVKCSTGLDGVGVVCKK >ORGLA05G0215600.1 pep chromosome:AGI1.1:5:21124521:21128931:-1 gene:ORGLA05G0215600 transcript:ORGLA05G0215600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfhydryl oxidase [Source:UniProtKB/TrEMBL;Acc:I1PXQ8] MAAAAVARRVVLVLVLAAASLAAAPRGAAARSLGGREGPGEVDADAAVDLNATNFDAFLKASLEPWAVVEFFAHWCPACRNYKPHYEKVAKLFNGRDAAHPGLILMARVDCASKVNIDLCNRFSVDHYPFLLWGPPTKFASAKWDPKQENNEIKLIDDGRTAERLLKWINNQMKSSFSLEDKKYENENMLPKNASDPEQIVQAIYDVEEATAQALQIILERKTIKPKNRDSLIRFLQILVAHHPSKRCRRGSAELLINFDDRWSSNLSLSSQEGSKLLESVAEENHWICGKEVPRGYWLFCRGSKSETRGFSCGLWVLMHSLTVRIGDGESQSTFTSICDFIHNFFICEECRYHFYEMCSSVSAPFRTARELSLWLWSTHNKVNMRLMKEEKDMGTGDPLFPKVTWPPNQLCPSCYRSSKVTDGAVDWNEDAVYQFLVNYYGKKLVSSYKETYMESLQQQEKKIVSEDSSISNAASVPIGAALGVAIASCTFGALACFWRAQQKNRKYSHHLRSLKKI >ORGLA05G0215500.1 pep chromosome:AGI1.1:5:21117941:21119416:1 gene:ORGLA05G0215500 transcript:ORGLA05G0215500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFAVMAGRLLTESTVQSAIDEASAAAMPSSVIASEHNDVQDEREKSGVLVECRICQEEDDQTYMETPCSCRGSLKYAHRKCIQRWCDEKGDTICEICLQQYTPNYTAPAKLFQHGRNSIFFRTPGYIQAQVMQNTDRSAAASTSYDPELPNPKGVIYCRIVALTLMVLLLLHDAISVFLGDHDAYTVAMITLLMLRTAGIVIPVYIILLSLTELLHRRNQRQISDAAAAVNINQQAPQSPRRQHVISIQ >ORGLA05G0215400.1 pep chromosome:AGI1.1:5:21114092:21116208:-1 gene:ORGLA05G0215400 transcript:ORGLA05G0215400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQESLTLAGVLRGHNDMVTAIAAPIDNSPFIVSSSRDKSLLVWDITNPSSAVATDPEAAPPEYGVSYRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLATGRTTRRFVGHTKDVLSVAFSVDNRQIVSAARDNTIKLWNTLGECKYTIGGDHGAGEGHTGWVSCVRFSPNPMAPTIVSGSWDRSVKVWNLTNCKLRTKLEGHNGYVNAVAVSPDGSLCASGGKDGTTLLWDLTEGKMLYKLDAGAIIHSLCFSPNRYWLCAATEDSVKIWDLESKLVMQDLKPEVQAFKSQMLYCTSLSWSADGSTLFAGYTDGTIRVWKVSGFGGYAI >ORGLA05G0215300.1 pep chromosome:AGI1.1:5:21112058:21113137:-1 gene:ORGLA05G0215300 transcript:ORGLA05G0215300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PXQ5] MSSSSSLYKQLGLGAGSPVSASHLLLLVLGAGFLALTVFVVHPNEFRIQSFFSGGCGRPGTDAATAAVAASPVKNVSGGASDAAAATTAARSPDDDVRVLIGIQTLPSKYERRNLLRTIYSLQAREQPSLAGSVDVRFVFCNVTSPVDAVLVSLEAIRHGDIIVLDCAENMDNGKTYTFFSTVARAFNSSDGSGSGSGSGSPAPPRYDYVMKADDDTYLRLAALVESLRGAARRDAYYGLQMPCDRENFYPFPPFMSGMGYALSWDLVQWVATAEESRRDHVGPEDMWTGRWLNLAGKAKNRYDMSPRMYNYRGASPPSCFRRDFAPDTIAVHMLKDAARWAETLRYFNATAALRPSHL >ORGLA05G0215200.1 pep chromosome:AGI1.1:5:21104359:21106969:-1 gene:ORGLA05G0215200 transcript:ORGLA05G0215200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGEPSKHSQWMSHWTKGSSSAEPQVGRSNDSPEDAKYDICEDNSGPSNFEIMKSRLFERLMVGISQERASLEHGQKLNSNMKVVVKDARRHAVQNQIDQGDGPIQKSVMQKDVLYAKAVVSKSLSIQKLSELSVDCQKLAGSDDLSSEWNHFPMLAINRKIDSILNPKWKSAKSTGPYDVFVPKQTLKLNMTTANLMAFSSQEYELHSHRTTDETMDHCKHAGGIVSRLEDHAGVMLNPAEQKLKGQLLPATSCSCSKDDSNSSDSLLDEQHTSRYIADSDQEPTCRSREKRLKSSESNDTNCKIGSCSQNQKSRKPGHHKHKGSAGVMFRTSVPGKEFEAAEINCSDKINQRHLNTQRIVSAANVTGSCIPDPAADISTVNGRGEAVTQPSGISGDSTKRKAPYLFEMLTIPSKAQNMNPEDSLPSGNSTAFGVHMYGTNIGSHLFGANNKSSTETETLSGDSQHVSKSSAGIASLLAQKAKSEQLATLYMKGASGCNVNEHQGVSSKAIVAYKQQCYNPRTARMDLDLMQFQLSRMRNQESQARTEPGDRWLKRLQIDSKDPHHLPCSKRSKAGDGSGRPVTGGASSMAPRCDGSNDDDDIVDRDHKEEQGLDEGVEIQGGREASPVPAKSDDRWIGRWCQGGVPVYHEDDHDQRKEVTKPDIAAGDSGGVEGQFPSIKAMAMMGRAMSKVRPCQQERRGSFMVWKA >ORGLA05G0215100.1 pep chromosome:AGI1.1:5:21101837:21102169:-1 gene:ORGLA05G0215100 transcript:ORGLA05G0215100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQSERLRLPAAAPMPRGAHALYGLLALLGASVVVWSVAGKPPAAHAGHALAGFLLWLLGMIALLLSPYAAQPLFPVATTANLAVEKLKHLFSNPRTPAQAQAPAPALA >ORGLA05G0215000.1 pep chromosome:AGI1.1:5:21096392:21100616:-1 gene:ORGLA05G0215000 transcript:ORGLA05G0215000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18390) TAIR;Acc:AT3G18390] MALSELPLHHSFRLSSRPHLRLLPLRLLSSRHASSSSTTTAAASSSSSGGNRAPPAPNPTRGAPWLQKWGPTDPAAPPPPPPAPSPTSSIDRIVHRLRNLGLASDDDEPAAAAATATAPPDGNERLSDLLDRSWARPDQQFAASSFDESVLPWERDEVARGRENEEDGVKRRRVRAPSLAELTIEDEELRRLRRLGMTLRDRITVPKAGVTQAVTEKIHDAWRKSELVRLKFHEDLAHDMKTAHELVERRTGGLIIWRSGSVMVVYRGSNYKRPLKSETLDGNSSAVKGADGTLFIPDASSPTEHDSQGKDVNTQREIAARLNMQNTEDMTEEELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTIPGYKTPFRLLPTGMRLTLTNAELTNLRKLARDLPCHFALGRNRNHQGLAAAIVKLWEKSLVVKIAVKRGIQNTNNKLMSEEIKNLTGGTLLLRNKYYIVIYRGKDFLPTSVAAALAEREELTKDIQNVEEQKRCIPVVHSMDDSLDGHALAGTLAEFQEAQARWGREVTAKEQEEMKEASSRSVKEKLFKRLEHKLSIAQAKIHRAERLLSKIEASMVLANPSDDKEMITDEERSVFRRIGLRLKAYLPVGIRGVFDGVIENMHLHWKHREVVKLITKQKTLPFVEETARLLEYESGGILVAIERIPKGYALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIAELENNIRQMKLDLGIEVDEEYEEDGSDSENENNEAVSSARYDEDQDDFDESSDEDEYDDYDEDDDDDDDEGVDS >ORGLA05G0214900.1 pep chromosome:AGI1.1:5:21069657:21070742:-1 gene:ORGLA05G0214900 transcript:ORGLA05G0214900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVATRIATLVRAAAAASRPLRLHRRPGGEDTRMVVIVGATGTGKTKLSIDAAKVIGGEVVNADKIQLYDGLDVTTNKVSLADRRGVPHHLLGAIRPEAGELPPSSFRSLAAATAASIAARRLVPVIAGGSNSLIHALLADHFDASAGDPFSPAAAFRHYRPALRFPCCLLWVHVDEALLDEYLDRRVDDMVDAGMVEELREYFATTTAAERAAHSGLGKAIGVPELGDYFAGRKTFSEAIDDIKANTRVLAAAQVSKIRRMSDAWGWPIHRLDASDTVRARLTRAGSAAESASWERDVRGPGLATIRSFLADQSPPPRSEGTNDYLYAMETEPEPPPPPPTLPPRLLRLPRMQYCDMVG >ORGLA05G0214800.1 pep chromosome:AGI1.1:5:21063513:21065006:1 gene:ORGLA05G0214800 transcript:ORGLA05G0214800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAAAASDHHTAQAKSSPSVAPPAGSATRTRLHSFSFPTTFGWGTHRLLRCSKNGDSAPASASPPKQPHTPSPEKGQDTSAGGASRPSRPWNLRTRRSATVAPDASRSEAAGKKAAAAAGGGQALLHPPAPLPVVAKKRGFSVALTREEIVADFIAIRGTAPPRRPKKRPRAVRLELDRLYPGLSLADVNLDSYKIVEEIEGKRCSFGFSAGHISCLELDIDTEENESVLGVQQSGLKREKEISASEIQNGKIIANFQLRKVLAGINYLFQN >ORGLA05G0214700.1 pep chromosome:AGI1.1:5:21058855:21059696:-1 gene:ORGLA05G0214700 transcript:ORGLA05G0214700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPAATVTPAAAAMSEAPAVAAPRPRDPGLFGSFDLPAAWGCRRPMAFCRDLDAAALVGSEPNAAAAAAAAGDAVEPKRNASRSPPKGGDAPVAAAAAQEAPRKQWNLRYRKGGRDGGEDALQNKKLWNMEAGGGGGGGARASSGFSVELTRQEIDADFFAITGRKAPRKPAKRPRSVQRQVDSICPGNSLWEVSRDRYKVNEKGGF >ORGLA05G0214600.1 pep chromosome:AGI1.1:5:21053490:21054517:-1 gene:ORGLA05G0214600 transcript:ORGLA05G0214600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKPPAATSTHHLEPWGQPPPPPPTPAHRLPPTVLPVVALPAGGDSATRDRRRSSSHRRGGGGGAAAAAAVQVVVGEDPFDGGIEELRVKLMGHLRDAADRLRVPQPSPPPPPPPPPPPTTTTTKPESLPAEADSEPELKAPPPPPPPPPPPLLPMAQPQADGAARPWNLRQRTRRRPAASMSWAAAVPVPSSSRRRKRAPFSVALTPEEIEEDIYALTGSRPRRRPRKRPRVVQRQLDSLFPGLWLTEVTADAYRVPDE >ORGLA05G0214500.1 pep chromosome:AGI1.1:5:21032318:21035866:-1 gene:ORGLA05G0214500 transcript:ORGLA05G0214500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSSATAASGGGGNSLAIVERQKPATSCVASLFQMLAKKKLFSSSSKKTKLLPPVRTQKFSPGRPPAGGEKTPAAKMRTLLLDAPYYPNEVTTRLPPPGQDNKSSEMCTPGVVARLMGLSAMPATSHQKAVKTMDASELGGHRSECSYGRIYNSHQKQQKPGHIRDGRHDNGNQLDADAQPVWSRKHAAHKLASPIKSPRSVSSRNKVRLIEAAVKVLEPGLQSRHRHQARRQARLEYRCNSSDVQSAAEAMHDFSDQFAREMCDVDASRSGAQNVGATSLHNSTSNQWSEEDTMRNASVRRPNQNISCQAQPEGNHKGQRNGFKDSGQRTSDAVLVSSQGVQKIQPKNISRENVDCRPLKQNNLKQNALPETYRAADTGHMVQRQKHRAKEQNVANTENDFVCSNRGMNNSASLRSKGKVKDKIGMPHGSAENRNLSTKCHRTSGLHGDCSNKQISKTATLKATEKDMIIAKGAGLVSEKPKSTSPNSVRNDSRRKVESRIASRGNNSGIISISSNSPRKAVLALLNGHTKGSDSVVLGSPTGSCPKRDYRRDCQNISSERELVLSEALQGISSLESAESVCFNRNELRNREILDDRVMSSLFRKTSAINVMEESPSDEFLWQCNFLDSLTFGFREVPRSGKLRETHKKHEVTTNASDPSYYADDECFSGSLLKTAASEAGFRDRRRSENCTMQQDGTNKRNSRCSETNFGQDSSNLIHPGEVAATVELLLTNVRRSTSTTPPRTSKAPFKAFLVKTFESALTTVTTTSSTKKKKKNGFSFNAGVVKATEGEKSRSPLGSLAFDSVMECLDSLFTQFCDSGYRSFSRVPLICPEERLAAQVDREIARCSGMAGKEVDELIASDVQHSGVEIGVDVLHEAFEIGAQIERDLLQELVAEIGMDMLRRL >ORGLA05G0214400.1 pep chromosome:AGI1.1:5:21022878:21030953:1 gene:ORGLA05G0214400 transcript:ORGLA05G0214400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLADEGSIAAAVMPRSPSPPAATAGSAAEAPMLIFVYFHKAIRAELERLHAAAVRLATERSGDVGELERRCRFLFSVYRHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFAHLFSLLKLDVRNDDGLRRELASCTGAIQTFITQHMSKEEEQVFPLLIKKFSHEEQADLVWQFLCSIPVNMMAEFLPWLATSVSSDEHQDILSCLHKIVPDEKLLQQVVFAWIGGEAVKTISHDFCSPCSKSNVRCKDAIDQTDKYGCSHEHFKTGKRKRAESSYSQLVMHPIDEILCWHNAIRKELSDIVEETRRIQQSGDFSDISDFNVKLQFIADVCIFHSIAEDQVIFPAVNDQVSFEQEHAEEERRFNKFRCLIEQIQITGARSTAVDFYSELCSQADQIMEKIERHFKNEETKVLPQARIHFSSEKQRELLYKSLCVIPLKLLERVLPWFVSKLNDQDAEAFLQNMFLAAPSSEAALVTLLSGWACKGRSKGTSNSGKFICLTPRALSSPLDENGFKDCQLCPCSLQSDICSRPAKKWNDTESSNVSNCSQTADVALTCKNRPCHIPGLRVEISNLAVNSFASAESFRSLSLNYSAPSLYSSLFSWETDAAFSGPDNISRPIDTIFKFHKAIRKDLEFLDVESRKLIDGDESSLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFKDISTILFELSQLHADLKHPLGGADAVGANHIHPYNRIDWSKKNNELLTKLQGMCKSIRVTLSNHVHREELELWPLFDKHFSVEEQDKIVGRIIGSTGAEVLQSMLPWVTSALSLDEQNNMLDTWRQVTKNTMFDEWLNEWWKRSPTSSGPSSDASHPEEDHFQEKFDQSEQMFKPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPQSEDCNGCTVLPGCCPSYRDPENQIFGCEHYKRKCKLVAACCNKLFTCRFCHDKVSDHTMERKATVEMMCMQCLKVQPVGPNCQTPSCNGLSMAKYYCSVCKFFDDKRSVYHCPFCNLCRLGQGLGIDFFHCMKCNCCLGMKLIEHKCREKMLEMNCPICCDFLFTSSAAVKGLPCGHFMHSACFQAYTCSHYTCPICSKSLGDMTVYFGMLDGLLAAEELPEEYRDRCQDILCNDCERKGRSRFHWLYHKCGFCGSYNTRVIKIDRADCSTSD >ORGLA05G0214300.1 pep chromosome:AGI1.1:5:21010023:21016882:1 gene:ORGLA05G0214300 transcript:ORGLA05G0214300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLFHLLVLSVVVVGGGGAATAGGGTYDAAICASPIFCGDHVEIKYPFYLSNTTDQVVVVDGNTSYCGYPWLGIICDHDRAILRLGNYNYTVLEINHGNHTVTVADSDALDGGDCPRVKHNVTLPPVLTFPSPSNDSVTFFFDCYPAADVVLRPPPYIRPINCSSFVDFQLGPSFVAAQPDVDVREERGWLGVCKEVVVAPVLKDWLENDYGVLGGDGYGAVLKRGFQLSWDPTAGMCHGCEVSGGRCSYDGNTTFLGCLCSDGHVSKTDCGSKMSKKKAIAIATSIASGVLFLLLLVVSFLYIRKRRQYKMTSSSRLLKYTTSGGTPRSKGSSDKFMESGSFHYLQTHHFAYEELEEATDGFSDARELGDGGFGTVYKGELRDGRVVAVKRLYNNSCRRVEQFVNEAAILSRLRHPNLVLFYGCTSSRSRELLLVYEFVPNGTVADHLHGHRAPERALTWPLRLNVAVEAAAALAYLHAVEPAPIVHRDVKTNNILLDANFHVKVADFGLSRLFPRDATHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDVTRDRDEINLAGMAVNKIQRCQVDQLVDDELGYSSDEATRKTMTMVAELAFRCLQHNGEMRPPIKEVADVLRGIQDECRAAEKGGKRGSPCSPNTVHAPWDSMSTTPNTSQ >ORGLA05G0214200.1 pep chromosome:AGI1.1:5:20999056:20999878:-1 gene:ORGLA05G0214200 transcript:ORGLA05G0214200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKISKKKAIAIGVSVVSGVILLFLLLMCTLCVKKFWHGLLSSMGKSKEAPNIESFLQKHEAQHPKRYSYSEVKTMTKSFSHKLGQGGFGTVYMGKMPNGKPIAVKLLKSCKDDGQEFMNEVASISRTSHVNVVTLLGYC >ORGLA05G0214100.1 pep chromosome:AGI1.1:5:20997744:20998034:1 gene:ORGLA05G0214100 transcript:ORGLA05G0214100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKASAVFVLLVVVLAVAAAMRGAEAATCTPTQLTPCAPAIVGNSPPTAACCGKLKAHPASCFCQYKKDPNMKKYVNSPNGKKVFATCKVPLPKC >ORGLA05G0214000.1 pep chromosome:AGI1.1:5:20994733:20995017:1 gene:ORGLA05G0214000 transcript:ORGLA05G0214000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVALAVAAMAVLLLLAAAAAPGAEAACDALQLSPCASAIIGNASPSASCCSRMKEQQPCLCQYARDPNLQRYVNSPNGKKVLAACHVPVPSC >ORGLA05G0213900.1 pep chromosome:AGI1.1:5:20990804:20992197:-1 gene:ORGLA05G0213900 transcript:ORGLA05G0213900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:I1PXP1] MNVPHVSSDSDDDRPVIRLFHRQKPVHKILGGRKVADIMLWRDRNLSAGILAGATLIWFLFDVAEYNFVTLLCHIALLGMLVLFIWSNAAPLFDRAPPRIPEVIVSEHAFRELALTLHSKMAHFSAVLYDISCGKELRKFLAVIGSLWILAVIGETCSFTTLLYVGFLCALTLPALYERYETEVDHLVAKGGQDLKKFYKKIDSNVLNKIPRGPVKTKVH >ORGLA05G0213800.1 pep chromosome:AGI1.1:5:20987663:20989968:-1 gene:ORGLA05G0213800 transcript:ORGLA05G0213800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENSVGSGSGGNAMDIFGQSIDVRRPSKSRRRVVCHKNLSPEIEESIGSSRRKLHRRKAIAEDQEQARVESELSRAMNMAMELERQIEQTNAKARSRRSELQRQRTRASGGGSRRKTARGLAAEAAGGAPAHRQEGVGTAYGEVMQELDRVKGELRKLQREVMAAMAAKGTAGRRDAEAEASTSSAVSSGPRGGGGVERDADGASEEHGVLVELAVGTAATASSDAGSWHSELAVVRATDATAMASRGHEVEHEEPSLQAAEAELSSARIELESIKAEGLRFTASIERTRRETARVTDEIRRLTEQEKAASAHVQQLNAKLLKARSRLEAVTAADERADETISKLAAILRQLEDDAAAAEKEKTLADTENRRAMSDAENIDAEIAAAEKRIRESVRELGAARASEAAATARLKAIVESATLATAAAATPRSSSSGNVTIPRFEYEYLTGRAEVVRAVAEMKAAAAEAWAEARRASEKEIAMRAEAIERELGEARAADAEATNTTRRMPFSSAATSRMAKSRRMPSSSAAAARKPRSPSSSVKRRKRRVLTLNCLKLLAGKCRGQN >ORGLA05G0213700.1 pep chromosome:AGI1.1:5:20984587:20987339:1 gene:ORGLA05G0213700 transcript:ORGLA05G0213700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDGVGGDGGAPAAEQQRRVALRVLLSRAEASSPPPATVEEEAQRGRSGGGNKGLASAALRGLGCTSTAALRAHAPASAVEVASSSERWHGRRRRRKVQERRSARGGGGGGGGGGVAPPGPAPAAAGDVWCTCAPGIPFAAEASSVDCVVVARHHHAHHTAAAMGSGRRGEAERRHRERPAAPRARRVTMREHISSSLMDSPPFPDMPLLNADLLPPPPSGRHRHGYRHPHVGAAEEEIMMLRTRLLWGRFGMHDQHQDWRLDVDNMTYEELLDLEDRIGYVSTGLHDDEIARSLRMVKYSAFNPKHFATEVERNCSICQEEFEANEETGRLICGHSYHVQCIKQWLSRKNTCPVCKTVVSKT >ORGLA05G0213600.1 pep chromosome:AGI1.1:5:20978046:20981217:-1 gene:ORGLA05G0213600 transcript:ORGLA05G0213600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPATSPAPAAIRLGAPHPYLRTHGTKVARLHLLDWIVLALLVAIDACLNLIEPFHRFVGEDMMISLRYPLKRNTVPIWAVPIYAVAGPMIIFIVIYMKRRNVYDLHHAILGLLFSVLITAVLTDAIKDGVGRPRPNFFWRCFPDGIPKYNNITRGVICHGDKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIKAFDRGGHVAKLCIVVLPLLIAAMVGVSRVDDYWHHWQDVFTGGILGLVVASFCYLQFFPPPAGEQGSGEHELHGPRGWASTEAELTDGGALAAEEGVEVQDAIVEPVNGGWGGGRGKDVAAVVRVCGGGFWPHAYFEHILHPEVENQVQLTATSNHHQGLDMRTNNQSLDSMEEGRRAR >ORGLA05G0213500.1 pep chromosome:AGI1.1:5:20955719:20957281:1 gene:ORGLA05G0213500 transcript:ORGLA05G0213500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTHAASLSFLLSHPHPHPHPTSPNPHPLRRAPHRVRCATDAAATRHRRAADENIREEAARHRAPNHNFSAWYAPFPPAPNGDPDERYSLDEIVYRSSSGGLLDVRHDMDALARFPGSYWRDLFDSRVGRTTWPFGSGVWSKKEFVLPEIDPDHIVSLFEGNSNLFWAERLGRDHLAGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPIAGVGCASTGDTSAALSAYCAAAGIPSIVFLPANRISLEQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRYYKSGWTEFTPQVAEPTFASAIQIGDPVSVDRAVVALKATDGIVEEATEEELMNAMSLADRTGMFACPHTGVALAALFKLRDQRIIGPNDCTVVVSTAHGLKFSQSKIDYHDSKIEDMACKYANPPVSVKADFGAVMDVLKKRLKGKL >ORGLA05G0213400.1 pep chromosome:AGI1.1:5:20952245:20954668:1 gene:ORGLA05G0213400 transcript:ORGLA05G0213400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPACCAPAAATAASSSASAAAAAGDGGGGAQKPWLLVGLGNPGRMYKGTRHNVGFEMIDAIAEAEGISVSSKQFKSMVGKGLIGDVPVMLAKPQTYMNASGESVAQLVSYFKIPLSQVLVIYDDLDIPFAKLRLLPKGGHGGHNGMRSIINHLKQSRDFPRLRIGIGRPTGKLDAIGFVLRSFTKEEQEELNFTINRSLQAVRIMLLEGFNKGATFVNTPQPSEMLNK >ORGLA05G0213300.1 pep chromosome:AGI1.1:5:20947862:20949000:1 gene:ORGLA05G0213300 transcript:ORGLA05G0213300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAPSPPPARDAGSSSGQKRKAFTMVDQDGGSEDTWLKLSLGPVIYTDATNVDDSPVTTTLPKELPPPPPAVGPPIFMASATTSLIDHGKEAARMATDALFNGDAAGSSSLHHESSSARHPTQRRSTASTTSSTSGSAGDVPAITGDGTNGGSDGNNGAAGTVNNDRVLVNNPPYPWATNRVAVHHSLVELSRRGIFTIKGEARCRRCDVRKEFVYDIEAKFRELEDYLRRNCMSMNDRASERWKNPIVPNCDGCGQQNCMRPVIAAEKERINWLFLLLGETLGLCTLDQLKFFCAHTNQHRTGAKDRVLYSTYLELCNQLVPGIMKPFEKKAGHNQLRIR >ORGLA05G0213200.1 pep chromosome:AGI1.1:5:20943412:20944750:1 gene:ORGLA05G0213200 transcript:ORGLA05G0213200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVKNMKKKYNDPIFALINYTPNERQSGSPQNLHVAVIKCASIDLDSILDGVPMLRAQFPIKYLGLPLLLERMRKTAAAVQGAGSSSSGQKRKAAMDDDGSGEDDNWLKLSIAPVVYGDTTGGVNDDDDSSRAPAVMTSTEERPATAAYVASRSARTGLIPNGAVPVFPCFNFLGTSTSSSSLSHLHQQSSSTLRQSNVSMASSSGIGGGDDNEAPGNIVAPNVPNSGSNDNNGGNAPPDPPYPWDTNKAAKHHSLAELTRHDIKTIRGEARCRRCDTHKMIKYDIATKFQEVSNYFHQNYQHMNDRAQVRXMNPVVPNCDNCGHEKCMRPVIAAEKERINWLFLLLGKTLGLRMLDQLKYFCAHTNRHRTGAKDRVLFSTYEELCNQLAPGLITCHDQSRMR >ORGLA05G0213100.1 pep chromosome:AGI1.1:5:20940654:20941655:1 gene:ORGLA05G0213100 transcript:ORGLA05G0213100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREASSPPWAAATAANGVAGSSSSGHKRKAAVDDDGRGEDDNWLKLSLAPVDYGDATGDVVDNNSSSCAPAVMTSTEERSGTAAGVASGSARAGLIPNGAVPVFPCFNFLGTSMSSSSLSHLHQQSSSTRRQSNASTASSSGGIGGGDDDEAPNVMNGGDKNDGNALPDPPYPWATNEVAKHHSLVELARRDIININGEARCRRCDTRKMIVYNIATKFREVSDYFRQNYQHMNDRAQARWMNPVVPNCDSCGHERCMRPVIAAEKERINWLFLLLGETLGLCTLDQLKYFCAHTNRHRTGAKDRVLFSTYEELCNQLAPGLIMGHDQLRMR >ORGLA05G0213000.1 pep chromosome:AGI1.1:5:20923755:20927475:-1 gene:ORGLA05G0213000 transcript:ORGLA05G0213000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:STT7 homolog STN7 [Source:Projected from Arabidopsis thaliana (AT1G68830) TAIR;Acc:AT1G68830] MATGSLGLSTSFLPGHDTLLRRRRRRPPATTAASFRPVMAELGGELGRQLVEAVGVGLPCTVMACGDVIYRSTLPRNDGLTITAPGVALALAAASYLWSTPGVAPGFFDMFVLAFAERVFRPTFRKDEFVLGKKLGEGAFGVVYKASLDDPKAAEKQGAVVVKKATEYGAVEIWMNERVRRACASSCADFIYGFRESKAKGKGADEYWLIWRYEGEDTLFDLMQSKEFPYNVETKILGDVQDLPKGIARENKIIQTVMRQLLFALDGLHSTGIVHRDVKPQNVIFSEGSRTFKIIDLGAAADLRVGINYIPSEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQLNLPDRFDIYSLGLIFLQMAFPSLRTDSSLIQFNRQLKRCNYDLEAWRNLVEPRATPELRRGFDILDLDGGIGWELLTSMVRYKARQRTGAKAALAHPYFNREGLLGLSVMQNLRLQLLRATQKDYSEAARWVVGLMARSGTEAEGGFTEAQLQELREIKPKKGSARRNLLASVLRVQRKVVRTINESMDELSSQSKSIWWSRWIPKEE >ORGLA05G0212900.1 pep chromosome:AGI1.1:5:20915112:20921419:-1 gene:ORGLA05G0212900 transcript:ORGLA05G0212900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQSWRKAYGALKDSTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKIVAATSIARPRADVAYCIHALSRRLAKTRNWIVALKTLVVIHRLLREGDPTFREELLNFAQRGRILQLSNFKDDSSPIAWDCSAWVRTYGLFLEERLECFRVLKYDVEAERLSKQGQGPEKGHSRTRELESQDLLEQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGSLSDFYENCRGLELARNFQFPTLREPPQTFLVTMEEYVREAPRMVPVREPLELPERLLLTYKPEEQEEDSVPDPVEEEKPPVEEPVPVPPVTEAVSPPPPPKTKVADTGDLLGLNDPNPSVSAIEESNALALAIVPADAGASTSSTATWQDKGFDPTGWELALVTTPSNTNSSAADSQLGGGFDKLILESLYDQGDYRQRQQQQLYGSSAPNPFMSNDPFVMSNQVAPPPSVQMAAMSQQHQQIPTMMQANPFGPPMQPQHVGMGPATNPFLDSGFGPFPMANNGHQQANPFGGTQLL >ORGLA05G0212800.1 pep chromosome:AGI1.1:5:20907792:20911493:-1 gene:ORGLA05G0212800 transcript:ORGLA05G0212800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAANGIGEVERKAQRSYWEEHSKDLTVEAMMLDSRAADLDKEERPEVLSVLPSYKGKSVLELGAGIGRFTGELAKEAGHVLALDFIESVIKKNENINGHHKNITFMCADVTSPDLKIEDNSIDLIFSNWLLMYLSDEEVEKLVGRMVKWLKVGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKIFKECHSYDKDGGSYELSLETCKCIGAYVKSKKNQNQLCWLWEKVKSTEDRGFQRFLDNVQYKTTGILRYERVFGEGYVSTGGIETTKEFVDKLDLKPGQKVLDVGCGIGGGDFYMAENYDAHVLGIDLSINMVSFAIERAIGRKCSVEFEVADCTTKTYAPNTFDVIYSRDTILHIHDKPALFRSFFKWLKPGGKVLISDYCRNPGKPSEEFAAYIKQRGYDLHDVKTYGKMLEDAGFHHVIAEDRTDQFLRVLQRELAEVEKNKEAFLADFTQEDYDDIVNGWNAKLKRSSAGEQRWGLFIATK >ORGLA05G0212700.1 pep chromosome:AGI1.1:5:20902847:20905298:-1 gene:ORGLA05G0212700 transcript:ORGLA05G0212700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein [Source:Projected from Arabidopsis thaliana (AT3G21610) TAIR;Acc:AT3G21610] MRGGEMLTASRGAGASSSTAAAAATVDLAGRAGAGAGGGSGNFPLAVALIAFAFANFLNLLAIWLKEKRWDARKFLTSAGIISSLSATVGSLAVAVGQQEGGDSSSFALALVFAAVVMYDASGIRFHTGRQAALLNQIVSDFPPEHPIISSFRPLREPLGHSPFQVFAGALVGCSIAYLMGKSV >ORGLA05G0212600.1 pep chromosome:AGI1.1:5:20901109:20902045:-1 gene:ORGLA05G0212600 transcript:ORGLA05G0212600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGEGKGDIPSVVLLELWGHVADDDDDDDHRPDATTATSTTSTGLTISVTLRAATPPALSHLSVDCLGLADLDPQPLGQVRGAHGGARLYRLPSRPSDRGFGDDNIAILSCGNDSSSSSYAVAVLQPWYHVDFRLHLCRSTSDGKPGSSWISHQLTVEEPLMRRTVCPVPDSALRRIFHTTTKVITLGGAKGTVGWVDLWRGILLCDVLEDSPKLRDMPLPLPARVNWPLFLNRCPYYCHDIIISQSRDTIKYVEMEFTNGWPPSDFEARI >ORGLA05G0212500.1 pep chromosome:AGI1.1:5:20897418:20898743:1 gene:ORGLA05G0212500 transcript:ORGLA05G0212500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07740) TAIR;Acc:AT1G07740] MPAAAAAAARLRRRGHRRKKFVYRPKPPPEPHPFLLHLKSLPSPVAAAAALLSAPRRLHDHPFAACVLYRLARARLFPLVPPFLAALHSRGAPLRPTVFAAVIDHLGAASRPDAAVGVFRTVPAFCSHSAATFHSLLNALVSNGRTDAARDMLPLAPKLGVRLNAVSYNIILKGACLRDGFMGARGVLDEMLSRGVRPTVVTFNTLVGSACREGELGAAERLIDEMARRGVAPNAATYALLMRGLCDADRHADAEKLMFDMEYRGCQADVVNYGVLMSSRARRGDADGVRELLSAMRKRKLKPDDASYNILIRCLCDAGRADEAHRALLEMQLRGTVPGAATYRVLVDGCCRAHDFDLGLRVFNAMMASGHCPQARTFRHLARGLGEDGKAEEAFFVLEQMARREMSLDADGWQAVVTCVRSSCSTQASEIKLVNELVLSN >ORGLA05G0212400.1 pep chromosome:AGI1.1:5:20889879:20895532:1 gene:ORGLA05G0212400 transcript:ORGLA05G0212400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASTARAADGDKRGKEENDRRMAKDGKVAFHHLFKYADSTDVALMLVGTIASLASGMSQVIMTIIFGQMVDAFGKSSPGNILHQVNKAVLYFVYLGIGSGIVCFLQVSCWSVTGERQATRIRSLYLKTILRQDMAFFDKEMTTGQVISSISTDTTLIQGAIGEKVGKFLQLVTTFLGGFVLAFLKGWLLTLVMLSTIPPFIFAAGIVSKMVAKISNEGLASYSKAGDIVEQTVGSIRTVVSFNGEKKAIGLYNDLIKKAYKGAVKEGFIQGFGMGFLNLIYFSSFGLIVWYGSKLSLSRGYSGADIMNILFGIMIGARALGDATPCTAAFEEGRIAAYRLFKVIKRKPEIDYDDTSGIVLEDIKGDIELKDVFFSYPSRSEQLIFDGFSMCVSNGTTMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGMNIKSLRLEWIRGKIGLVNQEPILFMTSIKDNILYGRENATLEEIKRAAELANAARFIESMPNGYDTLVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDLESERIVQGALNQIMVGRTTLVVAHRLSTVRNAHCISVVHKGKIAEQGHHDELVKDPNGAYSQLIRLQEAQQAIDPHLDGPLNKRSQSLKRSLSRNSAGSSSHSLNLPFSLRGATELLEYDGADGENRNLKNDGKLPKKGSMGRLISLNKPEIAILLFGSLAAAIDGAVFPMIGLVLASAVKVFYESPDKREKDATFWGLLCVGMGAIAMISKLANILLFAIAGGKLIKRIRALTFRSIVHQEVSWFDHPANSSGALGGKLCVDALNGYAQVRFLQGFSQDAKIMYEEASQVATDAVGSIRTVASYCAEKKVMTKYNQKCQASRYQGIRTGIVGGLGFGFSNMMLFMTSALCYYVGAKFVSQGNSTFGDVFKAFFSLVVAMLGVSSTAAMASDSSKAKDSASSIFAILDRKSQIDSSSNEGLTLELVKGDIEFTHISFRYPSRPDVQIFSDFTLSIPSGKTVALVGQSGSGKSTAIVLLERFYDPDSGVILLDGVEIKKLEISWLRDQMGLVSQEPVLFNDTIRANIAYGKNEEVTEEEIVAAAKAANAHEFISSMPEGYSTSVGERGTQLSGGQKQRIAIARAIVKDPRILLLDEATSALDAESERIVQDALDHVMVGRTTVVVAHRLSTIQGADIIAVLKDGAIVEKGRHEALMGIASGAYASLVELRHNVT >ORGLA05G0212300.1 pep chromosome:AGI1.1:5:20888590:20889012:-1 gene:ORGLA05G0212300 transcript:ORGLA05G0212300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSMISCPNSFCFTTLLTNDWKLLLQIVTSGVLCRRQDVPPLGLLSEARAKGSLWILLHDAAAVHGVPAFQEMVMVLAFRFLAPISISSWREDEEGGGDAGIMLLISGPSIVDSPPQNNLDGRVSNFVQHYELQSGCVT >ORGLA05G0212200.1 pep chromosome:AGI1.1:5:20881735:20888049:1 gene:ORGLA05G0212200 transcript:ORGLA05G0212200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSEGGEAAAQGKVGLHRLFRYADGVDALLMAAGAAGAAASGAAQPLMNLVFGEVVDAFGSGSRDDVLHRVSKSAAEVWFLRRAATSRPIDPWKCWNSALANLALSFQVCLKFFYLAIGSWFACFLQVACWMITGERQAARIRGLYLEAVLRQDIAFFEKEMTTGQVVERMSGDTILIQDAIGEKVGKFIQLTATFVGGFVVSFAKGWLLSCVMLSSIPPIIIAGATMSWTISKLSTHGQSKYNEAGNVVEQTIGAIRTVASFNGENRAIALYNKYIHSAYVSAVQESTATGLGFGFIMFMLFCTYGLAAWYGAKLIIDKGYEGGQVVTVWMAFMTGAMSLGEATPCMSAFASGQAAGYRMMQTIERMPAINSSGIDGAVLENIKGDIELRNVYFSYPSRPDQLIFDGFSLHVLNGITMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGVNIKTLRLRWIREKIGLVSQEPLLFATSIRENIVYGREDATTEEIMAATELANAAKFIENLPNGLDTMVGEHGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDMESERVVQEALNRIMQDKTTIVVAHRLSTIKDADIISVVQHGRVVEQGTHTELLKDLNGAYSQLIQLQGATEELHKSGVDYQRSISTVQSVMSISKSRGRNASFKRSLSRGTSFGSTSVHLTTAAGMIVPESMHTEVPSKVLDDNEEHKKVPLCRLISLNKPEIPVLLLGTAAAVVAGVLFPMLGLLISSSIKSFYEPPHQLKKDARFWTLMYVAAGIVSLISLPMENFLFGVAGGKLVERIRSLSFKRIVHQEVSWFDNPSNASGTIGARLSVDASNIRRLVGDSLALFVRSSVTIIAGFIIAMVANWRLALVATVVLPLGGLQGFFQIKFLEGFSADAKIKYEEATQVAHDAVSSIRTVASFCAENRIMKAYYKKCEAPVRQGIRQGIVSGLGFGISFFVLYSTYALCFYVGAKFMLDGKATFTEIFRVFFALLMATIGVSQTSAMGSDSAKAKASATSIFAMIDRESKIDSSSDDGMVFANVAGELELHHVCFSYPSRPDIQIFRNLSLRIPSGKMVALVGESGCGKSTVIALLERFYDPDSGTVTLDGVDIKNLKVGFLRQQMGLVSQEPVLFNDTVRANIAYGKEGDATEEEIVAAARAANAHQFISALPGGYDTCAGERGVQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERAVQAALESVMVGRTTVVVAHRLSTIRGADVIAVLKDGEVVATGGHEELMAKKDGVYASLVELRMSSERAGDSKPS >ORGLA05G0212100.1 pep chromosome:AGI1.1:5:20878869:20879828:-1 gene:ORGLA05G0212100 transcript:ORGLA05G0212100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEAAANDGAYCPDCRRATAVVLDHTTGDTICTECALVLDARYIDETSEWRTFANDGASDDRDPNRVGDRADPFLPDHVGGTTIAYSAAPPKNASAADAAAPLLTRRRVDVVGPSPENALVAAFRGIADMADRLGLVATIRDRAKEVFKKLGEAPKGFPRGRNRDTVYAACLFIACRNEGMPRTYKELASVTAEGAAAKKEIGRLTTLIKKHLGDQGEGRAMDIGVVRSTDYLRRFCSRLGLGHQDVRAAGDAVRRLEERLDVRRNPESIAAAIIYMVMQRAGGSKSVRDVSTATGVAEGTITAAHKELAPHASVLFGG >ORGLA05G0212000.1 pep chromosome:AGI1.1:5:20875594:20876229:1 gene:ORGLA05G0212000 transcript:ORGLA05G0212000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDETFASPTAGGGGGEFEQPLTNGGGGGGGGGAYPAAKAYDAGELDALREAKRDLEDKLAAVEHENRFLGAEAYRLEGIVSQAREDIATAEHAVAASEGEAASLRDEIKRVKELLAAEKSNHEAERRRGADLDAELKGVQKEVAALEEEIKALKASAAPADAEDEDEAAAPAAPSKEAEVGYHGLMAAAAAGAAVTAVVAVVILHLKR >ORGLA05G0211900.1 pep chromosome:AGI1.1:5:20865512:20867174:-1 gene:ORGLA05G0211900 transcript:ORGLA05G0211900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:double-stranded DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT1G29850) TAIR;Acc:AT1G29850] ADPELEAIRQRRMQELMAQRGAANPQNAGQQKAQEDAKQEAEERRQMMLAQILSSEARERLSRIALVKPDKARGVEDVLLRAAQSGGISEKVSEERLISLLEQINTHTSKQTKVTIQRRRSVLDDDD >ORGLA05G0211800.1 pep chromosome:AGI1.1:5:20862132:20862776:1 gene:ORGLA05G0211800 transcript:ORGLA05G0211800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSSSPKLLLLLLPVVLLAPLLEARRLHVLPPLMLPSDGVVVGGAVEVEGGRSGYAPPSPRPHGRVTPLAGGGGGGVLRRPPGRGPPPPGPGGHVGPLSGGVSSSSAGRSSTRPLMISDDDGGVSGRQWPAPPPPPDPNTSVQPLSGGVSRGDRPRQLAPPPPPMGNPPPNTHRRRTDRPPRRLPADDDMAGLLIRVIRDAVEYMVGELGA >ORGLA05G0211700.1 pep chromosome:AGI1.1:5:20853069:20854951:1 gene:ORGLA05G0211700 transcript:ORGLA05G0211700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPTIEEKLATYSMFQVRQGSTVPITAREWLDSLPPPPPPPTQSGANSSTSLPPPPPAGSAGEAPATRRKRTPGRWRRIPRTLPHPCLRKRPMTWEELLADSLDMSDEAHCFREEDKPAFRESKAQMARFYQRMIDIEKNSIKQIFRPRVLSDTPEQHLTAEEMEAELAGKKVCSQAHHFANLAINHYNNIEENIVKIELCTVLLSNCFHEICGSTYAHVNFTARAQNDDQAKKSLYFAELKLNPDLLAKRMERFAELSLDPNIVGCADDIEPMCVVSIHNLQGSCFGGCHEINRRIDYVMTRNQDYERCHSCSDRIKHPYGTEFVAGHDSSKIPYYTAG >ORGLA05G0211600.1 pep chromosome:AGI1.1:5:20849870:20850424:1 gene:ORGLA05G0211600 transcript:ORGLA05G0211600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSRSPCICKLLLMLMLHVVLLAPPLLQARPLALGHGHRLQESPPVIMLPTDGEAAAAASPGLDVPGQLGRSGGAPPPPRSNRPVTPLAGVDGGVSGGRAPTNTPPSPQPGGSTKPLSDDDGKPLVWLAPPPPQGNKPPTTSPRLRTGTDPPLRLPAPADDAAGLLHLIRVAVEYVIGELEA >ORGLA05G0211500.1 pep chromosome:AGI1.1:5:20845486:20846592:1 gene:ORGLA05G0211500 transcript:ORGLA05G0211500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNSSTPNCFSQYNFQMNL >ORGLA05G0211400.1 pep chromosome:AGI1.1:5:20838962:20843496:1 gene:ORGLA05G0211400 transcript:ORGLA05G0211400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERREHSRRSGRSRSRSPARDRGSPPRRRERSPAARSRSPRRRSPVKSTSSHRERSPVRRNGSPRRSPVRSIGRSPQRDRVKEQVRSPKQSRSRSPSPARKRESWSPSPQSKRLRRAQIEREGADATEGDRRKTTRERDEGKDVSRDRKAEREEGSFKDRKLDRDDDRDHSRDRRSDRSGASRETWSSRDDEGRDSRGRRSDGDDRKVNSREQRADHDDRRDSARERRADRDESNGESGRSSRRGRSVSPEEHRHRGRHESRQSPRSSRSAAHGENYTNRFVLKNTFIKVYIYHFSLNIFIETRSQSCVLETVSLSKTTSFTSTEGVRLVISIVDTKLVGLYSM >ORGLA05G0211300.1 pep chromosome:AGI1.1:5:20830789:20832105:-1 gene:ORGLA05G0211300 transcript:ORGLA05G0211300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAAAVSRQWTRVRTLGRGASGAEVFLAADDASGELFAVKSVGAAGAAALRREQGVMAGLSSPHVVPCIGGRVERDGSYQMFLEFAPGGSLADVAARCGGRMEERAVGEYAADVARGLAYLHGMGLVHGDVKARNVVIGGDGRAKLADFGCARWADSGRPIGGTPAFMAPEVARGEEQSPAADVWALGCTVIEMATGRAPWSDMDDVLAAVHRIGYTEAVPEVPGWLSADAKDFLARCLQRRPIDRSTAAQMLEHPFVASAAGDGKPEAAKSKWVSPKSTLDAALWESDTDEEEDDELSQSTAERIGSLACAASSLPDWDSDDGWIDVISTPTEESCETTTSPADEETTTDLNGDIRIAEFELPHIDVDSGNGNTTHNVGEANAQHIISPSNLVFDQVLCKTPFCNKHIAIEFIPCFVLTNVFLPLSLLCSYAPHL >ORGLA05G0211200.1 pep chromosome:AGI1.1:5:20822197:20824780:-1 gene:ORGLA05G0211200 transcript:ORGLA05G0211200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGMIIGGGGRRRLTRVRTLGRGASGAVVSLFAAGDDELLAVKSAAGPAGAAQLRREAGILASLCSPHVLPCFGFGAVAGGEYGLLLEFAPGGSLADEVARNGGRLEEDDVRAYAADVASGLAYLHGVGMVHGDVKGRNVVIGANGRAKLADFGCARRADSAGPIGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSGVDDVVAAVRLIGFTDAVPEPPEWLSPEANDFLDKCLRRRAGERWTAAQLLEHPFLALAGCRAVAAEETKPKWVSPKSTLDAAFWESDADDEDDDMPESSAERIMALAVPCSAMPDWESDDGWIDVMSSQSELPIAAAETPAEQTRSEVSESPVASPALETTSYASAWDERSEAVMDADVDDDDDELVHNVRTVDTFVDEQLRQDIYLDFTTSDPIVLHVDVSDERKVKLLPPIPDCLCSSPSLSFFDFIHSNLITLQTQTTNLKLQTSKNVKSRAAASALILQNDGTKAGEMKMMQIRGDTWPKSLDYLTPDRVPLKKNKGITASTLLLHLRVVLDSVIRLPAQHLIKTVKSIAMCHCHLYLDAMNNLVVVVLLGMNFLHVS >ORGLA05G0211100.1 pep chromosome:AGI1.1:5:20816550:20817369:-1 gene:ORGLA05G0211100 transcript:ORGLA05G0211100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDDNALITLKKGSKLIKYSRKGKPKIREFRLSSDENTLVWYSHNKEKCLRLSSVSKVIPGQRTAVFRRFLRPEKDYLSFSLIYKNGQRSLDLVKYKFFVLVFINWG >ORGLA05G0211000.1 pep chromosome:AGI1.1:5:20798389:20802947:1 gene:ORGLA05G0211000 transcript:ORGLA05G0211000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFTHNDEKKEIRSDADNSEGEKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNEPRGSIEDIRDVQDLQAVDAFRQCLVDEDLLPQQHDDYHTMLRFLKARKFDVEKAKSMWSDMLKWRKEFGADNIEEFDYTEADEVMKYYPQFYHGVDKEGRPIYIELIGKVDANKLMQVTTIERYVKYHVKEFERCFQMRFPACSIAAKRPIDSSTTILDVQGVGLKNFSKAARDLITRLQKIDNDNYPETLCRMYIINAGQGFKMLWSTVKSFLDPKTASKIHVLGSKYQNKLLEIIDENELPEFFGGKCKCEAFGGCKKSDKGPWKDPNIIKRVLNGEANYGRQIVTISSTDGKIIRYAGPQYPTRKGSDGSAESGSEVEDGASPMASRNLITNPLLTPVHEESKLAAHGFTSASPSIIEESIPVVDKVVDDGWGSPRASSSPSRSLPITFDGLWTQVITWLTVLIVSLFAMVRSVPSRMAKRFSSQSTDHDHSYVEYPQEAEYKEEFRPPSPAPSYTEKDVLSSMVRRLGELEEKVQALETKPSEMPFEKEELLNAAVRRVDALEAELISTKKALYEALMRQDELLAYIDKQDMIKFRKKKFCF >ORGLA05G0210900.1 pep chromosome:AGI1.1:5:20788711:20790602:-1 gene:ORGLA05G0210900 transcript:ORGLA05G0210900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RWRGGWRSGGAERRCWLRRRRRRSDRWGRRRWIVGVIPIELLVLENYSIDRDTGPVLATPADISGAATDISHKDQDSGRLPSSHLHRQSESWATHGSDKEKEDEEVVQAKNEEVDSFMAAAEKTGPPQQNIDCTAAARRQANNYAKVALEHYNKDENNKIQYRFIKALKSCAIQTNEFYGHVNFVASSSDSKEEFFFAEVCYDPKSNGLVPTCMVSLEENNRIGGLLGVGFVGCPDLLNPPVDNDHCYACDDRLKHPKDGTLFKGGHLAATGFYTSY >ORGLA05G0210800.1 pep chromosome:AGI1.1:5:20782864:20784948:-1 gene:ORGLA05G0210800 transcript:ORGLA05G0210800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSVVLWWEEWQLRILVLGSLFLQCFLAFVAVHRRRSIPASLRFFIWLAYLGSDALAIYALATLFNRHKTNNQGGSRVLATLVSPQGKAGGDDTGLEVFWAPVLLLHLAGPDSITAYNIEDNELWRRHVLTMISQVTVSLYVFCKSWSGENKLLRAAVLLFIAGTLKCIDKPMALKSASIYGLVTSSPFHDQKSQNNDQGKNRSLEAYIQEAKGYFSNLKINDVYVELVAAAQNKDLRVMPFWLFVDLASTLCHRLRVLRFFLVLDNKSADSLLXTALCGSFVRLYTKKSMLLSYFWAKDKRNALISTYSHLNRLLAVCLTISAVALFHQSHKQGYNNSDVKVTYTLLWCTAALEVYALFGPKYSFFTWCNNVAQYNLVGFFARNQTPTRLLKLAGCFWCKDYVDQHWYVNQCSSSFAITKLVIEQVKAGWKNYIEDTSTYWMFNDRRGQLTIQHELCDEELCKSLDVPFDESIIVWHIATDICFYDGAPAANHHHLKAATRCREISNYMLYLLVVNPDILMSGTRANILSNTCKELRSMFEDEKPPSDESDLTREIHKRAQSSNVDAAATEELIPRASKLASQLLAMDGDKRWKVMQGVWVEMLCFSASRCRGYLHAKSLGQGGEYLSYVWLLLWYMGLESVAERQQRXDFRSHVERVASRQLPCTETESSQEPEIQEEEEAVVPLFQEGDI >ORGLA05G0210700.1 pep chromosome:AGI1.1:5:20773699:20776213:-1 gene:ORGLA05G0210700 transcript:ORGLA05G0210700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITKYMKWLLQRKTIFTTISVPLGDVPMGMRRGSGGAGADQEGGVPMGGRSGGSGAGIGLDWVWDWRNPNRSLPPPPTEDIPAVPGSSGVLPLSDLQSKRQYLVSSLMPLSSLPLSDLQRHSEKLSDTHGSDKEDEVVDSSDDDCDPYFNCLVDSFITATKAQPKQLYGNIDLVAAAQRQSNEYASSALDYYNKDENNKIQYSLIKALKSSTIREKGEKYGHVNFIASLDSKEELFFAEVCWDPKTYDMVPTCIESLEEKRRIGGRSFINDEYVVCLDLQNPPIDGKHCYGCSEEIKHPEDGSTFKAGHVAATGFYDSFM >ORGLA05G0210600.1 pep chromosome:AGI1.1:5:20768960:20772489:-1 gene:ORGLA05G0210600 transcript:ORGLA05G0210600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTAYYDTLGVSVDASPAEIKKAYYLKELGEAYQVLSDPSKREAYDKHGKEGLPQDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEVEENLNDQEARGKVQEKIKELQKEREQKLIQSLKDRLQPFVDERKDEFVNWANGEAQRLSHAAFGEAMLTTIGYIYVRQAARELGKSKLYMGVPFIAEWVRDKGHCIKSQVNAASGAIALMQLQEGMKKMEESDNKEDQIMKSFEEKKDAMLSYLWKINVVDIESTLTHVCQAVLKDASVPKDVLKLRARALKKLGTIFQGAKSLYHRENSLQVETSPRQGAATSN >ORGLA05G0210500.1 pep chromosome:AGI1.1:5:20765297:20766458:1 gene:ORGLA05G0210500 transcript:ORGLA05G0210500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSSCGGVQAKLRKGLWSPEEDDKLYNHIIRHGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDLIVALHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGLDPATHKPIAAAAAAATSSESAVTQVDEDHKPHGAADGLAANAKQSVFDPFPVTDFGAGFDLGAANMAAALYGSHPDDGAGFVADYSSVLDVSENLGYGESSSNSSNWTCAEVSNVLDSEVLNWAASAGADAAAKAEPFADMEQQHSGYGGEYQVEDDATLEHKFSLPCHEQSLAQFDFNLEYF >ORGLA05G0210400.1 pep chromosome:AGI1.1:5:20752624:20753252:1 gene:ORGLA05G0210400 transcript:ORGLA05G0210400.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXRSIQTHASFLYSTAVFVTHMHRDKSLIINKKRDLSLFSCLQLNDQTTWHAKKRALASGDGQDAKGRSLAPCLQPPRTKAGNTCWTRGGSSRAKGHDHVEPTATLPPNFESAGAVPLMEGNNVRGEAMREAETREDGLSQM >ORGLA05G0210300.1 pep chromosome:AGI1.1:5:20745428:20748294:-1 gene:ORGLA05G0210300 transcript:ORGLA05G0210300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGSACGGGGVDKEKEEFKQIYGVLKEELLRDPAFEFTDSSRQWIDRMLDYNVPGGKCNRGLSVVDSYKLLKGTNVLSQEDMFLASTLGWCVEWLQAYFLVLDDIMDDSHTRRGQPCWFRVPQVGSIAINDGVILRNHITRMLRLHFRGKLYYADLLDLFNEVEFKTASGQLLDLITTHEGEKDLNKYNIGVHRRIVQYKTSYYSFYLPVACALLLSGEDLTKYGAVEDILVKMGIYFQVQDDYLDCYGDPKFIGKIGTDIEDYKCSWLVVQALERADESQKSVLFENYGKKDPACVAKVKSLYRELNLEAVFLDYENESYKKLIADIEAQPSIAVQNVLKSFLHKIYKRQK >ORGLA05G0210200.1 pep chromosome:AGI1.1:5:20741810:20744346:1 gene:ORGLA05G0210200 transcript:ORGLA05G0210200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14530) TAIR;Acc:AT5G14530] MAATLSQLDDGIVRGMAIGAVFTDYAGKINCLDFHRKEDLLVTSSEDDSIRLYNITSATLLKTTYHRKHGADRVCFTHHPSSILCSSRYNLESAESLRYLSLYDNRCLRYFKGHKDRVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPSVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVSDIKFSNDGKSMLLTTTNNHIYVLDAYGGDKRCGFSLESSPNVSTEAAFTPDGQYVISGSGDGTLHAWNINTIQEIACWNSHIGPITALKWAPRRAMFATASTALTFWIPNNSSSN >ORGLA05G0210100.1 pep chromosome:AGI1.1:5:20738225:20739859:1 gene:ORGLA05G0210100 transcript:ORGLA05G0210100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKQDFQPRTFSIKLWPPSESTRLMLVERMTKNLSTESIFSRKYGLLGKEEAHDNAKRIEEVCFASADEHFKEEPDGDGSSAVQLYAKETSKLMLEVLKRGPRTTVEPEVPVADTPLEPADSVFDISGGKRAFIEADEAKELLSPLIKPGNAYKRICFSNRSFGIGAANVAGPILESIKKQLTEVDISDFVAGRPEDEALDVMRIFSKALEGAVLRYLNISDNALGEKGVRAFEELLKSQDNLEELYVMNDGISEEAAQALSEFIPSTEKLKILHFHNNMTGDEGAMFIAEMVKRSPNLESFRCSATRIGSDGGVALAEALGTCTRLKKLDLRDNLFGVEAGLALSKTLSKLPDLVELYLSDLNLENKGTVAIINTLKQSAPQLEVLEMAGNEINAKASQALAECLTAMQSLKKLTLAENELKDDGAVVIAKSLEDGHQDLKELDVSTNMLQRVGARCFAQAIANKPGFVQLNINGNFISDEGIDEVKDILKSGENSVEVLGPLDENDPEGEAEDDEEEEEEEEDDGELDSKLQSLKVEQDD >ORGLA05G0210000.1 pep chromosome:AGI1.1:5:20732369:20735079:-1 gene:ORGLA05G0210000 transcript:ORGLA05G0210000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKESDAEVLSPVVHDDAGVSYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAMILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVIGELKMRTFLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVEKHSRSRIQITVKTRSQFKERSTATNVEIEVPVPEDSTNPNIRTSMGSAAYAPERDAMVWKIKSFPGGKEYMCRAEFSLPSITSEDGMPEKKAPIRVKFEIPYFTVSGIQVRYLKIIERVDIRPFLGFDTSQWLVNMS >ORGLA05G0209900.1 pep chromosome:AGI1.1:5:20729661:20730708:1 gene:ORGLA05G0209900 transcript:ORGLA05G0209900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVIISVVVVAAFAAAAVVEARVGPIDVAPTNLITNPLGVIIDNGRKITGAVVDECAWTCDHVAADNMKMCNTLRKLPGVSSPKELLTAAVKLSMRKAKAARARFEAAARAAEKGTPMESILDTCKEGYDNTVSALQEVQRCIDANDSKASLITKMSAATTFTGDCGNAYEERELEPSLALKATKNNVNRVVTGALAIAAKLKL >ORGLA05G0209800.1 pep chromosome:AGI1.1:5:20724150:20726423:1 gene:ORGLA05G0209800 transcript:ORGLA05G0209800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSRMAPPPLAGVVVVAAALLLLLPEAAEPRTLLSLDDFGAVGDGVANDTQALVDAWSAACATGDHTFLHVPPAKSYLVWPVTLAGPCREEIKLFISGNIVAPESPDEWPEGGGGGGEWLHFVGVSDLTLSGGGVIDGRGHRWWARSCKAKHNATENCTTQAAPKALHFEDCQGISVMGITLQNSQESHLTFTRCSHVKANYLRITSPEDSPDTTGVHVVSSRNVHIMDDSISTGHDCVSIVGNSTDVRLRAISCGPGHGISIGGLGENRSYHRVEKIKMDTLFISNTENGVRVKTFQGGCGTARKMKFGDILMKNVKNPIVIDQQNSSSNEIPCGSKNGSAVTVGEISYTDITGTSASERAVTFACSEAAPCSKLSLENVNITMAGGQNASAYCHHAFGKSVGVVVPDSCLGKEDYLRRQVPASAAAAGGGTQEKGGEDDDR >ORGLA05G0209700.1 pep chromosome:AGI1.1:5:20721231:20723695:1 gene:ORGLA05G0209700 transcript:ORGLA05G0209700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRVSVMRLVVAAMAVAVGVLFFSGAGEARVLLTLDDFGAVGDGIANDTQAFLDAWNAACASTEPAVLAVPAGKTYQIWPVRLAGPCKKKLKLMISGTIAAPASPDEWAGRDPTKWLYVFRVDDLSVSGGGTIDGMGAEWWARSCKRKKTKPCSTVSAPKALQFEECRRVSVQGITMQNGPQFHLMFTRCTDVKASFLRVVAPESSPNTDGIHLNDTTHAQIMDNLISTSLSSGDDCVSMVGNCSDVRVKDISCGPGHGISIGSLGKNRTTDRIENVRVDTCLLTNTTNGVRIKSWQGGMGYAHNLRFEGIVMKNVSNPIIIDQYYCDQPTPCANQTQAVEVRKIEFAGIRGTSATEQAIKLACSDAVPCRDLELRNVNLTMVGGGAASAFCHRASGKAAGAVVPASCLAKAPHRMLGDATPAARVGS >ORGLA05G0209600.1 pep chromosome:AGI1.1:5:20712305:20716824:1 gene:ORGLA05G0209600 transcript:ORGLA05G0209600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEVRIGEEVWLTCLSHALTTETEEVMGLLFGDIKHSSRGGVTALIWGASPQMRCERKKDRVEVNPELLAAATAQAESLSATIGEKTRVIGWYHSHPHITVLPSHVDVRTQAMFQLMEPGFVGLIFSCFSEDAQKVGKIQVIAFQSLGGNQQSVVPVNDPVINLESSWSSLDDTSHPALIEGIEQDTGDSKSSRNSKVWAKSSDVDFYPHSDANHSAKHQSKENAIVAYDPNNAPETPVDLDESDMTPSIQEALHRSNMDISGAEYVRKEVPLYVFPTRHLLKLDTTLTSYCDMQHVVFEEEKSAYNQAMHQNIRDGKIHPLTSIHHASTYNSSLCKLMEYCLSPAINVLQDRLKENELRLSMLMEEAKQLEAENQSMRNDSPRRLMYHGTSGTSSLMAQDKQMSPRSPSGTGRRKAS >ORGLA05G0209500.1 pep chromosome:AGI1.1:5:20709268:20710189:1 gene:ORGLA05G0209500 transcript:ORGLA05G0209500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQDQASYRAGETKAHTEEKAEQVMGASKDKASEAKDRASEAAGHAAGKGQDTKEATKEKAQAAKERASETAQAAKEKTSGTAQAARDKAAESKDQTGGFLGEKTEQAKQKAAETAGAANLKTAETAQYTKDSAIAGKDKTGSVLQQASEQVKSTVVGAKDAVMSTLGMTEDKAGTDDGANKDTSATAAATETTARDH >ORGLA05G0209400.1 pep chromosome:AGI1.1:5:20704160:20704979:-1 gene:ORGLA05G0209400 transcript:ORGLA05G0209400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSVASSMSKLKSLVTVVLVILLLFDSSSSGQAETAYTNYEVTSKVKERIQKHSRRVLTDVQDYDYGGPNPRHEPRKKPGNGH >ORGLA05G0209300.1 pep chromosome:AGI1.1:5:20689783:20693025:-1 gene:ORGLA05G0209300 transcript:ORGLA05G0209300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQNGDEWDGVRLFYRRFGRGATKVLLVIGLAGTHDSWGPQIKGLTGSLEPAADDEESGDAAAAEGDDGDGIEVCCFDNRGVGRSSVLPHKSYYSTVIMARDALALMDHLGWKKAHVFGHSMGAMISCKLAAMAPHRICSLALLNVTGGGFQCFPKLDGQMLSLAFRFLRARTPEERALVDLETHYTKEYLDEKVGSCTRRTILYQEYVKGISSTGMQSNCGFEGQVNACWTHNMTTKELDTIRSAGFLVSVIHGRSDIIAQLCHARRLAERLIPVARMVELHGAHLVSHERPEEVNNALMELIKATKSMMKPEEWSSQPENSSETGALISARPVSVTIRTDDGGNAAIAVYNLLAKLQLSFLYVIGVILMGFEHMRNIVKVMKPVRVAAIES >ORGLA05G0209200.1 pep chromosome:AGI1.1:5:20684346:20686799:-1 gene:ORGLA05G0209200 transcript:ORGLA05G0209200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMEKLKIFAVKEPVVTASCLIAGFGLFLPAVVRPMLDSWETAKQVPPPALNDVVAGVTGKKKE >ORGLA05G0209100.1 pep chromosome:AGI1.1:5:20683526:20683792:-1 gene:ORGLA05G0209100 transcript:ORGLA05G0209100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDQMGSEPPRVATGVAPKTAARIGSFSRLLSGLDAGGVLRDVEPEKMKDEIRRWAKSVVSLVRQLSFGAPPPPENHRSSNSIVHTS >ORGLA05G0209000.1 pep chromosome:AGI1.1:5:20673976:20675507:-1 gene:ORGLA05G0209000 transcript:ORGLA05G0209000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPEPLIWEIVKKNNCFLVKQFGNSNAKVQFTKEPNNLYNVHSYKHSGLANKKTVTIQPSGAKDAAVVLSTTKTKKQNAPAKLYHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSSVYRSLQVSKSGAKKKNRQPTKL >ORGLA05G0208900.1 pep chromosome:AGI1.1:5:20672983:20673504:1 gene:ORGLA05G0208900 transcript:ORGLA05G0208900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKTLHPYVSVHPLSLFGWDVDQAGLPGGVPDGGERALRGGGAGRRAGGDQVAGVQDQPEGVRAVRRRHGGGHAVRVPGGRRRHRRVLGEERPAARRRRPARRAAAAGDHVREGAQARAHGLPLGQATLRASAAAAGATSQQLCTLTEWQNLLDASACQKLTMQYLRPHGFTSST >ORGLA05G0208800.1 pep chromosome:AGI1.1:5:20672252:20672667:1 gene:ORGLA05G0208800 transcript:ORGLA05G0208800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPQKKMVVSKKIMKVGPWGGTGGSPWDDGGHTGVRSITLSYDRCIDSIAVEYDRNGVAVAGERHGGAGGNQTTQVHTQYHLHLVMFLAQP >ORGLA05G0208700.1 pep chromosome:AGI1.1:5:20670118:20670309:-1 gene:ORGLA05G0208700 transcript:ORGLA05G0208700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILYAAAVVAAVAVSSLAGVAYAADAPAPSPTSGAAAVSSSLVAAVLCPAVALLLGNLRQ >ORGLA05G0208600.1 pep chromosome:AGI1.1:5:20666590:20668302:1 gene:ORGLA05G0208600 transcript:ORGLA05G0208600.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSEESGAMRSSAQQHQMNGIRAVASPERERPARGSNIINNNGGPPTSPDDKKGSSSGSEGSIWPKFAVALTNKEKEEDFWVFKGSKLPQRPKKRAKVIQRTVNLVCPGTWLCDLTLERYEVREKKVSKKRPRGLKAMHNMDSDSE >ORGLA05G0208500.1 pep chromosome:AGI1.1:5:20660736:20662319:-1 gene:ORGLA05G0208500 transcript:ORGLA05G0208500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMKKKGALSRRRTATSRAATVARRKGVVVVGGGEDRISDLPDDLLRLVLRRLDTRTALATAALSRRWASLCRGLDALDFLVSDVLPPRYHRCRDHLLLHHPHATKGIDGDGVKVVAGRYERLAMRKMAVSIDSFLDAAPDDDDPDRRRRITRLRLEFIVNHHSDSINRLIATAIDAWGVKDLEILAGTPAHQLRPLDRLHIFPHQGICSDPRSSTLTSLTLANCTIPPLQGFQALRILVLQHLPSSTRPADYESVFTSCTQLRVLHLKSCMFDGALRVNAPCSSIEQLVFDHCGGGLIILYALPKLEEMAVVQTCVWFQRGSMPCLKRLNLIFRYKHDHHSTSMPWGMNLMQIAEYTPEITELFLEFTGRGAATMDAPPSSPLPSLPNLRKLVVVVPSSWDVSWPRLLLEVAPCLEILHVHVAACEDEPRGEISWRRCESRHRKLKELGMSGFEGTGRQVYFVNFVMEVSLALKSVSLCKYAGVYWDYDIVREDRRWSSEDRADVLKQIGERVSCTDIPVQLVRE >ORGLA05G0208400.1 pep chromosome:AGI1.1:5:20656922:20658589:1 gene:ORGLA05G0208400 transcript:ORGLA05G0208400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRGRGDAIAISGHQMRAPNPTPPRDSAMARRRKGSSYRRRRRRRRAPAACGDNRIDDLTDDLLLIILRRLDTRSALATAALSRRWSGLARADLEALDFMVGDILPPRYHRCIQLHESASGVFNGADELRTIVASIRRHERLAMRNMVASINNFLDADDGFAHGDGGGGAPRRRISRLRVEFIATHYHDCINRLVAKAVDTWGVEDLEVLGRTTYWRHHFQDAHIFRRHGLCNDPHRSRLRSLKLVDCDSPIARVPSSHQAGPARLAGLHTRGSLRSSVFSSCLQLQEVHLKSCPCKRGSSVFVDAPRSMIRQLVLECCGVPGFELHALPMLESIAVMQTWVRYKLGSFPRLVRLNLKRDGLRHKLNFCLPANLDLKPHLGFTPDVTDLVIRFTGYERWFRPSCPSLLLPKLTRLLIADVPSSWDISWPRLLLEAAPCLESLHIHITPWEGETCNDILWQPSKLQHKRLKELVIIGFEGTERQIYFVNFVIEVSMVLELVSLLRYSRVQEIGYWDWNIVRQQHRWCDEERAKILDQLADKISCSATPVQVFLE >ORGLA05G0208300.1 pep chromosome:AGI1.1:5:20651350:20652600:1 gene:ORGLA05G0208300 transcript:ORGLA05G0208300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMDAFGWSAPAAPCQPSCGPGGDDDDDVLLAAVLGASFELHSLVDGGGNGAAGAVRSDDAYGLDVDLPSHQMSLLRCQDGLSALHGDASPTAAAAAFLDSVDVLPVPAIAGATHDDGGLLDRFAFPNVAETTTVQAAASNTAFSGYSSNTTGGGNISSGESNTYTEVASTPCAVSTTTTTTALPPSKRKLPEKYPVVGTSSTTKTTTTSETAAERRSTKRAAGGSSSITFGGGRHGAGAAAALLGLGRGYEPDTEAIAQVKEMIYRAAAMRPVTLGGAASASDPSSAAPPPPQRPRRKNVRISSDPQTVAARLRRERVSERLRVLQRLVPGGSKMDTATMLDEAASYLKFLKSQLEALETLGNGNGNGNLLHHGYYTGSRNATATAATGSSNSTVLAFGRDGLAGFVKSNRNLQL >ORGLA05G0208200.1 pep chromosome:AGI1.1:5:20640580:20641029:-1 gene:ORGLA05G0208200 transcript:ORGLA05G0208200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VARGSTVLMGNGSHASVHGVGTVDLKFTSGKIVQLKNVQHVPSIDRNLVSGSRLTRDGFKLVFESNKVVVSKHGYFIGKGYECGGLFRFSLSDFCNKSVNHICGSVDDEANVWHSRLCHINFGLMSRLSSMCLIPKFSVVKGSKCHSCV >ORGLA05G0208100.1 pep chromosome:AGI1.1:5:20640031:20640378:-1 gene:ORGLA05G0208100 transcript:ORGLA05G0208100.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIYKAEVENQLDRKIKRLRSDRGGEFFSNEFNLFCEEHGIIHERTPPYSPESNGIAERKNRTLTDLVNAMLDTAGLPKAWWGEALLTSNHVLNRVPNRNKDKTPYEIWIGRKPSLS >ORGLA05G0208000.1 pep chromosome:AGI1.1:5:20632914:20634770:1 gene:ORGLA05G0208000 transcript:ORGLA05G0208000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:I1PXI2] MGDGAEAAGATAASRFGTICVFCGSNAGRRRVFGDAALDLGHELVRRGVDLVYGGGSIGLMGLIARTVLDGGRRVVGVIPRALMAVEISGESVGEVIVVQDMHERKAEMARRSKAFIALPGGYGTMEELLEMITWCQLGIHDKPVGLLNVDGYYDPLLALFDKGEAEGFINSDCRQIFVSAPTASELLTKMEQYTRLHQEVAPATSWEISELGYGRTPGADQS >ORGLA05G0207900.1 pep chromosome:AGI1.1:5:20629261:20631796:1 gene:ORGLA05G0207900 transcript:ORGLA05G0207900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWIKSLVSLKAAPEGTTKGRRWTRLWRSSSSASASASTAGDASESASSEADAFSSVVAAVVRAPPRDFRVIRQEWAAVRVQAAFRAFLARRALKALRGIVRLQALVRGRLVRRQLAVTLKCMNALLRVQERARERRARCSADGRDSQDAVGERDGRADPIKQAEEQWCDSQGSVSEVRSKIHMRHDAVAKRERAIAYALSHQPRSSKQSARPSSPARSLRNHESNRCNHDWSYIEGWMATKPWESRLMEQSHAELKCSKNSGELNLAGAKLSNASSVKMRGNRVAAKPPSVLSASSSEFPCDVSSASTSSATPARSDGGHGEGPSYMSLTKSAKARQSCNSPFQIQRQRSGGMSSYKRVALSPLDVQSNACSEFSVTSRKLNSLSLKGRSMTRSLDKENDNLF >ORGLA05G0207800.1 pep chromosome:AGI1.1:5:20624335:20625465:-1 gene:ORGLA05G0207800 transcript:ORGLA05G0207800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAEAASPGVGAWAAIRGYFTPATLFLVVNIVIGTIALTSRTHQRRRRQVYQYHHDGEILHHDQLQPPPPLLHQQYYGGGEHQQMMMQETLYAPPPAPAPLARTSSVLDRLRSFGLYRFRSGDFPPEYATAAAAATSQLHHQRTEEMVSSSPVDEVKLQGHYARSRSEPAAAPAQEERKAASRLRNSSSEVRRAEVVRAPARVVEAFPEEAAAAVDATAEDDFTPKQQRDLPLQQEYVPPPAPAPAPLARTSSVLDRLRSFTLYSFRSGDLATDDIPADAAAAATPAQAHYGRTRSEPAREQNKKAKKQAASEAKTMTKSSSEARKDTAAEDADDGGVDARADDFINKFRQQLQLQRLNSLLNYKEMLNRGSSKQ >ORGLA05G0207700.1 pep chromosome:AGI1.1:5:20615910:20622385:1 gene:ORGLA05G0207700 transcript:ORGLA05G0207700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb-like transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G47210) TAIR;Acc:AT2G47210] MDAKDILGLPKTAFPSVQEKKPRAPKEPQRKPDGVSREVYALTGGVGMAPLMPTIEASHLKRRPAVEKEKIAWQWLPFTSSARTDNLQLYHWVRVVNGAPPTGDYHFAKYNKKADVLKYTDEEYEKYLTDPAWSREETDKLFELCERFDLRFIVIVDRFPTDRSMEDLKSRYYSVTRALLIARARSFDEVAGNPLVKETFNAAHETERKRALSALLSQTKQQERKDAEILAEAKRIMETRAASKHTEEAGALAHFDNVDGVSPLSNTHPLSTATLPAAAVNNSIPASLRMLRVYLRTQALDQMVQAASASAGLRVIKRVDQTLQDLGVNLKPKVPTKAVCVEHLELRNEILTLLNLQKQLQNKEAEVSANRENSFTEAPSTPKRANRDVDRPFVPDTVGFAGERAGKRDHKRKTTGRFIDAPPSPPQSKRPRKLKASD >ORGLA05G0207600.1 pep chromosome:AGI1.1:5:20611951:20613639:-1 gene:ORGLA05G0207600 transcript:ORGLA05G0207600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPMAPAPPPSTHSTAPFATPEVRSVAARRPRPAAASISVRLRDVARRRKDRPRRCRAQVAPRGGDRISALSDDVLLLILRRLATHAALATAMLSKRWARLLRXLDTLDFMVGEILPPRYRRCIQLHQAAGFAAYPVDVKVLVASIKRHERLAMRNMAASINSLLDADGSDECAGQARWRARVLRVEFFATHYTDLMNRLITKALDAWEVEDLEVFAKPAYWSEWSLPPIVHRFPHHGLCIEPHKSRLRSLKLGGCIIPPLQGFHALTKMTLQDLRNSVAKASYEDVFKSCPQLQVLHLKSCRWADRGILVIDFPKSGIKQLIVEFCSAIALHSLGMLESIAIRETWVRYKHCSFSHLMHMNLNLRHGYRNRLRDLCIGWDLNIQQFLGFTKNITNLVLRFTGYGRWFVPSCPSLLLANLRRLLIADVPSSWDVSWPRLLLEAAPCLESLHIHIIPWDDDSFDEIIWQPSTLQHEELKELVVIGFEGTERQIYFVNFVMEVSTTLQLVALFRYGHVQEMGRWDWKIVRQQHHWNDEEKAQILNQFADRDSCSITPVQVVVE >ORGLA05G0207500.1 pep chromosome:AGI1.1:5:20610855:20611235:1 gene:ORGLA05G0207500 transcript:ORGLA05G0207500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLMLRLTGPQMWVTPESPFLEMPSLRKLLVVDMPPRWDATWICALVEEAPSLERLHVHFSQRCEDDDEMAVRRKLEIVWEQEPSRALHSHLEELVVIGFQIKKERKVQLVRHIMVVAPCCSAQA >ORGLA05G0207400.1 pep chromosome:AGI1.1:5:20607121:20608740:-1 gene:ORGLA05G0207400 transcript:ORGLA05G0207400.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEGEERCVLPAPPPGSRDLRRRRWEEGGAAAALYCLRRRRTPAAAPCDGDRISDLSDDVLLLILRRLDTRAALAAAMLSTRWAPLRRELDALDFVVGDVLPPRYYRSVQLHKTIAYNGDAKALVATIKRQERLAMRNMAASIGSFLDADDSHDRAGRARRRRRIGRLRVEFFATHYTDLMNRLITKALDAWGVEDLEVFAKSAYWSIPPDVHRFPHHGLCNQKSRLRSLKLGGCIIPPLQGFQALTELTLQDLQNSMPKSSYEAVISSCPQLQVLHLKSCRWVGQGILVIDAPRSGIKQLTVEFCSVIALHSLGMLERIAIRETWVSYKHHSSFSRVMHMNLNLRHGYINRLRDLCIGWDLNIERFLGFTKNITNLVLRFTGYGRWFVPSCPSLLLANLTRLLIADVPSSWDVSWPRLLLEAAPCLESLHIHITPWDDEHGDEIIWKPSTLHHEKLKELVVVGFEGTERQVYFVNFVMEVSTALQLVALFRYGRVEEMGRWDWKIVRQQHHWSDEERSQILNQFAHRDSCSTTSVHFSSS >ORGLA05G0207300.1 pep chromosome:AGI1.1:5:20601661:20605897:-1 gene:ORGLA05G0207300 transcript:ORGLA05G0207300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock protein 60-3A [Source:Projected from Arabidopsis thaliana (AT3G13860) TAIR;Acc:AT3G13860] MYRAAAAAASSISRSSSALRKQLSRGGGGEQRLWARGYAAKEVAFGVGARAALLQGVNDLADAVKVTMGPKGRNVIIERSHRAPKVTKDGVTVAKSIEFEDSAKNVGANLVKQVAEATNKVAGDGTTCATVLTQAILTEGCKAVAAGVNVMDLRNGINKAISSITTHLKSKAWIINSSEEINQVATISANGEKEIGDLISKAMEKVGKDGVITITDGKTLDNELEAVQGMKLSRGYISPYFVTDQKTQKCEIENPLILIHDKKISTMNSLLPVLEMSIKNRRPLLIIAEDVEGEALSMLVLNKHRAGLKICAVKAPGFGENRRANLDDVAVLTGGEVVSEDQGLDLGKVELQMLGTAKKVTVSLDDTIILDGGGDKQQIEERCQQLRESMDKSTAVFDKEKAQERLSKLSGGVAVLKIGGASEVEVGEKKDRVTDALHAARAAVEEGIVPGGGVALLYATKELDKIITANEDEKIGVQIIKNALKAPLMTIAANAGIDGGVVIGKLIEQDNLNLGYDAARGEYVDMIKAGIIDPVKVIRTALQDASSVSLLMTTTEAAVAEPPAAKARMASRMPQMSGMDF >ORGLA05G0207200.1 pep chromosome:AGI1.1:5:20600191:20600987:1 gene:ORGLA05G0207200 transcript:ORGLA05G0207200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2752 [Source:Projected from Arabidopsis thaliana (AT4G29660) TAIR;Acc:AT4G29660] MARRLWRWYADRQFNRWEKTVLWDMVEPYRPPRSFAPLIGTYVAAFYTGVVASAITEQLYKEKYWEDHPGEAVPLMPPKFYWGPWRVMNGEVPRFMQTPEEAKPA >ORGLA05G0207100.1 pep chromosome:AGI1.1:5:20595989:20599671:-1 gene:ORGLA05G0207100 transcript:ORGLA05G0207100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease 1 [Source:UniProtKB/TrEMBL;Acc:I1PXH3] MGIKGLTKLLADNAPKAMKEQKFESYFGRRIAVDASMSIYQFLIVVGRTGMETLTNEAGEVTSHLQGMFNRTIRLLEAGIKPVYVFDGKPPDLKKQELAKRYSKREDATKELTEAVEEGDKDAIEKFSKRTVKVTKQHNEECKRLLRLMGVPVVEAPCEAEAECAALCINDMVYAVASEDMDSLTFGAPRFLRHLMDPSSKKIPVMEFEVAKVLEELELTMDQFIDLCILSGCDYCDSIKGIGGQTALKLIRQHGSIESILENINKDRYQIPEDWPYQEARRLFKEPNVTLDIPELKWNAPDEEGLVEFLVKENGFNQDRVTKAIEKIKFAKNKSSQGRLESFFKPVVSTSVPLKRKDTSEKPTKAVANKKTKGAGGKKK >ORGLA05G0207000.1 pep chromosome:AGI1.1:5:20590349:20593689:1 gene:ORGLA05G0207000 transcript:ORGLA05G0207000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRAMRPSGSSMRGVVARLAAARSPAVSFLVAAAAGAALVGGVYFWLVVSSFRLPDSRAVGCLPDGEGSWAIGMYYGKSPLELRPIELKGRSNGNSSAWPVANPVLTCATPTEGGYPSNFVADPFLYVQGDTLFLFFETKTVSTMQGDIGVARSLDQGATWEFLGIALDEAWHLSYPFVFKYENEIYMMPEGNKKKELRLYRATKFPLEWTLEKVLIDKPLIDSSLVQYDGLWWLFASDFTRHGIEKNAELEIWYSNSPLGPWSEHKQNPIYRSDKSLGARNGGRLFIFEGSLYRPGQDCSGTYGRKVKLYKIEKLTKEEYKEVPVNLGIEEAKKGRNAWNGMRYHHIDAQQLASGGWVAVMDGDRVPSGDSTRRSLFGYMGFLVAVALVTFVGFVKGAISCYIPPSFWVPLTRRSELSRILPVHRFNLKIRRYSTSIGRNISATKARLSEKTWSNTLFFCVIALIGIVNVCIAVHFLLGGNGAEEAYTHQGQHSQFTMVTMTYEARLWNLKLFVEHYSRCESVREIVVVWNKGNHPTSDAFDSTVPVRIRVEEINSLNNRFRVDPLIKTRAVLELDDDIMMTCSDVEKGFKVWREHPERMVGFYPRMIDGDPLQYRNERYARGKKGYNLILTGAAFMDSEFAFSKYWSQEAKEGRDYVHKNFNCEDLLMNFLYANASSSRTVEYVHPAWAIDTSKLSSVAISRDTQKHYDIRTKCLAKFASIYGPLPQKWLFGMREDGWDK >ORGLA05G0206900.1 pep chromosome:AGI1.1:5:20586255:20588660:1 gene:ORGLA05G0206900 transcript:ORGLA05G0206900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1230) [Source:Projected from Arabidopsis thaliana (AT5G11840) TAIR;Acc:AT5G11840] MAAAPLSPPPPLHARLLLLPPLLPTMAGGSRGRRRGRGRRRFAVPPSRNGSSSQGTEWCPVPPEQLPVNEYESLAASLPFSWAAGDLTVYCSRLALTGAAFALFVGLPVASFGGRGGAGGDAVHLALGATGSGILAVTLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTPEVLARDRLLGSFSVKPVLNRVKFTLVGLAVSLILCILLYANTENPKDPFENTVGRAIPGVYDDTSARSFEPDAFCGEPDLGDLS >ORGLA05G0206800.1 pep chromosome:AGI1.1:5:20581209:20582510:-1 gene:ORGLA05G0206800 transcript:ORGLA05G0206800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAACGDGVVSSSNEMQELWPLGEVDQKGTRFPCCIVWTPLPVVSWLAPYIGHVGIAREDGTVMDFAGSNFVSVDDLAYGSAARYLQLDRRKCCFPANLAAHVCARSYEHSEAGTAISWDDALQSGARRFEHKCYNLFTCNSHSFVASCLNRLAYGGSVGWNVLNLAALVWLRGRWLGKMAVVRSLLPFAAVACVGVLMAGWSFLIGMAAFSSLLLGWFVLGVYCFKGLVC >ORGLA05G0206700.1 pep chromosome:AGI1.1:5:20574842:20577713:-1 gene:ORGLA05G0206700 transcript:ORGLA05G0206700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGKDSLDLSGLGAAVPNAKELSAEDKANLVDSIKNTLQGLAARHTDVLESLEPKVRKRVEVLREIQSQHDDLEAKFFEERAALEAKYQKMYEPLYSKRYEIVNGVVEVDGVTKEAADETPAEQKEEKGVPEFWLNAMKNHEILSEEIQERDEEALKYLKDIKWYRISEPKGFKLEFYFDTNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWFPGKCLTQKVLKKKPKKGSKNTKPITKTENCESFFNFFSPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAAQDEDFEGIMDDEDDDDEDDDDDEDEDDEDDDEDDEDEKKGGRVPAGEGQQGERPAECKQQ >ORGLA05G0206600.1 pep chromosome:AGI1.1:5:20571239:20574000:1 gene:ORGLA05G0206600 transcript:ORGLA05G0206600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G56450) TAIR;Acc:AT5G56450] MSEEAAAAAGAAGVEESLGRRRSRRGGGGDGVGMGAAAAARVWEFERDLVAGAAMGGAVHTVVAPIERAKLLLQTQDGNAALLGRARRFRGFADCVARTVRDEGVLSLWRGNGTAVIRYYPSVALNFSLKDLYRSILKDAGTSADNKFSSIALTNFIAGAAAGCTTLVLIYPLDIAHTRLAADIGRTDTRQFRGICHFVQTIYNKNGIRGIYRGLPASLQGMVVHRGLYFGGFDTAKDVMVPLDSPLWQRWVTAQAVTSMAGLISYPLDTVRRRMMMQSGMDVQMYSSTLDCWRKIYKVEGIKSFYRGALSNMFRSTGAAAILVLYDEVKKFMDRGRL >ORGLA05G0206500.1 pep chromosome:AGI1.1:5:20567076:20570377:1 gene:ORGLA05G0206500 transcript:ORGLA05G0206500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEKSPESSVVQEQRVTISNKHGENLVGLLHQACSKNLVILCHGFRATKDDSILVDLAYALTREGVSAFRFDFAGNGESEGQFQYGNYRREADDLHSVVSYFTEQEYNIIGLVGHSKGGNAVLLYASMNHDIPVIVNISGRFALERGIDGRLGKNFMQRIKKDGYIDVRNRKGEFEYQVTEESLKDRLSTDTLLSSRSISKCCRVLTIHGSKDEIVPVEDALMFAANIPNHELHIIAEANHRYTGHEKELKALVLDFIKSQPNFSSSLRPKL >ORGLA05G0206400.1 pep chromosome:AGI1.1:5:20559692:20566118:-1 gene:ORGLA05G0206400 transcript:ORGLA05G0206400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:I1PXG6] MGPSRSFQNLLLLLLPLALALCSAAASGEASRRFWVENDTFWKDGAPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPKPLSWEFKGFTDIESYLRLAHELDMLVMLRVGPYICGEWDLGGFPPWLLTIEPTIELRSSDSTYLSLVDRWWGVLLPKIAPLLYSNGGPIIMVQIENEFGSFGDDKNYLHYLVEVARRYLGNDIMLYTTDGGAIGNLKNGTIPQDDVFAAVDFDTGSNPWPIFQLQKEYNLPGKSAPLSSEFYTGWLTHWGERIATTDASSTAKALKRILCRNGSAVLYMAHGGTNFGFYNGANTGQNESDYKADLTSYDYDAPIREYGDVHNAKYKALRRVIHECTGIPLLQLPSKIERASYGLVEVQKVASLFDVIHNISDTLKVAFSEQPLSMELMGQMFGFLLYTSEYQEKHSSSILSIPKVHDRAQVFVSCSLGDVRKPRYVGIVERWSSKTLQIPSLSCSSNVSLYILVENMGRVNYGPYIFDQKGILSSVEIDGIILRHWKMHPVSLNAVGNLSKLQLIMQMTDAEASKVSIYGDSENKLQDVSLYLNEGISEEPAFYEGHFHIDSESEKKDTFISFRGWNKGVAFVNNFNIGRFWPAIGPQCALYVPAPILKPGDNVIVIFELHSPNPELTIKLVKDPDFTCGQ >ORGLA05G0206300.1 pep chromosome:AGI1.1:5:20557135:20558400:1 gene:ORGLA05G0206300 transcript:ORGLA05G0206300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPPRRWAAANDDVLLEIVRRIPCGIDRRNMGRACHSWRVALAKLEPPAPSPPLPWLLLPRADGPPTFSCVLSGCRSHRFFIPPGAVQRARYFGSYDGGWLFLAIGGQGQAQRHLLLRHNPFRLLVLPNVTHFPDMVYPPVLGVVKRDHQISIVAATLSCKPTEEGCIVAGIIDFAHSPGRAVRQIALWRMGDQVVLPPYWEQVPNQPMIEAVPVDLIHHDGAFHFLIRLEHIIVCEEPPEFQEEAVHLVPVYMYFQPRGGDNGDETVLARYLVESRKKLLMVVRYSSGRQHLPTSAFRVFQMKKFNNGEEDEPLNNGGFQYYWSELDKLEGRMLFVGRGCSRSYXAGDSYPAGMEGVYFLDDRSFAEAAMAFGEGANKLPYRCSDNGKWSGAPADSRVDRCFPSRGPSIYSPPVWLLP >ORGLA05G0206200.1 pep chromosome:AGI1.1:5:20542795:20544570:-1 gene:ORGLA05G0206200 transcript:ORGLA05G0206200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:I1PXG4] MAEHKEEESLVESVMDKISDKLHGRGGSSSSSSDSDGERTADLKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKKISVGVLTGATAIWLLFEVMDYHLLTLLCHCIILTLAMLFLWSNASTFINKSPPNIPEVKIPEDLAVNVARSVRFEINRGFATLREIGLGHDLKKFLIVVAGLWVLSVLGSCCNFLTLFYIVFMVLYTVPVLYEKYEDQIDAFGEKAVIELKKYYAIFDEKCLSKIPKGPSKNKKH >ORGLA05G0206100.1 pep chromosome:AGI1.1:5:20539656:20541844:1 gene:ORGLA05G0206100 transcript:ORGLA05G0206100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G52880) TAIR;Acc:AT5G52880] MPVRRRQPRRRETGAAERYREMGISAALSRPWDYPTACGEIAALLRIGYGDLPKAAQALVTGDVLLAFRLLPDVQTGYALGAANGLLQAAEGSLPKQKKAQAVSEFKRSVVAHKRRARVQQDPGVPHIPYDVLVHIFSFLDMRSLVAAGLVCWPWNSAANDNHLWEMNYSLFFGICHINCNSTPTAGNVQNTDYHVQNSIYQVSPDPGFNWKEAFHKKYAEQETWSSASNRALCGYCRSVIWLCDLTCATPHYCLNNGKDGVKLGPLLPHTVADYILDIADLAASSTESDDTDSDSENYPQARFWSLS >ORGLA05G0206000.1 pep chromosome:AGI1.1:5:20537705:20538768:1 gene:ORGLA05G0206000 transcript:ORGLA05G0206000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPVAHRFSLASAFLPRHRRPSPSAPNRRRRHGTVVAYMEPNPNSPSSIAGRLIGALPVVGLVARILSDEGGVGGDMIDFAEFRRRVSKKCTVMDSQAFYDFNERRGKAGDPFYVLLCCWLAAVGGGLLKTEEILEGVARLRLSNDIEFEEETFLDMMKTAKEKRAKLKAPAPQIPMEARAEKALEAIYVCCFGQDMVEDEDVKLLCKMLNAIFPSVGRQAVERIVTSMAKQVAAGERKGPGVKTVSKEAAQRQLKDLEFLKQNKLDSV >ORGLA05G0205900.1 pep chromosome:AGI1.1:5:20533332:20534099:-1 gene:ORGLA05G0205900 transcript:ORGLA05G0205900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREHLLLDPAALAVSWADPAAVEIPPELLAALGEYLSARRSDGEAEADAEAEADDEFMMYEFKVRRCARARSHDWTACPYAHPGEAARRRDPRRVAYTGEPCPDFRRRPGAACPRGSTCPFAHGTFELWLHPSRYRTRPCRAGVACRRRVCFFAHTAGELRAGSKEDSPLSLSPKSTLASLWESPPVSPVEGRRWVDGIDECDADAEMEELMFAMRELGLRKVRPSASSVTPVLPPVTDEDGPDFGWVSELVM >ORGLA05G0205800.1 pep chromosome:AGI1.1:5:20526602:20529237:1 gene:ORGLA05G0205800 transcript:ORGLA05G0205800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVKYQVKKNWMGDPCVPKTLAWDSLTCSYSTSIRPRITSLNLSSSDLRGDISSSFANLKGVQYLNLSNNNLTGSIPDALSQLPLLAVLDLAGNQLSGSIPSGLLKRIQDGSLDLSSSLQLENRRFTYRELEMMTDNFQLELGRGGFGCVYDGFLEDHTRVAVKLMFKNSKQGDKEFLGEVYVVLFXLIVDLSRVKIESCNRSLRHRFXPGFITRILFPXLDTARMGITWHLFMSTCQKELYKNTLQVPKIFRFYVHEENSRRRFLPWRRRLQIALESAQGKYLMTMQPTTKSDVYSFGVVLLELVTGKPALLRDLDNTSIIQWVQQHLARGNIEDVVDARMHGDHDINSVWKVVDIALKCTMQESIHRPTMTGVVAMLQECIELENRHLKDYAANSENHNSSYNTYGVDQSTNVIQSNDAFEVGHNIARVPTMATGPVVR >ORGLA05G0205700.1 pep chromosome:AGI1.1:5:20521164:20522717:1 gene:ORGLA05G0205700 transcript:ORGLA05G0205700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSWLFILCLAVAAAGVLQTSAQPDLKGFISIDCGLEGKTGYLDDKTNLSYVPDDGFTDAGTNHNISVEFMTPLISRRNYNLRSFPDGERNCYTLRSLTAGLKYLIRAAFVYGNYDGLKKPPVFDLYIGVNFLTMVNITGLDGAALEEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLKSTLYPQVTETQGLSLFGRWNFGPTSNTEIIRYPDDPHDREWVPWINPFDWTVISTTTMVQNIENDIFEAPLRVMQTAITPRNASGNIEFAWDAYTQPKDPTPGYIANFYFTEVQLLPSNVLRQFYINLNGRLVYNESYTPLYLYADLIYEKKPFLRYPEYNISINATSNSMLPPIINAIEVFSVMPTINVATDSEDVGEN >ORGLA05G0205600.1 pep chromosome:AGI1.1:5:20515201:20519506:1 gene:ORGLA05G0205600 transcript:ORGLA05G0205600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWWLLLLLGLAVAGVVRGQGGAPDTSGFISIDCGLPEKTSYVDDATKLKFTSDDAFTDAGTIHNVSSEFATPTTTTDRSLYNVRSFPAGARNCYTVPSVVPGSKYLVRAKFLYGNYDGLNKPPVFDLHLGVNFWQTVTVPSADWLGNAEVIAVVPDDFLQVCLVNTGAGTPFISGLDLRPLPSSLYAPANATQGLVLLDRRNFGASGSTVIRYPDDTYDRVWWPWSNPPAEWSDISTADKVQNTIAPVFYVPSVVMQTAITTRNSSIPIQFSWDTKPNHVYPDPGSIFTLYVTELELLAGNAVRQFNVTINGVIWTKAPYKPVYLSTDAMYNGDRPYRGITRYNFSLNAAGSSTLPPILNAAEAFSVISTADLATDAQDVSAITAIKAKYQVNKNWTGDPCAPKTLAWDGLTCSYSISTPPRITGVNMSYAGLSGDISSYFANLKEIKNLDLSHNNLTGSIPNVISQLQFLAVLDLTGNQLNGSIPSSLLKRSQDGSLTLRYGNNPNLCSNSSSCQLPQKKSNSMLAVYVAVPVVVIGAVAVFLIFFIRKKKNKSKGAVKPQILGNGVQSHSQNGSGGSLLELHNRQFTYKDLAVITNNFQRVLGKGGFGPVYDGFLKDGTHVAVKLRDESSSQGYSEFLTEAQTLTKIHHKNLVALIGYCKDEIHLALVYEHMSEGTLEDKLRGKDRKGRSLTWRERLRIVLESAQGLEYLHKACSPRFVHRDVKSSNILLNANLEAKVADFGLTTAFKCDGDTHVSTVRVVGTYGYLAPEYATALQVSEKIDVYSFGVVLLEVITGQPPIIKLPEPTTIIQWTRQRLARGNIEGVVDVNMPDDPYDINCIWKVADVALKCTAHAPGQRPTMTDVVTQLKECLELEETSFKGDTSSSYMSGSSIDPNSSYNTYTTEMS >ORGLA05G0205500.1 pep chromosome:AGI1.1:5:20508587:20512783:1 gene:ORGLA05G0205500 transcript:ORGLA05G0205500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSWLILLCLVAAATAGVLQARAQPDSKGFISVDCGLPGKTSYVDDKTKISYAADDGFTDGGSFHNISAEYITPALSARYHNVRSFPDGARNCYTLRSLVAGLKYLIRATFMYGNYDGLSKLPIFDVYIGVNFWMMVNISDPSGATLLEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLEKKLYPQANDKRGLSLFGRWNFGPISTTEFIRYPDDPHDRIWMPWVSPSYWVEVSTTRPVQHTDEDVFDAPTKVMQTAIAPLNASSNIEFAWVPYTQPKDPAPGYITVMHFSELQLRSSNATRQFYINLNGNMVFSQGYTPAYLYADAIFNSNPFLRYPQYNISINATANSTLPPIINAIEVFSVFSTATVGTDGQDASAMMVSKEKYQVKKNWMGDPCVPKTLAWDKLTCSYDSSKPARITDINLSSGGLSGEISSAFANLKALQNLDLSNNNLTGSIPDALSQLPSLAVLDLTGNKLNGSIPSGLLKRIQDGTLNIKYGNNPNLCTNDNSCQPAKHKSKLAIYVAVPVVLVLVIVSVTILLFCLLGRKKKQGSMNTSVKPQNETTSYVPTNGSHGHGSSMQLENRRFTYNDLEKITNNFQRVLGEGGFGKVYDGFLEDGTQVAVKLRSESSNQGDKEFLAEAQILTRIHHKSLVSMIGYCKDGKYMALVYEYMSEGTLREHISGKRNNGRYLTWRERLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNARLEAKIADFGLSKTFNLENGTHVSTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGKPAVLRDPEPISIIHWAQQRLAQGNIEGVVDARMHGDHDVNGVWKATDIALKCTTQVSAQRPTMTDVVAQLQECLELEEGRCAISNANNNFYTDNNSNSNSSYDMYATDHSIDVSQNSAAFETERNFGRMPSTATGPAAR >ORGLA05G0205400.1 pep chromosome:AGI1.1:5:20485726:20491887:1 gene:ORGLA05G0205400 transcript:ORGLA05G0205400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSLFLLCLATATAGVLQARAQPDSKGFISVDCGLPGKTSYIDDKTKISYASDDGFTDGGKHYNVSPEYIKPAVTARYYNVRSFPDGARNCYTLRSLVAGLKYLIRATFMYGNYDGLNKLPVSFDLHIGVNFWTVVNITDPIQPVNREAIVVVPDDSVQVCLVNTGAGTPFISGLDLRPLMNKLYPQVNATQGLLQLARLNFGPSDETSIRYPDDPHDRVWFPWFDAAKWNEISTTNRVQNIDNDLFEAPTAVMQTAVTPINASNNIDFFWNSQPQPNDPAPGYIAIFHFSELENLPNNASRQFYININGILFDDGFTPSFLYAEAPYSSKPFVRHPQYNITINATANSTMPPLINAVEVYSVISTANIGTDSQDVSAIMTIKAKYQVKKNWMGDPCLPRNLAWDNLTCSYAISNPERITSLNLSKIGLSGEISSSFGNLKALQYLDLSNNNLTGSIPNALSQLSSLTIFTGGEDDDGWLMVDNNDGAAGGRQRQRWRTVEGAARAVEGRRRGEKQDLTGNQLNGTIPPGLLKRIQDGFLNLRYGNNPNLCTNGNSCQPPKNKSKLAIYIVVPIVLVLAIVSVTTLLYCLLRRKKQGSMNNSVKPQNETMRYGPTNNGSGHNSSLRLENRRFTYNELEKITNKFQRVLGQGGFGKVYDGFLEDGTEVAVKVRTESSNQGDKEFLVEAQILTRIHHKNLVSMIGYCKDEKYMALVYEYMSEGTLQEHIAGQGNDGRYLTWKERLRIALESAQGXSTYTKGATLLLFTVMXREPTFCXTRGXRPRLLILVCPRFSILRTAPMYLRTNLLAHLDMWIQNTNCKTYWD >ORGLA05G0205300.1 pep chromosome:AGI1.1:5:20478682:20482958:1 gene:ORGLA05G0205300 transcript:ORGLA05G0205300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPGTMAARSWLLLLCFVAVATAGVLQARAQPDSNGFISVDCGLPGKTGFVDDKTKISYAADDGFTDGGACHNISAEYITPGLAKRYHTLRSFPDGKRNCYTLRSLVAGLKYLFRATFFYGNYDSLNKLPVFDLYVGVNYWTMVNITGPGDAVIVEAIVVVPDDFVQVCLVNTGAGTPFISGLDLRPLKNTLYPQSNATQGLVLLGRLNFGPTDYTDVIRYPDDPHDRIWFPWVDSTKWSQISSTKKVQDLDNDMYETPTAVMQTAITPRNASRNIEFSWDPVPLPNDPTPGYIAIFHFSELQLLPGNAVREFYINLNGKPWSLTAFKPEYLYNDATFNRNPFLRYPQYNISINATANSTLPPLINAVEVFSVIPTATIGTDPEDVAAITAIKEKYQVVKNWMGDPCVPKMLAWDKLTCSYAISNPARIIGLNLSSSSLSGEVSSYFGNLKAIQNLDLSNNKLTGPIPDALSQLPSLTFLDLTGNQLSGSIPSGLLKRIQDGSLNLRYGNNPNLCTNGDSCQPAKKKSKLAIYIVIPIVIVLVVVIISVAVLLCCLLRRKKQAMSNSVKPQNETVSNVSSNGGYGHSSSLRLENRRFTYNELEKITNNFQRVLGRGGFGYVYDGFLEDGTQVAVKLRSESSNQGAKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSKGTLQEHIAGKNNNRIYLTWRERLRIALESAQGLEYLHKACNPPLIHRDVKATNILLNTRLEAKIADFGLSKTFNHVNDTHVSTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELITGKPSILREPGPISIIQWARQRLARGNIEGVVDAHMHGDHDVNGVWKAADIALKCTAQTSTQRPTMTDVVAQLQECLELEDRRCGMEDTYNNFYAGNNNDPNSSYNMYNTDQSTDVSQNNTMEHNFERVPTMATGPVAR >ORGLA05G0205200.1 pep chromosome:AGI1.1:5:20472754:20477494:1 gene:ORGLA05G0205200 transcript:ORGLA05G0205200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:I1PXF4] MASSHIILPPDDDDEEQRRLEEEEDEDPWARSGPPPAAPEHAVKAALPFSATCVRISRDSYPNLRALRNASSVSLADAAYVKISEGDFGYVLDDVPHLVDHLPDAPTYPNPLQDHPAYSTVKQYFVNEDDTVPQKVVVQKNSRRGVHFRRAGPRQKVYFESDEVKACIVTCGGLCPGLNTVIRELVCGLAHMYNVSKIYGIQNGYKGFYSSNYLTLTPKSVDDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRKRGLKVSVAGIPKTIDNDIAIIDKSFGFDTAVEEAQRAIDSAHVEACSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYMDGEGGLLQYVERRLKENKHMVIVVAEGAGQDLIAKSLSTSEQQDASGNKLLLDIGLWLTHKIKDHFKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGNVNGRHAYIPFYRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDIDEAKENDRTANKPPLPTGLSHHVANSFDQSASSSSNSQI >ORGLA05G0205100.1 pep chromosome:AGI1.1:5:20466680:20471198:1 gene:ORGLA05G0205100 transcript:ORGLA05G0205100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRALRSLKVPFVIDFQHHGASRFASTSVVKQSSGGLLGWFLGGNSSQLPPLDVPLPGVIISPPLPDFVEPSKTKITTLPNGIKIASETSPIPAVSVGLYIDCGSVYETSSSSGTSHLLERMAFKSTTNRSHLRLVREVEAIGGNVFASASREQMSYTYDALKCYAPEMVEVLIDSVRNPAFLEWEVKEQLQKIKSEISEVSGDPHGLLMEALHSAGYSGALAKPLMASESAVNRLDVATLEEFVSENYTAPRMVLAASGIEHDELVSVAEPLLSDLPSVKRPEEPKSVYVGGDYRCQADSTSTHIALAFEVPGGWRQEKTAMIVTVLQVLMGGGGPFSTGGPGKGMHSWLYLRVLNNYGQIESFSAFSSIYNNSGLFGIHATTNPDFVSSAVDLAARELHEVATPGKVTQEQLDRAKEATKSSVLMDLESRIVASEDIGRQVLTYGERKPIEYFLKTVEEITLNDISSTAKKIISSPLTLASWGDVIHVPSYESVRRKFHSK >ORGLA05G0205000.1 pep chromosome:AGI1.1:5:20461552:20464395:-1 gene:ORGLA05G0205000 transcript:ORGLA05G0205000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRELRDGAGGLEEAEEDEREEARGGGGGEVVAVVRLRAKRALVGAGARVLFYPTLLYNVLRNRFESEFRWWDRIDQYVLLGAVPFSSDVPHLKQLGVRGVVTLNESYETLVPTSLYQAHGINHLEIPTRDYLFAPSLEDICQAVDFIHRNASQGGSTYVHCKAGRGRSTTIVLCYLIKYRNMTPEAALDHARSVRPRVLLAPSQWQAVKLFSNLNTRCLSIENSNQTHSAKSCEESSEPFSRLASSCHQIQSSNRTHPVRFSEQSSEAIVEAEVDGFTTEFDNEHFVLPLWEGMLAKPSSPSRSIDAVVITEEDLEGYETYADASSDTVSVEVVIRQKPMIRRLSCFLGSLKLTSNCEPSPPRRLAEVRAC >ORGLA05G0204900.1 pep chromosome:AGI1.1:5:20460201:20460816:1 gene:ORGLA05G0204900 transcript:ORGLA05G0204900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRLGGGDRAGMYEEGEDDELFGESSSVSGGESDDDGEGEDQFSEGGAAAAAALDQMEHRRFAPQPLRRLNSDSIYDMSSMTEQLPAKKGLSRYYEGKSQSFACMSEVRCLEDLRKKEKPYKSKIKSCNSYAALGGIAKTQSSSSCANLSMMGAGGFRAPPIPVNKNGYHQ >ORGLA05G0204800.1 pep chromosome:AGI1.1:5:20432210:20434594:-1 gene:ORGLA05G0204800 transcript:ORGLA05G0204800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1PXF0] MEEEFKDKGLPPTLLHLIPDGREWKVKEADGEGSRNTNLDADEDKELELKLGLPGVQQEERAADSREKIQQQHRESSSEPSIGCFPTHSKPTTSIGTTGAKRGFFATVGATLEGYNQSHRDTEECGKELTLGNENMAGERKKGCCPSPPCSAAAHSSNPQGRGAIPPVVGWPPIRSFRRNLTNGSSFKQSPERQNDEADDKAKPICKKRPLVKINMDGIPIGRKVDLEIYDSYQKLSSAVEELFRGFLEAQKDLSCAESGEQGAEDKIFSGLLDGTGVYTLVYEDNDGDRMLAGDIPWKVFVSTVKRLRVMRRSELPHDMIGADPVK >ORGLA05G0204700.1 pep chromosome:AGI1.1:5:20428900:20429424:1 gene:ORGLA05G0204700 transcript:ORGLA05G0204700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMQFSHFYGVGGLKSGATLTRTRRSPPASSRRSAPAPVGTSSTVRSHCPLRVFLPIGYLQFSGERTRARRIPCGRSGNSARCQEASGVVEEGVVRVGRQGWSWTGRRGVAPALRFDGTSASTTPSLLSCCLAGTGGFSFMDNLRKSDGLMSHGCEFSVSLAICCVSCSYQNT >ORGLA05G0204600.1 pep chromosome:AGI1.1:5:20422390:20423088:-1 gene:ORGLA05G0204600 transcript:ORGLA05G0204600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDDEEAALWSRRNTPVEHPIRRAYDRVAAALREKRSNERLARECLDHYNALHPGDEHDLAPGGDVTLSRSHCSTGAWTHGNFVARRRRRQWRRCLAFVLPATRTLFFFEHMSGDDYLGVITCIPMPDEPVGGFLARIPLIRRWATPRRSGRWDCVCKTCRRGLRVTHHWLKRKVIGEFPCGHMVAESVCKMCYHYSDVVHPSPGKFARGYLEHEDEFGHYGRNGLRDYPC >ORGLA05G0204500.1 pep chromosome:AGI1.1:5:20416484:20419728:-1 gene:ORGLA05G0204500 transcript:ORGLA05G0204500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSGKAYYLHLLLLAILPSLVARRGAAQQGGVDEKQLLLQVKRAWGDPAALASWTDAAPHCRWVYVSCDGGGTGRVTSLSLPNVAVAGAVPDAIGGLTALTVLNLQNTSVGGVFPAFLYNLTAITSIDLSMNSIGGELPADIDRLGKNLTYLALNNNNFTGVIPAAVSKLKNLKVFTLNCNQLTGTIPAALGELTSLETLKLEVNQFTPGELPGSFKNLTSLKTVWLAQCNLTGDFPSYVTEMMEMEYLDLSQNSFTGSIPPGIWNLPKLQYLFLYTNQLTGDVVVNGKIGAASLIYLDISENQLTGTIPESFGSLMNLTNLALMTNNFSGEIPASLAQLPSLVIMKLFENNLTGQIPAELGKHSPFLRDIEVDNNDLTGPIPEGVCDNRRLWIISAAGNRLNGSIPASLATCPALLSLQLQDNELSGEVPAALWTETRLITVLLQNNGQLTGSLPEKLYWNLTRLYIHNNRFSGRLPATATKLQKFNAENNLFSGEIPDGFAAGMPLLQELDLSRNQLSGAIPASIASLSGLSQMNFSRNQFTGDIPAGLGSMPVLTLLDLSSNKLSGGIPTSLGSLKINQLNLSSNQLTGEIPAALAISAYDQSFLGNPGLCVSAAPAGNFAGLRSCAAKASDGVSPGLRSGLLAAGAALVVLIGALAFFVVRDIKRRKRLARTEPAWKMTPFQPLDFSEAALVRGLADENLIGKGGAGRVYRVAYASRSSGGAGGTVAVKRIWTGGKLDKNLEREFDSEVDILGHVRHTNIVKLLCCLSRAETKLLVYEYMENGSLDKWLHGNKLLAGGATARAPSVRRAPLDWLARVRVAVGAARGLCYMHHECSPPIVHRDIKSSNILLDAELMAKVADFGLARMLVQAGTPDTMTAVAGSFGYMAPECAYTRKVNEKVDVYSFGVVLLELITGREAHDGGEHGSLAEWAWRHLQSGRSIADAVDRCITDAGYGDDAEVVFKLGIICTGAQPATRPTMRDVLQILVRCEQALQNTVDGKVAEYDGDGAPFLPIRGGSRRKQLSDTKGIDDGNGSLDSIV >ORGLA05G0204400.1 pep chromosome:AGI1.1:5:20411478:20415906:1 gene:ORGLA05G0204400 transcript:ORGLA05G0204400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:I1PXE6] MGKAAAVGTAVVVAAAVGVAVVLARRRRRRDLELVEGAAAERKRKVAAVIEDVEHALSTPTALLRGISDAMVTEMERGLRGDSHAMVKMLITYVDNLPTGNEQGLFYALDLGGTNFRVLRVQLGGKEKRVVQQQYEEVSIPPHLMVGTSMELFDFIASALSKFVDTEGDDFHLPEGRQRELGFTFSFPVSQTSISSGTLIKWTKGFSINDAVGEDVVSELGKAMERQGLDMKITALVNDTVGTLAGGRYADNSVVAAIILGTGTNAAYVENANAIPKWTGLLPRSGNMVINTEWGSFKSDKLPLSEFDKAMDFESLNPGEQIYEKLISGMYLGEIVRRILLKLAHDAALFGDVVPSKLEQPFVLRTPDMSAMHHDSSHDLKTVGAKLKDIVGVPDTSLEVRYITSHICDIVAERAARLAAAGIYGVLKKLGRDKMPKDGSKMPRTVIALDGGLYEHYKKFSSCLESTLTDLLGDDVSSSVVTKLANDGSGIGAALLAASHSQYAEID >ORGLA05G0204300.1 pep chromosome:AGI1.1:5:20398252:20399055:1 gene:ORGLA05G0204300 transcript:ORGLA05G0204300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WKQRWRSLDGAGRPASASPCQRHHGAATSSSRLGGGGRRRQSMGEEGKMGDGGRAVLKASVRGRRCHHHGDEEQQHYARPAHRRQTPEKGRRGGGGLGAVAQGGAPRAVLPATARPLPPSRLLDVAAPRCLRQGDDDAGLPAPRRHHQADADAGFVELTGHPVACRQPPNLPLSLLACERRERERERREGRKKGKEGKEMMTWRDMRGKKCGAI >ORGLA05G0204200.1 pep chromosome:AGI1.1:5:20394620:20395858:1 gene:ORGLA05G0204200 transcript:ORGLA05G0204200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAANSKGEEKGMAMAVASSIICHSVNPQPALGSSLLGECWGAASSATRDRAYRGHPLVTTSGSLSPVWIEASLGEGRGCGAWEIEGRGSTAKEHIAGDGLMEGVGMPTNSSSSSERKHEKFLLALISSSVTPYACHMLVRSPQHATSSLPLRYQLAESAAEKPRSKNEVLLPLRLAVPTRRHPCYRCRRGLPRRWRHYFRSVDRRAQMCRGCASLPLKPQPRLGVGARGGGYVYNNERR >ORGLA05G0204100.1 pep chromosome:AGI1.1:5:20389708:20391432:-1 gene:ORGLA05G0204100 transcript:ORGLA05G0204100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMRWFKKVLTGKKEGGDRDRKEHSAAGGANGGVAPPPMERRRWSFAKPRSSVADGSRRPSVTAVVAGELSQVRPCSCGQQREVEAAVMIQKAFRGYLARRALRALKALVKIQALVRGYLVRKQAATTLQRLQALMRLQASSRAIKMASSRKSVEQERIVVQMQGGRVKTLTLPVVHRRRVSDGGDINFDRSPRIVEMDTCQLRCRSSRITSRYAADPPPDGTPGSVPLSSPHLYCYKPPPSRHLQAEEHEHDARAQPKTTHNTPRLAAALPAGYHGPASPAKGRVVSPRYMADTASSVARARCQSAPRQRHGAAGEPRPSLARAGSRKSRPDSAISLKSSEMSRHEDSEFSDDVTRDYYLDQLW >ORGLA05G0204000.1 pep chromosome:AGI1.1:5:20382490:20382900:1 gene:ORGLA05G0204000 transcript:ORGLA05G0204000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAARGLRPSGGSDVGDGRAGLMWWQGRADEDRRSGINTAAAVAGALGTGHGHVNPMWQQLPRRRRPCKFVLRKGSMRCRLHICENDGGWLCCKVCAIHSTIHSTMHILQLGIMIFLLNNYHYVSQKKVCSIYFQ >ORGLA05G0203900.1 pep chromosome:AGI1.1:5:20378375:20381251:1 gene:ORGLA05G0203900 transcript:ORGLA05G0203900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1PXE1] MAVSVSWSWAPRFLACVAVAVLLVTSGLGGAAAGGGGRRHGHTKGLRPGKAAAKPYYPVNATAVEAIERQFTRWVRSMVGRRHSTFQRALYRGLLPTRTLVVDKNPAAGNFTSIQAAVDSIPLINLARVVIKVNAGTYTEKVTISPLRAFVTIEGAGADKTVVQWGDTADTVGPLGRPFGTFASATFAVNAQFFVAKNITFKNTAPVPRPGALGKQGVALRISADNAAFLGCNFLGAQDTLYDHLGRHYYRDCYIEGSVDFIFGNALSLYEGCHVHAIARNYGALTAQNRMSILEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPTREMTVFYGQYKCTGPGSNYAGRVAWSRELTDQEAKPFISLSFIDGLEWVKL >ORGLA05G0203800.1 pep chromosome:AGI1.1:5:20371780:20376801:1 gene:ORGLA05G0203800 transcript:ORGLA05G0203800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWDGVPARERRQMEEILQLDMEELNVEMVDDDGSSSEEANGGDDGADAFLRDTDGEGVASTSGQFTFNTSLASLHTYLGEVDDTRGRVSLLDGGTILSLPMLYLQGVVLFPGATLPLRLIQGRFVAAVEKALRQVDAPCTIGVVLMYKRHSTRHYANASVGTTAEIRQLGRMDDGSLNVVARGQQRFRLKRHWMDVDGVVWGDVQIIEEDTPLRTPRDAFAQLASCNSLRQHTSSPVINLDVSPIKQRDHVDSELDCGTPSPKSTASNHSAIDSRMCHSDSRSSSSMRSSNEDGIFMHEQFYSQELHPLKGSAAVQSGENTNMGEEDFCLTSLRSLSSAGTRDTKEQRQYILPKQHFQAPLSFWPRWAYQMYDSYALARRAADLWRQIITHPSMDDYVRKPDLLSFSIGSKLPVSESVRQKLLEIDGISYRLRREIQLLKAFNLIKCRCCKSLIAKRSDMVVMSTDGPLGAYVNPHGFVHETITVSRATGLALDGNPSTVHSWFPGYSWTIASCTDCESNIGWLFKATKKNLRPRSFWGIRSSQIADDAQELDQDE >ORGLA05G0203700.1 pep chromosome:AGI1.1:5:20356986:20359483:-1 gene:ORGLA05G0203700 transcript:ORGLA05G0203700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGNLRRQAASLKKSLFDQGYLDEQFCQVEDLQDEANPNFAEEVVSLFFKDSARVMLNFEQAIEKHPKDFARWDAHMQQLKGSCSSIGASRVKNECTSFRNFCGEENAEGCTRSFQKVKREHAVLRQKLESYFQLLRLCSPRYHFQACQILARQHSITNITLPK >ORGLA05G0203600.1 pep chromosome:AGI1.1:5:20346735:20353406:-1 gene:ORGLA05G0203600 transcript:ORGLA05G0203600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LALHDGAKNIVADQRAEVIEWLNALLPEYCLPLDSSDDELRELLSDGTVLCHIVNALIPGVLEESWGAYASSDQHAGHVKKFLAVVADMGLPGFSVKDLEEGSMSGVVDCLLVLRESVSSGLRDGTSKAPLRKKWRVPETGEPLVPGVAQGKTSPGEDKRNGLPDPKSQQKTPIFNGRKLREIFQLKRGSYADLPAAKISEMMHSNSLDNAPTQSLLSVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEHIRSQNVIIKTREDKYHSKIKALEILVNGTNEENQMAINRLQIIKEEKSKIEEKRKLGEQDVARLMKEKEISENTIASLKKEMEVMTSMHEQQLQKIELTAKQMEEHLTTKIKEVESLLVQSNKKIEEVEAASLLKSQLWNKKEGIFQKYMNSQQLYVKGLRISSWSIKNEMHALEMELRDEMSNFGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFSSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQANRAVGSTALNERSSRSHSILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTIVRKDTEIEQLQLMKDKVKSPSFAVDINGASMPKNSNSDLRSVLSITTNQQSQLSDPQSYAEVNRDGGPTSYTDITPTCLDEADFEDNASEDGFSGGTDYSVGCAAGASVFPNSCSDRTADTSIRRISSRIARFSLTKNGQPATSRPKPKDTAPKTPNQTRVQSSQLIGGSSLRASKRWQK >ORGLA05G0203500.1 pep chromosome:AGI1.1:5:20341845:20344120:1 gene:ORGLA05G0203500 transcript:ORGLA05G0203500.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVSVLXLALILYIDGTAPAALMLGYYHGSPELVIVGSGCSRLVETSSFFVQDIKARTEGGSPENGLVLYGMPVAPPLGVPAAWLEARRAVVPANSHMEWGYFLNRGSEIEVAYSVRSETESSRPICMIIARGKESFLQWAENPSANETTLSWHLVTGNGTIKQTINLSSEYFIALGNFNNQDVTGLNSDGHYVKLSYGPRWIVYIIGLVLLAVALLIMYDILNMLFGPGPGGGDARASLLSSSSAAAASKEEDDASLGSSYDSVSHDGDGEDDDDDVEERGGGGGGGEGRHLCVGGRGGRELPVVPEETEESEEDLLRLSCPRAQAWGFSEPVEWRREKKNGMDAHRGARTHDHKVKSLALYRLS >ORGLA05G0203400.1 pep chromosome:AGI1.1:5:20339160:20340137:1 gene:ORGLA05G0203400 transcript:ORGLA05G0203400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSASIVQANVTSGYNLLTIDGYMATTPIPTGVYMTSSAFAIGGHQWRIRYYPNGKNSGCANYISFDLILDENVAAPVYERERGEGPSRFVKRRILERSRRRHLKNDSFTVRCDVVVTEFRPAEVAPGSVDVDGPPSDLHRHLGDLLRGETGADVVFEVGGERFAAHRCVLDARSSVFDLELFGATTTKEVVVGQSTGIVRVDGMEARVFKALLFFAYTDSLPEMMTTTKKKMEEQAAGDGDDRYDIDAFTVGKVIALAEQHDCRVLRKLASISSCVVLDFRRMYCWLHQLLLFF >ORGLA05G0203300.1 pep chromosome:AGI1.1:5:20337610:20338349:1 gene:ORGLA05G0203300 transcript:ORGLA05G0203300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISDPVPPPCPSTCLKNYADRSGGVAASSSRGKSWRSRGKDADVAFEVGGGGGERFAAHRCVLAARSKVFKAELFGAMKEGDAACVVRIDDMEPQVFRALLFFVYTDSLPEMRKEEEEAICQRLLVAAEVYGMERLKLICESKLCKYIDVGTVAFIMALVDQYHCHGPWPHEGLLRFCQLSRQSNGGVSH >ORGLA05G0203200.1 pep chromosome:AGI1.1:5:20326862:20327806:1 gene:ORGLA05G0203200 transcript:ORGLA05G0203200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEQAAMESGGCQHHQERQAATAGESAKKLCRVVRAVYLVLVKGLGKHQPKLAALGVHLHQMMSSRRHGGGGHDHGLDDLREHPALLTYLSSTMSCRSMDPAAAVHPYPRGRGAHGAGRRRSGGGISSASGGVSGLSSMSCRSMDPSAAVSQYQYRPREVEFSCSSTPLHRRRRAQRRSQLRLQQHGQWHDRSSAAEPYGSAATVSRLFELMDVKEETAAEAMTTDIDDEDGDVVAWPAVVVPAPRQVRITDSPFPAWEADGDDDEEGRLGVVDRRADEFIMWFHEQLRMQQQQRAAAAAAAKERSTYYFVR >ORGLA05G0203100.1 pep chromosome:AGI1.1:5:20321840:20322217:1 gene:ORGLA05G0203100 transcript:ORGLA05G0203100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAARCRKSCCLHWMNYLSPDLKHSNFTDDDDELTIKLHALLGNKWNTHIKRKLMSQGIDPQTHQPVSAGTSVAAASELTTMASTVGFPSLQAPAPA >ORGLA05G0203000.1 pep chromosome:AGI1.1:5:20317639:20318352:1 gene:ORGLA05G0203000 transcript:ORGLA05G0203000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRDKEGARPATGAAALQRSNSDGGGGGMAAGADQEARSVRCECCGMAEECTPRYIGRVRERFHGKWVCGLCSEAVKERQKREPALTVDGAVDAHAALCERFNSTVRLNPKLSLASSMRDIARKSCQHRATATGADVIPSACSGAGAATMARSTSCALPYV >ORGLA05G0202900.1 pep chromosome:AGI1.1:5:20304502:20306028:1 gene:ORGLA05G0202900 transcript:ORGLA05G0202900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:I1PXD1] MEVAAADYAGGVRVKKEAGGCGGGGDMFLVDDLLDLPCDEEEEETGLCGAYGGGGAGLGAGVVGGGGDDRAAGNASADSSTVTAVDSCSNSFSGLADGDFSGGLCEPYEQLAELEWVSTYMGEETLPTEDLRKLQLISGIPAAPRAPPALAVSAVQLPAGGAGALPTEAPVPGKARSKRSRVAPCSWSSRLMVLPPPPASPPSPASAVISPSESGTAAPAFPAKKAAKSAKKKDGPSPAPAPNAAAQAAAEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVVELRRQKEMQLLHHHQQPPPHVGAGGGGAAGGLLHVTSPLLFDGPTSSAPLFAGADEFLIHNRISPDYRRQAT >ORGLA05G0202800.1 pep chromosome:AGI1.1:5:20296135:20298351:-1 gene:ORGLA05G0202800 transcript:ORGLA05G0202800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase II (PTH2) family protein [Source:Projected from Arabidopsis thaliana (AT4G32900) TAIR;Acc:AT4G32900] MAAPPPRRTPNPERGSRRKGEDPWLAASLRPGNFLPGLAIGFLLGLLVDLTSSWRPQSSPPLAPAAPAPRGSKRTGGSSSAGGGIGGEELKMVLVVRKDLKMRAGKIASQCAHAATGLYAELMASNRGLLRQWEQFGQAKIVLTCKNQQEMNRIKETAEHRGIPTFVVADAGRTQVQAGSKTVLAVGPGRKADIDSVTGKLSLL >ORGLA05G0202700.1 pep chromosome:AGI1.1:5:20292551:20294387:-1 gene:ORGLA05G0202700 transcript:ORGLA05G0202700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP35 [Source:UniProtKB/TrEMBL;Acc:I1PXC9] MAASSSRSPASTSRRGGGARQSPFFRDLASPIPSHRAASRFASSAAGPTAATTPPPPPLFTLDDRAAAVDFSPDAAASELLLPVASSPSPRSAAAAASRSPSWDRSRGRASAPGSPMDGVVEPPRKEVLALPPPPSPGTPAPPPPAAEAQSPVTPAPVSTGMEQEMNGGGEVDREEWITVFGFSLKDTNLVIREFEKCGVILRHHSGPRDEFHIVDMTKLTIFSPGLGLILTIMFVYLALKHSYDARKALQKNGVQLSSGVIIGVKPIDPMHRQQLDERFAGNKQGGFMVSLPPKSLVLKGTGASNQLGALPRPYDPKANTNVIRDANRRATGSVAAPAKSLVTNVMDLIFGI >ORGLA05G0202600.1 pep chromosome:AGI1.1:5:20283083:20288612:-1 gene:ORGLA05G0202600 transcript:ORGLA05G0202600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:staurosporin and temperature sensitive 3-like A [Source:Projected from Arabidopsis thaliana (AT5G19690) TAIR;Acc:AT5G19690] MAEPESSTAAAGGSRLRNACGGVLCAFTLLLIGVLAFSIRLFSVIKYESVIHEFDPYFNFRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSANASWATYLLTKEAKGTGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPIHVLLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYIKGLLTPRLFKVAMTLVITVGLAVCFAVVAILIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLIPAGIISCFLPLSDASSFVVLYLVTAVYFSGVMVRLMLVLAPAACILSGIALSEAFDVLTRSVKYQLSKLFDDSPAASGDSSAESSSASTVSTNSAKNETRPEKTETAPKEKPSKKNRKKEKEVAESVPVKPKKEKKLLVLPMEASVLGILLLIVLGGFYVVHCVWAAAEAYSAPSIVLTSRSRDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGNYRVDAQGTPTMLNCLMYKLCYYRFVETDGKGFDRVRGYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLKKLKSGSKASSTNAAGRKKNPWQ >ORGLA05G0202500.1 pep chromosome:AGI1.1:5:20279231:20282281:1 gene:ORGLA05G0202500 transcript:ORGLA05G0202500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YebC-related [Source:Projected from Arabidopsis thaliana (AT2G25830) TAIR;Acc:AT2G25830] MASAARALGALVHKASSLSSSASALRSAAVLHGRGSAGGSARLFQRHAARRRISTFQPLCMGRRSCKIAGRKDAQNLKKMKRNSKIGKEIVAAIKKGGPSPSSNTTLAAILEKARELDIPKEILERNIKRASEKGQDTYTEKVYEVYGFGGVGMVVEVLTDKITRSIADIRNVVKDCGAKLADPGSVTFRFRQARVVNIKVTDADKDQLLTVALDAGADDVIEPNFDDDDDDDDDYDDDSSEDVSERFYKIVTTSENYPVVLSKLQEEGLKFETDNGYELLPLNPIEVDDEAMELNKVLVSKLLELDDVDAVYTDQR >ORGLA05G0202400.1 pep chromosome:AGI1.1:5:20275421:20278529:-1 gene:ORGLA05G0202400 transcript:ORGLA05G0202400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDNFTHKTNEALVAAHEMASEAGHAQLTPLHLAAALAADKGGILRQAISQASGGDAGAPDSFERVVSGALKKLPSQSPPPDSVPASTALIKVIRRAQSAQKKRGDSHLAVDQLLMGLLEDSQISDCLKEAGVSTARVRAELEKLRGGEGRKVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVPDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSARYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVEHHALEKEKDKASKARLVEVKKELDDLRDKLQPLTMKYRKEKERIDEIRKLKQRREELQFTLQEAERRMDLARVADLKYGALQEIDVAIAKLESETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNDKERLVGLADRLHQRVVGQAEAVSAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGKNSMKVARDLVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGVALAVTDAALDVILSLSYDPVYGARPIRRWIEKRVVTQLSKMLIQEEIDESCTVYIDAAPHKDELAYRVDNRGGLVNAETGQKSDILIQVPNGAATGSDAAQAVKKMRIMEDEEDGMDEE >ORGLA05G0202300.1 pep chromosome:AGI1.1:5:20269475:20273810:1 gene:ORGLA05G0202300 transcript:ORGLA05G0202300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34020) TAIR;Acc:AT4G34020] MLPSSRYLLAPASLPAMVVRPPPPHPPSRGTSPLARPPLCRAMARAAPSLSAAASTATSSSTTLAKKKVLLPIAIGTEEMEAVILAGVLRRAGADVTLASVEDGLEVEASRGSRIVADKRIAACADQVFDLVALPGGMPGSVRLRDSAILQRITVRQAEEKRLYGAICAAPAVVLMPWGLHKRKKITCHPSFIEDLPTFRTVESNVQVSGELTTSRGPGTAFQFALSFVEQLFGPCTAEDMDNTLLTKVDDNLERSIEVNEIEWSSDHNPHVLIPIANGSEEMEIIMLTDVLRRANVNVVLASVEKSTSIVGSQRMRIVADKCISDASALEYDLIILPGGPAGAERLHKSSVLKKLLKEQKQTGRMYGGICSSPVILQKQGLLQDKTVTAHPSIVNQLTCEVIDRSKVVIDGNLITGMGLGTVIDFSLAIIKKFFGHGRAKGVANGMVFEYPKS >ORGLA05G0202200.1 pep chromosome:AGI1.1:5:20261204:20265380:-1 gene:ORGLA05G0202200 transcript:ORGLA05G0202200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:periodic tryptophan protein 2 [Source:Projected from Arabidopsis thaliana (AT1G15440) TAIR;Acc:AT1G15440] MNYRFHNLLGAPYRGGDAVFAGDSSVLLSAVGNRVASTDLSASSSLTLPFESSSNVTRLAVSPSGDFLLSVDDAGRALYANLGRRAVLHRIAFKSAPSAVRFSPDGNLIAVAVGKLVQIWRSPAFRKEFFPFHLLRTFPGFAAGVTAFDWSPDSSFLLASCKDLTARLLPVKKGLGGKPFLFVGHRAAVVGAFFATDKKTGRVTGAYTISKDGAIFSWNLVEGSDDSSPPSPGTPEQEAVQDGEAELDGDEPELDGGSRKRKSFGESEEPDTTPLHFARWELQKKDFFMQSPAKLTACDYHRELDMVVVGFSNGVFGLYQMPDFVCLHLLSISREKITTAIFNSLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCIAYSPDSQLLATGADDNKVKVWTVSSGFCFITFSEHTNAVTAVHFMANNHSLLSASLDGTIRAWDLFRYRNFKTFTTASPRQFVSLTADQSGEVICAGTLDSFEIFVWSMKTGRLLDILSGHQGPVHGLMFSPINAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGRQIACSTLDGLIHFWDPFDGLLMYTIEGRRDIAGGRLMTDRRSAANTSIGKYFTTLCYSADGTYILAGGNSKYICMYDVGEQVLLRRFQITRNLSLDGVLDFLNSKKMTDAGALDLIDDEDSDVEEGIDQQTRGNLGLGLPGSMANRGRPIARTKCVKFAPTGRSFAAATTDGVLLYSVDDSFIFDPTDLDIDVTPEKVEEALEENQQQRALLLSLRLNEDSLIKKCIFSVDPSNVRAICSSTPLKYLQRLIEAFSDLLESCPHLEFILLWSQELCKIHGNYIQQNSRALLPALKSLQKSITRIHQDLADTCSSNEYMLKYLCSSGTRN >ORGLA05G0202100.1 pep chromosome:AGI1.1:5:20253976:20260307:-1 gene:ORGLA05G0202100 transcript:ORGLA05G0202100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:Projected from Arabidopsis thaliana (AT4G04910) TAIR;Acc:AT4G04910] MAGRNYHGYGGGGGGGGGGMSMVVASTPGQELALTNCAYVSSADIRRFPNALALVGDAFVFTLRAHDAVSAGSIALNAIQRRQTKVSAGDSITVSSFAPPDDFKLALLTLELEYTKARANRNEELDAVVLAQQLRRRFLDQVMTSGQRVPFEFCGTNYIFTVNQALLDGQENSTPLDRGFLSSDTYIIFEAAPNSGIKVVNQKEAASSKLFKHKEFNLEKLGIGGLSAEFTDIFRRAFASRVFPPHVVNKLGIKHVKGILLYGPPGTGKTLMARQIGKLLNGNEPKIVNGPEVLSKFVGETEKNVRDLFADAENDQKTRGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRLQILQIHTNKMKESSFLSPDVNLQELAARTKNYSGAELEGVVKSAVSYALNRQISMDDLTKPLDEESIKVTMDDFVNALHEITPAFGASTDDLERCRLRGMVDCGKAHRHLYERGMLLVEQVKVSKGSPLVTCLLEGPAGSGKSALAATVGIDSDFAYVKIISAETMIGFSESSKCAQICKVFEDAYKSQFGIIILDDIERLLEYVAIGPRFSNIISQTLLVLLKRVPPKGKNLLVIGTTSEVGFLESIGMCDVFSVTYHVPKLKKEDATKVLRHLNVFDEADIDAAAEALDDMPIKKLYTLVEMAAQGPSGGSAEAVYGGEEKIDINHFFSILSDIIRY >ORGLA05G0202000.1 pep chromosome:AGI1.1:5:20251650:20251883:1 gene:ORGLA05G0202000 transcript:ORGLA05G0202000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKSQRQTIPNIGIYLLEPLFSYGQLYVALSRSVSRLLSRSVSRLTTRILAKPKKEVDSIGKSTKNIVYKDVLNW >ORGLA05G0201900.1 pep chromosome:AGI1.1:5:20245492:20247231:-1 gene:ORGLA05G0201900 transcript:ORGLA05G0201900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEAVVAAGNEMSLSNMVLGFYEEAELQSSPPGDCAAAAGDDDDGSDDEGSGGAAKCRAFWKEQQSQLYEALAKMSSAESRIQADAEEAMRQMRAAAAGACSCASRGAAAAAAGGGGCRSCTLRFLAERLRDAGYNSAICRSKWPRSPEIPSGEHSYVDVVAPTRSGKAVRVVVEPSFRGEFEMARGGAGYRALVASLPEAFVGRADRLRGVVRVMCAAAKQCARESGMHMAPWRKQRYMEAKWLATPERVAPPGNAGGAGDAVAVGSPSSPPSPGMTNRQMQPKFRASMLTLDFGGRTAVEVV >ORGLA05G0201800.1 pep chromosome:AGI1.1:5:20241767:20244480:1 gene:ORGLA05G0201800 transcript:ORGLA05G0201800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYSWVRRTKFSHSIVKSNSGREQFGAFLDPFDSSTAWRQKGFSGELMIHGHNLQPRAKGAVSKSPRPSIPLAKSPVSQAERKLKAKFSDGELKQRGKISDGSLREASKEKDRKVGHKGEGLSLDISCPILDRTVQDDSSDTLDFSFHSEEQSLRLLRVCSSPAPFYSKDTTTFGDSKIHSTSVKIVGEGSKPRRRAKSPMPTRVISEVFKEAKAATKRFSSPQRQRKSSSPHSPRSPDDSPRFGFPSMRTPGNLKARRASSWPRNYDNGVAKAVALDILERWTIDRSQLLIGQRFASGAYSRLFHGIYKEQPVAVKFIRQPDEEEDAELAAKLEKQFTAEVTILARLHHRNVIKLIGACNAPPVFCVITEFLCGGSLRAFLRKLQRQKLPLEKIICIALDIAHGLEYIHSQRVIHRDVKPENILFDGECCAKVVDFGVACEEVYCNSLEDDPGTYRWMAPEMYKRKPYGRKVDVYSFGLVLWELFSGSIPYEEMTPLQAAFAVVNKNLRPLVPSSCPAQLRLLIEQCWSCQPEKRPEFSQVVQILKNLKEALDRDGTLDKIPSTNCQEPPDQNKKRLSNWIQRLSYSQADFSGPPPPKLL >ORGLA05G0201700.1 pep chromosome:AGI1.1:5:20229459:20230277:1 gene:ORGLA05G0201700 transcript:ORGLA05G0201700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAARKQQLLLLPSMAHDPNSPSSSTSSSSPSSAAAAASSSPSSHRPPPPPPSSSSQPALPPSPRTVVPRTIDTTPFPTTFVQADTASFKQVVQMLTGSDTTPPSQRPPAKSNHHQHHHSGAPCRPKKQAFKLYERRSGVHKNFKMIAPLAMAAAAAAGASSSPRKAAQHQQQEALSPSVLDFPSLALSPVTPLVADPFNRSPASASSAASPEEEAAAIAQKGFFLHPSPRSAEPPRLLPLFPVTSPRVASSSSSSAAAAAAVAVASPSFE >ORGLA05G0201600.1 pep chromosome:AGI1.1:5:20217593:20217997:1 gene:ORGLA05G0201600 transcript:ORGLA05G0201600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEADVLWPDHHHHLRRDDDARRRRHQEQQQHGGVDAAAADDSRGSAGATPSAPVGIPVTRAATTRRISHGSTAPAAAFVPPHELVAARARRCSEERAAFSVCVGNGRTLKGRDLRDVRTAVLRMTGFLET >ORGLA05G0201500.1 pep chromosome:AGI1.1:5:20212683:20213186:1 gene:ORGLA05G0201500 transcript:ORGLA05G0201500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQEADVLWPESETTPPPPSRGAQLPVLVLQLQGAAAPVLPSRGSSTSTATPFGRRRRSDVASTAAWLDGDDDDDVQAEEFQEADVLWPDDDAGGEDTARDHQLDDDVGELIWWLSGEAGSRRMDTEAAAAAAAGERLLSSPIDIPTRDRDPTTVLVHLHRRRRR >ORGLA05G0201400.1 pep chromosome:AGI1.1:5:20198866:20206190:1 gene:ORGLA05G0201400 transcript:ORGLA05G0201400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:trehalose-6-phosphate synthase [Source:Projected from Arabidopsis thaliana (AT1G78580) TAIR;Acc:AT1G78580] MKQRLLVVANRLPVSANRRGEDQWSLEISAGGLVSALLGVKDVDAKWIGWAGVNVPDEVGQRALTRALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRNFESQFNAYKRANQMFADVVYQHYKEGDVIWCHDYHLMFLPKCLKDHDINMKVGWFLHTPFPSSEIYRTLPSRSELLRSVLCADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFKRALELPAVKRHITELTQRFDGRKVMLGVDRLDMIKGIPQKILAFEKFLEENHEWNDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEYVACQGSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIKHALTMSSDEREKRHRHNYAHVTTHTAQDWAETFVCELNETVAEAQLRTRQVPPDLPSQAAIQQYLHSKNRLLILGFNSTLTEPVESSGRRGGDQIKEMELKLHPELKGPLRALCEDEHTTVIVLSGSDRSVLDENFGEFNMWLAAEHGMFLRPTNGEWMTTMPEHLNMDWVDSVKNVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMITPIDYVLCIGHFLGKDEDIYVFFDPEYPSESKVKPDSSGSVSLDRRPNGRPSNGRSNSRNSQSRTPKAQAAPERSSSSSSSSQGTPNSHHDWREGSSVLDLKGENYFSCAVGRKRSNARYLLNSSEEVVSFLKEMADATAAHNGFQSTTADYMFLDRQ >ORGLA05G0201300.1 pep chromosome:AGI1.1:5:20163886:20165767:-1 gene:ORGLA05G0201300 transcript:ORGLA05G0201300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAWRRWPVVVAAAVVLAVAAPVQAAPQVPCYFVFGDSLVDNGNNNDIVSLARANYPPYGIDFAGGAATGRFSNGLTTVDVISKLLGFEDFIPPFAGASSDQLLTGVNFASAAAGIREETGQQLGARISFSGQVQNYQSAVQQLVSILGDEDTAAAHLSQCIFTVGMGSNDYLNNYFMPAFYNTGSQYTPEQYADDLAARYAQLLRAMYSNGARKVALVGVGQVGCSPNELAQQSANGVTCVERINSAIRIFNQKLVGLVDQFNTLPGAHFTYINIYGIFDDILGAPGSHGLKVTNQGCCGVGRNNGQVTCLPFQTPCANRHEYAFWDAFHPTEAANVLVGQRTYSARLQSDVHPVDLRTLASL >ORGLA05G0201200.1 pep chromosome:AGI1.1:5:20158457:20162918:1 gene:ORGLA05G0201200 transcript:ORGLA05G0201200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVPFSIRGYAARARAGAADEGGRCWPFAGKAGALPPMEVRGFRWWGDEAAALAEEEGEEERRLAAKRRKRSIVELFAAVPKVVAAADEGLGRGKRVRRKLDKGDPPAVGVEAAKKKGFRKQKVLVEIGVRKKGKSSKTKVTSASMSQLFQNAIQKQKKKSLSKKKGVPLEKKSMKGNKTTTLSSQKTAKSSCHVQSILKKHLKTGVGTLLKNTDVMSPSKSLLKPKRVTFSDDNDILGRTDSQLGDGTEKSQLLQTSQQHYKDGKSQGGDNHCSTYEPQFSYQRAGAIVDSVEEDTSSTVLLTKSKEKTILANPVDLNHCLEISSSGSCLNSINSAVLSGQVLPQNFAGVGSIPNEGSNVHVGFQAEENHHKYHGSSVGASLAVKARSSDLIRRQLPEPSSSCFVASLRVNDGNRSKMLQERLTALHPRLIRSKDMVNSISSSAGSNKSTDAQTPNCVSACRNMHSEDYQGLPLNSHGEFVKLHPSGTIDPNGMFKRQFPGGDYVRPSAFPAFITPETCVDYAHLKSSYQGPQFCAVDTFDFQSEPYHSPTASAAYGMGFRQSPSSERMEVHGYAVPSNNDPYSNQQELSVGCFCPAFTGQGNQTHKPLEMQNCFPSQHYEQNSQPAPETTVRLMGKNFTLGTSSNQFRGLDNKNPCPSKQSRDEDHGTSAKAFSQLFHGTRVEPPSTLRNSNGGVEHPSRFSSVPEAELRCGLDSYSFRTSDRYQQPHLAVQNKLYVNPVSRHNESEPWQQQLHVENHILGASEPQLLGTMHLRQSKTAATVPSYSPKHNFSNLVEIRPACSQFAYFPRQNVTQRTPISSFLSGYAVQSSPGLTTPTKFTSLRPLPPSVTSSHVYSSEDAQPHGSVPPFYPSIALSDQASKNCAPGDLKDNRSMQQTPITSNHDNSEQLNRGFKRPAVEDDVFLKPRKSFIAVGKDLNLLPLQEERLGLCGSRPDAQLPVCLSKDSEVDVQLLNNDTQIAWSDSVNRVRTILPVKLRSGAKHILEPGASPTATLGQEESWPLHSIKTFVVEDDAHAVGTSKKRDEEICRV >ORGLA05G0201100.1 pep chromosome:AGI1.1:5:20148337:20150237:-1 gene:ORGLA05G0201100 transcript:ORGLA05G0201100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLYLSQIIPDVLDPFISTISLRVTYNSRLLLAGAALKPSAVVSKPQVDVGGNDMRVSYTLVLVDPDAPSPSDPSLREYLHWMVTDIPETTSISFGEELILYEKPEPRSGIHRMVFVLFRQLGRRTVFAPEKRHNFNCRIFARQHHLNIVAATYFNCQREAGWGGRRFAPEGP >ORGLA05G0201000.1 pep chromosome:AGI1.1:5:20147120:20147969:1 gene:ORGLA05G0201000 transcript:ORGLA05G0201000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDQSPEEVYSVWALPPEPVRARLRGVMAGLRAAHGGPAFEPHATVVGAIRLRRSAAVEALRAAAAGVRPYTARVVGVARGDFFYQCVYLLLEPTPEVVEASDHCCGHFGYERSTPYMPHVSLLYGDLTDEEKEVARKKVEEIDKEICGLQFEISELALYMTDTEDKSLESWELVEICHLERK >ORGLA05G0200900.1 pep chromosome:AGI1.1:5:20143989:20146206:1 gene:ORGLA05G0200900 transcript:ORGLA05G0200900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFILTVVGVGTAVLLLRSDVKQSASIFRRNVRHIRNWLEEESAAAAKSAERAAPKELESQAAKKDVTPKDDKH >ORGLA05G0200800.1 pep chromosome:AGI1.1:5:20142757:20143245:1 gene:ORGLA05G0200800 transcript:ORGLA05G0200800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGRGLPLHGIPRAQHELLLLLAEGGSRSRRRILLHDHGRRRGGGHARRRCIAAAAAAHLVLLSDKEGSREQPIDVSKGQDSGHCDSASVALYPAERGLITSSPHQVAKGQDFAGLTSGSMDGSRSKGYGCRYRQRAENICKYRQATPTAEACNRAFSSKLSE >ORGLA05G0200700.1 pep chromosome:AGI1.1:5:20139854:20140988:-1 gene:ORGLA05G0200700 transcript:ORGLA05G0200700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSETQEELVLRSWQSMKKDSESIALKFFLRIFEIAPAAKQMFSFLRDSGDDVPLESHPKACESATQLRKTGDVKVREATLRRLGATHVKAGVADAHFEVVKTALLDTIKDAVPEMWSPEMKGAWEEAYDQLAAAIKEEMKKAA >ORGLA05G0200600.1 pep chromosome:AGI1.1:5:20134502:20138551:-1 gene:ORGLA05G0200600 transcript:ORGLA05G0200600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPPHRLLLLLAVAAVLPRSAAAAEGVIRLPRGRACAAPTDPAAYDRPVIGIVSHPGDGAGGRVSNGTAASYIAASYVKFVESAGARVVPLIYNEPEERLLEKLSLVNGVLFTGGSVKSGPYFETIKKVFQYVLDKNDAGIPFPLFAQCLGFELVSMIVSKDNNILESFSATNQASTLQFPNYSSLEGSVFERFDPDLIKKLSTSCLVMQNHKYGISPKTLRENVALSSFFKILTTSPDENGEVYVSTVQANKYPITCTQWHPEKAIFEFGKQMIPHSEEAVQVTQNFANYFISQARKSQNRPPADKVLDNLIYNYSPTFIGKKSKSFDVVYIFS >ORGLA05G0200500.1 pep chromosome:AGI1.1:5:20131862:20132891:1 gene:ORGLA05G0200500 transcript:ORGLA05G0200500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFHRLSLAFLLLFVVVAGDLMISTATAMAVGTSDDDGPPIKISMRYANAEESRWLDSWAEKTQSAGGGGGDDFEVRRATDEESARLNRMRADADRRARDGSGFGFDGHIEFDDDHPFGRVVVTDFPPSSKPKDDL >ORGLA05G0200400.1 pep chromosome:AGI1.1:5:20128191:20130164:-1 gene:ORGLA05G0200400 transcript:ORGLA05G0200400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDGAPFPHRCGPRRPRQRGVGRRQIRRPKAGSGHPSPGSGTAAGGCGSAGPARARRRRTTAARWRLSVAHPGAEAADAWGSGGVAGPCAEAAGAWGNGGVASPCADAARQRPLNAAATPPLTRSPRPADGSPRRSDRGSPVCEQPLGSVGCLPRQPGLGGGLASVAEQPGCQRGGQVKCGGRTMGAPVRSGSATGSQMAVQRELAAKWDPSRSWGTMGPTKTNKIYIFKKLSQCNKKIFTWQREPLTCRVPWTRGYPKHSGHAGTRLGCLDSRGPRQGHEHTLSTGLSWETRTRCVANSTKVVFDCVGWNLGTLP >ORGLA05G0200300.1 pep chromosome:AGI1.1:5:20122928:20126941:1 gene:ORGLA05G0200300 transcript:ORGLA05G0200300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLAAGNFEALGPAGGGRRRSFGAKRMTRVMTVPGTLSELDDEDDEPAATSSVASDVPSSAACERLIVVANQLPVVARRRPGAAAGGWAFSWDDDSLLLRLRDGVPDEMEVLFIGTLRADVPACEQDEVSQSLIDGFGCAPVFLPAGLYDRFYQHFCKGYLWPLFHYMLPFASALPAAASGDGRFDRGAWEAYVLANKYFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRSLPVREEILRTLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGIHMGQLQSVLRSSEKEKKVAELRQQFEGKSVLLGVDDMDIFKGINLKLLAFENMLRTHPKWKGRAVLVQIANPARGKGKDLEAVQAEIRESCDRINKEFGQSGYSPVIFIDQSVPSAVRLAYYTVAECVVVTAVRDGMNLTPYEYIVCREGIPGSECAPEVSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEALNEAISMSEREKQLRHEKHYRYVSTHDVAYWSKSFVQDLERACKDHFRKPCWGIGLGFGFRVVALDPHFTKLNFDSIIMSYERSKSRAIFLDYDGTLVPQASLNKNPSEELLRIINTLCADRNNTVFIVSGRSKDDLSKKLISCPKLGIAAEHGYFLRWTRDEEWQTTAQTSDFGWMQMAKPVMDLYTESTDGSTIETKETALVWHHQDADQGFGSSQAKEMLDHLESVLANEPVSVKSGQFIVEVKPQGVTKGLIAEKVLTSMKEKGQLADFVLCIGDDRSDEDMFENIADVMKRSIVAPKTPLFACTVGQKPSKARFYLDDTFEVVTMLSSLADASEPDLMADLEDDLATSVSSIEISDRVVSFSNLRTEGS >ORGLA05G0200200.1 pep chromosome:AGI1.1:5:20117124:20118077:-1 gene:ORGLA05G0200200 transcript:ORGLA05G0200200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGIRRQPQQQAAAAAAERGGGGKGKAAAFSFSPLSWIAKLTARSSHGKCGGAKHAPAASMAGPSCRLPKRAAAAAASSSSVVAAVDDVAAGRSSPPRRSPVDVAPRRLSVGNDSAEAVARRLCQQQRRRRRHCSLGGDRDLPPLGHLIPFSLAGSPASQPPENAAAAAGGGATPSDTDAGAKLRTRRHRRRAHRRRRSSLGGSGRRSFSVSGRMPAVRIRPPRAAASAPELERLAVVRRTRDPQRAFRESMVEMIASSGGSIAARPEELERLLACYLALNADEHHDCIVKVFRQVWFEYINLHLHLSRRRRARHC >ORGLA05G0200100.1 pep chromosome:AGI1.1:5:20112250:20115588:1 gene:ORGLA05G0200100 transcript:ORGLA05G0200100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G77220) TAIR;Acc:AT1G77220] MAMKNVVRFFFVLIHVSSCLGRSGKMFSPGFVSLSESLPSWPIVSAGISVTASLVLSLFLIFEHLCAYHQPEEQKFLIGLILMVPVYAVQSFFSLLNSNVAFICELMRDCYEAFAMYCFERYLIACLGGEESTIRFMEGRFQFSESSPLLDVDYDYGIVKHPFPLNWFMRNWYLGPDFYHAVKVGIVQYMILKPICAILAIFMQLIGIYGEGKFAWRYGYPYLAIVLNFSQTWALYCLIQFYTATKEKLEPIKPLSKFLTFKSIVFLTWWQGIAVAFLFSTGLFKGHLAQRFQTRIQDYIICLEMGVAAVVHLKVFPAKPYRRGERSVSNVAVMSDYASLGAPDPEEEREIDNVAIMQAARPDSRDRRLSFPQSVRDVVLGSGEIMVDDVKYTVSHVVEPVERSFSKINRTLHQISENVKQLEKQKRKAKDDSDVPLEPFSEEFAEAHDNVFGGSVSDSGLARKKYKNTKRAPSSLKPFEFRLGRWFQ >ORGLA05G0200000.1 pep chromosome:AGI1.1:5:20106177:20107909:-1 gene:ORGLA05G0200000 transcript:ORGLA05G0200000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLQVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVGRWLRELRDHTDPSIVCMLIGNKSDLRHLVAVSTEDGKEFAEAESMYFMETSALDATNVDNAFAEVLTQIYQIVNKKPVEAPEDGSAGPGKGEKINVKDDVSAMKRVGCCSN >ORGLA05G0199900.1 pep chromosome:AGI1.1:5:20104887:20105429:1 gene:ORGLA05G0199900 transcript:ORGLA05G0199900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPMAAAAARAYGVATPPGGHVSFACAAMATTRTGARRAAAAVVVLSHASGGGGGRGPRGGGEREEEPTDASSSWLVDEDMATLRRRIREAREAEEEEGYGGGGGGGLPAEWTELERRHHGSYVAGVRGAVGLLQALLVSARPGLGAGLLALLLLGVPASVLLVSAQLLAVASAVLSGT >ORGLA05G0199800.1 pep chromosome:AGI1.1:5:20101465:20103777:1 gene:ORGLA05G0199800 transcript:ORGLA05G0199800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKDGTNVEEAFQCIVKNALKNEPEEELYVPDTVDVVGGNRAQRSSGCC >ORGLA05G0199700.1 pep chromosome:AGI1.1:5:20096553:20098951:1 gene:ORGLA05G0199700 transcript:ORGLA05G0199700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVGSAKGLAYLHEDCRPKIIHRDIKAANILLDYKFEPKVADFGLAKIQPGDDTHVSTRVMGTFGYLAPEYATTGKVNDRSDVFSFGVVLLELITGKRPVISTEPFNDETLVSWARPLLTKALEQHVYDDLIDPKLDALYDAHDMHRLISCAAAAVRHTARSRPRMTQIVRYLEGELSIDDLNAGVAPGQSSLRSQEHSGDTTELVRRRLRRVAFPPGSGVTVTGTGAVTDSGYLSEATSEYGVNPSISSSSGGDDDDTAGEVVGGVTATSRPHAAAAASSPDTSEVASPHAGELAADAAKPMSRRTRLGRFP >ORGLA05G0199600.1 pep chromosome:AGI1.1:5:20089031:20091142:1 gene:ORGLA05G0199600 transcript:ORGLA05G0199600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19985) TAIR;Acc:AT4G19985] MRPGAMAAAAAKLPRLTTSAALFPTPRPFGSPRPAKARPMPPPPPITISMDPAAVDPAHLQALMLACAHSCAIRPSPPSAAEDPVDLRKLRVALAHSFLVVSVFCSARFLDDGDGGVDGDGRRRLLGLGLGLGRREDRRLVGFGRAVSDVGLTASVHDVVVHPSLQRRGIGRQIVERMTRVLHNRGIFDISALCTEKERPFFEACGFGDDAMGSTTMMYTGKMHR >ORGLA05G0199500.1 pep chromosome:AGI1.1:5:20084697:20085056:-1 gene:ORGLA05G0199500 transcript:ORGLA05G0199500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEPSTPMTQSSYFAGCMGSPAWLPAVQRSPARFHLLSRDAAAGRDDGGRRAWSRLLRRLVRESRSFCSLGSRHGGAMAAATTTFHYDAASYAKNFDDGRRSHHAASAQAPPPVAGAS >ORGLA05G0199400.1 pep chromosome:AGI1.1:5:20077395:20081554:1 gene:ORGLA05G0199400 transcript:ORGLA05G0199400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Casein kinase substrate, phosphoprotein PP28 (InterPro:IPR019380); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Euka /.../ - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G46020) TAIR;Acc:AT5G46020] MARGKFKGKPTGERSFSSEEQIAAGTSAGRPKTFKKKQSEKEVYDRRQESDEEYDRSQESDEEESDNFQKNKHKGTEGLIEIENPNLVKPKNIKAKDIDIGRTSDLSRREREELEKQKSHERHMKLQEQGKTEQARKDLERLTLIRQQRAEAAKKREEEKAAKDERKAEARK >ORGLA05G0199300.1 pep chromosome:AGI1.1:5:20075403:20077034:-1 gene:ORGLA05G0199300 transcript:ORGLA05G0199300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGKGESSGGGGGGGGEMGFRFKPREAEAVEYYLLPRLQGRPPVPNPAIVVENVYEFEPERLINEKCNGGVGGEGEEGWYFLSPRDRKYRNGKRPSRSTEDKAGRWKASTGKTEGKDPITECYGWVKFCVTSLVYFKGPVKTEKKTKWLMREFTIPHFENKLDKTAAAGGSSNQRQLDQYVLCRIYTSPKKGADDGEQAEVVRGGGGEDIDEWAEACAVFDLGPETAEGSDNADAAAAAGDMRSAKQAGKRPVAAAAVAEQPSKRPWLPPSPSTPCDGGPSQAMGNRQVPMQGLSLMHNFPPPPTTFCGHAPLQQGFPVHNNRAQMRWPTMQHNCMPSPAHSFQPRPVQRRPVLVGQAPPQRRPVHHVGGHAPMHMHQAQWTPVHIAQAPMQQLPFDDWVFDPFDDPPPPMQQLPVMMNNYQPQAPMQLPPMMNNDQPAMVHGGEVQAPMQLLPATTHGGEVQAPMPLNVYEEEQRPSQEDGGQCTNAEG >ORGLA05G0199200.1 pep chromosome:AGI1.1:5:20071023:20074653:1 gene:ORGLA05G0199200 transcript:ORGLA05G0199200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPAAGGDGGRGSSGGKGSSRSSSRHQQFRNLAKTRVDDLQEMFSGLQSARKESRSADAALLEEQVHHMLREWRAELNVPSPASSLQNSQSQGNNREASDPPSETLRLLQLAGAEEEDDATSKLVMPRSPMPMQSSHEGHNLSPVLQGGTMAGGAAELMVPRSPLQQMPSSHQSHGHGQDGGQNLQGEAVMGSTAATAAPHLGQGMQGDCGGMAGVTNAMFHDQLYYIDHELNIDDFLQDDDYKINLPGSNPDGPNTMQGIGQLEHQQYNLPLDLPPNSFVDANNSAQSSGDVFFHMSDLLTTMCPSPSQYLGPKCALWDCGRPVRGSDECQHYCNPYHAGLALNDDGLLGTRPVMRPRGIDLKDGPLFAALSAKVQGKNVGIPVCEGAATTKSPWNAPELFDLSLLEGESLREWLFFDTPRRAFDSGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSSNYEWHLFEYETNDSDALALYRLEYKSSDIKRSVKSKLASSPLSEIQQQMVRLSADSPVESKRTARSRAKANQKDNNSNAYPALNTPVQVSASNAHQTMSVNTPDQVNVSNAYQTMPLNTPNQPGPSNAYHAASQMDQMTFLDGSVVYGPHLPYGYSTERSDFYWNPSDGT >ORGLA05G0199100.1 pep chromosome:AGI1.1:5:20064593:20066219:-1 gene:ORGLA05G0199100 transcript:ORGLA05G0199100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLELSESKCNGGQEVSLLDAQLELYWNTFAVIKSMALKSALDLGIADAVHRHGGAATLAEIAGEVALHPSKIPCLRRLMRALTVSGVFAAAVKPGDGGGGEPVYELTPSSRLLVGSSNLSGIMSMILHPTLVVPFLGVGEWLRRDREPPEEDPYCIFKQAHGRSLWELAGRDAAFDALINDGMVSDSRVIMDYVVREHGEVFRGIASLVDLAGGLGAAAQVISKAFPEVRCSVMDLVHVVAKAPAGTDVEYIAGDMFESVPPADAVFLKWVLHDWGDDDCIKILKNCKKSIPPRDKGGKVIIMDIVVGAGPSDQKHREVQALFDMYIMLVNGIERDEQEWKKVFVEAGFSGYKIMPILGFRSMIEVYP >ORGLA05G0199000.1 pep chromosome:AGI1.1:5:20058944:20059741:1 gene:ORGLA05G0199000 transcript:ORGLA05G0199000.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGSMELTESKCDGGQEVSLLDAQLELYWNTFAVIKSMALKSALDLGIADAVHRHGGAATLAKIASEVALHPSKIPCLRRLMRALTVSGVFAVAVKPGDGGGGEPVYELTPSSRLLVGSSNLSGIMSMILHPTLVVPFLGVGEWLRREPPDPCIFKQAHGRSFWELADRDAAFDALFNDGMVSDSRVIMDYVVREHGEVFRGIASLVDLAGGLGAAAQVISKAFPEVRCSVMDLVHVVAKAPAGTDIEYIAGDMFESVPPADAVFLK >ORGLA05G0198900.1 pep chromosome:AGI1.1:5:20050282:20055784:1 gene:ORGLA05G0198900 transcript:ORGLA05G0198900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1PX91] MGIDLNTVEEEAEEGAAAAVCGELWHACAGPGVALXXXXXXXXXXXXXXXXXXXXXXXVPPAGAAAVPPHVACRVVGVELRADAATDEVYARLALVAEGEMLQRNFREGGGEDGAGEMEGCDAEKKPRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKTVRPSQELIAVDLHGTQWKFRHIYRGQPRRHLLTTGWSSFVNRKKLVSGDAVLFLRGDDGQLRLGVRRAVQLRNEALFEPVNSSDSKLRILSSVASSLENKSVFHICFNPRSGASEFIVPYWRLLKSLNHPFSIGMRFRVCYESEDANERSAGLISGISEVDPIRWPGSRWKCLLVRWDDSTDSSHQNRVSPWEIERVGGSVSVTHSLSSGSKRTKLHFPQGSLDTPFLNGNGHPDSMGTENFHRVLQGQEFRGSRSHGVVCSESPGVPNFQSPDNRRFSADMRGYMMPASGPPQRNTEFTYQPIGFSESLGFPEVLQGQEMSQVVPLFRGATFGARTQNDRVVSANSVHRSAAQSGLLASTLGHPISQFTLSSSKVSSPSSVLMFNQATAPNHETVGGTNNKGMHVSQFASQEMLSETVTWPGTQRQTPSEITSNQFALARIPAPPSGAESGLPKRDAGRSSCRLFGFSLTGNMLGEDGEGLDDGAIEAGCENPPVLELFGHSHSTPGALHALCAAAPLGM >ORGLA05G0198800.1 pep chromosome:AGI1.1:5:20037536:20039189:1 gene:ORGLA05G0198800 transcript:ORGLA05G0198800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQLDSGLLVGFLFLATCLAVAVRSYLRSGGAAIPSPPALPVIGNLHQLGRGRHHRALRELARRHGPLFQLRLGSVRALVVSSAPMAEAVLRHQDHVFCGRPQQRTARGTLYGCRDVAFSPYGERWRRLRRVAVVRLLSARRVDSFRALREEEVASFVNRIRAASGGGVVNLTELIVGLTHAVVSRAAFGKKLGGVDPAKVRETIGELADLLETIAVSDMFPRLRWVDWATGLDARTKRTAAKLDEVLEMALRDHEQSRGDDDDGGGGDGEPHDLMDDLLSMANDGGGDHGHKLDRIDVKGLILDMFIAGTDTIYKSIEWTMAELIKNPAEMAKVQAEVRHVAAAAHGDEDEDTVAVIREEQLGKMTLLRAAMKEAMRLHPPVPLLIPREAIEDTVLHGHRVAAGTRVMINAWAIGRDEAAWEGAAEFRPGRFAGGGDAAGVEYYGGGDFRFVPFGAGRRGCPGVAFGTRLAELAVANMACWFEWELPDGQDVESFEVVESSGLSPGLINPLVLAAKPLK >ORGLA05G0198700.1 pep chromosome:AGI1.1:5:20032490:20033405:1 gene:ORGLA05G0198700 transcript:ORGLA05G0198700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQDRSNGKLCRRPQRQRMRTQELAAAPEARRIVYAAAVGYSTGAGEGGVAGNGGGGAQPRFKWNTRREIGGDKRTVPGGPDPQHHH >ORGLA05G0198600.1 pep chromosome:AGI1.1:5:20025204:20025443:1 gene:ORGLA05G0198600 transcript:ORGLA05G0198600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAGKKKVLCCVVVVLLLCAAAVQASAARPLLRETAVVGGGDEGSQVGGGVVAGGNQSPAAYDDEKRLSPGGPDPQHH >ORGLA05G0198500.1 pep chromosome:AGI1.1:5:20008279:20009430:-1 gene:ORGLA05G0198500 transcript:ORGLA05G0198500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKPAALEQISLVRSPSVEDNFGAGLPVVDLAADGAAGEVVRACERFGFFKVVSHGVGEGVVGRLEAEAVRFFASPQAAKDAHGPASPFGYGSKRIGRNGDMGWLEYLLLAIDGASLSRSSPAPSSSLRDAANEYVGAMRGMARTVLEMVAEGLGVAPRGALADMVVGDGAASDQILRLNHYPPCPPLLQNLMPNCSPTGFGEHTDPQLISILHSNSTSGLQVALHHDADAGDHQWVTVPPDPASFLVIVGDSLQVMTNGRMRSVRHRVVANKLKSRVSMIYFGGPPLEQRIAPLRQLLVAGVGDGEEEEQSRYEEFTWGEYKKAAYLSRLGDNRLAPFHRQPPPVANPLA >ORGLA05G0198400.1 pep chromosome:AGI1.1:5:20002062:20003661:1 gene:ORGLA05G0198400 transcript:ORGLA05G0198400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFKFQEELALGVKEEQGEEVDSLLVMMLVRTVKKPSKFEDSDTVTAKPARAVFEMPHRTSPRAPVRSKTTAAAPAAAGSEHHRDRVVVGAGAGAARGTSARSPQLPEKKPAGGGAAVSRVAELEAKLGKAEGQLTEMREQLAAAEKARKDARAALVESKKRFSAAKKRVATAGAASSSAAAEQTPPQAVSDEKCGVISPAGDVPEAAEPGDAQGEETKAMADDDEVNSVTAAIVGDLEGNKGGQEVEQLRTKLMEKDMEVYELKAKLIAMDAEADDLRASLATKGMEIDELRAKLTSKDADIAAVEADNAELMKMAEEASHAVKEAATKARDTEHALRESAAREAARVAERLRASERAREALEAELQRGRAQSEQWRKAAEEAAAVLAAVEHGAGAPAADVEWRRHSSGAAAGERVAKDTDEHHVSGGKRNSGGAMRMLSELWKKKAQK >ORGLA05G0198300.1 pep chromosome:AGI1.1:5:19996767:19999238:-1 gene:ORGLA05G0198300 transcript:ORGLA05G0198300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYLPVVKLSKEFDMHRVMLMQLQLKSKENLSERTPEKTPINSLKNLLIEIDISSSKNNLNHSYESGQTFTQCSGEASDTARVTSGGEARRQLAAPVMADANRRIDLAAPLVSVRRHGGGAAGEAATRTDGTRPGHPKSVRTRRATMVHATARDEEPARDAMAVVAVAAPVRERDQEARFSDALSVADSCLTVNCSSATGLSDAVARPPRGVGVGGGVMMDRFLPAAHAVAVLSPQCSSRKASVAAAAARNGHGADALLPPPEPTPTIRTLCIVPREKTDDADADVDDDGGGGEWDAHSTRGVSSRRCGLLLPTRCMKSTLLLLNPAPAMRRRGGGRRRDRGAPLLSKIGRSQSLGNPLVRSAHDTGIMRSWEEVYINSLRRSGRGGRKGLGALLSPELDTTMPSVRELYLEQGDGVVHPKATHLGFLLVLDRSHDQCHDSHDDPKLLPPPRFPRPAPPKVFDGGKKQRRDAAAAGGGGYGWPLLLEDKAAASRDMVPPLPPLPSMKSPSESWLSRALPSVSSNPPATSFLGIHVQHKKQSPPPRCSSRAPAKLVADGHARPRQMRIHDLQKS >ORGLA05G0198200.1 pep chromosome:AGI1.1:5:19990482:19994614:1 gene:ORGLA05G0198200 transcript:ORGLA05G0198200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:I1PX84] MALWRCSSSWLSSVSRSSGGVGGGESKVSPEIAPVSGGEGEGEEEEGEEERWSRLLPELLTEIMRRVDAGAERWPPRRDVVACACVCRRWRDAAVSVVRPPLECGRITFPSSLKQPGPRDAPMHCFIRRNKKNSTFYLYLSLTQALTDKGKFLLAARRFRNGAHTEYIISYDCDDLFPGSNSYVGKLRSDFLGTKFIIYDSQPPYDGAKPARSQSSRRFASKQINPNVSGGNYEVGQVSYKFNFLKSRGPRRMQCNIQCPVGQSTASDPLKEKLISTSSPLALRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAPAGTSDPWGIADEETVILQFGKIEDDAFTMDYRQPLSAFQAFAICLTSFGTKLACE >ORGLA05G0198100.1 pep chromosome:AGI1.1:5:19982298:19983836:1 gene:ORGLA05G0198100 transcript:ORGLA05G0198100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PX83] MAATPPSSRDPSPQHRRPLSSSASAASLAGKPRGGGLLLGRYELGRLLGHGTFAKVYQARSADSGEPVAIKVLDKEKAMRHGLVPHIKREIAILRRVRHPNIVRLFEVMATKSKIYFVMELVRGGELFGRVAKGRLKEDTARRYFQQLVSAVGFCHARGVFHRDLKPENLLVDEHGDLKVSDFGLSAVADQFHPDGLLHTFCGTPSYVAPEVLARRGYDGAKADIWSCGIILFVLMAGYLPFHDQNLMAMYRKIYRGEFRCPRWFSKDLSSLLNRILDTNPETRITVKEVMESRWFQKGFRPVRFYVEDDQVHSLADGDNDMPELEPSEPPPPPPFPPPPQQDDDGEESGWESDSSVASCPATLSSEERRQRPLGSLTRPASLNAFDIISFSKGFDLSGLFEERGSEVRFISAEPMQTIITKLEEIAKVKSFFVRRKDWRVSIEGTREGLKGPLTIGAEIFELTPSLVVVEVKKKAGDKEEYDDFCNRELKPGMQHLVHHMGSVPNIPSDTE >ORGLA05G0198000.1 pep chromosome:AGI1.1:5:19970644:19977390:-1 gene:ORGLA05G0198000 transcript:ORGLA05G0198000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline iminopeptidase [Source:UniProtKB/TrEMBL;Acc:I1PX82] MIRALRATSSPRTPTPTLPTSRRPRPPPPPPAPSAAGGRRGLGCHLRCRATLAAATNAPMGQLQQQHQEQQLRKDLYPQTEPYDFGFLKVSGVHTIYYEQSGNPQGHPVVFLHGGPGAGTSPGNRRFFDPEFFRIVLFDQRGAGRSTPHACLEENTTWDLVADIEKLREHLGIPEWQVFGGSWGSTLALAYSESHPDKVTGIVLRGIFLLRKKELDWFYEGGAAAIFPDAWEPFRDFIPEDERNCFIAAYSKRLTSSDADVQAEAAKRWTMWEMMTAHLIQNHENIKRGEDDKFSLKSCQTVSNFAIVLLYGSPAASLLXXVPSTVGGSATILLYTPAGMMFAVLXCLPGIFIKHGLKQNLR >ORGLA05G0197900.1 pep chromosome:AGI1.1:5:19968168:19969891:1 gene:ORGLA05G0197900 transcript:ORGLA05G0197900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDHRSYLADHPAASAITTAQGEELRKQIGAAAYIECSSKTQQNIKAVFDTAIKVVLQPPRRRGETTMARKKTRRSTGCSLKNLMCGSACVV >ORGLA05G0197800.1 pep chromosome:AGI1.1:5:19961372:19964045:-1 gene:ORGLA05G0197800 transcript:ORGLA05G0197800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DNDDPTCQSKXVACIPPRQPPWELLRQTFAFRSTRHASHCCRHLPSQXQVGPTTQPPPPSSRHAAPRRVPRCAPKMLVASRHGAHPRRRRAGGNGVADELALPLAGGLALLLAFVTAAAVLSGGDRREEGDRRRPDLPGGAAGPRVAIFSAPLPPPDGSPARQELAVRSWLALPGNVSVVLLAAHPSAHALAGRLGGRVTVDAAIDISFTGTPFFHSIVARAQAADSDICVLVDAEIILLPETITLLKHFSRSDLDWFVFSASRNISAFPYHLVDNGTQWADEHGKQVSFKKENQSDKWAGHGSDRGLIVAWNNPSTRMVAGVMPSFLNGRGVHNWWLIHEVLSSETRLVFDASNHVLGLYPENFSEKRGTSTSRNVSNPDGSWEYDVNRHLAAVYGSYCYELPRRNSPMVYKVVKQFEDYMFSKNEGPNLSNSVINKEQNVHPEGGSLCEKEISYSSAVNLPHSLEMLLELVADKNRSVVLAVAGASYRDMLMSWVCRLRRLRVTNFVVCALDQETYEFSVLQGMPVSRDTLSPNNVSFDDCHFGTQCFQQVTKVKSRIVLKILRLGYNVLLSDVDVYWFHNPVSFLHSLGPGTFAAQSDEFNQTGPINMPRRLNSGFYYARSDDATITAMEMIVKHATNSGLSEQPSFYDILCGKDGANRIGDDRCLEPSTNLTVVFLSRDMFPNGAYGGLWEKKHGVSSACRELGCVIIHNNWVNGRRKKLHRQMASGLWDYDPGSRLCLQNWSNASRFSVQTDDPVSYDS >ORGLA05G0197700.1 pep chromosome:AGI1.1:5:19959337:19960749:-1 gene:ORGLA05G0197700 transcript:ORGLA05G0197700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGFNLRLVPSFPPEVEAPMAAAAAMAAVAMSGSMEASPAAAVVPCRRDMKRRLQEEIDAVRGLLGKAEALVAVASEDVNGGTAASASAVAKRSPRRVRSPPRRGRSDREELDRARDRRHGGRSDREVFDRGRDRRHGGRSDRDREVFDRARKIPRRRPHEAESEPRKIEAAAGAPPQCQAKDGEIAPAMDASPSLCEREEGEIADDHGAAMDIEMDIPRGGAISPLVVNKAQSSPLAKNDDDDELVDISGEASPVAIENFPEATKSSISPSSDEPSLGNYSGDDDDDDDDGDDGESSKKPDTTCLPTEAAATATTPLVAAAASPPATQTSQLIAIAKEKQRLRREVERRAAREALEAMARAARPIRDDIAATDMMQLGLFETQYIVSTEKSQDSLRRGSGGLLQQLGFFLKPEYS >ORGLA05G0197600.1 pep chromosome:AGI1.1:5:19958347:19958520:1 gene:ORGLA05G0197600 transcript:ORGLA05G0197600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKRASIFIRLVSAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKMK >ORGLA05G0197500.1 pep chromosome:AGI1.1:5:19953245:19956068:1 gene:ORGLA05G0197500 transcript:ORGLA05G0197500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:I1P6J0] MEGGGGGGQELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGIRAGVGGYSYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMAIQPAFLLYVASVIVVVFVLVFHFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLVYPETWFFVLIVATCVLTQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASVIMFKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRSIYAPLSPSLTARLNGDLLKHVEDDRNPDEEKALRRQEMY >ORGLA05G0197400.1 pep chromosome:AGI1.1:5:19948378:19951246:1 gene:ORGLA05G0197400 transcript:ORGLA05G0197400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQPAGEALAANISAMSRPEMYDLMSQMKVMIDHDQERVRRMLVDNPDVTRALFRAQVVLGMVKAPKTAQSSDKAQPAAVQATPSSSVKPTVQDHASFPQPQLPSSQQNIQPSGPFSSGPSNPASSLDLPAMSANPQQSAQAKGYPIHQMPPTSTTQTSQHQSATLPPHVSSQYSNVPSHMPIVHSQPQQPLQNPGMFNQQLQPPLPQLPRPPNMQPFVHQMHPQVPSSFGLSHTNAPQHMLQQSMFHPGGNPQTSFLTGQPPLPNQPPPLPNQPPPQLYQGSSHAASHYNSQSMQMDRSTPWGRGNAEASSAGTHFPGHLPGLPGQMTQGIGGIHSARPEAPLTPEMEKMLVQQVLSMSPDQINMLPPEQRQQVLQLRDMLRQ >ORGLA05G0197300.1 pep chromosome:AGI1.1:5:19941522:19946590:1 gene:ORGLA05G0197300 transcript:ORGLA05G0197300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTRAARSRALLLLPRASAAAPHFSTTASSGAAAAAAAPVEAAAAGASDASAAAAAGAGEQPAPPPKRWGLLKFGAFAAVCGALGAAGYSSYAYTLEEVDQKTREFRKAMTTPRPVAEDASEFEKFQAMVYSTAMKAPVAAIEFYMDVRHTIEDHIRGFAEPTSDKLLPDLDPLNQHIFTLVLDLNETLVYSDWLRERGWRTFKRPGVDAFIEHMGKFYEVVVYSDQMPMYVDPVLERLDTKGFITGRLSRPATKYQDGKHYRDLSKLNRNPAQVIYISGHALESCLQPENCVQIKPWKLETDDTQLLDLIPFLEYVAMVRPSDIRTVLASYQGRDVAAEFIERSKEHQRRMQEQKQHGRIWRR >ORGLA05G0197200.1 pep chromosome:AGI1.1:5:19931949:19932824:1 gene:ORGLA05G0197200 transcript:ORGLA05G0197200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNNSTNEELGGGGRKAADQPSGGAAAVASSRHWSASTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLINAAQAEIDKLPPLQFPPHDHDLVAAAASSMAPPPFANGGDGHHGASASSMLEDGDKAAGGGGMKAFMSLSNSLGLLNAATMPATLAAHHHHHHHAAAYYAAAESWGNGGNGGHHHDVSHGVSPSAHNSPFPSLLSLAPGSHHQFVFYSPEGGGFAVKEAAAEQFPVDSLDHSQGQLTLSSARSFLHSGSQG >ORGLA05G0197100.1 pep chromosome:AGI1.1:5:19913160:19914988:1 gene:ORGLA05G0197100 transcript:ORGLA05G0197100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLLLLLLLAMSTGSDGAFCVCKPDQSPAAMQKAIDYACWRGADCTQIMQSGACYQPSTIVAHCSYATNSYFQKNSPIGATCDFGGVATLTNTDPSSGTCKYPATASGVGTGMGTGTSTGTGTGVGTGGTGTGVGTGTGGAGTGTGVGTGTGTGAGMGTGAGAGTGITTPGSTTGTQGGALSPPFGGAYGPSAGAMNPDYNEAAPVRSQLAAATAVLLAAAPFLFHLI >ORGLA05G0197000.1 pep chromosome:AGI1.1:5:19906374:19909387:-1 gene:ORGLA05G0197000 transcript:ORGLA05G0197000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: regulation of transcription; LOCATED IN: nucleus, H4/H2A histone acetyltransferase complex; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: CT2 /.../erPro:IPR012423); Has 60 Blast hits to 60 proteins in 27 species: Archae - 0; Bacteria - 0; Metazoa - 26; Fungi - 2; Plants - 30; Viruses - 0; Other Eukaryotes - 2 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G26470) TAIR;Acc:AT1G26470] MEAAADEEQEGISAQSPAQAPPSSASSLPKEQSQVELELRVLQALEFYPPSKLKGIHRHFVIYGLMEYLRKSLDRQFSADEVLQLLDRFFNLEMLKPEDDEKDNFTQGEEFSLPESFFNKDE >ORGLA05G0196900.1 pep chromosome:AGI1.1:5:19904087:19905403:-1 gene:ORGLA05G0196900 transcript:ORGLA05G0196900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSPPPRPASASARLLLLLLRLRRRLLPRADQLLLPRAAPAPARANLRLRPPPPRHRGPSPPRLRAPAPAPTPPQPQTQPPPPPPTLPTAAAHHAYHYQYQYQYEQPKPDQYPQPQQANPSDHSHAVLHSLLRRVAALESTLPRCFASPPVPPPLHRNPRHRPRAAAHREEEDDEEEEEEEDEDAPASLPPPPRRARAPPSPARERAARTIQAHFRRFLARRSRTLRHLKELAVLRSKAAALRGSLSGRGRVGDPAAISEAAMALLFHLDSIQGGDPMIREGKRAVSRELTRILEFVDKVLVKEHGEMAMNGELDCKDYHEGCNAAFAANPSAMNKKKVSFCGNGKVQELHDEAEQEHGSDADESSETSSSAEAEARKRSNSKRGAHAKPRLAAPMPVYMEPRRIDEERR >ORGLA05G0196800.1 pep chromosome:AGI1.1:5:19900422:19900784:-1 gene:ORGLA05G0196800 transcript:ORGLA05G0196800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLAPSAPWRWSWSVARAVFLASLLVLASAQQQPRPPRAPEMSAVDVDAILARVCGGGSSRQAAPVPPLPLCHELMRHRGGVRRHHRRPAPPPGRDEEVDLRYGVAKRLVPTGPNPLHN >ORGLA05G0196700.1 pep chromosome:AGI1.1:5:19895310:19898135:1 gene:ORGLA05G0196700 transcript:ORGLA05G0196700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAVASTAPPQPLRPRRGIAVPSSYSHRAATRLRLAVSASSTTTGTTETTSERGGAERFYFNFTGFPFPLGPFLNRRTIRTEAVKGRIWLFEQEQALGFSSVSTNTRMTVIKLKSGGLWVHAPIAPTKECIQLLKELDAPVEHIVLPTFAYEHKVFFGPFTRKFPRAQIWVAPRQWSWPINLPLEFFGIFRAKPLQDEDDDTPWAGEIEQKMLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKILSKGKEVPDEPVVDNKLNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWPFRRIIPAHFAAPINASRSDFLAAFAFLDEFLPERSPASPGLSLLFASLMGKAASYFPPDDMKTLSSLDEFLVSVGAVKKTVSGRKR >ORGLA05G0196600.1 pep chromosome:AGI1.1:5:19881818:19887100:-1 gene:ORGLA05G0196600 transcript:ORGLA05G0196600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:breast cancer susceptibility1 [Source:Projected from Arabidopsis thaliana (AT4G21070) TAIR;Acc:AT4G21070] MADTGSLEKMGRELKCPICLSLLSSAVSISCNHVFCNDCLTESMKSTSSCPVCKVPFRRREMRPAPHMDNLVSIFKSMEAAAGTNVVSTQEAPVVKLADGSDCVNSGKNSKRSQKSLTRKRKVTSEMEKNTAKDATASASQPTTKPSFSTNKRIQVKPFPESETPIRAEKIMKPEEPKNNLNNDVEGKNKAVASGQPGSPSLSPFFWLREQEEQEGCTAETLSETQSLDTPLRHNAPSFSDIKDSDDEIPSNTTPNSKAAATELFDSEIFEWTQRPCSPELYSTPLKKQSKAKSKLDQIEEKGDEEDVHIGGSFDKLGNASNAAQLVNTKATKQKRKKTSTSNKNSAKLSNRAEPCIKKSDANQQGSNRRKSAALKSCQKSSSAVGRNTSGRRNKASSNSKPIHGSSDNSPESYLPKEGLDVEAPDKPLSERIQNLEKTSRRKGSARKLEMAGKTISDTTEKNSEPRSKRVRRMSDHAIAKPVEVPSGSGNETEIPQLHTLTKGSIQRKSSNARRHSKVCGEQEGKNKLENTTMTPIILHGKCQNKEAVCTAPSVRTASVKYKQAKFSEQPDCFGTENFGNLQACPARNVLLKKCEVSTLKVSCAFCQTDVITEESGEMVHYQNGKQVPAEFNGGANVVHSHKNCLEWAPDVYFEDDSAFNLTTELARSRRIKCACCGIKGAALGCFEMSCRRSFHFTCAKLIPECRWDNENFVMLCPLHRSTKLPNENSEQQKQPKRKTTLKGSSQIGSNQDCGNNWKWPSGSPQKWVLCCSSLSSSEKELVSEFAKLAGVPISATWSPNVTHVIASTDLSGACKRTLKFLMAILNGRWIVSIDWVKTCMECMEPIDEHKFEVATDVHGITDGPRLGRCRVIDRQPKLFDSMRFYLHGDYTKSYRGYLQDLVVAAGGIVLQRKPVSRDQQKLLDDSSDLLIVYSFENQDRAKSKAETKAADRRQADAQALACASGGRVVSSAWVIDSIAACNLQPL >ORGLA05G0196500.1 pep chromosome:AGI1.1:5:19880009:19880176:1 gene:ORGLA05G0196500 transcript:ORGLA05G0196500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVESAHYIKTEHLIPLSEQQLVDYADIALNHTSRRALEWIAENDGITMQLDYP >ORGLA05G0196400.1 pep chromosome:AGI1.1:5:19877501:19878301:1 gene:ORGLA05G0196400 transcript:ORGLA05G0196400.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNFSWLQLELVKLFTICQSRMEEVVPKDFPVRDELDVVESFASSLPMKTWMSCKFEMMNRPFEMMNRPMAPVLIILHKK >ORGLA05G0196300.1 pep chromosome:AGI1.1:5:19875197:19875955:-1 gene:ORGLA05G0196300 transcript:ORGLA05G0196300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWVDASLRLNPAFADTAASVFKAAVRSAGNPAAARAEINEWFSSQTGGFVKDILSNSIDDDDDGSGGGGAISASVFLANSLYFNAYWDHPFFPHLTEEGDFHVSPDHDVRVPFMAGSHQDAFMDVGCHPGFNVLRMMYRTGGAAGGDKMFAMYIYLPDDRDGLPELARKLASNPAAFLRRTIVPAQPVAVGELKIPKFEVSLKVEASRLLRELGLDLPFLPAADNSFSGMLLDPPQGQPSRPFSTSASSM >ORGLA05G0196200.1 pep chromosome:AGI1.1:5:19871238:19873151:1 gene:ORGLA05G0196200 transcript:ORGLA05G0196200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPHAAFLLRSRGLLPPLLRRSPSSGPPPPLLRPTRQRRGLSVRASAAELAAGVAGVEDAVVGFVTGKRKATELAHAVWRSIVRKGDTVVDATCGNGNDTFAMLKMVADERVQGRVYGLDIQESAIASTSSFLKMAVNSHELELVKLFTICHSRMEEVVPKDFPVRLVAFNLGYLPGGEKTIITVPKTTELALQAASSIVSSGGLISVLVYIGHPGGRDELDVVESFASSLPIDTWMSCKFEMLNRPAAPVLILLYKK >ORGLA05G0196100.1 pep chromosome:AGI1.1:5:19868132:19870269:1 gene:ORGLA05G0196100 transcript:ORGLA05G0196100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:I1PX63] MAAYCSRVYHHHPVSPSTMQGSLARPSIHAGSASLTFRARPNSVSIVRCDADSPPEGSAVAGWAPPGPYTGRDPAARKPAWLRQRAAQGEKYARLRESLGELKLNTVCVEAQCPNIGECWNGGGGAGGDGDGIATATIMLLGDTCTRGCRFCAVKTSNKPPPPDALEPLRTAVAVASWGVDYVVLTSVDRDDLPDGGSGHFAQTVKALKELKPGILVECLTSDFRGDLEAVSSLASSGLDVFAHNIETVRSLQRIVRDPRAAYDQSLAVLKHAKNCKDGMVTKSSIMLGLGETDEEVKQTMCDLRAIDVDILTLGQYLQPTERHLRVREYVTPEKFDFWKEYGESLGFLYVASGPLVRSSYRAGELFVQNLVRRKKAELAPTLQ >ORGLA05G0196000.1 pep chromosome:AGI1.1:5:19856506:19862864:-1 gene:ORGLA05G0196000 transcript:ORGLA05G0196000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFQKFQPRDKSKSPAVAASHGKDPGKPPIDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKASKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAFIVKLYYSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHSYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNEPDYTSTKGTKPLPDSSSRLSSSAPKRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGLPWERLYQMKAAFIPEVNSELDTQNFEKFEETGAQIQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKSSKSKRPTIKTLFESMDEDEPVQGSFLNMLPHKEGQPSSHSIPPEQYQPRHK >ORGLA05G0195900.1 pep chromosome:AGI1.1:5:19846007:19855013:-1 gene:ORGLA05G0195900 transcript:ORGLA05G0195900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRYTEQEEALEISSLRRIIAAYANYHDAAERDVKRYERSFKRLPPAHKELLFNLGLKYQRLRWCISMNASFIMDMLEAFEPPFDMSQHVDMDDHDCAENMHGHCHADCAHSVDRGDCLRSSISVSNSELHEPDGCPRKDDKTHELSRETDNKDEVVDMESCSRPVGDKLGPSQVEDKSCNGDKAMDAAANCQDTDCVACSADENVIPQQFMAPSLQLNVPPIDVDKVRCIIRNIVRDWAQEGQKERDECYKPILEELNRLFPNRSKERPPSCLVPGAGLGRLALEISTLGFVSQGNEFSYYMMICSSFILNHTQETNEWTIYPWIHSNCNSLSDNDQLRPVSFPDIHPSSSGITEGFSMCAGDFVEVYNEESQESSWDAVVTCFFLDTAHNIVEYIEIISKVLKDGGVWINLGPLLYHFADSYGPDDDMSIELSLEDVKRVAYHYGFVMEVEKMIDTTYTANMKSMMQNRYRAAFWTMRKNASRSKAQKHQ >ORGLA05G0195800.1 pep chromosome:AGI1.1:5:19841312:19842610:1 gene:ORGLA05G0195800 transcript:ORGLA05G0195800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIINSTRFDFLDIIEPVTTYTDGYLLSLNLGMPPQVFQVYLDTGSDLTWVPCGTNSSYQCLECGNEHSTSKPIPSFSPSQSSSNMKELCGSRFCVDIHSSDNSHDPCAAVGCAIPSFMSGLCTRPCPPFSYTYGGGALVLGSLAKDIVTLHGSIFGIAILLDVPGFCFGCVGSSIREPIGIAGFGKGILSLPSQLGFLDKGFSHCFLGFRFARNPNFTSSLIMGDLALSAKDDFLFTPMLKSITNPNFYYIGLEGVSIGDGAAIAAPPSLSSIDSEGNGGMIVDTGTTYTHLPDPFYTAILSSLASVILYERSYDLEMRTGFDLCFKIPCTHTPCTQDELPLINFHFLGDVKLTLPKDSCYYAVTAPKNSVVVKCLLFQRMDDDDDDDDVGGANNGPGAVLGSFQMQNVEVVYDMEAGRIGFQPKDCALHS >ORGLA05G0195700.1 pep chromosome:AGI1.1:5:19837974:19840091:1 gene:ORGLA05G0195700 transcript:ORGLA05G0195700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLMAAKAYKYKAELLVKDYLLADSYVPYASVLGGILMCKLSYDFTRFISSFYFKGYGSLTKMQKVEWNNRGMSTVHAIFITLMSAYLVFFSGLFSDQQDGPVTFRSSSLSNFTLGVSVGYFIADLAMILWFYPSLGGMEYLVHHVLSLTAVTYTMLSGEGQLYTYMSLISETTTPGINLRWFLDVAGMKRSKRYVVNGVAMFLTWLVARIILFMYLFYQIFLHYDQIKQMETFGYLLVCVVPAILFVMNMIWFSKILRGLKKTLAKRH >ORGLA05G0195600.1 pep chromosome:AGI1.1:5:19825254:19828727:-1 gene:ORGLA05G0195600 transcript:ORGLA05G0195600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWWGRSGGGGGVGNGGGTPVVVKMENPNWSISEVEAAEVAPGSPAGAGKAGRGKNARQITWVLLLKAHRAAGRLTGAASAALXXXXXXXXXXXXXXXXXDADAAPGESTALRARSYGCIRVSLVLSLLLLAVEVAAYLQGWHLEEVASLLAVDGLFAASYAGWMRLRLDYLAPPLQFLTNACVALFMVQSIDRLVLCLGCFWIRFKGIKPVPQAAAAGKPDVEAGAGDYPMVLVQMPMCNEREVYQQSIGAVCNLDWPKSNFLVQVLDDSDDATTSALIKEEVEKWQREGVRIIYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQADFLKRTVPHFKGKDDVGLVQARWSFVNKDENLLTRLQNVNLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPATMSILNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVGLVEKHSKQQRVGSAPNLDALTKEESNPKKDSKKKKHNRIYRKELALSFLLLTAAARSLLSAQGIHFYFLLFQGVSFLVVGLDLIGEQVE >ORGLA05G0195500.1 pep chromosome:AGI1.1:5:19819849:19822831:1 gene:ORGLA05G0195500 transcript:ORGLA05G0195500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSPRGEPDPDADEPDHAGDRASSPEGSSPRDPDSDEAEQPDTPSRRGVKDDISELTETLTRRLWGVASFLAPPPPPESSTPRGEEEEEEGRDGEEESAQSPRIAGIRSDLAEIGGRVRSGISMLQSNKAVAEISKIASSLLPFGQGDADEGEPVAGVTEEVVVFVRHISTRPETWLDFPLFISERYADDFELSDAQYVHALSMEHLVPSLSDIKAAICSTDMSEACFWKIYFVLLHSKLNKQDAELLSTPQILQAREELLQSLQTKNKRGSEVPEEEESSKTVIMSSAPSEEKVIQPSSIENKAGKPEVSSFEEPSSDISPDVEAEKFPIAITEMEIVDKSVIEEELSVKNETKSLAIEPKIHSETDEDEVDEWPDDDDDAEEVVGTEGNRTSLGQEEDVSFSDLEDDDDDGNKGIAK >ORGLA05G0195400.1 pep chromosome:AGI1.1:5:19816056:19816367:-1 gene:ORGLA05G0195400 transcript:ORGLA05G0195400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDWDSTQDLVDFRVASTVSVDISLPSTYVKRTSTTAASRMGTDLDIMLINNVVPLYSGSYVSPREGGKYMPSRANVIFTEAQVNILIIARCVCEFMKTWLR >ORGLA05G0195300.1 pep chromosome:AGI1.1:5:19808910:19810457:-1 gene:ORGLA05G0195300 transcript:ORGLA05G0195300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNHSDAAFPVAATTPLLGRRPLDAGEFRRQGRQVVDFIADYYASINDYPVRPAVAPGFLAGKLPATAPSTPEPDALTAGLRDVRELMLPGVTHWQSPRHFAHFSATASNVGALGEALAAGLNVNPFTWEASPAATELEVVVTDWLGKALHLPERLLFAGGGGGTLLGTSCEAMLCTIVAARDEKLAEIGEERIGDLVVYCSDQTHFSFQKAARIAGIRRGNCREIPTCRESGFVLTATALQAAVAADEAAGRVPLFLCATVGTTPTAAVDPLRELCAAVEGRRVRVPGVPPRHRRRRGRGLVQHEPAQVAPRQHGLLRAVGGAAGGARRRARHRRRRDPQGRGHGGEAREGRPPPPRRGGLQGLAGRAEPPVPRAEAMARPPLPRRRRPARRRALPRPHGGRARAHGARRRAVRGARPEAVRAGLLPAARRRRRRTARRRRRAHGQQRTQQEAPRGGERDGASVHELRRGGRHVRAALRRRQLAHRGAARPGGVERRAGAGRRRLGHRRRRR >ORGLA05G0195200.1 pep chromosome:AGI1.1:5:19807181:19807399:1 gene:ORGLA05G0195200 transcript:ORGLA05G0195200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAGVASRGFGDGSARRLTALGGGDLRGSRDEDSAGHDDDDGGCGRTRREWGLRAPMARRRLRASLDRCCA >ORGLA05G0195100.1 pep chromosome:AGI1.1:5:19801873:19803111:1 gene:ORGLA05G0195100 transcript:ORGLA05G0195100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPAGSRRWRCDAGDEHGCWLSSSAGGGGDDHFDRLPDPLLLVVFNRIGDVKALGRCSLVSRRFHDLVPLVDSVLVRVDCVIPDDPASSSSSSSSPSAAPSSPTASARARTVFSQIARIVLGGIVKPIQALGQILSPANSASVLAASVTSSPSSSSSSSSSSPPLPGDVSHHSPSEVLRSFKELRRLRIELPAGELSMEEGVLLKWKADFGSTLGSCVILGASSAGKDGGAGAAPAVDCGESDETGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIADHTTLESLDLTDADGQGVLTMDKWQLQELRVKPVSASGGSHRTLMPALSMRLWYAPHIELPGGLVLNGATLVAIKPTEEATRDTVGSGIAGSAGGCWVSDAFEEPYRTAVGMLLKRRTYSLEMNSF >ORGLA05G0195000.1 pep chromosome:AGI1.1:5:19792991:19798289:1 gene:ORGLA05G0195000 transcript:ORGLA05G0195000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVQPPPSPPPPRRPRSGPPPGLKNLGNTCYLNSVLQCLASTPPLATFCLSFRHSNLCKKVLPNKDKECVFCVVERQISRLLRTEAGALDSPAKIIRCLPLFAEHFRWGRQEDAHEFLRYIIDACHTAGLCIRKRLPASNANGDAGEEEVRVQGPCMVMKETFGGALLSQVKCLTCKGESNKTDEIMDISLDLPGSNSVADALARFFQPEILEGSNKYSCERCKKLTSARKQLFVLRAPKVLVIQLKRFEGINGGKINRNIEFKETLFLSDFMYNKNQDSLPVYNLFGSIVHSGFSPDSGHYYAYVKDAIGRWYCCNDSHISPSSSQDVLSEKVYILFYILSTKTQKPSTNGYSSSAAKSSNSNGNGISNATSNEPLKIPLVKQNGLCSSKGIAPPPLKNGKIAPGMHLKPIHLKNNGTGKVSNGKASIIPGNKLEVSEGLTLPAVNGRDSGKYAEPGKMNANGSVSCNKTDVNSQRVLPNTNGNGNPIHFSDLQETTDAKATCAEQYSEKSSIASLEDSKNPVSCHEMSAVIVKDVVSSGKDSSSLKHRLEEGKFKEMLAESASSELHLSGWVDDVRNFMHTIKRRRLQNTGTPQDSDTMRKELISESGRIFRSKIPESLREHLIQALRSYYQDKFSLGG >ORGLA05G0194900.1 pep chromosome:AGI1.1:5:19786527:19790156:-1 gene:ORGLA05G0194900 transcript:ORGLA05G0194900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQSVNAGKAKVDVHVDLTHMLCEALLLPPMRNSGATFSQIVGRISLKHPSLFGRSEKLDVILDKGINESNAVIAFRRPRPEWLSQQSFVIQHTMTPEIAVHGFPADNFTRSGSRGINLSRLSLGVELNEPSTSNWTSGTSVKFEHIRPVNNEGRSIARDHDGFPLTCSGNLHDNMIILKQESGYADVNDNSFLKVNLQMEQGLPLVPKSLTFNRVKCAVSKGMKLGPTFLVTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGAGRLCLIANCEYTVPLAKHLEGSIFMDCGSDLGSACHVPGNPALRQGKPGFGVGFGYGIHFNTDLGQIRVDYAMNAFSRKTIYFGINSGGGS >ORGLA05G0194800.1 pep chromosome:AGI1.1:5:19784529:19785242:1 gene:ORGLA05G0194800 transcript:ORGLA05G0194800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQRMVIVGEEHCGGGEDRELTVRKTTLFCPGDGLEAYDHGTGTLAFRVETYGRGGVCGGGAAAGDLALLGPEGEPVLTVRRRRPSLHHRWDGFLGDGAASGQKPLFSARRSSILGVGSGAAAVLVDLLAPGAAGEFRVDGSFPRRCCRVVAVKAAAPAGGGGEEEEEEVVVAEVRRKVDEDAHVVMGRDVFVLWLRAGFDAAFAMGIVLVLDRITGDELNGDLSEDLAVASSPV >ORGLA05G0194700.1 pep chromosome:AGI1.1:5:19779218:19781749:-1 gene:ORGLA05G0194700 transcript:ORGLA05G0194700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKYLETARAERSVWLMKCPPVVSHAWQGAVSSSDAAGSNPNPVVAKVVLSLDLLRSEEPSLQFKMEMAQTNTGNTPKSYSLNMSKDFVPMCVFSESNQGKLSCEGKVEHKFDMKPHSDNLVNYGKLCRERTQKSMIKTRKVQVIEDHRMSLIPLPGMVGLIPSGSKEKKKQTPTKPSDAKRIRRDRRELENIIFKLFERQPNWALKALVQETDQPEQFLKEILNDLCFYNKRGPNQGTHELKPEYKKSTGDTDAS >ORGLA05G0194600.1 pep chromosome:AGI1.1:5:19775722:19777066:-1 gene:ORGLA05G0194600 transcript:ORGLA05G0194600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSNGLLKGLRRVLEQQRKPIDFYRKSQAWSSTVSFSDIDEKSEMGGDDDYTDSRRELEPQSVDPKKGWGFRGVHRAIICGKVGQVPVQKILRNGRTVTVFTVGTGGMFDQRVVGDADLPKPAQWHRIAIHNDQLGAFAVQKLVKNSAVYVEGDIETRVYNDSINDQVKNIPEICLRRDGKIRLIKSGESAASISLDELREGLF >ORGLA05G0194500.1 pep chromosome:AGI1.1:5:19774405:19774737:1 gene:ORGLA05G0194500 transcript:ORGLA05G0194500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESVRCACCGLEEDCTQEYIENVKANFGGKWLCGLCSEAVGDELSKDRREQDGIEDAIKAHMAFCRMALSSPAVKVADGMKEMLRKRSKDKVKPEIPSKAHPFLLGDN >ORGLA05G0194400.1 pep chromosome:AGI1.1:5:19761407:19764355:-1 gene:ORGLA05G0194400 transcript:ORGLA05G0194400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 54 kDa protein [Source:UniProtKB/TrEMBL;Acc:I1PX46] MVLAQLGGSISRALAQMSNATVIDDKAFADCLHEIARALLQSDVQIRMVSDMRANIRRAVNLDALPAGTNKRRIIQQAVFAELCNMLDPGKPSFTPTKGKPSVVMFVGLQGSGKTTTCTKYAHYHQLKGFKPSLVCADTFRAGAFDQLKQNATKAKIPYYGSYMESDPVKIAVEGVERFRKEKSDLIIVDTSGRHKQEAALFEEMRQVAEATKPDLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKLDGHAKGGGALSAVAATKSPVVFIGTGEHMQDFEVFDVKPFVSRLLGMGDLSGLVNKIKDAMPADQQPELMQRLIEGTFTLRVFYELFQNLLNMGPIGQVLSMIPGFRSELMPKGHDKESQAKIKRYMTIMDSMTNAELDSTNPKLMSESRIKRVARGSGRTMKDVTDMLEEYKRIAKVCSKLKKKLPKNMDRNVMNNKDTLNTINNLIPKQLLNQIGGVNPLQSIMKQMGLKT >ORGLA05G0194300.1 pep chromosome:AGI1.1:5:19756367:19758597:-1 gene:ORGLA05G0194300 transcript:ORGLA05G0194300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPISVKPPSPVAAAPPPPPVQVPVPPPPPPPLPPAVAAVEPLPPQPVAVVVAEAEPCSMNQLALTPTPKRQKVEESADGNGCKHCACKKSRCLKLYCPCFAGGGYCSEKCGCQPCFNKALYAETVQTTRKVLLSRQKRMSLKINRRSEANAEAVEDAHHSSSSTPPRRGCNCKKSSCLKKYCDCYQDGTGCSLFCRCEDCRNPFGKNEGIMAEESKRFLYTGADLDHSEDEQDFIVERSPRLQSPISKESSFQQTPPHIRATNRDTHMFPQAISQWQPRSWHCSKRQSNDRVIDDSGEYKNSNHDWQFAKPEDSYSISRCVQILNGMAELSQVEKSVAPDVFLQAGNREIFISLNGDVRALWLKRKIQNLT >ORGLA05G0194200.1 pep chromosome:AGI1.1:5:19749989:19755328:1 gene:ORGLA05G0194200 transcript:ORGLA05G0194200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTSLTEAEAGITCFASSLPGFRGVLKHRYSDFIVHEVARDGSVARLTSFDLPDECVDVSEEDKAAPSADADHSQALESFRALCGDADCDALKGLLEKASAGAEADVSPVILSPDADKAHRSEVHNFFKRSFKFLVTDTVEHNDGVQRCIRVRLGSGARGGRGGGGRSGGGRGRKRKNMGGSDWRDDRPFDSRGSTSWPNHVGKFLRFHLCKENKDTQEALGVIGKMLGLQSRSFGFAGTKDKRAVTTQQVTVFKISANRLAALNNRLFGIKVGNFSYVKEGLVLGQLMGNRFTITLRGVVAESEDIIKASVEGLGKNGFINYYGLQRDDIREMREHYKEHGDIDMALRNFPRHLVAEKAILQCLKKCPGNYLQALKSIPRTLRMMYVHSYQSYLWNHAASARVEKYGISQAVEGDLVYSKEAPPGEATSVDTSEPCDDQINSSDIDLCSETLPEETIQSVKIVDSEDLLKGVYSFEDVVLPLPGSQALFPGNGIADIYHELAKKDGISLTENAHGVKEFSITSMKGGYRRVFQRPIDFEWELMTYTDDTASLAETDLDVLSRNKPTKAKEVNETISSAISNAQSHDSKVAGPLDSSMPGSETGLVEEKSVGSSDMLARKLAIKLAFTLPASSYATMAIRELLKTSTSVTYQKTLDC >ORGLA05G0194100.1 pep chromosome:AGI1.1:5:19745836:19748770:1 gene:ORGLA05G0194100 transcript:ORGLA05G0194100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAARLAARRLLGLATSSASESAAARRLSRSPISYAAAGCSSRLFSTALNYHLDSPENNPDMPWEFTEANMKKVNEILSHYPSNYKQSGIIPMLDLAQQQHGGWVPVAAMNAIAKIVEVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSREIEEALLEHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYSKGSEGYTYNYYEDLTPKRVVEIVEMLKRGETPPRGTQHPERKNCGPAGGNTTLHGEPKPPPCRDLDAC >ORGLA05G0194000.1 pep chromosome:AGI1.1:5:19728813:19729542:1 gene:ORGLA05G0194000 transcript:ORGLA05G0194000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAAATAARTAVVGRPSGVAQLRARRGERVRCGYSSRDGKEATPAAAVKGATSMLAAAVTASSSSAPAAMALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSTLDDDDESGGLSL >ORGLA05G0193900.1 pep chromosome:AGI1.1:5:19723769:19727978:1 gene:ORGLA05G0193900 transcript:ORGLA05G0193900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYGPAAAPRLLVSDSGRVHEMERFSHYVARQIGFDHVDECPHLCTLAYDYLRKNKGYEENIFAFFQNSQDPETLIVKFIEELDKCILGYFSFHWNYATYIISQVLTVEGAPKRKLRNMVLEATRKQRFERVTRNLKVTRLFSTLVEELKAIGLSSHVEAPRSDVMVPAAHCDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTMSWEPFVQQTITMARAVHRQRYRMGVGYKVTEDGSITEEYWEPVEDSSTDEEGETRNRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLKSHKRFATAFQSYCNLVDNARLYCTNSTGAAKLIGWKDGESNLLVDPEEIGCLERVRHLNDEADCVHEIYPDGSAAAAWEALVTSPSRAPAQREIMAAVQRSEARFRTTSTPS >ORGLA05G0193800.1 pep chromosome:AGI1.1:5:19712373:19721579:1 gene:ORGLA05G0193800 transcript:ORGLA05G0193800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAATDDSAASTAGMRDEDRSLSGESLSEWRSCEQVESESPSTSPPFWDTDGDDDDPGPKPSDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNIDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDKPFRCLDRPYRRELLRVYTTNIESIYRRFVEERRNKLSKLIEDKMRWSSFCAFWSAIDPSTRHRMSREKTDVILKVLVKHFFVEKEVTSTLVMDSLYTGLKALEYQSKGKKGRTIADLDELPAPMIHVDMDMFVLASDVIDLLERAALEPLPCQPVSPKDDKCSQSRMKDGASGEVNKISMEREERRLTELGRKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEEEAWLLGNEMKGKRGGGANEKDKRAKKKQAKQKKNNRKIKDKERDEKFEAKILERLHDETAIDDSDGLSSKQAEEVTTKVETLEEGASDRQGDLDSSEIAHRPDSGDKYPRQMNGLSDVTGNAQKVKKASSMEANSPVFLADSVAASGTHSRGNNLSDSKNRMTPNRGKNQRNKGISIISFSEEGEGIPSSSTGGSARCSSSCGTSAKLDQDTVLLTLKDKLRKLGQRLHEKNIEGRKLLQAHFEAMEAKTSGSSPSSSPLEETPDVVKSPEQSAEGTTDAKANGTPNKDEPVTNCVAEESVSVMPGTKSTEALSGMALAKTKVEPVSNKDHVPKPTLQANRSSANCSKSTPVDMEKDVPLPSRSPQINKPAPVPPKSPQVGNATPVPPKSPPIEKACPVPPKSPPSAKDTSLPSVRSLQIDKPVPVPPRLPQVDKAASLSSELPQTSTYNSEAQEETAAIRVASPSVSDVTVTASRPSSAPVFPAPRSTVPATQVQVSTLLSRSLSEATRRSGNDPSPSAPAYIPQTYRNAIIGKHGRGTTSGTTAYQSTSLGQGTALSQPLSTYAPTMSVTMPPAGRNDQFSGRHGLESGLGKPEARDSWQPWNANRHVDKHLWRDDSTYQQTTNGHAYPQPWKDVNFLQARGTETEIPSRFGGPQLPRQFQAETHADYLLQQPQGAVAEEFPHLDIINDLLEEEQSNGSMPESIGHDYHTFGLPLPFLLRGNLADQEMASASSPGRFNLTEPYYDEGYSRAYDMSAFQGTRERQFPSLDAYSNGLSDMSPSKPWLNGSPNPSMNHAVGTNGYPQQIPDYTNLASELNGASLYHRRYANGRW >ORGLA05G0193700.1 pep chromosome:AGI1.1:5:19707055:19709193:1 gene:ORGLA05G0193700 transcript:ORGLA05G0193700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARGFVERLSTVEGRLLRLEVVVLASAVVLAALVLYGATRRRSSDKLLRGVMWMAYSLSYVVVSYAVGLIQDGPFRGETFVLWAAALLLIQASAYAAPVHSRREFSQRKKLLLQHVLQTALVLWLIANATGRNASYRAAIWAFWCLNVLKTVAKIVEMIKASIPDQSVKLIADYMDVEESLAGVGDGEPPDPTTMKGYRYIFHGEDTMVPATRDDMVRQSDGKSVVTIDRVYRWIDDEPGYSGVEKDMARDFCLAFALFKLLKRRFYGFVPAEAGSPRARDLVCGGLIRPAVTGPDAAFRVVEAELAFLYDEFYTRNVVLVGARTYVCIAAAVAGITMWTAFFGTLGPGYHRLRIGVRGLDRSVTVLIVVITAGLELCQAVAGFSSNWRYVKTVYRCVRDDQPWTNRRRGHLWWKESITPPATRYWDDKVGQYVLLKRFGHRPWNILSWLTLYLVEPRRQGQKRGRRKRLPQEVRRAVLVSLKASYGHLTNGVSTLRRHGLTPQLEWACAFPKLTDQILVWHVVTTRFDWTSGGGHGRSRRRDNGGDVNRVVATKLSNYCAYLVAFVPEMLPDPSYNAEQMFDTAVQQARDHLGGCRTESAVLQRLQEIEDEERRGAVRERAGSATVIEKAALLGGQLRAAVDGEARRWQVLAEFWAEFILFLAPSDNVDIHAEMLGAGGEFMTQLWALLSHAGVLERPAVAATQSPPTV >ORGLA05G0193600.1 pep chromosome:AGI1.1:5:19704565:19706085:1 gene:ORGLA05G0193600 transcript:ORGLA05G0193600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:I1PX38] MAAASAAAQAEGTVIAIHSLDEWTIQIEEANSAKKLVVIDFTASWCGPCRIIAPVFADLAKKHTNAVFLKVDVDELKPIAEQFSVEAMPTFLFMKEGDVKDRVVGAMKDELASKLELHMAM >ORGLA05G0193500.1 pep chromosome:AGI1.1:5:19700160:19703232:1 gene:ORGLA05G0193500 transcript:ORGLA05G0193500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKSSDGKSPIVVGPWGGTGGYPWDDGVYSTVRQVIITHGAAIDSIRIEYDLKGSSVWSETHGSTDGGSETDKVKLDFPDEILVSVSGYYGSVCGTPVIIRSLTFQSNRSIYGPFGTEDGTPFSLPVSSGKIIGFHGRSGSYLNSIGFYLKQVNVSDRSNSPVLPQSRSITSAYNKNGYSFPEGASGYDMVLAVRDRGDSYAVYTSNYPNQQYTNPSPDYNDGIRWNKVPQTSPSLQMVSFPSGYGDRGGAALSSHETYGPWGGSGGTMFDDGMYTGVWQINLTRAVGITSIKVLYDRNGQAVWGNKHGFSGAVSPDKIVFDFPSEVLTHITGYYGTTMIMGPTVVRSLTFHTNKRRYGPYGDECGTYFSTSFSDGRIVGFHGREGWYIDGIGVHVQEGNLAAPRVSSRSTIEMNPSLRYDMLAQSRSETYNEVPYSMVKEPVPMGPGPWGGEGGRPWDDGVYTGVKQVYVMRGTFIGSIQIEYDRGDQSVWSARHGTSGHITHRIKLDYPHEVLTCVYGYYNTNREEGPRVLRSITFISNRGKYGPFGEEFGAYFSSAKTEGKVVGFHGRSGQHLDAIGVHMQHWMGDRRPAPKYVLSKYLF >ORGLA05G0193400.1 pep chromosome:AGI1.1:5:19694644:19697340:1 gene:ORGLA05G0193400 transcript:ORGLA05G0193400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xylem bark cysteine peptidase 3 [Source:Projected from Arabidopsis thaliana (AT1G09850) TAIR;Acc:AT1G09850] GGAPYLGVDGGVGAVPDAVDWRQSGAVTKVKDQGSCGACWSFSATGAMEGINKIKTGSLISLSEQELIDCDRSYNSGCGGGLMDYAYKFVVKNGGIDTEADYPYRETDGTCNKNKLKRRVVTIDGYKDVPANNEDMLLRAVAQQPVSVGICGSARAFQLYSKGIFDGPCPTSLDHAVLIVGYGSEGGKDYWIVKNSWGESWGMKGYMYMHRNTGNSNGVCGINQMPSFPTKSSPNPPPSPGPGPTKCSLLTYCPEGSTCCCSWRVLGLCLSWSCCELDNAVCCKDNRYCCPHDYPVCDTASQRCFKANNGNFSVMEGGSRKQPFSKVPSLGGLLELLDQ >ORGLA05G0193300.1 pep chromosome:AGI1.1:5:19616881:19617183:1 gene:ORGLA05G0193300 transcript:ORGLA05G0193300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWQRGGGMLRWDERQWQLGGGALPSRLSLAVRGRRRRQRQSRPSLCQIRGGAARRRPRRGGPVAAEGRGDAAAGQEAVAAWRRLPPSVGSGEMFLKRFL >ORGLA05G0193200.1 pep chromosome:AGI1.1:5:19608101:19611962:1 gene:ORGLA05G0193200 transcript:ORGLA05G0193200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRRGGVGLLRGAVVLASLLLVVSGEVIFEERFDDDWGSRWVKSDWKRSEGKAGTFKHTAGSYSGDPDDRGIQTTSDAKHFAISAKFPEFSNKNRTLVVQYSIKIEQDIECGGAYIKLMSGYVNQKKFGGDTPYSFMFGPDICGDQTKKLHLILSYQGQNYPIKKDLKCETDKLTHFYTFILRPDASYSLLVDNREREFGSMYTDWDILPPRKIKESNAKKPKDWDDREYIEDPNEVKPEGYDSIPKEIPDPKDKKPESWDDDDDGVWKPRMIPNPEYKGRWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPEYARSVVDEVRAANKEAEKEAFEEAEKRRKAREDEEARRAREEGEKRRRDRDRHRGRDRYRDRYRGHHRRYDYHDEL >ORGLA05G0193100.1 pep chromosome:AGI1.1:5:19604854:19605354:1 gene:ORGLA05G0193100 transcript:ORGLA05G0193100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHTHRAFLLCNYLLLGAASGCIFLTLSLRLLPSPCGLLLVFLHALTAVLAAAACSGSFTAPGGGGGTHTAHTASAVLTAIFQGAAALLAFTRTGDFLAELRSYVREEDGEVILELVGGLGAAIFVLEWAALALAFALRLGDDGADGDEHDGGYAKSWQSGYHV >ORGLA05G0193000.1 pep chromosome:AGI1.1:5:19601895:19603535:-1 gene:ORGLA05G0193000 transcript:ORGLA05G0193000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIRAPKSHRAKRELLKHAPKLVETGKKTLVLHGTKTSAVLNSVLADLFHLKRDNAVKYSKKNXNIRPFESGGETSLEFFSLKTDCSLIVYGSHSKKRPNNLVLGRTYDHHIYDLVEVGVENYKSIESYVYDKKLAPKLGSKPFFTFIGEHFESVEELKHLKEVLLDLFRGEVVENLNLAGVDRVFVCTAISPTTVYLMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRYPAESLKKEAMKTADHAKKMKNVTKDPVQGKLGKVYIPDQQIAKMSLSNDIKGLKRERREAKKNKEHTKKQKINVE >ORGLA05G0192900.1 pep chromosome:AGI1.1:5:19596726:19599421:-1 gene:ORGLA05G0192900 transcript:ORGLA05G0192900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLVFFIVFLFYISGVSSISHYFTSIFSLGDSYIDTGNFVIMAPSGPPLRYDKLPYGMTFFGHPTGRMSDGRVIVDFIAEEFELPLLPASMANSSSVSHGVNFAVGGALATGIDYFERNNIVSFKLLNTSLDVQLGWFEQLKPSICNTTTEQANGFKNCFGKSLFFVGEFGVNDYDFLWMAGKSKQEVESYVPQVVRKITMGVEMLINQGAIYVVVAGNPPNGCAPALLTVLMSPNRTDYDGLGCLGALNSVAKRHNMMLRVALGRLRGKYPHAQIIFADFYQPIIQVMRNPSHFGFASDGLLKACCGTGGTYNFNVSSACALPGVVACKDPSASISWDGIHYTEAINRFVAKGWLYGPYADPPILTAIHHHH >ORGLA05G0192800.1 pep chromosome:AGI1.1:5:19585892:19588493:-1 gene:ORGLA05G0192800 transcript:ORGLA05G0192800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKLVFPIAFLFCLSRVSSTSQFFTSMFSLGDSYIDTGNFVIMASPVVPVWNDKLPYGMTFFGHPTGRMSDGRVIIDFIAEEFGLPFLPASLANSSSVSQGVNFAVGGAPATGIEYFENNNIVPFKLLNNSLDVQLGWFEELKPSICNSTDETNGLNCFGKTLFIVGEFGVNDYNFMWMAGKPKQEVESYVPQVVKKITTAVERLITQGAAYVVVPGNPPTGCAPALLTSRMSPNKTDYDGLGCLRFINDVVERHNTMLRAALGVLRGKYPHAKIILADFYSPIIRVLQNPSHFGVAADGVLKACCGTGGAYNWNASAICAMPGVVACQDPSTAVSWDGVHYTEAINSYIAQGWLHGPYADPPILAAISH >ORGLA05G0192700.1 pep chromosome:AGI1.1:5:19577068:19578975:-1 gene:ORGLA05G0192700 transcript:ORGLA05G0192700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTEELNSALPEEEHYYCVHFLPRSLPEPLFYTVHRMYFMHDTNPTSLGNKLYTNLEDRDYPITMIQIFSIRFAGDGVQLDQSMRVYGFVAIRDELDCRRNYVFNRSRDDPCEITPVCPTLPLISPARGTSIIDGVLLEYSLKAKRGGGGDGDGNDVELIDGCIEFTSPSTMPVDEKLKTRIYGRAAPPPGGGAAVAVDMAYAFIERGVEATVEVEVRGAPPPSPGHGRRRLNAAALTSGYEDEIVLVDGPLSSSPSSSSSSSLSSPAKLAFSAVVAVAQDDELSLRLEAVTGGEGGLSMAVSRSYLSFEAHKHGSSVAELVMAKDLELVVRVTWSTMGL >ORGLA05G0192600.1 pep chromosome:AGI1.1:5:19559700:19560927:-1 gene:ORGLA05G0192600 transcript:ORGLA05G0192600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFENARSVRLRSHLGTYLCAADVGDGGGEAVTHGYRRNCRGTVWAVETSGDDYVRLQGNRGLYLCATELPAALDGGCRGSAACCWVIQAGSPPSPNDGAFLWTPRREGEHLTLTGLYGRLLRARFGLTPRENAVTVDRDAAPEESSWVVEVVPESEAPPPPRCRALSCDARLEAATSEPDTASTTAFVRFYSTKELKVVKPPPPEEEEEEEEPPSEAAPGALVARTIFYNTARDDGGVDDFDQGTWRYFTFKEQSLAALRRRLEEEARREDFVVCRRRCAAPPPGLFPVVLDLPPGNRDMEFVLVLHSSRVASALQFPFGNGHTARISV >ORGLA05G0192500.1 pep chromosome:AGI1.1:5:19556821:19558683:1 gene:ORGLA05G0192500 transcript:ORGLA05G0192500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKRRGGAGGGGAEKKDLFHVVHKVPAGDSPYVRAKHLQLVDKDPETAIVWFWKAINSRDKVDSALKDMAVVMKQQDRAEEAIEAIRSFRHLCSRQAQESLDNLLIDLYKKCGKVDEQIDLLKQKLKMIYLGEAFNGKATKTARSHGKKFQVSIQQETSRILGNLGWAYMQQSNYSAAELVYRKAQSIEPDANRACNLGLCLIKQSRHDEARQVLHDVVLRRISGSEDDKVVARAKQLLHELEPVTHVTSPNNAGLSVSEEIMERLDLVLNEWTPFRSRRLPVFEEIATLRDQIAC >ORGLA05G0192400.1 pep chromosome:AGI1.1:5:19547402:19548579:-1 gene:ORGLA05G0192400 transcript:ORGLA05G0192400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRRSERLLRAMACCRIVLVFFFFFFFCVCVLVATTTTTDAVKGTSAAAAGGGGGGGRWTELTAGSPARYSAGADEFRGSKRRIPKGPDPIHNRRAGKTTVAPRRRD >ORGLA05G0192300.1 pep chromosome:AGI1.1:5:19527288:19528270:-1 gene:ORGLA05G0192300 transcript:ORGLA05G0192300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPMNADTIMFAEFSYGAHILHVTLNPIYCRGSDWRGTSKQFNKDDITTYNISCFLLPIYDIQMLIKSIVWQELSSHHGVLQCQMADVQKCLRFSSRMRHINR >ORGLA05G0192200.1 pep chromosome:AGI1.1:5:19516530:19517321:1 gene:ORGLA05G0192200 transcript:ORGLA05G0192200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAANVERGAALASSSLLGTSRRPAPPSQLAPRCSGRLRHRARRRHRAPAPATSALVQERRGAVDLAARTPLPRRQRRRCFGAADGERRAPPRSSSRPTVQRRRAPVPAPSPSPLGAFFASEKEEEGTTAPPLVARRPPRRRCGGGEPVVEAALGPAVLNRQRRRPGTMAPAPAETASGSSAAGFRAASPLASRQIRRGRGAPAEGERGAGGGWEREGHRRRSRGAGVAGKGREREERERVGPTVREKADHMHCGGWCLRSF >ORGLA05G0192100.1 pep chromosome:AGI1.1:5:19512634:19513323:1 gene:ORGLA05G0192100 transcript:ORGLA05G0192100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGARGQSPCPQKRRLTNQHAMSMLDVGDGVGRAQPVGDEDLARGHTEPGPEDVGVQVFIHSAQTVSQYLFLTI >ORGLA05G0192000.1 pep chromosome:AGI1.1:5:19451110:19452254:1 gene:ORGLA05G0192000 transcript:ORGLA05G0192000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >ORGLA05G0191900.1 pep chromosome:AGI1.1:5:19415345:19415908:1 gene:ORGLA05G0191900 transcript:ORGLA05G0191900.1 gene_biotype:protein_coding transcript_biotype:protein_coding THTHPYERTHAKSYPYEYLRRLGRQILEIDEVTIGGSLSTGFKSGMHREHEPKSVTVQKRVFRTVDLQARSSTATRCRRVLCVLR >ORGLA05G0191800.1 pep chromosome:AGI1.1:5:19369409:19373605:-1 gene:ORGLA05G0191800 transcript:ORGLA05G0191800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfite reductase [Source:Projected from Arabidopsis thaliana (AT5G04590) TAIR;Acc:AT5G04590] MSAAVGGAEFHGFRGGGGGAAQLQRSRMLGRPLRVAPPHAAAPAGGGGSSSASIRAVSAPLKKDASEVKRSKVEIIKEKSNFLRYPLNEELVSEAPNINDSAVQLIKFHGSYQQTDRDVRGQKNYSFMLRTKNPCGKVPNQLYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKTVISTVIKNMGSSLGACGDLNRNVLAPAAPYVRKDILFAQETAENIAALLTPQSGAYYDLWVDGEKIMSAEEPPEVTKARNDNTYGTNFPDSPEPIYGTQYLPRKFKIAVTVAGDNSVDILTNDIGVVVVSDSAGEPAGFNIYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRRYSRMKYLIDNWGIEKFRAEVEKYYGKKFEDSRPLPEWQFNSYLGWQEQGDGKLFYGVHVDNGRVAGQAKKTLREIIEKYNLEVSITPNQNLILCGIDQAWKDPITAALAQSGLLEPKDVDPLNITSMACPALPLCPLAQTEAERGILPILKRIRAVFDKVGIKDHESVVVRITGCPNGCARPYMAEVGFVGDGPNSYQIWLGGTPNQSTLAETFMNKVKLQDIEKVLEPLFSYWNSTRQEGESFGSFTRRTGFDKLKEVVNKWAESASAA >ORGLA05G0191700.1 pep chromosome:AGI1.1:5:19366367:19367287:1 gene:ORGLA05G0191700 transcript:ORGLA05G0191700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSKKSDELLPGSAAAAAAAGEEVEAPRRRVDQARDPPPTEEEKVKEVLSETPAPSARARPRQRRVAGAVAPSVERGGGGGEKVARAKEGGGGGGRVRARRAVGAERATSEKSEAASESSVATTATGPERSPGKPARKRAVVSGELGRARRDRGPAAAVHGAGRPGGGRASPSPPPPPRREPGERPTRRSPSPATKRPPDQRRTAASAGAAAGAASGPQRKPPVPPRSCGRASPRRAQETNSPASSTTTTQSRGPPPHCSSPPPPQDASASAAGAGEEVAGGGGEGKESLDNPSVAMECFIFL >ORGLA05G0191600.1 pep chromosome:AGI1.1:5:19354266:19359331:-1 gene:ORGLA05G0191600 transcript:ORGLA05G0191600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:I1PX18] MAGKHGRNGFEDDDVNPFAGGSVPPANNSRLPPLSHEPADFYNVDIPLDSSKDLKKKEKELQAMEAELNKRERELKRKEEAAAQAGIVIEDKNWPPFFPLIHHNISNEIPIHLQRMQYLAFSSFLGLAACLFWNIIATTTAWVKGEGVIIWLLAIIYFISGVPGAYVLWYRPLYNAMRTESALKFGWFFLFYLIHIIFCVWAAVAPPFPFKGKSLAGILPAIDVIGKSAIVGIFYFVGFGLFCLESLLSIGVIQQVYMYFRGSGKAAEMKREAARGALSSAF >ORGLA05G0191500.1 pep chromosome:AGI1.1:5:19343724:19346723:-1 gene:ORGLA05G0191500 transcript:ORGLA05G0191500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPRPPTPSPPRASSDTDAAFDPVVEWLLDLDQAPMADEVAKGLGIAEEPASFDAGKVSGGSVSESRGVEEKGNALPEQSGASFDGELDVEMEPKTGSGGLVQQLVQDQHPASDIGDLAVREDGSEIMVDVERSTVPVDADMNNVSLALVKEEAEGGGDREDKSDEEESESSKEESSEASSSSDEEEDKRESSEASSSSDEEEQMAKKTGGAGDTDSLFEEGELMLASDDDDEEAPKGPIKSKHEVEVLPPVPKIDVQLEPHHQTLPVGTISAIMGERVIVEGSVQHNPLNEGSILWITESRIPLGIVDELFGPVKNPYYLVRYNSAEEVPADISAGTAVSFVAEFADHILNMKELYAKGYDGSGENDEDQTDPEFSDDEKEAEYKRSLRLAKRQTDRQHEGKKTSGDKKRAQPRGSGFRKDMPPRSHDGPAPGRQSQPHFHRPDKAPVVDAIRPLGSQDGPMSAPTKLPPGPVHPVMPAANQLTNQMGGRFMNPSQQFLPQQPNVVWPGGLTPTPHPNMGIEGAAFAANIMQNLLIGANQYQQQFQNHNFGGFLNQMPVPPPQFMSQGGMPSNPMAFGGPTMAQMNPPFGPPQLPMDQGNFGQAPHMAGHTVQQGPPAGFPNGQGFGQSASPQGDGEQPPMQFSSGQFNQGNSSFHGRRQQQRGGRHSSGRGGGRHRR >ORGLA05G0191400.1 pep chromosome:AGI1.1:5:19340077:19341753:1 gene:ORGLA05G0191400 transcript:ORGLA05G0191400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENGAALFGEEELRDVSGVRVGDRFVEVTCGCTSARYGDAVGRLRLFASGELQVSCDCTPGCDQDKLSPAAFEKHSGRETAGRWQNTVWVMVKGDKVALSKTCLLRYYHKKLKSSSANGGGRRPPCHRDEFVRCAGCGKERRFRLRTKEECRLYHDAMARHDWTCKDMPPAGRCRVRVSCEEEEERASRKASRGCTRAATCKGCVRTWVLGRQWHSMNVEAVASALCTFTCAADRDGFDVRTVVCSVQTVQTYRGVW >ORGLA05G0191300.1 pep chromosome:AGI1.1:5:19334371:19337340:-1 gene:ORGLA05G0191300 transcript:ORGLA05G0191300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPPTHLLTPPPPLCCCSYALLLPRARRAPPRFSNGAFSAAESLVRGEFLGKVGTLARRMEGRRRLAVASAGRGPLFGGGGGGGGQRGSGRVVGNLAFAALLTFLATTGQLRWVLDAIVSLWLLTILLPILGLAAFFFFAGQDILQSNCPNCGKSFQILKSSLKDGPQLCPYCTQPFSVQGNKFVRESARFSSGRTTTSAQAFSESFKRGSEGKKTPSGTVVDIEAEVKDAE >ORGLA05G0191200.1 pep chromosome:AGI1.1:5:19329886:19331640:1 gene:ORGLA05G0191200 transcript:ORGLA05G0191200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGSNGSQGYHVNGKQVQSVVPSIQNNGPRHRPLTLMRRCRGMLCLVIMPLTAFMMMVYLSPVTTFLIRLFSVHYSRKSTCFLFGMWLAMWPFLFEKINKTKFVFSGETVPPKERVLLFANHRTEVDWMYLWDLALRKGRLQCIKYILKKSLMKLPIFNWAFHIIEFIPVERKWEVDEPLIRSRLSELKNPKDPLWLAVFPEGTDYTEKKCIKSQEYAKEHGLPILNNVLLPKTKGFHCCLQELRDSMDSVCDITIAYKHRPPTFMDNVYGIDPSEVHIHVKIIQVSDIPTSEDEVADWLIERFKLKDKLLSDFSALGHFPNEGTEDDLSTLKCIANFVAVISTTTVLTYLTLFSSVWFKIFVAFSSAFLTFATLYSIHLPQLICSPEAGTHAKKS >ORGLA05G0191100.1 pep chromosome:AGI1.1:5:19316576:19321027:1 gene:ORGLA05G0191100 transcript:ORGLA05G0191100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELSTRASTSSSSSSPPGDARGPEHGGTPRGEVSSKRRLVLRRRQRWRRLGGGAAASWAAADPRARWVREWNRAYLLACAAGLMVDPLFLYAVSLSGPLMCVFLDGWLAAAVTALRCMVDAMHAWNIVTQLRVSRAGRERACAAGADEEQPEAEAAAPAPAADADAAASNKLRDHGRYRKWLVLDFFVILPVMQVVVWVAAPAMIQAGSTTAVMTVMLVAFMLEYLPKIYHSVVFLRRMQNQSGHIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRATKCLKEQCLLAGPPACASSTAAVACVDPLYYGAAVASVGGDRLAWGGNATARNVCLSSGDNYQYGAYKWTVMLVSNPSRLEKMLLPIFWGLMTLSTFGNLESTTEWVEIVFNIMTITGGLILVTMLIGNIKVFLNATTSKKQAMQTRLRGLEWWMEHKGVPHGFRQRVRQFERQRWAATRGVDECQIVRDLPEGLRRDIKYHLCLDLVRQVPLFHHMDDLVLENICDRVKSLIFPKGEIIVREGDPVQRMLFIVRGHLQCSQVMRNGATSWCTLGPGNFSGDELLSWCMRRPFMERLPASSSTLVTAESTEAFGLEAGDVKYVTQHFRYTFTSDKVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNQDDDF >ORGLA05G0191000.1 pep chromosome:AGI1.1:5:19306825:19308078:-1 gene:ORGLA05G0191000 transcript:ORGLA05G0191000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPFFLWATNATSSSSSSAAAAAVSDHDVGGLRAEEETAAAEATQLSPELAAAVARPRLRRQASSSSPAKQQQQQVGGGGGSKRAPQRGLGVAELERLRCGGDPLRDLNAAVAAMGDAAAAIHRHHHHLPLPALDADVAGGRGHYAPLLVRPAPPPLPPPPAAAPFCHLHSSSSAAAGHNVAPPELQFLRDRCMGGFAGAGQLLPLAPEHPSSQSNTIWRPASSSSSSSCLPATHRCDLCSKTMVRALAERGGARGAATTTNNTPDYSIYDLAAAMATARKEKGHGVFLGREWKNDEAAAAAEKEVREIEFFPTSTTHADESEFAAAPFSSSAGGGCGAVPLDLSLRL >ORGLA05G0190900.1 pep chromosome:AGI1.1:5:19300838:19303535:1 gene:ORGLA05G0190900 transcript:ORGLA05G0190900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPASPVAGGEKATPPSTPPPVRLAGGAAAAIQPNSPRFFFSSLAAASASASSPHRRIAIAVDLSDESAFAVKWSVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVDDDDSAPDAAQHANAHAATRDEPEEAKKKREEDFDAFTSTKAQDLAQPLVAAQIPFKIHIVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRRAGKGRLGSVSDYCVHHCVCPVVVVRYPDDGAAAGGGEAVGDELRTVPEDEPVYHEAPEGQKEN >ORGLA05G0190800.1 pep chromosome:AGI1.1:5:19297064:19299767:1 gene:ORGLA05G0190800 transcript:ORGLA05G0190800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSCFSYSSRHHRSKKLVASSVEDRWAYPNLTQKVDGKNCSNSNVEQHRSPPFEDCCRSEDFSFCTENESGKIKKSQSLGDMLEMEKLYDFDKTNNKGEDCAIDGSHDEKTCTGECTRKKKENRTSCCGDFTDPHQHECQSSLGNSEHLIEKQCDCEDIVSTYCLVNSEEQSFLPEPQPLLSRSQSVNLDVHIPTVIEDSIDSAQLGLRSRSFGNLSSLDGAPYTEEARVSPSHCKDASEDFVQSNAQSQCHCRDEHINNSSTDEISQCCVESGNDCHHSDFTSTAAVTPVRNSNSFDDPPSLSHDAGNTEEIFQQIDKREVATSVKNCEPEPCYQNCCTSSRKEFNVRRIENWISQIPDSNDIAPYEQGECSSSAHLMNSKQVDTIRKLNAKSPLGMETAYNYIAMLKPSSSIAQLSNLGLVAIPILSAFSDLRLLNLAGNSIIRITSGALPKGLRMLNLSRNNISTMEGLKELTLLRVLDLSYNRITKIGHGLASCPFLKELYIGGNKISEVEGLHRLKLKVLDLHGNSLSSSKCLDQLANCGTLQSITLEGNPAQRNVGDEQLKRHVLRLLPHLVYYNKQAVRSRRCSKPQGGGGRHGRAVDLGGGGGGGGGRSKRLELRLPRRSACASVAXNRQGVTTMSGQEQQLQLMARXGRRGRAGIMRLLWLPPFVELIVLQRERGGCLVQRSPVRFFGFEARMTY >ORGLA05G0190700.1 pep chromosome:AGI1.1:5:19281251:19286888:1 gene:ORGLA05G0190700 transcript:ORGLA05G0190700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PX09] MAIHQLSSVLLLMLLAPATSRARDSIAPGEPLAGHDTLVSAGAGDGGGFALGFFTPPGSNDTYVGVWYARVSPRTVVWVANRADPVPGPVDGNAGATLSVSRACELAVADANSTVVWSVSPATTGPCTARIRDDGNLVVTDERGRVAWQGFDHPTDTLLPGMRIGVDFAAGNNMTLTAWKSPSDPSPSSVVVAMDTSGDPEVFLWNGPNKVWRSGPWDGMQFTGVPDTITYKNFSFSFVNSAREVTYSFQVPDASIMSRLVLNSSGGGLVQRWTWVEAAGAWNLYWYAPKDQCDAVSPCGANGVCDTNSLPVCSCLRGFAPRSPAAWALRDGRDGCARETPLGCANGTDGFAVVRHAKAPDTTAATVDYDAGLQLCRRRCLGNCSCTAYANANLSAPPGRRGCVMWTGELEDLRVYPAFGQDLYVRLAAADLDSTSKSKKKTHIIIAVVVSICALAIILALTGMYIWRTKKTKARRQGPSNWSGGLHSRELHSEGNSHGDDLDLPLFDLETIASATNGFSADNKLGEGGFGPVYKGTLEDGQEIAVKTLSKTSVQGLDEFRNEVMLIAKLQHRNLVQLIGYSVCGQEKMLLYEFMENKSLDCFLFDKSKSKLLDWQTRYHIIEGIARGLLYLHQDSRYRIIHRDLKTSNILLDKEMTPKISDFGMARMFGSDDTEINTVRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVIVLEIISGKRNRGVYSYSSHLNLLARAWSSWSEGNSLDLVDKTLNGSFNQEEVLKCLKVGLLCVQENPDDRPLMSQVLLMLASADAASLPDPRKPGFVARRAATEDTSSSRPDCSFVDSMTITMIEGR >ORGLA05G0190600.1 pep chromosome:AGI1.1:5:19279617:19280651:-1 gene:ORGLA05G0190600 transcript:ORGLA05G0190600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAERRLRQAVGEGIHEERRGGRRGGGGRARAAEVAGGGGGAAVATGKQKAATAAAGRPGSRNRQALGDIGNVLNAHVVDGKIQLPEGINRPITRSFGAQLLKKAQENAVGANKIPVRKEPAPKPAKKVVPRLENAAKASTGAGVNENKKPSESEGAGSSGSGGGSAHKYSRKKVVNTLNNCAYCSFQARVWHY >ORGLA05G0190500.1 pep chromosome:AGI1.1:5:19274406:19277554:-1 gene:ORGLA05G0190500 transcript:ORGLA05G0190500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYVVYYSMYGHVAKLAEEIKKGASSVEGVEVKIWQVPETLSEEVLGKMGAPPRSDAPVIAPQELAEADGVLFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGVFFSTGTQGGGQETTPLTAVTQLAHHGMVFVPVGYTFGAKMFDMAAVHGGSPYGAGTFAGDGSRWPSEAELEHAFHQGKYFAGIAKKLKGASSA >ORGLA05G0190400.1 pep chromosome:AGI1.1:5:19268450:19270648:1 gene:ORGLA05G0190400 transcript:ORGLA05G0190400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHEWWNSPCSARTGDDEAACSTVDADESAVGSTPMSFGHGGQPASLSDAAASSSSSSFLLAGQHMDYWTQDFMGGRAAAAATASFDTLLQLQLQGGDAASRRLLLGDHAAAPPRHLVAPGAPYGGGGGGDDTAAPPRGLSPTPYEAADNLQQQQSFPGGHHVVSNTDRLHDHHQDAGSPSPATRSSPGSPAAAKKPRIEAPSPMPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVASLSSPYLRCGRPVQLQHQQGSHKVNGNCEGKQLDLRSRGLCLVPVASTYTVASETATEFWHPTFGGTFR >ORGLA05G0190300.1 pep chromosome:AGI1.1:5:19253425:19255404:-1 gene:ORGLA05G0190300 transcript:ORGLA05G0190300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAVAAAVSPPAAAATAMCAEHREKLEHIERVTRNAGQEQRRVLEEILAQNAQAEYLRRLGVPGDAPGADEAFRRLAPLVTYEDILPDVLRIANGDTSPILSGKPVSEFLTSSGTSGGERKLMPTIEEEMERRSGLYSLLMPVMSRQVPGLDKGKAMYLYFVKSEWRTPGGLPARPVLTSFYRSRYFLERPHDPYTVYTSPDEAVLCEDAYQSMYAQLICGLVHRADVLRVGAVFASGFLRAIRFLEKHWPSLCRDIRAGELDGGVTDPAVRGAVGRVLRGADPALADAIEAECARPSWQGIIRRVWPSTKYIDVIVTGAMAQYIPTLEFYGGGLPLACTMYASSECYFGLNLNPMCKPSEVAYTLIPTMCYFEFLPVNSGANDIAAPEPDHRGLVDLVDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNAAPMFAFVRRKNVALSIDSDKTDEAELHAAVTEAVQHLAPFGASLVEYTSYADTATTIPGHYVLFWELRSPAGGTPVPASVFEDCCLAVEEGLNSVYRQCRAADRSIGPLEIRVVADGTFDKLMDYALSRGASINQYKAPRCVRPGPVVELLDGRVQATYFSPKCPKWCAGGNKQWISSGAAAKKTTTTCDSLAV >ORGLA05G0190200.1 pep chromosome:AGI1.1:5:19242589:19243329:1 gene:ORGLA05G0190200 transcript:ORGLA05G0190200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTTTAASPPAMDRRVQRLVSGVAAAAATVSLLYLISHASTSCFPGATTLPLARFPRTSCDAASRRVVPPGRRLAKLRASARWRRRSVALASSSAFASLRGLRLLAGSSRALCLAAGAGHAVDALRAEGVGDVTGIDLVDFPPLVRRADPHHLPFSDGAFDLIFSDDPAGFSGALFQSRFAAEAERAVRSGGAIALAVDRHLDPSAVAVLFKRSRIVDQRDLTMDGSQVRMLIFQSNGTTLNSH >ORGLA05G0190100.1 pep chromosome:AGI1.1:5:19240814:19242091:1 gene:ORGLA05G0190100 transcript:ORGLA05G0190100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEHELRGGGVGAAAHGHGSICFSGGPVLVDGRRIQQLLLHCAAALESNDVTLAQQAMWVLNNIASSQGDPSQRLTSWLLRALVARACRLCAAAPAGAAVEFLERGRAPPWGRAMSVTELADYVDLTPWHRFGFTASNAAILRAVAGASAVHVVDLSVTHCMQWPTLIDVLSKRPGGAPAIRITVPSVRPAVPPLLAVSSSELGARLAIFAKSKGVQLEFNVVESATTTSPKKTSTTLCQELASVLSDPPSLGLRDGEAVVVNCQSWLRHVAPDTRDLFLDTVRALNPCLVTVTDEDADLGSPSLASRMAGCFDFHWILLDALDMSAPKDSPRRLEQEAAVGRKIESVIGEEDGAERSEPGARLAERMSRKGFAGVVFDEEAAAEVRRLLSEHATGWGVKREDDMLVLTWKGHAAVFTGAWTPN >ORGLA05G0190000.1 pep chromosome:AGI1.1:5:19236844:19238330:1 gene:ORGLA05G0190000 transcript:ORGLA05G0190000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54660) TAIR;Acc:AT5G54660] MPPRRGIEVRQAVGDGAAPRWRMSLLENTFSSFLQSIGGGAAADGAAARAVFGEGSLFSPFLFGKFFDPADAFPLWEFEPEVLLAALRRGARTTVDWAETDSEYYLRADIPGGRKCDVEVSGDDAMRVVDVSGLWRAAPPPPPPDGRDWRAGRWWEHGFVRRVELPEDADWRKVEAFFDDGEGLLEIKVPKSGDAHQAAAATA >ORGLA05G0189900.1 pep chromosome:AGI1.1:5:19236072:19236371:-1 gene:ORGLA05G0189900 transcript:ORGLA05G0189900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSWWRRGGGGSPEPAKGAGGAGVAEVAAAGGTQGAVEVLRRQRQADATVFEFGSAAESGAAVTLAGYCPVSDDLEPCRWELVPAAGEGAPQFRIVF >ORGLA05G0189800.1 pep chromosome:AGI1.1:5:19233154:19234158:-1 gene:ORGLA05G0189800 transcript:ORGLA05G0189800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFLNTPPSKLAPKPSFPPPSRQFAPPQRQRSLLHLSLLLREQQPPRPVRSSSSTQAGAAPSPPSSREEAVSQARSCLAAALRKPLGKQRKQQRQPRFRAEIPVVDDSPGSLARLASDVFSSGLGLSRKGGGGGAPARLLLVWPSSEEMGVALREPHDWGESTAHAQLDAVAPDALSSCDAAVFLAPGRSQVEKMKAAADALDTKPVVMFNPAWSFDDEEEGFAGGARGFVGSFSVVYSFTGLEVRGLLSKKKGVLLRFGGESWVLMVEDDAAAPASEQFKVVSRLKRRPTIGEVETMLYNVMAANSPVTKSARFLRGLVSNVTGGRKEKKQ >ORGLA05G0189700.1 pep chromosome:AGI1.1:5:19220751:19222205:1 gene:ORGLA05G0189700 transcript:ORGLA05G0189700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PWZ9] MASSERSKKLRVLLMPFFATSHIGPCTDLAVRLAAARPDVVEPTLAVTPANVSVVRSALRRHGSAASTVVSIATYPFPEAAGLPPGVENLSTAGDERWRVDAAAFDEAMTRPAQEALIKDQSPDVLITDFHFSWNVDIAEELAMPCVQLNVIGLFSTLAVYLAAAVVNDSDSEELTVAGFPGPELRIPRSELPDFLTAHRNLDLVDNMRKLVQVNTRCHGFTVNSFLFLDKPYCEKFMCNGFAKRGYYVGPLCLPQPPAVASVGEPTCISWLDSKPSRSVVYICFGTFAPVSEEQLHELALGLEASGKPFLWAVRAADGWAPPAGWEERVGDRGLLVRDWVPQTAILAHSATAAFLTHCGWNSVLEGVTAGVPLLTWPLVFEQFITERLVMDVLRIGERVWDGARSVRYKEAALVPAAAVARAVARFLEPGGAGDAARIRAQELAAEAHAAVAEGGSSYGDLRRLIDDLVEARADSGESALQPL >ORGLA05G0189600.1 pep chromosome:AGI1.1:5:19216165:19217622:1 gene:ORGLA05G0189600 transcript:ORGLA05G0189600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PWZ8] MASTDRSKKLRVLLIPFFATSHIGPFTDLAVRLVTARPDAVEPTIAVTPANVSVVRSALERHGSAATSVVSIATYPFPEVAGLPRGVENLSTAGADGWRIDVAATNEALTRPAQEALISGQSPDALITDAHFFWNAGLAEELGVPCVSFSVIGLFSGLAMRFVTAAAANDDSDSAELTLAGFPGAELRFPKSELPDFLIRQGNLDGIDPNKIPQGQRMCHGLAVNAFLGMEQPYRERFLRDGLAKRVYLVGPLSLPQPPAEANAGEASCIGWLDSKPSWSVLYVCFGTFAPVSEEQLDELALGLEASGEPFLWAVRADGWSPPAGWEERVGERGVLVRGWVPQTAILSHPATAAFLTHCGSSSLLEAVAAGVPLLTWPLVFDQFIEERLVTDVLRIGERVWDGPRSVRHEEAMVVPAAAVARALARFLEPGGAGDAARLRAQELAAEAHAAVAEGGSSYRDLRRLVDDMVEARAAGGEVAVSHSTV >ORGLA05G0189500.1 pep chromosome:AGI1.1:5:19210672:19214398:-1 gene:ORGLA05G0189500 transcript:ORGLA05G0189500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAPRRLLPDSSSPPIHRRRLPLPPLFRRRLSPLPASLVQALLCSGPIRGGRGLTPLGLLMDPAADGEGGGYEDASEFADAETGGGEAVRGEGERERRELPEELAKGVVCLECETSPEAEAAGAGGTCRVYVVGTAHVSQESCDQVKAVIDYLKPQAVFLELCASRVAILTPQNLQVPTMNEMIDMWKKKKMNTFGILYSWFLAKVASQLDVLPGAEFRVAFEEAMSYGGKVILGDRPVQITLRRTWGRMSLWHRAKFLYYIVFQSIFLPSPEELNKMLKDMEDVDMLTLVIQEMSKAFPTLMETLLHERDMYMSSKLLKVAKEHSSVVAVVGKGHVSGIKKNWEQPIEIESLLVLPVTKQGASKMKILASIGALGGVVIATGIYIWSRK >ORGLA05G0189400.1 pep chromosome:AGI1.1:5:19208632:19209965:1 gene:ORGLA05G0189400 transcript:ORGLA05G0189400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QDL5] MSRELELLLFLLALRASGEVVAGSAEAAAAAAAWPGLQVGFYHAKCPVAEDVVLGEMRMILEEDPTLAPSLLRMHYHDCFVQGCDGSIMLRSRSGKGERDATPNRSMRGYDAINRIKARLETVCSLTVSCADIIAMAARDAVYLSKGPWYDVETGRRDGDVSVAEYAENDLAPPDSNIVDVKTFFSVKSLNAKDIAVLFGCHSIGTSHCGAFQKRLYNFTGRMDQDPSLDAGYAAKLKKLCPPGHGHDHDHDGHGGAGGAAKVPMDPGSGFTFDLSYYRHVLATGGLFQSDGSLRDDPVTRGYVEKLANASSSSEYFADFAAAMVKMGRTDVLTGDLGAVRPTCDSLVD >ORGLA05G0189300.1 pep chromosome:AGI1.1:5:19204918:19206229:1 gene:ORGLA05G0189300 transcript:ORGLA05G0189300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PWZ5] MASSRVILALLLAAAAVMASSAQLDEKFYSNSCPSVEAVVRKEMVRALAAAPSLAGPLLRMHFHDCFVRGCDGSVLLDSAGNSTAEKDATPNQTLRGFGFVERVKAAVEKACPGTVSCADVLALMARDAVWLSKGPFWAVPLGRRDGRVSIANETDQLPPPTANFTELTQMFAAKNLDLKDLVVLSAGHTIGTSHCFSFTDRLYNFTGLDNAHDIDPTLELQYMARLRSKCTSLQDNTTLVEMDPGSFKTFDLGYFKNVAKRRGLFHSDGELLTNGFTRAYVQRHAGGGYKDEFFADFAASMVKMGGVEVLTGSQGEIRKKCNVVN >ORGLA05G0189200.1 pep chromosome:AGI1.1:5:19200551:19201219:1 gene:ORGLA05G0189200 transcript:ORGLA05G0189200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFLRAMPGESSDDILPKNVDWRKKGAVVEVKYQEDCGARRLRRRGRGVRRVHELFEFIVGNHGLTSHHGGELAVPRRERRVLGGEAEPERGQHRGLPERDTSSEPDLARAVAAQPVSVTVDAGNFMFQLYGSGVYTGPCTAVRRRQPRRHRGGLRRVPQHSRH >ORGLA05G0189100.1 pep chromosome:AGI1.1:5:19198643:19199200:-1 gene:ORGLA05G0189100 transcript:ORGLA05G0189100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVFFAAALMAAMVAISGAQLSESEMRFRDRQCQREVQDSPLDACRQVIDRQLTGRERFQPMFRRPGALGLRMQCCQQLQDVSRECRCAAIRRMVRSYEESMPMPLEQGWSSSSSEYYGGEGSSSEQGYYGEGSSEGYYGEQQQQPGMTRVRLTRARQYAAQLPSMCRVEPQQCSIFAAGQY >ORGLA05G0189000.1 pep chromosome:AGI1.1:5:19195094:19197352:-1 gene:ORGLA05G0189000 transcript:ORGLA05G0189000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSASRSCCCCSWVRGGCASCCSWIRGFCGGGGGATTSAQDTAASDAKKRKKRKWVVRGVFGKAAREAEEPLTLETMKKRKSAATSPELEKNKWGTKKNWKKKKGKTQPTGLASLVKEISLENSTRNRAAAGEILRIGNHNIPSRVFTFRQLADATGSFSPENLLGEGGFGRVYKGFIPDTKEVIAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVTLLGYSTECDQRILVYEYMPLGSLQDHLLDLTPNSSPLSWHTRMKIAVGAARGMEYLHEIANPPVIYRDLKASNILLDGGFNAKLSDFGLAKLGPVGDKSHVTTRVMGTYGYCAPEYAMTGKLTKMSDIYSFGVVLLEIITGRRAIDTTKPTREQILVHWAAPLFRDKKKFVKMADPLLDMKFPLKGLYQALAISSMCLQEEASSRPLISDVVTALTFLADPNYDPPDDVEPLPIKAPNLDRESSQKEAEGGDNDSDEGGEEQV >ORGLA05G0188900.1 pep chromosome:AGI1.1:5:19189731:19190473:1 gene:ORGLA05G0188900 transcript:ORGLA05G0188900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XSFPKAKKFRTKSFPLFEALGEFYDGHTAEGTYNFTSTEPLQHPIITQIESDQDDLGNTEIIFPDYEDTLAYQVQDDADATEDDNANAERLKEMPQRRVVAVPRNKEEKEPKRQKKSVGVEGLMERYLDMRTKQTEDEAAQLAREKEAHLAREKESNDFSIKRCISVLNSMDVTKAEKVKAYTVFKNAENREIFVSACDEDPESALSWLRSEMA >ORGLA05G0188800.1 pep chromosome:AGI1.1:5:19188339:19188972:1 gene:ORGLA05G0188800 transcript:ORGLA05G0188800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIACDFDLKITFMSIGWEGSATDARVLTSAVNKGFQVPPGKFYLVDGGYANTYSFLAPYRRDRYHLKEYGAGRRRPQNYKELFNHRHAVLRNHVERTLGVVKKRFPILKVATFHKIENQVKIPVAVAVFHNIIRSLNGDEQWLNNQPHNIHPSNYVDLPDGDEGNDQSTNQGNLLRDMIAHQMWNDYR >ORGLA05G0188700.1 pep chromosome:AGI1.1:5:19177966:19179926:-1 gene:ORGLA05G0188700 transcript:ORGLA05G0188700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLWHLGDEFRGQSKVVEDRQWSLMTSKLAEINKSKAERTNELDYARMNTIPDVKQWDKVSYHQDESKMDHLNLGLMNLDLKMNDIRMNDAAMKNPFRGMAYNMNQLYPKGGNGNVNSFKMNVGVNKYLHSPNGKDVNGKNSGANSNGSNSSGNNSSNSAVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAIIPGLPLFLYNYTTHQLHGVFEASSFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKPLEEDAFRPVLHHYDGPKFRLELSIAETLSLLDLCEKEGV >ORGLA05G0188600.1 pep chromosome:AGI1.1:5:19176737:19176994:1 gene:ORGLA05G0188600 transcript:ORGLA05G0188600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAAAPSDDGELGSPEREEMTGVEVRIRISKRQLQELLEMASMTAADDEKVIVGIINAGEVVDHHQQRHWQPTLQSIPEAGEP >ORGLA05G0188500.1 pep chromosome:AGI1.1:5:19166494:19166736:-1 gene:ORGLA05G0188500 transcript:ORGLA05G0188500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAALSPSLKNGEVCTVSPSVGEWSPDLLNPHVVRAMKGCIEWPRGTQKQNTHNLKSKLLEAKLDGHKVQLRQALKQKI >ORGLA05G0188400.1 pep chromosome:AGI1.1:5:19164092:19164945:1 gene:ORGLA05G0188400 transcript:ORGLA05G0188400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQLPSAFDPFAEANAEDSGAGPGAKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKDNIKIHGF >ORGLA05G0188300.1 pep chromosome:AGI1.1:5:19149857:19160769:1 gene:ORGLA05G0188300 transcript:ORGLA05G0188300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHQLFVLEIWEDITEDFPLIDLVKYQSKPSTIYTSTKTDEALLLALQRNDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISLDKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKCVTPMGKHLLRTWFLRPIIDIDVINNRLNTISFFLCCEEVMSALRGTLKSVRDIPHMLKKKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLVKDGLCEELDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVCQFIPQLTKAVNFAAELDCILSLAIVARQNNYVRPILTEDSILEIQNGRHALQEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTSEQSTFMIDLHQVGTMLRHATLRSLCLLDEFGKGTLTEDGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGLHCAQLAGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFLS >ORGLA05G0188200.1 pep chromosome:AGI1.1:5:19145742:19146329:-1 gene:ORGLA05G0188200 transcript:ORGLA05G0188200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTRRVCVLLLLVLLGLAATSNRARAARVVPAAGAAALRREEGEAPAAVHRGRRGVPRIGRGGGVGGGGVTVPVEHVARRRLQEHEHLNEEMLPSFSGGGGSRGGGVSSGGSSRGGGSSIGSGGGSGGGSGSGSRGAGGTESGRRFIPPIIPAVPGGGGGGGSGSGSGSSSGRRGVWNVGVAAASVLVVAWLV >ORGLA05G0188100.1 pep chromosome:AGI1.1:5:19127509:19128141:-1 gene:ORGLA05G0188100 transcript:ORGLA05G0188100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTRRLRVLLLVLAVVVASAVQYSGAGAHAARVPAVLREDETTTTATDGLACRHRRRASAVVVTAAAAPPLVPVHAAAGHGVPSTKSFNEGPAPSTTGGGDDGHAIFAAAAAGRVSSRLGGGGGRGGGGGRGGGGYGRAGGGAGYAAGMYTGGGTGSAKADIIIDVLLVLTLVGGIITIVWSFCFICTDQQADSGSTDQDPNPPLPKQ >ORGLA05G0188000.1 pep chromosome:AGI1.1:5:19104399:19109432:-1 gene:ORGLA05G0188000 transcript:ORGLA05G0188000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPREVAAAARGFSAMARIVGPDPKAVKMRRHAFHLHQSGSTTLSASALLLPPGSLAEPPPLLDRICAAHGHAGGVALTSASLVEPFLVEEQRNSPSQELQPRLVPEAHLDVLVEHEESRNIGGGKTGAPRWLSARLLAIVDVQASADSVLSLLQHEGSLIRSSSWDVCWSLADVNQKQVDNDARYSLESNRKNAYAESTEPPMLAKSATRIAILGVSNLNSSNTRCINVSLMQQRGDSLLIMGSPFGILSPVHFFNSISVGVVANCLPPGTARSSLLMADVHCLPGMEGAPVFDKNSCLVGMLMKPLRQRGSSTEVQLVITWDAICNAWNSDKLERIGHPPSELVDEKSSDCKYKESCVADKHRRFVPNSANNLNQYDVSPSLTEAISSVVLVTVGETSWASGIILNKNGLIMTNAHLLEPWRFGRTSSLGLQNKIASFSEHTCGGENNLLQPQQCKVSNEDAVKHELSLFNFGLKKDRAISVRLDHGERKTWCNASVVFISKGPLDVALLQMEKTPIELCAIRPEFVCPTAGSSVYVVGHGLLGPRSGLSSSLSSGVVSKIVKIPSTQHSQLSSVVEVNNMDIPVMLQTTAAVHPGASGGVLLDSLGRMVGLITSNAKHGGGSTIPHLNFSIPCKSLEMVFKYSAKGDFKILEQLDKPNEVLSSVWALAPTSSPFFSTSPENGRGGKVLEFSKFLADKQEGLKSIKDIEAFLRDRIPSKI >ORGLA05G0187900.1 pep chromosome:AGI1.1:5:19101323:19103375:1 gene:ORGLA05G0187900 transcript:ORGLA05G0187900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L11 methyltransferase-related [Source:Projected from Arabidopsis thaliana (AT5G53920) TAIR;Acc:AT5G53920] MLPLVRPGRAAPLLRRLRRRLLLLSSPQTPSPSSSPYSVSSSKVSPLLLLLSARPSPSRAGDRGAWRGVSSCGATRAVDVGDEAASSSGSPAAAGSDLSSPYLSVRIRCRKEDAEVLSESLLCFGATSVTVDDIAAAGNLDEITITSIFAHGEDVGSCVSSAASSAGLEYNPVYESSVGKQCDWVTVVQETYESTKVIDGLWVIPKWRTPPDPQAINIIINPGLAFGTGEHPTTKLCLLLLRETVKGGERFLDYGTGTGVLGIAALKMGAALSTGIDIDPQAVTSACENMMLNGIDSNKMLVYLVPTNAQSACFPSNIDKSEENRPTGNLELKSSKGSYDIVAANILLNSLLELVEDIVGYAKSGGIVAVSGILSEQVPKVEEAYSRYLENISVSEIDGWACLRGNRRA >ORGLA05G0187800.1 pep chromosome:AGI1.1:5:19095001:19099995:1 gene:ORGLA05G0187800 transcript:ORGLA05G0187800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPCLGARASSTASLLPVHLRKFHGNAKLSMTIGKWHRLAKISLWRYQLCHVCCLRLYWVPVRHVSFSALEENNECPACRTHCASRRSLRDDPNYDALILALYPDIDKYEEEELAFSEEERTRNKKIQASIAETFRRQSEALVKKRSVAKATGSTITRRTRGNMRAKRRGRTSSPDIVATDNEDEDRDENGNEGSKESSSVDDRSPDVRQKRVRRWPVPRSSPAKSIGGIDSSFEDIDDLGSGRDIMSTSPLRGEMLAWGKNGTRSQTRHGNSGGSSGRMAKGGRVTKLVEYLRNTDEFDNKFNLYLVLLPLNGQSMPKLEKPYLSCQPTFSVRHLCQFVALQLSRHAKEVEIFIRKNPSNGCFASIDTSADEIKLNHDALERLEEEKSLSELYPSLASGHGDLGSSDESLYKVIQMTIRRTRISGSDFGSVFWVFVSFESLTMQAPWTRYGALNNEQMVGCRKNREVIKSLLTGAGLTVCHAMLPLKLADWPSTLTQSRCQSNWPMSLVLEI >ORGLA05G0187700.1 pep chromosome:AGI1.1:5:19084829:19089551:-1 gene:ORGLA05G0187700 transcript:ORGLA05G0187700.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGLPAAGEAAKAGRVGVGTTKRARDPSPNSKDPNGFVGVIAAEKKPALQLHGDEKYQKKAGNDPVPPTIDDTSKTGGLHLHGGHVSQSPPDSNALSLQRFGSSSPGGDMKNKTRKRTCTFYAQGRCKNGKSCTFLHEGEVSGSDNQVYGNHGDTGEGSEIQHPSSSKERQFKNSAGSSQHEIYRTLVHAYGEDNRGLTHPVVKHSCHMLKASHGFKIGGSLTANPTNEVVQLPVVQEKNHEPYFMGHQISLGTNNCLNDMGAYSRLRLDGGKLQFEVAKGDSPRDSHLSRSYLEKNPLKPYYRYQPFDSTISLDPHQYSKKLSAYGGATENLPHKHQEEKSSSHVSHSLNSYTGFRKQGHDSSDFFLVNQSLRATSHHGTLPLHQLTPDKDASHHKGADFDKGGTSRSTLLVSSSSQPVVASAGKLSPIKDEVWITSVPFVPSFNFPDFPGSTSPSKSQYDPLVDSIDPPKVESLNNLKTSNISCSISSQHVDTNVIRGGSLEKPLTFADKLARNVSAKGSNDFGLISYDRGHSSSLDGDNRVKTCERKNDASLNNEKSDFRFHLVEHVKELVKPIWKEGNLSKEAHKLIVKKSVDKIFASLEPNQMPETEKAITTYITASAPKIEKLVKAYVDRHRTS >ORGLA05G0187600.1 pep chromosome:AGI1.1:5:19064722:19065432:-1 gene:ORGLA05G0187600 transcript:ORGLA05G0187600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTSDKTMSPAAAATGLALGVGGVAGAAAVGTGQHFRGVRKRPWGRYAAEIRDPAKKSRVWLGTFDTAEEAARAYDAAAREYRGAKAKTNFPYPNGAPAAGVNSGSSNSSTVESFGSDVQAPMKAMPIPPSLELDLFHRAAAAAAAGAGGMRFPFEGYPVSHPYYFFGQAAAAAAASGCRMLKIAPAPVTVAALAQSDSDSSSIVDLAPSPPAALAKKAIAFDLDLNCPPPMEV >ORGLA05G0187500.1 pep chromosome:AGI1.1:5:19053319:19053900:-1 gene:ORGLA05G0187500 transcript:ORGLA05G0187500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERF domain protein 12 [Source:Projected from Arabidopsis thaliana (AT1G28360) TAIR;Acc:AT1G28360] MELDMGAGGGGGVVGGGRAEAHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTYDTPVEAALAYDRAAVALRGVKARTNFGSGSSGGGGVGGHGHSHSHSHSHAQLPQLHHRMHPPRPPQGPGHFGGLDISHPSPWHYVYFPARVQAMAPAAAGHVAAHVAASLPSTTLELRTGPSAGELPFDLNEPPPALLFGS >ORGLA05G0187400.1 pep chromosome:AGI1.1:5:19042156:19051397:1 gene:ORGLA05G0187400 transcript:ORGLA05G0187400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:UniProtKB/TrEMBL;Acc:I1PWX6] MESSPPRSPAPSPGRAGRPRLFIKEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDDGNYRAVEGSDFIITRVAFRDNTSKYYINDRGSNFTEVTKLLKGKGVDLDNNRFLILQGEVEQISLMKPKSQGPHDEGFLEYLEDIIGTNQYVEKIEEASKQLEMLNEKRTASVQMLKLAEKERDNLESAKNEAETFMLKELLLLKWQEKATTLASDDATSHVAQLQENVADLEKNLASEREKIQHSSQTLKEMESVYNKHAKRQEDLENNMKSCKDQFKEFERKDVKYREDLKHLKQKIKKLEDKTEKDTSKIDESTKEVEESSSLIPQLEEEIPKLQEKFNEEEKVLEQIKENSREETERLRSKLTQVRSELEPWENQIIEHKGSLDVASAEKKLMKEKHDGARAELTAAQNQMESIKEQIKAKDTYIMELQEKIEKHHSEANEARKVEQECLKQEESLIPLEQAARQKVAEIKTTRDSEKNQGTVLKAILQAKESKEIEGIYGRLGDLGAIDAKYDVAISTACPGLDYIVVETTNSAQACVELLRRRNLGIATFMILEKQTHHLRKLQEKVKTPEGVPRLFDLVKVKDEKLKLAFFATLGNTIVASDLDQATRIAYSAASEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRESISEEAVANAENDLNKLVDQLNRLREKINDAKKGYRALEDAKSRFEMELAKAKKEVESMNAQFSYNEKRLDSLKAASHPKADEVRRMEELDDIISAEQAELNRLAKCSSKLKDQASELQQKIENAGGQVLKDQKLKVANIQSQLDKTSSDINRHKVRITTCEKLVKKLTKGIEESRKEKEKLLAEKEKMMSIFKEIEKAAFTVQEDYKKTQEMMDNHKDELDKTKVEYNKLKKAMDELRSSEVDVEYKLQDTKKLAKEWEMKVKAFRKKLDDIQTNLVKHMEQIQKDAIDHEKLKETLSDEQFNEACDMRKAVEMVALLEAQLKDLSPNLDSIAEYRTKARVYGERVDELNATTQERDDLKKQYDALRKRRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAESMKVV >ORGLA05G0187300.1 pep chromosome:AGI1.1:5:19038520:19039104:-1 gene:ORGLA05G0187300 transcript:ORGLA05G0187300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPEDAPAIPSHPSVPQEVGNQLPRRSPPPPPRLETAADEIGGVRCGVLCFHLPRRSKKKKKKKPPPPVMQLAGGTAGIKSVQLETTATDDDDASSASPPPQRVTFLASASLSTWWPASPPAAAAAGGRGASSSSFSHWRRSLSSSRRRVTPHGAAAAAASAPTSFSFPSSPASASTSCTSTPKLVHGCHVD >ORGLA05G0187200.1 pep chromosome:AGI1.1:5:19022115:19024326:-1 gene:ORGLA05G0187200 transcript:ORGLA05G0187200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKRALLVGINYPGTKAELKGCHNDVARMRRALVDRFGFDEADIRVLADADRSAPQPTGANIRRELARLVGDARPGDFLFFHYSGHGTRLPAETGQDDDTGYDECIVPSDMNLITDQDFTELVQKVPDDCLFTIVSDSCHSGGLLDKTKEQIGHSTKQNQAQQIKREERSDSGTGGFRSFLKETLKETVRDAFESRGVHIPHQSSRRNDDEDEEPHMGSSSHGGDRIKNRSLPLSTLIEMLKEKTGKDDIDVGSIRMTLFSLFGDDASPKIKKFMKVMLTKLQEGQHGGVMGLVGALAQEFMKAKLEGNQEADALEPAMKQEVHSVHEAYAGTTARVSNGVLISGCQTDQTSADATTPKGVSYGALSNAIQTILSEKSGRVTNKELVLRARELLSKQGYTQQPGLYCSDKHTSVAFIC >ORGLA05G0187100.1 pep chromosome:AGI1.1:5:19020172:19021488:-1 gene:ORGLA05G0187100 transcript:ORGLA05G0187100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRAVLVGINYAGTEGELKGCLNDVARMRRCLVDRFGFDEANIRVLADADPSTPQPTGANIRLELERLVGDARPGDTLFFHYSGHGLQLPIETGGDDDDTGYDECIVPCDMNLIKDQDFTELVQKVPDGCLFTMVSDSCHSGGLIDKTKEQIGSSTKQSKIQQRERELRRQQAPSPGTCLCASLLQIALRHLPRRGGQRIIGSRSRDGVGEDQPPRSQAELLAADATRAGIKNRLLPLSTFVEMLRERTGKDDVGVGSIRTTLFHHFGDDASPKIRRLVNAMLGRRHGSATASEEHPDKAKPERVDGEGEAAAAKQGAPETRPLPRNGVLISGCQTDETSADATTPEGVSYGALSDAIQSVLAEERRGKVTNMELVRRARELLAKQGYTQQPGLYCRDKHANVAFIC >ORGLA05G0187000.1 pep chromosome:AGI1.1:5:19019431:19019868:1 gene:ORGLA05G0187000 transcript:ORGLA05G0187000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVRVIAKWGHPAADITHLVVSTNAGTHSLGTDEWLAALLGLRATVQCTILYMHGCSASCSALRLAKDIAVNNHGVRVLVACTEVFLVAFAAPNKAYLDTLIARCRLATTPAPSLWAPTRELPLNTLSSTWCIIGWGARARVW >ORGLA05G0186900.1 pep chromosome:AGI1.1:5:19015815:19018436:-1 gene:ORGLA05G0186900 transcript:ORGLA05G0186900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:I1PWX1] MASAAAPTTSSLSLAARRAAAVGPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXATHVASRCRQAASSSARGTRAVATMAKKSVGDLTAADLEGKRVFVRADLNVPLDDNQNITDDTRVRAAIPTIQYLIKNGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGIQVTKAEDVIGPDVEKLVSELPNGSVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKEKGVSLLLPSDVIIADKFAPDANSQVVPASAIPDGWMGLDIGPDSVASFSSTLETTQTVIWNGPMGVFEFDKFAVGTEAIAKKLADLSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVIALDEAVTVAVXG >ORGLA05G0186800.1 pep chromosome:AGI1.1:5:19013717:19014583:-1 gene:ORGLA05G0186800 transcript:ORGLA05G0186800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWDDDEFSDEEEQSMGKKLWEDEDAGDDFAAVKDSWDDDDDVKPGKETAATAASTKPPATKGKKSQANAKAKAEAADATPSETSTSNAAAEIAQKQPDDDEPIEKFVPKSEKEFAEYAERIAKDLLRPYEKSYHYIGLMKAMNKLAVASLTSTSVKEIVSSMTTVANEKLKAEKAADAGKKKPGQKKKRLHVNKAEGQKFRDADDDDDDDCLSS >ORGLA05G0186700.1 pep chromosome:AGI1.1:5:19012803:19013201:1 gene:ORGLA05G0186700 transcript:ORGLA05G0186700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKNLGNGRQNHEKSTMTEDARSGDQETLQRFADSDITVSPVNSHESGDVNMEAAISAEDVLRAGGFGAKDDIGSLLPTAVDSTDFEASLRDARDFEGESEKPSHPGLGYKANETDSGGKPSDVQQQQQQQ >ORGLA05G0186600.1 pep chromosome:AGI1.1:5:19007935:19011102:1 gene:ORGLA05G0186600 transcript:ORGLA05G0186600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVISMVVAPWILACGFLLCSSSFLGAEGAIGVNYGMLGNNLPSPAQVISMYKAKNINYVRLFHPDTAVLAALRNSGIGVVLGTYNEDLARLASDPSFAASWVSSYVQPFAGAVSFRYINAGNEVIPGDPAANVLPAMRNLDAALKAAGISGIPVTTAVATSVLGVSYPPSQGAFSEAASPYMAPIVAYLASRGAPLLVNVYPYFAYAADAERVQLGYALLSASQSASVTDGGVTYTNMFDAIVDAAHAAVEKATGGQAVELVVSETGWPSGGGGVGATVENAAAYNNNLIRHVSGGAGTPRRPGKPVETYLFAMFNENQKPEGVEQHFGLFQPDMTEVYHVDFAASS >ORGLA05G0186500.1 pep chromosome:AGI1.1:5:18997416:18999981:1 gene:ORGLA05G0186500 transcript:ORGLA05G0186500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:I1PWW7] MVGSYAAGGGRGAAVAEGKLDELRRRMGKADGDLLRIVGVGGGAWGSAFCALLQDAYGRHRDKAQVRVWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMVDTPLCPLKVVTNLQEAVWDADIVINGLPSTETREVFGEIGRYWKERIRPPVIISLAKGIEASIDPVPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNIYAIGAGMVAALTNESATSKSVYFSLCTSEMIYITHLLAEDPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVHAFYELLSQSSLSVTHPEVKKLVAPVELCPILKTLYKILIKRELATDSILQAIRDESMYDPRERIEMSQRQCLYRPSLLGLPKVDITQA >ORGLA05G0186400.1 pep chromosome:AGI1.1:5:18986931:18993714:1 gene:ORGLA05G0186400 transcript:ORGLA05G0186400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:I1PWW6] MEGGRSWSIESYLNEYFDIPAKNPPGEARRRWRRAVGLIVRNRRRRFGRFSDVDAIDEAQRRKILGKVQVVINVHKAALQFIDGVKQYHLPPELIEEGFCISPDELAAIANMREDYTMLRMHGGINGISRKIKASLEDGAKETDIATRQKLYGANRHAEKPPRSFWMFVWDALHDLTLIILVVCALVSIVVGLATKGWPMGIYDGFGIILSILLVVLVTATSDYQQARKFMELDREKQKIYIRVTRDKKTKEVLVHDLVVGDILHLSIGDVVPADGLFISGDCLMIDESSLSGESEPVNISEERPFLHAGSKVVDGAAKMLVTAVGTRTEWGKIMGTLNGDGVDETPLQVKLNGVATIIGQIGLVFAVLTFLVLLARFLADKGMHVGLLNWSANDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMHDKALVRYLAACETMGSASCICTDKTGTLTTNHMIVDKVWIGDVKFVGDKKNSELKSTISERVMAILIQGIFVNTASEVVKGDDGKNTILGSATETALLEFGLSLEEHLYDDYNKLTRIKVDPFNSVKKKMSVTIQLPNGGIRTFCKGASEIILEQCNTIHNTAGNIVPLSEMQKHNVLNIINSFASEALRTLCIAFKDMDEFPNDQPISDDGYTLIAVFGIKDPVRPGVKDAVRTCMAAGIRVRMVTGDNINTAKAIAKECGILTEDGIAIEGQQLNNKSSDELKEHLPKIQVIARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALHESDIGLAMGITGTEVAKESADVIIMDDNFETIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACIIGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRRGDNFITRIMWRNILGQGLYQLLVLATLMVIGKKLLNIEGPQSDKTINTLIFNSFVFCQVFNEINCREMEKINVLQGIFRNWIFVGILTATVIFQVIIVEFLGTFANTVPLSGELWLLSVVIGSISMIISVILKCIPVEFSKTNTKPHGYELIPEGPEIL >ORGLA05G0186300.1 pep chromosome:AGI1.1:5:18978114:18978440:-1 gene:ORGLA05G0186300 transcript:ORGLA05G0186300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWIFVFSPAIGSTSFVGVAILELIRALVSSVINNLSTSLVITLQTWFLCACHQFKCRHTDSVQIWSCKINTDLDVLTSLNRLIPPFHHDNLFLMSKLLPPIRIHRR >ORGLA05G0186200.1 pep chromosome:AGI1.1:5:18972308:18972982:-1 gene:ORGLA05G0186200 transcript:ORGLA05G0186200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVPSQLLFSHPEVPDSFDDFLNNITTCTHTHTCNPPGPSATMHTHTCLHTHTQVFASGSGEDDIKEDLTKTRRPLGNREAVRKYREKKKAHAAFLEEEVKKLRAANQQLLKRLQGHAALEAEVIRLRSILLDVRGKIDMEIGTFPYQKPCSVGSVACTDPGMCFNGNSEIGGVWEECSRPVGADRMIDKDGSMSQEIDIPGPVHSISMDVVGSLVTSASLSE >ORGLA05G0186100.1 pep chromosome:AGI1.1:5:18963989:18967645:1 gene:ORGLA05G0186100 transcript:ORGLA05G0186100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT3G02860) TAIR;Acc:AT3G02860] MDQRKALFRAKLREREAKEKQERRIDPSLVRYNEFDQPICRICNVTLKSEALWPAHQVSRKHHEAKAAAAASAKAPSGAGSRGNKANHEQPAESQKPKSSTLPANFFENQGMKRHSDGAGSEGRSVRREVADVQQKTKEASKTKPSVNFEKIPKKESQANTNVKGVLPGNFFDYNDEDEDPAPTEANSAPGNPPISNRMQVKGVPDGFFDGNKNSNGMQPSEPSQSSKAVKSSETSEVKGSLPEGFFDNKDADLRARGIQPPKIDINDAYKEFEKEIQEDLQEVDDRLEEEEIDAAAEREEYLTLEQEEYRQRVDMLKKQLTESKAARTTKANSKPVGMDMLSSSDSSSDEEDDSTDFAVDWRAQHLK >ORGLA05G0186000.1 pep chromosome:AGI1.1:5:18960886:18961668:-1 gene:ORGLA05G0186000 transcript:ORGLA05G0186000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRATHWCYVCRRPVRIRGGSQDVTCPSCDDGFVQEMSEMGRRTARSTLGFVGPDAGDEFLLRRSPVMEAMSTLMRHAATVGGDEREVDVHDEHGGGDGVPAHARLGVLFRGGPRVGVERRGGYYRAGLEALFEQLQNQLGSSRQGPPPAPPSAIDAMPVVTISRRHLRAEPRCPVCQDEFQLGAEAREMPCAHLYHADCIVPWLVHHNSCPVCRHSLPPPATTASGGGASGGERQVRRGSRSFLWPFGPTSSTSHSRV >ORGLA05G0185900.1 pep chromosome:AGI1.1:5:18952628:18960562:1 gene:ORGLA05G0185900 transcript:ORGLA05G0185900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRTVLSDEEDEIEEEEEEENPRPSRRGRDNMDRDDDDDEEDEEDEGQDEYEKDGFIVDDADEEEEEEDERESDDERRKKKRKKKKKRESEGFMLDEDDYELLQDNNITGIQRPKPGNKFKRLKKAGRESEMDERSGFSDDDGSGKRRTAEERVQYSLFGDHQDASIEEDIVEEDQQADEDEDGDPEDEMAGFIVDEDEIDANGQVVKRKKGKVRPRRPAAGVSSSALQEAHDIFGDVDELLALRKQELERDAANSGELRGNRLEDEFEPFILAEKYMTPKDEQIRENDVPERIQLSEELTGYPPTDTTMIEEESVWIHSQLTGDGFLSFFNNEHVNKDIDQKDIASVLTMLHVNKFEIPFIAMYRKENCPSLLKDLDANEQTNEEHSDEEDQRKMMWHKMLWAVQTLDKKWLLLQKRKVALEMYYDKRFDDENRRIDDVTHQALNRQLYSSIIEALKDAKSEKEVEDVDAKFNLHFPPGEVEEEGQFKRPKRKSLYSICHKAGLWQVANQFGRSAEQLGHHLTLTKIPEAGELDSGKDSPEEVAANFTCAMFETPQDVLRGARHMAAVEIGCEPIVRKHIRSIFMNKAVVSTCPTAEGNLIIDPYHQLSGVKWLRDKPLNKFVDAQWLLIQKAEEEKLLKVTIKLPEDAKKELMSEARENYLSDCVSKSAQLWDEQRKMILDDAFFNFLLPSMEKEARSLLTAKAKNWLNMEYGKQLWNKVSVAPWKKKDADKKDSDIDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSISIRSQGVAEQQRKKNDQQRVLKFMTDHQPHVVCVGASNYNCRQLKDDIYEVIFKIVEDHPRDVNPQMENFSIVYGDESVPRLYENSRISSDQLPGQSGIVKRAVALGRYLQNPLAMAATLCGPGKEILSWKLHPLEQFLTPDEKHEVVEQIMVDATNQIGFDVNLAASHEWHFSTLQFVAGLGPRKASALQKELLREGSIFSRKDLVKPLGRKVFMNASGFLRVRRSGGAAASAQIIDLLEDTRIHPESYALAKTLAKDVFAEEAPHEANEMDDDEQEMAIEHVREKPRYLKSLDIREYMKSMPEEFHNKEQTLKDIKWELLCGFPDWRTPYAEPTPDEEFWMLSGETEDTISDGRIVQVTVRSIQDNRIICTFDSGLKAIVMADNYSDEGFDLETLQLHEGDVLTGKIKNVNKNRFMVYLTCKASELRRRPLSRGNHDPYNHEQDMTSQNEQDKLRKQKELAKKHFKPRMIVHPHFQNLTAEEAMQFLSDKEPGEKVIRPSSRGPSFLTLTLKIFDGVLAHKEITEGGKDHKDITSLLRLGKTLTIDNETFEDLDEVIDRYVDPLVGHLKSMLLYRKFKKGSKSEVDEMLRAEKSENPMRIVYCFGISHEHPGTFILSYIRSTNPHHEYIGLYPKGFRFRKRDFDNIDRLVSYFQKHIDKPPPDAGPSMRNVAAMVPMKSSGWGNGGGTGGGNDGWRGDGNNDRDRPFSGRSGGRFDSRNSSGGRGRGRGRGRGNFGSDDGGGGGWSGGGGGGGGGNSGGWTDNIGSGGGGWGTGGGSSWAGGGDGGSGGGDSNRGGGGGWGTPAGGSDGGGGGWGAAPGGSNDAPGWGSGKKAVPAQDGGSGWGASAGGGSGGWN >ORGLA05G0185800.1 pep chromosome:AGI1.1:5:18948905:18949408:1 gene:ORGLA05G0185800 transcript:ORGLA05G0185800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAEEEAVPVAEARAQAEKRAAAEDGEGAEAEEEGNEMPEPKRRRACVAALEGVRRAAADAAEENGDGGGGGAGGPAADGGSSFSFHARSFSGVETTPKFGSFNPADDLLVAFQLKPPPPPMDAPAKEEPPAAAAGDDDEEEEHEATAEEGNDGISQQLGVVDQ >ORGLA05G0185700.1 pep chromosome:AGI1.1:5:18942515:18943438:-1 gene:ORGLA05G0185700 transcript:ORGLA05G0185700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRRLGSGNAGGGAAVAGEWDGGGIAGRMRGVNAGIMDEKVLELVFRALNWDPRELCVVARVSRRLRAVAERVLWRELCVSRAPRMVSALSGPTAEVAAAAGRIGGGWPAMAKLLFFCCGAAGAAVPGHFAPVSRFSKTSGRSFLSRRCAGDLLFVSDPCEHAAGAASDDDVVGAYRGVYRGFMRSRTRAFLVGHRAPLEPRVRCPYCGARVWSMTAAGLAPRSACRRLGANEGRLEYFVCVSGHLHGSCWLARLSSSSSSSDGERSADSDSNHSDDETFAAADVSLPLPPAGRVPARRLRGRPAM >ORGLA05G0185600.1 pep chromosome:AGI1.1:5:18938314:18939378:1 gene:ORGLA05G0185600 transcript:ORGLA05G0185600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAGGMSESVLRKVLLSYCYVGVWIFLSFAVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRLLRVVEPPSSPAMTPQLYTSSVVPIGALYAMSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSSSMLNMLSISFGVAIAAYGEARFDVRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLGFLLVPWVFVELPRLRAVGTFRPDFFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVAYYNHVKLQALKAKEAQKKISQADEEAGSLLQERDGHSDRKSDNQA >ORGLA05G0185500.1 pep chromosome:AGI1.1:5:18931882:18932313:1 gene:ORGLA05G0185500 transcript:ORGLA05G0185500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANHSGVEEEQDGGKTFWSVAVHGDSSNDINGRPIPSFTNVGWPPASCSSIDPSSSSLLDLAFISCGGGIMEYTWVGVVRPSPLCARTPWPSSHFLTTVAALATGTPMPPCRELGGEALQAGSHDSGEDSSIASDRRALRRQ >ORGLA05G0185400.1 pep chromosome:AGI1.1:5:18930331:18931227:-1 gene:ORGLA05G0185400 transcript:ORGLA05G0185400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:I1PWV6] MRASSLFAESVFTTPAAAGRRRCPRLAAVPVPLFFSTGRGSPAMAEEAQQPRGVKVGGIHDAPAGRENDLTTVELARFAVAEHNSKANAMLELERVVKVRQQVVGGFMHYLTVEVKEPGGANKLYEAKVWERAWENFKQLQDFKPLDDATA >ORGLA05G0185300.1 pep chromosome:AGI1.1:5:18925572:18929550:1 gene:ORGLA05G0185300 transcript:ORGLA05G0185300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAPRIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCNRTYDITVQRGVKTVSSSHLKQCIHSYNVYDFLRDVVSKVPDMGTSDAGVDDKLGKRRKTAEDDSEEESKRTRNEAASQTSTGRGRGRGRGRGRRGGRVSEREIIFSYEKFENHEFPPGQFIKPSQLKVDVSVDGTDAIETKEATPLSNARASLRNIDLNIELTDYDDEGSAPLEVQPPAPAAGVVTISSGPLVSEVNEEAKTKDFLGWQLPELTKMAMDPVQFALSSNHRLEEDEDYDNEE >ORGLA05G0185200.1 pep chromosome:AGI1.1:5:18920641:18924340:1 gene:ORGLA05G0185200 transcript:ORGLA05G0185200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASLLPFALALVAIPISLALLDRLRLGRIPPGPRPWPMVGNLWQIKPVRCRGFLEWAERYGPIVSVWFGSSLNVVVSTSELAKEVLKENDQLLADRPRNRSTQRFSRNGMDLIWADYGPHYIKVRKLCNLELFTPKRLEALRPIREDEVTAMVESVHRAVTQPGSEHKPIVVRNHLAMVAFNNITRLAFGKRFMNANGDIDEQGREFKTIVNNGIKIGASLSVAEYIWYLRWLCPLNEELYKTHNERRDRLTKKIIDEHAKALKESGAKQHFVDALFTLREQYDLSDDTVIGLLWDMITAGMDTTVISVEWAMAELVRNPRVQKKLQEELDRVVGRDRVMSETDFQSLPYLNAVVKESLRLHPPTPLMLPHKASTNVKIGGYNIPKGANVMVNVWAIARDPKVWSNPLEYRPERFIEENIDIKGSDFRVLPFGAGRRVCPGAQLGINLVASMIGHLLHQFEWSLPEGTRPEDVNMMESNGVVTFMSTSLQVIAKPRLDNPDLYKRFPVDM >ORGLA05G0185100.1 pep chromosome:AGI1.1:5:18903735:18905272:1 gene:ORGLA05G0185100 transcript:ORGLA05G0185100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1PWV3] MASCCGGFMEKAKPYFAMICLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALILERKVRPKMTWSIFFQIFILALLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKLELKKVRCQAKIAGTLVTVAGAMLMTLYKGPLMEMAWSRHAGAGAAEAPPPPPPPSPAGTGSSARCSSSSRRSRGRPSSSSRRTPSSSTRRSCRSPPSSASSARSRPSSSPSPWSGAAPPSGPSASTXTSSPPPTPAS >ORGLA05G0185000.1 pep chromosome:AGI1.1:5:18893187:18894667:-1 gene:ORGLA05G0185000 transcript:ORGLA05G0185000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PWV2] MGSSAEPPPPCHVVAVPYPGRGHVNAMLNLCRILAARDGVTATVVVTEEWLGLLGGAAAAAAEGGVRLEAIPNVVPSEHGRAGDMLGFVRAVYTRMEAPFERLLDRLALGAAPPPPAAIVADTFVLPWAVGVGNRRGLPVCVLSPLSATMFSVHYHFDRLPTATDIADGDEVGNYIPGLKSIRFSDLEPTHTNKNMVDLILEAYSHARKAQCVIFTSFYELESNAMDALRRDLPYPAFSAGPCIPYMALQADEHHAGDEEEEPYMAWLDAQPVGSVLYVSLGSFLSVSRPQLDEIAAGLADSKVTFLWVLRGDSGARDILRGGGGMVVPWTDQLKVLCHPSVGGFFTHSGMNSTLEAVHAGVPMLTLPIAFDQPIVARLVADEWRIGYGLRENGDGGGCGGVVGREEIAAAVRRLMVMDSDAAAAEEAKEMRRRASLMREASRAAVQEGGSSYRDVTSLINYISEFKN >ORGLA05G0184900.1 pep chromosome:AGI1.1:5:18890404:18892473:1 gene:ORGLA05G0184900 transcript:ORGLA05G0184900.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQPLLLIFFSGEWAGAAVAAGKQKAAATAAAGRPGARNRQALGDIGNVLNAHVVDGKIQLPEGINRPITRSFGAQLLKKAQENAVAANKIVVQNPARKEPAPKPAKKVVPRPENAAKASTGAGVNENKKPSESEGAGSSSGGSALKYSRKKVVNTLTSVLTARSKHACGITEKPKEVVEDIDKLDGDNQLAVVEYIEDIYNFYRTAQLERRPTDYMSSQVEVNPKMRAILADWIIDVHYKFELMPETLYLTMYVIDRYLSLQPVLRRELQLVGVAAMLIASKYEEMWAPEVQDLIHVCDNAYSRQQILAMEKNILNRLQWNITVPTPYVFLLRFIKAAGGDKELENMVFFFSEMALKEYGMASLCPSLVAASAVYAAQCTLKRSPLWTSTLKHHTGFTESQLRECAKVLVNAHAAAPESKLKTAYRKYASEQLGRVSLRPPAVCLA >ORGLA05G0184800.1 pep chromosome:AGI1.1:5:18886285:18886818:1 gene:ORGLA05G0184800 transcript:ORGLA05G0184800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAGGRPLSGRARQVGRSREEELLGLLSDFSGDSGEFGRELSFSDLVVVEDTANNPSPRGGDGGGDREAPTAAAAENGQGRPSSSSEQEEAAEAASRRQQQQQAAAAARERRLRRRRSDSRGSCGGSGDGVLLNFYVPGLLTRSMTTPRPAARGTLPGAAAAAAAPATAAAGKAR >ORGLA05G0184700.1 pep chromosome:AGI1.1:5:18879773:18883554:1 gene:ORGLA05G0184700 transcript:ORGLA05G0184700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPLPPCRPRVKPERALLCFSLLLLLTATTANASITDCPTTSSTNSSHVDDGAFGANLRALLSSLSGAAAASSSGFAENATGAAPDTAYGLAQCRGDIVGGGNGTSCRSCLDDSVRDAAKACPGEKSAVIISDYCLVRYSNASFAGAADERTVKLWWNTDNATQPERFKSLLGTLMGNLTDAAARASSPLMFAAGETDLPPFTKIYGMAQCTRDLAAGDCYRCLVGAVNNIPKCCDGKQGGQVITRSCSIRFEVYPFFDAQAAKAAMSPAPAPAPVTTPTGVNGSNHTVSKSVIVPVTVGVAVLLVVILLLIALCLCKRNRKPHKHMMIGSVDLGDEDEMRGSESLLYDLSTLRAATANFSEENKLGEGGFGPVYKGTLQNGQEIAVKRLSATSHQGQLEMKNEVVLVAKLQHKNLVRLLGCCIEEREKILVYEFLCNKSLDTILFDTSRQQDLNWEQRFKIIEGIGRGLLYLHEDSRLKIIHRDLKASNILLDVDMNPKISDFGLAKLFNMEASVANTSRIAGTYGYMAPEYALHGIFSAKSDVFSYGVLLLEIVTGRRNTCLHDSEDLLAFVWRHWSRGGAGELLDGCPAAGRRPQELLRCIHVGLLCVQEDPQLRPGMAAVVVMLNSRSVTLPAPSAPAFVSASRGIGGSPPPPAAAGDAPARSTDHEVPTAAR >ORGLA05G0184600.1 pep chromosome:AGI1.1:5:18876433:18877720:-1 gene:ORGLA05G0184600 transcript:ORGLA05G0184600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRALGSLLTRRRFSPRVQAQAEAESSRGIFTQATAGRSARSLRALVKSPSVSPGSQIENFISCVHSQLMCSHLCMIQRDLAVGDGGAYGVVATIALAGLATILYFNESTDKSGEDVCDRGAVKEPYPYLSKEAAIKAGFVDKDGTVQWPYDEEASAKEVSDWEEAVKQQDVKVDEATMKARFQDWMKEHNRSYSTEEEKARRYEIFKETAIRADKANAVRPMDVPFAPNGFADWTDEECNSLYSHPGSFDWERYIDHMNTMNANGGYIGNQDVIVSEAV >ORGLA05G0184500.1 pep chromosome:AGI1.1:5:18868741:18872041:1 gene:ORGLA05G0184500 transcript:ORGLA05G0184500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALFEKAVAAASSELKFPQNIAVELQNLSSSLSTIQAHVEDAEERQLKDQAARSWLSRLKDVAYEMDDLLDEHAAEVLRSKLAGPSNYHHLKVRICFCCIWLKNGLFNRDLVKQIMRIEGKIDRLIKDRHIVDPIMRFNREEIRERPKTSSLIDDSSVYGREEDKEVIVNMLLTTNNSNHVNLSILPIVGMGGVGKTTLTQLAYNDVRVKKHFQLRMWLCVSENFDEAKLTKETIESVASGLSSATTNMNLLQEDLSNKLKGKRFLLVLDDVWNEDPDRWDRYRRALVAGAKGSKIMVTTRNENVGKLVGGLTPYYLKQLSFNDCWHLFRSYAFADGDSSAHPNLEMIGKEIVHKLKGLPLAARALGSLLCAKDNEDDWKNILESEIWELPSDKNNILPALRLSYNHLPPILKRCFAFCSVFHKDYVFEKDILVQIWMAVGYIQPQGRRRMEEIGNNYFDELLSRSFFQKHKDGYVMHDAMHDLAQSVSIDECMRLDNLPNNSTTERNARHLSFSCDNKSQTTFEAFRGFNRARSLLLLNGYKSKTSSIPSDLFLNLRYLHVLDLNRQEITELPESVGKLKMLRYLNLSGTGVRKLPSSIARTELITGIARIGKLTCLQKLEEFVVRKDKGYKVSELKAMNKIGGHICIKNLESVSSAEEADEALLKLGQLPLLKVIIIGGFPTIIKIGDEFSGSSEVKGFPSLKELVFEDMPNLERWTSTQDGEFLPFLRELQVLDCPKVTELPLLPSTLVELKISEAGFSVLPQVHAPRFLPSLTRLQIHKCPNLTSLQQGLLSQQLSALQQLTITNCPELIHPPTEGLRTLTALQSLHIYDCPRLATAEHRGLLPRMIEDLRITSCSNIINPLLDELNELFALKNLVIADCVSLNTFPEKLPATLKKLEIFNCSNLASLPACLQEASCLKTMAILNCVSIKCLPAHGLPLSLEELYIKECPFLAERCRENSGEDWPKISHIAIIEIDDDSAMPDRSIRRRLC >ORGLA05G0184400.1 pep chromosome:AGI1.1:5:18862231:18862581:1 gene:ORGLA05G0184400 transcript:ORGLA05G0184400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEAVLLHPANVVACTALGVVAALLGVLLPCPRLATRDATDKRLAYLEVAAERVMLLAHAFQLHFSSDDAGDDERASYCRCRRRRRQCVAACMMSQADRAASAGRRRPPPPHKLRP >ORGLA05G0184300.1 pep chromosome:AGI1.1:5:18855963:18859337:1 gene:ORGLA05G0184300 transcript:ORGLA05G0184300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGEAVLSAFMQALFDKVIAAAIGELKFPQDIAEELQKLSSSLSTIQAHVEDAEARQLKDRAARSWLAKLKDVAYEMDDLLDEYAAETLQSELEGSSRSRHLSKVRSSFCCLWLNNCFSNHKIVQQIRKIEEKIDRLVKERQLIGPDMSSTMDREEIKERPKTSSLIDGSSVFGREEDKENIVKMLLTPNNSNHANVSVLPIVGMGGLGKTTLTQLVYNDPRVKEYFQLRVWLCVSENFDEMKLTKETIESVASGFSSVTTNMNLLQEDLSKKLEGKRFLLVLDDVWNEDPEKWDRYRCALVSGSNGSRIVVTTRNKNVGKLMGGMTPYFLKQLSENDCWNLFRSYAFADGDSSLHPHLEIIGKEIVKKLKGLPLAAKAIGSLLCTKDTEDDWKNVLRSEIWELPSDKNNILPALRLSYNHLPAILKRCFAFCSVFHKDYVFEKETLVQIWMALGFIQSPGRRTIEELGSSYFDELLSRSFFQHHKGGYVMHDAMHDLAQSVSMDECLRLDDPPNSSSTSRSSRYLSFSCHNRSRTSFEDFLGFKRARTLLLLNGYKSRTSPIPSDLFLMLRYLHVLELNRRDITELPDSIGNLKMLRYLNLSGTGITVLPSSIGRLFNLQTLKLKNCHVLECIPESITNLVNLRWLEARIDLITGIARIGNLTCLQQLEEFVVHNDKGYKISELKTMMSIGGRICIKNLEAVDSAEEAGEALLSKKTRIRILDLVWSDRRHLTSEEANQEKEILEQLQPHCELRELTVKGFVGFYFPKWLSRLCHLQTIHLSDCTNCSILPALGELPLLKFLDIGGFPAIIQINQEFSGSDEVKGFPSLKELVIXDMVNLQRWVSFQDGELLPSLTELEVIDCPQVTEFPPLPPTLVKLIISETGFTILPEVHVPNCQFSSSLACLQIHQCPNLISLQNGLLSQKLFSLQQLTITKCTELTHLPAEGFRSLTALKSLHIYDCEMLAPSEQHSLLPPMLEDLRITSCSNLINPLLQELNELSSLIHLTITNCANFYSFPVKLPVTLQTLEIFQCSDMSYLPADLNEVSCLTVMTILKCPLITCLSEHGLPESLKELYIKECPLITERCQEIGGEDWPKIAHVPVIEIDDDYFIPNRSIRRRLS >ORGLA05G0184200.1 pep chromosome:AGI1.1:5:18851803:18853612:1 gene:ORGLA05G0184200 transcript:ORGLA05G0184200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYASPGTDASTDPDIDKNIRMTLRRLAQNREAARKSRLRKKAYVQQLEDSRMKLTQLEQELQRARQQGIIISTSGDQQRSTSENEALAFNMEYMRWLEEHNKQINELRSAVHTHAGDDDLQSIVSSVMAHHEEIFRIKGLAAKADVLHVLSATWRTPLERCFLWLGGFRPSDLLKLLADQLEPLTEQQLASIYNQQQSSQQAEETLSQGMEIIQDSLAKTVASQLGRAGSSSPSNAADHTAAALGKIGDMESLLQQADDLRMQSLQKMQRVLTTRQFARALLLVSDYFSRLRALNSLWIARPQQ >ORGLA05G0184100.1 pep chromosome:AGI1.1:5:18847728:18850031:-1 gene:ORGLA05G0184100 transcript:ORGLA05G0184100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCPPGSSSEPDPPPASSGSSRPAGSAGAAASPATISPSAAPAPAKPPAPIGPVLGRPMEDVKSIYTVGKELGRGQFGVTSLCTHKATGQRFACKTISKRKLSTKEDVEDVRREVQIMYHLAGQPGVVELKGAYEDKHAVHLVMELCAGGELFDRIIAKGHYTEHAASSLLRTIVEIIHTCHSMGVIHRDLKPENFLLLSKDEHAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRSYGPEADIWSIGVMLYILLCGVPPFWAESEHGIFNSILRGHVDFSSEPWSRISHGAKDLVRRMLHSDPKQRISAYDVLNHPWIKEDGEAPDTPLDNAVLGRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRKGLAKKGTKLTEAEVQQLMEAADADGNGTIDYEEFITATMHMNRMDREEHLYTAFQYFDKDNSGYITIEELEQALREKGLMDGREIKDIISEVDADNDGRINYTEFVAMMRKGDPEANPKKRRDVVL >ORGLA05G0184000.1 pep chromosome:AGI1.1:5:18843156:18843722:-1 gene:ORGLA05G0184000 transcript:ORGLA05G0184000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQCGAKGGGGGNARRSATGGGAGRMHRKGKHQGDGGGGGGKRREIKVVYIANPMRVTTSEEGFRALVQELTGRHADPSKYRGGGGGAPVDETSGGGGGGGGEMMQGAAAMMQPSPGSTVDSSSTDHGGAGAGGQGAGLQAAALDDDENSFIAPELIDNRYSVCFSPPTFLYGGGSHTYDGDDYGL >ORGLA05G0183900.1 pep chromosome:AGI1.1:5:18824212:18826167:-1 gene:ORGLA05G0183900 transcript:ORGLA05G0183900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYHAKKFSVPFGPQSTQSNEHMSNIGAFGGSNMGSPANPAGSGKQRLRWTSDLHNRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSLSNTDSAPGMQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQMIIEEQQKLSGSLKACEEQKLPHSPPSLDDYPDSMQPSPKKPKMDNLSPDSVRDVTQSDFESHLIGPWDQEAAFRVDEFKADPGLNKS >ORGLA05G0183800.1 pep chromosome:AGI1.1:5:18819327:18821231:-1 gene:ORGLA05G0183800 transcript:ORGLA05G0183800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAGNLAPATAILVVVVAEVLAAAAASQDGDALTEFRKGMSDPDGALASWDPDLVNPCTWFRVTCNADNRVIRLDLEEMNLSGHLSADLARLDQLQFMEIASNNIEGPIPPEFGNLENLISLDLCNNTISGPMPPSLGKLKSLKFMRIDHNLLTGPIPNELAGLSNLMILNVSNNDLCGTIPTSGPFDHFPPSSFANNPRLRYPGMDDDDTGR >ORGLA05G0183700.1 pep chromosome:AGI1.1:5:18806751:18809425:-1 gene:ORGLA05G0183700 transcript:ORGLA05G0183700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGKEGEENGHVAAGAAAGVAGSAGAAARAPPPLMPPDAVMRELPPPVPYVFTPQVPVAPLHIPTEFSPVFNNSWINESDESTNNHPQEKGIPTLISWSQGGNEVFVEGSWDNWTSRRVLEKSGKDHTILLVLPSGVYHYRIIVDGEPKYVPELPHVADEGGQVANLLDVHDYIPESLDSVAGFDAPPSPEHSYDLQLPGDEEFAKEPPILPPQLVMSVLGDTDNSEEQTLKPKHVVLNHLYIEKGWGSQSLLALGVTHRFQSKYVSFVLYKPLRRSSTAKRTKNGG >ORGLA05G0183600.1 pep chromosome:AGI1.1:5:18801717:18803608:-1 gene:ORGLA05G0183600 transcript:ORGLA05G0183600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMAKKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >ORGLA05G0183500.1 pep chromosome:AGI1.1:5:18799254:18799788:-1 gene:ORGLA05G0183500 transcript:ORGLA05G0183500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLTQEQVDECREIFDLFDSDEDGRIAAGELVTALRSLGQNVDEAEARRFLADATASGGGGGGGGDIDFAAFLSVAARKMGRGATEKELAACLDVFDDARSGVIPAEQLRQAMVSHGDRLTEEEADEMVRKADPAGEGRVEYKEFVKVLMNNK >ORGLA05G0183400.1 pep chromosome:AGI1.1:5:18796592:18797281:-1 gene:ORGLA05G0183400 transcript:ORGLA05G0183400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSACSSRCLRLILGRPPRARLASVVEVVEKRRRGGLVAVAATEGSAKSSGEADEQVPSWARPGSDEPPPWAREGGGGGGQQEPGAVELPFFAYLLASAITAIAAIGSIFEYANQRPVFGVVSPDSALYAPLLGFFVFTGIPTSGFLWFKAVQTANKEAEEQDRRDGFS >ORGLA05G0183300.1 pep chromosome:AGI1.1:5:18791583:18794581:-1 gene:ORGLA05G0183300 transcript:ORGLA05G0183300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:I1PWT5] MSSIGTGYDLSVTTFSPDGRVFQVEYAGKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMILKGSNRRLHSVHRHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPMPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGLSYKYFGAALGKGRQAAKTEIEKLNLSELTCREGIVEVAKIIYGVHDEAKDKDFELELSWVCDESKRQHEKVPDDLVEQAKAAAQAALEEMDAD >ORGLA05G0183200.1 pep chromosome:AGI1.1:5:18785587:18787605:-1 gene:ORGLA05G0183200 transcript:ORGLA05G0183200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASNFIPGPYQELVDAKPIRSLAPMFPAPLGINVNQSSTPPLVCVTPVGQFPVGFGSGILPTFGSTTAFTTTANGVSYTGYTNNGAIDATPISAYKTRPGIVSLDGDEPYSGSASGRKSKRSSGSAADGSNGVKFKRPKPVYKNFVAGKELAFLPPSSSDPREVVEAVHMTFEALRRRHLQLDEIQETSKRADLKAGAIMMASNIRANVGKRVGLVPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMSAKFGSDEDSVAICIVAAGGYENVDDDTDTLVYSGSGGNSRNSEERHDQKLERGNLALERSLHRKNEIRVVRGFRDPFCLTGKIYIYDGLYKIQESWKERTKSGINCFKYKLLREPGQPDGAALWKMTQGWIDNPASRGRVILPDLSSAAEALPVCLVNEVDHEKGPGHFTYASQVKYLRPLSSMKPLQGCGCQSVCLPGDPNCACGQHNGGDLPYSSSGLLACRKPIIYECGDACHCTTNCRNRVTQKGVRFHFEVFRTANRGWGLRCWDPIRAGAFICEYTGEVIDELKVNLDDSEDDYIFQTVCPGEKTLKFNFGPELIGEESTYVSADEFEPLPIKISAKKMGNVSRFMNHSCSPNVFWQPVQHDHGDDSHPHIMFFALKHIPPMTELTFDYGVAGSESSGSRRTKNCFCGSSNCRGVF >ORGLA05G0183100.1 pep chromosome:AGI1.1:5:18779595:18782031:-1 gene:ORGLA05G0183100 transcript:ORGLA05G0183100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESDSDPDSDRTHWYMSNSDDESFVDKDKDCRRSTVAPLLKKGPWTSWEDSILEKYIKKHGERNWKLVQKNTGLLRCGKSCRLRWMNHLRPNLKKGAFSKEEENKIINLHCKMGNKWSRMAADXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDYDSIEDQRNQIEVAAKYEIPLPVLKTTNNDIFPSASIFANHGISNGNLSALSTTNALQMELPLIQFDPNNQFVYSRAYATHLTNFALLNDQSEELLNDTDVLNYVVMKEELSGGSLSPTINMPCEAHNSMAASNELVVPRYEGDAPPLQDDFTSYFYLNDTNLSIFEDTNELFLENKLDTKG >ORGLA05G0183000.1 pep chromosome:AGI1.1:5:18776063:18777853:-1 gene:ORGLA05G0183000 transcript:ORGLA05G0183000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQSMIGQVAALYPVKVFHDLPHGRNLNSNTNGAHRSLSEENGTLPEENGTHLLNVIKLPQIHALTFLGWQIGKQRRKQNDICEKDLQRSAAVLGYAAHAILLIASYLHLPLRYPLHFGGSRSYVSDCLPSAETASIASAERTCINMTDPKLTVYPLFVECQEDDPTKASYAIYLLHKDTEQLLNYIGAESSGRRVFDNLQELIRIIQSDEYVYS >ORGLA05G0182900.1 pep chromosome:AGI1.1:5:18772654:18774195:-1 gene:ORGLA05G0182900 transcript:ORGLA05G0182900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEADHGTPCIRTILRCSIRMSYRYASENWVLLFPVLLLYLLFRSSPGFFAFLLSHSPVIICAALILGVLISHGSTNVAEIKEERKSVAEVSDPKYADLSRNIHLEANKGFSAKENTASLNDGEIKDGLNSSREDAIEVVEMVGKISHDRGSTDSQSDEMKVDSEDKPAGTCKWGRAFSVRRRKKLSDIKVEPINAAVDSPLDSSLDSPFGRVGCHDGSPGFDHDQAEGTTPGTPRTRIASVLDEIDPLSSADSPHPDPIQNDDSDNHMSLQDSRTVSDNNYESDKSKANKNDDKIVSTDPAFLGTVDDDKNVMDLGYSEVERHRRLEILMVKRRSRKNIVFDPDSNLDIDNDKVCKRNLSDILSCSDETEFPGSAPSVLHTRRNPFDHPFEQSDESDLHEHVAIPHQDMFFTRHESFSIGSQGRRPSRFKPPFIIEAMDIDEPTSSDFQRQFSDKSASTLSTVTESDIISSVADQEDISNSIKNDSSREYESPELPTIPTMGSDIICVGGT >ORGLA05G0182800.1 pep chromosome:AGI1.1:5:18757678:18758733:-1 gene:ORGLA05G0182800 transcript:ORGLA05G0182800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIHADPVLEADQFDRLPDSLVLVILNNVEDVRSLGRCSAVSKRFYGLVPLVHDVYVKIDRVVTVDGEAEDALNLSSPKPRNILSHFLKMMLFTIIKPFHSMRGPNGAGRPLFPQLAQHSPAQVLRNFTHIRNLRVELPSGDVGTEEGVLLKWRAEYGSTLQNCVILGGTQVDRKPVGAEHELYSEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKDHPTLTSLVLTDADGQGTLSMGAEQLKEFRENQLSASACSNRTQVPACNMKLKYAPYLELPGGIALQGATLVAIKPSPEGSNGGHTSRKETDAFVSGAFDGPFKFAVKALMKRRTYLLEMNGF >ORGLA05G0182700.1 pep chromosome:AGI1.1:5:18749145:18754313:1 gene:ORGLA05G0182700 transcript:ORGLA05G0182700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLQKLKGLDAYPKVNEDFYKRTLSGGVVTVVASVVMLLLFVSETRSYFYSATETKLVVDTSRGERLRVNFDVTFPSVPCTLLSVDTMDISGEQHHDIRHDIEKRRLDAHGNVIEARKEGIGGAKIESPLQKHGGRLSKGEEYCGTCYGAEESDEQCCNSCEEVREAYKKKGWALTNPDLIDQCTREDFVERVKTQQGEGCNVHGFLDVSKVAGNFHFAPGKGFYESNINVPELSALEHGFNITHKINKLSFGTEFPGVVNPLDGAQWTQPASDGTYQYFIKVVPTIYTDLRGRKIHSNQFSVTEHFRDGNIRPKPQPGVFFFYDFSPIKVIFTEENSSLLHYLTNLCAIVGGVFTVSGIIDSFIYHGQKALKKKMELGKYR >ORGLA05G0182600.1 pep chromosome:AGI1.1:5:18745939:18747453:1 gene:ORGLA05G0182600 transcript:ORGLA05G0182600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKKAKKSTDNINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLIILANNCPPLRKSEIEYYAMLGKVSVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIINTTPASQ >ORGLA05G0182500.1 pep chromosome:AGI1.1:5:18743648:18745618:-1 gene:ORGLA05G0182500 transcript:ORGLA05G0182500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KRR1 family protein [Source:Projected from Arabidopsis thaliana (AT3G24080) TAIR;Acc:AT3G24080] MKQRREEEKKKKDILAGSDDESDGGGGEEDLSKIQINEEYARRFEHNKRREALQRLEERKKKGLVPPEEEEDDDDDDESSSDDDDAAIASRRVDRQMFEVIRRIRRGDAAILDAKAKMYSSSSESEPGDEEGEKPKKTKKERPLYLKDVNARHLLEEGPEFAAQASHGGHSSSKYDKIAYDEQQRKGLEAFLAAEKAALGDGDGGEDDDDLFQVKPKGGDGGDKEDDDEEKKETEQILIDIFGKDEELDENSKFLKKFFLERPYLETGSEKKYSPDDIQEVSDEEEHLIEQEDYETRYNFRHEEAAATGADVMDRVMGHSRFVEGSVRKKESSRKQQRKNKEERIARAKQEQAEELKHLKNLKKKEIAEKLERIRMIAGIDSDAACKLGADDLEEDFDPEEYDRKMKETFNDNYYEADDVDPEFGSGEEIDLEKLDFDKEDELLGLPKDWALDGQDGSSAAAEGASQKKKGGKDIANGEGTNQKMKGKISLKDKVELEKEMEEYYKLDYEDTIGDLKTRFKYKQVKPNSFGLSTYEILASDDKDLNQYVSMKKIAPYREAEWKVTHHKKLIKDSILGGQDKEGKKVKKKKSKSEEGPASSEPEKELPNEQEEQEDADGKAKSTRSERRKRRRRELKMTTERQAAYGKINPKRHKSH >ORGLA05G0182400.1 pep chromosome:AGI1.1:5:18740195:18742641:-1 gene:ORGLA05G0182400 transcript:ORGLA05G0182400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTESRKLSDDYEVVDVLGRGGFSIVRRGVSKSEEKTQVAIKTLRRLGPAMAGMKQGTKPVPGSGLPMWKQVSISDALLTNEILVMRRIVESVAPHPNVINLHDVYEDVHGVHLVLELCSGGELFDRIVGRDRYSEFDAACVIRQIASGLEALHKASIVHRDLKPENCLFSDKDEKSTLKIMDFGLSSVEDFSDPIVALFGSIDYVSPEALSRQEVSAASDMWSVGVILYILLSGCPPFHAATNREKQQRILQGEFSFQDHTWKTISSSAKDLISRLLSVQPYKRPTASDLLRHPWVIGDCAKQDLMDAEVVSKLQKFNARRKLRAAAIASVLSCKVALRTKRLRNLLGTHDLTSEELDNLRLHFGRICFKGESSHFIRILGVEDMCYDEL >ORGLA05G0182300.1 pep chromosome:AGI1.1:5:18734208:18735806:-1 gene:ORGLA05G0182300 transcript:ORGLA05G0182300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAIASRINWTEYQLASIEAAVIGNIIRDGKVIEIAPNSKGGPLQDVLRSLLEKNELMINKLCDEDAPLESTASRPLSGFFFNTLCKEPGYLAFDTIRCGKLLYPESRFAALPEPVSVLASTRGLVCVCGKTTGLYYVTNTTTFKWVQPPRHRCDHGEPAVVITFEEPLTSCFDGAVEHYHVVAALHLKGSVWTSESYSSRTGRWTIAKDAPPAVEVKAESGVGTLGCAFWRTSLGSILCYDPGKDLLKVIPAPRVVNQDTVWELGEMEGDLTVTSFKDVDVLLLLITSRLRQVMLDPPWQNLGVQCVLFCQSSSLNVCRF >ORGLA05G0182200.1 pep chromosome:AGI1.1:5:18730707:18731468:1 gene:ORGLA05G0182200 transcript:ORGLA05G0182200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVTAVARQAAATSRATRLAAVARHDAAAASRSAGLAAAAASRAARLKPRPPLDDAARLSFRYSSEPPDDGKCVTKEDLESDEAVWALFERYCKSYNRKYDHAQMVRRFRIFKFNAKRTYCWNQYLHKDVKELARAQKDRDLGLPVDSWYLQKELGEYDDGGEPLTENWRKF >ORGLA05G0182100.1 pep chromosome:AGI1.1:5:18712853:18715356:-1 gene:ORGLA05G0182100 transcript:ORGLA05G0182100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITPIAELRPGRYDYMICVRISRIWEFRGTNEDDNIKHLDLVLLDQKGDAIYAEIPPDAIPLLNQYLQEGNIVYISKITVNNAKPSYRAVGNPYMIKLNKRTCIIEAKDQPMDFPKYTFDLVSFDKLHDFTSKTDRFLDVIGKIIAVSNAAMISTSSSDYRMRRIVKLQDLSGKTIDLSLSGKRAVEFDGETILEVGQNNHIIAIFVGTSMKILKGTYEFLSGTTACRWYINENDIPEIKMFQKCLPPHADPIQKLYLQSDEDMQRSIEHKTLAELKEIDPFVDKDEKYQCTATIIGIQERKTWCYQACKLCNCKMIWDGSILKCKKENCPCRQYEYKYKIPFIANDGTASLELVLFEKKGTELIGRTAETMKRQYDINQTPPEIKAWIGHKFTFIVKVLPNITINADEPSFEVLTIKKRFGRQHSSQGFKATKNLPISSSSSQQLHNLPPLVPILSKKIQHEIEPPQDIQSMEVEPYNLETSTLSGKRAYEDPNSTDQENDEEESTDYGFIQTKGKKKRST >ORGLA05G0182000.1 pep chromosome:AGI1.1:5:18707203:18707830:1 gene:ORGLA05G0182000 transcript:ORGLA05G0182000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAMERRPRHLVAVLALSCLLLLLPLLVSSVPMSRSLHLSSQQQQHPPSLNLSPDEMAAAAAARGLGRRPAARMDVEVNDYPGSGPNNRHDPPKGPGRA >ORGLA05G0181900.1 pep chromosome:AGI1.1:5:18697031:18700190:1 gene:ORGLA05G0181900 transcript:ORGLA05G0181900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRPRDLAAVLAMSCLLLLPLLVSSVPVSGIVHLSSQQQHPSARNIYADVVNLSHVLQFLNSRVWWQRRWTWRXITTQRXEPILAITLNVHQGEKCLSRTXWRQRRTRRXMTTQRQEPTTAITLNVHQGENEINQATTGTSXHVVLHYFCXLSATLRINKVYNYISQVHYYETRFFFEVVKTRFAHGHTPCLXLKAXEDLQFSKASILRDPLVKINFFIGDTLNLPTYKKNFXCKKXKSRFXYPLIXKSECNSTITNLKKNXHIKCSSAKDFVGGIPVAESSFAQWQSHPLQCLLQGEADAAHHHWRXRWKANASRNEVCIILVKTIYVTGRWYYKGHEKMLLSRYYXGKSPNXPPKLLWQLKLPPQFKNXTFCTLNFLYRRITPDKLRNGFGLTCRTRGSPVSIKKLKIXGPHVVTERRGRIDKWAPLFFYSFMLTGLPHLCHVGPKPLWSRSGGNSSDMKSXGXRMSGFXIEGVIRTATIVRGGLIRTFSYYXRXRGEEETTEKXDKXLLDLTDDYFILTXSNDLGYSXWVYVKGREQXKRXLMSTYKXLLKQMVDCFILTYSNGLDKRRPVNIDMQLLYPTVHNFILTXSNMFRFSCDVYLIEGGEKGXKLLKMNVDKXLPYPMIDDFILTXXNGIVXFMMCIWIMVSRRGKDDXXMGISISLIQRLIYLYXHNEMVRHKRQLIITDKXLLDLTTSFMLTXSIGXGLLMMCSXIXVETRGXGDHRXMLISKCFIQRLISTPKAAAATLRVHYSSQCHQSIHHCLLLTQITLHKEQQVATSSSFLQPFLAASVATPDAPRNGNEVSXSRRRLGYVQPTPSPTTRLLGAHVGKPALEQPTATPVVSKHLCRXHGGGDDGRGGE >ORGLA05G0181800.1 pep chromosome:AGI1.1:5:18681579:18683202:-1 gene:ORGLA05G0181800 transcript:ORGLA05G0181800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKSQSKGAAPRGSKGPKIGGGGGKR >ORGLA05G0181700.1 pep chromosome:AGI1.1:5:18673099:18676537:-1 gene:ORGLA05G0181700 transcript:ORGLA05G0181700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKHGNDGMSKDMGRATRLKRLQTPINLFEDECVFCHSFRTPPQFHGPMAHYQKGKLVSSDNGSPCPTDIIYVHKKCLEWAPQVFYEGDTIVNLELEIKRAAKLKCKRCKLPGAALGCYYTKCNRSYHVPCAMMTLNCRWDVDNGCVMCPEHATMPLPCDKISSPRNESGNSSSFPQSQSSIEQSDSADCEWEYPIIDQWNTSSSLSQGQSSAKEGISAVPKREIDQLNTSCSSFPEGQYLDKEGISTDEYRKEKQTDHLYTERDCPSDLWVLLGSALSESEKDSLQEFASWTDATVVNEWTENVTHVIVGKSAGSAWSRSYEVLMALLFGKWVVTVGWIMDCLVKFIPSPEASFELRFSHDSRASIGGNKKRRNQASQGAQKLFSGLNFCLSVYINPDDRQHIQSLIAAAGGQILETNGGSHSLRENLEKVAVKPCYFVYDGGAPRDFTQGLLDDLPKEMEEGREYAACGAQVISHLRVFDAVAAYDAQILSHKDHFTLDV >ORGLA05G0181600.1 pep chromosome:AGI1.1:5:18669565:18669807:-1 gene:ORGLA05G0181600 transcript:ORGLA05G0181600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFIRSIDPDVGPLVVKPGKTKPKVEVLLLAPHTSMRIVVGASLFPRPPQHAAQLALGLCVILAAPPPLTVVGVTLPPH >ORGLA05G0181500.1 pep chromosome:AGI1.1:5:18664258:18666505:1 gene:ORGLA05G0181500 transcript:ORGLA05G0181500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRRNRDAEFDMAEIDRMVPVMKLDPARQPWLTVPGRSSCTCDCCNVTTKKKPSNKALIPAWLFRSDDDDDDHHEESAEEEEEDNQLQGLNKHLAEYRIGQYEIRGATIKRYPYTDGYELTDKLNAELPAGERRYTPTFVLEGSSHRDGFFGLSWHSEYRMHETAPTSLPRSRFTTPHPRWDYEADNMLQLCSLSLAAGAGSGGDGRRLLVYGIVAARDDMEGLPNFVFNRIRDNAQEVTLSSPALELSSPLRGISAFEHVLLEFDLKLKNTAGDGADADADDVLVDACIEFVDRTITCSAGRLLRSRIEGPICSLDMDYMFVKSGVEAAVEVFLGDSCASCFQSVAALYRAIAGDGDGGGDGIVIHEESIPLPPKLMLAADTATAQAAAAETVVAVPSAGELTVTLSFATARHRTRLSIR >ORGLA05G0181400.1 pep chromosome:AGI1.1:5:18654749:18661882:1 gene:ORGLA05G0181400 transcript:ORGLA05G0181400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKSPSKSTQSSSSGARSNLPVSTGKPLTPTFSGQSGSVSGFRHPGSLAPRGSSMTGSSSLGVQPPRGSISGAWFPPNSLQASIYQVGYPALSNRGGMHVGGSPVFTAAPVCGNFGPRITYVASVAGGGNIGRTISSAGMSMPTVASPVNLSGSGALNIRGSNQMGGTHQQGLPAMNMLGSSSSAPGGTLSKNQLQAGSSSSGSPGMRHDGPLRQQAFGINAVQQNQEFRIHNEDFPALPRLEGMELHRKDHLPKNANIMQAQHYPMGKSSGFNTGSSCPPRKQHKQTANSVQNTGPENVGPRPVNSPRSPLNPRPREQVIQQNHEPQAQKSVRLQSSSGPESHKVQSPKSSQRTDTTPESHKVQSPKPSQRTDTAPESHKVQSPKSSQRTDTAPDPYDPYGLHGLLRVMKLKEEGPASLALGIDLTTLGLDMNSSDNLYKTFGSPWSSEPVKEEYSYEIPDCYSSMQPPPLQALHFLRFHLMTLFYIFYSMPQDAAQLYAANEICKYGWVYHKELRQWVKRAPNTTPLVKTTTYEQGLCYLFDANIWDAIPKDNFILRYDDIEKIPALPPLLPATQNPATQNGSVRINKWYESSARAWTPSAAASSIGQALVVVDGLTLYARLGAGAGDDLLSGLAFGAADEALLRIKMSTIMSCRPPLDQGDHLLLQAARRGCLWRSEQWTHKRILLHGHHLLVRRQHILGGSLAGLHVLRHRHRLHRRRRRLTTTRRSQIRVCPVVPTSRHLQIREAWMYGDAPHHRHLGQLHQTRLHQHRPHRCCRCHNERRRRHAIVTVSRRHRSSQRDGGHVDSGQISW >ORGLA05G0181300.1 pep chromosome:AGI1.1:5:18646657:18648876:-1 gene:ORGLA05G0181300 transcript:ORGLA05G0181300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGRSMALSLLLFAVTLSLLEMYRGRFASSELMTIAGGFVCSLLFLFLLTFIGNYQEANGVKTGWGAVVVAELTALIVAGTVHRVCITTCFLFSAGFLYEVDKLSGMILAKSESKARRH >ORGLA05G0181200.1 pep chromosome:AGI1.1:5:18638233:18643923:-1 gene:ORGLA05G0181200 transcript:ORGLA05G0181200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVLMALALVVVAAGVPAVLCQTNAQDAAALEGLKSQWTNYPLSWNSGDPCGGGWDGIMCTNGRVTTLRLSSVSLQGTLSSSIGQLGQLTYLDLSFNINLGGPLPAEIGNLGELTTLILAGCSFTGNIPIAIGNLRKLGFLALNSNKFSGGIPSSIGVLTNLLWLDLADNQLTGSVPISTSTSPGLDQLVKTQHFHFNKNQLTGTLTGLFNSNMTLIHILFDSNKFSGSIPAEVGTVSTLEVLRLDRNGFTGAIPATIGSLVKLNELNLANNKLTGSVPDLSNMTNLNVVDLSNNTFDPSVAPSWFTSLTSLASVSIVSGSLSGQVPKGLFTLPTLQQVVLSNNQFNGTLEITGNISSSLQTVNLMDNRIVSTDTASYKKTLLLAGNPFCAEQDPNNRAFCSRQLQNASPYSTSMEKCGSAQCSDGQNVNPASCGCAFSYNGKMVFRAPFFVDLVSSTPFQLLESTMAAKLNLLPGSVALSDIHFNSDNYLQVQVKLFPTSGVTFNLSELTRIGSSLSNQIYKPPANFGPYFFIADPYAPLAVALGGKKSKMSTGAIAGIAVAGGVLVIALIFMSLFALRQKRRAKELKERADPFASWAAGQKDSGGAPQLKGARFFSFDELKICTNNFSDNHEIGSGGYGKVYRGILGDGTRVAIKRADRNSMQGAVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLTGSGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDNNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEKGRYVVREVRLAIDPADHDHHYGLRGIVDPAIRDAARTPVFRRFVQLAMRCVDESAAARPAMGAVVKEIEAMLQNEPDDAGAGEGDSSADPSANEFDRHRGGGPPAHPYSDVEISRGSYAGDGASDYMPYFEVKPK >ORGLA05G0181100.1 pep chromosome:AGI1.1:5:18631048:18633280:-1 gene:ORGLA05G0181100 transcript:ORGLA05G0181100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTRVAAAAAGVLLVAAALAGVARGEDPYVFFEWKVTYGTKTLLDAPQKVILINGEFPGPRINCSSNNNIVVNVFNQLDEPLLFTWNGMQHRKNSWQDGLAGTQCPIAPGTNYTYKWQPKDQIGSFFYFPSLGMHRAAGGYGGISVVSRLLIPVPFDPPADDHMVLIGDWYTKDHAAMAKMLDAGKSFGRPHGVVINGKSGKAAADPPMFTVEAGKTYRLRVCNVGIKASLNFRIQGHDMKLVEMEGSHTVQDMYDSLDVHVGHCLSVLVDADQKPGDYYAVASTRFIHEAKSVSAVIRYSGSSTPPSPAVPEPPAGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIRLMVSRGHIDGKLKYGFNGVSHVDAETPLKLAEYFNVTDGVFRYNQMTDVPPAVNGPLHVVPNVITAEFRTFIEIIFENPEKSMDSVHLDGYAFFAVGMGPGKWSAEERKTYNLLDGVSRHSVQVYPRSWTAIMLTFDNAGMWNVRSNIWERHYLGEQLYISVVSPARSLRDEYNMPENALRCGKVVGLPLPPSYLPA >ORGLA05G0181000.1 pep chromosome:AGI1.1:5:18627139:18628738:1 gene:ORGLA05G0181000 transcript:ORGLA05G0181000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSHEEVTSCPGRRQEPYLSKEAAIEAGFVDKDGKILWSSYLNYVEHGKTLPDDEAFAKEARDYQEAIKKQEVKVDEATMKARFHDLMKEYGRSYSTEEEKARRYEVFKEATLWADKVNALEPRTIPYGPNGYADFTDEDFKRMHCHCSAIDWERYIDELNTMAARGWTYFRDPDATTNVSELIPQARFGARHKTSRSLHTRLAPRDTPRLSGVNFSGSMVTMFPSSTSVKHNFWIFFFNKSWASRGR >ORGLA05G0180900.1 pep chromosome:AGI1.1:5:18621493:18625595:-1 gene:ORGLA05G0180900 transcript:ORGLA05G0180900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PWR1] MKGQGGGAGAPAKRRWRSVAAAAAALALLFLSVGVPLAVLLGLHQRFPSMYLADESAVSVFGGGEGGGGWEPNTSQENDRLPVNDTNKFPPSIEKDWSKINTSNSDAESNGTNNQPSIDKPISNTSIHPGLPIKQIVIFDDISLLNNTDADPKDNFEQGLPGDESIKSCQLEFGSYCVWSVEHKEVMKDSVVKRLKDQLFVARAYYPSIAKLEGMEKLSHEMKQSIQEHEHMLSEAICDADLPAFHGANMAKMEKTIAAAKSCLIECTNFEKKLRQLLDMTEDEAHFHARQGAYLYRLGVQTLPKSLHCLSMRLTVDYFKSFADMEYSNVQKLENPVLRHYVIFSTNLLASSMTVNSTVINSEESANVVFHLVTDAQNLYAFKNWFIRNSYKEATISVLNFEDFQATHLDNRRVEHLSPYEEFRIASHSNARIPNTQMRTEYISVFGHSLFLLPELFSNLKRVIVLEDDTIVQRDLSHIWNLDLKGKVIGAVQSCRVRLRHLRPYLVDFPYDASSCIWMSGVSVIDLNKWREHDVTAVRNRVLQKLQHGTEASWRAAVLPAGLLAFQNLVHPIEAQWIQSGLGHDYGVNHGAIKKAGILHYNGNMKPWLELGIRRYRKYWRRYLPRDDPFLIDCNVNP >ORGLA05G0180800.1 pep chromosome:AGI1.1:5:18618906:18620405:1 gene:ORGLA05G0180800 transcript:ORGLA05G0180800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSLGLMQFHDHHQYLYSSSSSNLPLQQPLLSHHHRFLEANEGCVGEDDSPEFVEPAAAAAVAGTFEQRPELGACKEVYSEEGGAAEERTGMAIAGADVEQVAAEDEEEAHGVRMIALLMECAAAMSVGNLAGANGALLELSQMASPYAPSCGERLVAYFARAMAALLVGSWVGVAAPLAPPPPPRAAAINAAFRALYNVAPFARLAYLACNQAILEAFHGKRLVHIVDLDVVPGGALQWLSLLPALAARPGGPPVIRVTGFGMSASVLHDTGNQLAGLARKLCMSFEFYAVAKRPGDADAVADMPGRRPGEAVAVHWLRHAMYDAAGDDGAAMRLVRWLEPAAVTLVEQERAHGADAGGGHGRFLDRFVSALHHYSAVFDAMGASRPDGEDASRHLAEHGVLGREIANVLAVGGPARSSGRERPGSWREVLARHGFVHAAGGGGGGGRAQLVAGACPGGLGYTVAGDHDGTVRLGWKGTPLYAVSAWAWCSPPHARA >ORGLA05G0180700.1 pep chromosome:AGI1.1:5:18614447:18615049:1 gene:ORGLA05G0180700 transcript:ORGLA05G0180700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELGGAPLLHEPPPPPQTPPRRRRTGVPVPILHGNNCNSDLYFWLPLVDAKGVHRGDLRLPDVRGIAEAVRDGARVVYADVVDGLGGGRVVARIRGQLVLLEVAPLAAYAGGDGGGGGDDGDGLQMYVPKLSAENCEHFFWVPFVDEIGTLRGHVPSSALGGIADAVR >ORGLA05G0180600.1 pep chromosome:AGI1.1:5:18610849:18612039:-1 gene:ORGLA05G0180600 transcript:ORGLA05G0180600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARWCDLNADVLRLVHKRLPCLVDRRNMRRACKSWRAAVAAPAPPQQRPLTWILVPSSGGPTFSCAVGGCRRHDFGVPDYAREARYFGTYSGGWLFLDFGNSRGHGRHGLLNLRTKYCISILGIVCLDLNPSIIRDMVMIAATLSSPPEDEHCIGAAISSYWPGMNGARMHAFWYMRRQVAVMPTASEGVFGPFLEDVIHHKEAFYFLTAQERLHAFAVPEFRVGRRGYLDIPPRKIPSFPHDGRDYDGRDVARYLVESRENLLMVVRYVSDPPQMPPRTSAFKVFEMVELAINNGEAQYAWNELQSLGGRMLFVARGCSKSYNVADYPGLGFSAGVYFLDDDRIYDEFTVLLDGTGRRYPCRDSGKWLLGAAEADNFLPEQAPSSYSPPAWLLP >ORGLA05G0180500.1 pep chromosome:AGI1.1:5:18606391:18607584:1 gene:ORGLA05G0180500 transcript:ORGLA05G0180500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALWRDLVGDVLRLVHKRLPCLVDRRRMARVCHDWRVAVAPQPQPPPGTRPLPSILVPRADDGHCFACALAGCATHAFGHPLPVDARAARYFGAYDGGWVFVAFGQILDYALLSLRNGTRFHFPDTGTDMVAATLSSPPGDERCVAAAISHSCMMNNPRIHAFGILRHRGVEEATHDPAEFFTGHALEDVVHHKKAFHFLTREENLHVFPVAGFHEDDDGNLEIPPMEVRRFSRGGRDYGGCFAVRYLVESGESLLMVVRLLPHPPLFPPTTWAFKVFEMVETPINNDGAPYAWKELESLGGRMLFVARGCSRSYDAGDYPGDEFNEGVYFLDDGRLYDEAFQILNPFAQYREYPCRDHGKWLPPPAAAAAVTGRVDKFLPEQGPSHYTPPVWILP >ORGLA05G0180400.1 pep chromosome:AGI1.1:5:18601378:18605506:-1 gene:ORGLA05G0180400 transcript:ORGLA05G0180400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDASWCGLTADVLRLVHKRLPCLVDRRRMARVCRTWRAAVKGEQHPPESPLPWILVPRGADGPSFSCPIAGCRGHGFGIPDDARYFGTYGGGWLFLAFGQIKRHALLSLRTEQRFYLPDIARWDFAGRPAFDTDIVMVAATLSSPPEDKGCVGAAIVFHRASLYSPRVHAFWCMGKQIAVATTCTNTIAGRLLEDVIHHKGAFYFLTAQEHLHVFEVEEFYEDGDGNLKIAPMVFRRFSRGGRDYGGAIAVRYLVESGENLLMVVRLVPHPPRLPPRTSAFKVFEMVEPPLETPINNDEAPYGWNELESLGGRMLFVARGCSRSYDANKYPGAEFNEGVYFLDDGRLYCEAAVFVDQAAAAAADEPRCPCWPCTDSGKWLAAAGEVPRVDKFLPEQGPSNHYSPPAWFLHHTGDNCVNPEKFIQEHRIAVEQAAATNAIQVMNTNHSTLSHHEVHHPKFFRPMKKCSTKCSDRNFRPKQTVTINWAQAQLLARLAKGWPSLLFLPNQTTPSFPCFQKPSSNMAHNDEASSSRSTTSSAAQPSPPPPPRVASASSSPMDAVAPARDFMAESLRLVHNRLTCLVDRRTMARVCHAWRAAVKPLQPPPEERPLPYILLLGDGERSFSCALRGCATHRFHIPDIPRDARCFGAHPGGWLFFAVAQTTKNAILRDEFPSGIPDVLHLDDRPPDKDTDVVMFAATISSHPEDQRCIGAAILSHFPDVTNPSIYAFFRMGVLPAMVANGDDASNAGSASGLEDLIHYDDAFYFLTGEEGLLVFRVSEFHDFKDEELDIAPIEIRRFPRRGRGHYGEDDVAVVRYLVESRGRLLMVARIAAGAGPLRPSPPSPTTTSEFRVFEMARRSTALAATNNDGAEYDWVELDSLGGRMLFVARGCSTSYEVARHPGFEEGVYFLDDGRLYGEVAMFRDPNLRQYPCRDSGRWLASAPEAVPRVDNFLPEQAPSNYSPPAWFLP >ORGLA05G0180300.1 pep chromosome:AGI1.1:5:18587930:18589135:1 gene:ORGLA05G0180300 transcript:ORGLA05G0180300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAPWRELDADVLRLIHSRLPCHVDRRRMGRVCRNWRVAVAPQQPPPPPLPSILVPRADVGPSFACAIAGCATHAFRLPLPADARYFGAYDGRWLFVEFGQTKDYALLSLRTHHRLRIPYPYVSWATVAATLSSPPENEDCLAAAVCHYCQETGPRVHRFWRMGQHQAALKRTRISVPTIMSATNLEDVIHHRGAFHFLTGKENLHVFPVPGFHEDGNGNLEIPPMVIRRFSRSGRDYDGKKVVVRYLVESGGYLLMVVRLAPFPPLQAPPPPRTSAFKVFEMVEPPPPTPINSSEAQYSWKELDSLGGRMLFVARGCSRSYDAGDYPRGLEFTAGVYFLDDGRLYGEERVIGAAAERRYPCRDSGKWLPLPAAAAAGRVDKLLPEQAPSNYSPPVWILP >ORGLA05G0180200.1 pep chromosome:AGI1.1:5:18586723:18586947:1 gene:ORGLA05G0180200 transcript:ORGLA05G0180200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TDWWMKARMAFRTGYRSIFDSVFALTCWLLWEERNARVFEQKFRSIEQLVQNIKEEVIVWKTAGVFTTCNSEIT >ORGLA05G0180100.1 pep chromosome:AGI1.1:5:18580974:18582164:1 gene:ORGLA05G0180100 transcript:ORGLA05G0180100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALWCDLNAEVLRLVHKRLPCLVDWRNMRRACKSWRAAVAAPAPPQQRPVPWILVPSAGGPTFSCAVGGCRRHDFGVPDYAREARYFGTYSGGWLFLNFGNTRGHGQHVLLSLRTKYRIGLPGIVYLHLNPEFIRDMVMIAATLSSPPEDEHCIGAAISSYWPPGMNGARVHAFWRMRRQVAVMPTAIEGVIGPILEDVIHHKEAFYFLTAQEHLHVFALPEFRVNHRGHLHIAPMEIRSFPHDGRDYDRRAVARYLVESRENLLMVVRFVSDPPQMPPRTSAFKVFEMVELPINNGEARYAWNELESLGGRMLFVARGCSKSYEVADYPGLGFSAGVYFLDDGRIYDEFTVLDDTARRYPCRDSGKWLLGAAEADNFLPEQALSSYSPPAWLLP >ORGLA05G0180000.1 pep chromosome:AGI1.1:5:18575038:18578626:-1 gene:ORGLA05G0180000 transcript:ORGLA05G0180000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDFSWTLPDHPKLPKGKPVAVVVLDGWGEADADQYNCIHVAETPTMDSLKKGAPEKWKLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDTALASGKIFEGEGFKYIKESFDQGTLHLIGLLSDGGVHSRLDQLQLLLNGASANGAKKIRVHILTDGRDVLDGTSVGFVETLENDLSQLRAKGIDACIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPHKFQNAVEAVKTLRSETKANDQYLPPFVIVDDSDKAVGPIVDGDAVVTFNFRADRMVMIAKALEYEDFDKFDRVRVPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSGEYLVKNGIRTFACSETVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDSGITFNVKPKMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKMILDAIEQVGGIYLVTADHGNAEDMVKRNKSGQPQLDKKGEIQILTSHTLQPVPVAIGGPGLHSGVRFRNDVQTPGLANVAATVMNLHGFEAPADYEPTLIEVVDK >ORGLA05G0179900.1 pep chromosome:AGI1.1:5:18570239:18573793:1 gene:ORGLA05G0179900 transcript:ORGLA05G0179900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRISASPRPCSGRRVVARKRPRHEAAVNSVRKLQRREISSCRDRAFSMSAAQERFRNIQLQEEFDTHDPKENSLLLPYLRKRSKIIEIVAARDIVFALSQSGVCAAFSRETNRRICFLNGSPDEVIRSLFYNKNNESLITVSVYGSENFSALRCRTTRIEYIRRAKPDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYSISDKNVQEIKISPGIMLLIYTRTSSSVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQEGENLQILDVRNFQLTEVSRTEFMTPSAFIFLYELQLFLTFRNRSVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSNDSSSEENAGSINISNILTGKCLAKIKASDLCKQKKAWKFQSTALEALEDITALYYDEERDEIYTGNRQGLVHVWSN >ORGLA05G0179800.1 pep chromosome:AGI1.1:5:18565709:18566344:1 gene:ORGLA05G0179800 transcript:ORGLA05G0179800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGDAAPARHNAGHGRRRRRVLVWASFAALVLLLVAAAAAIAALAVLRPRDPTTELLSVNATGATPRVAALPAVSVQLNVTFLLVVRVRNPNRAEFRHGAATTALLYRGAEVGAAGVPAGTVPSRGAATLRLNMTVRADRVVAAAGAGGLLADVLAGEMEFEARTEVRGRVKLLGLVRRSAVARSLCRVVIGVADVKVRRQECHNESKL >ORGLA05G0179700.1 pep chromosome:AGI1.1:5:18555289:18564830:1 gene:ORGLA05G0179700 transcript:ORGLA05G0179700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFFVFFTAAAAAAALPVVVAAAVIAGLCITAAWLARPRSVAEVFRRQGIDGPPPSSFLAGNLPEMKARVAAAASAAAPTADGEETASAGGGGGGRDFEKDGFDDYCTRIFPYFHKWRKAYGETYLYWLRRRPALYVTDPELIGEIGRCVSLDMGKPKYLQKGQEPLFGGGVLKANGACWARQRKVIAPEFYMARVRAMVQLMVDAAQPLIASWESRIDAAGGAAAAAAEVVVDGDLRSFSFDVISRACFGSDYSRGREIFLRLRELSGLMSETSVIFSIPSLRHLPTGKNRRIWRLTGEIRSLIMELVRERRCAARAAREHGGKAALPSPPERDFLGSIIENSGGQPRPDDFVVDNCKNIYFAGHETSAVTATWCLMLLAAHPEWQDRARAEVLEVCGGDGAAAAAAAPDFDMVSRMRMVGMVVQETLRLFPPSSFVVRETFRDMQLGRLLAPKGTYLFVPVSTMHHDVAAWGPTARLFDPSRFRDGVAAACKHPQASFMPFGLGARTCLGQNLALVEVKTLVAVVLARFEFTLSPEYRHSPAFRLIIEPEFGLRLRIRRAGGQDATSQADTSTAPVHSSHN >ORGLA05G0179600.1 pep chromosome:AGI1.1:5:18537315:18538197:-1 gene:ORGLA05G0179600 transcript:ORGLA05G0179600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRSMAARAKAKQMNRPSATSATMKELALCHDNVVHIACLVAATSPEPIADLLSLRATCKAMHAAAKERDVGRCVPLERLDNMKWMENERYLAIVNHLVGAGNPDACFITGVTLVFAHQDMEQGLLFLNKAATAGHKAAAYVLGLLLYKFDDARATGKKYISQVEDDGNEAATDVGVKRTNRECQQYRKIVGDVIQEATWKVGGRRGRMLVLQEDSHHCTATGCGVEPGWEGYGVFCSDDCRIKHEYSKFFTEVMNYLP >ORGLA05G0179500.1 pep chromosome:AGI1.1:5:18535360:18535886:-1 gene:ORGLA05G0179500 transcript:ORGLA05G0179500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKAKQMKRPSMASATTKELALCHDNIVHIACLIAATSSKPITDLLSLCATCKAMHAVAKECDVGSYVPLERLDNMKWMENERYFIVVNHLVTADNLDACFIVGVTLVFAHQDMEQGLLFLDKAAITGHKVAVYVLGLLLHVR >ORGLA05G0179400.1 pep chromosome:AGI1.1:5:18532910:18533628:-1 gene:ORGLA05G0179400 transcript:ORGLA05G0179400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKANAKKLKRPSTASATMKELALCYDSVVHIACLVAATSSESITDLLSLHEGDVGRHVPLERPNNMKWMENERYLTVVNHLVSASFIIGVTLVFTHQDMKQGLLFLNKAATSGHKMAAYVLILLLYKSNEAHATRKKCISQVEGDSDKAATGVGVKRTNRECQRCRKITEDVIQEATWKVGGCRSRMLVLPEDSHQCMTACCGVE >ORGLA05G0179300.1 pep chromosome:AGI1.1:5:18525944:18528290:-1 gene:ORGLA05G0179300 transcript:ORGLA05G0179300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRSVLEMVVAAAQGGGGAAGESVLGMLRYAVLPIAKVFVVCFMGFLMASKRVGVLKPSGRKLLNALVFSLLLPCLIFAQLGRSITIDKIMEWWFIPANIALGAVSASLVGLIVALIVRPPYPYFKFTITHIGIGNIGNIPLVLISALCRDQLNPFGDSNKCTQDGNAYLSFGQWVGAIIVYTYVFKMLAPPPGQTFDSCDEERDKLPIKAPNTMSSVAKYPSSAHGNTHEEEPLLSIEEEEEEGQDVHSLGSKIMIPIKGMVRFLQKKQLLQPPIIASVLAITLGVVPFLKNLILTDDAPLFFLTDSCLILGEAMIPCILLAVGGNLVDGPGEGSRRLGVRTTVAIIFARLILVPIAGIGIVSFADKLGFIPKGDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLTMLF >ORGLA05G0179200.1 pep chromosome:AGI1.1:5:18520888:18523475:1 gene:ORGLA05G0179200 transcript:ORGLA05G0179200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSPAAAALLLRRSLAAAAAAASPALRSGPRQLVRASLLDESLSTQTSSAASTMAVQYHQWSSSADGDDDEVLEAFNRDCCTDAGAGVLDDSASTAYVEKLCTSGNLPDAVQILRHLHDRKIHVGLGTFNLVLEQTGEVNNFVLFAKVFRYLLLSKIAPDLTSYTNVAKALQKLDDYELILKFVRQVMEITHDRDPTVMNRIVFTTAKYGQIDKCLIIFEELKKDQRGLDVVTFNTILDMLGKAGRVDQMLQEVKLMDELGHSPDIVTYNTVINCLRRLGRLDQCKIFAREMVERGIDPDLRTYTALIDIFGRAGHITEALEMFDQMKRSHQPSIYVYRALISDLKKAGQFELAQKLSEEMKTSASELLGPEDFKQKFKGRKINKNKWREGGSYMRGEVMGAISLPVDPAAVSFLIPPVIFVLILSSLSSAGFSNL >ORGLA05G0179100.1 pep chromosome:AGI1.1:5:18516577:18518773:-1 gene:ORGLA05G0179100 transcript:ORGLA05G0179100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKHGIQRSATFVEDHRQQPPQPGDTSSPAIASPRATRFADDSRRPDRSLAAASSSPQPDGSTPDPVTQLYTSARGAKGNETKHGFWGVLAQQAIVMLDENGGTDDNHSVTSQSRWSYDRVRKPENPPLDIGCKIKTALEEGLTKVEGSSRTGDGVHGRKLHIRRKACSMDLRNSSMGLSSPEAMSPTMSDTESPQIKASRDVASAMAAKVKLLQRELKTVKADMAFSRERCAQLEEENRMLRDGKHDADEDLIRQQLETLLAEKARLANENTVYARENRFLREIVEFHQLNMQDVVDLDDEDMAGDGDGEEGDDDHQQYGCHLRAHEAAHGLWAGGGLGTPPQSPLGHAGRMGMSRSNSRAAESPTMRRSLKEENVDEPETPPTRRCLKGKADVDAPPETPPTRRSLKEADVDEPDTPPNRRSIKEDADDAPETPTTKQDIGSPETATTPARRSSNDDLGAAETTTPTRRSFKDDNGVTEMKNEH >ORGLA05G0179000.1 pep chromosome:AGI1.1:5:18513597:18513930:1 gene:ORGLA05G0179000 transcript:ORGLA05G0179000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHWLEAMLPLGIIGGMLCIMGNAQYYIHRAAHGRPKHIGNDNWDMAMARRDKVLLHQASSENN >ORGLA05G0178900.1 pep chromosome:AGI1.1:5:18501508:18505338:-1 gene:ORGLA05G0178900 transcript:ORGLA05G0178900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHGTDGCFSPGRAMSPQVRPPVPPDAASGGQYLAELLQEHQKLGPFMQVLPICSRLLNQEIMRVSGMFRQPGVGDFERSQPASPNQMHPSHIVPNFCGNAFGPWNGMRPERVSFSQGPGWQGAPQSPSSYIVKKILRLEIPTDAYPNFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDPNKEEQLKGRAGYEHLDDPLHILIEAELPANVIDARLAKAQEILEELLKPVDESQDYYKRQQLRELALLNSPLREESPHPGSASPFSNGGMKRMKQ >ORGLA05G0178800.1 pep chromosome:AGI1.1:5:18500216:18500740:-1 gene:ORGLA05G0178800 transcript:ORGLA05G0178800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAQQEVAAAAKGYRSSPFSPSSMFPTLSPPLVATVHGGGGRAEEATTIAATATPAARSLAAGDNGMQVSGHGEHAGLSSGRRRGRPKGSRRRQILANLGQYIAVHPRALAMASSSGTGAGLPLEELGLDGAVLDALWRCAAAAIPPLSRLCSAARKKKGKRKEERERERQCQR >ORGLA05G0178700.1 pep chromosome:AGI1.1:5:18485227:18488926:-1 gene:ORGLA05G0178700 transcript:ORGLA05G0178700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein [Source:Projected from Arabidopsis thaliana (AT5G58280) TAIR;Acc:AT5G58280] MAAEAGSAAAGAAYEEERRKRVLENLKHLEDLGIKKMSKSLLEAARLQKSTLASPKPRKKFEVGATEVRRSSRARNSVSYKENFDELDSFLCRRRGSRIRSTEQGRDYTGRVASYEQQQRAFKKAERLQNSLDPENPSFVKTMVRSHVSSCFWLGLPTRFCKLHLPPKEYKMVLEDEEGGEFDSVYIGNRTGLSGGWRGFAMHHNLEDGDSLVFELAEPDRFKIYIIKAVDEDANESEPADEEAVGDKDTSTEDAAEQDDSPNAEPLKGAKRRKLRGRR >ORGLA05G0178600.1 pep chromosome:AGI1.1:5:18476688:18484696:1 gene:ORGLA05G0178600 transcript:ORGLA05G0178600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFGGVLCAVTLVVLLPFLEAADGKSTDPSEVSALMAIKGSLVDPMNNLKNWNRGDPCTKNWTGVFCHDLGDTYLHVTELQLFRRNLSGNLVPEVSLLSQLKILDFMWNNLTGNIPKEIGNITTLKLILLNGNQLSGLLPDEIGNLQSLTRLQVDQNHLSGAIPKSFANLRSVKHLHMNNNSLSGQIPSELSRLNTLLHLLVDNNNLSGPLPPELAVAKSLKILQADNNNFSGSSIPTLYYNMSGLFKLSLRNCSLQGAIPDLSAIPQLDYLDLSWNQLTGSIPTNKLASNITTIDLSHNMLNGTIPSNFSGLPYLQLLSLKNNLLDGSVPSEIWAGVNPNRNGSLVLDFQNNSLNMLPAEISPPPNVTVVLYGNPICENSSETLIINLCRLQSINLEKSKQETSTAMVCGACPTEKNYEYNPSFSDQCFCAVPLGVGLRLKSPGVTDFHPYENAFKIDLTSLLQLFPYQLYIENYIWEVGPRLNMHLKLFPSNTSLFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNLASSGLSKAALGGILASTIASAIALSAVVTALIMRRNSRTNRISRRSLSRFSVKIDGVRCFTYEEMASATNNFDMSAQVGQGGYGIVYKGILADGTIVAIKRAHEDSLQGSTEFCTEIELLSRLHHRNLVALVGYCDEENEQMLVYEFMPNGTLRDHLSGKSKQPLGFGLRLHIALGASKGILYLHTDADPPIFHRDVKASNILLDSKYVAKVADFGLSRLAPVPDVEGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMKPIEHGKNIVREVKKAYRSGNISEIMDTRMGLCSPECVDSFLQLAMKCSRDETDARPSMTEIVRELELILKIMPEGDLIQLETPQTYSGRAMSKDPMSKSTSNSTNGNYLASSQTFTSVDASSSGVLSGMVSPR >ORGLA05G0178500.1 pep chromosome:AGI1.1:5:18465074:18468539:1 gene:ORGLA05G0178500 transcript:ORGLA05G0178500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear shuttle interacting [Source:Projected from Arabidopsis thaliana (AT1G32070) TAIR;Acc:AT1G32070] MAPAASASAVVTPSSFRCVPTASCGLGARGKAPAPRRLLHDHAQGKKRAAATWSLKAGLWDSLRSGFLKSNNSTETVEPPSAPIEEEEPLPEELVLLERTLADGSTEQIIFSSAGDVNVYDLQALCDKVGWPRRPLTKIAASLRNSYLVATLHSVTTPSKAEGEERKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALMEKVIRTLLQRDISNITLFADNKVVDFYKNLGFEADPQGIKGMFWYPRF >ORGLA05G0178400.1 pep chromosome:AGI1.1:5:18462427:18463861:1 gene:ORGLA05G0178400 transcript:ORGLA05G0178400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLYLQRLVQEDWRMTCRRNRFCFYCWLSYCDHFCKEHWDHHHPEEGLPRVATVGSKDDIFFFRLSCSLKTPLCSPGTQWAPSTIGKVYKDXEATNRRTGSCXDHGCHQCTGGRRISRAAWTATNESRSQQTRSTAVQCAKLNQVQEEDQGRDMVEALATGDYSTQALLHDNFCVLCTSSFSSDCCTYHMELHHPDVEDIGVWLVLIEVVYVDGWAAIAPSELVSENVLAGVQVLQVQADDETVLYPLRRTVAAAVDRLGHVPGWHGCGAPGCHEMIPAQALFCCLRCKAAVHWAA >ORGLA05G0178300.1 pep chromosome:AGI1.1:5:18457947:18459841:1 gene:ORGLA05G0178300 transcript:ORGLA05G0178300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHPRTPRTTKTQERKRYQRGEQHREGIKNIRENQSAGVEKRSQETSATKRAGRRREREGRGGGGSRRTGRGCAVETDSASTAGLPSATIAAPSIGIVTIRQEVAAESLRSIGLLGSGDPAAFAKYPVGRWGYNWNYIQRVKDWNRDWILLNPRMTPLQGRGRTCVNCNQKIGESSARYCCLMCKHNHVHQGKGRDMIQALAAGNYFQIHRPDRFCTICMSSFCSACCAEHIERHHPEEANAHGDQIIEVVHVDAWAAVAPSVLVPEDVLHGVQVVHAGGGALVYPVMRLEAPPAVQHVGDVPWQHNCGAPGCHEMILVQAQFCCLRCKAAVHWAA >ORGLA05G0178200.1 pep chromosome:AGI1.1:5:18454496:18455927:1 gene:ORGLA05G0178200 transcript:ORGLA05G0178200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVGKQIQQMVRFILQEAEEKASEISVAAEEEFNIEKLQLVESEKRRIRQDYERKAKQVDVGRKIEYSTQLNAARIKVLRAQDGVVGEMKEDAGKSLLRVTKDATAYRKVLKGLIVQSLLRLREPSVVLRCREADRGHVESVLEAAKKEYAEKAKVNLPKILIDGKVYLPPPKTARDAHGPFCSGGVVIASQDGKIVCNNTLDARVEISFKQKLPEIRKKLFSQKVSQ >ORGLA05G0178100.1 pep chromosome:AGI1.1:5:18445113:18448640:-1 gene:ORGLA05G0178100 transcript:ORGLA05G0178100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLGAEVGKNDYDWLLTPPGTPRCPVLEVAEKTPSPNILPKRTATRSSSTTRASRLSVSQTENGHSTAPTRPARSNSVTRPSIQSTLMSSSNRTAVLNTSISSVSSRPTTPSRRSSTVVAPKQSIAASRPVPARSSTPVKTRPSTPTKTRPSTPVRTRQTANSTSDSAAARTTSAQNSRPSTPTSRSRAMPNSSSGAIPAMSRPGASTISATSRSNASTISATSRPGSSSSNVPGISRATSLSSSTVPSMSRSSSRSSTPTRQPAMRSSAPAVGRSPSVGRSSSISSLTSSINRPAANGGRNSAPSSAPSSRPSSPGPRPRAPVRPLDIPDFPNETPPNLRTKLPERPLSAGRSRPGMALGVRSTSNTEPSAASAPVKKVSVPAMSRSKFSDAPSRTPTLTNGRQNRQSERSTVDSQPSKVSRPATGTDNGFGMTMSKKSLDMAIRHMDIRQNLGGIRGASLFPHSIRSTAGKGRPARMSDPGHTISNGDHRHYADNGSTNGHFSGDSNGALSRNGGSSTDSPDRGSIGGKETLSELDMYGSSRYEAMLLREDVRNTSWLHGFDDSKPDQSPLFDHRFEPLPEPFSPL >ORGLA05G0178000.1 pep chromosome:AGI1.1:5:18427158:18429722:-1 gene:ORGLA05G0178000 transcript:ORGLA05G0178000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLVLIAFLSASFLFLHIPCARCADLNSDRQALLAFAASVPHGRKLNWTLTTQVCTSWVGIKCTPDGRRVRELRLPAVGLFGPIPSDTLGKLDALQVLSLRSNRLTISLPPDVASIPSLHSLYLQHNNLSGIIPTSLSSNLTFLDLSYNSFDGEIPLKVQNITQLTALLLQNNSLSGPIPDLHLPNLRHLNLSNNNLSGPIPPSLQKFPASSFFGNAFLCGLPLEPCPGTAPSPSPMSPLPPNTKKSFWKRLSLGVIIAIAAGGGLLLLILIVVLLICIFKRKKDGEPGIASFSSKGKAAAGGRAEKSKQEYSSSGIQEAERNKLIFFNGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVAGKREFEQQMEIIGRVGQHQNAVQLRAYYYSKDEKLLVYDYMTPGSLCAALHGNRTAGRTTLDWATRVKISLEAARGIAHLHAEGGGKFIHGNIKSSNILLSQGLSACISEFGLVQLMAIPHIPARLIGYRAPEVLETKRQTQKSDVYSYGVLLLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTSEVFDADLLRHPNSEDEMVQMLQLAMACVAIVPDQRPRMEEVVRRIEEIRNSSSGTRSSPEDKLKEEAIQIT >ORGLA05G0177900.1 pep chromosome:AGI1.1:5:18422984:18423751:-1 gene:ORGLA05G0177900 transcript:ORGLA05G0177900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:I1PWN1] MGCCGSSTVDAEEHLDYSGGNVTLVTDQKNWDNTMEEVAEHGKTVVLKFSAIWCTPCRNAAPLFAELSLKYPDIVFVSVDVDEMPELVTQYDVRATPTFIFMKNNEEIDKLVGGNHEDLQEKFEQLNRPKLYDDV >ORGLA05G0177800.1 pep chromosome:AGI1.1:5:18419971:18422550:1 gene:ORGLA05G0177800 transcript:ORGLA05G0177800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01920) TAIR;Acc:AT5G01920] MASSLLLPRATFAATTKHLAVLHPPAAAACRPHPPRLIRCGAAAVPDDELLRSLYLVQADAASPVVSADTGNDGWAALLDEIRGSLQAEDSSSSIPAATSGGVVVPDELLTAPPSVVIPDEILGADPSSTLQAPGPSGGAIPEDLLAALHLDASNPVVRAAWGALSRLDELTSGLSGPQRWAAAAFAAATWAYLTARPGVLSGAVDAYVLAPLQLAVDSAVGRRSLRMSDFVVGERIGEGSFGVVYSGAVVPRGGAAPAARKGKAKTRLELDERYKEKVILKKIKVGTAGAKECGDYEEWFNYRVARAAPESCAEFLGSFVADKTKSEFVKGGKWLVWKFEGDRTLGNYMSDRNFPFNLEGLMFGRAVRGLDDGSRAALVVKQVMRQLVTSLKRIHGTGIVHRDIKPSNLVVTRRGQVKLIDFGAATDLRIGKNYVPDRALLDPDYCPPELYVLPEETPQPPAEPIAAILSPILWQINSPDLFDMYSAGIVLMQMASPMLRSPSGLKNFNAELKAAGYDLNRWRETTRRRPDLQILDLDSGRGWDLATKLISQRGADKRGRLTAAAALRHPYFLLGGDQAAAVLSKLSLSK >ORGLA05G0177700.1 pep chromosome:AGI1.1:5:18416897:18418004:-1 gene:ORGLA05G0177700 transcript:ORGLA05G0177700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEEVKMRFGRCPYCRAMIYQNPKVVIFYCSRCRTPIRGKNPEPTDEAEYALSRLEILSADTASVFSDEPEDAGSDRRADEVRPLSRRTRRPSSSSDWTTTTDSERSEEAFYTPRNAQEGRPWQSPSPVSSQELGASGGGGGLPRPPDEPGAVAAARLMDPAFHKELLHALDNLRSLIVTIEQPRPASGGGGRALTRRDSRLFRRLESQLERALPPQDTASTSASSSSCRGDGGGGRPSAPARREGTDPCRPVLGGAPFVICGKCSELLRTPPPPRPRRRRWTTRIRCGECNEVLELSLPAGGVPAQHRPIRTCSAPLVSDHHRPLPRRLE >ORGLA05G0177600.1 pep chromosome:AGI1.1:5:18410321:18413672:1 gene:ORGLA05G0177600 transcript:ORGLA05G0177600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVASAFLKAVMGRLFMALEKEYSKHKGLAQEAQSLQLDLRMIAAVMDDQLRAMGRATTADARTAVARLHAEEMLDLAHDVEECVDRFLHRLTCRQHRGGAGAGASSSSLVRRMAHELSKVQSRSSFADEIQRLKTRVREAQQRIIRMKPTLDVLAGGGGGGGQLTGAAGTSSTAPSCRASRIPVGIAEPVEELLSMLDEVDGEPEQMRVISVVGFGGSGKTTLVKAVYDDPRAKDRFSRRAWVTVGSSPSPETSNGMKGILRAVFQQVLPKDAIDADGQHLETSLKEYLKDKRYLIIIDDIGMDQWNIIRSTFEDNGTSSRIILTTTIQSVANMCSHGNGYVYQMNTLGEEDSKELAFPGFRSPELEQGSASLLGKCDGLPLALVSVSDYLKGSNEPTGELCAKLCRNLGSHLKEQDGHYSFSELRKVLLDNYDSFSGYPLSCLLYLGIFPNNRPLKKKVVIRRWLAEGYARTDSLRSEEDIADENFSKLIDRNIIQPVDTRNNSEVKTCKTHGIMHEFLLNKSLSQIFIAKSSRDHPRLGVNTNARHLSFHSGELTECVASDEELSHVRSLTVFGHAGDAICYVRRCKLIRVLDLQECSDLDDDHLKYICKLWHLKYLSFGSNISELPRSIDGLHCLETLDLRRTQMKFLPIEAIMLPHLAHLFGKFMLHEDDVKSVNKMSKLQKFFSSKKSNLQTLAGFITDKSKGFLQHIGHMNKLRKVKIWFKHVEGSNNYIADLSRAIQEFTKAPIDRDTDRSLSLDSEECPENFLSSLDLETCSEGSKYALRSLKLNGELHKLPPFVNLLSGLTELCISSPMLTQVHLSTLINLNRLLYLKLVAYKLENFEIKHGAFPSLRRLCFVVKSVTSALPTIKHGALPNIISLQLLCQGPVGLSGIEIRHMKHLKEITINSGVVVQWEQAAKNHPNRPKVLFLRKVDPMESEERERPCAIREQMKITVAQPTSSGDGLNSSLNKIRLSEPPSSRLEMPVRHMVDGH >ORGLA05G0177500.1 pep chromosome:AGI1.1:5:18404673:18408955:-1 gene:ORGLA05G0177500 transcript:ORGLA05G0177500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLPGRLDELLRRHGNILPKGADKEIPLIRQDIEEITSILHGHHSDATELEDYHDMVVRCWTKEVRELSYDIEDCIDQYEDAVEHYEHAATVGRYPSVSTIRRRKFSRRPVGSKTPLVPEKLKQRLWMANKIREFSLRVQEALQRHAILHSSDLGGIANTSAGHPTLCRKRADGVRHVGLDAAINKVQEWLADGEKKLKVVSIVGVGGVGKTTLANELYRKLGRQFECQAFVRSSQKVDMRRLLISMLSQVRLQQPPDNWKLHSLISSIRTHLQDKRYLIIIDDLWHICTWDIIKCTLPDGNSCSRILITTEIEDLALQSCGYESNYIFKMKPLSEDDSRNLFFSTVFGSHSNCPPELCQVSYDIVRKCGGLPLAVVTIASLLATQLEKHEQWDYINETLGYSLMANPNLEGMKQLLNLCYNSLPQHLKACMLYLRMYQENSIIWKDDLVNQWIAEGFICPSERHEKEEISRAYFSELVDRKFIQPVHINDNGEVLSCVVHHMVLNLITYMSTEENFAIAIDHTQATTRLADKVRRLSIHFGNVEDATPPTNMRLSQVRTLAFCGVLNCMPSITGFQLLKVLILHFCGDEDSISFDLTEISELVRLRYLKVTSNVTLKMPTHMKGLQYLEALKIDGKIDAVPSDIIHLPGLLHLSLPARANLPNGIAHMSSLRTLGYFDLSCNTSENLWSLGELTNLEDLQLTYSAIHSVNLMNNMQYLGSILGKLRNLKSITLSPVGSSFANTLHIHSATSTRVSVYGWSSVSSPPALLQRLELLPCVCIFSSLPNWIGQLGNLCILMIGIREVTSYDVDVLGGLPALTVLSLYVHTKPAESIVFDNARFSVLKYLKFRCSLAWMKFEAGAMPNLRKLKLGFDVHRADQHDAIPVGIENLSGLEEITAKIKVDCTAGDLCRRFAESALTDAIRMHPGRPIVNIRCVDWTFDDKDNNNVRTRDEEHRTTEKQHLIVKEGLNEKSVVLQKDHGEGACKSVEGERRGVLSAGSWWRRQPQFERFYKSAESRADDGGGGRSIISGAQTVPIKNFTGGWPALPWQEGYGTTMPPVYINAGEVAVAGAAPPPQPEYTKY >ORGLA05G0177400.1 pep chromosome:AGI1.1:5:18388896:18389985:-1 gene:ORGLA05G0177400 transcript:ORGLA05G0177400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTMLSLAAIAGKPTTASAQLVAEGRESAARLYALLVGSSALHGPLDLAEQILLCFDRALAKLHGVDVAGAENDDAAGSGNVRKRKPGRGSAAAASSKRMRVSNGGGNGARIERKATMDDKFLWRKYGQKEIKNSKYPRFYYRCSYKDDHGCTATKQVQQSETADDDTASPVYVITYFGEHTCRHGHDAAAMVADGGEEDQLSPAQMVISFASSGGGGDASVSWPCSGDDAQNNSETSHESSPPEAPAGEEEHLRPCTAAADVPDEPIMESTPPAPELLADLKLMDGCLLDGESLFGMDELVYFHELSAALGLLDRDWGAPV >ORGLA05G0177300.1 pep chromosome:AGI1.1:5:18370293:18372883:-1 gene:ORGLA05G0177300 transcript:ORGLA05G0177300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLPKSERSPSPPPPPPPGDQRDAAIQELSKGSELATQLMAQLELIPERELDGRRDDALANVRSLSMSLSSSLYALRSERREHHYCGSSSSSGGAGPAAVTSVSGGGRGGGSGARNKTKRRRGKHGEELTETVFITTTPENDGFHWRKYGEKKILNSEFRKLYYRCGYSDERKCQAKKYVQQENNKHPPEFRVTLTNEHTCNTLFQDQPSSSSTNSQVLDFTKASISSPLMDSHVGAPILKEEEEEEEEEVPSIDESSRIMSTIMRNYGAYGDYDESSPQSWNGAGWQ >ORGLA05G0177200.1 pep chromosome:AGI1.1:5:18361913:18362975:-1 gene:ORGLA05G0177200 transcript:ORGLA05G0177200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSEVAHMALIATGATSSPASSMASELMAQGRESAAVLEALLHGASLPPAHRGAHALAAEILRCCDRALAALRAGGDAESSSPGTKRSKSATAQPATRRRRRATASGGGAAAAAEPARVEMARTSEDGFLWRKYGQKEIKNSKHPRLYYRCSYKDDHGCTATKQVQQSEEDPSIYVITYFGDHTCSCQTAAAMDDDDDDENSQHFVIHFGSATASRSGSPPLLYDDDDGDVWRETAATPPSSRQSRSSPEVDGEESGVKMSKEEPVDSCPAPSAVSSPADVVSCSSPAMEPDLLRCLNWDDDFGDSSFVDADEFMNFDEIDLFQIYS >ORGLA05G0177100.1 pep chromosome:AGI1.1:5:18355769:18358922:1 gene:ORGLA05G0177100 transcript:ORGLA05G0177100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLTLAAILAAAGAVNDDVLALVVFKSGVSDPGGVLAAWSEDADRACAWPGVSCDARAGRVDAVALPAAGLSGRLPRSALLRLDALLSLALPGNNLSGPLPDALPPRARALDLSANSLSGYLPAALASCGSLVSLNLSGNLLSGPVPDGIWSLPSLRSLDLSGNQLAGSVPGGFPRSSSLRVLDLSRNLLEGEIPADVGEAGLLKSLDVGHNLFTGELPESLRGLTGLSSLGAGGNALAGELPAWIGEMAALETLDLSGNRFVGAIPDGISGCKNLVEVDLSGNALTGELPWWVFGLAALQRVSLAGNALSGWIKAPGDNASALQELDLSGNAFSGVIPREIASLSRLQHLNLSSNTMTGKLPVSIGRMALLEVMDVSRNQLSGGVPPEIGGAAALRKLLMGSNSLTGIIPPQIGNCRNLIALDLSHNKLTGPIPATIGNLTGLQMVDFSENKLNGTLPVELSKLANLRVFNVSHNLLSGNLPISHFFDTIPDSFILDNAGLCSSQRDNSCSGVMPKPIVFNPNASSDPLSEASLGVPSSQHHKKIILSISTLIAIVGGALIIVGVVTITVLNRRVRSAASHSAVPTALSDDYDSQSPENEANPGKLVMFGRGSPDFSAGGHALLNKDCELGRGGFGTVYKAVLRDGQPVAIKKLTVSSLVKSEDEFKRQVKLLGKVRHHNVVTLRGFYWTSSLQLLIYDFVPGGNLYQHLHESSAERSVSWMERFDIIIGVARALAHLHRHGIIHYNLKSSNVLLDSNGEPRVGDYGLVKLLPMLDRYVLSSKIQSALGYMAPEFTCRTVNVTEKCDVYGFGVIVLEILTGRRPVEYLEDDVVVLCDVVRAALDDGRVEDCMDPRLSGEFSMEEAMLIIKLGLVCTSQVPSHRPDMGEVVSMLEMVRSSQGTPEDDLV >ORGLA05G0177000.1 pep chromosome:AGI1.1:5:18346995:18351291:1 gene:ORGLA05G0177000 transcript:ORGLA05G0177000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRRFAAVVVVLLLALALIPGPAAAAGRALQGEKARPSEAAPAPTVAAGGSHKESAKSSNGQNPVTKETHHQTPPPAKPPKDQTPPPPPAVSESKGQKGDAGNNSGHPVPPTDAHKTSPPPEGPGPTGGKEQEGGAGGEKKNPTEEIKKVLSCEDAAEKCSVPGEITACLQVFKDGSIRPFVVLQNEGQNDVKVDVVIDGKMLPLQLAKGFSRQVNITYSNPNGVEITVKSGKGQCSLHTKQTVFDWQQQFQQFAAYATRANPIYRASFLVFTVVLVGVVCACCKFARRRASGVPYQQLEMGAQAPNSSGVENTTSTVDGWEDGWDDDWDDEEAAAKPSDKKPSGSISANGLSLRPQTNSKDGWDVDWDD >ORGLA05G0176900.1 pep chromosome:AGI1.1:5:18343245:18343688:-1 gene:ORGLA05G0176900 transcript:ORGLA05G0176900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAREEDEVGGGGGGVVARYSLDVSDGCGGRHSALLDEYERMAFEAQLNRAIVLRRCYSEPSPARFPVAPPQRGGAEGDGCTAPWRSCRLHVVEAVFLRWLEAVKPVLCWLRSAWEQRRRRMERAAAAPRGPPATVPRVQLMDYFC >ORGLA05G0176800.1 pep chromosome:AGI1.1:5:18341992:18342252:1 gene:ORGLA05G0176800 transcript:ORGLA05G0176800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXAICLGEFADGEKVRVLPRCRHGFHVRCVDAWLVSHGSCPTCRRQVISGGGSTPPPDSDTIAVVVA >ORGLA05G0176700.1 pep chromosome:AGI1.1:5:18339272:18340032:1 gene:ORGLA05G0176700 transcript:ORGLA05G0176700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:I1PWL9] MVPAARSGWPAAAAVLVVVLVLSSPPGTSTVVLARAALSCSTVYNTLLPCLPYVQSGGAVPAACCGGIRSVVAAARTTADRRAACTCLKNVAAGAAGGPYISRAAGLPGRCGVSVPFKISPNVNCNAVN >ORGLA05G0176600.1 pep chromosome:AGI1.1:5:18334005:18334965:-1 gene:ORGLA05G0176600 transcript:ORGLA05G0176600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCFVPPLVYAVMGSSRNLGVGPVATSSLLVASIVGGKVRASDDPRLYTQLVFVSALFTGVMXATLGFLRLRILMDFMSRSAITGFMGGTAVVIVLQQLKGFLGMTHFTTRKSPRSSPRSSSGPSRSSPRRSPSLLQRRARHCRARGAXRRPXTGEAEAAREAGNRWGRSGTRGGGXDAEVEEEREHREGGAAQRGERRKVCEREGRGKRGXXCGHADMWGSRGSHVDSADTNSFCPSIVYLERPA >ORGLA05G0176500.1 pep chromosome:AGI1.1:5:18327416:18328765:-1 gene:ORGLA05G0176500 transcript:ORGLA05G0176500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGVLFLLFLARQASAAGYGGWQSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNDGAACGSCYELRCDNAGSSCLPGSITVTATNFCPPNYGLPSDDGGWCNPPRPHFDMAEPAFLHIAQYRAGIVPVSFRRVPCVKKGGIRFTVNGHSYFNLVLVTNVAGAGDVRSVSIKGSRTGWQPMSRNWGQNWQSNAFLDGQSLSFQVTASDGRTVTSNNVAHPGWQFGQTFEGGQF >ORGLA05G0176400.1 pep chromosome:AGI1.1:5:18307977:18310570:-1 gene:ORGLA05G0176400 transcript:ORGLA05G0176400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFQFLEAPSDRSDSSVSSLVPTSPAPARLMARDTTKSREPRVNPQVRLAQAKRFAEGALEHYNRRKKVKFELVDAVPCIGIPEPHCIYTHINFTARSSKKGSQEQLFFAELYHCQRRREVFTARSSKKGSREEPSNAGRSLVQRGFVVTCCEPLGPDSMVGRKLLERDDTKVVRKNADFTYCYGCPQMISHPKGEMYIAGHCNIPHVYEGVR >ORGLA05G0176300.1 pep chromosome:AGI1.1:5:18276935:18279084:-1 gene:ORGLA05G0176300 transcript:ORGLA05G0176300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:I1PWL5] MQTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKSQDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRDRRPPERFRRREDRPTGPRPGGAGGPGAPAAAGPGGPPNVART >ORGLA05G0176200.1 pep chromosome:AGI1.1:5:18270384:18271808:1 gene:ORGLA05G0176200 transcript:ORGLA05G0176200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEPGVLLTGRYGPPFSETRKEQKEQRNLAPHALSRRYLSRAGHGVVALWPGPPFDLSLLLHSSSSYSAEERRRRRRRAGERRAREDMGRRKFRLSDMMPNAWFYKLRDMRARGGRGATAMQPPSSSSLMRGSRAAQQQAGTSRLGTSSSSSSLLPHRASYYYTTRDREVPPPPPPPPRGVDDQFPSLTLSPPLPTRNSRRRHRVGRFGSTEMDGGELVLAPSDDHDGCSHQEPPVADASGSSRCRRDMFIGRDGGRGVEFRRRATTVDGPEEDATVDVKVITSDADIIIDLGADDDDDDDTPERVLRPVVTRPARRELDWCEPAEVKHVDLAELMTPRASSASASSEKSISRGKPRRSSVSSRRRLKTRTNSPRLAACRKGKPTARATTTTPTQPPLAHSFAVVKTSSDPRRDFLESMEEMIAENGIRDAGDLEDLLACYLSLNSGEYHDLIVEVFEQVWTGLAAACGVMP >ORGLA05G0176100.1 pep chromosome:AGI1.1:5:18266564:18267007:-1 gene:ORGLA05G0176100 transcript:ORGLA05G0176100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSLLLFTGAVAGVAMLVLPWWCAVGEDDDTYIDGVVDDSYDSDSWYTDYSDEDDDDVGGDNKDGLTPDGLRRLPWFAYCGGGGRSCSICLEEMRDGERCRRPGRCRHAFHAACVDEWLTTRRTCPCCRELVLVPPAARLAAPTYR >ORGLA05G0176000.1 pep chromosome:AGI1.1:5:18259511:18260173:1 gene:ORGLA05G0176000 transcript:ORGLA05G0176000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHGVTLERSGALKTNPTGSSAGPPPAPAASASSPLPRQAQGHKVVSLAQLHNKRPPAATGLRLDFDDGGSEHVSTTTTSSASSILSDELATQFDRYKNEMARMFQDHVRIVDVVDRVDSLLAAAGVRLCVFYGGGVAFACLLQTERLRRTAGGRRRRRTRMRRGAAPSWRSVSRGCGRRRQRGTLPSSRGQGGAWKPPAAPDVDEVRPPLLKPPCPSL >ORGLA05G0175900.1 pep chromosome:AGI1.1:5:18254688:18256733:1 gene:ORGLA05G0175900 transcript:ORGLA05G0175900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1PWL1] MANARNAAAASPPPPPPPPSSSSSYSSSASDGEILRSLHRLARDLAAAEAPAPFLETVFAAVSRRAKLLAAVFDDLLRCGRLPRSASLCLREVLLVLQRFKAVVADCSARSRMRLLLQADEVAARVRELQHDLATLLDLLPVPELGLADDVVDLLALASRQCRRSSPSADAAEHELKTGVLALIQEVEREIVPERERLEGILEEVGINDPACCSDEIETLEREIGDRVAERWTSAMIALVGLLRYAKCVLFTAATPRPMDTKVDVDDDDDDDDAEPPSPPPDFRCPISLDLMRDPVVSASGQTYDRESITRWFGSGKSTCPKTGQVLANLELVPNKALKNLISRWCRENGVAMESSEPSKPEPAPVVTANKAALEAARMTASFLVKKLSVSFSPAAANRVVHEIRQLARSGNDTRAFIGEAGAVPLLVPLLHSDDTATQLNAVTALLNLSILDANKKRIMHAEGAVEAICHAMGSGATWRAKENAAATVLSLASVHSYRRRLGRNPRVVERVVHLVRTGPSSTKKDALAALLCLSGERENVGKLVEAGAAEAALSAISEEETAVAVLASLAKRGGAEAIVNIDGAVVRLVAELRRGTEWSRECAAAALVLLCRRVGAAVVAQVMSVSGVEWAIWELMATGTERARRKAASLGRACRRWAAAEQTAEYPATSDVTTTAITAS >ORGLA05G0175800.1 pep chromosome:AGI1.1:5:18242446:18243854:1 gene:ORGLA05G0175800 transcript:ORGLA05G0175800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVPAVWEGEGVNSGTGRAYGDGDGAGADCGNAVADALKLRASVCCRCSVAVGSQNITPFPDLKFELRTRQEAKNTLNTCTPLQESEPKTTGEK >ORGLA05G0175700.1 pep chromosome:AGI1.1:5:18232015:18233111:1 gene:ORGLA05G0175700 transcript:ORGLA05G0175700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRSVLMERYEIGRQLGHGTFGKVYYARNLSSGHSVAIKMIDKEIIMKVSLVEQIKREISIMRLVRHPNVLQLFEVMATKSKIYFALEYAKGGKLFQKMARPKLNEESARKKIAKAEYRCPRHFSAELKELLYGILDPDPSTRMSILRIKISAWYKKPIAISALNSETRKKSCISEAPFSGSTTCISSERNQEPPNLHNLNAFDIISLSTGFDLSGLFGERYGRREARFSSRKPAAAVLVKLKELAKSLNLKVTKTDNGVLKLATTKE >ORGLA05G0175600.1 pep chromosome:AGI1.1:5:18228497:18228979:-1 gene:ORGLA05G0175600 transcript:ORGLA05G0175600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKIAKAEYRCPRHFSAELKELLYGILDTYPSTRMSISRIKRSAWYRKPIAISVLNSETGKKSCTSEAPFSGPTTCISSERNQEPPNLHKLNAFDIISLSTRFDLSVLFGERYGRREARFKSRKPATIVLVKLKELAKALKLKVTKTDNGVLKLATMKE >ORGLA05G0175500.1 pep chromosome:AGI1.1:5:18225487:18226831:-1 gene:ORGLA05G0175500 transcript:ORGLA05G0175500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRRPRRWSGGSKLAVACLAAVAVTSLQLCCLSGCFIAACGGAGRDDDDVRRYSDHFGRLEGAGAHSGDLLEGGGHYHVGLGRRLLSGGPGSHPPRCTSKCGSCSPCSPVHVSVPPGVLVTTEYYPEAWRCKCRNRLYMP >ORGLA05G0175400.1 pep chromosome:AGI1.1:5:18221742:18222875:-1 gene:ORGLA05G0175400 transcript:ORGLA05G0175400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSVLMERYVIGRQLGQGTFGKVYYARNLSSGQSVAIKMIDKEKILKVGLMEQIKREISIMRLVRHPNVLQLFEVMATKSKIYFALEYAKGGELFHKMARSKLNEESARKKIAKAEYRCPRHFSAELKELLYGILDPDPSTRMSISRIKRSAWYRKPIAISALNNETGKKNCTSEAPFSGPTICISSERNQEPPNLHNLNAFDIIFLSTGFDLSGLFGERYGRRESRFTSRKPAAAVLVKLKELAKALNLKVTKTDNGVLKLATTKE >ORGLA05G0175300.1 pep chromosome:AGI1.1:5:18216883:18218151:-1 gene:ORGLA05G0175300 transcript:ORGLA05G0175300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQPHAHLLRQRNDTQVERATAFGKEAIGITGSAIVAGVSGYKDIGKGAATTLLFKAGGFSLLVTFVSAVVLMHFQMHQPPAAPRSRCADLSSAVLVSLTGVLLVATNGMFVALMDRDNDTVLVILVLPVVLVLGMLAGADLPPTEGAVTAAAVAQDEAYEEAMKSSAELATFGATAAFAIEGALILGYLKYPSSLDGCGRSPPAQVDLAVASFASTVSVLAMAATALPVRTLFPSARARAVAVAGHLNRAMLAALVSMATILAVEFLQWWFMLSLLPEAIAVALNVAIMAWTTEGGANVVDGGVEAAAAAAAASAGARERRAKGFRAVATMSFTLMAGTYAVYLGQKKYDVYLRAAMLVMLAAVVSSLRQMLRPFGRSRARGWWAVAAGAVSLVFPGLALVIAIPLFVKIFVHFYFGHVN >ORGLA05G0175200.1 pep chromosome:AGI1.1:5:18209969:18214583:1 gene:ORGLA05G0175200 transcript:ORGLA05G0175200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT5G46280) TAIR;Acc:AT5G46280] MDVNEEAMVAHKRAFLDFLDQDVGKGVYMQAVRDMVQNKRHRLIIGMDDLRNHSLDLARRVIRSPAEYMQPASDAVTEVARNLDPKFLKEGQRVLVGFSGPFGFHRVTPRDLMSSFIGTMVCVEGIVTKCSLVRPKVVKSVHYCPATGGTLSREYRDITSFVGLPTGSVYPTRDENGNLLVTEYGMCEYKDHQTLSMQEVPENSAPGQLPRTVDIIVEDDLVDSCKPGDRVSIVGVYKALPGKSKGSVSGVFRTVLIANNVSLMNKEANAPVYTREDLKRMKEISRRNDTFDLLGNSLAPSIYGHLWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVIAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPEIDRQISEHVARMHRYCTDDGGARSLDKTGYAEEDDGDVNAAIFVKYDRMLHGQDRRRGKKSKQDRLTVKFLKKYIHYAKNLIQPRLTDEASDHIATSYAELRDGGANAKSGGGTLPITARTLETIIRLSTAHAKMKLRHEVLKTDVEAALQVLNFAIYHKELTEMEEREQREMEMKQQADHDAGASGGNADEHRSSGNDPMDVDVGNASNDQDVPAERIEAFEVILGQHVLANHLDQISIDEIEQTVNREAAAPYNRRQVEFILERMQDANRIMIRDGIVRII >ORGLA05G0175100.1 pep chromosome:AGI1.1:5:18206784:18209337:1 gene:ORGLA05G0175100 transcript:ORGLA05G0175100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAATQHGHAVAARAMPGRGEVDTSSPFESVRQAVDLFGGGALSPWRHHHPPPPPPPPPLQLRPEEVELMKVEEQTVKLEMELFVKEKETFKVLKELQATKQVADNLKLQLEKAASESAASARGHSDAGKVYPLPDTERKCSYHTEQLVESAKGNQSALTTLIKLNQAKAFLNMDTVMTIKRQIEEEKGSLEKTRERLQLNRAKASSLEADLNKIVSQLQAARGPKPTLEPSDIWLQMKQLNSEKEKHRKIADDSKNEIGELTATIEHTKSRTKTLQFRIVMAEKLKEASRRGEALALAERNNLSNGEHEASTASDVTLSVEEHSVLVQKAEEADAACRKKIDAAMQELDQANRGKLELLERVEEAMAAVETSRKALEEALKREESTNKAKLVAEESLRKLRSEQIIQNWRPTGNSSVKFKTSATTTAAVVAHRRAGSGIYDVNGLSLVTATPKSTKAMSIGQILSMKLDHRELEVAGKGGGAKKKVSLGQILSQKYDALSPLRIDHGGASRRQFHPRRRKLGFVVYALLLAKHRHRKKRQPAAAAAAADSSCTHGSFSTKAVY >ORGLA05G0175000.1 pep chromosome:AGI1.1:5:18200137:18200784:-1 gene:ORGLA05G0175000 transcript:ORGLA05G0175000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPQSPLRITHDGEFYARLLTKESSQGNPSFRYYGAGTGAVPFVWESHPGTPKVDASSPFAEAGGVPAITPPPSYHLRAAAAMSSSPQPHGRSGRRNVVNGRGKYCGYCRLKWIKIGFIAAVFRRLALGKPSRASASSSAVQPSPSTRWLFSGSGSVETSDEPQPPAISPASTKQGGLLCLGVRPSPWMVQLCGVRSIRRVDTGSSWATHGWA >ORGLA05G0174900.1 pep chromosome:AGI1.1:5:18180705:18182535:1 gene:ORGLA05G0174900 transcript:ORGLA05G0174900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSAHWLSLVGSVWLQTINGPNADFPVYSSQLKEVKGISQVQLNFLAFASDAGKLFGWFAGVAALYLPLWLVAVVGASFGLVGYGVQFLFLERPGLAYWHLFLLTSLAGNGICWINTVCYLLCIKNFPSDSRVAVSLATSYLGLSAKLYTTMAEKMPRGATARYSKEKVYLLLNAVVPMLVTLVAAPSLRVVELTSHRRTDPAFLAMFAITLATGACAVVGSIGSKSIGLSTSEHMISLYILLALPVLIPAALKVRESMDKLREAKRENRVHDVAAATDVPETAVSVLEVAEAAENKEEDDAAAGESGGQDEVGGIRLLRRLDFWLYFLSYMFSGTLGLVFLNNLGQIAESRGLSDPSTLVSLSSSFGFFGRLLPAFLDYYTAKSGYSLSRTASMAALMAPMAGAFFLLLDPRDMFLYTSTAVVGTCTGAITSVAVSATGELFGRKNFGVNHNVLVANIPVGSLCFGYLAAFLYQREARGASRCAGAACYRGTFLVWGATCAVGTALCTVLYARSRGFAGRLPPPARSTTMPCAGQRPATNLGDDNKGPEPEVSSTAV >ORGLA05G0174800.1 pep chromosome:AGI1.1:5:18153126:18156633:-1 gene:ORGLA05G0174800 transcript:ORGLA05G0174800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLASSRRLLQAALAPVRAQSSLSAAAAAAAPAPENAFAAAPKMPPFDYTPPPYDGPRAEEISRKRAEFLSPSLFHFYDRPLNIVDGKMQYLFDEDGCRYLDAFGGIATVCCGHCHPDVVEAMVNQAKRIQHSTVLYLNHAIADFAEALAAKMPGDLKVVFFTNSGTEANELALMIARLYTGCHDIISLRNGYHGNAAGTMGATAQCNWKFNVVQTGVHHALNPDPYRGAFGSDGEKYARDVQEIIDFGTTGRVGGFISEAIQGVGGIVELAPGYLPAVYNMVRKAGGLCIADEVQAGVARTGSHFWGFESHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKERLNKLKEKHDIIGDVRGKGFLLGVELVTDRQKKTPAKVEIGHVMNHMKDMGVLVGKGGFYGNVFRVTPPLCFTKEDSDFFIEAMDISLSKL >ORGLA05G0174700.1 pep chromosome:AGI1.1:5:18148631:18152511:1 gene:ORGLA05G0174700 transcript:ORGLA05G0174700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVVTGPPPARLPASTRVDKATSHLLQGPDWAINLEICDTLNADRWQTKDVVKAVKKRLQHKDPRVQFFTLTLLETMMKNCGEYVHFEVVEQHILQEMVRIVQKKHDTQVRDKVLILLDSWQEAFGGPGGKYPQYYWSYIELKRSGIMFPRRPVDAPPIFTPPATHHTQSYGSPTYPAGSLNERMTSDVETLSLGDLNNIRDTTELLCDMVNALNPSDRMAVKDEIISELVTQCRSNQQKLMRFVSSTGNEELLKQGLEINDRLQSVLAKHDAIASGAPLPVETPRKDEIPREDPKIKPSAPPIAPPSAPPVEEDEDDEFAQIARRKNKSVISSDEASSSAGDQALVPVDPVTSEASSSVASNAVVPVDSSPASGTRTREQDMIDLLSLTLYSPPEASTDSSSQTQNGTQQSVTSNGPELPPNYQPAASNGSHYSSNQQAYPTNQAYTPYNNYVVPWAQAGQGTQAGGAYQTQPMQQYGSSYPAPPWAMPASVNSTNPFQPATYQMQNPPVASVAPTNTYPAPSSPYAAPPRQQVPSPATATKPMQQYNSFVSQTRSGPAMAQDVRMNGNPRPSETTAAAAKPYYMPDNLFGDLIDVKSYGGGKMSRTASMPSPNGSGQPLLGGKK >ORGLA05G0174600.1 pep chromosome:AGI1.1:5:18145198:18145488:1 gene:ORGLA05G0174600 transcript:ORGLA05G0174600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAVGALVASASASPAPLRALNGLVAAIRSPLGIGFDLNVPPETFGHYCAVLQSEMLCLELEPTPSPFPAPAARSHCFLSEDDTSSSSTQHQLAA >ORGLA05G0174500.1 pep chromosome:AGI1.1:5:18137737:18138165:1 gene:ORGLA05G0174500 transcript:ORGLA05G0174500.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGCSIITNLWPQAKKMAFDLKLTACLLLAVFSLAAAADCECQPSDEGHDAAKSRTLKVIAIFCILVGSSAGCAIPSLGRRFPALRPDTSLFFALKAFAAGVILATAFVHILPVSFDKLGSPCLVDGPWRKYPFTGLVAMLAAV >ORGLA05G0174400.1 pep chromosome:AGI1.1:5:18128360:18130924:-1 gene:ORGLA05G0174400 transcript:ORGLA05G0174400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribonuclease P family protein [Source:Projected from Arabidopsis thaliana (AT2G43190) TAIR;Acc:AT2G43190] MSTISDQKKRTLEALQQRYAAAKAKKLQDKPRCQTRNKDSAPKPNLDASRKGKAPDFIPSRTSAPFPSKKGLAISSSSASSGAFLGGETNPVYSELSFAPHDNLLQRSISDLDSMDVVHNVVYDIIQKGGDARKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLTLRKFDLYKPMHEMWKEYITELTKSTPKKQLSENLLSADLHGALVIVAECKSASSYQGVCGIMIRDTAETFGIISDDNHFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRVQAQIR >ORGLA05G0174300.1 pep chromosome:AGI1.1:5:18122827:18126869:-1 gene:ORGLA05G0174300 transcript:ORGLA05G0174300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTSDQRKRRPFLLSLSLFLLVSALLALAFLFLDPSAQSLSVLSSRLTAPTTTLAPPAVVAGGAEAGESADATEKAEETASRPDDTAAAVNADAAAGEGGGSSESPRLDADKGAAATEGVADDDGGGGGDEPAAKVRWETCRPGRGVSAADYIPCLDNMRAIKALRSRRHMEHRERHCPVAPRPRCLVRVPSGYRPPVPWPRSRDMIWYNNVPHPKLVEYKKDQNWVTKSGDYLVFPGGGTQFKTGVTRYIQFIEQIMPTIQWGTHTKTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDEAFDVVHCARCRVHWYANGKPLLELNRVLRPGGYYIWSATPVYRQEKRDQDDWNAMVKLTKSICWRTVVKSEDSNGIGVVVYQKPASNSCYLERRTNEPPMCSKKDGPRFPWYAPLDTCISSSIEKSSWPLPWPERLNARYLNVPDDSSSTDEKFDVDTKYWKHAISEIYYNDFPVNWSSTRNVMDMNAGYGGFAAALVDKPLWVMNVVPVGQPDTLPVIFNRGLIGVYHDWCESFNTYPRTYDLLHMSYLLGSLTNRCDIMEVAAEIDRILRPDRWFVLRDTTEMIKKMRPVLKSLHYETVVVKQQFLVAKKDFWRPGK >ORGLA05G0174200.1 pep chromosome:AGI1.1:5:18110032:18110748:1 gene:ORGLA05G0174200 transcript:ORGLA05G0174200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGADAQAQPVAQAPPAMQPMQQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLKYLDQFGKTKVHASGCAYYGQPSPPAPCPCPLRQAWGSLDALIGRLRAAYEESGHAPESNPFAARAVRIYLREVRDAQAKARGIPYEKKKRKRTQQQQPPPPPPPPPQHQPGAAAGEASSSSSAAAAAVAAEGSGSSAAAAAATIQTGGGGGGSTTTTTASAAAPTTATRV >ORGLA05G0174100.1 pep chromosome:AGI1.1:5:18088085:18088858:-1 gene:ORGLA05G0174100 transcript:ORGLA05G0174100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSWVRTRSSKSKPAAAAADTTSTALVAASKRLTFSSPSLKDLQSLLVPPHAALSSSSPSPPRVFHRIRVAACALRVLRNLQSAGQQQQPHAAAAIWSEPGGGEGARVVLYYTSLRVVRGTYEDCRAVRAILRGLRAAVDERDLSMDPAFLPELAALLPHRRHVALPQVFVNGRHLGGAEEVRRLHESGELRRIVAAANPTPASCGRCAGERYVLCGSCDGSHKRYSHKGGGGFRACAMCNENGLVRCPDCCLPPA >ORGLA05G0174000.1 pep chromosome:AGI1.1:5:18064989:18068600:-1 gene:ORGLA05G0174000 transcript:ORGLA05G0174000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRRNPVIFLFLWFVMAFELCASLNHEGVALMRFKEMIDADPFDALLDWDEGNASPCSWFGVECSDDGRVVALNLPNLGLKGMLPQEIGTLAHMRSIILHNNSFYGIIPTEMKYLHELKVLDLGYNTFSGPFPSELRNILSLKFLFLEGNKLSGSLPIEYELTSMDQTSLNKISTESRNEENATRRKLLASKQKRSQKNRMLLSGTSESPLENVTMPKSHPDNITVPHRPVAPRSSSPQPPLPSEPIPSPAPSMPSPAPSVSTTTNGTSGQENKSKTAIYASIGGVACLVVVAMSAALILCYRHRKTSTVVPFSPTAIGQLHTATLGGITKFKRSELETACEGFSNIIDTLPRFTLYKGTLPCGAEIAAVSTLVTYASGWTTVAEAQFKDKVEVLSKVSHKNLMNLVGYCEDEEPFTRMMVFEYVSNGTLFEHLHVKEADQLDWQSRLRIAMGVMYCLNYMQQLNPPVLLRDLSTSCIYLTEDNAAKVSDISFWGDKKEDDEKSEASDEHSTVYKFALLLLETISGRRPYSDDYGLLILWAHRYLIGDKPLMDMVDPTLKSVPEEQVRELTKLVKLCLSEDPMERPTVAEVTAWMQEITGISEEEAIPKNSPLWWAELEIITS >ORGLA05G0173900.1 pep chromosome:AGI1.1:5:18063069:18064532:1 gene:ORGLA05G0173900 transcript:ORGLA05G0173900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVHERVAPPPAAELAGRRGGGGGGGMEIVTARVGGCGYGYEEEGGTRRQQRRRRKVSDGHVVAQLLDSPLPTPRRSCCGSLSAAGTPRSAARCGGGGSPGAPPSSPPLSPQRTHVPFSWESSPGVPKDAACGRKVVREVLPPRPPPGRGGGGGGSPAHAHARAYFGNATETTSSDDDDSDDTFSDALDRISASDRFAAFSSRLSSIDGAGSLRLPSFIMDRFLPAANAIATTSADKRPKKTPRRGARSSKQDEEATASARRRAQSLRRASGREQPKQPPPRHHVSTLQRKESEPPPPPRQSRDIDEETQSDEMSPRSCGFMLFLPWSVKPVLCGFARSRTSRAADASTTASSPPRRSVTLGNALEKEKEKEKDKSKLRGGGGDPSRWSDEKSGSGREWSSPGWGTAILGTSKRYCADARKALSRLARSATDGRGSPRVTGERRAGKPAAAASPRRSTSGEIPPLSPPSESWLSHARGSSTLSNKR >ORGLA05G0173800.1 pep chromosome:AGI1.1:5:18057635:18061594:-1 gene:ORGLA05G0173800 transcript:ORGLA05G0173800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADQNPNDAEHREAAAGANAAEEEYEEEDEEEEEVELDGPAAVAAEREKVQAVFKRLSSDPVGIRVHDVIIKGNAKTKEELIEAEVAELLRSAPTVQDLLRNASIASARLRQLDVFDSVNITLDAGPPELPGTTNVVVEVVEAANPITGSAGVYSKPEARSWSLEGSVKLKNLFGYGDIWDASGAYSWDQTSEVGIGVSLPRFKSISTPLMARASLSSQDWLKFSSYKERLLGLSFGLISTMQHDLSYNLTWRTLTDPSQVSSKSIRRQLGHNLLSALKYTYKIDQRNSHLRPTKGYAFLSTSQVGGLWDSKGLRFFRQEFDVRGAVPLGFYNSALNVGLGVGAILPLGRGFMNLSSSVPDRFYLGGHSSPVCSLSGLSSLLGFRTRGIGPTEPRRLVPSESEDGSAASPGRDYLGGDLAVSAFADLSFDLPLKIFRDAGIHGHAFLTAGNLAKLSEGEYKKFSLSEFGRTFRSSAGVGIILPTKLFRVEVNYCYILKQAEHDSGRTGIQFSFSSPL >ORGLA05G0173700.1 pep chromosome:AGI1.1:5:18053839:18055521:1 gene:ORGLA05G0173700 transcript:ORGLA05G0173700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDAARSSRRMDLNLYLGLPRAPRLRRPDLGSDLALGTPMLSSSPSSSAASADAPPLETEPLHPPYSPPRAELVRPPTPLPEPYDPSAPEAHPPYVPPPVPPPEAIPELADDLEFGFSHPPLLLRPSELLGWVDRPSSSTASSSFRPERVDRYRPPVICLNSRQSRCLRPRRFRSDLPPLGSEAPALENDAAAQPPPQEPMQDTVEENKVVADGAIVGASEEEPAERGKSVAMFECNICFEMASEPVVTSCGHLFCWPCLYQWLHVHSTHKECPVCKGEVTEGNITPIYGRGNSTSDAEKKVAEEGNVSGPTIPPRPHGNRLESFRQKFHHLRPISRRLGEAHGILSSWRRILDQQIMNSVSRFEGPPESTVQEMIDHAHHASRLGRITTRMRARRLQREAENSTFVASSAAESGLPANSTSDLPRRSSSPFSSERIDLLQHFVDLASTERLASAVSDLRRMVRPSPYGASTSSNPPNPNTELLPVDGNHVAVALAADQASNSSTMAVIQEDAAFTESTGEPSNAGSSRSLRRGRNDALGSLDVDGVGLHRNKRRRLN >ORGLA05G0173600.1 pep chromosome:AGI1.1:5:18048791:18048988:1 gene:ORGLA05G0173600 transcript:ORGLA05G0173600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIKNRADFMDVELLTMKRRSICFLSSPLKSSTSDFTLNTPKGVYINLPKVCFFLFLSHIFFIQ >ORGLA05G0173500.1 pep chromosome:AGI1.1:5:18046902:18047114:-1 gene:ORGLA05G0173500 transcript:ORGLA05G0173500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLCLKTLWHAKHLKPETVLLRQANSHSSWGFLPKFLFRISGLHINSVKNAECIIRETHASSIENGVL >ORGLA05G0173400.1 pep chromosome:AGI1.1:5:18033377:18035731:-1 gene:ORGLA05G0173400 transcript:ORGLA05G0173400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGRRNPLLSARRAAASLRRSRRLPVYVAAVFFVASVLLMFRDEILYLTTARSPSSSLPTTGGSAGGAGLARREELVSVNKPVLLGHGGKPEKHHSVTERHRPKVSAKRRPNKKAAKAARKKFMASPAVAAGAEVNVPETCNLSKGKWVFDNATYPLYREQECEYLTAQVTCTRNGRRDDGYQKWRWQPRDCDLPLAFDARLFMERLRGKRLMFVGDSLNRNQWESMVCLVRPALSPGKSYVTWWDGQRVVLHAWEYNATVEFYWAPFLVESNSDDPKAHSIRDRVIKPEAIAAHAGDWVGVDYLVFNTYIWWMNTVNMKVVRPTGKTWEEYDEVGRIEAYRRVLDTWATWVNDNVDPARTSVFFMSVSPLHISPEAWGNPGGVRCAKEDAPVQNWHGPLWLGTDWDMFRAARNASRAAGRVPVTFVDVTAMSELRKDGHTSVHTIRQGRVLTPEQQADPATYADCIHWCLPGVPDVWNLMLYARILSRPPPAAAAAGHVA >ORGLA05G0173300.1 pep chromosome:AGI1.1:5:18031520:18032035:1 gene:ORGLA05G0173300 transcript:ORGLA05G0173300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHHLWPPWEFIWKSLAPPRVKFFGWLMTMNRLPTAVNLHKKSIIPSPTCQLCNTCPEDTDHIFLACPLASAFWGLIQVLPMLTSLSEIHTIHWSGDLPERLNSTFFLLCCWRLWNHRNEVVFQHLAPSINRLLRCCANDAKLWANRFKLADRAVLSSWLASFSSPLHLM >ORGLA05G0173200.1 pep chromosome:AGI1.1:5:18015485:18024270:1 gene:ORGLA05G0173200 transcript:ORGLA05G0173200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALVGNPSNGVAKPSCNSVGSLPVVSSNAVIHPPVTSAAGATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLKLIGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAVINNLDDAHEQIDTAIATALRESKPVYISVGCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAADFLNKAVKPVMVGGPKIRVAKAKKAFAGIAESSGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLDRNTTAYDNYRRIFIPDREPPNGQPDEPLRVNILFKHIKEMLSGDTAVIAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQQMTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELIEAIATATGAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPQ >ORGLA05G0173100.1 pep chromosome:AGI1.1:5:17997645:17999465:1 gene:ORGLA05G0173100 transcript:ORGLA05G0173100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSVAHLFFFFSFLLLLFSSLLQLVGGGDRLSALSDGVIGHILSFLPANEAARAAVFSPRWHHTFTAVHTVYLVEPDAPVVDHDELAKRQFEPQKYSVLRGLFTCAVLRSLSLGSVRLTLPAAIALPSLETLLLADVTDHERNMQRLISGCPRPADLTLEACYEMAPLSVAGLALRCCHGLDTVVLDDMSSPSELLQAFELQATALR >ORGLA05G0173000.1 pep chromosome:AGI1.1:5:17975929:17976513:1 gene:ORGLA05G0173000 transcript:ORGLA05G0173000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQESAAGTTNQVMRWRYGDVDDSNFAVHGRAVYLLVGLLVAVVVFVALCLYLRWACHRYTPDPEASSSSSAAGAAGAAAAAPMHGLDAEAIGGLPVTLYRPRDSSSPPAGKGGGGGVDDDQAAQCSICISALVAGEKVKALPPCGHCFHPDCVDAWLRSQPSCPLCRSLLLAAAATAAKPDVNGGDDDDSAV >ORGLA05G0172900.1 pep chromosome:AGI1.1:5:17968925:17969522:-1 gene:ORGLA05G0172900 transcript:ORGLA05G0172900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEBP (phosphatidylethanolamine-binding protein) family protein [Source:Projected from Arabidopsis thaliana (AT5G01300) TAIR;Acc:AT5G01300] MAQESLRLVSHPIAAHEGRLPRHYTLEGQGAKKDISPPVEWYGVPDGTRSLALVVHDVDAPDPDGPIVPWTHWVVANIPPSVKGLPEGFSGKEGAAAREYGGIQEGVNDWKQPGWRGPIPPSRGHRIQFKLYALDDEVHLGNKVTKDKLMDAIEGHVLGEAELMAVF >ORGLA05G0172800.1 pep chromosome:AGI1.1:5:17964781:17968455:1 gene:ORGLA05G0172800 transcript:ORGLA05G0172800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:I1PWI0] MAAAGAYSASLPAVPDWLNKGDNAWQLTASTLVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGFRMAFGDQLLPFWGKAGVALTQSYLVGRATLPATAHGAIPRTEPFYPEATLVLFQFEFAAITLVLLAGSVLGRMNIKAWMAFTPLWLLLSYTVGAFSLWGGGFLYRWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWMGWAGFNGGAPYAANIAASVAVLNTNVCAATSLLMWTCLDVIFFRKPSVIGAVQGMMTGLVCITPGAAGLVQTWAAVVMGIFAGSVPWFTMMILHKKSALLMKVDDTLAVFHTHAVAGLLGGILTGLLATPELFSLESTVPGLRGAFYGGGIKQIGKQLGGAAFVIAWNLVVTTAILLGIGLFIPLRMPDEQLMIGDDAAHGEEAYALWGDGEKFDATRHDLSRGGGGGDRDGPAGERLSALGARGVTIQL >ORGLA05G0172700.1 pep chromosome:AGI1.1:5:17952224:17960486:1 gene:ORGLA05G0172700 transcript:ORGLA05G0172700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose pyrophosphorylase 3 [Source:Projected from Arabidopsis thaliana (AT3G56040) TAIR;Acc:AT3G56040] MASRTLPPPHLRLDLCSPRLPPLRSPGCRRRRRRGRLLSALSSPSPSPSSASRSQSVSTAPLERGVGPGPATSREQPRGGGDPALAAELARLSALRARLRGARSLADKLRALDAETRVVEFFGEGSNGGVLGALEPREVFLLKCLVAAGQEHVLGAELDWDGRGHEHHHHHNGGSDGRSALRQALSSLAALVGKWSSEGVVEGVAESGESELLRRLLKFLGDIDVFYDCIGGIIGYQIMALELLSASKDHKHRPSKHKSIDFHVPSGLNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGKQCITPVAIMTSSVKDNHEHITAICERLEWFGRGRENFRLFEQPLVPVVNAKDGKWLTSGALFPVGKPGGHGAIWKLACDRGIFQWLYQNGRKGATVRQVSNVVAATDLTLMALAGIGLRHDKKLGFASCERRPGATEGVNVLIEKENQDGQWAYGITCIEYTEFEKYGIPEPTVTNGSAAGGRLECTMQNIADNFMNTYNYRCSKGIESELDTFIVYNERKKVTSSAKRKLKSEDKSLHQTPEGSLLDIMRNAYDLLSSCNVKVPKVKDNCEYLRSGPPFLIFLHPALGPFWDITRQKFVGGSVSQGSELQIEVAEFLWQDVELDGSLIVLADNIMGSTNKNNTGEQIMHYDETQNSMVVERCGRCKLQSVKIVNKGINWSSANNVYWKHDVERSESVKIILHENAEFEAKDVVLKGNHIFEVPTGHRMRIVQDGPEFVAKLDPISKEMMDSGTWYWKYAVDGAHVKLEMVEL >ORGLA05G0172600.1 pep chromosome:AGI1.1:5:17947689:17949309:-1 gene:ORGLA05G0172600 transcript:ORGLA05G0172600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLATVVAIFLAAILLSSPPPCAAASSAPAPAAAGHGVPAVFAFGDSTLDPGNNNRLATLVRADHAPYGRDFPGGAATGRFTDGKLITDYIVSSLGIKDLLPAYHSSGLAVADASTGVSFASGGSGLDDLTANNALVSTFGSQLNDFQELLGHIDSPKSDEIAGKSLYVISAGTNDVTMYYLLPFRATNFPTVDQYGDYLIGLLQSNLNSLYKMGARKMMVAGLPPLGCLPVQKSLRGAGSGGCVTEQNEAAERYNAALQKALSKLEADSPGAKIAYVDIYTPLKDMAENPKKYGFTQASLGCCGTGMMEMGALCTSALPQCQSPSQYMFFDSVHPTQATYKALADEIVKSHVPQLMQ >ORGLA05G0172500.1 pep chromosome:AGI1.1:5:17942689:17945160:1 gene:ORGLA05G0172500 transcript:ORGLA05G0172500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHRGLSAVASGRLRRALTTAASRPPWALIHRISTADGSTGAGVSLALAPPPRASRVTIPAKAIALNDRPISADESRVALRGRGVLAASGDGLLLVYTFKACFRGPAHPVPELPLDVIIPELARTTVETTYEHFASFVCNPLTGELFRLPDFDGTENTVDVHHTGILTQRDGGGEDGPPKRYAAAQLSNVDGDDEGRRFLLRRYSSETREWSKLVMPSPLPPGRAMGMNHEVVAFGGRLWWVDVSWGAVAVDPFSHRPEPSSIKLPAGSILSEKPCSREMERIVKHRRMGVSNGKLRYVEVSDQEPFVVMSFTLDDESGHWTLDHQVALSTLGAKGGSPKGIPYNGAIDPFNADVLYLAIERVSVSVDMRLKKVIQCSELCSDVFPTVSSSGVLLPCVLPPWLDSFPIPNAGKNNMKNETLADILVRSDRGK >ORGLA05G0172400.1 pep chromosome:AGI1.1:5:17938428:17940749:1 gene:ORGLA05G0172400 transcript:ORGLA05G0172400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRRLLGLSGEVSGRLRRSHSTVASSSRPAWAMIDIARQDPPELHATSRIAEPPATSDGFLLRGVYENDVARVVCNPLTGQLFRLPDIGGARSEASPGGAAGLLTQADRGDGHGPPDRYAVIEVGVNGHVMHRFLSETGRWEAMPSFFSSLPFGRSTIIDHPPVAFGGRMWWIDLGWGAVSFDPFADEPDFCIVALPTGSVLPAEASGFATRRKLRLSRYRRVGVSDGRLRYVEVSASAGAEPFELNSFVLDEAGNRWTLVSSGEPLADGRQLCPDGSHIFAEAPFICCIDPLKGHVVYLMAGPGNQVVIGVDMETGLVTGASLLDQLNWLTPCLLPPWLGSCQIPSSGKNNVKNEALAEILVRSDRAK >ORGLA05G0172300.1 pep chromosome:AGI1.1:5:17933347:17935445:1 gene:ORGLA05G0172300 transcript:ORGLA05G0172300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRRLLALSGEVSGRLRRRSHSTFASPSRPAWAMVVSEPQEEAPELRATFRLAEPPRASQLLVPYDAIRPRGSQGPLCAAAEEATSEDGLLLLRAIVAHGACACAHATAPNLPASSRPPGQRSVARVVCNPLTGQLLRLPDIDGASSEGSPGLMGYAGLLTQADGGDGPPDRYAVAEVSCDDFVMHRFLSETGRWDAMPGFLSPLPAARPIVVDQPVVAFGGRLWWIDLAWGAVSVDPFADEPDFRFVELPRGRVLPSSNEMSFERRRRKKVLSTHRRVGVSEGTLRYVEVSGVEQFVVRSYVLDDDGSSWTMEQSTALRAQGAPFPGMPGIACIDPVNADVVYIMVGSGLVLGVDMERGMGFGLSVLDEPAWPTPCVLPPWLESTRIPSSTETLLSKKTNVERKSLADMLVRVDRCC >ORGLA05G0172200.1 pep chromosome:AGI1.1:5:17929247:17931591:1 gene:ORGLA05G0172200 transcript:ORGLA05G0172200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLRHLLGLSGEVSGRLRRSHSTAASRPAWAMMADMGLVHTEQEPPEPITTATFCLASPPRVSQLVVPIRFIVSLAVPVDDGSKGEVISRGTICAANSGGLFLVRTALELVQVPAHGGNPVFIPRPKDDTWPPLPGLKSDTKVVRVVCNPLTGGELLPLPEEDPDTGDTGGTWRFVKPGFLTQADRGDGPPDRYAVAEIRGEDSIMHRFLSETGRWDATPGFSSAIPAARPAITADHPVVSFGGRMWWIDLAWGAVSVDPFAAEPDFRFVELPSGSVLPAADAISVERRRLQEAPLSRYRRVGVSEGRLRYVEVSEASPFVLSCFTLDDEGGSGWTLEHRVALGRLWSEPLQETPRIGALDPLKASVVYLMIGEDGRHVVGVDLEKGVMIGSCLLEHPTGLTPCVLPPWLETSRIPSTGTLSSKKTNAESKSLGDMLVRVDRGC >ORGLA05G0172100.1 pep chromosome:AGI1.1:5:17924933:17926153:1 gene:ORGLA05G0172100 transcript:ORGLA05G0172100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRRLLGLSGEVSGRLRRSLSTAASRPAPAWAMMDDAVRLDERVPPERRPTLRLAEPPRVSRLTVPLHCLLCPATPGTEGNVACRINICTASSGGLLLLRTVFDLAQVPDHVKFPIPISKDDTTWPPLPGLKSHTEVSRVVCNPLTAELLRLPEDPDTVGESKSWHNLPHGFLTQADRADGPPDRFAVAEVRGADCVMHRFLSEKGRWEATMSLSSLSFTRQILIDQPVVFFGGRMWWIDLAXGAVSVDPFPDQPDVRYVLLPSGSVLPADAARIEMRRGKVGLRRCRRIGVSEGRLRYVEVSGAKPFVLSSFVLDDDGGGRWTLAHRVALGPLSPAGPLQIGAIDPLNASVVYLVVGGDDGKHVIGVDMERRMVIASFLLDEPTVFTPFVLPPWLASSRIPGDHL >ORGLA05G0172000.1 pep chromosome:AGI1.1:5:17920604:17922665:1 gene:ORGLA05G0172000 transcript:ORGLA05G0172000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRRRLLGLSGEVSGRLRRSLSTGPSRPQWAMVNTVTPLPKPNVPLETRATFRLAEPPLASELVVPYGALWRRPSGPAAGLEGEIRRYGPRYTANSDGLLLLRVVDEVAKLTGQAATNMFDNRGDSSWFVQSGIRYDFDKVVARVVCNPLSGEVLRLPDGGLARQAYAGFLTQSDSGGGPPDRFAVVEFIGKDLPIHRFLSETGRWDELPDYLFGCGLTRPRKVIVDHPVVAAGGRLWWLDMTWGAVHVDPFSHDPDFRFLELPIGSVLLNPEATNPEWRHKLRLGDYRRMGVSEGRLHYTELSWDEPFVLSSFALDGDTVEGSGWKWTLEHRVELSQIWGDGGYPWLPFRGTKPCVGFLDPLNAHVAYPTVGDHVIGVDMERGVVIGSSQVEDPSELMPCVLPSWLGSCQIPPSGKDNAKNKTLGDILVRSGSDKK >ORGLA05G0171900.1 pep chromosome:AGI1.1:5:17915871:17917988:1 gene:ORGLA05G0171900 transcript:ORGLA05G0171900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRLTGAVSGGLARYLSTRAASPARPPWALLQLSKMDRSGASSQPGASLHADYPPCVSYLTFAASFVDPRLRHDAESELFGTVSTDVRATSGDGLVLVRFYDSRNHLPTVGSRGGEPMREWALDGVDRDPEVTRFVCNPLSGEMYRLPDLNGTKKTSRYLHFGLLTQSDAGQGPPARYAVAELDGNREEDGQGWLVRRFLSDSGEWDKLVGMPSPLPARRTVDIDQEVVAFGDRLWWVDASWGAVTIDPFSDRPELRFVELPKESVLPDLDDVVMLRELGKYRRMGVSEGKLRYVEVSLGKQFLIRSFSLADDDEGGDSWTLEHEVAFGPIWKDEHHASVPLGGMPRIGAIDPLNANIVHLIVGDQMLSIDMIKERAIDSSRLGCADFPLLPCVLPPWLESSQIPEGIHWSKKAKMKSNTPSYSDMSVHVDIELKK >ORGLA05G0171800.1 pep chromosome:AGI1.1:5:17913923:17914213:-1 gene:ORGLA05G0171800 transcript:ORGLA05G0171800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAILLPKGVLLSLTNAEELSSGQGMNTAMLATAKLRGTLFVLRRKKGGAGIKNLEVQNNDGDSASWIDCFQTERVYSAFSGDKIEWTVGPVAHLQ >ORGLA05G0171700.1 pep chromosome:AGI1.1:5:17912103:17913677:-1 gene:ORGLA05G0171700 transcript:ORGLA05G0171700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDSRRLADLDDDELDRLLPLIKVTPFAAHRTSAPEGCGCPCAATRRLEEGQASSERTPNPPTVAQSSLDNSKKDSEKALRKRSIKMAMKIINYSEDCMRKIVELNATLPLDQHFFPACIWPRDLEDLRFSKIGFYVDYRLNDTSPTTWGCKCFAHEDKYVASTMLRINGLVFTGDFPHGRSMHVYGFVAVRDMIKSH >ORGLA05G0171600.1 pep chromosome:AGI1.1:5:17909860:17910462:-1 gene:ORGLA05G0171600 transcript:ORGLA05G0171600.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSPSLEISPPMRGISVWDSALIEFHLKVKGSDSDSSSDDDILINACMEFDYETIERDKKLISRIDGPFGPLDMRYIFLKNGIEATIDIDLGSTSEAYDILLVAFSGEDSMTLYKDRVGQHTKFTAVVIVPLDELLHIKAFGTYGSSHFDGNIAVPVLKHGSCKKPFWFQLAEKKSGHKNLPRACLEVTFSTMGYYNTGEM >ORGLA05G0171500.1 pep chromosome:AGI1.1:5:17891421:17893488:1 gene:ORGLA05G0171500 transcript:ORGLA05G0171500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLRRLAGAAAGRHLRRPLSTSASRPPWGMILTEAGLDRSGAPSRSARASLDLVEPPGSSRLSVPAHFINPGPLPDAEGDAVGFVGWVIGGSGDGLLLLKFYDTRYHAPVVANVRLAVELQLSRTTSEGVLDPDVAHFVCNPLSGQMYRLPPAIETTKYSTGRGLLTRSESRHGPPDRYVVAELTRGESGSSVLRRFMSETEEWDDLATARSSSGSERAAAAERVMHLDHQVVAFGGRLWWVDVSWGALSVDPFSDRPEERFVELPKGSVLPDLTGIGGRRILGLYRRMGVSEGKLRYVEVSNAKKPFVVSAFSLDDEGSSWTLEHRMEITPSWKGELKVPEKPRIGAIDPLNANVVYLIFLHEVLAVDMAKGEVIGRSSPDDVNSSSVVPCILPPWLESCQIPSAATLSTKKTDVERNTLADTLVRVDRGS >ORGLA05G0171400.1 pep chromosome:AGI1.1:5:17887090:17889828:1 gene:ORGLA05G0171400 transcript:ORGLA05G0171400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLLLVGLPALLFLSDLSHIFAPPPPHLRHPHHHPPHHHPHPHPPHHHPHHPHPPHHLHHPHPPHHPHPPHHPHPPHHHPHPHPDPAAEAIQQANVDGAGYGTTVELQFCASCSYKGTAMTMKRMLETSFPGIHVILHNYPPPFPKRVLGKLVPILQVGAIATIMAGDHIFPRLGMVPPPWYYSLRTNRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGDLVFSKLAEQRFPSEFELRDLINSRLPDSLVGKNVGKALS >ORGLA05G0171300.1 pep chromosome:AGI1.1:5:17873923:17878526:-1 gene:ORGLA05G0171300 transcript:ORGLA05G0171300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVKTSHFPASTGGGKKKPHQARNGGGGGGGGGGGGGEKKRLSVLGEEGSEVSGGIEEKYALDRELGRGEFGVTYLCMDRCSRELLACKSISKRKLRTPVDVEDVRREVAIMRHLPRSASIVSLREACEDDGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSDNAKDLVRQMLQPDPKLRLTAKQVLEHTWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKDMFKVMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGYIEPEELQEALVEDGATDIMEVVKDILQEVDTDKDGKISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSIRLIKDGSVKLGNE >ORGLA05G0171200.1 pep chromosome:AGI1.1:5:17865753:17870109:-1 gene:ORGLA05G0171200 transcript:ORGLA05G0171200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGAEGAAMGFLPAAEHGFARSAAPSPLREEEEVLDDDPKLPPLRMPTSFAAFPGSSSGSDSDSFLSMSSTPSGLMNPYGVWSPRAPPSEASSSEMEFGTAREYDTTDLFFGENWLYDDHLFHTNSDGDEGNGEDKFIVGADSTAQWSETRELDDCGGRHQVHTKSKADAEACAEVYTCSSAPCSCCYGGRKNDDGLTRDSCSAVYGRYLIMDDQTEVLDECGADAFLFTRDGDAMLKSEQPIDSKGGDIELLDMSTVEKELQMLSPYLAEANALEKAELEHDFSGNGELDINIVTNEKTADDKELLKNSYSIHSLPEIGDPLDVYEMEDFGRTDTSVQNSTANKIAEDARTDIDLALSRFHEEYEVFELRIFHRKNRTGFEENKEFPIVMNSVVGGRYRITEYLGSAAFSKVVRAQDLWTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPDDEHHILRLYDFFYYQEHLFIVTELLRANLYEFQKYNQESGDEVYFSLRRIQAIARQCLEALVYLHHLNIVHCDLKPENILMKSYSRCEIKVIDLGSSCFLTDNLSLYVQSRSYRAPEVILGLPYDQKIDIWSLGCILAELYTGEVLFPNESVQIILARMIGTIGPIDMEMLALGQDTQKYFTEDYDLFHKNEETDQLEYLIPEKISLRRRLQCPDTKFVKFLSYLLQINPRKRPTASEALQHPWLSYAYQ >ORGLA05G0171100.1 pep chromosome:AGI1.1:5:17862717:17864912:1 gene:ORGLA05G0171100 transcript:ORGLA05G0171100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEFLSPEAAAAAGVGPVRQQQHPGEAAWRAVVGWLGFLLQILLQIVRGTPSSWAHLLSFLGLRHPLLSAAPPQPSPSPSPSVAFVRLPSEAPADASSTGPPPPLRRLTVVLDLDETLVCAYESSSLPAALRAEAVEAGLHCFDMECISAEKDAEGSQRVNRVTVFERPGLHEFLQRTSEFADLILFTAGLEGYAKPLVDRIDAHNRFCHRLYRPSTVTTEYREHVKDLSCLSKDFHRIVLVDNNPYSFLLQPLNGIPCLTFSAGQPVDDQLMGVIFPLLKHLSLQNDVRPALYETFHMPEWFQRHGIPQIDQAA >ORGLA05G0171000.1 pep chromosome:AGI1.1:5:17859339:17860473:-1 gene:ORGLA05G0171000 transcript:ORGLA05G0171000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPERSYSCSSAKEVAYSCGYCGYALNLSSSTRNTANIGSKYGKHIRKGVISFFAIDESRFTQTDEVSCMPYFHSRRSWGLFRKRTRLICRKCGGRIGNAYEDEDSTLYDGSDDLHMSSEGYSMSSGKKYVIKINALQPSTDDSGVPFTL >ORGLA05G0170900.1 pep chromosome:AGI1.1:5:17857781:17858092:1 gene:ORGLA05G0170900 transcript:ORGLA05G0170900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:I1QBF3] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ORGLA05G0170800.1 pep chromosome:AGI1.1:5:17837700:17840430:-1 gene:ORGLA05G0170800 transcript:ORGLA05G0170800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLDTTLHEMEDRVKAMLNLIGADGDSFGKKAELYFKSRPELINHVEEMFRSYQALADRYDRISSELHKANHTIATAFPDQIQFSMQDADGEGFQKAISGIDLSNFKFPALEGLPMGSRGASRGTSPVPKRTQMHRRITSHMNKENAQEEIDKLQKQILVLQTEKEFLKTSYDSALGRYLDIEKQVVELQDEVCSLQDAFSTGAAIEDNEARALMAARAIVSCEDTLVNLQDQQRRSSEEARTEFKRFIEAKKKLDTFKAECGQPHTQNDEPDNSDKEYIHAMPSGDVDDSVQNEIRFDLQEVCQKVKELIELHPGVSVTDLADKVDRLVEKVIDLELATTSQNAQINRMKTEIDDLHRRLQALEEEKSALVADSSKLVDRLKQVEEVLQAVQHLGNSIQNGTQNIHKEMNAACSELAEFVEKLHEPEPQNSGFMNSSQESSCQEEDSEVTSQYAKKQTSDSIDGSKNEVEKQDKGSEGPLVQQHPDTNGSDGEDKILLEGYASVLQSYKGTEQKLSEIEKTNQEYHSRSMSELKDLKSANAMKDEEIHSLRRMLSSLQRKINAPAPENVDKSEETSKISTTPVTEDKEIAEIEEYMKQCQVEEQLASSISEEKFRAEIDRVLENNLGFWLRFSTSYHQIRNFQTSFDKLKTEMDKLIDAQAQCGADGVPISYQVAKLESAVLEKKFRDLNTDLQVWIEKNVLLKGELENRFSSLCGIQEEISKIATLDKSDEVHFTPFQAAKLQGEVLNMKQENNKVTKELEAGLDHVRGLQVEVGRVLLKLRENLELSIARSNRAQQNFRNLSTKAGVPLRTFLFGTKPKKPSLFSCMGPGVHKHHSGSRAGRR >ORGLA05G0170700.1 pep chromosome:AGI1.1:5:17833472:17834637:-1 gene:ORGLA05G0170700 transcript:ORGLA05G0170700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPSSSSSPPTYSDSSMHHALSFSSALPTAPTEIPGSGGGFVDDKGSMFSLPNVAGSAPPPSYYSSLPSFYIHRSTSSHSLLHHRLSDLLNSNAAFSYSSAPACQLQPLPPVSSSTSSSSGDLLEFSSGTLRRVFSTGDLQAMNVPPSPPPPPPFSGDICSQEVGGPFSQKVGRYSAEERKERIERYRVKRQQRNFHKKINYACRKTLADSRPRVQGRFARNAETEADAEADAVAGLDTEVYGNGYGYCAYSGLTNSTSSNCYDNQSQSQWWGTPAGAANWQHQQQKQQLGFDVAVDGNDEDYELWASIADMCSGTXPXADL >ORGLA05G0170600.1 pep chromosome:AGI1.1:5:17819132:17823532:1 gene:ORGLA05G0170600 transcript:ORGLA05G0170600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDYVDVPLGGGGQSTLPPVAPLKKQPSRLASGMKRLASMVPDTMKLKRTHSSAQPALRGLRFLDKTSAGKDGWKNVEKRFDEMSADGRLPQESFAKCIGMADSKEFASEVFVALARRRSIKPEDGITKEQLKEFWEELTDQNFDSRLRIFFDMCDKNGDGQLTEDEVKEVIVLSAAANKLAKLKSHAATYASLIMEELDPDHRGYIEIWQLETLLRGMVTAQGPPEKVKLASASLARTMVPSSHRSPMQRRFNKTVDFIHENWKRIWVLSLWAILNIALFMYKFVQYSRRDAFQVMGYCVCIAKGAAETLKLNMAVILLPVCRNTLTRLRSTALSKVVPFDDNINFHKVIALTIAIGAATHTLAHVTCDFPRLVSCPRDKFEATLGPYFNYVQPTYSSLVASTPGWTGILMILIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVIAYILLVLHSYFIFLTKQWYNRTTWMFLAVPVLFYSCERTIRRVRESSYGVTVIKAAIYPGNVLSIHMNKPSSFKYKSGMYMFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTTELRNLFGKACEAQVSSKKATLARLETTIIADGLKEETCFPKVFIDGPFGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNGDVQSTHDAELGCTFKSNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHDNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLANAHQNSRIGVFYCGSPTLTKMLRTLSLEFSQTTTTRFHFHKENF >ORGLA05G0170500.1 pep chromosome:AGI1.1:5:17813047:17813835:-1 gene:ORGLA05G0170500 transcript:ORGLA05G0170500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSQSAQLGMATAFFGALSFLLSILGELNKPPHGTPIRGRGVVVCKFPADPTVALGALSAVAAACGAGVGALAVFFPYNGKPVPRKALFDYTLLYVFFHLAIGITVAGIATTAWVTASEAMHRVRNVQGGDPEYACPTAKTGVLGGAAFLNLDASLFWLLCLMLAGNVKEEYFDDGGGGGGEVGDGVAGLEEK >ORGLA05G0170400.1 pep chromosome:AGI1.1:5:17798105:17804600:-1 gene:ORGLA05G0170400 transcript:ORGLA05G0170400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSLPFIAFEHKRDAYGFAVRPQHLQRYKEYAGIYKEEEEERSDRWKNFLERQSEPSGQEEKGEAAGRVVDSSGIGGSSLLREKIVQGPHKIEIWKPIRPSLGNIEQIMSVRVEEKQSPASGNQDTKDVIHPVKVQEGKLSEDSDDEFYDVDKVETSQEMHSSDSANTGIDNRGQEENFPSMEELECLVHGGLPMALRGELWQAFVGIGARRVKGYYDSLLVAEGELEDTSGSRSSTSDVAGENTEVSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLLAYARHNPAVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYFSEEMIESQVDQLVLEELVREKFPKLANHLDYLGLQVAWVTGPWFLSIFTNVLPWESVLRVWDVLLFDGNRVMLFRTALALLEFYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVDETGLQELRNKHRPSVLSSMEERAKGLGALDTNGLASKLYNFKHDPEPLVSISDSQDQMSDVGDGDANQSDSGNMDDMYGGLTVSSEIEALPDPKDQISWLKFELCRLLEERRSAVLRADELETALMEMVKQDNRRQLSAKVEQSEQELSELRQTLLDKQEQEQAMCQVLLRVEQELKIAEEARISAEQDAAAQRYAVNVLQEKYEEAMASLAKMENRAVMAETMLEATLQYQTSQQKALLSPLPSPRTSMIDASPGRANHDSSQEFQPKKINLLSPFSLSWRDKNKGKQNNVDDSAKLTDAHDQREEITNNNDEKQVETPKLDVLEESMGSPKEDNKSRVEVPKEDSDLASVQVVANDMNGQHEQLQEIKLD >ORGLA05G0170300.1 pep chromosome:AGI1.1:5:17791260:17791502:1 gene:ORGLA05G0170300 transcript:ORGLA05G0170300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGYGCYSYYQQYKSSGSFISGKEKRPPLKRGQLKRQIVRTLSNLMAPATRSSGDAAAAADSKKKAADRSSFRREASYN >ORGLA05G0170200.1 pep chromosome:AGI1.1:5:17789031:17789384:-1 gene:ORGLA05G0170200 transcript:ORGLA05G0170200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECHHQYSNSMKEKRPPPRRGQLKRQIARTLSNLMVPGGGKQIAAEPLFDILYKAVFVILGSQRI >ORGLA05G0170100.1 pep chromosome:AGI1.1:5:17769593:17769913:-1 gene:ORGLA05G0170100 transcript:ORGLA05G0170100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTRPRLQIISTVSSGEKQATIITRCVFLQLHYIYFLSLCSSLMLWYCANRCICYFDSPSCLSLLSATIQSSCSFLGLNEDKGNGSVCQVRYVYINVLEMYKYK >ORGLA05G0170000.1 pep chromosome:AGI1.1:5:17762690:17762929:-1 gene:ORGLA05G0170000 transcript:ORGLA05G0170000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESYPAQAPAPAMPPAAGDRAGGGGGGRAALLPPPRRGQIKEQIIKDIVAAFAGVIAGRGRNDRNGGGVPVSDDTDN >ORGLA05G0169900.1 pep chromosome:AGI1.1:5:17747560:17755745:1 gene:ORGLA05G0169900 transcript:ORGLA05G0169900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 8 [Source:Projected from Arabidopsis thaliana (AT3G09660) TAIR;Acc:AT3G09660] MYDDGPRKGKPGGLSMDAATAAGLAAVWPEYFPEESEFAADGRSARLAADLVDLFSSPDASDLLSRVEDDGDILSLPVDFQQLSNLTWITEALQENPKEALLSMGAAVHLIVCASRDLQLGDINKINIRLYNHTKTIALKNLKAAYIKKLVTVRGTVLKVSTVKPLVLQLNFQCMKCATKFPRVFCDGKFSPPVSCSIQGCKSRTFIPMRSTAKLMDFQKIRIQELASGESHEEGRVPRTIECELTEDLVDCCIPGETVTVTGIVKVLNNYMDVGGGKSKSRNQGLYYLYLEAISVRNSKVHAASGNSDAASGSFGFQAFTEKDLEFISKFKEEHGADVFRQILHSFCPSIYGHELVKAGITLALFGGVQKHSIDQNKVPVRGDIHAVVVGDPGLGKSQLLQAAAAVSPRGIYVCGNTTTNAGLTVAVVKDSMSNDYAFEAGAMVLADRGICCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYDMPSPAADSLQKFYLDLRKQSDSADGTPITARQLESLVRLAEARARVDLREEVTLEDAKEVIDIMTESLYDKCVDEHGVVDFARSGGMSNQKQSKKFLRALNEQCDLQKKDCFSMNEMYSLADRISLQVANLDAIVESLNNAGYITKKGSSMYQVSSCFQ >ORGLA05G0169800.1 pep chromosome:AGI1.1:5:17744866:17745408:-1 gene:ORGLA05G0169800 transcript:ORGLA05G0169800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAQDEGTGGXHHGREVAMATQGRIPHAALAVSHRGKSCVRTRGRRGRIPPAPLTAGDESCLVSAVPLSGTLFLREGARELRLAASNGGGAQRCAVEIALLVPRPPAPSPAMGPRGWGSHTATGRGGEESRAAMVLDSPELGQRRSDSWLGQVWRTSTTGSEWQRTRCRRGRQSGRDAE >ORGLA05G0169700.1 pep chromosome:AGI1.1:5:17734692:17743301:-1 gene:ORGLA05G0169700 transcript:ORGLA05G0169700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVAADGAEEGAVVAALTELCEALSFCGEDVGGYFPTDAAARALVRLVGGGADGAPAAAASPDVMLLSVRAITYLCDAMPRAADAVVRHGLLPLLCSRLLAIEYLDVAEQCLQAFEKISRRQPTPCLQAGMITAVLTYIDFFSASIQRVAVSAAANACKKVPKDCSQFVMDSVPVLCNLLQSEDKMVVEKVASCLINIVDSFSNSVELLDMFCHQGVIEKVLPLINTGGLTSLSPSTCSNLIGLLAKLACNSLVAVKSLFELNVGNTISRILVTSDLSHGMPYLPLENQSNQVNEALKLANQLIPSAARDVEDTQMVLAKEKIIVDEPRFLCQFSMEILPVLIKAVNSGANSYICYGCASIVKNICYFSKPEMLQELLKDANIPSFLAGLLSRKDHHVLFSSLKLIEILMQKLPDAYLGSFIKEGVVYAVEALLMQEDCSKSTNLSDETQQSENQPIIRNKPTCFCYAFDYPRSDAAETRTCMIGKGNLFTFARHVKTTYFTTEAVNSEMGLTEILQKLKTCCAVLNDSADKSLNRDNIQNEEHLTNILSEVMMELHGGETMTTFEFLESGLIKSLSNYLSNGKYLQLERIPNDYNTEHFLAVLKRFQSFTQISFSRMEQGWGDMLLTLLVRKLQNALTSLDNFPVIMSHNFKPRNNISDIPTRHSTITPCIRVRFKKDEDQTNLSSYDNVVNLEISSLLHTIEEFLWPKICTGTSNQKPESSANGTASENKYPEDDLQERYSSPESSPPPEGVIIGNQSPSVEPGSNKGPSSSGAGQQETNTSDHAAQPKLLFSLKGKELDQSVTLYQSILQDQINAGSDIILDNQFWRIVHDVTYRTATNPEIDDPLKHSPCATTPAHPDKAGYICQTLPFFTSLLLGKLPCKLDRSSPSYDILFMLKVLEGLNRYSFHLVSDERNRAFVHGSITDLDDLKVDVSVVPQQEFVSAKLTDKLEQQMHDPLVLRSRCLPLWCTELMSACPFLFSFEARWKYFQLTAFGSLTPQHGNMMDTSGSGVMTERVPSFSRKKFKVDRDNILVSAAKVMQSHARSNAMLEVEYEEEVGTGLGPTMEFYTLISHEFQKSGLGMWRGELSGEAGLDNVHGGSVFVVAPNGLFPKPWSTHVDCSSFSEVNKQFHLLGQVVAKAVKDNRILDIPFSKAFYRLILGQELNIYDIHSFDPELAMTLMEFKALAARRKYLESSSSGDCKSTSDLSYRGCRIEDLSIEFALPGYPEYVLSLENSLDNVSADNLEQYVSFVVDATIRSGIARQLEAFKSGFNEVFPLSMLQVFSEDELERLLCGEQDTWDFAKLVDHIKFDHGYTSSSPPVINLLEVIQEFEGHQRRAFLQFITGSPRLPPGGLAALNPKLTVVRKHNSNEADDDLPSVMTCANYLKLPPYSSKDKMREKLLYAITEGQGSFHLS >ORGLA05G0169600.1 pep chromosome:AGI1.1:5:17728868:17732005:-1 gene:ORGLA05G0169600 transcript:ORGLA05G0169600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGPGSPGGGGGSHESGSPRGGGGGGGGGGGGGGVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLQKYREMEGDSKLTAKAGDGSVKKDVLGSHGGSSSSAQGMGQQAAYNQGMGYMQPQYHNGDVSN >ORGLA05G0169500.1 pep chromosome:AGI1.1:5:17722742:17727208:1 gene:ORGLA05G0169500 transcript:ORGLA05G0169500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTHVAVAAPDPGGDGGLGEARLVDADAAAAAAAPAAGNAEGSLGGGGGGSSAPAAVGDADVTMTEAVEEEVEVEVAGDAEAEAAVVAGDAAGDPLYGTESAGMVVDEPIDAAEGGVDGGDGGEEGLEAEARVLQGEAATEPVPAGGDVAIASEVAAEAHDSATPEHAEAESNDLEENHVDRGKDNGVAVAHCDDEMQNNVEGSSEIQEDDGAPTLEQQDDESEMPLPSSVSNFELCARYSLPPLDKGEFRVSDLVWGKVKSHPWWPGEIFDPSDASELALKHQKKGSHLIAYFGDNTFAWCDESQLKPFVSNYSQMEKQSSSDAFVSSVNYALEELSRRILSGMSCSCLPEELSDNGMSYMVENAGLKDGVTCSAVNRSEILSCCSPENLLNYVKSLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGSAWVENGVDPSSIKDDVVDEVVTNEEPPANDKPKRGRGRPRKQKPEDGLELTEKKSTSNLSTDNAYDHPAERQMDMEFDEFDGLQSKKKRSLDSFEDPETKAAAPSFGSSFKIGECIRRAASQLTGSSSIVKSQNEQVPHKNIAETENGDFDVSSDDAINELSVEKRAKRRRMHRHHSADPKELLSQLCSVAVEPTHGYSFSAMVINYFSDYRNYVVSTTTEANIVEKTTAKKGRKRKVMPSPEVETTDHMQDSYWSGLSLHNHPIHDLRRASTSTRPRRRRRSLRETYFHAQQNLQHGLLSPKKQIQVIERSIIHVDEKMVDEVKPTALVLSFGRSSALPSETDLVKMFGRYGPLKESEIEVHASSNTVKVVFKKRADAERAFSFAGKFSTFGPSLRSYRLVNMPFFLSSQTNNTEAHSEYHGLEIPGPSESKVPLDAAEADQVDKTDEKVEDKGTAEVLARETGDSITAPGALDEKTEKEATAEALADKTTEGEITAEVQVEETTTTEKIVEDKELAEETTEGEITAEVQVAETTSTEKIVEDKELAEETTEGETTAEVHIEETSTTERTVEDKELAEETTEGEAIAEVHIEETTTAEKTVEDKVVPEETTEGEATAEVLEECTAIEKIVEDNTIAEEITEGETVAEVHVEVATAIDKAVEENTLAEETAKVETTPEVHVEETTTAEESVGDKAVDETTKGETTAEVYAEESTEKTVEDTTVEAPDEKTKTANDPVEDATVEEPDKKTAANDPVEDATVEEPDKKTAANDPAEDATVEEPDKKTAANDHVEDATPEEPDKNTATNDHVEDVTVEEPDKKTAANDPVEEAIPEETDKNTTTNDPVEDVTIEEPDMKTEANDPVEEATVEEPAVEAGTIEEIATAEARDEKTMITEETAQDPMVEDEKTMTTEETTQEPMVEDEKTVTTEETVQDPMVVDDKTMTTEKTVQDSMVEEGGTNIAAAEETVEHAAATAEALAGQASSTEQTG >ORGLA05G0169400.1 pep chromosome:AGI1.1:5:17717664:17719825:1 gene:ORGLA05G0169400 transcript:ORGLA05G0169400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGDPRLVGVALGEYLTDGEEVMVVGGAGEVAVAARVVKVDGGKVVVAMDEDAAAGGGVGVGVVSDLLYATGSAAVGEGYGGECTDNMQELLDGGGAEERMLGVELGGFRGEAGWTLMPVGDAAIETSEEMSDPVTLQYSETGLTGDDQRAKYRLPPLDGYGFRASGLVWSKLKGHPWWPGEIFDTSDASELALKHQKRKGSHLVVYFGSNTFAWCDESQLKPFMSNYSQMANQSNSDAFISSVNLALEEISRRILSGMCCFCLPEDLSDNCMSYMVENSGLRDGVTCSKVTRSEILECFNAENFLSYLKSLALFPGQGGELLDLVIACSQLTSFFQSKGCHELASFGSGSELVDDGMDSSSTKNVLLPEAVTYEQKPSEGKPKRRRIKTCVKKPQNDLELTEENPISSLNNECTFVDCMGLNIIGKVKGKRSEKRRKYVPSPEVHTTDHGQDDSWSGFCLNNDPTDTLGEASAKMRPRRKQRSSKETCAPSSDLSSHVPPLQLGLLGPKKQIQLIERSIIHADEQRIDEIMPSALVLSFGRSAALPSKLDLIRLFSRYGPLKENETEVHQNTNTVKVAFKRCFDAANAFSVVGKYSYFGPSLCSFRLVNLPFSLSKLSPEDPGTEVPACRESGVDIVHVGIISKVDKAQNL >ORGLA05G0169300.1 pep chromosome:AGI1.1:5:17714538:17716948:-1 gene:ORGLA05G0169300 transcript:ORGLA05G0169300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFDAVQRALSTSENDRHENEKKGKRSTLKKILQFSKSSASTSSPPVTSPSARQQPHHHHPPPPQAAPPDRQRDDGIKEAKSSDAAAAAAQKTATATAVTRPTTTAPRAPARSAEELAAVKIQKACRVYLGRRSQRARGLDRLMLLLEGLAVKRQTYEALYCMQTMTRVQTQIHSRRVKTEEDKKALKSQVHVKQSLDRIKIGESWDHGHQSKEQIETVLTMKQEAALRRQRALAYQWSNRKPSSARAAPPPMFMDTGNPNWGWSWAERWMAAARPWESQTTPANSGRAAAAVKGGASGRRVPMSVQIPTSTPALGRFTRPPSCPSPSTPTPRSPWRTSAVPPSSPGASPFRRSATATTGLRRTTSLQPERPRSCERRAAGSVSPVHGEKGGVVLLSLRRTTSLRSGELPRRLSLGGGGGGPARDDAAPLTPSYMQTTKSVKAKAARPAAIEVPVTAERTAPDHHQVTSPSVINRRLSLGSADRLSSVPSPSKAKAERSTPRRSRPPSPRF >ORGLA05G0169200.1 pep chromosome:AGI1.1:5:17703678:17705497:-1 gene:ORGLA05G0169200 transcript:ORGLA05G0169200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCFGGGKKKSLSADTGRFDDADAAPASQMTPPAPAPAPMTPPRPDQAPKPSEDASAGLAIAGQAFAFRELAAATDHFTPYNLIGEGGFFRVYKGQLEKTGQTVVIKQLDRHGFQGNNEFLDEVSKLSRLHHDNLVDIIGYCADGDQRLLVYEFMSAGNLEEHLFDLPADKKPMDWCTRMKVAYGAAQGLEYLHEKASPPVVYGDFKASNVLLDDALTPKLSDFGLAQLGQVGGNAPAPMMGSFGCCAPEYDRSGQATMKSDVYSFGVVLVQLISGRRAIDPDKPTEEQNVVAWAMPMFKDQKRYHELVDPLIKSEYAAKALNQVVAMAAMCLQEEDSVRPLMADVVMTLGFLTSLPPDPPAASVPAPAPSASPAPKSDHSDSSSSSSSDDDDDDNDNEEEEGEEEEEEDAEEQ >ORGLA05G0169100.1 pep chromosome:AGI1.1:5:17702209:17702508:-1 gene:ORGLA05G0169100 transcript:ORGLA05G0169100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:I1PWE3] SVAISGRGTSGARRHRIVFRSYIQGIAKPVIRRLARKGGVKRISGLIYKETRGVLEIFLKNVIRDAITYTEHAHRKTVMAMDVVYALKLQGRTIYDFGG >ORGLA05G0169000.1 pep chromosome:AGI1.1:5:17691801:17693243:1 gene:ORGLA05G0169000 transcript:ORGLA05G0169000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRTGSSSASASASSHPSGSPAPNSATDLSRGTSGPARASNLLNACRVIPPNDNENKPLWRYVELMEKTGKGQGGNVRFRCRLCGNIMHGSYSRVKAHLLKVGSNGVAPCPKVTIDVLSQLHDEMARAVVVAERNLPKDIPLPAEGASRGKRRAVSAIESSFNSDTRSNLDALIARMFYTAGIPFNVARNPYFRKAFMFACNNALGGYSPPSYNKLRTTLLVQEKTHVERLLNPLKSTWPVKGVSIVSDGWSDAQRRPLLNFLAVTEDGPMFLRAINTEGEIKRKEYIAEKMIAVIEDVGPKNVVQVITDNAANCRAAGLIVEQRYSHIFWTPCVVHTLNLALKNICAAKSSSGDAYEEFQWITEVAADASFIKNFIMNHSMRLSMFNEFRKTIKFKHSKSKRRF >ORGLA05G0168900.1 pep chromosome:AGI1.1:5:17691346:17691588:1 gene:ORGLA05G0168900 transcript:ORGLA05G0168900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPLFPNAPAPPPPHQPLPPTGCTGAATLLSTSDAGPTLSPPTPLTRSSAVAAAGLPRQPSPPPSYAGAAHWAAPRRLLL >ORGLA05G0168800.1 pep chromosome:AGI1.1:5:17685435:17685746:-1 gene:ORGLA05G0168800 transcript:ORGLA05G0168800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:I1QBF3] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ORGLA05G0168700.1 pep chromosome:AGI1.1:5:17674567:17681518:-1 gene:ORGLA05G0168700 transcript:ORGLA05G0168700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKGMFRFRETIRVWQAVHLIMLSQLWAQKMRLILTCLTQVHFNMGTLLQNMQTIIIATHKLQMVLLSSKEEQINIQTAPGYGTSNSYYQNSTWNGGSFENNYAQSYQNYPSSNTNTVQHSISVPTNSFSYQQQYNQWPYYYNHTVPNPAGDPVGNSNSIVNTTSSYSYPSIQPPPPGTTSWKSNSSSSIAPPIQASGGPGPQDQYINQAHAPVLENQYAGQVAGNPRSQNHYASQTPACPQSTVNLNPVQQSNHGDQQNTVFIATENSSENKMQVPRIAPGFSMVIPKSEKKILGADLSKKPAYVSVSMVKNDARSLPFSLHNYATRNLNRCKDEAQKAACQSMIEEIKNSAIADGTLLTKNWDTEPLLPLVQNVATIPETSANNSSPSLSTSTNRRRQKSRWEPVVEEKVTDKVEPVKGLVNGTTHNNLEAKNRMSNNWDSRKFFQSHHATANKVSQRPAKKQKISSYSDQMQNGNASSDSDKEQDLTKYYASATALANSPEEKKRREHRSKRFEKNQNSSSKSRNSAASKDVMANIHARRAVSALLARSCEDGTTLAVEDMDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEHVLEKALSMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNNKRDLLSSLARLSKQAKQDEAVKHALAVHSAVSSGNYVLFFKLYKQAPNLNSCLMDLYVERMRFEAVKCMSKSYRPTIPVGYVAQILGFSRIDSEASEECEMWLKAHGAILSIDNSRDLQLDTKASTTTLYMPEPENAVAHGDASLAVNDFLART >ORGLA05G0168600.1 pep chromosome:AGI1.1:5:17667874:17669250:1 gene:ORGLA05G0168600 transcript:ORGLA05G0168600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRLLLLAIAVAAVSLAPRAAAEIRTEAFREDPRPTILFEKFGFSKTGAVRIVITGAGISSTFARPDPKQLGFFLLSDESMFQAIYEAQARRPPERREEVAGGGADEPDVSRCILTSPYVKTLFTFHDLKRGHYNKTFPVTHPDEYSLYFANCAPESLVTMRVRTEMYNGNADGSVDYLPVGQAPVPAIYGFFAACYAAFLAAWGYLTLSSRDHRAAAHQIHHLMSGLLAARLLYCLSAAEDQHYIRVTGTPHGWDVAFYLFQLVKGVVLFAVIVLVGTGWSFLRPVLQDREKKVLMVVIPLQVMANIASAVIGETGPFLQGWVTWNQILLFVDVACCCAVLFPVVWSMRSLRETSKTDGKAARTLSKLTLFRQFYIVVIGYLYFTRIVVYALKTIASYQFRWVSVLAEEVATLAFYLFMFYTFRPAERSRYFSFDEDEEEAAEMVLREEEFEL >ORGLA05G0168500.1 pep chromosome:AGI1.1:5:17654134:17660436:-1 gene:ORGLA05G0168500 transcript:ORGLA05G0168500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipin family protein [Source:Projected from Arabidopsis thaliana (AT3G09560) TAIR;Acc:AT3G09560] MNVVGRVGSLISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRSTPWYVRFGKFQGVLKGAEKVVTITVNGVDASFHMQLDNSGQAYFMRELVPGSENSGTSSEEEEASCEPEPPARSKSDGDLYIGAKGRPGSPESNVERQEKQAIEEFDSYGYSRIEVAEDSAKQPDGSNSEMVLVSVDGHVLTAPISSTEENVEDVQLSEPQFHLGPGESSSGDFSRSGEVWEAGIVGDLYMPQEKVKFDSGNQQEALEELGDVPIETDKSHHVPVTGDEVLHTSVYEDVTSALTNEVESLVVPELGNNDEDYQALINVDEVHDISENKNEGCRPPSSQDEVCDVSKTDDEGYRPLATEDEARDVSKNNDEGYQPLATENEACDVPLLENDEACRVPANVDKVCDVSNEDTELEIASPSFGKYDTFKSCLDLSSQIDDGDSGTEPFSPEFDHQQGFEFSLSNRSVVDIDLEEDISKTTHCDRNDPLQYLEEDNKTEGEDSSAHCCKVSDMSIVGGSHGKTKETASSENEANRPDGLHSTIGTSDKDKLGSIPENSAAEEELNKEEHPKLQKGLGFEISLCGHMLRPGMGQASAEEVFQQHLVLEEDFKLSGSSIMKNVNLVVKFDSQYFLWSKISHIILGKAVFGPNFCTEHIDAIPVEHQETPTSRDSLGLSPSSRRWRLWNIPFRISRSLQRSNSDSSEDIFLDTETVLSPMDEQTPENNINQSPRKQFVRTLIPTSEQVASLNLKEGQNIVTFSFSTRVLGKQQVEAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKVLPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAINSSVDVKSYTSLHTLVHDMFPPTTLVEQEDYNSWNYWKMPLPDVDL >ORGLA05G0168400.1 pep chromosome:AGI1.1:5:17645258:17646409:-1 gene:ORGLA05G0168400 transcript:ORGLA05G0168400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHQLGQQEAMQEEDYIDMDLTSAAAATAPGEFEFDFHMSGPLGGGGARWEQEPLASPADELFYKGKLLPLHLPPRIQMVEELLDGRVVVGGAGRRQLAISTAPATPYDSCTASPANSCYASGELNVEEYFQEYAARLADAAAAACEKKPWSRKLRFMRQLNLGLKLKASKAYIKTIFAAKPASSGDDDDKDAILGATRETKELSHGGHHHHHHHRAWRRNPFGQMRSNRCIASSQSGGGAAAGGGGRGSVGGGKHKERDHGGHRRSFSSVIVRYSTSNKTSPAPQSSSCSSSSSVRTSSESDGGAAAPAAAPALRRSSSASSEVENPIQGLIAYCKRSQQLASVRKSASDAGFRFLSSAASKIAAAESDGPEELVEICRG >ORGLA05G0168300.1 pep chromosome:AGI1.1:5:17627402:17628106:-1 gene:ORGLA05G0168300 transcript:ORGLA05G0168300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSSASYIRMVHHLIEKCICFNLNKEECMEALEKHANINPVVTSTVWKELEKENKEFFETYNKDRAERNIEAETMQRIQKMLSDAAASKGSDDDDDDES >ORGLA05G0168200.1 pep chromosome:AGI1.1:5:17619973:17623701:1 gene:ORGLA05G0168200 transcript:ORGLA05G0168200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVAAGTGRGGGEGEELLPNAVEGDGGCGGGDTCAGDRPWRLNFDGLRRPEAHQEKPPRRFHDRLGVLVLRNLDISVQSPGDDVAEYLKKQQSELLEGFNEMDTLTDRGFLPGMSKVYILALEECEKVARSEALAIRLSNIANMVLFAAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFSKKTSNPYRYPIGKRRMQPLGILVFASVMATLGLQIILESTRSLFYDGDTFRLTKEQKWIVDIMLSVTLVKLLLVVYCRSFTNEIGCIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPCDMPLQEAHDIGEAPQEKLESLPEIERAFVHLDYEFTHQPEHARSHDTL >ORGLA05G0168100.1 pep chromosome:AGI1.1:5:17613585:17614241:1 gene:ORGLA05G0168100 transcript:ORGLA05G0168100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRPGRHQRRASQSVFVLPDNFADLDDVPAGAGGAGEDXXTADAAGGQQQARPSPAARHRRAMSVAVASSRELEMIKEDMGSYKIGA >ORGLA05G0168000.1 pep chromosome:AGI1.1:5:17609936:17610367:1 gene:ORGLA05G0168000 transcript:ORGLA05G0168000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPVTEAKGDAVERQQQLLAGLAGDGGFTGDGGEGGRGEEAAAAAGEPRRRRRRRGTRWRGSSSSWRDGAQAAAAVRPPRRPHLRLRLVNVVRRRQAGAAAGGSVAPRTRAPKCPRQQRQWAHPAALLAIPPLSTAASEGEG >ORGLA05G0167900.1 pep chromosome:AGI1.1:5:17605531:17606098:-1 gene:ORGLA05G0167900 transcript:ORGLA05G0167900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:I1PWD1] MEVGAKVPKKAGAGGRRGGGGPKKKPVSRSVKAGLQFPVGRIGRYLKQGRYSQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTGSAAAKEAKEGKTPKSPKKATTKSPKKAAAA >ORGLA05G0167800.1 pep chromosome:AGI1.1:5:17597655:17600188:-1 gene:ORGLA05G0167800 transcript:ORGLA05G0167800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDKTIKINNKTDQGADKPAASGSACCGS >ORGLA05G0167700.1 pep chromosome:AGI1.1:5:17596084:17596962:1 gene:ORGLA05G0167700 transcript:ORGLA05G0167700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQFGEMDGGIDTARVLMLLSRRRQQHGDVGHARAARVFECRTCGRRFPTFQALGGHRASHKRPRHGAERAPRPAGDDDVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRTGAPSAGWSSPSGRRSAGTCGGTAPPPATSRRRVWRPTTLSLETSAPAGFAWT >ORGLA05G0167600.1 pep chromosome:AGI1.1:5:17589230:17591451:-1 gene:ORGLA05G0167600 transcript:ORGLA05G0167600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCPSVKNILLLDSEGKRVAVKYYTDDWPTLSAKLAFEKSVFVKTQKATAGAEAEIVMFDGHIVVYKFIQDLHFFVTGGEEENELILASVLQGFTDAVDIILRNNVDKRTALENLDLILLCLDEIVDGGIVLETEGSVIAEKVSAHGIEGATSLAEQTIVQALTTAREHLTKSLLM >ORGLA05G0167500.1 pep chromosome:AGI1.1:5:17585865:17586389:-1 gene:ORGLA05G0167500 transcript:ORGLA05G0167500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQRAVGEGSGGLRPTTHVAGGGGSARGEYFRCKTCSKTFTSFQALGGHRTGHTRMAARQRQEHGAAGAAVVGATNNQRRVVSAHQWHLCAVCGVEFRMGQALGGHMRRHRGEAAAATPPAAAAAASAGAVSSVEPPEMLDLNSPPAVEEAGEGDQEVERAEQEPRLLNLLV >ORGLA05G0167400.1 pep chromosome:AGI1.1:5:17580687:17583417:-1 gene:ORGLA05G0167400 transcript:ORGLA05G0167400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase-like, PTPLA [Source:Projected from Arabidopsis thaliana (AT5G59770) TAIR;Acc:AT5G59770] MARPSQLYLLSYNSVQALGWFVALLRLLPCLAPPSSVHSSYAVAGDLVCLLQTWAILETIHAAIGLVHTSPLLAFLQWGGRTHFVLAVIRQIPEVGRTVQSSPSVFITFMAWSISEVIRYSHYALTTLKVCPPWLTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKERKLYSGFFGKFSMSYHSFLVAVLAVYPFLWMKLYLHVFKQRRSKLGKTGGAKKRA >ORGLA05G0167300.1 pep chromosome:AGI1.1:5:17577762:17579777:-1 gene:ORGLA05G0167300 transcript:ORGLA05G0167300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRKLGRHAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRTKADQMVQLGKEGTLDAARRASAFVRGDDVVHKLFTELAYRYKDRAGGYTRLLRTRIRVGDAAPMAYIEFVDRENELREAKPATPQPPQRVPLDPWTKSLASEQWAGPKISQNSGAEGL >ORGLA05G0167200.1 pep chromosome:AGI1.1:5:17573106:17576316:-1 gene:ORGLA05G0167200 transcript:ORGLA05G0167200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain;GTP cyclohydrolase II [Source:Projected from Arabidopsis thaliana (AT5G59750) TAIR;Acc:AT5G59750] MDRVLLSSQLSSQTVVNTRVQQGSGGINSIGFAVIRKGSLKLRCYAIGGLGGGENLNDPLKESNNGPVLQGFNGSSASFRTVGAKITQETGDFFVSDAEGDPDKPTDGFSSIDEAIGALREGKFVIAVDDESGDNEGDLVMAATLADPESIAFMIRNGSGIISVGMKEEDLTRLMIPMMSPIAEIEDISAAASTVTVDARVGISTGVSAADRAKTIFTLASPDSKPTDLRRPGHIFPLKYRNGGVLKRAGHTEASVDLVALAGLRPVSVLSTVINPVDGSMAGMPVLKQMALEHDIPIVSIADLIRYRRKREKLVELIAVSRLPTKWGLFRAYCYQSKLDGTEHIAVAKGDIGDGEDVLVRVHSECLTGDILGSARCDCGNQLDLAMQLIDKAGRGVLVYLRGHEGRGIGLGQKLRAYNLQDDGHDTVQANVELGLAVDSREYGIGAQILRDIGVRTMRLMTNNPAKFVGLKGYGLAVVGRVPVISPITKENQRYLETKRTKMGHVYGSDLPGNVPEEFLNPDDIAGDQDEDDTHN >ORGLA05G0167100.1 pep chromosome:AGI1.1:5:17563753:17567512:1 gene:ORGLA05G0167100 transcript:ORGLA05G0167100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASQASLLLQKQLKDLAKNPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMTFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKDWREKRDDFKKKVRRIVRKSQEML >ORGLA05G0167000.1 pep chromosome:AGI1.1:5:17553325:17556065:-1 gene:ORGLA05G0167000 transcript:ORGLA05G0167000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVVPGPGDKPMIVVQYKGEEKQFAAEEISSMVLIKMREIAEAYLGSSIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDEKIASKLAADDKKRIEDAIDGAISWLDTNQLAEADEFEDKMKELEGICNPIIAKMYQGAGADMAGGMDEDAPAGGSGAGPKIEEVD >ORGLA05G0166900.1 pep chromosome:AGI1.1:5:17550180:17551758:1 gene:ORGLA05G0166900 transcript:ORGLA05G0166900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L36 [Source:UniProtKB/TrEMBL;Acc:I1PWC1] MAPSQPKSGLFVGINKGHVVTKRELPPRPSDRKGKSTKRVTFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVLRKMRSGGGHAHTEKKK >ORGLA05G0166800.1 pep chromosome:AGI1.1:5:17547354:17549766:1 gene:ORGLA05G0166800 transcript:ORGLA05G0166800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTSSQSVPTDQYHCYYCVPVNKKSSAKGYCWSVAGGWVIVLGYNADSGMLDSYFISAKEDDDKAKTAWLRGKLEERIVPNMWALPADSANQP >ORGLA05G0166700.1 pep chromosome:AGI1.1:5:17540838:17542585:1 gene:ORGLA05G0166700 transcript:ORGLA05G0166700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRQIPPPTAAASSRVVVAAVAALLLAAALQPLPVASGVDVAAVLAAFPDLAGFARLLASSPVARELAGRSSLTLLAVPNGNLPQSPSAYAAASGADLADVLRYHVLLEYLAPADLRRLPASGKLVTTLFQTTGRAPADLGAVNVTAAGPSLAVVRSPAPFPGSNATVLGAITAVPYNLSVLAVDGLIVPSGFDLAASESRPPAAVNITKVLADARAFNVAASMLEASGVADEFEADERGAGITVFAPTDDAFAGLPAGDRLQSLPAERKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEFTQAGRFTLNITRANGSVAIDTGVVQATITRTVFDQNPVAVFAVSKVLLPKEMFSRSDSAIVAVASAPPPAALPAESPESAPTKLSSPPALRDTTGNANHTAAVAAAAATTKPTIGRWCIALLYLLLLPLLLPLICMGLGEEGGEVTGEVRGEERRRVGGGSELAG >ORGLA05G0166600.1 pep chromosome:AGI1.1:5:17533905:17539892:1 gene:ORGLA05G0166600 transcript:ORGLA05G0166600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQTYSRLGSLGGAPSPPPVPPAVAAVASLQRGGGGSGRRTPGKGGSASASASAAAGWTGVGCGCAARRAARAVLAALHRRQAVFLFAPLLYVAAMLLYMGSIPLDVVPRIIARPAPGSVYRSPQLYARLRADMDADNSTDALATLWRYKGSLWRPCINNATNSLPESNGYIYIEANGGLNQQRTSICNAVAIAGFLNATLVIPNFHFHSIWRDPSTFSDIYDEAHFVKRLQNDVRVVEKVPDFIMERFGHNLSNVFNFKIKAWSPIQYYKDAVLPKLIEERLIRISPFANRLSFDAPPVVQRLRCLANFEALKFSNPIATLSETLVSRMKEKSTASNGKYIAVHLRFEEDMVAFSCCVYDGGDEEKKEMNAAREIGWRGKFTKRGRVIRPGVIRMNGKCPLTPLEVGLMLRGMGFSNNTAIFLASGKIYRAEKNMVPLLEMFPLLQTKETLASAEELAPFKNFSSRMAAIDYSVCVHSDAFVTTQGGNFPHFLMGHRRYLYAGHSRTIKPDKRKLAILFDNPRIGWKSLKRHLLNMRTHSDAKGVEMKRPIESIYTFPCPNCMCRLNRTENSKHNQTRXHLKCHFSGLTLFFLASWYNWFWFITSVSITLSHHCTVTHSFPVTVFFFVLESXSILELRTNNTFAHXHSTNRSDTCRAVVVVSEISTSLMXYXPLYMCLVDNMMYDTIQILNGQQVFVNLIFVFSILLXKWQLPPFSPFVFYSXVFSSVATLSDTALFSTEIARCSTXLSLMLTQKYYHLTFIYLSSCLVMRISERLPQLIKFLSSVHLLHKVNHSFLVCTCILNTSLSSFCCSRTEHLTLAEPAAGFGHXSLKVAHMQDRWXAMGIWVXRILVHIKRQVLTKXSQSACQXAQHVXFXLPFSFFFPXKWQIXRNPFHRPFLSASYFWGGAXFMEVLPTRLAVLRLIRMMHLATPAFMVLGSLMKAFWLFFFSFYLPSIGLRCLMFRYIR >ORGLA05G0166500.1 pep chromosome:AGI1.1:5:17527834:17530957:1 gene:ORGLA05G0166500 transcript:ORGLA05G0166500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT5G02370) TAIR;Acc:AT5G02370] MATAAATQSQPVRVVLRVRPHLPSEANSAEAPCVGLLGSHPGGDVTVQLKDQYTSRNECYKLDAFFGQESRVCEIFDQEVSAAIPGIFEGTNATVFAYGATGSGKTYTMQGTEDLPGLIPLAVSTVLALCTGTWCSVEISYYEVYMERCYDLLEPKAREIMVLDDKDGNLQLKGLAWVPVRSLEEFHEIYSIGVQRRKVAHTGLNDVSSRSHAVLSIRIITDVVKGKLNLIDLAGNEDNRRTCNEGIRLQESAKINQSLFALSNVISALNKKEPRIPYRESKLTRILQDSLGGNSRAVMIACLNPVEYQEAVHTVSLAARSRHVTNHMSSASKQETPKDKVDMEAKLRAWLESKGKTKSIQRMDGLLSPNAIKTPLSMSHKKQSASVRVSGRGKAMDQDGGKIKKVLFDSAARIPAENFHREGTQDIVNTTKKVVLPSLTPCKEDKTGSSLRKALSPISSNMDPQKQRTADDSNCLMLLEPRTPMGSCNIVGKVTGATPLDKFIALGSNLKESLIQQYLDFLNVANKEELQKLKGIGERRAEYILELREDSPRPFKSLSDLGNIGLSSKQIQDILCKTATGIFK >ORGLA05G0166400.1 pep chromosome:AGI1.1:5:17522822:17523493:1 gene:ORGLA05G0166400 transcript:ORGLA05G0166400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERKKEKEKKKKHKEKEKEKAGTHGGEAHFKPSGEVKGVRFGGQFIVKSFTVRRASPLELLRLLDIPPAYLSECQSLPFPSTTAYMPTSFTILAHQAWHTLTLGLGTKKSKVVLFVFESEGMKAAVDQLWPSMIPLGDVNKKLIRGLTGSEMARFKFRKGCLTIYVYAVRRLGAAGFMCADDLRRILESVVELKDFLDHTAMLAMPSQKSITLQSRVAVAH >ORGLA05G0166300.1 pep chromosome:AGI1.1:5:17509551:17516277:-1 gene:ORGLA05G0166300 transcript:ORGLA05G0166300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMPAVKVEEEEEERNPVASSPSVSEGSAHAAAMASPTAADSIFGRRRKSGPVRRAKGGWTPEEDEKLRKAVDIYNGKNWKKIEVQCLHRWQKVLDPELIKGPWTQEEDDVIINMVKKHGPKKWSVIARSLNGRIGKQCRERWHNHLDPQIRKEAWTVEEERVLARAHCMYGNKWAEIAKLLPGRTDNSIKNHWNSSLRKKIDDYNTRDILPVHPPVVGDGLKQLPKRPPADNHFDLNKEPIICSRDRLGVVHSDPTSHQRASNLKDFKGCADYLSLGQPVTSCEASAADDSAFDLQGMRMDSVHDKGTGNNFVCGKVQGINFLGDKGLKINQISDKMGCSRQAKREGEAAINGGGSSLQSEAHSVGSLCYQIPKMEDIAPAQSPVFTANYVPEHSRNVMHSPNGYTTPPTHGKGSDQLSVESILRSAAEKFHGTPSILRRRKRDKPTPAEDNDLKIGRLSSDDFHTPIGKCTTDSPQSFKTAALLSLGPMDEQGSLDVSPPYRLRSKRLAVLKTVQNHLDFSSDEMSICDTTMKSACGNSGCANASSGVSSIQGKKLDEHMIGLETLTMNFAHTTKLDATQPNL >ORGLA05G0166200.1 pep chromosome:AGI1.1:5:17485987:17488102:-1 gene:ORGLA05G0166200 transcript:ORGLA05G0166200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:I1PWB4] MAAASSVLRCCLLVAALMTLSAMGAEAITRQYLFDVQTTSVTRLCSTKSIVTVNGQYPGPTLFAREGDHVEVTVVNHSPYNMSIHWHGIRQLLSGWADGPSYITQCPIQPGGSYVYRFAITGQRGTLWWHAHISWLRATVHGPMVILPPAGVGYPFPAPHEEVPIMFGEWWNNDTEAVISQALQTGGGPNISDAYTLNGLPGPLYNCSAQDTFKLKVKPGKTYMLRLINAALNDELFFSIANHTLTVVDVDALYVKPFTVDTLIIAPGQTSNVLLTAKPTYPGASYYMLARPYTTTQGTFDNTTVAGVLEYDDPCPTTAAGKIVPIFSPTLPQINDTNAVSNFTAKLRSLASAGYPAAVPQQVDHRFFFTVGLGTHPCAVNGTCQGPNGSRFAASINNVSFVLPATALLQSHFAGKSKGVYASNFPYYPLNPFNYTGTPPNNTNVMNGTKVLVLPYGANVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPINDPAKFNLYDPVERNTVGVPAGGWVAIRFHADNPGVWFMHCHLEVHMSWGLKMAWLVLDGSRPDQKLPPPPLDLPKC >ORGLA05G0166100.1 pep chromosome:AGI1.1:5:17481977:17483452:1 gene:ORGLA05G0166100 transcript:ORGLA05G0166100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEEVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMTKPYSNQTASIIDDEVREWVGKAYKKTVELITEHKEQVAKIAEMLLEKEVLHQDDLVRVLGERPFKASEPTNYDLFKQGFQDEEDSKNQEAAKTPQPDDDGTPSLA >ORGLA05G0166000.1 pep chromosome:AGI1.1:5:17477890:17481437:1 gene:ORGLA05G0166000 transcript:ORGLA05G0166000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASLARALSRRSAPSSSRARQGFSLGGLGGTTRSPPPPSSPLPSLHGGEGGGLGLGFVRGYLTAALGRPAAVKAGTDWRSILANPQFRRLFSDGSKKNYENYYPKGKKEAPKGDGSNKSDSKQDSSTDDQWNFQETASKQLQNFLAPLLFLGLMLSSLSSSSSDQKEISFQEFKNKLLEPGLVDRIVVSNKSVAKVYVRSSPQSNSQGQNTDAIITTNDVPSKHTPSRYKYYFNIGSVDSFEEKLEEAQEALGVDPHDFVPVTYVAEVNWFQEVMRFAPTVFLVGLIYLMSKRMQSGFNIGGGPGKGGRGIFNIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEAXYFRXSLAKAWKIXSPNNNRQARYKGPXSNIPHISXKTXTXQXAIILFAKASGFDTWICRSXHCQCLXXSCFNCCEKXGNSDYHATFXICNXXNYWWFGEEKQGDL >ORGLA05G0165900.1 pep chromosome:AGI1.1:5:17469950:17470165:-1 gene:ORGLA05G0165900 transcript:ORGLA05G0165900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAGRDRSGLGPGKRKERVRPESTSRVLARAGSSDTRDDERRRRTGQGCNGAAARSETGGSSERGKGGF >ORGLA05G0165800.1 pep chromosome:AGI1.1:5:17462991:17464796:-1 gene:ORGLA05G0165800 transcript:ORGLA05G0165800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:I1PWB0] MATVTRLCVTKSVPTVNGQFPGPKLVVREGDTLVIRVTNNINNNVTFHWHGIRQVRSGWADGPAYITQCPIRPGGSYVYRFTVTGQRGTLWWHAHFSWLRATLYGPLVILPPRGVAYPFPKPHREVPLLLGEWFNADPEAVIKQALQTGGGPNVSDAYTFNGLPGPTYNCSSSNDTFKLRVRPGKTYLLRLINAALNDELFFGVANHTLTVVQADASYVKPFAATALVISPGQTMDVLLTAAANNPPSRSFAIAVAPYTNTVGTFDNTTAVAVLEYYGAATSAAALRSLLLPSLPAYNDTGAVANFSASFRSLASAQYPARVPRTVDRHFFFAVGLGADPCQSPVNGTCQGPNNTRFAASMNNVSFVMPRTSLLQAHYQRRYNGVLAANFPAAPRTPFNYTGTPPNNTFVTHGTRVVPLSFNTTVEVVLQDTSILGAESHPLHLHGYDFYVVGTGFGNYDASNDTAKYNLIDPVQRNTISVPTAGWVAIRFVADNPGWLPALYFYLHLKREFL >ORGLA05G0165700.1 pep chromosome:AGI1.1:5:17458170:17458433:-1 gene:ORGLA05G0165700 transcript:ORGLA05G0165700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMESRAEDQGVKRREPCKKRIGRTAGAGSEAGNGSRHQASCSPPPPPSSSFPRRCARLVKEQRARLYIVRRCVTMLACWRDVDYL >ORGLA05G0165600.1 pep chromosome:AGI1.1:5:17453546:17454869:-1 gene:ORGLA05G0165600 transcript:ORGLA05G0165600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1PWA8] MAEVADLTGDGGVLKTVVRKAKDDAIAPTDSLPLVDDTTVHYEGTLAENGEVFDTTHEDNSIFSFEIGQGAVIKAWDIALRTMKKVGEVAKITCKPEYAYGSAGSPPEIPPNATLIFEVELVACRPRKGSSLGSVSDEKARLEELKKQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >ORGLA05G0165500.1 pep chromosome:AGI1.1:5:17449577:17452426:1 gene:ORGLA05G0165500 transcript:ORGLA05G0165500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PWA7] MGRPGYLTLPILSVLAAIGYVYYTTVFVAVARWLGLATAAGAANAAAFTALAAACVATYAVAVCRDPGRVPPSFAPDVEDAESPLHEIKRKGGDLRYCQKCGHYKPPRAHHCRACKRCVLKMDHHCIWINNCVGHENYKIFFIFVLYAVTACFYAMILIIGSAMYSVPVDEHSSNDSSRTSIIICGIILCPLTLALTVLFGWHIYLILQNKTTIEYHEGVRAMWLAEKGGNLYHHPYHLGVYENLISVLGPNIFCWLCPVSTNTGNGLRFRTSHDIPLSTPSM >ORGLA05G0165400.1 pep chromosome:AGI1.1:5:17432060:17434561:1 gene:ORGLA05G0165400 transcript:ORGLA05G0165400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMSAEAEAKSRRERRSVVAELEGALLRDAATFPYFMLVAFEASGLPRFAALLALWPLLWALERALGRGDLALRAAAFVATAGVPRAEVEAVARAVLPKFMADDVDPAAWAAFGSCGGRRVVVTRMPRVMVERFAREHLGAHEVVGCDLEYSRLRRSTGFVRGGGGGERAVAERVRALFADGDRPDVGIARSESATRSFLPFCKKQLRPPFCEDDGDDVAAAGEQHKCPPFRPVIFHDGRLVCRPTPLMSLVILLWLPLGALVAFVRIAVGISVPIQIIPRIAPYFGGAVVVHGAPPPAAASGPASGVLFVCTHRTLMDPVVLATVLGRRVAAVTYSISRLSEVLSPIPTVRLTRDRGVDAAQMRAELSRGDVAVCPEGTTCREPFLLRFSALFAELSDRIVPVAMNYRVGLFHPTTARGWKAMDPIFFFMNPRPVYEVTFLNQLPAEETCAAGKSAVDVANYVQRILAAKLGFECTTFTRKDKYRVLAGNDGIVNVKPPPAADPAPWQRRLKEVLGFLLH >ORGLA05G0165300.1 pep chromosome:AGI1.1:5:17418158:17421926:-1 gene:ORGLA05G0165300 transcript:ORGLA05G0165300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02130) TAIR;Acc:AT5G02130] MNPIRSALARALLSPKPRPPRARHYATSATGGATQPESVAAEMVRYALGGARPGSSPDEAMRILEQGASNLQGGGEGCGEAVGMLMLAMSTLLYRSGRRQEAMEKLKATNQVAPAAFRVAAWEATMGLCMEAGQVINTSVSPDDLVDLSIKDDNIKWSDQGHLKCRVNAIKGLIALLNGETESAQLFFDGCKDLCAGVGNKQTENAVLSYCEYLHCVGDFPLATQMYERVLEALTMEDMSGNFLAACNMVPEEVSLGATCSYGQLLSHSGKFSEAEDYLTRALKKAEDQFGANHPKVGIVLTCVARMYKLKAKAEGSSSIMVQEGLYRKALEVLRAPAINSEGVSKQMDWRDIISLARGEYAELLLIQSNRKAEGERMKEWAEHAWRNSRLTLAQALEFSGPSKPTVVDTRIGRVM >ORGLA05G0165200.1 pep chromosome:AGI1.1:5:17413815:17415870:1 gene:ORGLA05G0165200 transcript:ORGLA05G0165200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWADDGGEGDDDDDLRLPGAGRRSPSLGILAFEAASTMTKLLSLHRSLSEKEVARLRSNTMRAAGVEYLSSTDQAFLLRLACAEAVAALDAAAXXXXXXXXXXXXDFAGPYASLKAGAPDARLDQFVAKGLKVKAKRMERLVAATAKLCAEMEALDKLEAAEQKLARRGWGRLSGPIPSPAAAAVDAVGSDSLRLGIRAQRARVRRLKEESLWSQSYEKAVILMARAACAVFVRVCVIFGAHVPGLPPPLPPAEAVHSRLSKLLLHPMSAAAQPRSLSGPIQRRDVPLRIEMSSNSCPIIRSHCQQPWQTSPPGVDWRKLLEPPPGTVGGAGLDLQYANVITTAEQLLLETDHAEGRQRHEEARAELYAMLPSKLRAAVRAKLRGWWRERGAAAAVAVELDAGLAEGWRSAAGRILAWLAPMARDTARWHAERSLDRQRRFEVGGGGGGSARAWALQTLRWADAEKAEAAVVEVLVALSCVGWYDERRRVASLRF >ORGLA05G0165100.1 pep chromosome:AGI1.1:5:17402743:17403093:-1 gene:ORGLA05G0165100 transcript:ORGLA05G0165100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDDNNQTRAALLRVEELENLLSDVARRLSRLDTNRGMLEGQIAAASRGHRVAVPSARAATGRGGSSASPSPSSTRSCRRRCRTRWGRERPPLQLVVGEGADAACGGEERRRCC >ORGLA05G0165000.1 pep chromosome:AGI1.1:5:17400187:17401717:-1 gene:ORGLA05G0165000 transcript:ORGLA05G0165000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEICREEAAKSMPAAAAGATAIARRRRRVEGFRFAAGSLEPPQEDADAGVARCGKRQRVAGARAGAGAATAGPCRPSAGAGFGSRWWPRYGVTSVFGRRREMEDAVSIRPDFLRGSTSSGKHHFFGVFDGHGCSHVARMCQDRMHELVVDAYKKAVSGKEAAAAAPAWKDVMEKGFARMDDEATIWAKSRTGGEPACRCELQTPARCDHVGSTAVVAVVGPNRVVVANSGDSRAVLCRAGVPVPLSVDHKPDRPDELERIKAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPYVTSEPEVTVTERADDDECLILASDGLWDVVTNEMACEVVRACFRSNGPPSPPGCSRPKAVLPPPAGASGGGGGDAVVKGVDKAESDKACADAALLLAKLAIARRSADNVSVVVVDLRRPVP >ORGLA05G0164900.1 pep chromosome:AGI1.1:5:17390222:17394683:-1 gene:ORGLA05G0164900 transcript:ORGLA05G0164900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGGAGRRKERVVLMWGYLPGVSQQRSPLLGPVPVRMPDAAAAAGDAWRDVAGGGCGFAMAVSEQGKLFTWGSTDDMGQSYVTAGKHEETPEAFPLPSDIAIVRADAGWAHCVAITGKGDVYTWGWKECVPTGRVIADHSATGAMDCDKRQSGVATDQGGNSITVSPRSKVSRTSTKAASGPAESRSSEDSTKRRRLSSSKHGAESESSSDENLSAPPCVVTFNTGVKIVEVAAGGRHTLVLSASDFGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCVQSAFYSKDRTGIVMGSRTLEGTNDRAATCVKAIACGGRHSAVVTDSGTLLTFGWGLYGQCGQGNTDDILSPTCVSAIMGVKIQRVAAGLWHTVCTSADGDVYSFGGNQFGQLGTGSDQAEIVPKLVEASKLENKHARGVSCGARHSAVITDKGDVFCWGWNKYGQLGLGDSTDRNVPCQVPVEAYNPLNVSCGWWHTLVLAEYPT >ORGLA05G0164800.1 pep chromosome:AGI1.1:5:17386124:17386396:-1 gene:ORGLA05G0164800 transcript:ORGLA05G0164800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFIPFIYKAIVQYRKEGQVSVGDLFFDEPSPSSYFRLPGDSGRYQQQQQAAMSVLFSQATTADSDAAAPRRSPLRCTVHHRRPATATP >ORGLA05G0164700.1 pep chromosome:AGI1.1:5:17374805:17376515:1 gene:ORGLA05G0164700 transcript:ORGLA05G0164700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1PW99] MWEVAWWRPGTWGGLAMRVGQVAFAGASIGVMASGAGFANYTAFCYLIASMGLQSLWSLGLACLDVYALTVKRDLNNALLVSLFVIGDWVTALLSFAASCSAGGVMVLFKRDVLFCRRYPQLPCGRFELAVALAFLSWALSATSAIIMFCLLAAF >ORGLA05G0164600.1 pep chromosome:AGI1.1:5:17367348:17370216:-1 gene:ORGLA05G0164600 transcript:ORGLA05G0164600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAGRRRQCRLRRRQGDVALSEFRDKLIFHVSFVLSEFSRRLIFHVLLCCLNCMLLQAGYRHIDCAQAYFNEKEIGVALKKVFDEGIVKREDIFITSKLWCTNHAPEDVPVALDSTLQDLQTDYVDLYLIHWPVRMKKGAGFGGQNVLPTDIPATWAAMEKLHDSGKARAIGVSNFSSKKLEDLLAVARVPPAVDQVECHPVWQQTKLRKFCTSKGIHLSAYSPLGSPGTASVKAVGNVLAHPVVVSTAEKLGKTPAQVALRWGIQMGHSVLPKSTHEERIKENIDVYDWSIPEDLFIKLSEIEQMKLIRGEFWTHPEGVYKSIEELWDGEI >ORGLA05G0164500.1 pep chromosome:AGI1.1:5:17364131:17364478:1 gene:ORGLA05G0164500 transcript:ORGLA05G0164500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFVLEKPNLHVANRTYSSGKIFRVSGFQQVICQNMEPCLLNLVELCHQFFRDAPVKYVNVLPCPHLIVALWEHALSHLNTPAKSNLSRTFLQLLGNGNHSRMAQNVWSIVPW >ORGLA05G0164400.1 pep chromosome:AGI1.1:5:17361710:17363277:-1 gene:ORGLA05G0164400 transcript:ORGLA05G0164400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSTLRRARAHPSWAAAARGLLATWGAGSGGRVRHPRHGAASASLFSSLSSSQTSAADAQLLRVINMEISYAQKDCKNRNWSKELGEGFPFEIQDKDGTNMMTLKRSDHKEQIEVEVFLPSAVNEAEENGEPEDQCEDGKHRTHIGNGVPAQYCIPLIVRVRKEAASYLKISCSSYPNELIIESLSFEPNDESGDSASLEAKLSNLPEEFQKAVYSYLKSRCISTDITDFLHAYMINKECHEYLSWLRKVKGLIKS >ORGLA05G0164300.1 pep chromosome:AGI1.1:5:17355201:17357825:1 gene:ORGLA05G0164300 transcript:ORGLA05G0164300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27610) TAIR;Acc:AT2G27610] MTPRTAAALSGLLRGKNAVNLAPEQVPKLLATRASPVRVEDGVCLRDPPGARYPLDEIPRRDAAVGANRVLFDYARRGMVPEVLDQFSVARRGGVLVDSATLSCVLKACRSMPDRVLGEQLHCLCVKCGHDRGEVSAGTSLVDMYMKCGSVCEGIEVFEGMPKKNVVTWTSLLTGCAHAQMHSEVMALFFRMRAEGIWPNPFTFASVLSAVASQGALDLGQRVHAQSVKFGCRSSVFVCNSLMNMYAKCGLVEDAKSVFNWMETRDMVSWNTLMAGLQLNECELEALQLFHESRATMGKMTQSTYATVIKLCANLKQLALARQLHSCVLKHGFHLTGNVMTALADAYSKCGELADALNIFSMTTGSRNVVSWTAIISGCIQNGDIPLAVVLFSRMREDRVMPNEFTYSAMLKASLSILPPQIHAQVIKTNYQHIPSVGTALLASYSKFGSTEDALSIFKMIEQKDVVAWSAMLSCHAQAGDCEGATYLFNKMAIQGIKPNEFTISSVIDACACPSAGVDQGRQFHAISIKYRYHDAICVSSALVSMYSRKGNIDSAQIVFERQTDRDLVSWNSMISGYAQHGYSMKAIETFRQMEASGIQMDGVTFLAVIMGCTHNGLVVEGQQYFDSMVRDHKINPTMEHYACMVDLYSRAGKLDETMSLIRDMPFPAGAMVWRTLLGACRVHKNVELGKFSADKLLSLEPHDSSTYVLLSNIYAAAGKWKERDEVRKLMDYRKVKKEAGCSWIQIKNKVHSFIAFDKSHPMSDQIYKKLKVIITRLKQDGYSPNTSFVLHDIAEDQKEAMLVAHSERLALAFGLIATPPGTPLQIVKNLRVCGDCHMVMKMVSMIEDREIIMRDCSRFHHFNGGACSCGDFW >ORGLA05G0164200.1 pep chromosome:AGI1.1:5:17351169:17353696:1 gene:ORGLA05G0164200 transcript:ORGLA05G0164200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane Yip1 family protein [Source:Projected from Arabidopsis thaliana (AT2G39805) TAIR;Acc:AT2G39805] MDEGYANLPTSHLLGSVPAALTPEERKPSPVAEVGTSRLQQFPPALGGTGGVGGGGGGGYQPPGTPADGDVETQTNWKGYFNVASYAPYFNVDTDVVVDRLISSVYPMDGFFRKIDANPDMYGPLWITTTLIFMLAAFGNFATYLMQRKTDLNIWSFDVGYFNWAASVMYGYAAAVPAIFFFLFQYFGSRPSLVRFWCMWGYSLFIFIPASVLLLIPVEFLRWVIIILVGGASSWFISLNLKECTEGADMMVLIASAAVLQFTLALFIKVFFFA >ORGLA05G0164100.1 pep chromosome:AGI1.1:5:17345369:17349415:-1 gene:ORGLA05G0164100 transcript:ORGLA05G0164100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uracil phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G53900) TAIR;Acc:AT3G53900] MPSLATAAAAGAGAPLRRGPCAPRRQSAHASSSSSSSLPSPALATSTRFAATAPILRLVQRRPRAPLTAARAASPDAATGARSPSSGGQMLVFVPPHPLIKHWVSVLRNEQTPCAIFKSAMAELGRLLIYEASRDWLPTITGEIQTPVAVSSVEFIDPREPVMVVPILRAGLAMAENASSVLPATKTYHLGLRRDEETLQPLIYLNNLPDKIPEGTRVLVVDPMLATGGTIVAAVDLLVERGVTSKQIKVVSAVAAPPALQKLSNKFPGLHVYTGTIDSEVDERGFIVPGLGDAGDRSFAT >ORGLA05G0164000.1 pep chromosome:AGI1.1:5:17341432:17344390:1 gene:ORGLA05G0164000 transcript:ORGLA05G0164000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:I1PW92] MDWSAVTAEDLVDALREVDWSTPPRPVPEFFSRFTVPRSYSKWTSRLKCNLYYYRTNYFILIMFILGIGFIWKPVAILAAFMTGISIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPITPVLRGRPSSKRSIHICGRPRWLFVLLFSAVSCMLWLTSCSLLTVLWALLIAIFATLLHASFRTPNLKARLNTFREEFRAVWRNYSEL >ORGLA05G0163900.1 pep chromosome:AGI1.1:5:17331801:17337731:-1 gene:ORGLA05G0163900 transcript:ORGLA05G0163900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate synthase [Source:UniProtKB/TrEMBL;Acc:I1PW91] MASVDPSRSFVRDVKRVIIKVGTAVVSRQDGRLALGRVGALCEQVKELNSLGYEVILVTSGAVGVGRQRLRYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFNQLDVSSSQLLVTDSDFENPKFREQLTETVESLLDLKVIPIFNENDAISTRKAPYEDSSGIFWDNDSLAGLLALELKADLLILLSDVDGLYSGPPSEPSSKIIHTYIKEKHQQEITFGDKSRVGRGGMTAKVKAAVLASNSGTPVVITSGFENRSILKVLHGEKIGTLFHKNANLWESSKDVSAREMAVAARDCSRRLQNLSSEERKKILLDVADALEANEDLIRSENEADVAAAQVAGYEKPLVSRLTIKPGKIASLAKSIRTLANMEDPINQILKKTEVADDLVLEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAIRSNTILHKVITDAIPRNVGEKLIGLVTTRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGICHVYIDKSADMDMAKHIVMDAKIDYPAACNAMETLLVHKDLMKSPGLDDILVALKTEGVNIYGGPIAYKALGFPKAVSFHHEYSSMACTVEFVDDVQSAIDHIHRYGSAHTDCIVTTDDKVAETFLRGVDSAAVFHNASTRFSDGARFGLGAEVGISTGRIHARGPVGVEGLLTTRWILRGRGQVVNGDKDVVYTHKSLPLQ >ORGLA05G0163800.1 pep chromosome:AGI1.1:5:17328519:17330358:1 gene:ORGLA05G0163800 transcript:ORGLA05G0163800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGAGQSGWLLEYGLVEEEIQGSDFIYMVDDPAAVSSVLLGFDVPRKEDGSGGQDNSASKKRSRPESSAPPGTKACREKLRRDRLNERFNELSAILEPGKPPRADKVSILSDAARLLSQLRAEAQKLKSSNESLQDSIKSLKAEKSELRDEKTRLKAERERLEQMLKGVGAATPAAPAPFVPHHAAAVAAAPAYHPAAFAQAGGKYVPYATSYAPPAAFWQWIPPTSLDTSKDPVMWPPVA >ORGLA05G0163700.1 pep chromosome:AGI1.1:5:17324370:17325026:-1 gene:ORGLA05G0163700 transcript:ORGLA05G0163700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRSNGVGVTVDWGGDGEVAMLDLAPLPDPAPSRLDLASPMREDGRDDEPLASGAVGNNCIVVLRAGAMSTSLRGGSVDVEAVGCNCEAVARASRRGDASSTVGTTAQGGAASGGRGLLGASSSSRQRRLVRHKVSWPTAEFGMVWMLAGGGVGAAVPTH >ORGLA05G0163600.1 pep chromosome:AGI1.1:5:17311569:17315431:1 gene:ORGLA05G0163600 transcript:ORGLA05G0163600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAGGGGGYGGGGGEHQHQQQQHHLLLGQAAGQLYHVPQHSRREKLRFPPDHPAESPPPGSWPLPPAFYSYASSSSSYSPHSPTLAHAQLVAHGMPPGAATSGGAQIPSQNFALSLSSASSNPPPTPRRQFGGGGGGGAAGPYGPFTGYAAVLGRSRFLGPAQKLLEEICDVGGRPAQLDRGSDEGLLDVDAMDAAGSVDHEMDGSDRAVADAVTVSGAEQQWRKTRLISLMEDVCKRYRQYYQQLQAVVSSFETVAGLSNAAPFASMALRTMSKHFKYLKGIILNQLRNTGKGATKDGLGKEDTANFGLMGGGAGLLRGNNVNSFSQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDSDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQLQKNPSLDKNQLSVQHTQHSSDSSGKPCDPSNSLQGQSSSMTRNHSISASRHIEDGLSQMPHDISGQVSFAYNGLAAHHSIAMAHHHQPDLIGTGGAANAGGVSLTLGLHQNNNRAYIAEPLPAALPLNLAHRFGLEDVSDAYVMSSFGGQDRHFTKEIGGHLLHDFVG >ORGLA05G0163500.1 pep chromosome:AGI1.1:5:17275847:17277047:-1 gene:ORGLA05G0163500 transcript:ORGLA05G0163500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKKSPPSTAAGAAPAAANGYFSTVFSASPTANTKDAKQADLYAMLNKQNSKGQNGGGFAGNGKSHSPTKARGAYKDGKQSYPNESSESPYFGSSVHYGAREFYGNTPPKQGDASPGNQKEQEQNPDGSLATRGDWWQGSLYY >ORGLA05G0163400.1 pep chromosome:AGI1.1:5:17273432:17273656:1 gene:ORGLA05G0163400 transcript:ORGLA05G0163400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHMVAAKICPSQETLGGCSRLRHRCSASRLLCQLRQRLRRPLFEPVTVWHCGEMRGEQGREKMGEEKCGVGIWFS >ORGLA05G0163300.1 pep chromosome:AGI1.1:5:17270683:17271129:1 gene:ORGLA05G0163300 transcript:ORGLA05G0163300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHETDGCAEEGGDETHEAATGEGYARAAGQPLLVLLQCGCPSRSPNAYSPMQYQINAGDTFWIVSTTKLQNLKQYQAVERVNPTLVPTNLGIGQIDMFPAACSPPFPAACSPPFVAAAWSPPSERCRCLVAGGRRQQHQTYPINSF >ORGLA05G0163200.1 pep chromosome:AGI1.1:5:17268603:17269134:1 gene:ORGLA05G0163200 transcript:ORGLA05G0163200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGGELGSAYKAAMRNSVTVAVKRMRDMNRVKFEEHIQMLGDLRHPNVLSPVGYHYRREEKLIVSEFMPRGSLLYVLHGDQRPDRVVLDWPARMRIAVGVVRGMAYLHEKLGIPAMRLVSMDGADFDAIHSCRRGRLTPLAV >ORGLA05G0163100.1 pep chromosome:AGI1.1:5:17264512:17267712:1 gene:ORGLA05G0163100 transcript:ORGLA05G0163100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGGEEAAEEEREVSEALTADSSADEECRRGSSSSSASSGDASSESYCPPDEWQKVAIKTCVSSDLVVVSAEPAKEKKPPPPPSSPRVDAAPADKHHRPSEMEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLCATIFGQLWRLEPLLPEKKTMWRREMDWLLCVSDHIVELVPTWQTFPDGSKLEIMTSRPRSDLYINLPALRKLDHMLLEILESFRDPEFWYVDQGICPPDRDGSAPFMLTFHRRDEKWWLPVPRVPPGGVGETTRRQLEHKRDCASQILKAAMAINSNALAEMDVPDSYLDSLPKNGRATLGDIIYRYITSDQFSPDCLLDCLDLSSEYQALEIANRVEASIYVWRRRGTSGAASRAGNKSSWGIVKDMIMDTEKRDDLLADRAEGLLMCLKQRFPGLTQTSLDTSKIQYNKDVGKSILESYSRVLESLASNIIARIDDLLYVDERSRQAELLPTAGAGSGKISCMPAMSASSVPAYPVVSTSGTPPPYATAYATPSFSPAQLSSPSKIGRALLVDRRSHDGRAFDGSMEFMGMAVSNAVFDLPGL >ORGLA05G0163000.1 pep chromosome:AGI1.1:5:17252326:17253873:1 gene:ORGLA05G0163000 transcript:ORGLA05G0163000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:partner of SLD five 1 [Source:Projected from Arabidopsis thaliana (AT1G80190) TAIR;Acc:AT1G80190] MYGRRASQLLKEVDSCEAGQLVPFNSDVFDQVIRECNEHNTQFQSLIRKMVEQNLDIETTRNDDHYGAAVHHLSLLRNKRCLMAYMYKTEISQYNRAEVIQSFRWKIGPVLPHEIQEKLHFSEKEYFKNHSAAIKSYMSEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGEHSISLTKNSLHFLRRTDTEQFISQGLMEEFLE >ORGLA05G0162900.1 pep chromosome:AGI1.1:5:17247043:17247802:1 gene:ORGLA05G0162900 transcript:ORGLA05G0162900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRADDERRIGVAMDYSASSKRALDWAIANLLRRGDHLVVLHVLHHGGEEAKHALWGKSGSPLIPLSEFRDPTAMQQYGVHCDAEALDMLDTAARQLELTVVAKLYWGDAREKLCDAVEEQKIDTLVMGSRGLGSIQRYNSYFCLQCCCLINQESKLQALTVELAFGPVANIPVQIDKLCRERCTA >ORGLA05G0162800.1 pep chromosome:AGI1.1:5:17233904:17236915:-1 gene:ORGLA05G0162800 transcript:ORGLA05G0162800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:guanylyl cyclase 1 [Source:Projected from Arabidopsis thaliana (AT5G05930) TAIR;Acc:AT5G05930] MWPLGFFSERLFKVAGEGDGGEGADDSPPAPDGRVPLARRSYYVDVPHVQQAFTWDCGLACVLMVLRTLGMDCCDGIADLEKLCRTTSIWTVDLAYLLNRFSVCFSFFTVTLGANPQYSAETFYREQLQEDIDRVDELFGRALEAGISIQCRSISAYDIAFLLLSGHCIAIALVDKTKLNSSWSNDLQDMQQFSEDSDYMGHYVVICGYDADACEFEIRDPASSRQRERVSMKSLDQARKSFGTDEDIILVSLTGKIGMKLSRKLLVGSL >ORGLA05G0162700.1 pep chromosome:AGI1.1:5:17210428:17211883:-1 gene:ORGLA05G0162700 transcript:ORGLA05G0162700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEWSDGGEEFLLPDEFLDDDFFSEEEKAAVAARSDSSDEEDCLAGLSRRLAGLLGDDGERDAPPKAEVTVGSPQSTLCGLPKSGQESPNGGASQVSSPPSSPLEQKPADPWDMLYEAAGQVARMRVTNSIPVPNNPYGFPAHGGFAAPARKASPPPPVAPPATKVAPAGYYHPLAQLLTQRQIQAAQFHLLKQQQLLKLQRDRHLAAAAAWGARQTAAAKTAGCGVAASPVDMNPAAWPPLQKQQHAPAPGVGGGGGGGMRAVFLTPPGAKRERNGTGVFLPRPAGAPAEPKRKTGCSTVLVPARVVQALNLNLDDLGAQPRYPGGFVLDHDALINRSNAMLASQKRRASPAVPSPAPAPALCHSS >ORGLA05G0162600.1 pep chromosome:AGI1.1:5:17184538:17188859:-1 gene:ORGLA05G0162600 transcript:ORGLA05G0162600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYIAREASKVWRKVTTETSVELSLLREKWGLLLAGIVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSESIFASIFASFVLWTFHPFIYHSKRFYTVLIWRRVLAFLVASQVLRIITFYSTQLPGPNYHCREGSKLATLPPPNNVFEVLLINFPHGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRFVKLLAWFMAIVQSLLIIASRKHYSVDVVVAWYTVNLVVFFVDNKLPEMPDRTNGVPLLPLSTREKDGRLKEEKDSRLKEEFHKLLNGNHGDPTDRRQRAQMNGRHDEDINHAHSTLSDAAVNGGT >ORGLA05G0162500.1 pep chromosome:AGI1.1:5:17178745:17181357:1 gene:ORGLA05G0162500 transcript:ORGLA05G0162500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGQSAIAAEDDDLERGAERDEEEEEGSQYFTDAEDHQSWASHSRHNSTAYEDYISTCASVRASSYDGEAEEEEDAGGGGGGGVEHHRRKSSCVSECSLDDVDLEAGLAEVIKGSPEKAERCCRICHLGLETAAAESGAGITLGCSCKGDLSYSHKQCAETWFKIRGNKICEICSSTACNVVGIGDSESVEQWNESNSTAPAQAPPAETQRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >ORGLA05G0162400.1 pep chromosome:AGI1.1:5:17169108:17169569:-1 gene:ORGLA05G0162400 transcript:ORGLA05G0162400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPGPVIRVMKRSPEKSQCCLTADIARSDHGQIQDNFQVLTNMFKGIHTVKFSMVNPIYKLP >ORGLA05G0162300.1 pep chromosome:AGI1.1:5:17168642:17168815:1 gene:ORGLA05G0162300 transcript:ORGLA05G0162300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKRASIFIRLVSAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKMK >ORGLA05G0162200.1 pep chromosome:AGI1.1:5:17162076:17163853:1 gene:ORGLA05G0162200 transcript:ORGLA05G0162200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDSEGYSQCIERPKNQRRTNNATVGYLIVDANGGLNQMRMGISDMVAVAKIMNASLVIPTLDHQSFWTDPSDFKDIFNVEHFKEILKEDIVIVDSLPPTYKRVKPYMRAPTSWSRASYYRDFSRILRKYKVVRFTHTDSRIVNNGLAPSLQRVRCRANYKALQYRKEIEELGRTLVDRLRNGMDHYIALHLRYEKDMLSFTGCNHNLTVHEADELTDMRLKVRHWKEKEINSEEKRLQGGCPMTPREAAVFLKAMGYPSTTKIYIVAGEIYGAHSMDALKLEYPNIYTHYSLATADELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGSMDWNEFQSEVKKHHENRLGGPYDRLPGESPRQEEYFYSNPIPGCLCRKVQKTR >ORGLA05G0162100.1 pep chromosome:AGI1.1:5:17155047:17156666:-1 gene:ORGLA05G0162100 transcript:ORGLA05G0162100.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRLRMDPLEYLPVRFHFGGDFINNGRETFYIGGSEGMSYIERDKVSLPEIVGHLKDHCEVIPGMLLQWLFPSKELADGLRVLIDAEVCNYMSNCVGEGGVADIYVETVMAEESSESGSKDDSDFEDELQDISPADDEWDDEEDEDLFELNTGKEVLVIVSTPEKTKRDLEQVRAFRTPDKEKAMVVAEPSDKGKAVVVGKERLKKPVISDSDSDYVGGDSCSSEEDEEVQQIRKAYKEFKKKLKDDEVGNLDDVICEGSSRQTNSRALVQIEGDDGASPYDNSSADDDSYEEDSDGQLKEFKEAVVQLALDSKRFIRFIKDEGYRTRAKCDWATCPWACLLSKNSRTESWQIASLVDEHTCPPRKDNHLVTYKRIAQKYEKMITDNPTWSIQSMQSTVSEEMFANVSVGQCKRAKAFVFRKIYESTRGEYSRIFDYQLELLRSNPGSTVVVKLDTDQPSPIFKRIYICLAACKQGFLAGYTKVVQPDAPPAVTSALISLYFHFTILFCTAI >ORGLA05G0162000.1 pep chromosome:AGI1.1:5:17143389:17145084:-1 gene:ORGLA05G0162000 transcript:ORGLA05G0162000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRPARSDPHLPPEEAARVEAEVRGYFDSMAPRRPSKPPRSDPSDAGEGGAEADADADLPELRRLRDLEAKPQKLVLDGGDVNGEEYVETQYYNGLNCIDKQHHTTGTGFIKVERPNGSSFNVTTIAYSSDSIVRCTSNPATNDWIPSSETVIPVSNKPSRSDS >ORGLA05G0161900.1 pep chromosome:AGI1.1:5:17139118:17142378:1 gene:ORGLA05G0161900 transcript:ORGLA05G0161900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;2 [Source:Projected from Arabidopsis thaliana (AT2G38060) TAIR;Acc:AT2G38060] MASIRSCVSVKPAAAVSPVKYRSARVGAAGLEPRGLRISCSSSSSSLAAGGGDGCRDAGCASSSGRGSGVVGSVGDGWWGRRGGQRERAVAAMCSAGMEGVRHGAAAVASVPAASASALPERAKVVALVAAVMLLCNADRVVMSVAVVPFAAQYGWSSSFLGIVQSSFLWGYVFSSMVGGALADRYGGKKVMAGAAALWSLATFLTPWAASQSTIMLLAIRALFGLAEGVAFPTMSTFLPKWFPTHERATAVGISMGGFHLGNVISFLATPIIMSHIGLAGTFAFFASLGYLWLSVWLFNVESDPLDSRTISKSELQLILAGRSASKIQGSKFPSLREILSKIEMWAIIVANVVNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWAVMALSGYVAGASADFLIKSGFSVALVRKIMQSIGFIGPGVSLLCLRFAQTPSVAAVLMTIALSLSSFSQAGYFCNVQDIAPKYAGSLHGLTNGIGTVAAIVSTIGTGYFVQWLGSFQAFLTLTAVLYFSATVFYNTYATGDLIFD >ORGLA05G0161800.1 pep chromosome:AGI1.1:5:17122546:17124585:1 gene:ORGLA05G0161800 transcript:ORGLA05G0161800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQMEPPPPPPRRSVSTSCDLHPGETFTGFCAACLRERLAGLEASTAAAAAAPGRRSTSAIRSLFSRPFXXXXXXXXPPPCVPEVFLEEEIAMAEESDEITPVVEPILVVDTSGEMETEANGGREAKAMKDHIDLECSQAKKPQPKDLKEIAGSFWLAASVFSKKWQKWRRKQKLKKQDAAGSKAAAAAMPPPEKPSKPSFLRRSRLRGEACSEFAGGRRSCDTDPRFSLDAGRMSVDDVGFSWDEPRASWDGYLFGAGTGIGLGRAPPPLSRLPPILSAMEDSPAGIVERSDGQIPVEDDSQPEPDPDADTPGGSVQTRDYYDTSSSSRRRRSLERTSSVRRPSFEVTDAKPVLPAAAAITSVKDSPLIGSSEFYHFQHAEDLLEHHRFSTSSLIEDFPMSLDAAFPGPDKKPRRWRKAWSLWGLIHRRAAGRRGGASDVADRAFSEPWPELRVRGCNARMQRCNSNASARSSFSSNSGGLGSSRRSYVDGNGNVVKRRREECALERNRSARYSPGHADNGMLRFYLTPMRSASGRRAPGLPAKGGRQLRSQSFARSMLRLY >ORGLA05G0161700.1 pep chromosome:AGI1.1:5:17104912:17105713:1 gene:ORGLA05G0161700 transcript:ORGLA05G0161700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVQTVHAALLPSTTTTRRRPSPGRLPRRSPIRARAGSSETSSPRGRENWRVQEALARVAEIQVLKVRIASFLDDCSENLLWLAENADAELDATAQDGLRVLDLDGAADDEIMERLYCKLGRFDDAQAEKWMDLIGLGIDEGVSVESIGEEAKHEHVLVARESGRYRTRRNVYLGVMGLIWVGLMQTTVFAAPNVELDKFAALCLIFLAHIVQVCFKEETDKKQACVNHETQISGRQR >ORGLA05G0161600.1 pep chromosome:AGI1.1:5:17092635:17093212:-1 gene:ORGLA05G0161600 transcript:ORGLA05G0161600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRLLPSAKLPKTTAASIGDSGRLPPLARPLFQLDPPCGLGEVATNELRHRLDHLSGQIRHVGLKRLLSPRGLEKLPSPCGLRELLDCHHCVGSVXPKDETGRGRGXGKERRMFALPLLHPVPSNARATLLAAAT >ORGLA05G0161500.1 pep chromosome:AGI1.1:5:17072086:17073137:-1 gene:ORGLA05G0161500 transcript:ORGLA05G0161500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKESYMEVLPPAPAHYFVGQAAAAGGWFLPDRRGGGGAWSQEENKVFEQALAALDRNDPERWERVALLLPGKTVADVMTHYDDLENDVCFIEAGLVPFPHYGAAGGGGGSGFTLDWDGGDDPAGLGFKRSCYMVGGKRARGPDQERKKGVPWTEEEHKLFLMGLKKYGRGDWRNISRNFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDDDHGNPSPSPPPSVLTAHSSSSAAAVSEQFGMLVDGKPPPPPLGRGAGHHHFMPHPYAQVKIEAGNSHVAGGGRLDDSVLVQMQCGQLMQPLG >ORGLA05G0161400.1 pep chromosome:AGI1.1:5:17057757:17060736:1 gene:ORGLA05G0161400 transcript:ORGLA05G0161400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALYKDAAAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRAIAELGAGSVLNGGGSAPLPRASAADWARMVDGMQRHALSSRLAVPILYGTDAVHGHNNVYGATVFPHNVGLGATRDAELARKIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYECYSEDTEVVRSLTTIVSGLQGQPPADHPHGYPFLSSPRVNVLACAKHFVGDGGTDKGINEGNTICSLEDLEGIHIRPYPDCISQGVATVMASYTQWNGEPLHASRYLLTDVLKGKLGFKGFVVSDWEGIDRLCEPRGSDYRYCIAQSVNAGMDMIMIPFRFEKFLEDLVFLVEAGEIPMSRIDDAVERILRVKFISGVFEHPFSDPSLADIIGCKEHRLLAREAVRKSLVLLKNGKNQKEPFLPLAKNVKRILVAGTHADNIGYQCGGWTIAWNGDSGRITIGTTILEAIKESVGAETEVVYEECPTEATVETGEFSYAVVVVGEVPYAEWLGDRTDLSIPFNGSDLISRIASKVPTLVVVISGRPLVVEPQVLDKVDALVAAWLPGSEGMGVTDCLFGDHDFLGTLPVTWFRSTDQLPINARDASDDPLFPFGYGLKMFRGD >ORGLA05G0161300.1 pep chromosome:AGI1.1:5:17050411:17052711:1 gene:ORGLA05G0161300 transcript:ORGLA05G0161300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAPEARRLDRAMSFGGAGSIPEEALHLVLGYVDDPRDREAVSLVCRRWHRIDALTRKHVTVPFCYAASPAHLLARFPRLESLAVKGKPRAAMYGLIPEDWGAYARPWVAELAAPLECLKALHLRRMVVTDDDLAALVRARGHMLQELKLDKCSGFSTDALRLVARSCRSLRTLFLEECSIADNGTEWLHDLAVNNPVLETLNFHMTELTVVPADLELLAKKCKSLISLKISDCDFSDLIGFFRMAASLQEFAGGAFIEQGELTKYGNVKFPSRLCSLGLTYMGTNEMPIIFPFSALLKKLDLQYTFLTTEDHCQLIAKCPNLLVLAVRNVIGDRGLGVVADTCKKLQRLRVERGDDDPGLQEEQGGVSQVGLTTVAVGCRELEYIAAYVSDITNGALESIGTFCKNLCDFRLVLLDREERITDLPLDNGVRALLRGCTKLRRFALYLRPGGLSDTGLGYIGQYSGIIQYMLLGNVGETDDGLIRFALGCENLRKLELRSCCFSEQALARAIRSMPSLRYVWVQGYKASKTGHDLMLMARPFWNIEFTPPSSENANRMREDGEPCVDSQAQILAYYSLAGKRSDCPRSVVPLYPA >ORGLA05G0161200.1 pep chromosome:AGI1.1:5:17032876:17034318:-1 gene:ORGLA05G0161200 transcript:ORGLA05G0161200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAASAAPATVVVPLTQTLCANAPATSVTVVSKQTVRPDGASAVGDVKLSVSDMPMLSCHYIQKGLFFPPPGVPIASLVSSLVCALSRALAVFPALAGRLVTLDDGRIVIRCDGVAVEFYHAVAPALSLGDFLVPNADVPTRLTKDLFPMDRTVSYDGHHRPLTSFQLTVLGDGAVFVGFVANHAVVDGTSFWHFFNTWAGLCRGTPVQPPDLRRNFFGDSTAVIRFPGGAGPAVTFDADAPLRERVLHFSAAAIRELKAKANQWKRSDKFAEANGKHVDETKAHGGYREISSFQSLCAHIWRAVTRSRRLLAADATTTFRMAVNCRHRLRPAISPLYFGNAIQSVATTATVAELASNGLRWAAARLNATVVAHEDGAIRRAAAEWEAAPRCFPLGNPDGAALTMGSSPRFPMYDGNDFGWGRAIAVRSGRANKFDGKMSAFPGQAGDGSVDVEFCLAPDTMARLLGDHEFLQYVSRAP >ORGLA05G0161100.1 pep chromosome:AGI1.1:5:17030688:17031062:1 gene:ORGLA05G0161100 transcript:ORGLA05G0161100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVGEDGDGGDEDGGDDRWRRQWGSDLVEDGVGDGEDRWRWRRGTVGEDGGTAGRISWSRKTARASGREGGRRECGEKRAGARKPEGRRDADAARSRVVGSWSATVWADRGVWSVDLVGVS >ORGLA05G0161000.1 pep chromosome:AGI1.1:5:17021931:17023754:1 gene:ORGLA05G0161000 transcript:ORGLA05G0161000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNYSDYHPNSVPFDAYYEHGHGHLDDHGHGHHHLIPAHPEPSYSYGNWSFLHADATATSSSESSSASASSGAAHIVGASGPSASFVRQLHFGGEYYDDDAADISALMEAASISCWTTNGGAGASTSQTTGLEGVEVMRRAAAPAPDNGSSDKEEAARPLIGVRKRPWGKYAAEIRDSTRNGARVWLGTFNTPEQAALAYDQAALSVRGPGAVLNYPLHRVRESLRTLELGAAAAASESPVLTLKRRHRIRKRSTTKKAPASKEADEAPATTSSEGKKKRQVNTTSHSHDGAWRRHLLSSGRLSTEGSTAAGGRGGLAGGRGEAAGSNMHAADDDEDGEAAAGSSVHVANDDDDGSEAAAVSSMHAADNDDEAVAGSGVRXXXXXXXXXXXXXXX >ORGLA05G0160900.1 pep chromosome:AGI1.1:5:17014064:17014768:-1 gene:ORGLA05G0160900 transcript:ORGLA05G0160900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWRLEAEADDGYGELPALSRHRRRDCADSRGSRHSCRFFKSDSPHLKSTQVAAAGDEDEMDVAGWPRGSRQSGNMASSSSPSTSFSPLPPPWQPPRRLLKQPVILSLPLLSVVATVGGVVEKRRGWWSPSPSSRICPPPGSRCLPHRAWDGQAMAGGSSGSRRSARTVARGSGRLTAARDTKAEAANSAAAAEAEAEKARREWVGWWWWQCGESSQWWRESEEARMEEEGLG >ORGLA05G0160800.1 pep chromosome:AGI1.1:5:17012543:17012764:-1 gene:ORGLA05G0160800 transcript:ORGLA05G0160800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSKIWKESKFGTIGYVQFLSCTRGFPKVFRTLSMRLVHGFRLPTSCINRGGA >ORGLA05G0160700.1 pep chromosome:AGI1.1:5:17008285:17011607:1 gene:ORGLA05G0160700 transcript:ORGLA05G0160700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFKPIEQCSTEGRSQQTVASDLDGTLLLSRSAFPYYLLVALEAGGPLRAVALLMSVPFVYLTYVTISESLAVRALLYIAVAGLEVRDVESVARSVLPRFYAGDVHPEGWRVFSSFGRRCVVTASPRVMVEPFARAFLGADRVIGTELEVGEDGRATGFEAKPGVLIREHKRNAVVREFGDALPDVGMGDRESDFDFMAICKDAYVVTTSRKHRPVPESQLLRTVVLHDGRLAQRPTAINTLLVFLWMPVGFALALLRACLSLLLPERVLSYAYKLTGVGLVVRGRPPPPDGSPGVLFVCNHRTVLDPVAVAAALGRKVICVTYSVPRKTYGMSSRLPEALTASPVKAAVALCRERDRDADRVRRLLEEGVDIVAFPEGTTCRGAFLLRFSSLFAELTDRIVPVAIATRETMFHGSTARGFKGMDPYFFFMNPRPAYEVTFLSQLPSELTSGGGGKSPVEVANYVQNALAGQLGSEHIGITRKEK >ORGLA05G0160600.1 pep chromosome:AGI1.1:5:17001405:17002322:1 gene:ORGLA05G0160600 transcript:ORGLA05G0160600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWIRLPLCTPCTRIRLQWRGSSAVAGGASADPAPPSSRVDPARVKTMGMRQDGGNFRGAYGINAKSMHAMISQFLDRRIRRHGVEFYPVN >ORGLA05G0160500.1 pep chromosome:AGI1.1:5:16996488:16997786:-1 gene:ORGLA05G0160500 transcript:ORGLA05G0160500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKGSSQMTMTNQRNLSDRRGQQPLSQVYVDVDSEHGTAERQEIGTAKRARWSHQMKMFLIELLTDHDVPGFRTQNAWSKEAWTNIVCRLNTKFGTSFTTNQVKQKEQDLKKDYRSVKDLLDQSGFGWDSDRMMVSAPQSVWDTFADRKNKDAIHWRDKSFPYFDDLAPLYDGRYAEGRTRHGMDHYARKTKNAPAHSTQEANAVDTYQSPSPNSNAPGESGLQFPFGEEVETANLDFSQHSPTPVHLTKVPPSSAQTPSEVPESRPGKKQKIKSVSPDDGFHERYLKLKKEEIDRFAAIEEKKLEDPYNINKCITVLEGLHGLQIGDILVAADIFKGKDNREVFLSFSSDALRLAWIRKEIAALE >ORGLA05G0160400.1 pep chromosome:AGI1.1:5:16985649:16987215:-1 gene:ORGLA05G0160400 transcript:ORGLA05G0160400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQVAAVAPSPCARSSASSSPPSTSSSRPALGGAGLARSRAPVNWGAGVMARRRGLRQPARCALSASLDGVGGGDAEFLRRIEELAAAVGVQPTGCGWPASVERSASSAGMPLSLRMLKRKKQQQQLVARQTRWDERLLGSAGDSVGRAFSSMVLIVRELQSFALQQMREAMLGDDLQSVLARVHGEMHASFVWLFQHIFAGTPALMVSLMLLLANFTVHSMGHSVAAAAAIPPAPPTSAAVAVVDTQHADPSLPRFDAASVKTFSIGRAASVGGSSGGGGKVRPVAGATGDDRWDESLARLSGVAPQQPAPPAGTGAGMAEDEQAIWERMVAEASNMQENARAEELSDPDVLGNLVAPVEAEIETEGHAEYTRTEQRYELAVSEEPNNPLILANFAQFLYLVQNDHDRAEQYFERAVRAEPADAEALSRYATFLWKARNDLAAAEDTYQEAIAADPGNAHHAAAYAHFLWNTGGEDTCFPLD >ORGLA05G0160300.1 pep chromosome:AGI1.1:5:16982582:16983207:1 gene:ORGLA05G0160300 transcript:ORGLA05G0160300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKASSRPTAAPSLPCRTRSATTAALGGQIEDDGVGGQIRRRRSRCGEREAAARRPATARTAAGVAAGDDVGARGYARENNRQGDGRRMCVGPTVGQGFLAGGDTVGGRFFAEMTKI >ORGLA05G0160200.1 pep chromosome:AGI1.1:5:16964445:16980824:-1 gene:ORGLA05G0160200 transcript:ORGLA05G0160200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MPEFPSPSSPAGGGRRRTLNNADNKPDLHGTMNDPYYYDCRILVDATPEKSSRKKSTFVRHFSCTSSHALVKIAAAIVDPRAVVLHGREPHGEQGGGGATGERGHSRSRAERTDPTTTAPFFSGSNRRQVADLFRRPPSSFSDVFGMLIRDPRCYVYSCIGYLKVDIFDRSHFCLFLIEKANNVTAKCNNSLKKSLIVHAIGLACVKKGELQNVSWFQFLPVEPDPSTASERSSKAEQKDALNNIVLSAHLHLQSEGFLSTWTNSFVGPWDPSQGEHNPVVSNGLWVAPGNLEEVAAALCQALRNSLERRAQPTIEFVFAATEEAIFVHVIISARYMRNLCSDDIEKVLTHSPRSVGEGLPVIVAPSGMLGRLVGCCPSDLVRQVYSSKLSAATLPGFTQPTMCQLRGQSYYVEVALGFPAATTDKVPESENNQIKKELDSAKDPQLSADEHQKLESADSVPVLERTFIYPPEAVMVPMVHQAFVRFSSKRMCSQACVGNSSWEGWPFWNFSPSSYFRNSSCLGSSRGLGVNSNFLRLRRQKNSNYSSMASSISSVSSTSNGSEHAVAAEGGDLSADADSMACHQSDLPSNIAGSKMVSKRPRSEIPEVSSRAGKESVDNNQGVNGQGRCSWGWDEEGVAMDINLLISEFGDFSDFFQEEELDFGEPPGTAESHSLVIPGPDCGDATFTDSPSTAMDIPEQRFSPVGLSSLEVFNHQTVAPIHDVVSKVQEPQKDIASPTSSQSVVLSSGRSSHLTRAEALLTYAPEYAAIEISTGETPTSLFTNPYQPRSIKPGSSSFNSRVYSYDAAQSSQMESGEDKPEKSVRLASGNLSRDIGSSNLYTVVQVGKKESDKGLKNTDIQSGKEEASRPISGETSLDSSVVSQRKSDSMFNAGYFLLSMKTALATEMECIKFQAAMCRIRHTLLSLSSKASAELKSALSSLVHTDVSNKLDLVPKYDIKRKENIPARLSIDVDHEVYDRSLENVGVWKPVGTPKGPTHLESFSAKTYTGTSQGLPVKRQPIVDLLSAMALIVQQSTSFVDIALDMDDGDGSFFWLSLDEQKRRGFSCDPSMVHAGCGGILGMCHSKDCAGVDLVDPLSAEVSDSSVISLLQSDIKAALKTAFANMDGPLLVTDWCRGRSNAAEYASMGDAYSFQHPTGDIRESSSSISIGGDSMSPPQSSHVISNDRGTSELEHHRGYHRVRPTVAVLPLPSLLVGYQDDWLKTSANCLSLWEKAPLEPYASPKPVTYYALCPDIEMLTSAATDFFLQLGTIYEVCKLGTHSPQNSGGQMELSPGKYLSSGLVLTECPDQVKIGNGHSSSISSTSEYLQALSRNWTVKSFVTSLTRVIKDIKLNSSILANQKESTSGPCTVIYVVCPFPEPSAVLETLVECSVALGSVMLSPERERKSFLYSQVAKALNCNASVDESSASNVVMLSGFSIPKLVLQIVTVETLLRLHKPNNELAVLKDMAFTVYNKARRIPKAISTSDMFQSPAYMGRSQSTMMHATSPGPTLWKECLVPRMSGSTLSRETEFDASMRSSVTWDNSWPGRAGGFMDPNKIPDVCVQDDRKYAFEPLFILAEPGSVDYSSGMESSKSGVDASGSGIYSSISGGGSDSGASASALLEGSDNDNAASLHCCYGWTEDWRWLVCIWTDSKGELLDSLIFPFGGISSRQDTKVLQSLFIQILQHGCQIMSSAPESSNTRPRDVIITRIGGFLELEIQEWQKAIYSFGGNEVKKWPVQLRRSIPEGIPSNSNGPALQQQDMGLMQDRNMPSSPSPLYSPHAKSSFMKGALGQSGNKKQILVEQAGMDSSKGSLHLVRSISLVAISQDHSLHLTCQADLLTRPTPGEGNQTSSGPSSYLEGFTPVKSIGSMSASYLLVPSPSMRYLSPATLQLPTCLTSESPPLAHLLHSKGTAIPLAMGYVVSKAVPPVRKDSARLANEDRPSVLSVSIIDHYGGSIAAVQEKMSRGSGKQTRNFTQEAAGRDHETEMHNVLEAVAAELHSLSWMTVSPVYMERRSALPSHCDMVLRLRRLLHYADRHLSQSTEKGDVA >ORGLA05G0160100.1 pep chromosome:AGI1.1:5:16962125:16962316:-1 gene:ORGLA05G0160100 transcript:ORGLA05G0160100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSIHAAASSIAVLRRRHLFHRAAPCPNPPRRPAVRDWSADIXFAAAARRRGDGHGARWSS >ORGLA05G0160000.1 pep chromosome:AGI1.1:5:16951383:16954190:1 gene:ORGLA05G0160000 transcript:ORGLA05G0160000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGAAEGVDGGRPLMVQREVGIDSQLWRCSGDSSCVDSTDGSGRLAPLACREGFGGAGWRPWWWCEYAWSAAGGRCGVRTRAGSRRGSRDEGGRVAARGGWTGWGAGAAVSMRQQRFRLWWSNGVLVVDRQAAGGRFLVWFPMANIFLVNERKHLALAMSTSGAPPYGISGFKQRYRF >ORGLA05G0159900.1 pep chromosome:AGI1.1:5:16944122:16948383:-1 gene:ORGLA05G0159900 transcript:ORGLA05G0159900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGDQAEEAIVADAGKEEAEVRAAMGVEQDGKFSMTSLLWHGGSVWDAWFSCASNQVRPTTNDLVMPLAHISFGILQVAQVLLTLPYSFSQLGMLSGLLLQVFYGLMGSWTAYLISVLYVEYRARKEKEGVSFKNHVIQQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCSTTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAAAVHGQVDGVTHSGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLVATLYVFTLTLPSASAMYWAFGDALLTHSNAFSLLPRSGWRDAAVILMLIHQFITFGFACTPLYFVWEKAIGMHGTRSVLARALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLSHILTYRSASARLNAAEKPPPFLPSWSGMFVVNVFVVAWVLVVGFGLGGWASVTNFIKQIDTFGLFAKCYQCPPRAHAGAPLPAPPRH >ORGLA05G0159800.1 pep chromosome:AGI1.1:5:16937187:16937810:-1 gene:ORGLA05G0159800 transcript:ORGLA05G0159800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPFRSNLAERRATPTHFDSDPPRPRRDSPSDPKFRRDGGQPVARGDGRGRRRRLLVVVRGRRRRGGRRGVLARRGAHGVAEQAGGVHQDVAAAVVRAQAGAAVLVQGRRRDARLGAPRRHPRRHLPHRQGRRGRHQPPVRLRALHPDRHHVLHRRLRKREGRVDQFHRPIHCSALPLRHRRRGRRLRQPPQLQAPTAAEDERRE >ORGLA05G0159700.1 pep chromosome:AGI1.1:5:16931992:16933986:-1 gene:ORGLA05G0159700 transcript:ORGLA05G0159700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALGVPLVQVRAAVAAYGRGAGKGKGKRRVVGAFHAPPGRRRTALVAALPEPLQPLSPAQDGAVAPASTEADGGEEVHGDVASAEISSPSGVLGKTVRVRFVLKRECTFGQSFHLVGDDPALGLWDPSKAVPLDWSEGHDWTVEKDLPANKLIEYKFVLQDLSGKLHWQNGRNRSVQTGETANILVIYEDWGNANSQTVEEEGKVSIGMEEGKLSVGMEEAVVPDDSESRDDIIVADELQVDDNLAVMQNESSVREDDKKSTVGTVTSVQAELMKLHEANQPELIVDEPQIQEALPETADTEPENGGVATCADDRYAESTDDDGVPVGGTDDDGVPVGGTDDDGVPVENRWTGAFEHELLWGWKALQQLLMSLGFKMDTS >ORGLA05G0159600.1 pep chromosome:AGI1.1:5:16916484:16924094:-1 gene:ORGLA05G0159600 transcript:ORGLA05G0159600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA--protein transferase [Source:UniProtKB/TrEMBL;Acc:I1PW48] MADGASSSGAASASGPGGGGGGESVVIDYGRRRTACGYCRSTGQTSISHGLWANSLRADDYQALLDRGWRRSGCFLYKPEMERTCCPQYTIRLKANDFICSKEQGRVLKKMQRFLDGELDPQVGSPQNKTSPTKHSLAEPMNLPVSKISKTLTNDFQAAKCPNLFEEDEFISCLSSKINEAVGMSFQVGTLGSDVQLPKAVVKTVKPQLKKKVGGASQDKKVGEAVQDLLYTCNISFQIVAEIRRALPKEKDANHNEVVADISPNSIAEKLAMTMECHGDIAGLAVKACNGHLNFYSVTNQTKQNKTSIIVSTHAPDKSSSSKQSSVNKNTVRVPQKRRKLEIKMRRSHFDPEEFALYQRYQTKVHKEKKVSESSYKRFLVDTPIVFLPPRSGDNTVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDFAFLSLGKYTALKEIDWVKTTQEHCPNLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRFEWVCYDSAKRLLDKSLYSVLSDFAQIQDEMPQPQNSHLDTELSKNDNCESPIDEDDEDLSYDDSDMMVDEEMVRSESNTDVMEDCSSIIDFENVMMDLNGSRVKYKDLLGVVGRIERRHLEQLERQLSKYVKVVGKELSDRMVYSL >ORGLA05G0159500.1 pep chromosome:AGI1.1:5:16899416:16910039:-1 gene:ORGLA05G0159500 transcript:ORGLA05G0159500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDENPSYLHLIFLSSPIRVFILDPPHSLSLSNGQCELQVVEEAAMDPSGLNLQGNPAENQESWTSGVSVGRGTPNLGVGTAVAGRSCPPSTLFPGSSLSSTALLNAMHEGSFPQAALVAGSGSSADEQHGGPPVRPSYNLPAGCTQVPISILVFHRRLTGRGSRRRSFMPAPALSGVSEDGASGPIPQSDFLSLRGPSEVFAEHAPMLLQPNGLYTEASNTESEREASQLQQSAEAVICDSHSKLESVMEKIQGQNPQESIGLVAEGSTDDNIHKYHQKAKRARTQITHSDKMDLPTQAVSACKEKTLTQIEMQIADAERTEAFKSEDAPAQKLKTRRRKHRPKVIREDRPAKKQISTTSKEKPLNQKPKRKYVWKNRNPSSLEKCAEPFSDHSISRESRTTVRSSIASVRRRLQFEFGEHGVQRDQSSRTNSWYRNQEKPVNAESSLCSVTKSSVQVEHGQELHMENSPEGLFFGINSKLNKILDEYIHLPEAAPKPSEEIPLATSGHVSEELARKQDDVRHIHDHNERSGLITTKRNKKDLDLNYSNTNGFQMYCSASLLPEMDSTKGRMTKVSKMDKNHKRHYGGESSLAGTQSSIIMRTAAEMLAVYQACGIKKKRSARVRRNSFLSVMDLQKNTSQESTRLPRSCMEALYESSYIKFMTKKRSQKARLNSPNSIQPNIDQKNRFSSETIFSGGFNGLKRSEETFQKTLPQIPDDKRINLDIHCEVPVESSPNTSTPPYMDYLQGVTSKFRYFDLNTEQVHKTEMHLYQTMPSLSSLGATNYLPNALVPYVGGAVVPYQTQFHLVKKQRPRAKVDLDFETTRVWNLLMGKAADPVDGTDVDKERWWKQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAASFPPGLDGNCNDGIAGQDNEEIISTSAVRDRGTFEFFYNGSRPDIGLNFEELSMACEKIHMEPKGNATVNELTKGENYSLHCKEPAGSLCDHETRIDHKAKSISDISLVELTARMKNLHATQFQTEISLSQSVVTSESILQPGLPLSSGMDHAPRNFVGGISDTASQQVGSNFDDGKSLTGNDVTANETEYHGIKAAATNNYVVDEPGIPSGSNMYPFFSATDCHQLDERNDIHVSSTSPNSSIGSASSNFKIGTIEENSSFFMPFDAHLAQMNGNMIAGTNVSSALASTELPVKLLHCCKRSCYEASEFQDHESLYATGGAIPETATKADDSTLKSGFASFNGLPDTAAQASKPKKPRTTSKKNSENFDWDKLRRQACGNYQMKERIFDRRDSVDWEAVRCADVQRISHAIRERGMNNVLAERIQKFLNRLVTDHGSIDLEWLRDVPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYLWPRLCKLDQQTLYELHYQMITFGKVFCTKSKPNCNACPMRSECKHFASAFASARLALPSPQDKRLVNMSNQFAFQNGTMPTPHSTPLLQLEGSIHARDVHANTNPIIEEPASPKEEECRELLENDIEDFDEDTDEIPTIKLNMEAFAQNLENCIKESNKDFQSDDITKALVAISNEAASIPVPKLKNVHRLRTEHYVYELPDSHPLMQQLALDQREPDDPSPYLLAIWTPDELKDTREAPKPCCNPQTEGGLCSNEMCHNCVSERENQYRYVRGTVLVPCRTAMRGSFPLNGTYFQVNEVFADHSSSHNPINIPREQLWNLHRRMVYFGTSVPTIFKGLTTEEIQHCFWRGFVCVRGFDMETRAPRPLCPHFHLAASKLRRSSKTAATEQTH >ORGLA05G0159400.1 pep chromosome:AGI1.1:5:16894845:16897603:1 gene:ORGLA05G0159400 transcript:ORGLA05G0159400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADATTAMTIDFLRARLLSERSVSRAAKERADQLTKRVPELEEQVRALTAQRRKAERAAGEVLAILESQGLSRFSDVADSGSGSDDEDGLKSAESGSKARGDAEDDLSGSEFGGTATAAAAGGLSWKGRAASHESQRRRQQQQQQLKGRHLRPRHSHRRGYFYLVAADSSPKYHPGQSCRKVKRKELRLHTEGDEGKDNAMESTEEGHERSDCTVCTDEQPDIDGEVSQDGQGSCGNGRDGDDDNPYTVEYEKDGEMERVLEKQAELIEQYEEEEYAQREWERKFNNSRDSTAGDVELCNKLNQIEKACEQRDKAAQIKDKEVSEVGGPSDKNLFANDSPSECLSTDSVYGVPQNAPEENAIKHCKVTECDHDFGEATSTVVSVDSGPQIRKDELVDKSFTEIIEGSGNNIEKLSSSLQRNYESVQNARHNEDGVDESSDGGPGYHVNACSYEHYINTPSVAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGLFRLPTDSFAQNELCSADGHRSRFSLTATSRENLSNHFRANLSLSRYGSEFSPDPCYSARNSMLLSTPTSDGCSNPVSDFRVGDASFLSEVQVIAIEEGCLLEIKGCCSIMLAITARISGRYSYAFLEIIV >ORGLA05G0159300.1 pep chromosome:AGI1.1:5:16888547:16890360:1 gene:ORGLA05G0159300 transcript:ORGLA05G0159300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPEGWELIEPTLRDLEAKMREAENDPHDGKRKCEALWPIFRISHQKSRYIYDLYYRRKEISKELYEFCLDQGHADKNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >ORGLA05G0159200.1 pep chromosome:AGI1.1:5:16867129:16879787:-1 gene:ORGLA05G0159200 transcript:ORGLA05G0159200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDESPCYLHLIFLSSRIRVFILDPPLSLPLSHGQCELQVVEEAAMDPSGLNLQGNPAENQESWTSGVSVGRGTPNLGVGTAVAGRSCPSSTLFPGSSLSSTALLNTMHEGSFPQTALVAGSVSSADEQHGAPPVRPSYNLPAGCTQVPISILVFHRRLTGRGSRRRSPQSRSFMPAPALSGVSEADGAYGPIPQSDFLSLQGPSEVFPGDMTMNHSEPATSYGYNSEYAPMHLQPNGLYTEASNTESEREASQLQQSAEAVICDSLSKLESAMEKIQGQNPQESSVLVAEDNIHKYHQKAKRARTQITHSDKIDLPTQAVSACKEKTITQIEMQIVDAERTEALKGEDAPAQKLKTRRRKHRPKVIREDRPAKKQMATTSEEKPLNQKPKRKYVRKNRNPSSLEKCAEPFSDHSISRESRTTVRSSIASVRRRLQFEFGEHGVQRDQSSMTNSWYQNQEKPVNAESSLCSVTKSSVQVEHGQELHMENSPEGLFFGINSKLNKILDEYIHLPEAAPKPSEQIPLAASGHVSEELARKQYDVRHTHDPDSTSYNIERSGLITTKGHKKDLDLNYSNTNGFQMYCSASLLPEMDSTKGSMTKVSKMDKNKKRHYGGESSLAGTQSSIIMRTAAEMLAVYQACGIKKKRSARVRRNSFLSVMDLEKNTSQESTRLPWSCMEALYESSYIKFMTKKRSQKARLNSPNSIQPNIDQKNRFSSETVFSGGFNGLKRSEETFQKTLPQIPDDKRINLDIHCKVPVESSPNTSTPPYMDYLQGVTSKFRYFDLNTEQVHKTEMHLSQTMPSLSSLGATNYLPNALVPYVGGAVVPYQTQFHLVKKQRPRAKVDLDFETTRVWNLLMGKAADPVDGTDVDKERWWKQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAANFPTGSHGNCNDGIAGQDNEEIISTSAVGDRGTFEFFYNGSRPDIGLNFEFSMACEKIHMEPKDNTTVNELTKGENYSLHCKESAGSLCDHETEIDHKAKSISDISAVELTARMKNLHATQFQTEISLSQSVVTSESILQPGLPLSSGMDHARRNFVGSISDTASQQVGSNFDDGKSLTGNDVTANETEYHGIKAAATNNYVVDEPGIPSGSSLYPFFSAIDCHQLDGRNDTHVSSTSPNSSICSASSNFKIGTIEENSYLFMPFDAHLAQRNGNMIVDTNLSSALESTELPVKLLHCGKRSCYEASEFQDHESLYATGGVIPETATKADDSTLKSGFASFNGLPDAAAQASKPKKSRTTSKKNSENFDWDKLRRQACGNYQMKERIFDRRDSVDWEAVRCADVQRISHAIRERGMNNVLAERIQKFLNRLVTDHGSIDLEWLRDVPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYLWPRLCKLDQQTLYELHYQMITFGKVFCTKSKPNCNACPMRSECRHFASAFASARLALPSPQDKRLVNLSNQFAFQNGTMPTPNSTPLPQLEGSIHARDVHANNTNPIIEEPASPREEECRELLENDIEDFDEDTDEIPTIKLNMEAFSQNLENCIKESNKDFQSDDITKALVAISNEAASIPVPKLKNVHRLRTEHYVYELPDSHPLMQQLALDQREPDDPNELKDTREAPKPCCNPQTEGGLCSNEMCHNCVSERENQYRYVRGTVLVPCRTAMRGSFPLNGTYFQVNEVFADHSSSHNPINIPREQLWNLHRRMVYFGTSVPTIFKGLTTEEIQHCFWRGFVCVRGFNMETRAPRPLCPHFHLAASKLRRSSKKAATEQTH >ORGLA05G0159100.1 pep chromosome:AGI1.1:5:16859675:16862459:1 gene:ORGLA05G0159100 transcript:ORGLA05G0159100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADATTAMTIDFLRARLLSERSVSRAAKERADQLTKRVAELEEQIRAVTAQRRKAERAAGEVLAILESQGLARFSDAADSGSGSDDEDGLESAESGGKARGEAEDALSGSELGDTVNAAAAAAAGGLSWKGRAVSHESQRRQQQQLKGRHLRQRNSHRRGYFYLLAADSSPKYQPGQSCRKVKRKELRFHTEGNEGKDNAMESTEEGQERSDCTVCTDEQPDIDGEVSQDGQGSCGDGRDGDNDDRYSVEYEKDGEMERVLEKQAELIGQYEAEEYAQREWEKKFNNCRDSTAGDVELGNILNQIEKACEQRDRAAQIKDKEVSEVGGPSDKNLFADDSPSACLSTDSVFGLPPNAPEENAIKHCKVTECDHDFGEATSTVVSVDSGPQARKDESVNKSFTEIIEGSGNNIAKSSSSLQGNYDSSQNARHNEDQVDESSDSGPGYHVNACSYEHYINTPSFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLAGLFRLPTDSFAQNELCSADGYRSRFSLTATSRENLSNHFRANLSLSRYGSEFSPDPCFSARNSMLLSTPTFGGCSNPMSDFRVGDAYFPSEVPRSSNRRGMPSGDQGMLFHYAGDYSSNKWTL >ORGLA05G0159000.1 pep chromosome:AGI1.1:5:16856518:16857536:1 gene:ORGLA05G0159000 transcript:ORGLA05G0159000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAAYLLAVLGGNTSPSADDIKNILESVGVEANDERLEFLLSELEGKDITEVIAAGREKFASVPSGGGGGIAVAAPTAAGGGAAPAEEAKKEEKVEEKEESDDDMGFSLFD >ORGLA05G0158900.1 pep chromosome:AGI1.1:5:16837267:16838826:1 gene:ORGLA05G0158900 transcript:ORGLA05G0158900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADATTLHIAVHALASSLQAQVAAVFFVSAACTVALALLLALLRLRPPWWCACPVCEAYVTASWAREFDNLCDWYAHLLRRAPGRTVHVHVLGNVLTANPATVDHMLRCRFDNYPKGAPFSAILADFLGRGIFNVDGDGWLFQRKLAAAELASPAIRAFAANVVASELRCRLIPLLRSASSYGSERLLDLQDVFRRFAFDCICKISFGLDPGCLELSLPISAFADAFDTASMLSARRATVPMHVVWKLKRLLNIGEERELRDAIRLVDALAAEVIRQRRKLGSAASGDDLLSRFMGSINDDKYLRDIVVSFMLAGRDTVASGLTAFFLLLSDHPEVAAAIRDEVFRVAGGRDPTAASFDFDKLKDMHYVHAALYESMRLFPPVQFDSKFAAGDDTLPDGTFVAKGTRVTYHAYAMGRMESLWGPDCAVFRPERWLSGGRFVPESPYRYPVFQGGVRVCIGKDLAIMEMKAVIVAVVLSFDVEAVDRSSRRPKFAPGLTATFAGGVPVRVRRRAHCAPS >ORGLA05G0158800.1 pep chromosome:AGI1.1:5:16815182:16815916:1 gene:ORGLA05G0158800 transcript:ORGLA05G0158800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLFIGFAVASLVFSSIAPFVLKVNWLYYLAFAVVAIGLIIYSINESSDDETAASTMETETQYDYEQLLCE >ORGLA05G0158700.1 pep chromosome:AGI1.1:5:16813883:16814995:1 gene:ORGLA05G0158700 transcript:ORGLA05G0158700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLTGADAPFTQSFLSYLLLALVYGPVLLHRQRKFLMPWYWYLALAFIDVQGNCLAIKAYHYSYITSVNLLNCWTITWVMILTRFALGTRYSLWQFVGAGTCMTGLALVLLSDSNYSDVQDESKRPLLGDALIIVATFCFAFSNVGEEYCVKNKDRIEFVAMLGIFGMLVTGIQLYPYQNIIS >ORGLA05G0158600.1 pep chromosome:AGI1.1:5:16809244:16811050:1 gene:ORGLA05G0158600 transcript:ORGLA05G0158600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRACTMVEGSSFVVGGGGGVPFFEWLKPRSSPPPSPSSSSTTTTSSSLTAQRQPRGAGTMLCLPLLGRLGEEPVDADDGGAMNNPPVKEEVSNTTDDYAGVDLNIGLPATTGGCSSEDAPMDEDEEDDDDDDEEEETEDDEEKAAGLEGCKVEEEEREQVHSEGSKYYVSVGGGEDQSSNAGDVDAGAACRGRRYWIPTPAQILIGPVQFVCHVCNKAFNRYNNMQQMHMWGHGREYRKGPESLKGTQATATLAMLKLPCYCCAAGCRNNVGHPRARPLKDFRTLQTHYKRKHGAKPFACRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGGGHFPVAAAAAHAAAAPPKQQQRIIRFDDAMAPTHGGGLMN >ORGLA05G0158500.1 pep chromosome:AGI1.1:5:16795557:16800039:1 gene:ORGLA05G0158500 transcript:ORGLA05G0158500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGEGSSWRMANDHDRAVPHSQAVAYGIQGHAVLAAPPANFLELQPAAAAYFGELEKALIHGTSAGAGVDHGMIQSDAYTESGYLAARPPTLEIFPSWPMSHLQEPYSNSQSVGSTTDSSSAQNTMSQAELVSPASMRSDSGQEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSTRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQGLFPGGCSAPGDMSSGAVMFDMEYTRWIDDDSKCMAELQGALQAQLPDGNLGAIVEECMRHYDELFHLRAVLASSDVFHLMTGMWAAPAERCFLWMAGFRPSEILKMLIPQLDPLTEQQLMGMCSLQQSSEQTEEALAQGLHQLHQSLADAVGGGPLNDGADVANYTGLMALALGRLENLESFYPKADNLRQETLHHMRRILTTRQTARCFLSIGEYNRRLRALSSLWASRPRENFIATENVSPTGTEFQVIQQSQQNQFSGF >ORGLA05G0158400.1 pep chromosome:AGI1.1:5:16787928:16790938:-1 gene:ORGLA05G0158400 transcript:ORGLA05G0158400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLVFPSASNGGCPLSVSRNNFRKVVLGVGAGHLQGKKCFSGGSSRPSKLECSANSRRVGPRRTKDALYDLHPEISMLYGEDNGAVAAPGKEQDIVKTTERLEDVSASHRYSEPRIKVIGVGGGGSNAVNRMIESDMKGVEFWIVNTDFQAMRMSPIDPDNKLQIGQELTRGLGAGGNPEIGMNAAKESQELVEQAVSGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFAFEGRRRALQAQEGIASLRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVSITLIATGFKRQEEAESRQAGGDNSRSHSSWFSSSSQEEGPTLQIPEFLQRKGRSGFSRG >ORGLA05G0158300.1 pep chromosome:AGI1.1:5:16784637:16787300:-1 gene:ORGLA05G0158300 transcript:ORGLA05G0158300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFGRWEADPLFPAAECVQDSADRMEGVYRLLLHERKLIQDDTSHTKRRVSMQYERDVCTALGTTKWQLEQFEREVNAAALSNKSNSRENAILQFRQFIRAIAEQISQVEDSLESLRNDSIRTPKHSYLTEHDGDELASFLSGSRKDNHVIYSTGTDEIVELKLDSVPAVNGYHSTQERTSCEFRYSGEDVEGAAKPQCSCGENACEGDHNGSSTRGLDSDDSIGRKHHFRSKLSRKYHSFMRNLWFTNRGRESFTKRRKDGEVMDSLRNGSTLPSFNLPPAGRAMYFWPELIKRRLSKFKCSTHHKHLQVRLATVLLIALAVLGLLVLHVR >ORGLA05G0158200.1 pep chromosome:AGI1.1:5:16781816:16782262:-1 gene:ORGLA05G0158200 transcript:ORGLA05G0158200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:I1PW34] MATATAPRLCFPKPGAAIAPATKSPSFIGYAKQTLNMSGLRISNKFRVSATAVHKVKLIGPDGVEHEFEAPEDTYILEAAETAGVELPFSCRAGSCSTCAGKMSSGEVDQSEGSFLDENQMGEGYVLTCISYPKADCVIHTHKEEELY >ORGLA05G0158100.1 pep chromosome:AGI1.1:5:16777010:16777867:-1 gene:ORGLA05G0158100 transcript:ORGLA05G0158100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLPAMQSVHQALLDLGLAGRVNVSTAHSVNILATSYPPSAGAFREDLAQYIQPLLNFHAEVGSPFLVNAYPFFAYKASPASVSLPYVLFEPNPGVRDPATNLTYDNMLYAQIDAVYAAMKAMGHADITVRISETGWPSKGDDDEVGATPQNAAAYNGNLMKRIAAGEGTPLKPAVPVDVFVFALFNEDMKPGPSSERNYGLFYPNGTPVYNIGFDAASFSPSPTTSTFSSSSRPTVTKKNLNFILLRNFEYANLSFIEQRELLLAMSSLFVLPKKMSVCCNG >ORGLA05G0158000.1 pep chromosome:AGI1.1:5:16768453:16773364:-1 gene:ORGLA05G0158000 transcript:ORGLA05G0158000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sec23/sec24 transport family protein [Source:Projected from Arabidopsis thaliana (AT2G27460) TAIR;Acc:AT2G27460] MAARATFSRFPVTAEALEACAVQWGIAVTPFAAADERGQAPAAGAGGDRVPRCEHCWAYLNSHCDMERWGWSCALCGTLNGFDDDALRRLQHPEGWPELTSSFVDLEIPVDGSEGAGDGVQARPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDVQGPIPVVKNVFIPPDTEEDGLPVALEDAMPLLSFLAPIDTCKDRIAAALDTLRPTSSWERGAASGQEPDTVLLGGRGFGTAMSALTDYLSSEYGTTFALARVFAFLSGAPDYGDGQLDTRRYGEQYASKGEDPDLALLPEQIPFYKDLAAVAVQAGVCVDIFAITDEYTDLASLKFLSIESGGSLFLYTNTDDSTLPQDIYRLLSRPYAFGCVLRLRTSSDFEPGNSYGHFFPDPQYEHVQHIICCDSFATYAYDFNFSHPEGFSRHTDPAVVQIAFQYSVIEPVKHTSENETQSSTSNMFCLKRRLRIRTLQYRPAKNINEIYDSVDPETLLHILVHKVILISLDKGVKEGRSLVHDWLSLLIARYNQALRSDARIPESHVDVDFLQCPQLQMLPQLVFALLRNPLLQLHEEGIHPDYRIYLQCLFSALEPSSLAKAIYPVLVSYSSPDKQAFPRHTLSRAALIMSESPIFLLDAFTNLIVYYSPSADPSLPFPPPHDCLLRTMINELKQGRCITPKLTFIHGGREDPALFERYLIEEQDVDGTGFTSGKGFVSFRESIRHAATDIIETESSI >ORGLA05G0157900.1 pep chromosome:AGI1.1:5:16767238:16767645:1 gene:ORGLA05G0157900 transcript:ORGLA05G0157900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSMSRAHLLFLCSLAAAAAAAAGALAVPAAEVDWARQLRHHHGASPASDAPAPSPAPLSTPELCRPGEPVPAPSSSPAGATTTPAPAAPAATAPAPSPEADGKSSGAAAAPPLMTWPAVLAGAAGVATTLIF >ORGLA05G0157800.1 pep chromosome:AGI1.1:5:16744239:16745449:-1 gene:ORGLA05G0157800 transcript:ORGLA05G0157800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELPPGYRFYPTEEELVCFYLRHKLDGGRRVPDIERVIPVADVCSLDPWQLPGCAEAHQGAWTGDGEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGWVYSSDGRPIGTKKTMVFYRGRAPAGAKTKWKMNEYRAFEEDDDNAAAAAPAQNHYLQTRSDFSLCRLYTRSGCPRQFDRRPPSSSVAGGGGENRAAPSSTAAAFANEDAAESSGKSQKRKRSAPDDRLDSTSSSDDNGGCDGSMLQQQQQRQRGTDEELVECSMTDWADLLDWF >ORGLA05G0157700.1 pep chromosome:AGI1.1:5:16736501:16737316:-1 gene:ORGLA05G0157700 transcript:ORGLA05G0157700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYLGSMGGSPSSWGVAEVPVPSSRPWSKAEDKVFESALVTFPEHTHNRWALVASRLPGRSAHEVWEHYQVLVDDVDLIERGMVASPGCWDDDNNSAGHGRGSGGDERRRGVPWTEEEHRLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKFFIRQANASSRGDSKRKSIHDITAP >ORGLA05G0157600.1 pep chromosome:AGI1.1:5:16732303:16733101:-1 gene:ORGLA05G0157600 transcript:ORGLA05G0157600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYGGEMGGSSSSWVAPLVPSSRPWSKAEDKVFESALVAFPEHTHNRWALVASRLPGRSAHEVWEHYRVLVDDVDLIERGMVASPGCWDDGASRGGAQGASRSGDERRRGVPWTEEEHRLFLEGLEKYGRGDW >ORGLA05G0157500.1 pep chromosome:AGI1.1:5:16728973:16729752:-1 gene:ORGLA05G0157500 transcript:ORGLA05G0157500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSSSWAAAMMATPPVPSSRLWSKVEDXVFESALVAFPEHTHNRWVLVASXLPGRLAQDVWEHYQVLMDDVNLIEHGMIASPGYSWKKAGEVWTWRLAQYLTLVGEDMNAAAGGEPCSEVLHPSGQCRQP >ORGLA05G0157400.1 pep chromosome:AGI1.1:5:16723302:16723700:-1 gene:ORGLA05G0157400 transcript:ORGLA05G0157400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGGKGGKLQEARVNLPSTRGGGGTSSGVVGGSSSPRRLSSSSSSTASPPSSCVSSEGSPEAGGGGGGGGSSGMILAGCPRCMMYVMLSREDPKCPKCHSTVLLDFNDAAAAADPRQVGAGKSKGKHRG >ORGLA05G0157300.1 pep chromosome:AGI1.1:5:16706138:16707441:1 gene:ORGLA05G0157300 transcript:ORGLA05G0157300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIDAGDALFCGGVEPPTLTAVSDLAATTDDATVSDAAELALLDAPVPTTFPAGASDAVAAFARFIGSLGKKIFQVEDSFAEGYDKLRLSAYDALGAWRKSVDGVVGGLTASVDATKKQAASGVLARVPLLILNPARPCPHALAPPSLRRWKVIRVDLGQRRIGTRLREELRSRTIKDAG >ORGLA05G0157200.1 pep chromosome:AGI1.1:5:16692750:16693517:-1 gene:ORGLA05G0157200 transcript:ORGLA05G0157200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLPLSSVLYTINSARDIPPSSPPPPAATPPAWMWPSCKHPRAHSFRSPSAASAAAAAKTIASIFLDSGESSFANSSARMHHDCASDSLSTESDVSATAEDMADAIVRGLRSDRLLFEPRAPSSSILDKKPVRRAAGGGDDDDDGAASFGGGVAVAFDSEDPYEDFRASMAEMLAAHGVGDWGWLEAMLGWYLRANGKETHAAIVAAFVDLVVSTAARGSSSSRHSSFTLAGTDLESSSAGGGAAGHISFRLR >ORGLA05G0157100.1 pep chromosome:AGI1.1:5:16678361:16679056:1 gene:ORGLA05G0157100 transcript:ORGLA05G0157100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLMSGSGGGGSARRRPLRQPPVVDDDDVGCSCPKQRLLRSLLSSLVSRARGALGGRAVSRPKSSAPPSSSASTTTTAAAAFTSTSTTGASATTVDSSKESWGPATYAATNTHTLYEVEDEVRRQRRKDMRRRRRRRAAAWDEEEEEEGAAAVAVAVEVESAAPYEDFRESMVAMVVEKEMYAWEELNALLHQFLTLNSPRHHALILHAFADLWAPRSGLFCPPSPCQAL >ORGLA05G0157000.1 pep chromosome:AGI1.1:5:16670496:16675739:1 gene:ORGLA05G0157000 transcript:ORGLA05G0157000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQVGRGAFGAAILVNHKIERKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKLNGTYFPEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPACYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQCRPLSDAPTPMRMPEKPLSTSRGNQRCTSESQSSSISCSDIDSTQSSDRSTSGGAPSTDSKLNDIRSIQDADRADSDEKCVTPEDLRGNKNISGAELKRQDSSKSVHQHHRGESKQPKIIEKIMTTLREESRLRENNSPVSSSGVKLTSAVSNKNQAEQSSESSRPHSGVSYSSKFGDISSNGWTNTSDECVDPVQAPLQLKQLSPTVEHCPKLKNSGSSTPEPAKQIAENGSSASGMSKTKSSPSSSRRPSPQRQTGAGIPIVPFTVSKRAHIKAESEKTPPRPAHSPNNSLHNLPPLIPISTNLSEENIKLGNSQAMPAPLEFLTAASKEDISFYSNSVVDCVEKAEPSEVFESNSPAYLTPPWTGPVLDAKGENGLIAIPCSEIHTGTLQKSMASNDDSSLSSPLDTFYLSFEQEFVCKDDSQSSKHGHSAVTLLSGEDKFTVQELLASTPVISPFVSSTSNTLPEDKSSYQSFKKQSDSHSGPPVDVPAQTIRLNSFLVSDEWPTSETAQGEARDTAASKLLNVVREDFDVRSSSCSTSTQPSGQTPVRSKLNVPETNLASNISIPSISEAVRLSTAMDVKPYTSEASNGVKEEASPAKEALDVTSFRQRAEALEGLLELSADLLENNRLEELAIVLQPFGKNKVSPRETAIWLARSFKGMMNEEGGRLSM >ORGLA05G0156900.1 pep chromosome:AGI1.1:5:16659318:16660205:-1 gene:ORGLA05G0156900 transcript:ORGLA05G0156900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPTGFVRDEAEGGKSGGVAPLRRPAAHVPCSLAARSASSKKRVEECLRQEVYEKATTPARGGKKCSRHPCDTIQSSGNAYAKSPFLRCDLALITHLSSRQQVSRENHHWPFRRRGPRRRGTQFALVFKDGGSVIPGIAVEGGDSIRGKS >ORGLA05G0156800.1 pep chromosome:AGI1.1:5:16653987:16655148:-1 gene:ORGLA05G0156800 transcript:ORGLA05G0156800.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRCALWNGTAEGFYHTDSVLTISLHMKHGSWGPSHPQSGLVDETGKGRGLGYNLNIPLPNGSGDAGYEYAMNELVVPAIEKFRPELMVFVVGQDSSAFDPNGRQCLTMDGYRKIGQIMRGMADQHSNGQILIVQEGGYHITYSAYCLHATLEGVLNLQAPLLDDPLGFYPDDEKYTMQAVDIIKKCWKESIPFLKDI >ORGLA05G0156700.1 pep chromosome:AGI1.1:5:16648855:16650816:-1 gene:ORGLA05G0156700 transcript:ORGLA05G0156700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:I1PW19] MESSASSSAAVAAEGAPATGEQLAVFWHEGMVAHDAGRGVFDSGRDPGFLDVLDQHPENADRVRNMVSILRRGPIARFISWHSGRPAHAAELLSFHSAEYIEELVQANAVGAKKLCEGTFLNPGSWGAALLAAGTTLSAMKHILDGHAKIAYALVRPPGHHAQPDRADGYCFLNNAGLAVKLALDSGRRKVAVVDIDVHYGNGTAEGFYHTDSVLTISLHMKHGSWGPSHPQSGSVDEVGEGRGLGYNLNIPLPNGSGDAGYEYAMNELVVPAIEKFHPELLVFVVGQDSSTFDPNGRQCLTMDGYRKIGQIMRGMADQHSNGQILIVQEGGYHISYSAYCLHATLEGVLNLQAPLLDDPIAYYPEDEKYTMKVVDIMKKCWKESIPFLKDI >ORGLA05G0156600.1 pep chromosome:AGI1.1:5:16644443:16646521:-1 gene:ORGLA05G0156600 transcript:ORGLA05G0156600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing protein-related [Source:Projected from Arabidopsis thaliana (AT5G06370) TAIR;Acc:AT5G06370] MGLLSNRIGKETLKAGDHIYSWRAAWVYAHHGIYVGDDKVIHFTRGRGQEVGTGTFIDLLLMSSGPNTNTPCSICNNEGATTNTETNGVVSSCLNCFLSGGALYRFEYAVNPALFLAKARGGTCTLAPTDPDEVVVRRANHLLSNGFRCYNLFKSNCEDFAIYCKTGLLVAEQGVVGQSGQAISIIGGPLAAVISTPFRLVTTNIYGMAVMAVGVYCASRYAADIGNRRDVLKVEVEDLTAGLASGRIRAVENISQLVAPAEAQGLAVTAPVAA >ORGLA05G0156500.1 pep chromosome:AGI1.1:5:16642180:16643163:1 gene:ORGLA05G0156500 transcript:ORGLA05G0156500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSHCESMLAYAAAAGRRAVVVDHHQRRYRPNVEVAPNCPRCESPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKAVRAMVGETMTARGGGGGGAAAFSHRFHGPVRPDMILEGMAGSTAASAGLGEQPGVAAPDEKPTAADGSTIDLALLYAKFLNHHQPTMAEQGGGAAVPESVDTSSGSSSDRTTSPAAAQPAAAAAYGPGQDGLVGEPISTEEHGAAAMARCAQALGELNFSVDQISCYTSLGLPTTDGGDLILPSTLDQHAKYEPFDSLPEDALSLHDIISGDDDVWCNALGCQGLEAALCRP >ORGLA05G0156400.1 pep chromosome:AGI1.1:5:16629040:16629537:-1 gene:ORGLA05G0156400 transcript:ORGLA05G0156400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTQINQSGAASSPPPDDLQAGQSQREPEEAKIGMGEIEAVGDLPVTRFEAADELQRHGSRRDLADKLQKGVQIRIGEVGIVVTVVRRSSWYACRLLLTSMAPPPLLGSCSSSLQRGSWWEGRGHDLHNTAASFSHTPLVLKLSESCRRTCVQSRLHGFRLVTRDA >ORGLA05G0156300.1 pep chromosome:AGI1.1:5:16627250:16628728:1 gene:ORGLA05G0156300 transcript:ORGLA05G0156300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRLLFDLNEPPPEEDIEDDVAMACEDSQPQPQPHLDADDGGEGDGSTACDLPSPPPPPPPLPPKDDSTGGESSEISEPLLPVLDLDAPLSPLDDDDDDEVEDDDHDLPRPPDDPDGARSPGPSHSERMAKTDRSTTASHAEAASTVTTPCSHAADDGGHGVTTSPRTTTTSLPDSRGMKTLSPAFSARSSSETGAAARVHGSHMPRRDKPPAPSVPRDDDYGGSRLAGSPSTSHHERSMRSHHPYAMRSGGTPRNNNNNNRRRPRRPMRQGYKYNGHDQRGQQQVNNYSHGQRQVYGNGQDQRQQLNNNGRDQRHQVYSSNCHDQRQPVYNNDQDQRQQVYNNGQDQRRQGHHGYRKPESYQGGQGQLQYGYSAPNRQRQQQQQQQGYSSGRPSAGGQYAGEDSYGSRQIPANQQHQHFRGQQRHVVKPYYARGFDASDDRATNAGKQAKYDHPEQQQRAYQQHRRNTQSTAGGGGPARRRQYYGDLYN >ORGLA05G0156200.1 pep chromosome:AGI1.1:5:16625145:16626503:1 gene:ORGLA05G0156200 transcript:ORGLA05G0156200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVAGQHARRRIRPPEPLVMAGSPSTPAAFRCPISLEVMRSPVSLPTGATYDRASIQRWLDTGHRTCPATRLPLASTDLVPNLLLRRLIHLHAATLPPSPSPEVVLSQLAAAGGEPAAAEKAVRSLAAKIAPEKGKRASVASAVAADLDSAVPALLSFAKGGAGADARVDAVRILATVAPELVPYLTRDGTEKRGRVRMAVEALAAVLSADGVGEDTKEGLIAALVAGDLGHIVNTLIAAGANGVMVLETILTSPVPDADAKTAIADRSELFPDLVRILKDAASPAAIRCMAAAVQVRGRPARSSMVRAGAIPALALAVAAAPTAVAESALGLLVEAARCTDGKAAIGADAAEVAAAVMGRMIRVGPAGREFAVAVLWLSCCAGGGDRRMREAVASAPEAVGKLLVVMQGDCSPSTSRMAGELLRAVRMEQERKGLAAAYDSRTIHVMPY >ORGLA05G0156100.1 pep chromosome:AGI1.1:5:16618123:16619778:-1 gene:ORGLA05G0156100 transcript:ORGLA05G0156100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial editing factor 21 [Source:Projected from Arabidopsis thaliana (AT2G20540) TAIR;Acc:AT2G20540] MPPPPSSSAPRQLEDAVMARLRACVTFRDLLRVHGHVVRLRISQSSYLATQIVHLCNAHRRVTHAARVFAQVRDPNLHLHNAMIKAYAQNHQHRDAVAVYIRMLRCPTSPPDGHAGGDRFTYPFLLKACGGTAALELGKQVHTHVVRSGCDSSAIVQNSLIEMYTRAGDLALAHKVFDEMRERDVVSWNMLISAHARLGQMRKATALFNSMPDKTIVTWTAMVSGYTTVGDYPGAVDAFRSMQTEGFEPDDVSIVAVLPACAQLGALELGRWIYAYCKRHGMLTSTHICNALMEMYAKCGCIDQTLQLFDGMADKDVISWSTVIGGLAAHGRAHEAVWLFTEMEKEGKVRPNVITFVGLLSACSYAGLVDEGLSHFDRMNDVYGVEPGVEHYGCVVDLLGRSGQIRRALDLVRDMPVPADAKVWGSLLSACRSHGDVDTAVLAAERLVELEPDDVGNLVMLANVYAAARRWSDVASTRKAIRSRSMRKTPGCSLIEVGNVVREFVAGEGLSSELGGLAGVLDILASHLADDEEDIDFADSDCTVYANLAND >ORGLA05G0156000.1 pep chromosome:AGI1.1:5:16613755:16613934:1 gene:ORGLA05G0156000 transcript:ORGLA05G0156000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHSVSLSSSHPPSHSHSPPPHPPISLSLSLLLGSGARQTARRAAPPLPPFASGAGGGEAW >ORGLA05G0155900.1 pep chromosome:AGI1.1:5:16611975:16612178:1 gene:ORGLA05G0155900 transcript:ORGLA05G0155900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSKPVIALVLLVVCIVSCFEVVTAQYDGSSSNGAAATGPMAAGGNCSLVVAAAVLAIPAFVGN >ORGLA05G0155800.1 pep chromosome:AGI1.1:5:16610842:16611066:1 gene:ORGLA05G0155800 transcript:ORGLA05G0155800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSRTNNTNNHPAATANEGMLSFSSASTMRLSTGRQPLLAPGRRQLLLRLPRRDGDSRSARIRRSRPSPSRG >ORGLA05G0155700.1 pep chromosome:AGI1.1:5:16608679:16609341:-1 gene:ORGLA05G0155700 transcript:ORGLA05G0155700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRLHHSARALLLVAPSASPAPAAVPPGVAGALPVAENGRGGPLAVSSLNTNTIVLLALLVCGLVAAVALHVVLQCALRVTRRACYGAETAAAAAAGGGGEGGRARRGGGGGGRKRTPPLSKTIPRVAYTEGLELAGSSRSECVICLAEFARGEHVRVLPGCNHGFHDRCIDRWLAARPTCPTCRQAPFAAAAADPVAPPDPAPAAVQVVRVIVLTSQ >ORGLA05G0155600.1 pep chromosome:AGI1.1:5:16599855:16601682:-1 gene:ORGLA05G0155600 transcript:ORGLA05G0155600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDHLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETSRSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITSLAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPGIVHMKCF >ORGLA05G0155500.1 pep chromosome:AGI1.1:5:16598414:16598824:-1 gene:ORGLA05G0155500 transcript:ORGLA05G0155500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:I1NTN6] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ORGLA05G0155400.1 pep chromosome:AGI1.1:5:16592817:16595321:-1 gene:ORGLA05G0155400 transcript:ORGLA05G0155400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGDTNVQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIIVDAPLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLDDVLQPGTDMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPNRGKIYSVNEGNAKNWDAPTAKFVEKCKFPQDGSPSKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALELVPRKIHDRSPIFLGSYDDVEDIKALYASESIIG >ORGLA05G0155300.1 pep chromosome:AGI1.1:5:16590727:16592035:1 gene:ORGLA05G0155300 transcript:ORGLA05G0155300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENGENGHGAADEVVEPYQQTPRPGPKLNERILSSLSRRSVAAHPWHDLEIGPDAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFNNLSELSPHRLQEIRRFFEDYKKNENKEVAVNDFLPAPTAREAIQYSMDLYAQYILQSLKR >ORGLA05G0155200.1 pep chromosome:AGI1.1:5:16577973:16578843:-1 gene:ORGLA05G0155200 transcript:ORGLA05G0155200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTMAGVDLPTRRLSPSPPAYKRDPRLPPLSPHTAALSLLSPRPPRRSLLVLPPSQLWPPLAGGRDLIGARRRHHPLCRFLLFPVHPSVEHEDRGNDDDTDDPKFLAVSSSPPATPSTSRRRVCSMRTILPFRRISSSSPSTSKTL >ORGLA05G0155100.1 pep chromosome:AGI1.1:5:16559980:16561807:-1 gene:ORGLA05G0155100 transcript:ORGLA05G0155100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:I1PW03] MSFRSIVRDVRDSFGSLSRRSFEVTLAGLSGLTGHHRGKSQSTVHELCDADLIIQESRWASLPPELLRDVIRRLEASESTWPSRKDVVSCAAVCKAWREMCKEIVLSPEFCGKLTFPLSLKQPGPRDGMIQCFIKRDKSKSTYHLYLCLSTAVLADSGKFLLSAKRHRKTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPSYNGAVIPPVGRSSRRFNSKKVSPKMPSGSYNIAQVTYELNVLGTRGPRRMHCVMHSIPASSVEPGGIVPGQPEQIVPRAFEESFRSTTSFSKSSIMDRSMDFSSSRDFSSARFSDIAGGTINGDEEGQNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIAAPAQPPAGAPTPSQPAPPEQDKIILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >ORGLA05G0155000.1 pep chromosome:AGI1.1:5:16551040:16555211:-1 gene:ORGLA05G0155000 transcript:ORGLA05G0155000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMHCPMMKTYYAEMAHGFLLLLGSSSPLYLPPHVTAAAAAVGNCHQKDLSGIQFHQGLALRRCHALI >ORGLA05G0154900.1 pep chromosome:AGI1.1:5:16545202:16547487:1 gene:ORGLA05G0154900 transcript:ORGLA05G0154900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGPEMTLEDFLAREDDPRATAVEGNMVVGFPNGTEGVGTAGGGRGGGGGGRGRKRTLMDPADRAAMQRQKRMIKNRESAARSRERKQAYIAELEAQVAELEEEHAQLLREQEEKNQKRLKEIKEQAVAVVIRKKTQDLRRTNSMEW >ORGLA05G0154800.1 pep chromosome:AGI1.1:5:16539892:16543458:-1 gene:ORGLA05G0154800 transcript:ORGLA05G0154800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:I1PW00] MSHGDTIPLHSSSAQSDMDEIESLIHAAPPSAAAVLPARPPSPPRASIPVSSSPPLLPPPVAGSKPQLPPFSSSSSVASSSSPPLPSSVSVAIAGDGFGPPPNTLTEPVLDTVKRDLARIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGRINFFQSLSLLGYCLFPLDVGALICMLKDNVLLKIIAVVVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYVSVGFLIIAID >ORGLA05G0154700.1 pep chromosome:AGI1.1:5:16537011:16537930:-1 gene:ORGLA05G0154700 transcript:ORGLA05G0154700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEVDVMAGATELDMEAAEQLIQLSGCCSGDGGGGESESRSTDSVSKNNRCGKKAATGRKAVAAAVESCSRKRAAAAGDIDEVVIGGEARRRPRFRSLAAVYRETRRPNDHVVVVVAGAAEEDGERTTTTTTTKRAANDAAMAGKGRRTQVHNIGGKRGLSYADTTFPVERKYTT >ORGLA05G0154600.1 pep chromosome:AGI1.1:5:16527313:16532303:-1 gene:ORGLA05G0154600 transcript:ORGLA05G0154600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEIGEADLLQLLQPFGNVSKIVMLRAKNQALLQMQDLHNSVSALQYYSTVQPSVRGRNVYMQFSSHQELTTDQNSHGRNSDQESEPNRILLVTIHHMMYPITVEVLHQVFKAYGYVEKIVTFQKSAGFQALIQYQSLQEAMDAFGALHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRASQQGYPDPGGLYAFQQPGASYAQMGRAAMITAAFGGTLPPGVTGTNERCTLIVSNLNNDKINEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGFQAELAVHYLKGAVLFAKKLEVNYSKYPNITSAPDAHDYTTSSLNRFNSNVIKNYRHCCAPTKMIHISALPQEITEDAILNHVSEHGSVVNTKLFEVNGKRQALIQFESEEEATEALVSKHATSLEGNTIRISFSQMQSI >ORGLA05G0154500.1 pep chromosome:AGI1.1:5:16522484:16525893:1 gene:ORGLA05G0154500 transcript:ORGLA05G0154500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEKEYAPVPLGQAPEAVDPEDPVKSPPRPTSPANSTRKACFAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFVKFVDGFFSPLYAKLGFDIFGLGFLTSLLFIFLVGIFVSSWVGSTVFWIGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRIGEYAFGFITSTMILQTDKGDEELCSVYVPTNHLYIGDIFLVRSEEIIRPNLSIREGIEIIVSGGMTMPQVIAAPGQTPHKGQSTRLNRMMSA >ORGLA05G0154400.1 pep chromosome:AGI1.1:5:16516601:16520983:1 gene:ORGLA05G0154400 transcript:ORGLA05G0154400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRRRFRGGGDWQASVDDVVDDGGELEAAAAAAAAAAARGSVLSGEYQAQEMSTMVSALTWVVAAGHDDHGGGQWSGLVDVPATTLAGGGGGGGDYGHGAQGSYYYYGAAPTSTPEFVAGGQQEQLSSDVPQGGASLGLAMDEHSPTYTVEASSSADQHGGGGGGRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLSSPPAGAGAGGATAAAQPVPVAYPASAVSDYLQYQMLLHGGGGGGGGRYPLYYGGGAAAAMSSSLGPYSSIPTSSVTVASVPSSSSAASSSSGYGAPAEHGEAVQWTSWPDGGGWTYPATTSSWSGSSQYPPPPRPPQQ >ORGLA05G0154300.1 pep chromosome:AGI1.1:5:16500916:16504242:1 gene:ORGLA05G0154300 transcript:ORGLA05G0154300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PVZ5] MAQPQKRVYEAWKGNNRFLFGGRLIFGPDAKSLLVSVSLIVVPVLVFCVFVARHLRHQFSTYNAGYAIPAVAVLFMIYVLTLLFITSAQDPGIVPRASHPPEEEFAYGNPLNGGTPGRLQFPRVKEIMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFLFVSSSTLLCIYVFAMSALYIKFLMEEGYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHSYLICTNQTTYENFRYRSDNRPNVYDQGCLNNCLGVFCSKTKPSKHKFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLQISQRRNYGDVDLEMGSQDCSEMEGIPNAKLAIGSESQIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRSASGHEAAPPFQTETH >ORGLA05G0154200.1 pep chromosome:AGI1.1:5:16486775:16492116:1 gene:ORGLA05G0154200 transcript:ORGLA05G0154200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHERAANLALAGLSLAPLVVKVNPNANVILTACLAVYVGCYRSVKPTPPAETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSIKRFLPNEWNDNAIVWRAPLFHSLSVEFTRSQVIASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLSGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEEEEACEEDTDSKQNKKKE >ORGLA05G0154100.1 pep chromosome:AGI1.1:5:16465753:16468645:-1 gene:ORGLA05G0154100 transcript:ORGLA05G0154100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPAAARAPPVLRNELSRRTAVLGLRLWVLVGISVGAAFLLLLAVISFHLAQARRRKPAAKRASSAAAAAAAPGGAAVPLSPATIPPVSKEIQEVAVHVGSLRHYLEAGATFLKEGGGVGGAVVDGDSLGGSTVYGSQRVHIEAGKGRRMVAYADGEVGPVASDLAASAQAAVGVGVGPEVSHLGWGHWYTLRELEEATAAFAPEHVVGEGGYGIVYRGVLADGCEVAVKNLLNNRCQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRILVYEYVDNGNLEQWLHGDVGPVSPLSWDIRMNIVLGTAKGITYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGLAKLLGSDSNYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRSPVDYARAPGEVNLVEWLKNMVSNRDYEAVLDPKLPEKPTSKALKKALLVALRCVDPDSQKRPKMGHVIHMLEVDDFPYREIILYVHGSSDSAGEPTGQNKNICEAGDGVGRRGQHHHSCQYAIQIARELEIGLT >ORGLA05G0154000.1 pep chromosome:AGI1.1:5:16457863:16458186:-1 gene:ORGLA05G0154000 transcript:ORGLA05G0154000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLTVAAAAVFAAAVSVAHGARVLEERMWRPVGARVVSAGNWPNILDSLPLGEPDFAGAGGPVASASASAGADGKKGSGAFGVHGERFGERELSINVYDKIPLFGP >ORGLA05G0153900.1 pep chromosome:AGI1.1:5:16450928:16453213:-1 gene:ORGLA05G0153900 transcript:ORGLA05G0153900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVVPGICHAVALMWLLLVLFCWAPGLTSAADTAAYIVHMDKSAMPRAFASQASWYESTLAAAAPGADMFYVYDNAMHGFAARVTADELEKLRGSRGFVSCYPDDARAVRRDTTHTPEFLGVSASSGGLWEASEYGEDVIVGVVDTGVWPESASFRDDGLPPVPARWKGYCESGTAFDAGKVCNRKLVGARKFNKGLVAATNLTIAVNSPRDTDGHGTHTSSTAAGSPVAGASFFGYAPGTARGMAPRARVAMYKALWDEGTYPSDILAAIDQAIADGVDVLSLSLGLNDVPFYRDPIAIGAFAAMQRGVFVSTSAGNDGPDPGFLHNGTPWTLTVASGTGDREFAGIVRLGDGTTVIGQSMYPGSPSTIASSGFVFLGACDNDTALARNRDKVVLCDATDSLSAAIFAVQVAKARAGLFLSNDSFRELSEHFTFPGVILSPQDAPALLQYIKRSRAPRASIKFGVTILGTKPAPVVATYSSRGPSASCPTVLKPDVLAPGSLILASWPENISVSTVGSQQLYSRFNVISGTSMSCPHASGVAALIKAVHPEWSPAAVRSAMMTTASAVDNTNAPIKDMGRANRGATPLAMGSGHIDPNRAVDPGLVYDAGADDYVKLMCAMNYTAAQIKTVAQSPSSAVDCAGATLDLNYPSFIAFFDPGATVPAARTFTRAVTNVGDAPASYSAKVKGLGGLTVSVSPERLVFGRKHETQKYTVVIRGQMKNKTDEVLHGSLTWVDDAGKYTVRSPIVATTASSAPL >ORGLA05G0153800.1 pep chromosome:AGI1.1:5:16447877:16449877:1 gene:ORGLA05G0153800 transcript:ORGLA05G0153800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAMPRSPCCSLPSARVLPSRLPLLPRPAPAALSAPAARPVVARCAAAAGHGGEGEMPIEKRFPPFPAVMDINQIRDILPHRFPFLLVDRVIDYKPGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRENFFFAGIDKVRFRKPVIAGDTLIMRMTLIKLQKRFGIAKMEGKAYVGGDLVCEGEFLMATGSE >ORGLA05G0153700.1 pep chromosome:AGI1.1:5:16444490:16445467:1 gene:ORGLA05G0153700 transcript:ORGLA05G0153700.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDRRXVRRRRQRMGKTSPPVQCATAAEGDDLVGSGGLWRRLRMGKTSSSAAADGDDVGSGRDWGRMGKTSPPAAADGDDVDSGRGGWGSSGGGWGRPRLWFRFRRWWGEGKGXAEGREQRPAGRRRRRXGDDSGGAXSGGIGGSGDLWRRMWQIGWWRGXGLGADVEQPSAGGEGCGRWGMGRKEDEGDARTTDRRRRRLIRRGSRQWPRGRXERGERSAGSERSGSDMSHWIRQSGGSYSGAMRAQM >ORGLA05G0153600.1 pep chromosome:AGI1.1:5:16444255:16444464:-1 gene:ORGLA05G0153600 transcript:ORGLA05G0153600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCLHPRRPGAGRPLPTSTPPDNLHPCRPPLHRVQLLRYLHARGEMTPTAEVDAHPPSFCCASILIDE >ORGLA05G0153500.1 pep chromosome:AGI1.1:5:16443037:16443393:-1 gene:ORGLA05G0153500 transcript:ORGLA05G0153500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLKPRRQASMSAPRPSAGAATVCCGWYPSITVRRSSRSAITVVPWCAHGQHTNCLMKCMIEVHSCYCFNPYYYLIFTLFFTVCCDLKLCLCKLKLNCSLVSNTSYGADKYFIFAG >ORGLA05G0153400.1 pep chromosome:AGI1.1:5:16438569:16438907:-1 gene:ORGLA05G0153400 transcript:ORGLA05G0153400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFVGGVEQGAGRVLKEAAGRCLRCGGAADLVETEKVLKLFFVPVKRWPGKDPAYLCGECGLLGPGSLGGGGGEAGTGPPLLPRDARCGACNRAVADPQFRFCPFCGSAL >ORGLA05G0153300.1 pep chromosome:AGI1.1:5:16436502:16437444:1 gene:ORGLA05G0153300 transcript:ORGLA05G0153300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGVFVVSAVVGLFAVASAVLGFIAEEKKLTPEDIDVSSGECEYPANAAFVLGICAVLLLAVAQIIVSSVAGCCGCCRPRAGASESRRVTGIVCSVFSWIAAIVAGVSFVQGAAWNAPVTRDTAPLCYYLKDGVFRRAAVLSLAAAVFGIKSYIMLRAATAVEPKPDGQQPQPQQAPAAPVVTGYPPQGYAPNQQFTAAADQVYGQGPSALYPPTKGYGQV >ORGLA05G0153200.1 pep chromosome:AGI1.1:5:16426519:16432884:1 gene:ORGLA05G0153200 transcript:ORGLA05G0153200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTVAIVSAIVGPLGVLSAILGFSAEGTKIIISDVLLIGDECLYPQNPSFALGICTAIFLLMAQITVTAVGGCCGCCKSRAIPSETKRIVGIVCAVVSWYVMQPAADPTSRPPCHRRRLIVSTCYVLKDGIFAGAAVLALAATALGIASYVTLRGQRNEAVRTPKPGEQQPTPAAGIAMGHPAAQLSRPVSAPPAPPQQGGDGRALNPQPQVAAASPAPAQVGSHAPDQPLPPHPPPGDAQV >ORGLA05G0153100.1 pep chromosome:AGI1.1:5:16424891:16425193:1 gene:ORGLA05G0153100 transcript:ORGLA05G0153100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLDDGSSSDGLGLSGSTAGTYEGSRSTQFRNGKWRWPHTKRIYRDAMGAADPLSPPGDSTSGDGGGWHQVAGATVVMVALAAATAVAAATITALVVN >ORGLA05G0153000.1 pep chromosome:AGI1.1:5:16420573:16421344:1 gene:ORGLA05G0153000 transcript:ORGLA05G0153000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMDKTTIIVSAVVGSLGLLSAILGFSAEGTKITVTDILVGGKCLYPENPATALGVCAAVFLLLAQITVSAVGGCCGCCKSRSIPSETKRIIGVICAVMSWIAAGIAWFLFGMGAVVNNDCYVVKDGIFAGAAVLALAATASGITSYVMLRRQADEAPAKQPPLAGVAMGQPQFPPPPDSQV >ORGLA05G0152900.1 pep chromosome:AGI1.1:5:16415065:16415863:1 gene:ORGLA05G0152900 transcript:ORGLA05G0152900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMDKTTIIASAVVGSLGLLSAILGFSAEVTKITATDVLVGARGECLYPQNPAAELGVCAAVFLLLVQITVSAVGGCCGCCMSGRSIPSETKRIIGVVCAVMSWLVTTDITVGTDSGIAWFLFGVGAVVNIEGKRATMPDCYVVKRGIFAGAAVLALAATAFGITSYVMLRTQADEAPAKKPPLAGVAMGQPQFLPPQASHV >ORGLA05G0152800.1 pep chromosome:AGI1.1:5:16412177:16412668:1 gene:ORGLA05G0152800 transcript:ORGLA05G0152800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPASVRAVRAAEAAASMAAAASAVVSALASPRGGGGRADLSPTTVGRPDPSPATAARSDSSSATTGTTAAGLRQCGDDSGGDSDNGGGDGGGRRARR >ORGLA05G0152700.1 pep chromosome:AGI1.1:5:16402545:16408964:-1 gene:ORGLA05G0152700 transcript:ORGLA05G0152700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDEGDGYRSTPAYVGLQIELATGSAACRQRLLPIYINKYRRATDQIGDRRPHGAVSAPDSGIAPWIRVLGGVMDKGGKKKGVDLEGLFRGLKLSREELRGMKGSWCLEEKDGGKVQQAVGKLFSPRAGVYNLPFGLMNVDTGRLIGNKIGKALEVDTDEDGSAVGGYLRVKVLMDARKALIRGVMMEGVAGKKENWCGVKYEFLPNFCYSCGVLGHVEECDDKVWKEEEQQFGDWLRVLPMKQRDVRGWSSEGGSSGGSFQHRSVVSWRKSGVEKGGSSGAGGKSASRDDPELRDDAESPGKGHPKIRMGGAPKKLTFVGDGSSGSLTEERGHKKLLEITAPSEPQLVPTTGEVASGLDGEDARGKEHSTMQVPVGGGVKDKHTVPLAAESGTLDTGHSGTGDKRKATFKRRPRVMDKEVGAKESAIADTRKRSVTEEMVVTEERKKQNAQVAVSDDASKFVAFWISRGRTIPMFCLFRRRKKVEGGAPRSESCMAKFRQALEDCQLHDLGFVGDAFTWRNHHHLASNYIKDRLDRAVANGAWRARFPLVRVINGDPRHSDHRPVIVETGATEKQQWGQPLEIMQKFEARWLEEEECQARVEEAWANALEGGQTRLMEIQSRVLKELWAWDRTVLGELKKRVKNLRKELEKCRREPISNRQVNREHLLWYKLERLLDQQHIYWKQRAHSTWLTKGDRNTKFFHAQASERKKRNTIQKLQDGHGGLVAGNQLKSFISNQYQQLLRSNGCSQMDAVLQCVQACVTPEMREGLAAPYQREEVWVALKDMGDLKAPGADGIPAIFYKKFWSLAGDKVKYEVLAVLNGGDMPQGWNDIVVVLIPKTKQLDTLKDLRPISLCNVAYKLISKVIVNRLKVVLLEIISPSQSAFVPRRLITYNVLLAYELTHYLNQRKKEKNGVAAIKLDMSKAYNRVEWDFLRHMMLRLGFHDQWVNLVMKCVTSVTYRIKINGEHSDQIYPQRGLRQGDPLSPYLFIICAEGLSALLQKAQADGKIEGIKVCRDTPRINHLFFADDSLVLMRAGQNDAQELRRVLNIYEVASGQVINKDKSSVLFSPNTLQSDRMEVRSALCINQEAKNERYLGLPVSIGKSRRKAFEYIKRKVWLRIQGWQEKLLSKAGKEILVKAMAQAIPTYAMSCFGLTKVLKAKYFLDGSILQCAPRDGISYTWRSILKGVDLIKEGLVWRVGTGENVNIWNDPWMPVGFTR >ORGLA05G0152600.1 pep chromosome:AGI1.1:5:16402224:16402544:-1 gene:ORGLA05G0152600 transcript:ORGLA05G0152600.1 gene_biotype:protein_coding transcript_biotype:protein_coding PITPRGNSILTKVVELIDPGTGWWDEQLVNDLFWPEDAATILATHVDENLEDWPTWHFDSKGLFSVKSAYKLAVQIREKEKCRDASGSSLNTSHADTLQWEKIWNME >ORGLA05G0152500.1 pep chromosome:AGI1.1:5:16397521:16399071:-1 gene:ORGLA05G0152500 transcript:ORGLA05G0152500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRRFLGRDNRGHLFLKCKGVKECWRSLNLEEVRLRLVQCQSGKETVKEILSMTAKDQLKAVVLLWKWWYARNKANVGDKKLTSLEVCDAVLYHIMDLEKLHQCRTPCMKVNGRWEQPPADIYKINSDASFDVSTKTGGWGFLARGSNGEFLEGGYGYILRASSPLYTDTPAHTHKYRDRDREREMGGAEGVESEREREREMGGARGVESEWDRERETGGVEGDGSEWDGRRLWIIKVG >ORGLA05G0152400.1 pep chromosome:AGI1.1:5:16394623:16395902:1 gene:ORGLA05G0152400 transcript:ORGLA05G0152400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTRRRSSCPRWLLPLARSAPSWGSLPMPQSTDCASALGMAVAASIFLMMAKVTVAAGGGCRESRAVVPSATKRTVAVACAAISWIATVIAFVMFLDTGGISVVHGSSSRSAAAELGICAAIFLMITHVAMAAAGCCCRSFCIPSETARVVCAITSWIVPVIVFVLLLHAAVEESDCDKIHKGVYAGAGVLVLVSTVLGITSYLMLRTRPEPTPPIVVPMVIAFQPVYPNPLLVPVPVQAPPPNQAFAYPATLPPQGGWYGQAPNQQFAAPAPAQGYGWQAPNQQHFPCAGVVP >ORGLA05G0152300.1 pep chromosome:AGI1.1:5:16385156:16386281:1 gene:ORGLA05G0152300 transcript:ORGLA05G0152300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNTVAAGAGTTVVAIKMDKTTIIVSVVVGSLGLLSAILGFAAEGSKLTSCLYSSTNPSALGLGVCAAIFLVMAQVTVTAVGGCCGCCKSRAIPSETKRIVGVVCAVISWIAAVIAFVMFLDAGIVASECFIVREGFFAGAGVLALIATALGLTSYIVLRPQPDAAAGRGEPTPIGIPMDAVPGYPPRPPHPPPQQV >ORGLA05G0152200.1 pep chromosome:AGI1.1:5:16376987:16378023:1 gene:ORGLA05G0152200 transcript:ORGLA05G0152200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTTIIVSARCELDLYDLYYSSNSSSAAVGLGVCGAILLVITQVTVAAIGGCCGCCKSRAIPSETKRIVGVVCAVFSWITAVIAFVLFMDGAIVESNCVLVRGGFFASAGVLTLITTALGMTSYFMLRAQPDEPAAPAARRPPGPAGGDEPTPIVGVPTAVPAGFPPPVSSPNPLLVPVPAAQAPPNQQFAHPATSQAPPHARFADAAVPAPAPAAAQGYGSQASNQQHFPANPRGRSEV >ORGLA05G0152100.1 pep chromosome:AGI1.1:5:16373374:16374789:1 gene:ORGLA05G0152100 transcript:ORGLA05G0152100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAETAAGSGAGTSAGREEPIVVAIKMDKTTIIVSSVVGSLGVLSAILGFAAEAAKFTDCASSLGLAIAATIFLMMAQVTVAAVGGCCGCCKSRAVPSETKRIVGVVCASISWVAAVIAFALFVDGSIGAAVACVGLVGEFAGAGVLVLVATGLGITSFIMLRMHPQAGEAAAGRAAPRDYDDDEPTPIGTPIDIHGFRPPMPPNPQVPEPLPNYPPPPYSPAPAPAPAPAEGNGNQLAPDQQLAPHPQGHAQV >ORGLA05G0152000.1 pep chromosome:AGI1.1:5:16366487:16367326:1 gene:ORGLA05G0152000 transcript:ORGLA05G0152000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTVIVVSAVVGSLGLLSAILGFAAESTKITISDVRVSGDECLYPQNPSLRLGLCAAVLLLLAQVTVSAIGGCGCCCGNGKPRGIPSSKTNRVVGIVFAVASWIAAVIAVVLFVEGAAWNANVARDTAPVCYFLKDGVFAAAAVLALAATALGVASYVMLRRQLPDDDDDAPAGAVASWRQPLLHSGIAMGHPQFPPHPQWHSQV >ORGLA05G0151900.1 pep chromosome:AGI1.1:5:16357913:16358404:-1 gene:ORGLA05G0151900 transcript:ORGLA05G0151900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPTPDGAPSPRVDGGPARGVRLTTRRGDGGSDAAAAGSGLPAVGSGGSTPDLAGGGGGWRLADAGEGGRRVLRTRWRRRGHGGGGGGGWRGETRLEADVCGGRERRCDWRLRRPACVEAAVATAAEARPTTAEGDVAXLPTGDGCTARWIGGGEGRRRW >ORGLA05G0151800.1 pep chromosome:AGI1.1:5:16351427:16356484:-1 gene:ORGLA05G0151800 transcript:ORGLA05G0151800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYEKVRSIGSGNFGVTRLMRNRDNGELVAVKTIPRGNHRINKSAYREIINHRSLRHPNIIQFIEAILTHTHLAIVMEYASGGELFDRIVDLERFSEDEARYFFQQLIWGVSYCHHMSSMLHSRPKSAVGTPAYIAPEILNLQEYDGKLIKAIQYEIPQQVHISTDCRELISRIFVSDPSKRITMQEIKNHPWFLKNFPRELTEEAQSIYFTKNDYFPTFSAQTSGEIMTFMEEAQKIPKSFGDGYIDYRSDEEEMQEEEEGPEENEEEEDECDKIPKGGPREGNSEYEST >ORGLA05G0151700.1 pep chromosome:AGI1.1:5:16345416:16347146:-1 gene:ORGLA05G0151700 transcript:ORGLA05G0151700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKSSSVLSNSFPILLLIFLAAAASVPQIAMSAEQPEQAAPAAQEATVQIVYLDRPADADPEEFHIRTLAPVLGSEEKAKEAVLYHYKHAASGFSAKLTPQQVEELKKQPGVLQVVPSQTYQLHGPGAGTGTMNTFSLV >ORGLA05G0151600.1 pep chromosome:AGI1.1:5:16338446:16344696:-1 gene:ORGLA05G0151600 transcript:ORGLA05G0151600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAAGDSAAELLLRAAALVPPAHYALAALLLACAFLYRFLELHLIGDLLRGLRGGRVALTFHPASHVYHRVASKCRSLHGRYLATPWLASPHLQTLFLSISGRPPSFTYRRQLYTVRDGGTIALDWLLASDCEEEDVGFCDGVISRDDSTPLVVVIPGLTSDSTAAYVKHLVFSMASKGWNVVVGNHRGLGGISITSDCFYNAGWTEDFREIVNYLHQKYPQAPLFAVGTSIGANILVKYLGEEGEGTPVAGAVSICSPWDLLVTNRFIQRKLVQRCYDKALAIGLKGYAKLHQPVLARLANWEDIRKSRSIREFDRHATCVVAKYETVDTFYRRCSSANYISNVSVPLLCISALDDPLCTREAIPWDECRANKNIVLATAPNGGHLAFFQGLTAGKLWWVGAVSEFLLALHDSPCMHRQKAQEHSLHTSLESSIDKSPYVNFMEDGMVTAVTNDDANNSDSDNPISNEMELRNGMVGVQQDGIATEIQNECDGNRSQENVTPAQGPVGSQEQPKQNIDKIQDAIAPVKISINQLIRSHGRSVWLLTYIAFVTSWPFLGALGFILFRKKFRNSLPAKRL >ORGLA05G0151500.1 pep chromosome:AGI1.1:5:16336284:16337752:1 gene:ORGLA05G0151500 transcript:ORGLA05G0151500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQAAVMAHLDQVSGLVQALSAELRRGIGPAADSLLAFVRAVDWTEPWLICLMVFHATLLLTAVGLRRNANFQLFMLFLAYSGVYLAEKINSYMAEHWKSIATRNYFDRAGVFVSVVWSGPLIFISMVIVVSSLITLCRLMVKWKRAELRHRAQLARDKQD >ORGLA05G0151400.1 pep chromosome:AGI1.1:5:16332440:16334840:1 gene:ORGLA05G0151400 transcript:ORGLA05G0151400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSIRPPRAALVLLLLALSFSLTLAAHFEGFGSDDLHSAHADAASADDEDDEGLDVELPPPPRISLSTSTPSPPVTTTTTTTSAPNPNPDPNPTLTPPNPTPTLDLWDEDEFEGIPVPEAASSDESSTPAEAAPSDPAADAAAEAAPAPPRRPAELLRAYTVEIACVSFLICFLLNYFTGKRQNEAIALAWATRFATRDSIFDKNFSLLGTGDGKDTPLLMKEGQDVFKFYASGRRYCQGMLATMEMRARHDLLSKLVELVFPRKDTITFEVVMNEEAMDHVMLAVARKKAAKTMQKEERDLQKFAGVLISAPTGRRWVADELAVVAESKEVAGDMITEAVLDQVLGDKAFEKFGKWFISLHFSDQLAGSYKKVLSFKFVLPDASNMAEMTRLVALVPYYIDLVGRYKLSNHARSKTEAARTKAAQEAFREQQGLRQEALQRKKAEKKKLMEEAEAKLSAEALRKKEEKERARQMKKSMPKVKMLRS >ORGLA05G0151300.1 pep chromosome:AGI1.1:5:16328548:16329044:1 gene:ORGLA05G0151300 transcript:ORGLA05G0151300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAKSLLCISLVAILLLVETTAPHGQAYAIDCGAKCGYRCSKSGRPKMCLRACGTCCQRCGCVPPGTSGNENVCPCYANMTTHNGRHKCP >ORGLA05G0151200.1 pep chromosome:AGI1.1:5:16316523:16322143:-1 gene:ORGLA05G0151200 transcript:ORGLA05G0151200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSDSGSYDPLLALDDGMADGDVAAAAAAPVDHRGRPALRGATGGWRSALFIIAVEIAERFAFYGVSANLITYLTGSLGEGNAAAAAAINAWNGVSQLLPLLGGALADSWLGRYRTILLASLLYILGLAMLAFSTFISTGGNQCSSAAVAGGKTCPPSTLRVAFFYISLYMVAVAQGGHKPCVQAFGADQFDPSDPEESVSRSSFFNWWYFGMCGGTAVTLVFLSYVQDNIGWGLGFGIPCVVMACALAVFLLGTRTYRYYVSGSKKGVVARAGEALAAWRNRAKSIPLLPPASQECHPTATSAPEFSTGVEEDEQVVGKAGLVEQAKGIVRLFPIWATCLIYAVALAQSSTFFTKQAGTLDRRIGDHIQVPPAALQSFISITIVAIIPVYDRVIVPVARRYTGVPSGITMLQRIGAGMVLSLVSMVIAALVETRRLRAARDAGLVDKAGVPVPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKLRSLGLALYLSIFGVGSFISSALVSGIDRATAARGGSWFSNNLNRAHLDYFYWLIAALSALELLAYGYFAVTFKYKNKNKGALLATSTSC >ORGLA05G0151100.1 pep chromosome:AGI1.1:5:16309312:16309761:1 gene:ORGLA05G0151100 transcript:ORGLA05G0151100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPAAWRTSVHGAADGWARRATRKAPSAAKEWGHRGAGASQTGVGGAADGGGCGPCDEATPPSAVLVIIVVHNSSTAAKEWRSGVGAAADEAPRRFLARVADLRRRRIMGFGGEARFLAWEWDNEATGRDATQGRTGEGMNAACGRSA >ORGLA05G0151000.1 pep chromosome:AGI1.1:5:16305164:16305400:-1 gene:ORGLA05G0151000 transcript:ORGLA05G0151000.1 gene_biotype:protein_coding transcript_biotype:protein_coding AESSSRQQQAAAANNEDIELPDESDEEEDDDQIAKKSVPAAVFGELGNRAAENREEESSGAQENGQLGALERIKRRRQ >ORGLA05G0150900.1 pep chromosome:AGI1.1:5:16301015:16301832:-1 gene:ORGLA05G0150900 transcript:ORGLA05G0150900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLGVEDPNWRSDPYVYLLPPWCQRPLCLCGDRCQLMASRNPDIRGRCFFRCPNYDRETRTMACAYIKWVDTENSVLDLTTCLQEGRWYFTSESTEQYLQRKAAYERQYREQQCDWRVLTTALPPWEARPRCRCGDRCQVLRSIKPTTLGRRFFVCPNILDDDFMEPPRRCQYREWIDTRRVLTPPSRVVQLELPEQYRVTKVRFERGEGSSRRG >ORGLA05G0150800.1 pep chromosome:AGI1.1:5:16288005:16291218:-1 gene:ORGLA05G0150800 transcript:ORGLA05G0150800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSTDLLLPRAGAARRRPATGGWRSALFIIWVEVAERFAYYGVSSNLISYLTGPFGETTAAAAAAVNAWSGAASMLPLLGAAVADSWLGRYRTIVASSVLYITGLGLLALSSTFSSPQSQQCSSSGDGRQVCRSSSVQRAFFYVSLYLVAIAQSGHKPCVQAFGADQFDATDPGESSSRSTFFNWWYLGLCASATVTAAVMSYVQDNVGWGLGFGVPGMVMLLGLLVFLLGTRTYRFYDGGGSGAFSGVGEAVRAWRKSRRRGEGGGGATVEAEHGELAEEVRGMARLFPIWATCLLYGVLFAQPPTLFTKQAATLDRRIGPSSSFQVPPAALQSFLGVSIIPCVLLYEHVLVPAARRATGVATGITMLQRIGAGIAMCAVTLLVAALVEMRRLSAARDADPGAAVPMSLWWMVPQYVLFGAADVFAMVGMQEFFYDQVPGALKSLGLALYLSVLGVGSFISSFLISAIDVVTRRDGGTSWFDDDLNRGHLDYFYLLLAALTVLDLLAYVYFSMSYIYRRKVVDVQ >ORGLA05G0150700.1 pep chromosome:AGI1.1:5:16284915:16286540:1 gene:ORGLA05G0150700 transcript:ORGLA05G0150700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidophosphoribosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PVV9] MAAAAAAASTSRLLLLRHHHHAAADGSKQQQQLRYSSKPSSLALPRLRLLPAAGALLPDRVTPFSYEEDDESDDHPREECGLVGVVGDPDASSLCYLGLQKLQHRGEEGAGIVAVGGDGKLKSVTGLGLVADVFGDPARLASLPGPAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQVAVAHNGNLVNYQALRNKLEARGSIFNTSSDTEVILHLIATSLSRPLLSRICDACERLAGAYSLLFLTADKMFAVRDPHGFRPLVLGRRRNGAVAFASETCALDLIEATYEREVEPGEVVVVDRRDMSVSSACLVPHRPRRSCVFEHIYFALPNSVVFSHAVHERRTAFGRALAEESPAAGADVVIPVPDSGFYAALGFARASGLEFQQGLIRWHYSGRSFIQPTQAIRDLAVKLKLAPVHGVIRGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVVGSCLYGIDTPSEGELISNRMDLEGVRREIGSDSLAFLSLGKLHSIYGAEAEGYCDACFSRNYPVLPTLPEPVVELEE >ORGLA05G0150600.1 pep chromosome:AGI1.1:5:16279344:16281855:-1 gene:ORGLA05G0150600 transcript:ORGLA05G0150600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:I1PVV8] MSRAPPDAAGDLFAANLKGSLLAVASSAFIGVSFIVKKKGLRRAGAAGPRAGVGGYGYLLEPLWWVGMITMLIGEIANFVAYMFAPAVLVTPLGALSIIVSAVLAHFILNEKLQRMGVLGCVLCIVGSTVIILHAPEEETPSSVEQIWHLATQPAFLCYVAFALVVSLILMAHCAPRYGQTNIAVYIGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWLFATVSAICIIIQLIYLNKALDTFNTAVVSPIYYAMFTSLTILASAIMFKDWSGQSISSIASEICGFLTVLSGTVVLHSTREYDQTISPDLYTPLPPIYWHIQGNGETVKQKEDDSLSADFITVVRQDYFV >ORGLA05G0150500.1 pep chromosome:AGI1.1:5:16273377:16273673:1 gene:ORGLA05G0150500 transcript:ORGLA05G0150500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAQFVAAAALPALLPTPCGRTSSSRASSDERWDIQKKPWLQADALHGEEDRNAAMTMRLTTNKEISKPRHAVFAGPSFVAPEPCMLPLPKFLMAR >ORGLA05G0150400.1 pep chromosome:AGI1.1:5:16270653:16273114:-1 gene:ORGLA05G0150400 transcript:ORGLA05G0150400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT4G02790) TAIR;Acc:AT4G02790] MAAASLAPPTASFSPAPAPGRVKAAAPLLSRRPPGRFLLRVASESSSISGDTLLGLYEKERLSHSLYANEDYDKEMFWENLDADLRYWTRSLRPVQWYPGHIAKTEKELKEQLKLMDVVIEVRDARIPLATSHPKMDAWLGNRKRIIVMNREDMVSNEDRNAWASYFANQGTKVVYSNGQLGMGTMKLGRMAKSLASTVNTKRREKGLLPRAVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGSDLELLDSPGILPMRISDQTAAIKLAICDDIGERSYDFADVAAILVQLLVRHPAVGPEAFRRRYRLDMDSDCGKMFVTKLSVHLFNGDASQAAFRILSDYRKGKFGCVALERPPT >ORGLA05G0150300.1 pep chromosome:AGI1.1:5:16264908:16269960:1 gene:ORGLA05G0150300 transcript:ORGLA05G0150300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKVSAVDKSPSDTTLVRDQIVDPEPALTKRAKSPVVEEATAKRVEDQQQSFAFLESVVPGLAVYNGADAGQAGSRTPQLARTLSQKAGLGKTKAGAAKVSEVSSLLGRAGTVGLGKAVEVLDTLGSSMSSLNTSSGFISAAKGDKISILAFEVANTIVKGSNLMRALSKTNIKHLKEVVLYSEGVQHLISKDMDELHKIAATDKREELEIFSKEVVRFGNRCKNPQWHSLDRYFEKLASERTPQHRLKEDAESVMQQLIICVQYTAELYHELHTLDRFEQDCRRKQQELDGLGSRGDSLHMLKQDVKSQTKHVKSLKKRSLWSKNLEEVMEKLVDIVHFLHLEINNAFGLADSEAPQEPAKHHNRLGPAGLALHYANIINQIDTLVSRSSLIPPTTRDTLYQGLPLTIKSALRSKLQSFEVKEELTASQIKAEMEKTLRWLVPIANNTTKAHHGFGWVGEWANTGSELNCKLSGQMDLTRIETLYHAEKEKVDGHILELVVWLHHLISKSKNANGGVRSPIKSPVRSPTQKGITLMPDKSNSSSPILTQEDKDMLKNVKFRKFVPGISKSQEFDTKSRHSKQIRLIKSNSQSPTSGSRKDLLSLRRSSMLPVIDFQMDRTKALDLIDRLDGLKKQ >ORGLA05G0150200.1 pep chromosome:AGI1.1:5:16255913:16260149:1 gene:ORGLA05G0150200 transcript:ORGLA05G0150200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRWLRCGGGGVGGGGGGVGVARRGMACSVEALARRGGGGESESENEEEEEKRRGRAGERRLRVGGSAAAAAAGSGELLSIPGVGPRNQRKLVDNGFEGVAQLKQLYRDKFFGKYSEKMVEFLQSSVGIIHKNHAESITSFIKESVDEELKDADSSKASQKKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVAKWQDIGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMLRKRAEEGGVTLDYLQGLHEKHESWLLPSKGGTGVLSVSQLPTHLEGSLPPAIRDRVFYLEGDHMHSSIQKVPALVLDCEPDIDFNKDIEAKRQYAQQVAEFFQFVKKKKEAPSEQTSTDKNRINPQIMLPHKGGLWVPDGRNPFSGSAMNLNFRRAMSSYLST >ORGLA05G0150100.1 pep chromosome:AGI1.1:5:16251384:16253584:-1 gene:ORGLA05G0150100 transcript:ORGLA05G0150100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVLAVVLFIGVALWSSSSSPAAAAAGTKEELEDGDYQRGEVTYDGRALIVNGTRVMLFSGEIHYARSTPEGKHQKNTSFLNLDHSVGDRRYNYDHRKVVAYVLSVNHFVLGSQLKIGHESVRRANTH >ORGLA05G0150000.1 pep chromosome:AGI1.1:5:16241641:16250615:-1 gene:ORGLA05G0150000 transcript:ORGLA05G0150000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:I1PVV2] MRGGMAITAALVVVAAAAESRWAELGREITYDGRALVVSGARRMFFSGDMHYARSTPEMWPKLIAKAKNGGLDVIQTYVFWNVHEPIQGQYNFEGRYDLVKFIREIQAQGLYVSLRIGPFVEAEWKYGGFPFWLHDVPSITFRSDNEPFKQHMQNFVTKIVTMMKHEGLYYPQGGPIIISQIENEYQMIEPAFGASGPRYVRWAAAMAVGLQTGVPWMMCKQNDAPDPVINTCNGLICGETFVGPNSPNKPALWTENWTSRSNGQNNSAFSYPIYGNDTKLRAPEDIAFAVALFIARKKGSFVSYYMYHGGTNFGRFAASYVTTSYYDGAPLDEYDFKCVAFLVNFDQHNTPKVEFRNISLELAPKSISVLSDCRNVVFETAKVNAQHGSRTANAVQSLNDINNWKAFIEPVPQDLSKSTYTGNQLFEQLTTTKDETDYLWYIVSYKNRASDGNQIARLYVKSLAHILHAFVNNEYVGSVHGSHDGPRNIVLNTHMSLKEGDNTISLLSVMVGSPDSGAYMERRTFGIQTVGIQQGQQPMHLLNNDLWGYQVGLFGEKDSIYTQEGTNSVRWMDINNLIYHPLTWYKTTFSTPPGNDAVTLNLTSMGKGEVWVNGESIGRYWVSFKGPSGQPSQSLYHIPRGFLTPKDNLLVLVEEMGGDPLQITVNTMSVTTVCGNVDEFSVPPLQSRGKVPKVRIWCQGGKRISSIEFASYGNPVGDCRSFRIGSCHAESSESVVKQSCIGRRECSIPVMAAKFGGDPCPGIQKSLLVVADCR >ORGLA05G0149900.1 pep chromosome:AGI1.1:5:16237053:16237518:-1 gene:ORGLA05G0149900 transcript:ORGLA05G0149900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPPPPSFLPPLRRSRLFVISLSDHATPLPVPGSWLGATEARGTGCSAVDGEPDKIFVGKQVAASDCIECSIRLPRVFPLTHTGQQQG >ORGLA05G0149800.1 pep chromosome:AGI1.1:5:16231756:16235323:-1 gene:ORGLA05G0149800 transcript:ORGLA05G0149800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKCTIYSFHILKYPKQNRLRTQSIWIIWYGGTISDTTKLALLDQQENRAAFGARGNVDAVDEKGDRTAAAAGGGGGGATTTGGVGGDGRAPSSAMPRAQGAEKKKAPSAAAPPPTRTAPCGTPAREFKKPVLYSSTGLGEAAGGGRRRAFAEFSSCVVRKAPPLERRVECTLEELCSGCKKEVKYTRDVVAKNGPTMCCTSRKLSKLSTIHLLAH >ORGLA05G0149700.1 pep chromosome:AGI1.1:5:16229970:16230479:-1 gene:ORGLA05G0149700 transcript:ORGLA05G0149700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CKHMCINXAGFQEGGDEDDQGEAGLEEGDEGDVRWHGRRAAGLPPRRRRVHHLGEEAQGVQEERQRPGAQGRGAAGERAHRLVLLLPADRRREDELHVPRRGHLPRLREGRRRRGHARRRRRRRRXEGCRRARRPPGEVRRRLSQEPHRRAARRPRQHPQSLSVIEPHV >ORGLA05G0149600.1 pep chromosome:AGI1.1:5:16219374:16221524:-1 gene:ORGLA05G0149600 transcript:ORGLA05G0149600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:I1PVU8] MECENGYVAAAADGGLCMEVPRADPLNWGKAAEEMSGSHLDEVKRMVAEYRQPVVRIEGASLRIAQVAAVAAGAGGEAAVVELDESARERVKASSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGADGHVLPAGATRAAMLVRINTLLQGYSGIRFEILEAVAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRENSVAVAPDGRKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKEAKRLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHIEENVKGAVKTCVMTVAKKTLSTNSTGGLHVARFCEKDLLSEIDREAVFAYADDPCSANYPLMKKLRSVLVERALANGAAEFDAETSVLAKVAQFEEELRAALPRAVEAARAAVESGTAAAPNRIAECRSYPLYRFVREELGTAYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGAPLPIC >ORGLA05G0149500.1 pep chromosome:AGI1.1:5:16214066:16216482:-1 gene:ORGLA05G0149500 transcript:ORGLA05G0149500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT4G10110) TAIR;Acc:AT4G10110] MSRNPGCTVFIGNLDEKVPERVLYEILIQVGRVVDLHIPRDKETSRSKGYAFAEYETEEIAQYAVKLFSGLVRLHNRTLRFAISGQDKQSSNGNIPVTPKLNPIPPPKPAQLMRSSDTPASQHTVVNGRIAGISPNHSYSAHSEAPSGISSRGLSNGTYEYSRRVFGSVLNDVSRRTDRQPIPYPSY >ORGLA05G0149400.1 pep chromosome:AGI1.1:5:16210611:16212306:-1 gene:ORGLA05G0149400 transcript:ORGLA05G0149400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PVU6] IQALTERQPQSEKKAARARPMSAKAVVVLCATSFFVGLLLSGRMTLLMPPPSGSVGAASSGHGSRLSLFSDHCEHRHKLDEGNPNDIMNEVSRTHQAIQSLDKSVSSLEMELAVERAKQNGGLGAAVPSKRGRRPPRAFVVIGINTAFSSKKRRDSLRDTWVPRGERLRRLEEKGVVVRFVIGHSATPGGALDRAIDVEDAETRDFMRLDHVEGYHELSSKTRTYFTAAVATWDADFYVKVDDDVHVNLGMLTSRLARYRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNRYFRHATGQIYAISKDLASYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSLCCATPPDCEWKKQAGNVCAASFDWSCSGICKSVDRMRAIHSACGEGDGAVSNNFAAAAAA >ORGLA05G0149300.1 pep chromosome:AGI1.1:5:16206147:16207328:1 gene:ORGLA05G0149300 transcript:ORGLA05G0149300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTTSESGSTTTDDDVHLSPTSICSGGGGGACVVVGGSRIKILCSFGGRIMPRPSDGALKYIGGETRVLAVPRSIPFSGLKKKVEEMFRTEVAAIKYQLVAEDLDVLVSVTCDEDLTHMLDEYDRFEAKRSPSASPRFRVYVFSSQPPVAAAVPSTSRHAGYAPPASHHHLLQHHLHHFQPDHYVATPDGSPPYAGHSHGAVSAGNSPRADAVGPDHPAVFGLKMQRVRSTPNLGSLDASPQHYHQHAADVGGGGGGMAGYMGGSSPVHAGAGHLVSQGGFHSYYHPHGQYAPAPVPVPHHAGVGRYDTRGGGGYVRGSNYVAAPPPPMMPVAVRSGRPVSRGGGAPPYNEMHTPKNATTIWD >ORGLA05G0149200.1 pep chromosome:AGI1.1:5:16201142:16201714:1 gene:ORGLA05G0149200 transcript:ORGLA05G0149200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYSNLACSSSSPPPVAAAGNGAGCRARRSLELTNTKETNAWEGLAIGAVTLARTFSTGSHRISSSSRSGAGERVGRTAGGGGGLPGAVRRAFSMRRHPAGLGKGDGYYWRIHDNMDGDSDDDGDNPAAAAAEEEERDKKEQLAESSDEKKKEQLAEAADEKVSATATATPKKKKGRRIMKACKKLLRL >ORGLA05G0149100.1 pep chromosome:AGI1.1:5:16197262:16198771:1 gene:ORGLA05G0149100 transcript:ORGLA05G0149100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFAGQRSRPWMGDAAASDQAAAGGVGGGGGDVRDDGDGGAASAAAAKGLGDASTNASAISFGFAATAILISMFLLMAIFEHLIKPSLSSSSSSSSSSSSSSRASHGDGDGHGQSSSSHHAAAAAAVVSPDKLFCTPGKLEVVPAEDLTVLMPGQRYPTFLAQPAPLLPWPREGVRWPPHGHRHCFVPP >ORGLA05G0149000.1 pep chromosome:AGI1.1:5:16194250:16195030:-1 gene:ORGLA05G0149000 transcript:ORGLA05G0149000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDLFCFSEMVYPSLQRSYYRGNHQYIYNDGVVSTGKISLRGALITQEAKEWKKDRSKLIVETKPNRNMDSLLQLYVALVSQHKQSAVPPQRKAHWK >ORGLA05G0148900.1 pep chromosome:AGI1.1:5:16179868:16180278:-1 gene:ORGLA05G0148900 transcript:ORGLA05G0148900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRDASSLLLAGLLAGAYQNYIDFRSNTVDSRFLSHMLSRYTVITGSSNRDSPQ >ORGLA05G0148800.1 pep chromosome:AGI1.1:5:16164612:16168666:-1 gene:ORGLA05G0148800 transcript:ORGLA05G0148800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PVU0] MGSLETRYRPAGAPSDDTTKRRTPKSRIYKDVENFGVLVLEKNSGCKFKTLRYLLLAITSATFLTLLTPTFYEHQLQSSRYVDVGWIWDKPSYDPRYVSSVDVQWEDVYKALENLNVGSQKLKVGLLNFNSTEYGSWAQLLPGSAVSIVRLEHAKDSITWDTLYPEWIDEEEETDIPACPSLPDPNVRKGSHFDVIAVKLPCTRVGGWSRDVARLHLQLSAAKLAVASSKGNQKVHVLFVTDCFPIPNLFPCKNLVKHEGNAWLYSPDLKALREKLRLPVGSCELAVPLKAKARLYSVDRRREAYATILHSASEYVCGAISAAQSIRQAGSTRDLVILVDDTISDHHRKGLEAAGWKVRVIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDDDSAKAKKTELFGADPPILYVLHYLGMKPWLCFRDYDCNWNIPLMREFASDVAHARWWKVHDNMPEKLQSYCLLRSKLKAGLEWERRQAEKANLEDGHWRRNITDPRLTICYEKFCYWESMLLHWGEKNPTNNNPVPATISSS >ORGLA05G0148700.1 pep chromosome:AGI1.1:5:16160389:16163528:1 gene:ORGLA05G0148700 transcript:ORGLA05G0148700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAASSRAGGGHARHAPRGGAGPGSPRVSAQRRRWWWWAAPLPSASGASSLERVALAFFLASVALVLSCALYLYVFRYLGRGSAVAGFVGRDLEPCDVFDGAWVPDARYPLYNSSLCPFAERGFNCLANGRRDTGYLKWRWKPRRCDAPRFTARAALERLRGKRVVFVGDSMSRTQWESFICMLMAGVDDPKTVYEVNGNEITKTIRSLAVRFASFDLTVEFFRSVFLVQQRPPPRHAPKRVKSTLRLDRLDNISRKWVNSDVLVFNTGHWWTPTKLFEMGCYFQSGRALKLGTSVEAAFRTALETWASWVEKRIDLNRTHVFFRTYEPSHWSDTNQKVCEVTEQPSSEAKGNDKSEFGTILADVVANMKAPVTLLNVTLMGAFRSDAHVGTWSYPPTVLDCSHWCLPGVPDAWNELVFSYLLTNGWRKMAR >ORGLA05G0148600.1 pep chromosome:AGI1.1:5:16146932:16149038:-1 gene:ORGLA05G0148600 transcript:ORGLA05G0148600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTCLPPGFRFHPTDVELVSYYLKRKIMGKKPLIQAISDVELYKFAPWDLPAQSCLQSRDLEWFFFCPRDKKYPNGSRTNRSTPNGYWKTSGKDRTIELNSRIVGSKKTLIFHEGKAPKGNRTDWVMYEYKMEDNQLVSAGFSKDDFVLCKIFKKSGLGPRIGEQYGAPFNEEEWEHADAEMFPLLPNVETSVFPLLPSSEVVNSTDDTRVQPSVAARAIEELPVQHLPHVCAGNGSTYQNITVTGESALMELPSQHSVESIGDEVVSVDNCSNVVNNADSPVIEGLVLEELSRFLTDSPHHGNPVGEHSGLPPMSEAEAHAFEVSTNDLYNEIAGLAELGVPNGDGFSPSNAGVTEQQPTYFGVPNSENYVNMDDIFAPDTRLSYAYPLPNNQFWHYPMDQFTYSTTLSAAFPSGDSRPTMRIVDDLPAAANNGGFASKPSMQFPLS >ORGLA05G0148500.1 pep chromosome:AGI1.1:5:16142695:16145938:1 gene:ORGLA05G0148500 transcript:ORGLA05G0148500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRSLRPLRHPRIADEAARRDGSPPVDAVVVEGAGTSGEDADWPSGHHDVDADRAELRLILLGIPDHQRANPTHHRRLLLRSANTLERNTLKKKPKEAVTTEEKVKHDLSHTVHGVHPPPQSAGDLSAARNPRGAHFNHRRLHHPPIRLKHRRDGEQPANVVAMPPSKLRKAIGAVKDQTSIGLAKVGSGGAAASELDVAIVKATRHSESFPADERHVREVIALTLHSRAYIGACVASLSRRLGRTRSWAVALKTLALVHRLLADGDQAFEQEVFYATRRGTRMLNMSDFCDRSRTDAWDFSAFVRTYAAYLDDRLEYRMQAKHGGAARPGRPLREQLYASPGNRFNYDDFIMRDDEADKAVALVARETPTSEMTLEQLLAKAQQLQHLLDRFIACRPVGAAKTNRVVSVSLYPLVKESVQLYCELTEVMAALVEQFPEMEADDCERVHAVFCGLAKQLDELEALYTWCKDAYVCRQSDVPEVELITQKKLELMDEFVRDRRAAAAQSPEPPRREASPVVEDDVSATKALPAPEEQNAGETVPAEPEAPPLIADGDADFLNLKGDAMSGEEHGRQLALALFDGNPAGSAPAPDAFDPSSTDWETALVQSASALAHQRAELGGGLSMLVLDGMYSQAAAASTAANAQAFSGSASSVAMRPPGAPMLALPAPPGTSGASGDPFAASMAVAPPAYVQMSDMETKQHQLVEEQMVWQQYGKNGMSGQGALAMLEQQRPPQQQMQMQMLPNGGYNYAGYHRSS >ORGLA05G0148400.1 pep chromosome:AGI1.1:5:16136423:16139604:1 gene:ORGLA05G0148400 transcript:ORGLA05G0148400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1PVT6] MEDLAKFLFGVSGNVIALFLFLSPVPTFWRIIRRKSTEDFSGVPYNMTLINCLLSAWYGLPFVSPNNILVSTINGAGAVIETAYVVVFLVFASTHKTRLRTLGLAAAVASVFAAVALVSLLALHGQHRKLLCGVAATVCSICMYASPLSIMRLVIKTKSVEYMPFLLSLAVFLCGTSWFIYGLLGRDPFVTIPNGCGSFLGAVQLVLYAIYRNNKGAGGGSGGKQAGDDDVEMAEGRNNKVADGGAADDDSTAGGKASTEV >ORGLA05G0148300.1 pep chromosome:AGI1.1:5:16122675:16124916:1 gene:ORGLA05G0148300 transcript:ORGLA05G0148300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRSANGELDACFRSLMLSIGSGRGQAEGGGAMPTLSGWKDLPIELLLRIMSIVGDDRMLVVASGVCTGWRDALGWGLTNLSLSRCQQNMNNLMISLAHKFTKLQVLTLRQNIPQLEDSAVEAVSSYCHDLRELDLSRSFRLSDRSLYALARGCPQLTKLNISGCSNFSDTALTYLTFHCKNFKCLNLCGCGKAATDRALQAIARNCGQLQSLNLGWCEDVTDKGVTSLASGCPDLRALDLCGCVLITDESVIALATGCPHLRSLGLYYCQNITDRAMYSLANSRVKSKRRRWDSVRSSSSKEEDGLANLNISQCTALTPPAVQAVCDSFPALHTCPGRHSLIISGCLSLTSVHCACALHPHRAGRTMVPSHAY >ORGLA05G0148200.1 pep chromosome:AGI1.1:5:16092468:16096692:-1 gene:ORGLA05G0148200 transcript:ORGLA05G0148200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSSRLDAADASPVAALCRERRDLLRAXXXXXXXXXXXXXAYFRALPRVADALARFAEQHHAATPPGSPVLTLPPSEPDEHKKRSASSSTLHTDSGHSHLHFHTDGGSDSEPDSADDDCACGAAAGHGVRGEISPPAEELQERRIPEPGASSRLQMPWEYAPYDPYPSSFPNVTFPNYYYMKASSTPANTVYQEPYGYGNFAANVSYNGYDYGYSNPMYGIPVPPDGERLAEDRAREAAAAAAPAPPPPMPMPEVSPWDFFNPFDSYDYNQQLPQYKDANGSFTSSPNSSEVREREGIPELEEETEQESMRESIKARKAVESTASTRIDNADAVNAKAKTASMEHKECEIESVGSASVLDSGEESVCSCECDHADGNAGAGAATAAPAGDDPRMVKKVASEEHSSMVVAEDVLPKNFGTRDVADVVNEIKEQFNSVVACGDDVARILEVGSMRYRPRRRIVRLVFSRLMGAFALLFSSVSEPPVENLEQTALSASGRNHNSSQRIGSASDIEFNTLSSVMDRLYVWEKRLHKEIMEEEKLRITYDKQWKRLKELDDNGAEPYQIDSTRASIRALLTRINITIRSAKVISRRIHILRDDELHPHLVKLIQGLVRMWKFILECHRKQFHAILETKSHVLIPKNGPERNSKITFELEMELLNWCSCFSNWILSQKAYIETLNGWLVKWLPEEKEETPDGIAPFSPGRLGAPAVFITANDWCQSMKRIPEGTVIGAMEAFAVNVHMLRERQDEEQQQKLKADYLSRDYAKRLKSFQKEHGLAGHHEADKTVLPVAENNRAVDSRMVALDALHKRLDEQRSRHEEAVNQIQESSATDLKAGLGPIFEALESFTQDTLKGYENVRIPINGCGA >ORGLA05G0148100.1 pep chromosome:AGI1.1:5:16082370:16085575:-1 gene:ORGLA05G0148100 transcript:ORGLA05G0148100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:I1PVT3] MGGARLGRWVEGMSADNVKGLLLALSSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWAGMTAMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHIFGILGCILCVVGSTSIVLHAPPERQIESVAEVWDLATEPAFLLYAAIVLAAAFVLIFHFVPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLVYPQMWVFLLFVVACIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQDPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPNLPIRLPKHAEEDGYAAEGIPLRSAADGIPLRSPRATESFRATL >ORGLA05G0148000.1 pep chromosome:AGI1.1:5:16078965:16079420:1 gene:ORGLA05G0148000 transcript:ORGLA05G0148000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGNGMAMPPPPAPVKKARYMHMTFFWGKNTEVLFTLWPGARGGMYALAILFMFALAVLLEFRGYRVLEARLARRRAPRAAAALRTAVHAVRVGVAYLIMLALMSFNGGVFLAIVAGHAAGFLAFRAGLCGGGPAPPLEEDRKNDPVCC >ORGLA05G0147900.1 pep chromosome:AGI1.1:5:16067454:16069016:-1 gene:ORGLA05G0147900 transcript:ORGLA05G0147900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELSTVLLLLPFLLLGFLYLTGGVLRSGGNARRRLAPAPRGLPVIGNLHQVGALPHRALRALAAATGAPHLLRLRLGHVTALVASSPAAAAAVMREHDHVFATRPYFRTAEILTYGFKDLVFAPYGEHWRHARRLCSEHVLSAARSHRYGPMREQEVALLVNAIRTEAAAAAVDVSKALYAFTNAVICRAVSGRLSREDEGRSELFRELIEENATLLGGFCVGDYFPALAWADAFLSGFAARACRNLRRWDELLEEVIAEHEARLRGGDDGGGEEHREEDFVDVLLALQEESQRHDGSFKLTRDIIKSLLQDMFAAGTDTSFITLEWAMSELVKNPAAMRKLQDEVRRGGGATTAATPYLKAVVKETLRLHPPVPLLVPRECARDTDDDATVLGYHVAGGTRVFVNAWAIHRDAGAWSSPEEFRPERFLPGGGEAEAVDLRGGHFQLVPFGAGRRVCPGMQFALATVELALASLVRLFDWEIPPPGELDMSDDPGFTVRRRIPLRLVAKPVGSEDDKK >ORGLA05G0147800.1 pep chromosome:AGI1.1:5:16065139:16066965:-1 gene:ORGLA05G0147800 transcript:ORGLA05G0147800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSPISLSSSLSPSPLPIFPRFISRRAGVRRRRRRHRAGRGVVARGRRALTIVAPAPVSTVLRAALRPRRYPLPPVPPCAAPSPSRLRERRGERMQSGGRRPSTGARHYDEHLENKLYASDLAKNLQLNKDDDDDALADQDWEATYFVQHRPKNNAADFPDIPPAARESLDTYIAQAVSLAELRAGCISTNLGLSDTDRVRDAFAPPFVGTRDGARRRGTR >ORGLA05G0147700.1 pep chromosome:AGI1.1:5:16053729:16055462:1 gene:ORGLA05G0147700 transcript:ORGLA05G0147700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGVVASKLCYPAAAMEVVAAELGHTAGSKLYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSFVTYYTSALLADCYRSGDESTGKRNYTYMDAVNANLSGIKVQVCGFLQYANIVGVAIGYTIAASISMLAIKRANCFHVEGHGDPCNISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGVVQVVANGGVKGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESRVMRRATVVSVAVTTLFYMLCGCTGYAAFGDAAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPKSWYITKDIDVPLSLSGGGGGGGGRCYKLNLFRLTWRSAFVVATTVVSMLLPFFNDVVGFLGAVGFWPLTVYFPVEMYIVQKRIPRWSTRWVCLQLLSLACLAITVASAAGSIAGILSDLKVYKPFATTY >ORGLA05G0147600.1 pep chromosome:AGI1.1:5:16043555:16044310:-1 gene:ORGLA05G0147600 transcript:ORGLA05G0147600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYVTSSSFLLLTYAKYLAFSKTTVSCGGAAVTPTRLRAIARRQVDYLLGSNPMGCSSALPSEGPTCRTSSPTSAVTTSTPSQPPTSTRRSSERSPTSPTPTASSSPASPPSPTASSSAPTTLSTTSSPRSTPPTATATGRRSRWSTAPAPSAAPRRCLVAAGLPPPPPASSPPAGCAACERKEEERGREVERGGEEEMDMWDPRGSHAESAATLDKTGVKIAEGFSLHWFCKLSDTLYPVLWFGNDFVTR >ORGLA05G0147500.1 pep chromosome:AGI1.1:5:16037274:16039208:-1 gene:ORGLA05G0147500 transcript:ORGLA05G0147500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLALLRAVAMALLLLLARSMEGVDGAGGGGNATCPLDLSYVTTFPWDADLCAGGAGNMTRCCNTLLSVLAIGLAEQVRATGHFRIPSVGESAACLKDYGAKLSAAPLSLPGASLVQTCFPSPEQFVSSPSFCAGVTTAAEYRAVVGNDSVAALDSACGDLSSTPHCLRCLDAGIAATSRLKAAANISANATTDGAATTRNCFYLTVTYAAGISNVAGPTNPPTAACTLGLALSTPPAAPPKSHDTVIYATAIPVAFLLLASLLAFLVWRRHDKKKKKKKIHEISKEGSAERRSHPRPNTGSILYDIAELSKATDAFADRNLVGRGGFGAVYRGVLADGSVVAVKKMLDPDVEGGDEEFTNEVEIISHLRHRNLVPLRGCCIVDDDAEEGKQKFLVYDFMPNGALEDFIFRDGKRPALTWAQRRSIIMDVAKGLEYLHYGVKPAIYHRDIKATNILLDGDMRARVADFGLARRSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLVLEVLSARRVLDMSAPSGPVLITDWAWAHVKAGQAREVLDGALSTADSPRGGAMERFVLVGILCAHVMVALRPTITEAVKMLEGDMDIPELPDRPLPYGHSAMFSEAGSNFSASPAFSGPFIDNGDMLR >ORGLA05G0147400.1 pep chromosome:AGI1.1:5:16034580:16036504:1 gene:ORGLA05G0147400 transcript:ORGLA05G0147400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNRQVTFSKRRAGILKKAREIGVLCDAEVGVVIFSSAGKLSDYCTPKTTLSRILEKYQTNSGKILWDEKHKSLSAEIDRVKKENDNMQIELRHMKGEDLNSLQPKELIAIEEALNNGQANLRDKMMDHWRMHKRNEKMLEDEHKMLAFRVHQQEVELRGGIRELELGYHHDDRDFAASMPFTFRVQPSHPNLQQEK >ORGLA05G0147300.1 pep chromosome:AGI1.1:5:16026589:16028914:1 gene:ORGLA05G0147300 transcript:ORGLA05G0147300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQDMVSRSSCSKNKVFGSLPVDSIPEIDPSYRLFLEHLREDGNGYVLDAPRGDHGSPVFLRYAQDHASNGYANAKSGTNITKSSLRRSHCNQNSEGPGVTSDKAGSANAGHCFSLGTSFAMQTSEIDESYATFLRLLKIKNGLMVIEPEPGVTIVYGQAEETLVGYDELRIATSTNGRDSLMTAFENMGEENAMNTDGDGLGKINNSASEREMDGLALENMGGQDLVCIDEHGLPPCTELSDLNVCGDEQGEPLALSCGIPSTFDEKLNDVLSKPYDLNEYKELLRKATDRKLVSRQRHLRNASKPYATRAVGLSFLDHYPDLAIQIDSADSDERKLCLLRKFFFWLENLCHEGAYMPWIDKPLACNPIDADDYETDDPTAIEIIQDGD >ORGLA05G0147200.1 pep chromosome:AGI1.1:5:16014726:16014878:1 gene:ORGLA05G0147200 transcript:ORGLA05G0147200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRCPGGRLPWRRRLVLSSGVLTTETLIGFGAENFLCPLNNKACSLY >ORGLA05G0147100.1 pep chromosome:AGI1.1:5:16012589:16013231:-1 gene:ORGLA05G0147100 transcript:ORGLA05G0147100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMWVSCSRTAAAVWRVVLTSGGGTAQRSAFHTICRVLHYLKRFLVMNPEQPDSAGPAVDYLDMDAQLLRRFPEWEGNGVADVPFEMYAYRVMERDKSYACRDRSSDSGSDGNSLCGDDSVSGGSSTTTTATNASASASSRPLLDRRGSTRSSAPRRKVAIAATKAGKCRSGIVTRLKPSKITASAPWP >ORGLA05G0147000.1 pep chromosome:AGI1.1:5:16003271:16011734:-1 gene:ORGLA05G0147000 transcript:ORGLA05G0147000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPVVPPRAPAAHAAVAAPKPPGDAVAAEGEARAPPRPPPAVAAGAGAGASGGGRRVFSVELRPGETTIVSWRKMLKEAGLGAALPPPLPAAAAQPAVAPLPGPSGATHPTENDCAQSNRFNSVIEKIERLYMGKNSSDEEDLDDAPDDDQYDTEDSFIDDDELDEYFEVDNFATKHNGYFVNKGKLEQIDFDSVQTVEPKKRRRKDSSSSYIENNKEFAPGSSSYMGTPLRDSKRSTLQTGKSTSNGHKSGANGTFEYPYSAYRDKDAPGHLGLQQKITSNGANQDLSKNMHHKEKYNAGQFSGLHASSNIYSTETMHLATKIHTEGSGTKTKGTRLERAIRDLQNIVTEYKPQILDVHEAEANCQVAVKRRLPQEVKQKLAKVARLSANQGKIPEHELINRLMGIVGHLVHRRTLKRNMKEMVQSGLCAKQEKAGKLQQVKMEIYEMVKARLATKPKGAEHKVESIDGFQDPVTHDDRMALRGKSVMDAVLEDRICDLYDLYVEGMDEDKGPQSRKLYLEVRNDQRMKRRRLAAAAKLRDSDPAAPQSAQSLQNMTSTHTMYPVVNNGNSQSSRSVDKVNEMSVGAGSDGNRSSTSMKKRKIDSEDRQVNPPKATAELHHHGIEIQKPAKRADEATKSAAATGDLQWSISFRGNWGGGQPSPERSWPYFFWGHSQPNHNAAKRQPVGEAKA >ORGLA05G0146900.1 pep chromosome:AGI1.1:5:15998029:16002116:-1 gene:ORGLA05G0146900 transcript:ORGLA05G0146900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT1G21651) TAIR;Acc:AT1G21651] MAAGTASPPPDAEPPECPVCLSPFDAASAAPRVLPCGHSLCGPCIASLPPASASAASLRCPLCSQCVPFSRALGPSSLPKNLALISLLPSPPNPSRSRTAVAAPPPPHVPLHADHSRLLSRFRHAVLPESASPLHSPAPAAGLAIGSFASDLGAPWFCPRGHPVSLLPIETPAAAAKQESPLYYRPSHAARVAAAIDALSAAARDEVIDLVAVSSRLARRVCRVYGFWMGPEAAPLWLLSERHSRGVSCLLEERSRREETVALIRNVGMEVCEAFMGLHGEGLVLGCIGLGCFCLDRFGHCLLDLNQVLALCHGVRVGVCSSKSKAFMAPEVAEVVHDKLQIKDHDFSGLLGPSSDAWLLGCLLVALVTGDEQLAAGWSTEGSYDDWKNEVLTKVDASLVGTHMEPWSATIVSCLNYDPEGRPEIADVWKCINGSLMNSDIEALIPNVDLAARKSFMCLLLGELSSICSNLGAVESDDTMHLSQDLDDKHSTPDDASSGGIINNEEVGAAGMDGPKCGLYNSSTLLAHRDCITGLAIGGGFLFSSSYDKTINVWSLQDFSHKQSLKGHEHKITAIVVVDNDNQSLCISGDSGSGIFVWCVDPSLSNEPLNKWYESNDWIYRGVHCLAVSGTGYLYTGSRDKSIKAWSLEDYSLRCTMTGHKSTVSCLAVACGILYSGSWDGSIRSWWLTDHSPLSVLEDGTPGSITPVLSISTELDFVVASYENGCLKLQSMWFVRKLNHGIFIFVNQIWKDDVLVKSEKLQNGAIYAAKLNDKWLYAGGWDKVVNIQELLEDDSEVEIRDVATFTCDSIITSILYWDGRLIVGLSNREIKVFYKAS >ORGLA05G0146800.1 pep chromosome:AGI1.1:5:15969911:15976522:-1 gene:ORGLA05G0146800 transcript:ORGLA05G0146800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYISNAQDASKLIVTAKGGGGEADDAAAAAAAVVLDLWRQPAKIPAPFVWPRADVALPPSSPPTGELDVPVVDLAAALRDAAGMRRAVAQVAAACASHGFFQVSGHGVPPSLARAALDGAAGFFRLPPAAKQRARRAPGTVTGYTAAHADRFVDNLPWKETLSFGHRHANAAGNNSSTVADYFSTLGDDFKHLGEVYQEYCEAMEEVTKAIMAVLGESLGVGGGYYREFFEDSSSIMRCNYYPPCPEPERTLGTGPHCDPSALTVLLQDGDVDGLQVLVAGAWRPVRPLPGAFVVNIGDTFMALTNGRYKSCLHRAVVHREQERRSLAFFLCPREDRVVRPPAGAGAGERRLYPDFTWADFMRFTQRHYRADTRTLDAFARWLRPPACSGAAPVVGPPTTATQAATV >ORGLA05G0146700.1 pep chromosome:AGI1.1:5:15968031:15968468:-1 gene:ORGLA05G0146700 transcript:ORGLA05G0146700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNATMLRFVIREEFSRTLAERLVLDIEKVMCQLDVLPSRLMPPVPPAPPLVSMGAPRAPPRRRVPHGPPLHGMDLALRVDLLSAGGRHASPSPPPLAGAIEAPPSSSPSSHCWPQQTHSRKERRERNEMGEKRGKERRLMWQC >ORGLA05G0146600.1 pep chromosome:AGI1.1:5:15962714:15964508:1 gene:ORGLA05G0146600 transcript:ORGLA05G0146600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGEGAAAAEKQELQLPPGFRFHPTDEELVMHYLCRRCAGLPIAVPIIAEVDLYKFDPWHLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGTPRPVAIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRSARKKNTLRLDDWVLCRIYNKKGGVEKPSGGGERSNMMSHGETASAGSPPEQKPAVLPPPPPPYAAAAPFSELAAFYDVRPSDSVPRAHGADSSCSEHVLTTSASSGGVVERPEVQSQPKIAEWERTFAGAAAPAGAVSTAGPILGQLDPAAAAAAVAGGGDPLLQDILMYWGKPF >ORGLA05G0146500.1 pep chromosome:AGI1.1:5:15950901:15953174:1 gene:ORGLA05G0146500 transcript:ORGLA05G0146500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM-repeat/Tetratricopeptide repeat (TPR)-like protein [Source:Projected from Arabidopsis thaliana (AT5G10200) TAIR;Acc:AT5G10200] MEKIQSDCPYPGCFFCVMKEANPSKRRASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAERAVRAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVADHGEVLELAIQLASSSLEIVYSHFYQFVDRRIGYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKSEFLHDICKADFLVKLPGMWGGLVNENSPAGVGLLRTICQSKLGRGHVANIPSVVEALCNIACSSDDWQYMAVDCLLWLVQDSNTCHKVIDRVASTLIDLANISMLGDYKKLGDTIVTVLQECMQQYANSRNSISTHTKEQIDELLSSKQSLKLEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNIAGAAAKYSEALALCPMKSKKERVVLYSNRAQCYLLLQQPLAAISDATRALCLHSPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLKQNKVPDYAERLVKKQMRTAWLFREAALKHGGIHCEGEASDAFGQEADDSEWETASESDAENDATGEADDETEWKNDNQV >ORGLA05G0146400.1 pep chromosome:AGI1.1:5:15937420:15937992:1 gene:ORGLA05G0146400 transcript:ORGLA05G0146400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATPRHHHRLLLLLFILFLAAGAAAPVSGAWDAKGKTLCSGRLLGVADCGDEEPSAYEMLERFGFPRGILPEGVTGYTLRPSDGEFAVYLGTGECEFEVDGGYRLTYQGRITGRVAGGSITGLRGVTVRVFMMNWGIDRVVVADADHLMFYVGPLSQAFPVDGFEESPQCRCRHGGGAAVSGVAAM >ORGLA05G0146300.1 pep chromosome:AGI1.1:5:15935946:15936713:-1 gene:ORGLA05G0146300 transcript:ORGLA05G0146300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFQILSVSCPGARRLSFTMQRGRKNGATPEGDAQQPGRVQGARQGSLRYLGPRRWPEPVDGVDALPGTRPRAAARQGGPAAWRRNGADEGAARRGGAGVEEPAEEEGCGDGARGGAGLDGERRSRHHAERVNAKLGKALADAERELERERRSRERLEKMCDELVRGGLTGGVDGNRGGKEEVEEMRREAERAQEELEKEREMLRLADKLRHRGLPTRGAAHRHTKAMDCGDQLRRSSTGAESYSHLSFSLRFP >ORGLA05G0146200.1 pep chromosome:AGI1.1:5:15926278:15934873:1 gene:ORGLA05G0146200 transcript:ORGLA05G0146200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGRGRRGSRKRRGGDADEGGGGKRRREGESEEDYCFACKDGGLLRFCDHRNCHKAYHPECVDKDDSFLCLSFFQSSELDVFIQAEFEEKARSMHADIMSHWINKELQRLEKLIEMANEKGTNSNKDRVDCLKSCSGEKLKGSKGDADAPGTCLEKVITKAIEVNPPGDMPRSHVQNHGTKATAAVNPGQVIDIDDGEDDLHGKSGDMTVDLDSDGSEDHGTRQHEAKPKLCSGQKAVEAKEEISEHASVWYYNDPQGDEQGPFPLRILRHWSKAGYFKEDFRVWRTGQSCDSAILLKDALLLTS >ORGLA05G0146100.1 pep chromosome:AGI1.1:5:15919500:15921409:1 gene:ORGLA05G0146100 transcript:ORGLA05G0146100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEAPPGNPKAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSTANKNMAVIWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLISYLKEATS >ORGLA05G0146000.1 pep chromosome:AGI1.1:5:15913261:15917307:1 gene:ORGLA05G0146000 transcript:ORGLA05G0146000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVTQNYFAWSQEESPVQDSSQGTPQVFDHGSISFGRFELESLAWEKWSVFANDRRHEEFGKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELNLDYSGDGSDSSQTGEDVPTADQASPSGSGTLLDSMVQTGVQTIFENDLECYDDNDKEMLDKDISPSVGGTCQIEQEFRESASGGNHPDRMVDVLQQNTNCGPDDLGRPMESMMTLKRTVKKDSLVGQAAKTMPKTVNMTSSNIPGHAVVNKGTDSGKSSVVNRRAKPETIQQRLKAVTGNIVDIVGRSKPVVKEVPGIMGVRRPSSPALQRPSTRERRPVTRDSSRKAPEVATMCRPSTAERRPATRELAPKQANTVVPCRPSTPNRRPMTRELAPVHSSIATPRRPSTAERRPITRGMAPMHPSIATPVRPSTAERRPTSKQMAQKHVGMATPSRPSTAERRPITREAARKNADVAILHRPSTAERCPITRETPQKHANVVALHRSSTAERRPVAREIAPKHADVTLTPARRPSTSERRPVTRETALRHSNFTGSCWPLTPQRHISRGSAPIHADVSTTPRCPSTGERRPITKESNIKLDEKTPIKLRGMLANPKGGMATVVTPQKAITQKLVKSSKPEMKSCAKERTELQAVGKHKASSVNLPPREMFTSNVRANRVPESFRKPNKGIQETARSQISSSKSATPAQTRSIKTRAPNPPPPPPPPRRPSQISSKTNTNNLSVGGRKPKASTPHWH >ORGLA05G0145900.1 pep chromosome:AGI1.1:5:15905562:15906092:1 gene:ORGLA05G0145900 transcript:ORGLA05G0145900.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSSYQVARGSTVLMGNGSHASVHGVGTVDLKFTSGKIVQLKNVQHVPSIDRNLVSGSRLTRDGFKLVFESNKVVVSKHGYFIGKGYECGGLFRFSLSDFCNKSVNHICGSVDDEANVWHSRLCHINFGLMSRLSSMCLIPKFSIVKGSKCHSCVQSKQPRKPYKAAEERNLAPLELI >ORGLA05G0145800.1 pep chromosome:AGI1.1:5:15890773:15891973:-1 gene:ORGLA05G0145800 transcript:ORGLA05G0145800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVAASGGGGGGGEMMRYRGVRRRRWGKWVSEIRVPGTRERLWLGSYATAEAAAVAHDAAVCLLRLGGGRRAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRSVQRVASDAGMAADAQLVDLRRDHPPAAAATSSSGSGVAGDGARKQGTRGEVSDTYWCRNGEDGSRRSWSSGSEELIVYEGLSVDDMEILM >ORGLA05G0145700.1 pep chromosome:AGI1.1:5:15885269:15888109:1 gene:ORGLA05G0145700 transcript:ORGLA05G0145700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALNLKAGGGGPCLTPRRRPLAAGEAAPCSVAAAWAGRRVGGRRGMALVAVGASGRRGKDGPGGGDGGDDEAKSKASSSSGNDDAASTGDSSDGLNQLHNESKSNISNSNYWRDVRANLVRREQELLVDPSAPAEQKTSSGEPAHQLPQKWAHPITMPEAGCVLVATEVLDDDSIFERTVILLLRLGSRGTFDGPFGVILNRPLYTKIKNVNPSFQDQATPFGDSPLFFGGPVDMSMFLVRASDNSRLKGFEEVIPGIRFGFRTDLEKAAVLMKSGAIKSQDLRFFVGHAAWDYEQLLSEIRAGYWAVASCSTELISDALTGDPSCLWTEILQLMGGHYSELSQKPKEDNQ >ORGLA05G0145600.1 pep chromosome:AGI1.1:5:15875769:15880094:1 gene:ORGLA05G0145600 transcript:ORGLA05G0145600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPVLMLLVVVVMSWHWGGAAAQVFRPPWNGTFPMGPGGGGGGSVGGGGGAAAAASVPAMFVFGDSLTDNGNNNDMTSLAKANYLPYGIDFAGGPTGRFSNGYTMVDEIAELLGLPLLPSHNDATGDAALHGVNYASAAAGILDNTGQNFVGRSPFNQQIKNFEATLQQISGKLGGGAAGKLAPSLARSIFYVGMGSNDYLNNYLMPNYNTRNEYNGDQYSTLLVQQYTKQLTRLYNLGARRFVIAGVGSMACIPNMRARNPANMCSPDVDDLIIPFNSKVKSMVNTLNVNLPRAKFIFVDTYAMISEVLRNPWSYGFSVVDRGCCGIGRNRGMITCLPFQRPCLNRNTYIFWDAFHPTERVNILLGKAAYSGGADLVHPMNIQQLAAWQP >ORGLA05G0145500.1 pep chromosome:AGI1.1:5:15871512:15872398:-1 gene:ORGLA05G0145500 transcript:ORGLA05G0145500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPHAWCDGSTSPAAFLLAAAAICGQFATGLAGDTQTSKDDKKAQSKGHTGRTVLIVLLGIGAVVLLSFFLFKYWQKKKREEQHARLLKLFEEDDDIEVELGLRD >ORGLA05G0145400.1 pep chromosome:AGI1.1:5:15858848:15862640:-1 gene:ORGLA05G0145400 transcript:ORGLA05G0145400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPAFFQFLPSGAHSSPHHQSPLRSPASERERYLAELLAERQKLAPFMQVLPFCNRLLNQEILRASSLPPNPNFVEPERVNHGSPLRLTGHPMNGQPMDLEGWSGMQTEMGVLQSPSMGWNVAPGVAGSPVVKKVVRIDVPVDKYPNYNFVGRLLGPRGNSLKRVEATTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNDPLHVLVEAEFPSDIVDVRLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSPHLSPSPSVSPFNSTGMKRAKTGR >ORGLA05G0145300.1 pep chromosome:AGI1.1:5:15838703:15842524:-1 gene:ORGLA05G0145300 transcript:ORGLA05G0145300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTFKAVPLQSPLPPVEEIMSFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLNSVGVAQSRATSGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSGDLLMELGAGIELATAAFPQLFLPMACIANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLLGTGLSILISKRNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNTARFTVAVDSFIKSGHVPSLKEGNSQETIFNPPWRHQPVAIGSRFGEAFQEPASFVAIRPLFEDERYIVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARKRMNSNRSYQNANPLNMDFIPHIAESCKIVTSSYGVFKKKAREQGWIMSESLLNPGRARLCGIVPQ >ORGLA05G0145200.1 pep chromosome:AGI1.1:5:15832293:15836560:1 gene:ORGLA05G0145200 transcript:ORGLA05G0145200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSGGPYTSRTAEEVFRDFRGRRAGMIKALTTDVEKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVAFYFAARFGFDKEARRRLFNMINNLPTIFEVVTGAAKKQTKEKAPNSTNKPNKPSSKMQPRPESHSKAPKPPAPPKDDDESGDEYADEEEEERDNTLCGSCGTNDGKDEFWICCDSCERWYHGKCVKITPARAEHIKHYKCPDCGNKRARA >ORGLA05G0145100.1 pep chromosome:AGI1.1:5:15826356:15829630:-1 gene:ORGLA05G0145100 transcript:ORGLA05G0145100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G38520) TAIR;Acc:AT5G38520] MAAALAAAAAAAAHHHRLSSSSPAARTRVVHHHHRRRRSSSLSFSAPASSHGCPRGARALRRAAAGDGGGNGSGGVSTGTVDPAAASSPSSSLEELYRSCATWTWRGMRVNYLVRGEGPPLLLVHGFGASVGHWRRNIGVLSESYTVYAIDLLGFGASDKPPGFSYTMETWAELILDFLDEVVRRPTVLVGNSVGSLACVIAAADSSRDLVRGLVLLNCSGGMNNKAIVDDWRIKLLLPLLWLIDFLLKQRRIASALFERVKDRSNLKDILLSVYGNKDAVDDELVEIIRGPADGEGALDAFVSTVTGPPGPSPIALMPAVAARSPVLVLWGDRDPFTPIDGPVGRYFSALPSELPNVTLHMLEGVGHCPHDDRPDLVHAKLLPWLDTLPSTTALTPVSSPAA >ORGLA05G0145000.1 pep chromosome:AGI1.1:5:15807575:15808221:1 gene:ORGLA05G0145000 transcript:ORGLA05G0145000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGGFAYIAGAAVSGASVNVSMAILSGALLSIGLGFTTQFVPLYMAEMAVARYREAFSNSIQFSLCLGALAATIVNFTMKKTPNSLVQQGKDRDKVKALLQKIRGVDTIDDELDEIVAANAAAAQGENGLWLILSTRSHGSGIWRPRC >ORGLA05G0144900.1 pep chromosome:AGI1.1:5:15794668:15796909:-1 gene:ORGLA05G0144900 transcript:ORGLA05G0144900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDDVDDVTAGAAGSGEEAAADQEEATAAVAGDSHENDLVMPGFRFHPTEEELIEFYLRRKVEGRRFNVELITFLDLYRFDPWELPAMAVIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRGENSRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPAADADLFYKSEISLCRVYKRSGIDDGHGHHQRPAGNVQASSSSAAARPPEQHSGNNTAAGLPACRHRPSPSSSSTTTAQQHTSFHQLLQGECSAAAAAAPPPPSLPASATTRNSNASQLLMPPPPPRPPCAAAYTSAAAAPTESAAVLAAASTYSLLAAAGSSSTHIDELSTLLAGHSHGGAYGNNHIVAGSHHHFPLPPSQLMPQLGTLPISPPLAAVSDKLWDWSSVPDTSTARDYDSSGFSDPK >ORGLA05G0144800.1 pep chromosome:AGI1.1:5:15784880:15786026:1 gene:ORGLA05G0144800 transcript:ORGLA05G0144800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAQSWAREGFPCGKGKSAPKIIQIVPWDGVCNRQAINSLCAMRRGDRYLFYHSGAGAASRHIVGVVEVAREWYEGEGEAASGGVVDVRVVGEFRRLVALGGSR >ORGLA05G0144700.1 pep chromosome:AGI1.1:5:15781237:15781661:1 gene:ORGLA05G0144700 transcript:ORGLA05G0144700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVLMSFMSLGDGSQIPRADDMCDMVAATTEASALMARTLLVMSCMARLDDEDIGAGGNVEKVWRRAGGQCPVPMQSTR >ORGLA05G0144600.1 pep chromosome:AGI1.1:5:15775649:15777458:-1 gene:ORGLA05G0144600 transcript:ORGLA05G0144600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDSERTAXILGRKTQSGSCECFLRPPPPPLAHHTPNPAALVSAYAKSRLLLDALHLFDETPRRDIYLYSSLLAAVSRSASLELALPVLRRMLSADALRPDHFVIASVASVSARLRSLRLGRQLHEHFVVSPYSGDDVVKSSLVDMYCKCGFPDDARKVFDSMGAKNSVVWTALVSGYASNGRGEEALQLFRSMPGRNLFAWTALISGLVKTGESVGAVELFVEMRRDDIRIDDAFVLSIVIGGAGQAVACDILSAREVFEGITFRAVISWTTMVVGEAQHGRAEEALALYDRMVLAGAKPNKVTFVGLIYACSHAGLVQKGRQLFESMKNEYGITPGLQHYTCYLDLLSRSGHLLEAEELMTTMPYEPDEATWGALLSACKKYKDAEMCIRIADKLLELRPKDSSTYILLSNVYAVNGKWDSVAKVRKCTIGLEIRKEPVYSWIEAGREFRLFHAGEVPLDVREEIMGFLEEMVLEMRKRGYVPDTSSVMHDLEENEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRVC >ORGLA05G0144500.1 pep chromosome:AGI1.1:5:15762153:15766845:-1 gene:ORGLA05G0144500 transcript:ORGLA05G0144500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:I1PVP7] MAAEAATLEFTPTWIVAAVCSLMVLISLVAERCLHYLGKTLKRKNQKPLYEALLKVKEELMLLGFISLLLTVFQGLIQRTCIPPRWTVHMLPCQREAVGPAKEHVAAAQIVGRIGRRLLSEGGAGAELCQKKGKVPLLSLEAIHQLHIFIFVLAITHVIFSVSTMLLGGAKIHQWKQWEVEIQKDAVGSGQPGPAKVTHVHQFEFINDHFKGMGKDSKILSWLHSFVKQFYGSVSKSDYTTMRLGFIMTHCRGNPKFDFHKYMMRVLESDFKKVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFLPLILLLAVGTKLEHVIAQLAHDVAEKNSAIEGDLVVKPSDDHFWLGRPRIILYLIHFILFQNAFEIAFFFWILTTYGFNSCIMGQVGFIVPRLVIGVTIQLLCSYSTMPLYAVVTQMGSFYKKEIFNDHVQQGVLGWAQKVKMRKGLKEGSAGVAAEPSNGGGGDTAGPSVKIEMMRRAAREGNDEAGVSIE >ORGLA05G0144400.1 pep chromosome:AGI1.1:5:15754656:15760016:1 gene:ORGLA05G0144400 transcript:ORGLA05G0144400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor [Source:UniProtKB/TrEMBL;Acc:I1PVP6] MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYDEADPKTHQGLDLTTMTTRELIAKYGLSDDTVDFIGHALALHRDDRYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIANTNDSHSVQIILPQKQLGRKSDMYVFGCSYTHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGQVDELFFDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTLITGKTVDLSVDLSAASAAEEY >ORGLA05G0144300.1 pep chromosome:AGI1.1:5:15744562:15744870:-1 gene:ORGLA05G0144300 transcript:ORGLA05G0144300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRETTRSGSPSADGGEEGEVAAAPRRREPEASAAYFTAGLTALFACLAALLVFLPLVLPPLPPPPSLLLLVPVGLMAVLLALAFLPADGRRSSIASSCV >ORGLA05G0144200.1 pep chromosome:AGI1.1:5:15735058:15741158:1 gene:ORGLA05G0144200 transcript:ORGLA05G0144200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRREELNVQAKPSDDKGPPTRCNPGCVRSLAKLLPTAVEQKIQELGLGNLFKLKLEALSCRKICGDLLDKAVVHAAFDLIELPMGDVSLWILEKVVQHAIDMPVGSVKGLSKSNNATEYXRMYNALRFVCKKFPLPKGKARTAAGGAQDSEAVGGAQGSTIAGDQADARGAQDSIAAGDAQDSTTAARDAHDSEEDFGDYDSTPADKRRNIFVPDRIVNLVIHCEDEEVVILDCIEGNDLQVNEASRMYLYNDDKVKGLLKENPNDMIKKWRGRMTSKPLEAFIFKKWEDTCYAKFVEVPERIEVPKSTEMPKRIEVLERTEVIERYCIXYATYGHWIGMRKSSXVHMLLFSFLXLMRVTGPWWLLPLNQNMYIFLIPNLXDINRRQLLXXIDXRNIXAVSTSLISLGILKIRQMLSLKITTGTVVFMFDYISKGSRIGTYLTSTRKQSLSSEXSFLWNYATIRXTEHEQLAQSIFALRKEKAQAVMHTSTWKKALQQAQRTTRKRQRXRGKEEAEVKGKDASGNDEKSAGSENIAKNAEVKGKDASGKPAGEDDDNALISPQDKRTRRKTTPSSSFHEPPKFEVATQLTATKTAETGDGMQGIGTEIASWLMGGKKDVASGKKLRNIRQRFVIVPIFQHEEWTVFFVDTCSSNQVSVLISTTTKLGASEIEKSARTFAEQAHDGFMHGGYASPFPVLDKIRAATVFIKSRPCTLATMVYLERYNGYEASLPPASVFPLNTTCMFPTSHCKSNKIK >ORGLA05G0144100.1 pep chromosome:AGI1.1:5:15730994:15731380:1 gene:ORGLA05G0144100 transcript:ORGLA05G0144100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSPPSPLTMTRGTSHSGGTAMGVGGSYGPVIVALAIIATLTVASVAVGQLCVGRGTPIKPGQGMGAFVKKRLRACIGGNKAAYDDALPEKKKEEDIENATVEEVEKSEPPKVEEDDDGSSSQVSS >ORGLA05G0144000.1 pep chromosome:AGI1.1:5:15729224:15729562:1 gene:ORGLA05G0144000 transcript:ORGLA05G0144000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLLYKAIKDRRGGRPDGGAAAGVDLYDPEQRRLWLEQEVRSPLHPSAAAAGGQHQQQQQPHRRNLSLEELAGEVGLSPGRRLPRVALPKARSVRVFSCIGAGAGVAA >ORGLA05G0143900.1 pep chromosome:AGI1.1:5:15721813:15723799:-1 gene:ORGLA05G0143900 transcript:ORGLA05G0143900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVTQWQQPEHNSEIHLRRRGGYSDDHPGGWGWXGEGSHALHHXQGPSRVDAYHVFDKMLKPRRRAXFHRGCGGYMFQHCRGLQGVGSHRWRNRHHHHXPRVCSKETHTKCLLFGPDVNGATDRDVIAFQSRMGVFKVVPISSQSMELMVDEKASCTDTTHLPKVMHPSHLMLGPNVNTRIASSGKATLVMAQKLNSNFCLKWVAFNRCSTKCSKGYKKLLMSHPKRNPWPPPCSGGVLMGYDFCSANCIRDXTPSVALYCAPKGDLNHEKSDRAHKDTLVAIFNLEXFHYVGGEEKVTEGMLYGLSTVSCNYLIIPAATMTTTFLSVPSSTQNIYEACWLEAQKGLNDIAKGRTYKICKSMRMTLNHVESWLQNSTNILYNGXLFNCPAGIHFGVRRISGIIARGAGENQNRKVKTCGYDSIFDFCENNPSDGSAAARFSIDTGTDSEMIYASIANRDYWSVKLLEVIKEGCPIRHLLLGDIKKEQLLQCETFSTRQTNTEQVIKLQVSNSKEIQELQVPWDPGGFLHRLGDKPNFKKRGLSETRVGCTWTAGYTTGWSAHRQEAQASPS >ORGLA05G0143800.1 pep chromosome:AGI1.1:5:15716499:15719284:1 gene:ORGLA05G0143800 transcript:ORGLA05G0143800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRPRRDPIKSRRRPDWREELRSNCLKRIKEERVQFLWKKRIDGPLPASDMIKVESAVRDIISDELQKLKQHGDGKADQEADMIWEYQGPQTAKPVETESEDILLEMERLLYEDMRDEAIQIEVEALDEEDEYLAQAFLEHMQLTDKEANAKLWCPVCKQGELRETHNLVYCTMCKLRLDLGEDKINLEFLRERLANVHMDHLDRGCKSAPKFCLQTMFELTALYIQCEECNTFDVVI >ORGLA05G0143700.1 pep chromosome:AGI1.1:5:15712120:15713532:1 gene:ORGLA05G0143700 transcript:ORGLA05G0143700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G31840) TAIR;Acc:AT2G31840] MALQSCCSSSASVPATCSALCLAEATRAASLFVRPRAAARRLVLARCARGREGGESKAVQLVLGGRARDDGSESESSDDEDDDEPMQMTDEQRRTLRRKIREMMDRVPETAEITDPAERKAKMLELLTKYQLVVEEEDPNWPEDDEDGHGFSLGQFFDKITIKAEKKNDDDEEDDAKGNQSDKEIVWEDDNYIKPIRDVKTMDWDDTVFTDFGPLIVLVHNRYKRPQDNENARDQLVKAIEMFWEYNLPSPRCVAVDACAEPDLVKALNVSGFPEVLFTNAGKIVHRDKVVRSAEEWTRMMAFFYYKAARPPCLSEADGQGQEKVPLMS >ORGLA05G0143600.1 pep chromosome:AGI1.1:5:15706744:15710789:1 gene:ORGLA05G0143600 transcript:ORGLA05G0143600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASVRSLRRLSSSSSSSSLSPASLRRLLLLLHRPPPPPPPPRPPLPPFQTLARGLLPRIAARPVPRRFSTASCSSTLFRVGECGAPGATAIPEAERGEEEEREGEDGGEADAAVEVAAGRYDTDAYAAVELALDSVVKVFTVSSSPNYFLPWQNKAQRESMGSGFVIPGRRIVTNAHVIADHTFVLVRKHGSPTKYKAEVQAVGHECDLALLKVDSEEFWDGMNSLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKRFISGVEESGKYSGFCTLGISCQATENIQLRECFGMKPDLTGVLVSRINPLSDAHRVLKKDDILLEFDGVPIANDGTVPFRNRERITFDHLVSMKKPGEMAVLKVLRDGKEHELNVTVRPLQPLVPVHQFDKLPSYYIFAGFVFIPLTQPYLHEFGEDWYNNSPRRLCERALRELPKKAGEQLVILSQVLMDDINVGYERLAELQVKKVNGVEVENLKHLCSLVESCTEENLRFDLDDERVIVLKYQNAKLATSRILKRHRIPSAISADLVDEQATDDGEEATNGEIEASCTS >ORGLA05G0143500.1 pep chromosome:AGI1.1:5:15690200:15691150:-1 gene:ORGLA05G0143500 transcript:ORGLA05G0143500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSASSLPLSSGSVITVSSSPAVGASSGGGGGGGGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVGVISVLQHQLRQLQQDLSRARFELSKYQAAVAVSSSNGQAAAAAAMADHFIGSAVQPNCTQNFISGGGVAAAAIGVGGGAGGGFVNDHHQFSAVQMLARSYDGESAVARLGGAVNVSGGGGYEFGYSSAMGGAGGPMSGLGPLGGGKFLKPGTAGGGDRPTAAQ >ORGLA05G0143400.1 pep chromosome:AGI1.1:5:15649545:15651240:1 gene:ORGLA05G0143400 transcript:ORGLA05G0143400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTKLARVRRPITLNPKSKRPLPPVVNVIALLEHLPRLCTKGVIAVMHDLYTRFGSVFTVSLFGLKATFLVGPEVSAHFYQGMDSEISQGDLYEFTVPMFGKGVGFDIDNATRTEHLRFFIDAIKTSKLRNHVNSMVQEVEDYFAKWGENGIVDIKHEFEKLLMLISGHCLLGKEVRDNMFDEVFSLFHELDSGVGLGSVIFPYIPIPSHIRRDKAHTKLAKIFSKIVRSRRDSNRPAEQDVLQYLIDSKHRDGSSTTEQEVTGWIISMVFAGKHTSTNSTTWTGACLLTHDKFLTEALDEQKHMIQKHGDHIDYNVLLDMDILHCCIKEALRMHPVAPIIYRKAQKSFVVRTREGDAYDIPEGHNLLSPMIFNNRLPYIYKDPHMYDPDRFAPKREEDKVGGMFSYTSFGGGRHICIGEAYAYMQIKVIWSHLLRNFELKLESPFPKTNWSKILLEPWGKVMVSYKRRRLPTA >ORGLA05G0143300.1 pep chromosome:AGI1.1:5:15604409:15606695:1 gene:ORGLA05G0143300 transcript:ORGLA05G0143300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSSSAMWLAMAILAITAALTKIALGGGRRRCLSESSDLTCKTPPPPPVVNCIALLGLLPALFRGDVPATMQQLYAKFGSVFTVSVAGLLKATFLVGPEVSAHFFQGLESEVSHGDLFEFTVPMFGKEVGHGVDNATRIEQGRFFAEALKPARLRIHVDPMVQEVEDYFAKWGQHGTVDLKHELEQLLLLISGRCLLGKEVMGTKFDEVCNLFRDIEGGVNLMSVFFPYTPLIPSNRRRDMARERLHAIFSDIVRSRKQQQGDQEEVNDKDVLQSFIDSRYKADGRATTEAEVAGLITGVLFAAKHTSTHTSVWTGARLLTHEKFLAAAVDEQDQIVRKHGIINGRIVTDHYGFLMEMHMLHICIKETLRLHPPAPMIILCPGRAHHASPIVISNRVPYIYKDAHLYDPDRFGPRREEDKVGGKFSYTSFGGGRNSCVGENYAYMQIKAIWSHLLRNFELKLLSPFPKTDWSKLVPEPQGKVMVSYKRRQLLPTS >ORGLA05G0143200.1 pep chromosome:AGI1.1:5:15594264:15599062:1 gene:ORGLA05G0143200 transcript:ORGLA05G0143200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:I1PVN4] MAPAMALRLLVVAVVAAVVSCAVAADEGSVVEVWPMPATASKGGQTLHVSRELRMTAEGSKYADGEAILKDAFQRMVTLIELDHVINGSSQGLPLLAGVNVVVHLPGDELNFGVDESYNLSVPATGNPIYAQIEAQTVFGALHALETFSQLCNFDFTSRLIELQSAPWSITDMPRFPYRGLLIDTSRHYLPVPVIKSVIDSMTYSKLNVLHWHIVDEQSFPIEIPSYPKLWNGAYSYSERYTMDDAIDIVQYAERRGVNVLAEIDVPGHALSWGVGYPSLWPSATCKEPLDVSSESTFQVINGILSDFSKVFKFKFVHLGGDEVNTSCWTSTPRVKAWLAQHGMKESDAYRYFVLRAQKIAKSHGYEVINWEETFNNFGDKLDRRTVVHNWLGGGVAEKVVAAGLRCIVSNQDKWYLDHLEVTWDGFYMNEPLRNIKNPAQQKLVLGGEVCMWAEHIDASDIQQTIWPRAAAAAERLWTPFEKLSKEWEIAALSARLARFRCLLNHRGIAAGPVTGYGRSAPAEPSSCIKQ >ORGLA05G0143100.1 pep chromosome:AGI1.1:5:15585958:15587305:-1 gene:ORGLA05G0143100 transcript:ORGLA05G0143100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLSLPVLPTGFRFHPTDEELVINYLQRRATGLSCPIPIIADVEIYNFNPWELPSMALFGEHEWYFFTLRDHRYPNSVRPSRSAASGFWKATGTDKPVQVANMQSTPVAMKKALVFYVGRPPMETKTTWIMHEYRLTNTGGSTASHPSLSSSTAHPSVKLDEWVLCKIFNKSPEPDNTAPPSNVVSRLQCSPPLPPPAAPPGNYPPLPVGATNDGGVFAGAGDMLFTIQEHQEGTPSMLPPIPNLEPPAATIGNSSLNGTAAAAADGHGRLEEEDTSAYTFTDQEMEQMLMDLMDQDFFGNDQPQE >ORGLA05G0143000.1 pep chromosome:AGI1.1:5:15584047:15584400:-1 gene:ORGLA05G0143000 transcript:ORGLA05G0143000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTISFPLLLLLNMTGVLFTPRTPPLAPSALASAEDGEDADFFFFPFLALYKSVHVLLFIDTDTVSTSMDLATDIASGLVVLLCLPNLANLMVGKHSSDWWSWSTMQARSSRSQQPR >ORGLA05G0142900.1 pep chromosome:AGI1.1:5:15579380:15580220:1 gene:ORGLA05G0142900 transcript:ORGLA05G0142900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPSSRAESNQEAAAVPSLYGRALPSPPAVEFASAEGRRLFAEALQGGTMQGFFSLVSVFQTQSEPAFCGLATLAVVLNALRIDPGRRWKGPWRWFDESMLDYCERLDTVRAEGITFGKVACLAHCSGADVRTFRAAQATLADLRRHLLRCASSQDCHLVASYHRKLLGQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWIPLPLLWEAMNTIDEATGLLRGYIFSSISSCLLWTLRPPLI >ORGLA05G0142800.1 pep chromosome:AGI1.1:5:15561794:15563745:-1 gene:ORGLA05G0142800 transcript:ORGLA05G0142800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHYYAPLRLILLLILSATCFGSELDVQCLKTIFQSVTDPNGILKSSWSFVNNGTPGYICKFTGVECWHPDENRVLSLRLGNLGLQGPFPAGLQNCTSMTGLDLSSNNFTGLIPQDISQQIPYLTSLDLSYNRFSGQIPVNISNMTYLNTLNLQHNQFTGQIPLQFNLLGRLTSFNVAENRLSGPIPNNLNKFPSSNFAGNQGLCGLPLDGCQASAKSKNNAAIIGAVVGVVVVIIIGVIIVFFCLRKLPAKKPKDEEENKWAKSIKGTKTIKVSMFENPVSKMKLSDLMKATNEFCKENIIGTGRTGTMYRAVLPDGSFLAVKRLQDSQHSETQFTSEMKTLGQVRHRNLVPLLGFCIAKRERLLVYKHMPKGSLYDQLNQEEGKDCKMDWTLRLRIGIGAAKGLAYLHHTCNPRVLHRNISSKCILLDEDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELITGERPTHVSTAPENFRGSLVEWITYLSNNALLQDAVDKSLIGKGSDGELMQFLKVACSCTISTPKERPTMFEVYQLLRAIGEKYHFSAEDDLMMLPPLSTDGETLDELIVAQ >ORGLA05G0142700.1 pep chromosome:AGI1.1:5:15548402:15550948:1 gene:ORGLA05G0142700 transcript:ORGLA05G0142700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGSSEHFLRQFSASDGAPLPRELGEEWAAAECGRRGSRRWSRKKARGHHRRGGGGGGGGGLCRSREEAPAGRKRVMVVVDQSSGAKHAMMWALTHVASKGDFLTLLHVLPHGGGDASALANSLGSLCKACKPEVEVEALVIQGPKLGTVLSQVKKLDASVLVLSQCKPSPFCCFMRSSGEEFVEECINRADCLTLAVRRQSKGVGGYLISTRWQKNFWLLA >ORGLA05G0142600.1 pep chromosome:AGI1.1:5:15537234:15538583:-1 gene:ORGLA05G0142600 transcript:ORGLA05G0142600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSVARSKDCDMEYDDSSSRQSGEPLWEHVEGVERSVDYSDGLPPTSKGMFQSNKRKSKAADEDLHLKLRTRLDAEVARMFYSSGLSLKVATDPFFKSAFSRATSMPGFAAEEDEHQDDQPSLVTQMFFSIGLSPTITRNPYYRGSFAMAALFQIPGYVPPGVDQLKTTLLQKERADIENMLQTIKNTWRKAGVTIVSDGWSDFKRRPIINIIAVNEAGPVFLQAINNEDGWMWMMDDYIAEKLIAAIEDVGSENVVQVITDNDPFCRAAGVLIEQKYSHIQWTPSVAHSLSLALENICAAKNAENVVFKDCHWISEVIGDAKMINDFISNHSMVLSMISEFSKLKILGIAHTRFASDIVMLKRFRLT >ORGLA05G0142500.1 pep chromosome:AGI1.1:5:15532413:15534350:-1 gene:ORGLA05G0142500 transcript:ORGLA05G0142500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAAPWPVSQEISSLADRLLAHAGGDGDGAAGMRDLAGALLRIQPVARGVERRGDAGQRDLADWLLQLKGAVAEADDLLDELLLRRRHGSRLSPALASCLARGKPPSRELKRLVGRIDRIHDVSERLVDAAAEEEAGEAGRGVRSPNRVTGSVLTERKVFGREKECGEVISRLVDCPENACSSALPVVAIVGHGGMGKTTVAQFVYNDERIEQKFDIKAWVCVWDRSDAAELTREILQSIGGPDDTLYGDNSAKLDILQAKLDVLVRSKRFLLVLDDVWNDEAKMELENKDLWRKVLAPLRSAGRGSKILVTTRMKLVARYLNSTHVVSLDVLGSSDCWLLLKEAALGGESKDIPPDLQEIGRTIAAKAKGSPLVSKALGQMLRNTRSTWRWRALLDAEISHNIIISSLQHSYQHLPGHLQRCFAYCSIFPRGCRFQRDKLVKMWVALGFIQTSRKERKGEYDLAQEYFYDLLSRSFFCTASKDNQTFYFLDNLMHDLAQHASVHDCMKIDEGMPSVIPPTVRHLSVSTDYFPQLKSKCKLERLRTLLVLRSSSLSLSHLPSKFLSEFKNLRVLDLSESDILELPETISQLFHLHYLALCHMTSKLPKCIYKLVQSGVLDMPILLFPDSHPRGMSKFVTQKTS >ORGLA05G0142400.1 pep chromosome:AGI1.1:5:15526912:15530313:1 gene:ORGLA05G0142400 transcript:ORGLA05G0142400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAVVGWLVCPVIRIVVDKARSYASDRFRWLNGGVPDALKQLEDELNQLRAEAGCVERCLGGGGGGRGNCELVRWLRQLKEVVYEADDVLDEFAYRRLAPNDGKVSLLGSSSIGKIGKQLVGKDESVNRLKAVVEKLSSIRANSGRLMQAAGLTKPGSGEPSSTLLTSDGPVTGSILEDGEVFGRDKEREQLVSWLIGSTPEAEGEDRSAAAADTIPVAAILGLGGIGKTTLARVLCHDHEVKGAFDLIMWVCPAGNYSKLDLAKQILQSAELPDDTNSFDRLQRRLKEAVSSRRFLLILDNVWNKDENENSYRDMWADVLAPLRFGRAGSKIVVTTRKRIVADLLNASKFVWLNGLEFADVWLLFKKFAFDNNDVDRHPELKEIGEQIAVKLKGLPLAAKVVGGMLKRKPSLTEWKRILKMEIYDNVSSTLELCYQNLQEHIQPCFAICSIFPKNWRFNRDKLIKIWMALGFIQFRPDDTKNQLEEDVGKEYFNQLVAQSFFHERKEGRRTYYYIHDLMHDLADNVSRIDCARVESVEFEKKDIRIPDTVRHLSVTSDAVMQLKGRAELKRLRTFIILKHSSSSVVPLPDDVLKELKGLRVLGLDGCDMVELSDKVGQLIHLRYLSLCKTITKLPKSVTKLFLLETLYIPKRCQLEFPKDMWKLKYLRHLDINRTNTSKIVGIGKMIHLQGSIEFHVKKEKGHTLEDLNDMNDLRRKLHIKNLDVVASKEEASKAGLSKKQSIKVLELEWNSPGKSVPSVDAEVLEGLKPHPDVEEIHIRRYHGNTSPCWLDRKDITFLKYLHLTNCRKWAVLPPLGQVPFLKVLHLKEMCSLKHIGSEFYGTNPTAFPYLEDLEFDDMPKWVEWTKEEEKYDSVFPRLRKLKLLSCPNLIKVPPFPQSVRKVSIENTGFVSHLKLSSSSSSKANKVKLETCSAAVLTNGLFHQQQVQEIVDLTLRHCQDVKFEELHALTSLKRLQISYLEMTDEELGTCLQGLQPLTLLDIVHCSKITTLPQIENPSNLTKFHELNIRQCPQLSSLHSLPSFATLETVLIENCSRVTVESFPANFNSLTSLRKLSIMNCTGLESLPSGFPSSLQVVHLIGCKPTLLSQLQNKDGPEWDKIASIPMKLIH >ORGLA05G0142300.1 pep chromosome:AGI1.1:5:15512666:15515174:-1 gene:ORGLA05G0142300 transcript:ORGLA05G0142300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLDRWRELLRGAAGSAGICDVIENAILVAAADAPQELLRRRDRICEILFTAPRAPPCHHGCGSAATPTPATPVEEDKGSVRRVPEKQSKVDSSSNGALGGGGGRRDDVDDDSDSDSDDERLRRAAASNYGHNYDDDDEEEEEEQEAAPAEEDEEQELDPEAVELEALTNEIDEESQVVGEVLRIKDLFLHKEDHSDATLFESLRRLQLMQLSVSTLKATEIGRAVNGLRKHNSQQIRHLVRTLIEGWKILVDEWVSTTNVALTDNSPGSSKTSVVDDADDEGLPSPPLDEGAFFATQTTAIQLSEFFDEMDEDGNLRHNNDGSLGNKRENNGRRPTSHPALTKQEPPRNMGAVEKVQSRRPELARQEPSMRQANPQTPQNSSLLVKQQAMLHKQSKPLSSDSGPGRPLRAAPQQKPFVEMKSRLAREQVAVERKPAASHVDKSRHPAQPSAGVKLESAKPKIYDGLESNSRLEAAKRRLQERYQEAENAKRQRTIQVMELGDIPKPKHQNRQPMMKSRNHIRSWANGRR >ORGLA05G0142200.1 pep chromosome:AGI1.1:5:15499585:15500232:-1 gene:ORGLA05G0142200 transcript:ORGLA05G0142200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKNASSISFEDIEKSISNWKIPKVNIKEIYHVDTNIHKVLTLNLQTSGYELEPGSENISVTYRVYYKAMTTLAPCAKHYTPKGLTTLLQTNPNNRCTTPKTLK >ORGLA05G0142100.1 pep chromosome:AGI1.1:5:15495703:15499248:-1 gene:ORGLA05G0142100 transcript:ORGLA05G0142100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERNKAPKNRTKTKRYLEEVDKSFRMKIREVWHNEMREQRRNIFFFDWYENSQIIYFEEFFKTQKKGKGDIRGKNCFPESTYEKSIENNFLGYLVEQQKTQDLSPKQQDSKTIPMEPIILRSHEEPSSHSQFEVFIPQSETYLLPYSYPITTILIPTATPKDKVAKFFGIPNNFPNTNDQMSNSLTRIQASSYDKLTLQKEVSSFYNKIDSSVNKNLESYITRIINTSFYNIINDPKGITRSKFRLFHNVLFSKIYIQPNPNKTLCYHSQTKNSFERKSQNQISAEALCTNNEILVHKRDLFGSIFVISDTNQFGTFNPEEERTKRKLKINDLFQEQNCTKKELRDLKGKIRSLELQNNSCMGQDEEVVNSINSYVKQKWYAEVRYKFNDGFQFSYKTLIDSGADVNCIREDLAKDRKILTKRLKKKPPAWTAKHTQAVKKIKGNIIPRTPTVQEKYGNNSSYILNIEEVILPLEFGDSDLNIIKIMGKYFPQHQYFIPEYPGKDQNYYETILCETRSAQIFHTRNGDGIGIHKIIDPKNYKYR >ORGLA05G0142000.1 pep chromosome:AGI1.1:5:15489703:15492681:1 gene:ORGLA05G0142000 transcript:ORGLA05G0142000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSLALLSTASASLGLGVARSSARPRSPFGRGLALCGLSSSSSSFAATAAVMGKAGTAEAADAGMDAVQRRLMFEDECILVDEQDNVIGHDSKYNCHLMEKINSGHVLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEDKSLGVRNAAQRKLFDELGIQAEELPVDQFIPLGRMLYKAPSDGKWGEHELDYLLFMVRDVELSPNPEEVADVKYVNRDELKELLKKADAGEGGIKLSPWFRLVVDNFLMGWWDHVEQGTLEEAIDMKTIHKF >ORGLA05G0141900.1 pep chromosome:AGI1.1:5:15483965:15486390:1 gene:ORGLA05G0141900 transcript:ORGLA05G0141900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:I1PVM1] MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYAGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEAEYEEEEDAIQE >ORGLA05G0141800.1 pep chromosome:AGI1.1:5:15476305:15477489:1 gene:ORGLA05G0141800 transcript:ORGLA05G0141800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVRRRRLLLLPLPLRFFSAAAAAASTTTDQVVSYLISSCGLTPAAAARAAATSPWLPLASPDFASNADAVVALLRRYGFTDADISATVRAFSRILASDPARTLQPKLDYLRSVGITAPLLPRVVSLSPVILHRSIESHLAPLIASLREVLGSDSRIVTALRQMPFAMRCSPKATFLRTLPVLRDVHGLTPSELSKLVASQPGVILLGPGRAGEIVQAVKDAGVEPGSPMFVYIFAAFSKLKAPTLENKFAIYRSLGFGKDDIAVMLRRLPNAAGISEERLKRTVGFLTGKAGLRREDIVAYPNLLSRSLDSHARRCAVLAVLRREGKPEGQHRVPHVLVASLARFMKAYVRRYEGEVPDVLRAINGEIPFEGFGLGELEKKKPQRQEKIRR >ORGLA05G0141700.1 pep chromosome:AGI1.1:5:15471979:15472873:-1 gene:ORGLA05G0141700 transcript:ORGLA05G0141700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQENGGEAPELKLFGSWASSYTHRVQLALRLKALEFVYAEEDLGNKSEALLRLNPVHKKVPVLVHRGRPLAESVIILQYLDDAWPESRPLLPSDPFDRALARFWCHFADDKLGPAVGAVFASTGKEQEAAVQQVHDNLALLEAELREGAFKGRRFFGGDQVGLLDVVLGCGSYWLAVFEEVTGVRLVDADAFPLFHAWLRDFEAQEEVKETIPSVDRLLEYARGLRQMLLALAAGAGAGAAAAAGSSPVTAAVDAPAPAAPPAAPQAAAVDI >ORGLA05G0141600.1 pep chromosome:AGI1.1:5:15453452:15453985:-1 gene:ORGLA05G0141600 transcript:ORGLA05G0141600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGATPRRARSGRRRRALGRIHAGQGSGGAVELRWRLSSHTASDPRRRHHLEGASSPPSSHRRFYARPRGCRSPDAGSVVKTLPGVEGPGEPSRRRSPPHPHRRPEVEGPVRSRVAAAELITISHSCAPASPSPAPRSNPLRRVRCRPSPEERRAGAGGGEEGSRRRRREEGREPA >ORGLA05G0141500.1 pep chromosome:AGI1.1:5:15439443:15441771:-1 gene:ORGLA05G0141500 transcript:ORGLA05G0141500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALQADCFNSDLSXLIIADVMSGSVPLDASTSISGGWPSWXHRLKETQWGMSWWXXWGWRGSXRPRSELEQXLDDVVVAMLNGVARXRPSPLTSLTLDRLDVAYAGCPDLVVAIDGGQSVGSCYHGRREAAEGAGSSFLGEGAMPVEFTSDQTHPEPMWPPHCTLQCRSSEASCLLCRMLYKIKGLFSVLKLSTQLYDVSVAKL >ORGLA05G0141400.1 pep chromosome:AGI1.1:5:15430123:15431118:-1 gene:ORGLA05G0141400 transcript:ORGLA05G0141400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEEPSWFARWEEQLPAPDELMPLSQSLITPDLAVAFDIPTHGGGGGGGVGGGVVGGDGVGGGGGGGGGGGGGVGAGEMNGGASSAAGSSGGGGGGGGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGVGNGGGGGGGGSAGAGGSHSSGSGTDAATEHLFATGPVPFLPPGRAPAGGDPYPPFAPMGGHHHHPPQIGHFHHHPAARPLGHYGSGPGAGFDHGFLSRAVAGGGPPVGPPGMHHRMVGPAAGMAMMAPSPFAEELELGSRGGGGGGGRRELTLFPTTGDH >ORGLA05G0141300.1 pep chromosome:AGI1.1:5:15428015:15428275:1 gene:ORGLA05G0141300 transcript:ORGLA05G0141300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSSENHHQYPPPPATTSPPNAFSSSEINAAAAAAAHASVSVSVSVSSPLRRISRLSTGHRYQQYGHADTPILGLVNLYLDQIR >ORGLA05G0141200.1 pep chromosome:AGI1.1:5:15409059:15409470:1 gene:ORGLA05G0141200 transcript:ORGLA05G0141200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREPHWSDLAVGDELVGVGHRWGGQAWWPPPVLVVVAGDGGSEVVVTVEVMSPPLPHSDAEMYEYDEEAEKDYEEELRAPG >ORGLA05G0141100.1 pep chromosome:AGI1.1:5:15401022:15404641:-1 gene:ORGLA05G0141100 transcript:ORGLA05G0141100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALLDPTAAFDLRLYPAHLFDHELPLAGGGGGDDDDDLPLDGLEFDLPGDFSVEDFLLRSPERDDSGEGSAAGSGPTASPSSSPTTSASNSAVANGSGGEVKHEESDEGRSGGGDPKWSLKRKQASPGPSSDAAKCRRSGDGDVSPSASASRTAVDSDEGGTVCEEEEDKRRAARLMRNRESAQLSRQRKKRYVEELEEKVKSMHSVINDLNSRISFVVAENATLRQQLSGGSVNCPPPGVYPPAPIPGMHFPWMPGYAMRPPGSHVPLVPIPRLKPQQPVPSSKVVKKPESKKTVENKSKSKTKTKKVASVSLLGLLLIMLVFGAFIPGFNHNFGMGGQSDNAMFRNFGQSHARVLSVSSQDKSSLNNSDMIGVDVGKMTGNTDGPGKKHQPAHNSSEILPALLYVPRNGKHVKINGNLIIHSVLASEKAVAHKASKDDSDQSARDHKETSVAIARYLSLPGKDVNRHETSSADGPLPQWFREGMEGPILNSGMCSEVFQFDISTASSNPGGIIPASPVVNSSSVNATEKIPAHSAAYHGKLKNRRVMYNEAIPLTGKTANNTEPFNRTSESSSKLPDSKPASSVVVSVLADPREAGNGDGDPRVSPKPLSKIFVVVLVDGVRYVTYSCTLPFKSSSPHLVN >ORGLA05G0141000.1 pep chromosome:AGI1.1:5:15390727:15399854:-1 gene:ORGLA05G0141000 transcript:ORGLA05G0141000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT4G30720) TAIR;Acc:AT4G30720] MALAAASVSVLHLPAISLPLPCLQGSNPSRPSLGLTNALPWRRRGGRGAGAVAGAVRCAKRTGKRRYPSEKKRLDRRHKELLRKAAPEEGSAGREAGYWRLSKLAVPARDDPGKDLAGVSPPLLQAIAKALKFPVSSMLPEEAFTVIRKSFDARKILKEPQFVYTVDVDVKRLLDLEPRTWDFIARLEPKLGTIEYMRDEKVASDLVSMLNVYKQGSDGELGINDTVNNGSICSPRKKPRVAVVGSGPSGLFASLVLGELGAEVTLIERGQPVEQRGRDIGALVVRRILHSESNFCFGEGGAGTWSDGKLVTRIGRNTDGVQAVMKTFVQFGGPPNILVDGKPHLGTDKLVPLLRNFRHHLKELGVNIIFNTRVDDLVVEGGQVKGVVVSDSRLQLGSPNQTLSFDAVVLAVGHSARDTYSMLLRHNVDMHPKSFAVGLRIEHPQELINDIQYSELAAEVHKGRGRIPVADYKIVKSFGEGDAELPEQNRSCYSFCMCPGGQVVLTSTNPSELCINGMSFSRRASKWANSAFVATVSSHDFRPFESHGSLAGVEFQREFERRAATMGGGNFVVPAQCVTDFLSNRLSVTTLPPSSYRLGVRPSKLHELFPSHITEVLQQSIIMIEEEMPGFVSSEALLHGVETRTSSPLQISRNTGTYESTSLQGLYPIGEGAGYAGGILSASVDGMYCGFALAKQLSLFHGDIESTLGKAQNQKGFVKY >ORGLA05G0140900.1 pep chromosome:AGI1.1:5:15386850:15388868:1 gene:ORGLA05G0140900 transcript:ORGLA05G0140900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEESNDDAGEQMPQQGGIKTMPFILANDFCDRFATIGFNANLITYLTAEMHLPLVEASNTLTNFHGASNLTPIVGGLIADSFAGRFWTIAAGSVAYQLGMVGLTVSALVPSLRPPPCRGEAVAVAGEACQRATPWQLLVLYLSLLCTSVGTGGTRPCVMAFGADQFELGKPPRRRPGEASAPRWSFFNLYFFGVELAKLVAVTAVVYIQENVGWGWGLGVPTIAMLAAVTAFVAGYPLYVKMAPGGSPLTRLAQVAVAAFRKRNVAVPDDPGLLHDDKELDAGVSTTGRLLHTNQLTFFDRAAVVTDGDMEGGGGARPWRLSTVHRVEELKSIIRMLPIWAAGILLVTSASHNHSFAIQQARTMDRRVTASLEIPPASMLIFSNVAMLATLALYDRALVPRLRRLTGHPAGITHLQRTGVGLAISAVSNAVAAAVEGRRRRAAASHGLLDEPGATVPMSVLWMAPQYAIHGAADAFMDVGRMEFLYDQAPEGMRSTAAALYWLTMSAGSYMGTLLVTVVHERTRGEGEWLQDNLNRGRLDRYYWLVVTLQVINVVYFVICAKLYTYKKLETVDGVSTGERNDIVDDQENAGERDEKGSDVKDVELQPLLLSDVTLP >ORGLA05G0140800.1 pep chromosome:AGI1.1:5:15380769:15381805:1 gene:ORGLA05G0140800 transcript:ORGLA05G0140800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAMVSSVSSPRRGSGGRRRTWRSGAKREDDDEVRDAEREMTTRSVAQERDMATRLAAQERGTATSSPASLHQVSLATNRVSSPEWVYLLALVLSSLIPLRPFLPCLCRNPTCPRRFFTSFGFSPSSSALGSVAGELQARSDLGNGGAGLQQIAEIVIFSAGLLATLAEMRRDTIATCFL >ORGLA05G0140700.1 pep chromosome:AGI1.1:5:15376720:15379098:-1 gene:ORGLA05G0140700 transcript:ORGLA05G0140700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGRGEEKAVDVVAVKKPKQGGFRTMPFILANDFCDRLANVGFSSNLITYLTLQLHLPLVDASNTLTNFHGTANLTPLVGGLIADSFAGRFWTITFGSVIYQLGMVFLTLSAAL >ORGLA05G0140600.1 pep chromosome:AGI1.1:5:15351706:15358307:-1 gene:ORGLA05G0140600 transcript:ORGLA05G0140600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G03020) TAIR;Acc:AT4G03020] MGYGMSRMEEEYSEHEDQNNGGSNSQVNNEFLNTHNDIFHMTQIRSGPSESLRKSIGTSKDVISTTRLLSGREINSSGNGKFSSVDRVFLLGRYLPVDGPEIVDRMDSRAYVSQFSADGSLFVAGFQGSHIRIYDVDKGWKVHRDIHARSLRWTISDASLSPDQQFLVYSSLAPIIHIVNVGTAAKQSYANITDIHDGLDFSQHEDVRYTFGIFSVKFSSDGRELVAGSNDDSIYVYDLVANKLTLRLPAHHSDVNTVAFADETGHLIYSGSDDNLCKVWDRRCLSTEEPAGVLTGHLHGITHIDSRGDGRCFISNGKDQAIKMWDIRKMTSNADSYEDRTSNWDYRYSRYPQQYKQLKHPHDQSIATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSYDSSVCIYDVVSGSQVAKLKGYHQLAIRDCSWHPFVPMLVSSSWDGRVAKWSRSSCQQEETTDLD >ORGLA05G0140500.1 pep chromosome:AGI1.1:5:15350793:15351277:1 gene:ORGLA05G0140500 transcript:ORGLA05G0140500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGDQRERDRQRAQARKPVVKGRDDGLTPEQRRERDAKALQEKAARKAAQATGQGGICRHLICSHLTSGCV >ORGLA05G0140400.1 pep chromosome:AGI1.1:5:15346997:15349000:1 gene:ORGLA05G0140400 transcript:ORGLA05G0140400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRVPALLKSHVGDEISYHSGAVLRGDILVTVVWYGKFKLAQKAIVVNFLLSLTATPPPPNATTPSAEKLWSTIAAVVLASGSRARRPMGGRERSGGRQSAGVGGAREELTCGSHVLLLFSRDPIATFLSLAFLSMALSSLSSHVSECPSEHRWQAGSSHSQQAHNLRSTSPSGVVLLDASLLEYAARPINHGPPRSYWACSTTGSTGATTLGPGPTQPKRLV >ORGLA05G0140300.1 pep chromosome:AGI1.1:5:15342174:15343358:1 gene:ORGLA05G0140300 transcript:ORGLA05G0140300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVLARLGVIVVLWAVVAEGILDPVDFLALQAVRRSLDDMPGSSFFEAWDFTADPCGFPGVFCDGNKVSALALGDPRAGSPGLSGRLDQALGRLSALTELSLVPGRVEGELPESLSSCRNLRFLAVSKNLISGQIPDGLGGLSNLRTLDVSFNQISGTIPASIATLPSITNLILCHNHLTGGIPSFPDSSPLIRLDLKHNDLSGGVPNLPSTLQYLSLSANRLTGTVDSVLPRLTRLNYLDLSMNQLDGPIPASVFTLPLSVLQLQRNFFSGLLQPANDVTIQVVDLSYNRFWGPVSPLLAGVGQLYLNNNRFTGEVPARLVQELVGSGGLQVLYLQHNFLTGIEISPASSLPSSVSLCLMYNCMVPPVYAPCPLKAGSTNTRPADQCPEWRG >ORGLA05G0140200.1 pep chromosome:AGI1.1:5:15327339:15330370:1 gene:ORGLA05G0140200 transcript:ORGLA05G0140200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGNAVGCVARREGTRRDKQAAGTSSSPPPGGRLVATTASGSRMGGGTSARWRRLRRDDGPGNDVFSVSFLTMEGCCCLVCDGDGDMHLDGAFICRRRAANELAAQDAVTGLMALSNGQIQGAGVDKDSNSGNVVCRVKRTEYSPPTDGEYDDTGDP >ORGLA05G0140100.1 pep chromosome:AGI1.1:5:15325568:15325855:-1 gene:ORGLA05G0140100 transcript:ORGLA05G0140100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAANLYPLAVGHRGGAAAHLLCLGSQAVRALVPGDDSWLLALTLAEKLDTLNRVAEVATRLGRRCMLQALLGFDHVYANLLAGCSDAVAISSR >ORGLA05G0140000.1 pep chromosome:AGI1.1:5:15317660:15317999:-1 gene:ORGLA05G0140000 transcript:ORGLA05G0140000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLRRAATAVLVVVLAVVVAAAAAAKPRRILVDTDMDTDDLFALLYLLKQNRSEFELKVSVVMLQPLRIPA >ORGLA05G0139900.1 pep chromosome:AGI1.1:5:15307430:15314121:-1 gene:ORGLA05G0139900 transcript:ORGLA05G0139900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTYHRGFGGGSRIGGSARAAGTLEATGLSSPRCRGLPGNVRNVLDKFFVGLCKKSMVHYSSCKHEQAVTIDVNAWTDAGHAVNHLYDMLYMMGRDDIPVGVGGDGGISGHGTIHPNVGGYLPLIDQGMTTFGPCRYRQAIPLEGGGRLDIDTNSGIRKGFLPQGNRRYIPLYQSTAQQVLIETISAGPTTVMLIGSHTNFAIFLMTHPHLKKNVEHIYIMGGGVRSENPTGCCPKNSTTSCTPQQCGDHGNLFTSYRTNPNAEFNMFADPFAAYQVFHSGIAITLVPLDATNTIPVNEEFFHAFQQQQSTYEAQYCFDSLKMARDTWFNDEFYTSYFMWDSFTSGVALSSMRNDNNCQSENDFAELKYMNITVITSNKPYGIHDGSNPLFDDHVIPKFGLQKGGVHSGHVQTGITDSFCLAKESKKGRCEDGYTKEESGPEAVCVCVATKAKVNVDKSSLLDREFFKSFLETLNLPENTGLFNITAQFPFYREVLYRPDFTNKSRGKPVIFDMDMSPGDFISLIYLLKVPTELIDLKGILVSGNGWANVASIDIVYDILHMMGRDDIPVGRGSTSALGTESLGCKYVSAIPQGSGGLLDSDTLYGLARSLPRSPRRYTAENSVKYGAPRDTDHPELRQPLAFEVWQFVKHQLDPNEKITILTNGPLTNLANIVLSDRNASSVIKSVYVVGGHIRDDSNTKGNVFTVPSNTYAEFNIFLDPLAAKTVLDSTLDITLIPLRAQRKAASFHALLEALKHAETPESRFVHHLLTLLHDLQQKHQLYHHMDMFLGELLGAVSLVEGSNIKQSLQRKPISIVANSTTSIDGQTVVDNQSANLVKVLLDFNSEEYYKRVANSLGDKERSAVISGFAEQRAIWSNPPENGGV >ORGLA05G0139800.1 pep chromosome:AGI1.1:5:15293057:15297295:-1 gene:ORGLA05G0139800 transcript:ORGLA05G0139800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRDDIPVGVGGDGGVSDSGALRGPDVGGYLPLIDQGTSTAGGCRYRQAVPAGRGGRLDVDTNSGVRRGFLPQGRRRYRPVTQPTAQRVMADTVSGGPTTVLLFGAHTNLALLLMAHPRLARNIDRVYVSGGAVRAADPAGNLFTAFATNPFAEFNIFGDPFAAYQVIHSGIPITMIPLDATNTISVTEEFVSEFQQHQQTYEAQYCFQSLDKVLMRLRGRSNGHGNTSYYMWDSFAAGVALSSMRNGEVDGENEFSELEYMNITVITSNKPYGKRDGSNPFFDGRATPKLGLKEGGVHSGHVQTGIRDSFCLVPGSNRGRCEDGYTREVSGPEGVRVRVATRAKPNTDKNSSLEKEFSKSFLEVLNRPEQTGLFNINTQFPYYREVLYKPVFRNVSRGKPVIFDMDMSPGDFVSLIYLLKTPIEVIDLKAVLVNGNGWANIASIDIVYDILHMMGRDDIPVGLGNTTALGIPTLGCNNSYAIPHGSGGFIDSDTLYGLARSLPRSPRRYAPESLDHPEDRQPLALEVWQSVRKQLDPGEKITVLTNGPLTNMANISLSDRDASSVIERVYVVGGLIKDGGDENGNLFTVPSNKHAEFNIFLDPLAAKTVLESDLKIALIPLTAQRKAASFRAVLAALEDIQHTHESKFVHELLSLLQELQIKQKLYHHLDIFLGEILGAVYMVEGSGLKPSVELKPVSVIANTNKSTDGQIVISKNSAKLVRVLSDFDGEIYSKQLANSLANKRQSAVIGSFEEQKAIWSRPVNSSGNVKKQK >ORGLA05G0139700.1 pep chromosome:AGI1.1:5:15289196:15292293:1 gene:ORGLA05G0139700 transcript:ORGLA05G0139700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGEVVEEEEEEVTAAPAPAAMVAAAEFGLTAEVMAVLPEDPFEQLDVARKITSIALASRLGRLEAEGARLRAQLAERDAAAEDLRERVEQLDAALAVATGRLRRAEEEKEALQRDNSLLSNTVRRLNRDVAKLEVFKKTLMQSLQEDEDPANTTPKARVSETSNFSSATSVGDEDSAFPVSKSSQLSETASSVSEESSHVEPDVPRPPRPHVFLPSYNSTPRVTPPDSPPRSFASISPPRRHSISITSRNLFDDRSSAYSGHSSVTSPFDAASHTGRTRVDGKEFFRQVRNRLSYEQFSAFLANVKELNSHKQTREDTLRKADEIFGPDNKDLYTIFEGLITRNIH >ORGLA05G0139600.1 pep chromosome:AGI1.1:5:15281678:15286996:1 gene:ORGLA05G0139600 transcript:ORGLA05G0139600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAPQAEDEKDQVLWAGFDKLELHPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQSTPVYSDGTEGFRTSHPMLLVVAGDETNGSGMVQGGRLSALIRDNSSETPNGNCISTPTVVRFYSLKSHSYVHVLRFRSAVYIVRCSPRIVAVALAAQVYCFDAVTLENKFSVLTYPLQGAPGINIGYGPMAVGPRWLAYASNSPLLSSTGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQIAAGIINLGDMGYKTLSKYCQELLPDGSGSPLSSSPGRRSGKLPSSVHPLEADNAGMVVIKDFISKEIISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTVIANSSGSIRYDWTASHVHLYKLYRGMTAAVIQDISFSHFSQWISIVSSRGTCHIFTLSPFGGDASLLPQNSHSDGLPLAPCQSRPWWSKPSFLMDHQLHPAPSTVTNSVVSRIKNSSSGWLNTVSNVAASASGKLSVPSGAVTAVFHNSNYEGSLPVPSKANAMEHLLVYSPSGHVIQHELLPSGSEFSDSSPIVGPGSLQIQDDELHVTAEPTQWWDVCRRTNWPERDENIANIVFHNQRNSMMAMDASDCDSEHSDSVPSDGISGKEMMRSRERSSWYLSNAEVQISSWRIPIWQKSKIFFYVIDQPPAKSGESLSSSGGEIEIEKLPLHEVELRRRELLPVFKQFHYSEQNFSDRNLAIGRFQNALTYIDKDNGAHGSKAGFPISGFYSDMRKMQNMNGLEGQLLLEPITNDLQPMEKCNSVQSPKVANFTALHNVDNESMNHVSTATGATTSVTTVDTLPSTIRPLSSYSLLDGSLDDGLPSPASNVSCRPQITNNSSVSNGTMTDISNGCLTSINSGQNEASDSHNSVEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGAVFAFSEEG >ORGLA05G0139500.1 pep chromosome:AGI1.1:5:15268609:15270916:-1 gene:ORGLA05G0139500 transcript:ORGLA05G0139500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGILLTAFLAAAAVLFALRLLHSFLWVPRRLERRFRRQGIRGPPRRPLSGNAAGYRDLLAAAQSAPLASFHHAVVARVAPHHREWPERYGRPFVFWLGPRPRLVVSDPEVVKAVLTDTTGTFGKAGPGGNNPLARQLFSEGLVGLTGEAWARHRRVIAPAFNMERVKAWIPEITSITSSMLDKWELQDEVRTEFEIDVNKEFHTLIADVISCVAFGSSYEEGKRVFQLQEEQLKLVILAMRTVYIPGFRFVPTKKNRRRKIVNQEIRNSLRKLIEINGRKCEDSNNLLGMMLSASKIDSEFKMGIEEIIDECKTFYFAGKETTATLLTWATLLLALHQEWQNKARDEVLQVCGKYEHPKAENLSELKIVNMVLKETLRLYPPAVFLNRIANRDVKLGKFDIPAGTQLQLPILDIHHDVSIWGADADEFDPSRFAEGKSYHLGAYFPFGIGPTICVGQNLAMVEAKVALAMILQRFALVVSPSYVHAPMLVVTLQPQYGAQVLVHKI >ORGLA05G0139400.1 pep chromosome:AGI1.1:5:15253424:15254165:-1 gene:ORGLA05G0139400 transcript:ORGLA05G0139400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTTSYSTTSSEGEIQPNQIGTIIVIDNLVYRDHPSLKLRSVPKDVRSPDIERVRSKEQLSTRVGCGRVHSIIENILDKCGVIAPDLPTKTNDLSHSTGHGWTTREKVVNG >ORGLA05G0139300.1 pep chromosome:AGI1.1:5:15243830:15246112:-1 gene:ORGLA05G0139300 transcript:ORGLA05G0139300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGILLVAFLAAAVPFAFRLLHSFLWVPRRLERRFRRQGIRGPPRRPLSGNAAGYRDLLAAAQSAPLASFHHAVVARVAPHYREWPERYGRPFVFWLGPRPRLVVSDPEVVKAVLTDPTGTFDKAGSGGGNPLARQLFGEGLVGLTGEKWARHRRVIAPAFNMERIKGWISEITDITSSMLDKWEVQDEARAEFEIDVHKEFHTLIADVISSVAFGSSYEEGKRVFELQEEQLKLAILAMTTVYIPGFRFVPTKKNRRRHMLNQEVRNSLRKLIEINGRKCEDSNNLLGMMLSASKLGSEFRMGIEEIIDECKTFYFTGKETTANLLTXATLLLALHQEWQNKARDEVLQACGKSEHPNAENLSNLKIVNMVLKETLRLYPPAMFLNRMVNRDVKLGKLDIPAGTQLHFPILDIHHDVNIWGTNADEFDPSRFAEGKSYHLGAYFPFGIGPTICVGQNLTMVEAKVALAMILQRFALVVSPSYVHAPMHGVTLQPQYGAQVLAHKI >ORGLA05G0139200.1 pep chromosome:AGI1.1:5:15241400:15241753:1 gene:ORGLA05G0139200 transcript:ORGLA05G0139200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLRPHDCLDRVRARPHATRRSPRQQAARRRDARPRGGQAAPAVTRVVRVKVAAAGAGADAYAGPAFGSMSPSPRALPLPRFSSSSSSSRAAAAAAAGVDDSATRELRRLLGLH >ORGLA05G0139100.1 pep chromosome:AGI1.1:5:15220086:15238653:-1 gene:ORGLA05G0139100 transcript:ORGLA05G0139100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate, phosphate dikinase [Source:UniProtKB/TrEMBL;Acc:I1PVJ3] MPSVSRAVCVQRASGNNGRRCRDGAAAAGRRSVVAQRARHGKPEVAIRSGSGGSARGGHCSPLRAVAAPIPTTKKRVFHFGKGKSEGNKAMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACQQYQAAGKTLPAGLWEEIVEGLQWVEEYMAARLGDPARPLLLSVRSGAAVVSMPGMMDTVLNLGLNDEVAAGLAAKSGDRFAYDSYRRFLDMFGNVVMDIPHALFEEKLEAMKAVKGLHNDTDLTATDLKELVAQYKDVYVEAKGEPFPSDPKKQLQLAVLAVFNSWDSPRAIKYRSINKITGLKGTAVNVQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMRDHMPEPYEELVENCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLVERRTALKMVEPGHLDQLLHPQFENPSGYKDKVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKDVILVRTETSPEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVSGCSSVRVNDASKIVVIEDKALHEGEWLSLNGSTGEVIIGKQPLCPPALSGDLETFMSWVDEVRKLKVMANADTPEDATTARQNGAEGIGLCRTEHMFFASDERIKAVRQMIMASSLELRQKALDRLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGHVEDMVRELCSETGAAQDDVLARVEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAITMTNQGIQVFPEIMVPLVGTPQELGHQVDVIRQIANKVFTDMGKTIGYKVGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSQGILQHDPFEVLDQRGVGELVKLATERGRKARPNLKVGICGEHGGEPLSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLL >ORGLA05G0139000.1 pep chromosome:AGI1.1:5:15216670:15217551:1 gene:ORGLA05G0139000 transcript:ORGLA05G0139000.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAGDGGMETTGGPRWLTAVVVMAGDGGAVQQTARRRLRQHMKAGKELGGREGGDRERAWRGRRLRVAALHGSGGLRRARRLRGVEAGSGRVARWCFHTLTFGEAFASLGPLRFCGGRHALRLLLLMKSELLADGVRRCLATMTSCSLFQGVGADRVKEVSLWWFG >ORGLA05G0138900.1 pep chromosome:AGI1.1:5:15211533:15213317:-1 gene:ORGLA05G0138900 transcript:ORGLA05G0138900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGKPGFITMYAITCCKCEKWRTIPTKEEFEVIRENYPAKPWFCSKKRDCSCEHPEDIQYDTSRIWAIDRPNIPKPPPKTERLLIMRNDLSKMDAYYVLPNGKRAKGKPDIDRFLKENPEYAATLPLSSFNFSTPKIVKETVSDSAKWVMAKSEREERCMQLDAKEVPSSSSK >ORGLA05G0138800.1 pep chromosome:AGI1.1:5:15208948:15210741:-1 gene:ORGLA05G0138800 transcript:ORGLA05G0138800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPVSPPSAPSTQRKRGSSTDSIGMYAVQCCECHKWRKVPTKDEFETIRENFTEEPWHCSRRPDCSCEDPADIEYDSSRIWVLDKPNIPKPPAGTERLVIMRGDLSKMDTYYVMPNGKRVRCTAEVDKFLEANPQYKDRFSVESFSFTTPKIVEETVSHNSVWKSGKAKKQDKINALSNNN >ORGLA05G0138700.1 pep chromosome:AGI1.1:5:15206858:15207301:1 gene:ORGLA05G0138700 transcript:ORGLA05G0138700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWGWFSRVQVQARRWRRVTRREGVRSDAPLRAKVATLATYQFNCRNKQLVFFYRRSHKFFWRPTCLLLRFALSMFLRLRLTDNFCFLKFCEVDTSADLINNKTNAAVRRPRFIRVLLPGSFAKMVHRCLYTTTCSAVLNFVANS >ORGLA05G0138600.1 pep chromosome:AGI1.1:5:15197964:15200192:-1 gene:ORGLA05G0138600 transcript:ORGLA05G0138600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:resistance to phytophthora 1 [Source:Projected from Arabidopsis thaliana (AT2G48070) TAIR;Acc:AT2G48070] MYSPLGSGCAFAAAASSAFPPATVPGGIFAGRRRRRPARLVLAWASSDGSDGGGAAAGAVAAEASAVGESKEGEVASGGGSSAESSAEKKPAPVDPKIEKELKKAVQKTAATFAPRASTATKNPAVPGTALYTIFEVQGYASMLLGGALSFNLVFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSSKEKEALNYLFLLVPLINVIIPFFVKSFAVVWSADTVAFFVMYAWKLGWLQRSE >ORGLA05G0138500.1 pep chromosome:AGI1.1:5:15196305:15196715:1 gene:ORGLA05G0138500 transcript:ORGLA05G0138500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDTIADLLTSIRNADMNKKGTVRVVYTNITENIVKILLREGFIESVRKHQESNRYFLVSTLRHQKRKTRKGIYRTRTFLKRISRPGLRIYANFQGIPKVLGGMGIAILSTSRGIMTDREARLNRIGGEVLCYIW >ORGLA05G0138400.1 pep chromosome:AGI1.1:5:15195794:15196165:1 gene:ORGLA05G0138400 transcript:ORGLA05G0138400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTLLNVADNSGAQKLMCIRVIGAASNQQYARIGDVIFTVIKDAVPQMPLERSEVIRAVIVRTCKEFKCEDGIIIRYDDNAAVIIDQKGNPKGTRVFGAIAEELRKLNFTKIVSLAPEVL >ORGLA05G0138300.1 pep chromosome:AGI1.1:5:15191402:15192954:-1 gene:ORGLA05G0138300 transcript:ORGLA05G0138300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19-like CHCH family protein [Source:Projected from Arabidopsis thaliana (AT5G18920) TAIR;Acc:AT5G18920] MGSSSSNGRAAPAPPPPAAPAPPHPLHVMDADEEDENVKQLSECAALYLSLQDCLVESNRNWKACQAHVQALKACEAKRNKSETR >ORGLA05G0138200.1 pep chromosome:AGI1.1:5:15187978:15190521:1 gene:ORGLA05G0138200 transcript:ORGLA05G0138200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQHLLKLRMASPHPHPHPGAPLAARPLSALASFFLARPSSTTAAPPPRHVTLSCSRPHCNHNQWAASRCRGTAGRRRLQGVVAMSSSAAPPPPGSVQKSEEEWEAILSPEQFRILRLKGTEYPGTGEYDKLFAEGVYECAGCGTPLYKSSTKFNSGCGWPAFYEGFPGAIARTPDPDGRRIEITCAACGGHLGHVFKGEGFNTPTDERHCVNSISLKFIPASEDSKL >ORGLA05G0138100.1 pep chromosome:AGI1.1:5:15184423:15185949:1 gene:ORGLA05G0138100 transcript:ORGLA05G0138100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G02990) TAIR;Acc:AT4G02990] MMKSLFLFSAHPKPPPLPPSPHLRKLLRLTASASTSASSPPRAGRSRGPAHARPRPSPRPSPSSSLYARPSLLDMERGRAARRADVDAFLASLGVDPGELAGLELPATVDVMRERVEFLHSLGLSNEDLAAYPLALGCSVRKNMVPVLDYLGKLGVRQDALPDLLRRYPQVLHASVVVDLAPVVKYLQGMDVRPHDVPRVLERYPELLGFKLEGTMSTSIAYLVGIGVARRQVGSVITRFPEVLGMRVGKIIKPFVEHLEGIGLQRLAIARIIEKKPYVLGFGLEDKVKPNIEALLEFGVRKEALAFIVAQYPDILGIELRDKLAAQQSLFESSILVSSEDFGRVIERMPQAISLGRTAVLKHVNFLTSCGFLLSQVSKMVVACPQLLALNMDIMKMSFEYFQNEMERDLEELVEFPAFFTYGLESTVRPRHEMVAKKGFTCSLAWLLNCSDAKFDERMKYDTIGIEEMEVDNSFDTNTLSERVEDEVEDEDLDEDSDYDSTDDEFVE >ORGLA05G0138000.1 pep chromosome:AGI1.1:5:15179870:15181066:-1 gene:ORGLA05G0138000 transcript:ORGLA05G0138000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAICRRRLAALFPQIRGGGGAYHVQSNPQAALLFHSYSSTAVTGGSDPEPCPDTVSYLVSCGLPPAVARHTAANTRGLRIRSTEKADAVRTLLRSYGFSDADVARIARSAPLLLTVDPDRIIRPKLEFFATMGFQPSKLSTAPLLLARSLEKHLVPTIQFLRSIIGSDDGIRRGFSRIPRALMVSLDNCMRPAVEALHRHGLTGREDVSKVLVLQMGVLMLSPVRIGEIFEDLKAMGMSITDGRFANSFRAMCSMRRATWLRKVALYRSFGLSESEVFEAFKKQPTALLGADETIKKKASFFRDALKLEMREVMVHPVVMAYSFEKTILPRCAVLSVLMREGKINPDIQLLHALLGSAKTFSGRYVDRFAADVPDVVEAYEGKIKFKGFKGQGQGV >ORGLA05G0137900.1 pep chromosome:AGI1.1:5:15171374:15172555:-1 gene:ORGLA05G0137900 transcript:ORGLA05G0137900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTAGGRRLLVLIRRASAAAAAGANPNHHHHHATLFTHRYSSSPLARTPEAESSAAASYLVASVGLSPAAAARISRKARFRSNADAEAVVSLLRGHGFSDANIAQVLPKIPGLLILNPDKILRPKLEYFASLGVVPSALSRAPLLARSLEKHLVPCVEFIRGVVGTDANLCAAISRNPWALWCDINSSMRPAVESLRRHGLAEANISRLVVINLSALTMSPDRIDGIFGDLEALEVPISHSRFVYGFWALSRLKRGAWEERMSVFMRFGVSRSELLKAFREQPGILVFTAKTIQRKLSFYQEKLKVAPADVIAHPLLLTFSLEKNIIPKCAVLNVLLREGKIKRYGREMDLLRPLQRSNASFFERFVRKYEEDVPDVVKAYEGKIKFTGFMD >ORGLA05G0137800.1 pep chromosome:AGI1.1:5:15167967:15169334:1 gene:ORGLA05G0137800 transcript:ORGLA05G0137800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPPLAVASGALLLLFVSVSPCRAVAGGGPSSVVLPVSKDDATQQYVTMFRQRTPQVPVKAVLDLAGTMLWVDCDAGYVSSSYAGVRCGAKPCRLLKNAGCAITCLDAVSAGCLNDTCSEFPKNTATSVSTAGNIITDVLSLPTTFRPAPGPLATAPAFLFTCGHTFLTQGLADGATGMVSLSRARFALPTQLADTFGFSRKFALCLPPASAAGVVVFGDAPYTFQPGVDLSKSLIYTPLLVNPVSTAPYGRKDKTTKYFIGETTIQLKGRVWREKSTDYFVSLTGIKVNGHTVPVNATLLAIDKKGVGGTKLSTVSPYTVLERSIHQAVTDAFAKEMAAIPRAPAVEPFKLCYDGRKVGSTRVGPAVPTIELVLQSTAASWVVFGANSMVATKGGALCLGVVDAGTEPQTSVVIGGHMMEDNLLEFDLEASRLGFSSYLPSRQTTCNNFRLG >ORGLA05G0137700.1 pep chromosome:AGI1.1:5:15160864:15162185:1 gene:ORGLA05G0137700 transcript:ORGLA05G0137700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLPPRLVLASTAPVLVLLLFVPLSPCRRGFRQXPERRAAAGGQGRRNAAVRDYVLAADAECAREGRGXPRGRHALGGLRVRVRVVVVCARAVRVQALPPREERRLCHRLLRCGVPGLPQRHLHQVPGVHYHARQHRRQHHHRQAVAVHHLPPHAGSARHRAGVSVHLRGQVDRVLHRCDGHQGERPRRAPERDAAGHRQERSGRHQAEHVVPLHRAGDLHPQGGHRRVRRRDGDDSPCAGRGAVQALLRRDHGGEHPRGAGRADRRAGAAEQSRVVGGVRGELYGGHQGRRALLRRCGWRRSPGDVGGDRRTHDGGKNLLEFDLEGSRLGFTSYLPLLQTTCNSFHLG >ORGLA05G0137600.1 pep chromosome:AGI1.1:5:15156491:15157801:1 gene:ORGLA05G0137600 transcript:ORGLA05G0137600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFPPLASGALLLLLFVLVSPCRSAAGGRPRAVVLPVSKDDATQQYVTVFRQRTPQVPVKAVLDLAGATLWVDCDTGYVSSSYARVPCGSKPCRLTKTGGCFNSCFGAPSPACLNGTCSGFPDNTVTRVTAGGNIITDVLSLPTTFRTAPGPFATVPEFLFTCGHTFLTEGLANGATGMVSLSRARFAFPTQLARTFGFSRRFALCLPPASAAGVVVFGDAPYVFQPGVDLSKSSLIYTPLLVNAVRTAGKYTTGETSIEYLIGLKGIKVNGRDVPLNATLLAIDKNGVGGTTLSTASPYTVLENSIYKAVIDAFAAETATIPRVPAVAPFELCYDGRKVGSTRAGPAVPTIELVLQREAVSWIMYGANSMVPAKGGALCLGVVDGGPALYPSSVVIGGHMMEDNLLEFDLEGSRLGFSSYLPLRQTTCNNFRLG >ORGLA05G0137500.1 pep chromosome:AGI1.1:5:15153987:15155300:1 gene:ORGLA05G0137500 transcript:ORGLA05G0137500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMPPPLAVACTALLLLLFVSVSPCRAASGGGPSAVLLPVSKDDATQQYVTMFRQRTPQAPLKAVLDLAGATLWVDCEAGYVSSSYARVPCGSKQCRLAKTNACTTSCDGAPSPACLNDTCGGFPENTVTHVSTSGNVITDVLSLPTTFRSAPGPLATAPAFLFTCGATFLTEGLAAGATGMVSLSRARFAFPTQLAATFRFSRKFALCLPPAAAAGVVIFGDAPYVFQPGVDLSKSLIYTPLLVNPVSTGGVSTKGDKSTEYFVGLTRIKVNGRAVPLNTTLLAINKKGVGGTKLSTVTPYTVLETSIHKAVTDAFAAETSMIPRVPAVAPFKLCYDGSKVAGTRVGPAVPTVELVFQSEATSWVVFGANSMVATKGGALCLGVVDGGVAPETSVVIGGHMMEDNLLEFDLVGSRLGFSSSLLFRQTTCNNFRLG >ORGLA05G0137400.1 pep chromosome:AGI1.1:5:15131849:15146491:-1 gene:ORGLA05G0137400 transcript:ORGLA05G0137400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate deficiency response 2 [Source:Projected from Arabidopsis thaliana (AT5G23630) TAIR;Acc:AT5G23630] MARFEVGGKSVEGVDLLRRRHWASRLDFWPFLALYALWLVVVVPALDFTDALVVLGALSASHVLAFLFTAWSVDFRAFVGYSKARHLSTPQFPQSSHRYVWRALVSRAKQLPLGGGAGEGYPCGQLVQGNASEVLGIEGDRAPAYTENSGETEEIYFDFRKQRFIYSSQEDNFFKLRYPTKEPFEHYIKGTGYGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVATYRCGKWVRIPGTELLPGDIVSIGRSVSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSVAGRGPEETLSVKRDKNHILFGGTKILQHTPDKSINLRAPDGGCIAFVLRTGFETSQGKLMRTILFSTERVTANSKESGLFILFLLFFAVIASGYVLVKGLEDPTRSRYKLFLSCSLILTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGVVSLEDDEELITDANKLPLRTQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAISKKSGGQPVKIVHRYHFASHLKRMSVVVSIHEKYYAFIKGAPETIQERLVDLPAGYVETYKKYTRQGSRVLALAYKLLPDMPVNEARSLERDQVESDLTFAGFAVFNCPIRSDSGAVLQELEQSSHDLVMITGDQALTACHVAGQVHICSKPVLILTRTKTGGFEWVSPDETDRAPYSAEEVAAVSESHDLCISGDCFEMLQRTDAVIQVIPYVKVFARVAPEQKELVLTTFKTVGRVTLMCGDGTNDVGALKQAHVGIALLNAEPVQKSDSKSQASKSENKQGKLKKPKPSQEGSSSQLTQPANSSARASSSRPLTAAERQRERLQKMMDEMNEESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVFLSILGQFAMHLFFLISAVNEATKYMPEECIEPDSEFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSITENKPFKYALYAAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAILMFCGCYGWERILRWAFPGKMPAWEKRQKQAIANLEKKHE >ORGLA05G0137300.1 pep chromosome:AGI1.1:5:15128859:15130680:1 gene:ORGLA05G0137300 transcript:ORGLA05G0137300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:I1PVH5] MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEENRRSLRELLFCTPGALQYLSGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTIEVAGTEKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLRIGPNEPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIDRCAYVSEVVLAACYKALNEHHVLLEGTLLKPNMVTPGSDAKKVSPEVIAEYTVRTLQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLSTKKPWSLSFSFGRALQQSTLKAWSGKAENIEKARAAFLTRCKANSEATLGTYKGDAVLGEGASESLHVKDYKY >ORGLA05G0137200.1 pep chromosome:AGI1.1:5:15121693:15123685:-1 gene:ORGLA05G0137200 transcript:ORGLA05G0137200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor-1 family protein [Source:Projected from Arabidopsis thaliana (AT4G15470) TAIR;Acc:AT4G15470] MASAAEMQPLAPAGYRRAPEMKEKVDASAVDLEAGTGETLYPGISRGESALRWGFVRKVYGILAAQLLLTTAVSALTVLHPTLNATLSSSPTLALVLAVLPFVLMVPLYHYQHKHPHNFVYLGLFTLCLSFSIGVACANTQGKIVLEALILTSAVVASLTAYTFWASKKGKEFGYLGPILFSALVLLVVISFIQVFFPLGSGPVALFGGLGALVFSGFIIYDTENLIKRHTYDDYIWASVELYLDILNLFLYILNMIRSMQSDN >ORGLA05G0137100.1 pep chromosome:AGI1.1:5:15102090:15104659:1 gene:ORGLA05G0137100 transcript:ORGLA05G0137100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSLGNREASNCSDQAVSGATLPRCSTRSSCSQQPNHSPNLDEEILEEEETSEEIWFRGPTRVPPQPTREEDKPVLTPVGDRQWRANDYGGNIRVPNSILTLLLKQWFPGIVTLKGKEEPAWSWKHYRIAPDTPRSNQIRLPSCLHRVEEDFWLYFRWAEGKEQEARKVVHNCVKGLVDRLFYEIRILAVLNYQRKILKVNTSREIACRTYLTESEYLKVEPWWFLNSENAWRELIRLRWCNPKWQAVSRAHRIRREKMKGPSHRQGSANLSRYQKNLEKKKKRPVAPLEAYTEGRRENREDGQFSCDPRVAEKLEAYAAAYVQLHGPENDWRTSPIDPVAVHMAGGGKKHGHFMIGDGLIDSSAVFGDNSRDDQRPRRRLRTDHDNTNQVEDLLRQLQEEREAREREREEREREKERERQEKEQEKEREREERAREKEQERKEREEERKERELEKIASQQKSAFFEAALRVIQSKLNIDLSASGTPPLPVMLTHLASSGPVASNGNSGPNHVGVGAASGAIVNF >ORGLA05G0137000.1 pep chromosome:AGI1.1:5:15067375:15069364:-1 gene:ORGLA05G0137000 transcript:ORGLA05G0137000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXCGAAPASPVAAAAAAAPEVAARDAVIGWFRGEFAAANAVIDALCGHLAQIGGDAEYEAVFAALHRRRLNWFPVLHMQKFYSVADVAAELRRVADARASAAYSEEEAASTVIHEPMDELVAMAAEPEPEQEQEPDHDHVPQDPAPEPEPDGAVNNPVSPTAAEVLDHEADGEDSSGDSSERKAPSTEDDAVADGQLSSSFCPGLGGVKNVIRDGRCPLARVTSSRLLLP >ORGLA05G0136900.1 pep chromosome:AGI1.1:5:15061832:15065170:-1 gene:ORGLA05G0136900 transcript:ORGLA05G0136900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILTKDLKGNTAYQRVTLSALITRSASSAPRGSRFRKVNVVKGLKIYEDVFTTSELMKVADFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQPTTEESNCHIEAIPLVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFVRVRPSTPVDLSPLPSPTKAMTLWQAPPPQQQQPIPAPGMQQKPQHGGAIIGYGPAPQAVLAPAWGMAVRAAPVMMVAAPAPARPMVMAPAGGNKRMSRSGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIEAQG >ORGLA05G0136800.1 pep chromosome:AGI1.1:5:15050583:15053428:1 gene:ORGLA05G0136800 transcript:ORGLA05G0136800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT5G27390) TAIR;Acc:AT5G27390] MAPAVLLRLAPSPPYPQNPPPRRRSPASACGASRRDFAIHTAIASASAAAAVSVRPATAAAADEEAPPGEPSQNKKGSPLLGGIANTKSWSQYYGSGFSIRVPPSFDDILEPEEFNVGMTYYGDKAKPRTYAARFASPDRSELVSVVIKPSNQLKITFLEAKDITDLGTLKEASKIFVPGGAKLYSARTIKVKDEDDIRTYYFYEFGVDKEHVAVMATVNSGKTYIAGATAPETKWDDDGVKLRSAAVSLSVL >ORGLA05G0136700.1 pep chromosome:AGI1.1:5:15046377:15048935:-1 gene:ORGLA05G0136700 transcript:ORGLA05G0136700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTLSLSSPLFLAAPPKVQGVISCRAALASASWNSVMVHGGRQRRATIVNVLGRKSKTRETIVPDPDYRLPIVILGIAGAFAYADNLLAAAPVGLVGCLLLFQTTRVRFVFDDEALEVKVGDQLEESGENVFVGGKNRWRYSTFVNWELWWPQFPILVYFKETQTKPEGQIHFFPVIFNGRQLYDAMVERAGPSETSGPK >ORGLA05G0136600.1 pep chromosome:AGI1.1:5:15044000:15045093:-1 gene:ORGLA05G0136600 transcript:ORGLA05G0136600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid lumen 18.3 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G54780) TAIR;Acc:AT1G54780] METLLSPSTLLSPLRGSKKKPASPAASASSSSSSPARSVVSCALRRQQPPPQAIAAWRGDGGRGGGVGSWATFLQHGLAAAALSLAISMAPAPAPAVASEFDVLNGGPPEDTYVVDDAGVLSRVTKSDVKRLVRDLESRKNIRINFITVRKLTSKADAFEYADQVLEKWYPTVEEGNNKGIVVLVTSQKEGAITGGPAFVQAVGDEILDSTVSENLPVLATDEKYNEAIYTTAKRLAAAIDGLPDPGGPTFKDNKRESNFKTKEETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYISKK >ORGLA05G0136500.1 pep chromosome:AGI1.1:5:15039582:15043252:1 gene:ORGLA05G0136500 transcript:ORGLA05G0136500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPPHAAAVLLVAAAALCLGGRAEELEASSPEFNYPAVFNFGDSNSDTGGRVAAGFESISPPYGSTFFGSPSGRFCDGRLIIDFLMDAMDMPFLNAYLDSVGAPNLRAGVNFAQAGCSITPATATSVSPFSFGLQIKQFFAFKDKVTKLLSKGDTYRRYIPQSDYFSQGLYTFDIGQNDLAGEFYWKTEDQVAASIPTILLEFETGLKKLYEQGARKFWIHNTGPLGCLPQNVAFFGKDRSQLDELRCVAKHNRVAKLFNLQLHALCTKLRGEFAGASITYVDIYTIKYSLIANYSRYGFENPIQACCGYGGPPLNYDSRVPCGQTASLNGNLVTAKGCKDSTEFVNWDGIHYTEAANFHIASQILTGRYSDPPFADKMPFLIKPKF >ORGLA05G0136400.1 pep chromosome:AGI1.1:5:15027879:15033865:1 gene:ORGLA05G0136400 transcript:ORGLA05G0136400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT2G36230) TAIR;Acc:AT2G36230] MASRVPSPPCAAARSGWAVPMVSVRPARSGVARGRAVVCAVIFRPCIDIHKGKVKQIVGSTLRDSSNDGTALVTNFESDKPPAEFANIYKEDELIGGHVIMLGADPASQAAAMEALHAYPGGLQVGGGINLENAISYLNEGASHVIVTSYVFSEGKMNIERLKQLVDLVGKHRLVLDLSCRKKDGRYAIVTDRWQKFSDVFVDEPTLKHLAAYADEFLVHGVDVEGKRLGIDEELVELLGRYSPIPVTYAGGVSTMDDLERIKRAGNSRVDVTVGSALDIFGGDLPYKDVVLWHKEQNMVSQP >ORGLA05G0136300.1 pep chromosome:AGI1.1:5:15025617:15027462:-1 gene:ORGLA05G0136300 transcript:ORGLA05G0136300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II [Source:Projected from Arabidopsis thaliana (AT5G59180) TAIR;Acc:AT5G59180] MFFHIVLERNMQLHPRHFGPHLRDKLVSKLIKDVEGTCSGRHGFVVAITGVEDVGKGLIREGTGYVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDVPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGAAV >ORGLA05G0136200.1 pep chromosome:AGI1.1:5:15019891:15024663:1 gene:ORGLA05G0136200 transcript:ORGLA05G0136200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cobalt ion transmembrane transporters [Source:Projected from Arabidopsis thaliana (AT3G21580) TAIR;Acc:AT3G21580] MAAAVHLRTIHSLSLPLPLLAAKAATPNPGWLPLSAKPGAGRRRSLLVCAASDPSKAAGKGEAGDAVARWAAWIPRAAVGGAGPEQVLRLISGAAATPICQFVDSPRTFLHAIDPRVKLVWLLALVVLPARSNIYLRFGLVAYLTLLSMWVLPNHVWKDQLGRVALLSGIIFIMLGFGSDGAPSLVQTRTPPPSVIGLPNIPTSTNGYSYTIMKLGPLQFTRKGLSVASTSACLSFAIFQSASLCLTTTTPEQLASALWWFMIPLKHIGVPVPEIILTLLLSLRFINLVFDEVRNSALAIVARRINWEKLATMETIDIFFNYVRRIFKNIFDHAEQISKAMIARGFRGDPNNHKIYFLTESSFGIVDVFSLLCLFALVALASISDKLV >ORGLA05G0136100.1 pep chromosome:AGI1.1:5:15017814:15018825:1 gene:ORGLA05G0136100 transcript:ORGLA05G0136100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AWPM-19-like family protein [Source:Projected from Arabidopsis thaliana (AT5G18970) TAIR;Acc:AT5G18970] MATGGPSSMSAGLLFLNLVLYVVVAVIAGWAINYSIDESFNSLQGVSPPVRLFPIYFPIGNLATGFFVIFALLAGVVGVSTSLTGLHDVSQGYPASMMSAAAASIVTWTLTLLAMGLACKEISIGWRPPSLRALETFTIILAGTQLLCAGSLHAGAHAAIIQNPMVSRV >ORGLA05G0136000.1 pep chromosome:AGI1.1:5:15012303:15015187:-1 gene:ORGLA05G0136000 transcript:ORGLA05G0136000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquinol-cytochrome C reductase UQCRX/QCR9-like family protein [Source:Projected from Arabidopsis thaliana (AT3G52730) TAIR;Acc:AT3G52730] MGLWDALYRVVMRRNAVYVTFVIAGAFAGERAVDYGVHKVWEMNNIGKRYEDISVLGQRPAE >ORGLA05G0135900.1 pep chromosome:AGI1.1:5:15007325:15010201:1 gene:ORGLA05G0135900 transcript:ORGLA05G0135900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRCLLVVLAVVVASAGLALAEENTGGAGRQVSPSPAPSTGKHPXLDNSPNMTEKTGGEAGEVVHDYGGLECYVTGSRRSGRAIILVSDYYGFRAPKLRQIADKVAYSGYYVVVPDLLYGDPYTDDPARPFWVWIMAHSPEEAAEKTKPLIAVLKKEGMSSVGVGGYCWGVKVAVELSKTEETQAVVISHPSLVTVHDMTEVKRPIEILGGERDTITPPLVVHQFEHALDQNNRVDHFVKIFPKAPHAFACRYNASDPFAVKTAEEARADMVQWFDEYLKQHGEFQLQ >ORGLA05G0135800.1 pep chromosome:AGI1.1:5:15002347:15004603:1 gene:ORGLA05G0135800 transcript:ORGLA05G0135800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRCLLVVALAAVVAAAAGRLALAKENNGGRARWQVYSPAPSPKKHPCLENPPNMTENTGGEAGDVVHGYGGLECYATGSRRSGGRAVILVSDYYGFRAPKLRKIADKVAYQLGCYVVVPDLLFEDPYTDDPARPFEEWIKTHSPVEAAEKTKPLIAALKKDGTSTVGVGGYCWGGKVAVELSKTEETKAVVISHPALVVVDDMKEVKCPIEILGGELDTISPPQLIHQLEDALDQNKRVHHLVKIFPDAPHGFACRYNATDPFAVKTAEEARADMVKWFDKYLEIMKR >ORGLA05G0135700.1 pep chromosome:AGI1.1:5:14993254:14994472:-1 gene:ORGLA05G0135700 transcript:ORGLA05G0135700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCHLISSLLFPPLLHFSLFHFSQASDGRCCEEEAARGRGEEEEYDVTSSAAAGPCDAYLVFRSSLPLYASAVSISNLLNVTATTGGEVVRGGDGGAPELEEERAGALRPRHVPAAQVAVAHRLVAPLPFPGEVNRARCMPQRRYMVATKTCVDEVHVYHLGDDGEKSSANVVLRGHEAEGYGLAPAQSTAPFQVSIFTSRSTTTADVPTRQSAGSPSRGFAATLTKMMKGGRERERETDRQRXMRKGEKRGKRERRLTWTL >ORGLA05G0135600.1 pep chromosome:AGI1.1:5:14989616:14990383:-1 gene:ORGLA05G0135600 transcript:ORGLA05G0135600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVQLLLLTVALTLPTSVHPQSGTPREDDVRCLEGLKTSLGDPDGRLASWTFSNTSAGAICEISGVSCWSPDESRIIALSLSGFGLTGAIPSELQFCSAITTLDLSSNRLGGQIPPALCDWLPFVVNLDLSGNQLSGPIPAELANCKFINSLKLSANSLSGKIPASLVLLGHLKSLDLSNNNLDGDIPPKLAASFSADAFADNPDLVEPHSGFNLGVLFGRPEAAAAIAFVFGFVGTLFFGPSIIRRVAGRSC >ORGLA05G0135500.1 pep chromosome:AGI1.1:5:14986594:14987900:1 gene:ORGLA05G0135500 transcript:ORGLA05G0135500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAARAANLQVAMKALALAVLALAYAAATARAEQCGRQAGGARCPNRLCCSRWGWCGLTDDYCKGGCQSQCRVSRDGGDDDVAAVLLTAPGGGRAGVASVVTSDQFERMLPHRDDAACPARGFYAYRAFVAAAGAFPAFAATGDADTRKREVAAFLAQTSHATSGGPYSWGYCYKEVKGATSDFCVPNARWPCAPGKAYHARGPMQIAYNYNYGAAGEAIGADLLGNPELVATDPTVAFKTALWLWMTARSPSQPSPHAVVTGQWTPTPADSAAGRAPGYGLTTNILTGGLQCAGGNGGADRVAFYKRYCDVLGVGYGPNLDCFGQAPFDGDIMSASAAK >ORGLA05G0135400.1 pep chromosome:AGI1.1:5:14975897:14976990:1 gene:ORGLA05G0135400 transcript:ORGLA05G0135400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTTAVALLVAAAAMAAQVVAEQCGSQAGGALCPNCLCCSSYGWCGSTSDYCGEGCQSQCDGCGGGGGGGGGGGGGGGGGGAVEAVVSKELFEQLLLHRNDAACPARGFYTYDAFVTAAAAFPDFAATGDDNARKREVAAFLGQTSHETTGGWATAPDGPYSWGYCFKEEIGATASYCVPSAEWPCAPDKKYFGRGPIQLSYNYNYGPAGEAIGEDLLNNPELVASDPVVSFKTALWFWMTPQSPKPSCHDVITGQWTPSSGDIAAGRVPGYGVITNIINGGLECGFGPDDRVANRIGFYQRYCDVLGIGYGSNLDCYDQRPFNSGLTAAQ >ORGLA05G0135300.1 pep chromosome:AGI1.1:5:14966500:14967651:1 gene:ORGLA05G0135300 transcript:ORGLA05G0135300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRAAASLAKKAALAALAVLAAALATAARAEQCGAQAGGARCPNCLCCSRWGWCGTTSDFCGDGCQSQCSGCGPTPTPTPPSPSDGVGSIVPRDLFERLLLHRNDGACPARGFYTYEAFLAAAAAFPAFGGTGNTETRKREVAAFLGQTSHETTGGWPTAPDGPFSWGYCFKQEQNPPSDYCQPSPEWPCAPGRKYYGRGPIQLSFNFNYGPAGRAIGVDLLSNPDLVATDATVSFKTALWFWMTPQGNKPSSHDVITGRWAPSPADAAAGRAPGYGVITNIVNGGLECGHGPDDRVANRIGFYQRYCGAFGIGTGGNLDCYNQRPFNSGSSVGLAEQ >ORGLA05G0135200.1 pep chromosome:AGI1.1:5:14960131:14963020:1 gene:ORGLA05G0135200 transcript:ORGLA05G0135200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCWENPPALDPAGGGGEVVLDFGGQKAYVAGSAGSKAAVVLISDAFGFEAPNLRKIADKVALFGYFVVVPDFLHGDPYQPDNPNNPGIWLQSHNPKEAFEEAKPVIAALKEKGASFIGAAGYCWGAKVVVELAKVHEIQAAVLLHPSLLAVDDIKEVKCPISILGAEIDKTSPPELLKQFEQILSPNPEIAHFVKIFPGVEHGWAVRYNHDDAAAVKSAEEALEDMMDWFKKYLK >ORGLA05G0135100.1 pep chromosome:AGI1.1:5:14954201:14957849:1 gene:ORGLA05G0135100 transcript:ORGLA05G0135100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLNPAGGEGKVVESFGGIKAYVAGAAESKAAVVLISDVFGFEAPNLRKIADKVASSGYFVVVPDFLHGDPLVPESTEKPFQMWIKEHGPDKAFEEAKPIIAALKEKGVSSIGAVGYCWGAKVVVELAKAHEIQAAVMCHPSFVTVDDMKEVKCPIAILGAEIDRMSPPEVVKQFEQVLSSKSGIGHFVKIFPGVEHGWTVRYKNDDAAAVKSAEEALADMIDWFNKNLK >ORGLA05G0135000.1 pep chromosome:AGI1.1:5:14941662:14951344:1 gene:ORGLA05G0135000 transcript:ORGLA05G0135000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLPHPSRFPDLVQPCGLAQRPLLHLFPRFSPKIGAVLTPPXAHVYAPLHRPLLPFSELLAPPGHIXSFLRLHSLVSPPRPRSPLSVAGRGEFFLILRANVXIPLFRKIAVAREEFGRLFEEARVXSAEPENLKIGHFVKIFPGVEHGWTVRYKDDDAAAVKSAEEALADMIDWFNKNLK >ORGLA05G0134900.1 pep chromosome:AGI1.1:5:14935312:14939411:1 gene:ORGLA05G0134900 transcript:ORGLA05G0134900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G54820) TAIR;Acc:AT1G54820] MADAWAASPPSPTAPSPFAADDLVDARLAPWPPFAPWPAPGQLHHNRRGGGHPNPLFTILPASALAIGVVLLVAVVVILVMTRRWKPGTVDGAGGASCNGDKPGGAPASSCGSSVRGYNNSRYYAAAAAGCIYGGRLGFSVQPRNRGAQVFTYRELESATDGFSECNVVGRGAYGVVFRGRLGDGTTAAIKRLKMDGRREGEREFRIEVDLLSRMHSPYLVGLLGYCADQSHRLLVFEFMPNGSLKSHLHRRALAPAEQPPPLDWQTRLGIALDCARALEFLHEHSSPAVIHRDFKCSNILLDHNYRARVSDFGMAKLGSNKANGQVTTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDTKRPPGQHVLVSWALPRLTNREKLVQMVDPALIGQFSLKDLVQVAAITAMCIQTKADYRPLMTDVVQSLIPIVKSPLMSCTSTPLRPAHGHHHVVYMSPSRGSSNGGALETRCVMHGLD >ORGLA05G0134800.1 pep chromosome:AGI1.1:5:14908869:14909333:1 gene:ORGLA05G0134800 transcript:ORGLA05G0134800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMDLYSPLVPSRGGGARRRPDLRGAAAVIVEVAAAAVADEAKVAAVVEARWLPDLRRAAAVLADEAAAFADEAEAATGADEAAALAEVALVEAEAVALAEVADGVVTPFARPRWDKFVRQFFMDRLVPDLRGIFLSWDQPIAPTPKPNTPKK >ORGLA05G0134700.1 pep chromosome:AGI1.1:5:14897927:14906565:-1 gene:ORGLA05G0134700 transcript:ORGLA05G0134700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQQQQPPHRPRRKGQKRXXXXXXXXXXXXAAAAAAAAAAAATATPSSLGSAGADDDNEEEEDGSAGPEICCRHSQAALAREVRTQVDALHRCFSWRHADRAAAKRATHVLAELAKNAEEVVNVIVEGGAVPALVCHLKEPPAVAVLQEEQQPRPFEHEVEKGAAFALGLLAVKPEHQQLIVDAGALPLLVNLLKRHKNATNLRAVNSVIRRAADAITNLAHENSNIKTCVRIEGGIPPLVELLESQDLKVQRAAAGALRTLAFKNDENKSQIVDCNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSCCTESQREAALLLGQFASADSDCKVHIVQRGAVRPLIEMLQSADVQLREMSAFALGRLAQRSSFVSQDTHNQAGIAYNGGLVPLLKLLDSKNGSLQHNAAFALYGVADNEDYVSDFIKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLKHLLYMMRVGEKSVQRRVALALAHLCAPEDQRTIFIDNNGLELLLDLLVSVSLKHQLDGSVALYKLANKAAALSPMDAAPPSPTPQVYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWNVFELMMRFIYTGSVEVTSDISQDLLRAADQYLLEGLKRLCEYTIAQDVNVDNVSDMYDLSEAFHAMSLRHTCVLFILEQFEKICVKSGSSQLIQRVIPELRNFFAKALRPSHRNAQP >ORGLA05G0134600.1 pep chromosome:AGI1.1:5:14892731:14893584:-1 gene:ORGLA05G0134600 transcript:ORGLA05G0134600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGTFTTDESDRHEESYLSLGLTVSQSKKNNTEYPKVLLLLAAYLDRSVQKNEDLLDSNKIKDSSTIFHGHRAPDLSIKLYAERIFKYSECSPSCFVLALIYMERYLQQPHVYMTSLSVHRLLITSVVVAAKFTDDAFFNNAFYARVGGISTVEMNRLELDLLFNLDFRLKVDLETFGSYCLQLEKETMVLVIDRPIQQVHGVNSAKDLSRNSSIDESCKSELMRYSSQALQGCS >ORGLA05G0134500.1 pep chromosome:AGI1.1:5:14888118:14892009:1 gene:ORGLA05G0134500 transcript:ORGLA05G0134500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27550) TAIR;Acc:AT5G27550] MEADPAPSSTPPPSSPAPAASPSRHPPGEEGGGAERVEVEEYVDPPSPDCCGGADPDHAPPPSPKGEEPVVSAEEEQAAVAGGEGEALRSFLEEFGDQGDDSLIPSPKLKQINTPDRLAALRFLGGKYNSLLERYKQQVAKCAEECAPRYDGLKKKYADECAERRRLYNELIELRGNIRVFCRCRPLSTAEISNGCSSIVQIDPSHETELQFVPSDKDRKAFKFDHVFGPSDNQETVFAESLPVVRSVMDGFNVCIFAYGQTGTGKTFTMEGIPEDRGVNYRALEELFRLSEERSSSVAYTFAVSILEVYNEKIRDLLDESSEQTGRKLDIKQTADGTQEVAGLIEAPIYTIDGVWEKLKVGAKNRSVGATSANELSSRSHSLVKVTVRSEHLVTGQKWRSHIWLVDLAGSERVNKTEVEGDRLKESQFINKSLSALGDVISALASKNAHIPYRNSKLTHLLQSSLGGDCKTLMFVQISPSSADSGETLCSLNFASRVRAIDHGPARKQADPAETFKLKQMTEKIRHEEKENAKLLESLQLTQLKYASRENVIKTLQEKIREAEQTSKTYQQRVRELENELANEKKAARDTARSTKPPLAPMRQRPPLGRIGNHIPPKAPLRLRLSKAPTIQNKENIPVMMNKGSSGADTSKAVAGKARRVSLTPVIRHIPLQPKRRSSLAVLPTQREQLSIFPDKRSVSRLSHIQMPRRSIATFNSIPATPLAAAAHKQVDGTPEARQLRRIEFSSSKFRSPPALARFNSRNNALSPQQKLRLASGSGNASKICFSVQKRVILGSPAPVKSSLLSGTGIFNPALREKMMAAKIGNAQRVFNTNRRKSVL >ORGLA05G0134400.1 pep chromosome:AGI1.1:5:14875724:14882043:-1 gene:ORGLA05G0134400 transcript:ORGLA05G0134400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSLSLLLAPSRALSLSSPPLRLAPTRPPLRLHHDGGHLLVGTTRRQAPSPRRRRLHAARASALSAAAASPVGPAGEGGEVGGRARKAAGYRNRFLDLARLGAVAESAAEALFRSEIRRRLAVTAVLILLSRVGYFVPLPGFDRRLIPDSYLSFAPLPADDLGDFSSELKLSFFQLGISHQISASIVMQVLCHVLPSLEKLRKEGLDGHEKIKGYIWWLSLGFALVAAFTVSCYSLQYSIYAASYRVKHVMITSLFLVLGAMTMTWICDTISESGFGHGSSLIICVGILTGYTDTLHKMLTQFSGNWYSCWPYILGIAGTFILVTMGAVLVTEGCRKIKLQYYGFKLASGARSESSPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFGTQFWESLKETLNPKTSVGGGPWVYYLTYAFLVFVFNIFDIANLPKEISDYLNKMSARVPKIKPGRATVEYLTKIQTSTRFWGGILLSLLATSSLLLDRYLRQINEGFSIGFTSVLIIVGSIIELRRSYQAYNVMPALSKVLRRYGA >ORGLA05G0134300.1 pep chromosome:AGI1.1:5:14871672:14873919:-1 gene:ORGLA05G0134300 transcript:ORGLA05G0134300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSSTSRRRRDEYYAARPPPPPPPPPHHYYTYPPPPPPPPHHHYHPPPPPPPPHHHHQHPYRPPPPPHHATSSSSYYYHHPPPPHAYHGPWHPAPRPPHPEQPALTGPPPEFVEHQQARKVKNDVNLHKDTIRLVPDVTDPDRRLVAFTFDAVTDGSITIYYFGKEGKNCTFSSVYPELQTPTKIPFQKGLAQKFVQTSGSGVDLGFFSLDELSNPSGEVFPLVVYAEACPPPEESHQPNSTRAQITLAVIEKHHNDLRVKVVKQMLWSDGEKYELQEIYGIVNSTEADVPNTDDSDMGKECVICLTEPRDTAVFPCRHLCMCSECAKTLRFQTDKCPICRQPVEKLMEIKVRSPEP >ORGLA05G0134200.1 pep chromosome:AGI1.1:5:14867020:14867420:1 gene:ORGLA05G0134200 transcript:ORGLA05G0134200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGSGWPPTSPHHQRLPHRADSSPTSCAAASPWPRDAAVTLAIGQGSGRGSRRRGGAGAGDEASLLGLRHGELGVTIGGRPKGILGSPGGKSGGNGM >ORGLA05G0134100.1 pep chromosome:AGI1.1:5:14861396:14864316:-1 gene:ORGLA05G0134100 transcript:ORGLA05G0134100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMMEDDVFFAELSKRISLLITDDDEAADFGAARFPASAAAPIPGFSLAHVPMGASMVAPPAYTLYHHAASYNNGGGMIGAGDNAAVRAWQQQQQQLCGSKGTGVFIPRSSPGSVHPKKKGKSKGNVHKPVRGQAAPAKKQSSQK >ORGLA05G0134000.1 pep chromosome:AGI1.1:5:14845409:14847214:-1 gene:ORGLA05G0134000 transcript:ORGLA05G0134000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHHPPHGIAAGDARKAHHLGGDPKNLSWCGVGVGDHHFLPRKLVGGGGPSAAKLAFVSFLAVILVLAVDLSLTGAGANRRLRLQYLHYIGDGGGGGGGGGVGGGGEEAKNNELPWLSVPDPSNFTEELIDRWLTPGGTPCRDSRTANISIPVLDDAAAAGEVTTLGSAEIHEFTFWALDDAGQRRCLGGDFFEIDLAGDEWKSRPPVVDHGDGSYSFRLQVAPRFAEGDFRLSIILLFRSFEGLKFSSLRFKYHAEMRRIPLLFGPSNSTRFLPALETCRAADFARDVWSGRWTRLAKNDSCEEVDDAGRYRCLEPQHPCEAPWCAGPLGALESNGWVYSAHCSFSLFTADAAWRCLDGKWLFFWGDSNHVDTIRNLLTFVLGVTNTSTVTRRFDAAFTNPSGGPGTVRITSIFNGHWNMSMNYLGLHSLRNKGFQHLVRSYFLAEDRAPDIVILNSGLHDGCYWTSVRAYVQAAEYAAQFWAGVMAEVRSRGHAVPRMFYRTTIATGGYARDLAFNPSKMEAFNGVLVEKLRRHGVLTGGLIDNFDMTFPWHYDNRCNDGVHYGRAPAKLLWRDGKVGHQYFVDLMLGHVLLNAICNG >ORGLA05G0133900.1 pep chromosome:AGI1.1:5:14828075:14828509:-1 gene:ORGLA05G0133900 transcript:ORGLA05G0133900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQGEPMSTTTSGSSRARTPKRWNKIPKERFRITSVDQVGLPTSPRKILSXFRSICGVIGRQKFSILQDDIKHVPAAEKDIAWLTFKESFDYPVEHEERIRRAAFKVMGNAWKNFKTKLVGEFVYNPANPDPREKFPWITEQV >ORGLA05G0133800.1 pep chromosome:AGI1.1:5:14815653:14818940:-1 gene:ORGLA05G0133800 transcript:ORGLA05G0133800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCSVAGSNKSKLADEEFSPVGMRVLLIDESTTYLKIITKLLLNCGYKGLTVTNFEITYVWRVDAVMPKTAARDAVEELHENPWSYDMVLTEVHAPAGIDGFNLLQYAGTDMDLPVVVFSADDDKRTVLKCVNSGACDYLVKPLRHEELKNIWQHVYRRKLRSGGRRAAAAGNSSKGEIKKRFRWPKELHERFVSVVLQLGVDKATPNQILPLLNREDLTRDQRFRIALTKSKALPSCSTIPIGETVHGIPLALQAIGTGNNQHLIVPFNRITFVRPRGVEIGQNGVVGGLVTGNNNVVVPTATSIIARAFGREIVNGADLFDHGTLIRDSSSTSHDGAQNELGETNLGMCSLLGVY >ORGLA05G0133700.1 pep chromosome:AGI1.1:5:14807983:14812746:-1 gene:ORGLA05G0133700 transcript:ORGLA05G0133700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNGAVPPGCKLPAGGFFGRLHVLVVDDDAAYLEELKLMLLLAGYAVTGKTTAEEALKEVDQNPEDYFHIIMTDVHMSGMDGFDLLHRINGRVPVIMFSEGEDVVMVMRTVMNGACDYMVKPMTSEAIKFIWKHVLRWRLSALPANASSSLQPSDHLAAALAAVAPPPPPAVQLPAAPAQAGNRDGEAHEEAELSTQPPALVPSGVQEAAAAVWSSRGDGQEAPPAAVAAAAKAPSKKRGASEVSDRGSNNLEATTGRKKVRTRFTWTTVSHTSFVRAYEQLKDQEGPKKIKQLMELDGIFVTKTQVSSHLQKYRSWLENERKKEEATSSSPCNPLSYTNCLDRGYSTWKQSSVITEGQQSSSFSGRPIHSMATSNGCLTTTNTQAGNYVGVGAKEIENFIFSHQRSLGTAIGQESTIEQASLHSEITSVSRDAHENGNSQARGSAMSNGTSGTRGVLVTNENLLHVVSASLPSNMGQPTQPSQSFCTNELAANYSIISDQNPGTSHPTSSSAINNQNSKTQEMSVSQTVELGCGNDVMLDWPELVGLEDQLDNDVLMNSFFDGDLLQQGVVTAIDGTQEMLAFDSTGDLGSVPPRGLNNEIASHENTNGKNGASSGP >ORGLA05G0133600.1 pep chromosome:AGI1.1:5:14806012:14807113:1 gene:ORGLA05G0133600 transcript:ORGLA05G0133600.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHDQTIVALMRTPPLPSNHNPIGGAQLPLSLSSSIVNHNGLTCCTTPLKWIFGRKHIQNTEPQEWASLLVDFRPIEAGCCCHGLAGQGGSQIHDADDGFHAGEGAEGTTMLLSIEAVMISIT >ORGLA05G0133500.1 pep chromosome:AGI1.1:5:14791927:14792235:1 gene:ORGLA05G0133500 transcript:ORGLA05G0133500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVATWCWCSHCGVDRRLRREGDYASCSSCGKVLLQLRGDDDAAAAEAPRLRLLLGPRMRRTKKRAAAADAAGGGGVAAAGGGGEKYSRREASSDAESNTG >ORGLA05G0133400.1 pep chromosome:AGI1.1:5:14783992:14791055:1 gene:ORGLA05G0133400 transcript:ORGLA05G0133400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAAAGLGFAGLVVQGEDTPFGSVWWWAYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDAEKAQAAAILPVVQKQHQLLVTLLLCNACAMEALPIFLDRIFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMIICYPISYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPRNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKPKIVPLPDKTEPNREVSGAPQLTAPLLSNTEERVESLVVDIEKPQSRQVNGNKPCSMQQNEMPYAMSRSSEDIDDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSIRRLTGQKGTGTQNRQGQPTGILKKPTEGDSNPSKHQVNLVEPLLENKR >ORGLA05G0133300.1 pep chromosome:AGI1.1:5:14778711:14780904:1 gene:ORGLA05G0133300 transcript:ORGLA05G0133300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFALATESARAAAAEDRRGADGIRRAEGSGGWRRRRDGHVARARCGAGEPDAGNLKAKCWKIIYNEDMLVRKRKSWVAVSRAKGWRYVNLEVEAETTMTSLVGGPHVRRTSGEEEGGTSVGRVKSRDNGKRQKWDSLRPLLKKFASNGHTEHLPFKVVSGIIRQLRQVARQSGCCGRSTWSHQSHSVGRFWRATVSRVAEKEQEGGDIISIQTAVMLSASLNSCLVSSFSSLPSSTGGGGGVQGFGGMTLDEGEHILVQGLRVELDLT >ORGLA05G0133200.1 pep chromosome:AGI1.1:5:14773954:14775792:-1 gene:ORGLA05G0133200 transcript:ORGLA05G0133200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide-N4-(N-acetyl-beta-glucosaminyl)asparagine amidase A protein [Source:Projected from Arabidopsis thaliana (AT5G05480) TAIR;Acc:AT5G05480] MAPPLYLLLLLPLLACADEVPDRYAVLRAPQGPGASAAAKEYLDPTFPLPAPPPAAPSCTVPVLSYSFGDTYGAAPAKASYAPPAGCPAPWSLVVLTFSASCAGDQYDRVAAVWLDGAELLRTTTAEPTPEGVRWTVRKDVTRYSALLRSPPGGVLSVMLENVVNDKYTGVYSVNVSLEFHGTPPYLSDAASSSPAGVASNDPKEPMLPESYFQPADLIVPISDVAGNGKGGFWFRIQNASDSHSRLVTIPSSTYRAVLEVFVSPHSNDEYWYSNPPDTYIRENNLTTRRGNAAYREVVVSVDHRFVGSFVPFPVIYTGGINPLFWQPVAALGAFDLPTYDVELTPFLGLLVDSNAHEIGLSVFDGIAEWLVDANLHLWLDPSTSDVHAALGAYQTPRLKISRHYSTRLLEGRFKIKAKRKSSFSGWVKSSFGNFTTEVEAELKATSLVEFTGDGRNKTVSLEAKQETKTLIRAGDTRKVIGRVEREAKYPLSLFAETEDGENGTSVVTVSLTHGLSVETEVETKALESKEKLDDAQAAQGWMVLLDHDVLNGSVTTTQAYRFSDDEREYERAIDVVDGAVLSDNVTESFSALAAAAADARRRRFHAGIAAL >ORGLA05G0133100.1 pep chromosome:AGI1.1:5:14768900:14770187:-1 gene:ORGLA05G0133100 transcript:ORGLA05G0133100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTLQNRFAEAEVETVAAEKKKVERETLAEKMLNEQELILDATKERSKMLEQQVRENAKLRELLMDRGQVVDALQGEMLGIFDKISQLQLRVDKQLPEPLLSSMSSSVNSADNIAQLQCRVDGPQHSVDDSLQLASPRLSSSFKSTDSTAQAHCRVDEPQISVDESLLPVDECEQLQLISPRLSSSVMSAQSQYRVDELLPVDEVLQLASSSLSSSLKSGDNIAQLLGVLDVHLPVDELLELPSLILSSSVKLSDSIAQFQQKADADEMLQSSSLASSEKPATFKNWSSASDTITQFQQRANADESLPLPSSSLASSEKPSTFKSTWSSAAESNSVFSGDEEIDDASFHDSIDLDDSWDLVDDEAIYMCAN >ORGLA05G0133000.1 pep chromosome:AGI1.1:5:14758552:14758949:-1 gene:ORGLA05G0133000 transcript:ORGLA05G0133000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLEKIQSAAREQKAKLYIIVACIALLVCGCTTAAHHHQRKGYRQCKSARCIWLSTAILGGSRLISSGLSSKAN >ORGLA05G0132900.1 pep chromosome:AGI1.1:5:14754130:14755163:1 gene:ORGLA05G0132900 transcript:ORGLA05G0132900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDAIASRHDPSTSFFWSSENSGCMAFSRMVGGLELVGSLAMDRWHSLVDSSSTSHRGYSLVQTGKLKERGEVDMWKRG >ORGLA05G0132800.1 pep chromosome:AGI1.1:5:14741353:14744919:-1 gene:ORGLA05G0132800 transcript:ORGLA05G0132800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKESSKLERLRSTAKQQKGKLYIIKICISMLICGSPKSHIEVFTQSGWQEFLNAQTTISYQCKSIGGERGTPHTGDRSGDRWARDGSHGDRPHRRGRKGGGAGGREVGEASMARSVSQRPDPCPGGRLGGCRRWSSSSSLELGAGDPELLEAGGRGWRWPPPVGDGGRDTAAHLLLASARRRALLTAATHR >ORGLA05G0132700.1 pep chromosome:AGI1.1:5:14725323:14730425:1 gene:ORGLA05G0132700 transcript:ORGLA05G0132700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT5G25590) TAIR;Acc:AT5G25590] SRPCRRGTRSRRRTRRTPCRCGTRAPRSPSSRXXXXXXXXXXXXXXXXXGATAADRLAAGGGMGVPGVSPTSAAVDAMMMPPPPPIDTLPPPPPPLPEFSPSPAKIHRSKSMPLPPQTKGPAVLHSDSIREDDEEEAEIEEEEDEDEHLDDRRRRLRHRPQVPPLVSSPAPGTPVTPQPPPPPPPPPDSKPGVDTWDYFFSMDEGMASIAPDDDEIIQEPEDEKYVPASPPRPPLSPPTPVAAPAPQEEFEEEPRTPEMVTPPPSLPPRPPAVSSKKKKGKGKHRAAHHQHTESAPPVTLVGGGGGKAGKVVPVELPRIDLLRVLAEIDDRFLKASESAGEVSKVLEANRMHYHSNFVDTRGHIDHSARVMKIITWNRSFRAMQNGDDGKDDFENDEWETLATVIDKILAWEKKLYDEVKAGELMKLEYQRKVALLNRQKKHNAATEVLEKTKAAVTHLHTRYIVDMQSMDSTVSEIQHLRDNQLYPRLLDLADRMAKMWEDMHLHHENQLKTVLNLKSVDISDSHIETSDHHHSHTRQLRDIVEKWTTNFSDLMSYQKEYINALYSWLKLNLIPIESSLKEKVASPPRVQQPPVKALLQAWNEHLTKLPDDLAKTAIVSFRAVLDTILSVQDEELKQKETCEHTRKEYMRKARAFEDWYHKHAQRRAFDVDPESGEGTSQKDTVAEKRFAVESLKNKLDDEVEAHNKLSKQVREKSLSILKAHLPELFRALTDFSLASFEMYSKMRLMSLMQDQDGQMEPTHQIEDLPVIYVRKKGTSGRSASSIVPVLFMSLNRKKTGYRVSLDV >ORGLA05G0132600.1 pep chromosome:AGI1.1:5:14718085:14719749:-1 gene:ORGLA05G0132600 transcript:ORGLA05G0132600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSRPLPRLLLLRRRCLSSAPHRDRRFLPLLLRFAALAKELSDHRPRPGTPPPPTRPRSPHPYDYNRLMSAHAGTRDGAGADRALHLLDEMRALLRRRPDAACFTTVAAALSSASRPGAALAVLDAMAADGVAPDAAACTVLVGVYACRLRRFDAAYEVVRWMVANGVAPDVVTYSTLISGLCSAGQVAEALGVLDLMLEEGCQPNAHTYTPIMHAYCTGGRIHEAKRLLNTMIASGFAPSTATYNVLVEALCKVGAFEEVDALLEESTAKGWTPDVITYSSYMDGLCKAGRIDKSFALVDKMLSNGLQPSEVTLNILLDGVCRSSTAWAAKRLLECSAELGWDANVVNYNTVMRRLCDERRWLSVVKLFTDMAKKGIAPNSWTFNIVVHSLCKLGRLHKALCLLRSKEFVATVITYNTLIRHLSISGEGNEVCLLLYQMIEGGIAPNDITYSLVIDCLCREEKFLVALCCFYQSLEDDFFPSAFLSIIRGLIVGGMLGQLHTLIGCVLGQGFIIEVYIYQELIKALCKNGYCQSVEMYKVCHILERMLRLR >ORGLA05G0132500.1 pep chromosome:AGI1.1:5:14712301:14717517:1 gene:ORGLA05G0132500 transcript:ORGLA05G0132500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERD (early-responsive to dehydration stress) family protein [Source:Projected from Arabidopsis thaliana (AT4G02900) TAIR;Acc:AT4G02900] MGSLTDIGVAAGINILSALGFLLAFAVLRIQPINDRVYFPKWYLKGTRSSPRSMGTVFSKFVNADLSTYIRFLNWMPAALQMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIAVLAFIVLVPINWASGTLEKEKSLSYDQIDKLSISNLGKGSKRFWAHIVMAYVFTFWTFFVLYREYKVVTTMRLRFLAIQNRRADQFTVLVRNVPPDPDETVSEHVEHFFAVNHRDHYLSHQTVYNANTLAGLVEQKKGLQNWLVYYENQHAKNPAKKPTMKTGLWGLWGKRVDAIEHYTTAIEELCKQEDEERHKVITDPNAIMPAAFVSFKSRWGAAVCAQTQQTSNPTLWLTEWAPEPRDVFWPNLAIPFVELSVRRLIMAVALFFLTFFFMIPIAIVQSMANLDDIERMLPFLKPIIERNSLKSVVQGFLPGIALKIFLILLPTFLVMMSKIEGHTSLSGLDRRTASKYYLFLFVNVFLGSVITGTAFQQLNNFIHQSANKIPEIVGESIPMKATFFITYVMVDGWAGVAAEVLRLKPLVMFHIKNTFLVRTERDREQAMDPGSLDFGTTEPRIQLYFLLGLVYAVVTPILLPFIIVFFSLAYLVFRHQIINVYNQQYESGAQFWPDVQRRLVIALIVSQILLLGLLSTQEAEKSTVALLPLPVLSIWFHYVCKGRFEPAFIKFPLQDAMVKDTLERANDPTLNLREYLKDAYVHPVFQKNDIYEFAGIDEEEKNPMVATKRQSRMNTPVDSKFNSSSGTNEGEFSRMAPT >ORGLA05G0132400.1 pep chromosome:AGI1.1:5:14706702:14709116:-1 gene:ORGLA05G0132400 transcript:ORGLA05G0132400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:debranching enzyme 1 [Source:Projected from Arabidopsis thaliana (AT1G03310) TAIR;Acc:AT1G03310] MASLPAPPTPLGSCPRGRGGGRVVARPRRAGLACAARSCYRFRTDDDGVVDVAVSGEDGDGGGGGGGGGYAVSVEVPGTRGREGGLVLRASGSGEGVPLAPAAGGASLSAELSFDPPRAPFYLSFLLTDASGAEIRTHRKTSFRVPVGVGPGSPAPLGMSISGDGAVNFAVYSKNANAVSLYLYAAAVGGSGGDEPALEIDLDPYIHRTGNVWHVSLASVDGYVSYAFCCGGIRRPLLDPYAKVIGDFVSGNSVYDEGVTAPSMRCFASLAIAPSYNWGRDRHPRLPLEKLVVYRANVALFTKDRSSGLPDDAAGTFTGLSAKVEHFRSLGVNAILLEPVFPFHQVKGPYFPYHFFSPMNLYSSKGLSVSAIKSMKDMVRVMHRNGIEVLLEVVFTHTAEGESECQTISMRGIDNSSYYIANGIAGCKASILNCNHPVTQKLILDSLRHWVLDFHVDGFCFINAPFLVRGPGGEYLSRPPLLEAIAFDPVLSMTKIIADPWSPLDISNVQFPFPHWKRWAEVNTRFSIDVRKFLKREALISDLATRLCGSGDLFSTRGPAFSFNHVSRNSGLSLVDLVSFSNDDLLSESSWNCGEEGPSENSAVLQTRLRQIRNFLFILFVSLGVPVLNMGDECGHSAAGSVSYKDRGPLNWRGMKTTFVKEVTGFISFLTALRSRRGDIFQRREFLKLENIHWYGSDLCEPGWDDPTSNFLCMHINAEVDEMAADSVRGDLYICFNANEESVSAALPALAEGSVWLRLVDTSLAFPGFFATESNPKVQQVPGLSSYHVEAHTCVLFESKSALA >ORGLA05G0132300.1 pep chromosome:AGI1.1:5:14688518:14688838:-1 gene:ORGLA05G0132300 transcript:ORGLA05G0132300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMEVAARLATEVGSSGEAGIGDRIQALEMVLPRWRSRQQRRARRRRWGGCVGGVGGLRRRLLASVAGDSGIGNGGRLATAVTEETVAMSKDAAVEAGKELGVR >ORGLA05G0132200.1 pep chromosome:AGI1.1:5:14681320:14686580:1 gene:ORGLA05G0132200 transcript:ORGLA05G0132200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pale cress protein (PAC) [Source:Projected from Arabidopsis thaliana (AT2G48120) TAIR;Acc:AT2G48120] MAAAAPALPAFASLLLPSSSSPPRRLPWPRSLPSRRGALRPVKLLPAISAVEKGKGAAAEAKEVELEGMPPEYYDEEWQARQREKTKEWNAYRKKEEAEEERLTNEYREIGMRLKAYPQEEVRKARILVSSFIRAGEDIEEEIEKAAERGELTELVLMVIWNRLDVARRDDERDAIRSLDLLYRRVETEILRSEATPAMRLLNDLLNLHDGSDDDKWLKKCKKHMLEVFPREDPFTMVFPAGFNMEEHQGQIKLPPQDDDVLLRVDFIREVDELLKEVQAAHENNKVPTGNDPEAVATKLKYQEKLRTIRQVESLLELASSLKW >ORGLA05G0132100.1 pep chromosome:AGI1.1:5:14675153:14679101:1 gene:ORGLA05G0132100 transcript:ORGLA05G0132100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPFPSSNRTAAAAAVAVAAAPFAAASAMPHPSSSSRSAGGGHHVPSVYPPPPSSSSSACRHTPSSATLDLLILLLVLFSVAFLLASSLAHVSRSLTPLLASPPAAAALASAAAAMPYLGAAAALAGATFLSCSRLPRRRCRNPRCRGLVKALEFDVQLQTEEAVRAGTGSTSGGADAAMWREIEALPWKGGQGGNNPDYECLRAELRRMAPPNGRAVLLFRNRCGCPIAKLEGWGVPKSKRRSKRSTLGLPADGGVR >ORGLA05G0132000.1 pep chromosome:AGI1.1:5:14668798:14673477:1 gene:ORGLA05G0132000 transcript:ORGLA05G0132000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G05990) TAIR;Acc:AT3G05990] LRLHLALLAALLTVAGAAAAAQPPFRGFYYLLDCGAAASTTDSRGLEWLPDGGYVTGGEPHQLPDQGLLDPALATRRDFPHEPGKKFCYELPVDRNRRYLLRPTFFYGASSPPPPVFDLIVDGTFWTAVNTTDDVLAGSASYYEAVFGASGRNMSFCLGVNPDYTSAGPFINALQVIQLHDSVYNATNFTASAMGLIARTKFGSTDDVERYPNDTFNRYWQPFPDSKHAVSSTHNVTSADFWNLPPPGVFNTALVAEQDAPLVLQWPPIPLQNDSYYVALYFADTVSESSRTFNVYINDYSFYEGLTVTSAGLSVFATQWNLSGLTRVILAPVSGLPPLINAGEVFGLFPLGGYTFPRDARALEAIKRSLQNIPDDWNGDPCMPHGYAWTGVTCDKGQIPRVISLNFSSMGLSGYLSSDIARLTALTDISFANNSLSGPIPNLSNLRNLTRLHLQDNKLNGTVPQTLGIITSLRELFLQNNELDGAVPLNLLLNQGLTYQFLPGNNFFPRPPR >ORGLA05G0131900.1 pep chromosome:AGI1.1:5:14667381:14668027:-1 gene:ORGLA05G0131900 transcript:ORGLA05G0131900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAETMMAQSDTSMHGQRVTTVGDWRQEVNAGDGKEAALADIVGGQRGDDKKGDDDSVPQRAVFKSFLVKTMSWFSLRSQGKVASVLIVTLLPGDVV >ORGLA05G0131800.1 pep chromosome:AGI1.1:5:14665812:14666324:1 gene:ORGLA05G0131800 transcript:ORGLA05G0131800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WFEAAGSVLTLILLLVLFTVAARAQQEYEVTSSSSSSPSWRGAGEGHRPPPRARAIVLLLPTALRLRRLHLQPPQRHRHRRRLGRPCRRRPPRPHVRCRRPHPHRRLACPRGGERERDTERGGREERPFTWHADMGGPCGSTLTQSPL >ORGLA05G0131700.1 pep chromosome:AGI1.1:5:14659901:14663203:-1 gene:ORGLA05G0131700 transcript:ORGLA05G0131700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGVDWESVAEATSGAVGALVSTTVLYPLDTCKTKFQAELQTQPGAHKYRNLSDVFWEAVQKRQILSLYQGLKTKNIQSFISQFVYFYGYSYFKRLYLEKSGAKSIGTKANLLVAAAAGACTVVVTQPLDTASSRMQTSAFGKSKGLRATLAEGTWLEAFDGLGISLILTCNPSIQYTVFDQLKQKLIQRQRRKNAEAGGGSSPVALSAFSAFLLGAISKSVATILTYPLIRCKVMIQAADPDEDDDDESERPGKSKSPKTMLGALHAMWSKEGIPGFFKGLHAQILKTVLSSALLLMIKEKISRFTWISLLALRRYLFVSQKRIKSA >ORGLA05G0131600.1 pep chromosome:AGI1.1:5:14654993:14655259:1 gene:ORGLA05G0131600 transcript:ORGLA05G0131600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGEHRGCAGGQGKGGKGRDTSVREAISTQGASRVMSSPTADATAYAFFARFGIELTFPPYLMQIDTEDELDSAGEGTMKGEFVGGDT >ORGLA05G0131500.1 pep chromosome:AGI1.1:5:14646049:14650779:1 gene:ORGLA05G0131500 transcript:ORGLA05G0131500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAPVTPPAAAEEAPSRRRKRKGAASAEAGGGGPSKRRVRSSGSAGGRGARKRKEAEADEEEAEAEAEEEAEAEAGTPARGESMEVSQVDGGGSSGRADDASHNGNGESRVCNADGIDQASEERPSVAGGDLIEEEHCGNGEASVAGGDRIEEHCGNVEAGVANSNRDGGEIIAGEGTEDRGNTELAVVDPVHEELASDEDDYDDEMLEEKLVGDVIRAYSNGADLDTNGVDWEAEDEMEFADLDTNVVDWEAEDEMEFDDDNDNDADDDGDNFGGDADEGDKSVQMHDFSKVETQDLVSHNVNVSEVRPHEDEEAIKDEMESKGKGSLSFNEGSSYIEILDSDEEVKVVNDTGNALRRKPLVPAKLPIVPSCVAWRTRSSWGMKEERISYNTYFEVLSDEPKEDDDDTEVELDDEEDDENDDDCNSASCDEEDEEEEEEREEEEEEAQRRKQKKGIDSSDDEMIDDAVDCGIDWEEDYPEVDFTRPLTFQKDGSEAPVGSEAFTEQQKRSRFTWELERRKKLKLGMMTNHRLYERDLESDSNSSDSSQNRKNGCQGSGDHRTGRKRKNPLSKSGKKSSRMLKRQSLMKLLMDKMCSNDDGKSTPFDQKPQIEYSFKDLHPLVFSFGDDDPSPTDRSEQDAALDMLWADLDFTLESENIGTYYDDEGQEDTLLDHALAPITPCSRGKHEFIIDEQIGIRCKYCSLVNLEIRFILPLLASNFAEKPAWRNSSCLKTALMCPDLYEQTGTGDGQSQDFHINGTVWDLIPGVIADMYQHQREAFEFMWTNLVGDIRLNEIKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWEQEFKKWNVNVPFHIMNTTDYSGKEDRDICRLIKKEHRTEKLTRLVKLFSWNRGHGVLGISYGLYMKLTSEKVGCTGENKVRTILLENPGLLVLDEGHTPRNERSVIWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKTRVGRRHCVSKKQRDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHNGTILRTLPGLRECVIVLKPLPLQKSIIRKVENVGSGNNFEHEYVISLASTHPSLVNAINMTEEEASLIDKPMLERLRSNPYEGVKTRFVMEVVRLCEALKEKVLIFSQFIQPLELIKEHLRKIFKWREGKEILQMDGKILPRYRQNSIEVFNNPDSDARVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFSNVRNMLSKAEMEHCSKLISEDKVLEEMTSHDQLKGMFLKIHYPPTESNIVFTYNQIAPELS >ORGLA05G0131400.1 pep chromosome:AGI1.1:5:14636430:14641640:1 gene:ORGLA05G0131400 transcript:ORGLA05G0131400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDGGDDAGVKRVADRYLKREVLGEGTYGVVFKAVDTKTGNTVAIKKIRLGKYKEGVNFTALREIKLLKELKDSNIIELIDAFPYKGNLHLVFEFMETDLEAVIRDRNIVLSPADTKSYIQMMLKGLAFCHKKWVLHRDMKPNNLLIGADGQLKLADFGLARIFGSPERNFTHQVFARWYRAPELLFGTKQYGSAVDIWAAGCIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPKSSQWPDMVYLPDYVEYQFVSAPPLRSLFPMASDDALDLLSRMFTYDPKARITAQQALEHRYFLSVPAPTKPSQLPRPPPKGDSGNNKIPDLNLQDGPVVLSPPRKLRRVTAHEGMEVHMHRADRTEEHPSGARHMDDMSSQSSRIPMSVDVGAIFGTRPAPRPTLNSADKSRLKRKLDMDPEFGYTE >ORGLA05G0131300.1 pep chromosome:AGI1.1:5:14633515:14635280:1 gene:ORGLA05G0131300 transcript:ORGLA05G0131300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGECRSNDYGAAAYWDSRYSSPSPSSTATTGGGGGAFFDWYQAYPALRPLLRARLPASSRVLMLGCGNSLLSEDMVKDGYEEVVNVDISSVVIEQMREKHVDIPQLTYFQMDVRDMSLFGDGTFDCVLDKGTLDAMMCGDDAPLGASKMLAEVARILRPGGIYMLITYGCPKERVQLLYQAGSHKKIELYIMPTPGYQLKWSKGVSLAQPIMEEVSLTVDGQLPPDYVLKDPESHFIYICKKPDAANEANSVVANEGETITSK >ORGLA05G0131200.1 pep chromosome:AGI1.1:5:14623422:14630237:1 gene:ORGLA05G0131200 transcript:ORGLA05G0131200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-rich protein [Source:Projected from Arabidopsis thaliana (AT4G17620) TAIR;Acc:AT4G17620] MDFSEQDVEIFGEDYDDAEAGGGGGASSGSSSPSSSSSSSAAGSSSSSSGASSSSGGGGGGGGGEDEDGVDQGDARGYDDDPFDGAPARAAGGYGDEERGEGDAEEEEEEERDLFGSDNEDYVKTPARSNYLVPVLPSIRNTNNHSRGGYGGRNGRGPPLLPRPGGHPGRHNFGYGGRFSHGNGRNVEGFVSEMKLNKSEETLSRKFVAFQEPSEIACYSRIEGGDVYFDDRSLRLFKRNICDYVGENLNKGFESFIEKRDLGSEGFGDLLACIRNSTVPLQNIHFVTYRNNLNKILATAYLREPWKMGVHKRNGVVYLDVHKLPERPQSEVERRRCYWGYSFENLATENSIDEDGRGIDANVEFCAVIKTKLGAHRIIMGAEMDCCDATDDGRRFYVELKTSRELEYHTVEKFEKEKLLRFWIQSFIAGVPYVVVGFRNDAGVLIRTERLRTKEITQKVKAKNYWQGGVCLAFADEVLCWLYGTVRENEDYILQFVHPFNRLELLRAQSPCPDAITHHVEQLSGTAG >ORGLA05G0131100.1 pep chromosome:AGI1.1:5:14607876:14619525:-1 gene:ORGLA05G0131100 transcript:ORGLA05G0131100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinases;ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT5G13530) TAIR;Acc:AT5G13530] MRVPCCSLCHVRYDEEERAPLLLHCGHGFCRACLARMLANAAGAVLACPRCRHPTAVGNSVSALRKNFPILSLLSSSPSSPSFLHSDSGGSSSDGSDDDDDDFFGRPSRRSSAAGAGAGAAAPSLQPAGCASFDLASHPDLKLARRIGSGPPGPAGQEVWSGTLSRGGGGGGAKRCKHPVAVKRVPVTAGDVLEGVQEEVERLRRAATWCRNVTTFHGAVRVGGHLCFVMDRYAGSVQTEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDTNGHAVVSDYGLSAILKNLTSRRVSDDSNMVGLDATLLSPNYTAPEAWVPLKKSMNLFWDSANGILPESDAWSFGCTLVEMCTGAVPWAGLSAEEICKSVVKERKPPPQYSRVVGVGLPGELWKMIGDCLQFKPSRRPSFQDMLKTFLRHLLDIPRSPPASPENDFTNASMPNGTDVPPASVLDMVQDNPNALHHLVCEGDAAGVRNLLAEAASDGNGRLIRSLLEAQNADGYTALHLACRRGSAEIVEAIVAYQENVDLLDKNENPPIIFAMAAGSPQCVRALVRRSSDVNSRLREGLGPTLAHVCAHHGQPECMRELLMAGADPNAVDGEGESILHIAVAKRYTDCAIVLLENGGCRSMGIPNSVNKTPLHLCIETWNADVVKRWVEVASEEEIAEAIDVPSPVGTALCMAAALKKEHEKEGRELVRVLLSAGADPTAQDDPHCRTALHTAAMINDAELVKIILEAGVDVNIRNAQNTTPLLVALNRGANSCVGLLLAAGANCNLQDDDGDNAFHIAADAAKMIRENLSWIVQMLQQPSPAVDVRNHRGWTLRDFLERLPREWISEELMETLEEKGVHLTPTIYEFADWVKFRRTVTEPAFGWQGAGPRSIGFVQSVVDHDHLVVSFCSGEARVLTSEVIKVIPLNRGQHVQLKPDVLEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIVRVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSSPWLCEPEEVEHVDPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIESDGLLIIDIPNRAVHWQADPSDMEKIEKFKVGDWVRVKATVPSPKYGWEDVTRSSIGVVHSLEEDGDMGVAFCFRSKPFSCSVADVEKAQPFEVGEKIHVLPSISQPRLGWSNETAATIGAISRIDMDGTLNVKVSGRNSLWKVAPGDAERLSAFEVGDWVRLKSSIGSRPTYDWSVGKISIAVVHSIQDSGYLELAGCFRKGKWLTHNTEIDKVEPLKIGHHVRFRAGITEPRWGWRDAKPDSRGIIAGVHADGEVRVAFFGVPGLWKGDPADLEIEQVYEVGEWVRLRNNADDWKSLKPGSIGVVHGIGYEDDVWDGTIHVAFCGEQERWIGPSSQLEGVSKFVVGQRVRVKLCIRQPRFGWSNHNHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEVCVGDWVKVKDCVATPTYQWGDVNHNSIGVVHRAEDGELWVAFCFCERQWLCKRWEVEKVRPFRLGDRVRIRPGLVTPRWGWGEETYESKGEVVGVDANGKLRIKFRWRDGLWIGDPADIVLDDIPSLTEASNGFCS >ORGLA05G0131000.1 pep chromosome:AGI1.1:5:14576269:14584063:-1 gene:ORGLA05G0131000 transcript:ORGLA05G0131000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQASSHQHHYQHSAKPPVSRSSSWIRRSPPPSPPHKKLWGGGGGGGGGRSRYVCRIVPLLVLTVYSIFTVVRIPSSSLVVSTADSERVERREDVEAFKTHLPSNQNNLEAREETRSPASLPCSALINGEAGGGQAAAESALCCDRSHYRSDVCYLRGDVRTDPSTSSVLLYNAPRGSAPEKVRPYTRKFEGSIMSTIDEVTIVPVVDAGSGSNGTTAGDTGKDSLRRRCDVRHPPGVPAVVFSTGGYTGNVYHEFSDGLIPLFITAQRFAGEVVFVVLEYHYWWLGRYGAVLERLTNYKVVDFRYDRRVHCFSEMIVGLRIHGELVVDPKLMPNGKGIQDFQALLHQGYSRTPSATAAAAAAQPPVPLALAAPPSRPCLRPDDHAKVAKPKLVIFIRKQNRVLLNLPHIVTACRRAGFAPHVMNLRRQTPLTAIHAALSSADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLGYLEYKVAPEESSLAAEYGVNSTVVRDPSVISSRGWWEMKKVYMDRQNVTVNIKRFGELLRSARLHLKNATACGKAASAAATTTAARXGWLA >ORGLA05G0130900.1 pep chromosome:AGI1.1:5:14564604:14572573:1 gene:ORGLA05G0130900 transcript:ORGLA05G0130900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycoside hydrolase family 2 protein [Source:Projected from Arabidopsis thaliana (AT1G09010) TAIR;Acc:AT1G09010] AAAAAAAAAAAAAEVGKRVLDTGWLAARSTEVALTGEQLTTTDPPPADPEPTAPWMHAAVPGTVLGTLLKNKLIPDPFYGLNNESIIDIAKSGRGHYTFWFFTTFQCAPAGHQHVSLNFRGINYSAEVYLNGHKEVLPKGMFRRHTLDITDVLRPDGKNLLAVLVHPPDHPGAIPPQGGQGGDHEIGKDVATQYVEGWDWMCPIRDRNTGIWDEVSISVTGPVRIMDPHLVSTFYDDFKRSYLHCTLQLENRSSWLSDCKLKIQVSTELEGNICLVEHLQSYEISVPPNSVLEYTIPPLFFYKPNLWWPNGMGKQSLYNVEIGVDANGFGESDSSNHHFGFRKIESTIDGSTGGRIFKVNGEPVFIRGGNWILSDGLLRLTRKRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDIYGLMVWQEFWITGDVDGRGIPISNPNGPLDHDLFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINKALKNDLKLHPMFVSNHTTKSPGKDKSEDPTDPSKYLDGTRVYVQGSMWDGFANGKGDFTDGPYEIQYPESFFKDSFYKYGFNPEVGSVGVPVAATIRATMPSEGWSIPIFKKRIDGYINEVPNPIWDYHKYIPYSKPGKVHDQIELYGHPSDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLDSYFIEVVNTTADELRDVAVEISAWDLDGASPYYRVTEKIAVPPKKVQQVTKMSYPKTKNPKPVYFLLLKLFKLSDNQVLSRNFYWLHLPGKDYKLLEQYRQKQIPLKINSKISISGSGYKVRMSIENRSKKPENANSVSTMNLADANGSDRIGEEAIQDGHSSGLWGKIRRGLSITRSDDNVRTVEVKGADSGVSFFLHFSVHTSEPSSSQDVYKDTRILPVHYSDNYFSLVPGEKMAIDISFEAPQGSTPRVILKGWNYHLDHAVTL >ORGLA05G0130800.1 pep chromosome:AGI1.1:5:14555053:14558830:-1 gene:ORGLA05G0130800 transcript:ORGLA05G0130800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRVSPHAAVAVGGGGGQKTPTSPRGAPGADRRHPRPFAKNLDFASWASEHSSKLLLLLFVVASAAAVFLLRGAAPDAAALLCLDRSRSSSSSAAGSARLPYPDVKWAAVPPLAIAAGAPFASFRAERWIVVSVSSPPTAALGALARVKGWQLLAVGNSHTPSGWELKGAIFLSLELQAQLGYRSVDFLPYASHVRKTAGYLFAIQHGAKVIFDADDRAEVPGNDLGKHFDVDLGSGVTNHPVLLQYSHADPNRTVVNPYVHFGQRSVWPRGLPLDKVGEVAHEVFYTEVFSGQQYIQQGLSDGLPDVDAVFYFTRKPPTAAFDLRFDAEAPKVALPQGTMAPVNSFNTLFHTPAFWGLMMPVSVSSMASDVIRGYWAQRILWEIGGYVAFYPPTIYRKDHIQAYPFAEEKDLHVNVGRLIKFLNEWRSNKRTLFERILDLSYAMAEEGFWTEQDVRLTAAWLQDLLAVGYRQPRLMSLEIDRQRATIGEGDMKEFVPKKLPSVHLGVDEIGTVNYEIGNLIKWRKNFGNVVLIMHVSGPVDRTALEWRLLYGRIFKTVIILAEQSNTELAVERCALSHAYKFLPKVFARYGGADGFLFLQDHMILNYWNLLQADKEKLWITNKIAHSWVTVPLENNKEEWFVKQGSMVKQVIGSSPVHFQTNYKESMGEDKIAFCGSELFYIPRQFVEDFGDLVGLVGDLELHHKVAVPMFFLAMDSPQNFDSDALAGTVFRSNLVGNETFSSIYTAQAPAVFPVKVQNEIDFIKLIRVMSTGDPLLMELV >ORGLA05G0130700.1 pep chromosome:AGI1.1:5:14538396:14539211:-1 gene:ORGLA05G0130700 transcript:ORGLA05G0130700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TEAAAVLKHHRRCRRVLLEPSLSLCCGGFLSASAPMAEARRSQLGGNPNPRAMQRRFGVASEEGSSSLPGLIGATRYPIGRSGLTLVRCPRCGSAVVECRSWRQGNAFSSNARITNNLCQIVVHSSSGLRAIRRWWKQWSLIILMRPIRDKDGIEAESKMRIVA >ORGLA05G0130600.1 pep chromosome:AGI1.1:5:14518597:14518818:1 gene:ORGLA05G0130600 transcript:ORGLA05G0130600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGEDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFLSCTREFPKVFRTLSMSLVRGFRLSTSCINRGGA >ORGLA05G0130500.1 pep chromosome:AGI1.1:5:14509853:14511063:-1 gene:ORGLA05G0130500 transcript:ORGLA05G0130500.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDTDDDNFDTLWDVKPALWLTGAWVGGAQVSVTLEPRYVAAGKQQSSRGNASATATRGGGGGGGGGKGGGNGKAAAAGKKPIKVVYISNPMRVRTSAAGFRALVQELTGRNADPSKYSPRASAGDDGGGATALPDTGAASDADALEAGAAPGRHPAETATFDEGGGGGGGGYDDDDVFRSQLLDTSYSVFSPPTLLYDDHPHSKV >ORGLA05G0130400.1 pep chromosome:AGI1.1:5:14494544:14497611:1 gene:ORGLA05G0130400 transcript:ORGLA05G0130400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSMFTCTRVVNELTNERGNDFVVWYVLTTAFSILPASILRGEKNVHFRQRAKGGFGSSIGIITHELYLKITCPCFQRRCTCRGMYQMFINENVQPQGPSFLEMLGQVDWLFSQPPIMQPQITGMYTADQMMGYAGSTLSYGEPCSYGGGSSTAQHEIGPSQLDEPPPITQPTQDYGYINFSGVEMAHI >ORGLA05G0130300.1 pep chromosome:AGI1.1:5:14492437:14493606:-1 gene:ORGLA05G0130300 transcript:ORGLA05G0130300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGKDGGGRSFPSPASSSSASSSEFEFTVTLSPASKQRSAAQLCPADELFYKGQLLPLQLSPRISMVRTLLLSSASTSSASASDSTSNSSSSRDSNGSTSSSFSADCAALLLPDSAASSSRPSSATEDDRHLAPPPPPPPGVAASFAAKRTGKQYLSSFATRFSSVFHRGGAPAPAAAAANAKKPPSKSLAKEVIKKYAKKVKPLYEKLSQIPKNQSNSSGQPLQQQQQQGLKKPFSFSMRKKRGDDDHAAAAAAAAAAVAAEVGGGGKYAHSNSFSGNLRFPRQKRCAASCPSSMRSSPSHSGLLTFGGAGGVGFPDVPAAAAAAMSGGISVGPVSLSTASSMEELQSAIEGAIAHCKSTMGGAVTMCSRKAAAAAAAADEICAF >ORGLA05G0130200.1 pep chromosome:AGI1.1:5:14479083:14484256:1 gene:ORGLA05G0130200 transcript:ORGLA05G0130200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal domain phosphatase-like 4 [Source:Projected from Arabidopsis thaliana (AT5G58003) TAIR;Acc:AT5G58003] MSLAAESPSPSSPSSSSGSDDFAALLDAELELTSAADSASAGDPSAATDDDEEGGDEEEEEEDVVVVVEQEDAIVEQSSTKRRRVEDQHRHQAVVMKSDEDTVGSSKDVKIDECPPHPGFFGGLCYRCGKRQDEEDVPGVAFGYIHKGLRLGTTEIDRLRGADLKNLLRERKLVLILDLDHTLINSTKLFDLSAAENELGIQSAAKEVVPDRSLFTLETMQMLTKLRPFVRRFLKEASDMFEMYIYTMGDKAYAIEIAKLLDPDNVYFGSKVISNSDCTQRHQKGLDVVLGDESVAVILDDTEYVWQKHKENLILMERYHYFASSCRQFGFGARSLSETMQDERENDGALATILFVLERIHTIFFDPDDQKPLSSRDVRQVIKRVRQEVLQGCKLVFTRVFPLHQRPQDQMLWKMAEQLGAVCCTDVDSTVTHVVALDLGTEKARWAVSNKKFLVHPRWIEAANFRWQRQQEEDFPVARPKEKGKENAADAPISKENGDKNAADAANAKKGNENVADAGSTKEGSKENADDAANSEEGSKENADDAANAEKSKSDGAASGATGS >ORGLA05G0130100.1 pep chromosome:AGI1.1:5:14456942:14458174:1 gene:ORGLA05G0130100 transcript:ORGLA05G0130100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLDSPPQITPAAAAGDWSSLYAAAQAETAVKAPRHGAVAVKKAAAYGGGGGRRIKNLEMCTEALGCETGGVDDAAATAADAVVVAAATAAAAAAEDAMPVVVETDCAERKRRAREEEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGGSRVRMVQERRDGRLAVYVVRTAGVQAERCGGRLRLLLVPCAGCSNAAECHQKEKQLIKQEAEDAAAIVMKKEEEHDAGNEASPEEEYGGVAKYVRGGRCVEAEVAAAAAARRGKKWEPEQAAPFWVATS >ORGLA05G0130000.1 pep chromosome:AGI1.1:5:14451934:14452422:1 gene:ORGLA05G0130000 transcript:ORGLA05G0130000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALFPDPYLHGGTDEVNTECWENDPVVRRFLAEDGTHNHLLEVFINTTRPYVAQELNKLPWHSVPQPLGQPVCRPPSHHIESAAATVASSFRQIAAATIAELPMNGSCNHRRTPTDSVPTSHXDHRPPSLMNRHRNHSQAPVADESPPQPSLIWATIRSPI >ORGLA05G0129900.1 pep chromosome:AGI1.1:5:14429628:14438191:1 gene:ORGLA05G0129900 transcript:ORGLA05G0129900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FZO-like [Source:Projected from Arabidopsis thaliana (AT1G03160) TAIR;Acc:AT1G03160] MFAASTSSTGLPLPRAGGLLLLPTSRSLLLPRHRHRLRLRDVGAAAAAGGGVSGGGGGAASSREPPRTLFPGGFKRPEIRVPALVLRVGADEALASGDAVVAAVARGVGIVVLEAGEEGGGRVYEAALSLKASVGDRAYLLVAERVDVASAVGASGVVLADDGIPAIVARSMMMKSNSDSIYLPLVARTIRSADTARSATSSEGADFLIIDTGSDDAINVMNGVSGTQHVKIPIFSTLSDSQSEGSYSDNTSRLLQSGASGIVMSLAGIQVLADDIIERDFSKVDTAESVLQANYSSASTLEEADNVMVLTREKAKVAGFTKLDEKVMQLISIEKPILSEAVAVIRKAAPMMEEVELLVDAASRLSEPFLLVTVGEFNSGKSTFINALLGRKYLQEGVVPTTNEIMLLSYSDVDSESAERCERHPDGQYMCYLSAPVLKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTDSEVGFLQYVQQWKKKVVFVLNKLDLYRNSNELEEATAFIKENARKLLNTEDVTLFPVSSRSALEAKLLYSKNDGREHHGEALFNDPRWRNSKFYDLEHYLLSFLDGSTENGKERVRLKLETPIGIADRLLTSCQRLVKLEYEKAIDDLTSIKDLVSGANNYAVKIEADSDSWQRQISSLIARAKGRAISLMESTLQLSNIDLIFTYTLSGGKSTPTKVTSFFQNDILSPSLDDAANLLSEYSTWLSSTNVREANIYVDCFHERWGALVAQEQRIPPEKNELVNEEEKLCVKALDGFSASAAAKVFEEEIREVAWGTFGGLGVAGLSASLLTSVLTSTLEDLLALALCSAGGFFAISNFPTRRKLAVEKIGKAAEKLSSKVDEAIQQDISRSANQLVHFVETVSKPYQDACQQKIDWLQGVQGELSTVERKLQTLKVEIQNLHES >ORGLA05G0129800.1 pep chromosome:AGI1.1:5:14418335:14425336:-1 gene:ORGLA05G0129800 transcript:ORGLA05G0129800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISCRELSPHLSSLPRAAATTTAHTHLSFRRAPPPRRLLTGTASDDGDRLRLLPSAATRDDALASLVGQLEHDVVVRHGQAAEEEEEEEEDEELYHHDSQDHGAARRRHHRQHHQDEHELAARWREIHGRDDWAGLLDPMDPLLRSELIRYGEFAQACYDAFDYDPSSRYCGSCKYPRRAFFDRLGMPAAARGYTVTRYLYATSNFRFPNFFSQSRAGAKIWSQRANWIGYVAVSTDEETARLGRRDIAIAWRGTVTRLEWVSDLMDFLRPVADEGIPCPDREVKVESGFVDLYTDKDPTCRFCKYSAREQVLTEVRRLVTRYAALGEDVSVTVTGHSLGSALAMISAYDIAESGAASAAHGGGKEAAAAAVCVYSFAGPRVGNARFKERFEGELGVKALRVVNVHDGVARMPGILLNEGAPAALRRVAEGIFRVPWCYAHVGVELALDHKRSPFLKDTLDPACFHNLEAHLHLLDGYHGRGERFVLASGRDPALVNKACDFLKDHHCVPPCWRQDENKGMVRAPDGRWVQPDRHSWHLDDHDDHHGEGQHGHDGAVDGAHHRHSSHIRLVRRQPN >ORGLA05G0129700.1 pep chromosome:AGI1.1:5:14409909:14413136:-1 gene:ORGLA05G0129700 transcript:ORGLA05G0129700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDDGQLRTWVSDRLMALLGYSQGLVARLVVRLARECASAGDLAARLVDLAGFPPSPDTAAFAEDVYGRVPRSCGGGGDDAGVSEYQRQMQEAAAMAKKQSTIKLVDDDGEIGVSASPSSGGRKRFRRKAVGENDDDAGRNARRRRSPDDEEEDGDAGEEEEMERDQIERAQLERNIRERDAASTRRLTDRKTTKREQGELARRSDAMDKNDTSGLRRLSRRAYLQRRKEKKVEEMRDEIVDHEFLFPGVQLTAAEERDMRHKKQVYGLVHDRDDGAGDDYYRMPDAYDAAANVDQEKRFSVARRRYEEPAEAARDGKTANALSEQEAWEEQQIRKSRLQFGSMDRGQRGDEYELLFDDGVEFVRSTVMAGTAPADDDDTAAAAAADEYHAEAMDAKATLQDERKTLPVYKLKDDLLKAIDEHQVLIVVGETGSGKTTQIPQYLHEVGYTAGGRKKVACTQPRRVAAMSVAARVAEEMGVKLGHEVGYSIRFEDCTSEKTVIKYMTDGMLLREFLGEPDLGSYSVVVVDEAHERTLATDILFGLVKDIARLRPDMKLLISSATLNADKFSDFFDAAPVFRIPGRRFEVGIHYTVAPEADYIDAAVVTVLQLHVTEPPGGGDILLFLTGQEEIETVEEILRHRLRVLGGKVAELVICPIYANLPAELQAKIFEPAPAGARKVVLATNIAETSLTIDGIKYVVDPGFCKVKSYNPRTGMESLVVAPLSRASAEQRAGRSGRTGPGECFRLYTEYNFVSDLDDDAVPEIQRSNLASVVLALKALGINDLVGFDFMDPPPAESLLRALEELFALGALNSRGELTKTGRRMAEFPLDPMLSKAIVASERYGCSEEVITIAAMLSTGNAVFYRPRDKRVHADAARRAFHAGNAGDHVALLNVYNAWAESGYSPQWCRESFVQHRTMRRARDVRDQLGALLERVEIAPCSSAGGGDLDAVRKAVTAGYFRHAARLQRDGSYRAVKSRQTVFVHPSSGVAQAPPRWALYHELVLTTKEYMRQVTELKPEWLVEIAPHYYERKDVDEPEPKKKTAAATPQEQTTAGSTPTKQTPNLNSFFDV >ORGLA05G0129600.1 pep chromosome:AGI1.1:5:14406080:14409443:1 gene:ORGLA05G0129600 transcript:ORGLA05G0129600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDESPSWGSRSVDCFEKLEQIGEGTYGQVYMARETETQEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPGPERDEQGKPIHGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMKQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNGNLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPEQLSKIFDVCGTPDESNWPGVTKMPWYNNFKPPRQLKRRVKEYFKHFDRLALDLLEKMLTLDPAQRISAQDALDAEYFWSDPLPCDPKSLPKYESSHEFQTKKKRQQMRQADEAAKRQKTQHPQPHGRLPPIQQTGQPHPQIRPGQPMNNPHAPMAAGPGHHYAKPRGPGGSSRYPQGGNQGGGYPNRGGQGGGGSYGNAPYPQQGRGPPPPYPGSGMAGTGGPRGGVGGGYGGGSNYPQQGGPYGPSGPGRGSNYPQQGGSRNQQQYGNWQ >ORGLA05G0129500.1 pep chromosome:AGI1.1:5:14399945:14403933:1 gene:ORGLA05G0129500 transcript:ORGLA05G0129500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45290) TAIR;Acc:AT5G45290] MGSGSSKAGASSASSSSSASASASASASGGDEASKGNGKGQRRGRGRGRGLLQRLPSSSSSCFRGHGTPSRDDASSASSPPPPPRPPRRPFESSKGEENGSLPSIAQMDKSEEDAPTIPKSHPGEGATLPSSHINRDQDVDVLQNATAVNNRVEVNQSPNHSDSSRPRFGVNFGLSRAVSLGSSVACSILSSDLSTSANPDGGHGNVDNSSDANISQQGGASTAGIDSTLDMLRDSVTAQARAAHQARRNLLESDNANLRYSNRRMGPQEPFEGSVRFSRTLSVGRLRDRVLRRTPFSDGLFTPSLLYDRAIWPSGNASARQNSAIMQRTNSERNSELQLDSSTDSATLREANNRDLLERRSAFLERRRIRSQVRALQRLGSRYENLSGLSGHERSCILSGQHRTGNCNCRTSSRPGNSDEETNTRASISRIVMLAEALFEVLDEIHQQSAALSSRPSFSSIGSVPAPKEVVERLPVKVYRRSLKHQTEEAAQCYICLVEYAEGDCVRILPCNHEFHLTCVDKWLKEIHRVCPLCRGDVCRSNASGIGKTT >ORGLA05G0129400.1 pep chromosome:AGI1.1:5:14390409:14390895:-1 gene:ORGLA05G0129400 transcript:ORGLA05G0129400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLQTTWAASLPLFRLRPRPGSPPPCGPRLPFVPICNRRMSTQAQPSFAPLPTAQSESDAGAAGYQFRLVSYNILAQVGWQYAVLFC >ORGLA05G0129300.1 pep chromosome:AGI1.1:5:14388219:14389978:-1 gene:ORGLA05G0129300 transcript:ORGLA05G0129300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT5G48870) TAIR;Acc:AT5G48870] MKGDKELVGTLCGFDVYVNMVLEDVTEYEYTAEGRRITKLDQILLNGNNIAILVPGGSPPDVA >ORGLA05G0129200.1 pep chromosome:AGI1.1:5:14379559:14380359:1 gene:ORGLA05G0129200 transcript:ORGLA05G0129200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQANSAQGERLGISYSYCAGQTPANSAQVETLAGISYPAGQTPANAAQVEALGVSYPAGQTPEENLAKALARWREKLRAPGRAGLTPADYSYIKIGDVCDESAAVLSRLRELGASEPACVYYGDVTNAQADLSLGRLSLSGGAAARHIAESFTDDELDGVLDDGYDGDDGLEVPVFDEEGRRYDFRCGYNDDGFTGQYQLVGAGGDYQRLMANNNVVRDVAELGKGVSFLVFTFRSAALLTKHKWEEDHEASGALCMVILFFVSL >ORGLA05G0129100.1 pep chromosome:AGI1.1:5:14369447:14373596:1 gene:ORGLA05G0129100 transcript:ORGLA05G0129100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41710) TAIR;Acc:AT2G41710] MPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYARDLEEMQMISKEDYLVSLRRKSSAFSRGLPKYRGLPRQLHNSRWDASLGHLLGNDYMSLGWKDITLDGKFAGTFGLERKIDLTNYIRWWLPKKTRQSDTSKMEEVTDEIRAIESSMQRTEPYKFPSLGLHSNSKPSSVVLSACDILSQSDAFKSFSEKSTKLSEECTFSKEMDEGKTVTPVPATGHDTTAVNMNVNGLLVQRAPYTLPSVTAQMKNTWNPADPSADPLFWTNFILPASQPVTMATIATTTFAKNEVSSSDPFHGQE >ORGLA05G0129000.1 pep chromosome:AGI1.1:5:14361005:14363980:-1 gene:ORGLA05G0129000 transcript:ORGLA05G0129000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETEVARPCAGWKGMQRAARWGCGAGGGRDRDVSCWLERVASRPTVRTEEAGGAWAEVDGAIGRPPAPGEAVAGDGVQGGGRPRAGEGRWHNGKAGSAWGGGCRYCGGDQASVPPPTSPLHLAEARPPLSGTVAWEKARRPTRSVEEAVDVATCEVDGSDVCGQRPLRVADAGSRKGGWRCARPRQAAHEEIGSVQVARGHGERGGDGEVEVASLGAMKLGNDNTLQFSRGAGVSFLWCLWQR >ORGLA05G0128900.1 pep chromosome:AGI1.1:5:14359195:14359521:1 gene:ORGLA05G0128900 transcript:ORGLA05G0128900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLLGWLIPLSVGWYGGWKTARHLSEVEKLAEAAAPKPMARLLREYVFNGGAEARRAKQLDNDVGEVSRLVAEVRELAVDIKRQRPPAAQPPPPPPPQGSPSRPSS >ORGLA05G0128800.1 pep chromosome:AGI1.1:5:14353294:14358353:1 gene:ORGLA05G0128800 transcript:ORGLA05G0128800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3411) [Source:Projected from Arabidopsis thaliana (AT5G12470) TAIR;Acc:AT5G12470] MAFPSPNSLSAASHPTSSSSSFFHLHLQLQQPVPHLPFPRSLPLNLPVLRLARPLLPPAPLASSGSGGIGIGGGGDDDEGRDNAGGGDGGDDDASVNRREALFVLAQLGRKLESLPADLAAAIEGGRVPGEIVQRFADLEKSGLFRWLLQFGGFKERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFVKELDFVIADVVMAIVADFMLVWLPAPTVSLQPPLAVNAGSIAKFFHNCPDNAFQVALAGTSYSLLQRVGAIMRNGAKLFAVGTSASLIGTGVTNALIKARKAVSKDFEGESEDIPIVSTSVAYGVYMAVSSNLRYQILAGVIEQRMLEPLLHHHKLVLSALCFAVRTGNTFLGSLLWVDYAKWIGIQ >ORGLA05G0128700.1 pep chromosome:AGI1.1:5:14345922:14349538:1 gene:ORGLA05G0128700 transcript:ORGLA05G0128700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1p/L10e family [Source:Projected from Arabidopsis thaliana (AT3G63490) TAIR;Acc:AT3G63490] MATAASLSATASSLLAPAPATTGAAAAQCCSSSSTALFPTPVPSLRAYYPRLLLAFRRPAVAAVADPQGAVLEEEQGEQQDEGQEQYDDDDYDDGYEGGRGPAFTPPTRPRTGKAALPLKRDRTRSKRFLEIQKLRESKKEHDVPTAISLVKQMASARFVESAEAHFRMNLDPKYNDQQLRATVNLPKGTGQSVKIAVLTQGEKIDQARAAGADIVGGDDLIDQIKGGFMEFDKLIASPDMMPKVAGLGKILGPRGLMPNPKAGTVSPNITQAIEEFKKGKVEYRVDKTGIVHIPFGKVDFPEEDLIANFMAVVRSVERNKPSGAKGIYWKTAYLCSSMGPSIKLNIKEMLDYGSESSD >ORGLA05G0128600.1 pep chromosome:AGI1.1:5:14335895:14342661:1 gene:ORGLA05G0128600 transcript:ORGLA05G0128600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 1 [Source:Projected from Arabidopsis thaliana (AT3G21070) TAIR;Acc:AT3G21070] MESERAAYAFLPQTPIKSTDAHLVEFSEAMRAVAKTLRQVAEGKAAAQAEAAEWKHKYELEKAVKAHRHNTVTKGCSNCDKEKLEKLASQLTLETTSVDPTSCCGNHEICSRQILQDECPGTNKISHDKIAARKAPFKLSWGCNGDNNGQHKHDFVSFEKGDITTAERSNKQILLKWESPPQTVLFVTKPNSNSVHALCAEMVRWLKEHNNINIFVEPRVSKELATEDSYFNFIQTWDNDEEMKTLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFSSELYRECLDHVLKRPFGITLRSRLQCHVIYDSAKNEVDTEEPILVLNEVTIDRGMSSYLTYLECYCDSSFVTRVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPINSRGQAWASFDGKGRKQLGPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPVA >ORGLA05G0128500.1 pep chromosome:AGI1.1:5:14335054:14335453:-1 gene:ORGLA05G0128500 transcript:ORGLA05G0128500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGRDPRRGHAGPALSWDRRRLRAAARGGQCVRGHCGGAGRGGRRFAGGEARGSATGSIGGAVRVRLRERRRGWVARLGMDRRPAMDGWMDGRDKLMGRLGLLASTVYIEFM >ORGLA05G0128400.1 pep chromosome:AGI1.1:5:14326975:14329844:-1 gene:ORGLA05G0128400 transcript:ORGLA05G0128400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRVAGGATPPPPPPSPPLEASAFTHTPYYCEENVHFLCKELIRSGISDPAGTDLYAVFISNEEKKVPLWYQKASHSGDGFVLWDYHVICIQSRRKNGEVLDLVWDLDSSLPFPCSFIQYFSDAIRPLSFGNSTYRRLFRVIHAPVFLRSFASDRSHMKDHAGNWIQLPPKYESIVAEDGTTNNLNEYITMSMDDVKDLESMADDVYSSKHGVVINETILPEFFSRLPG >ORGLA05G0128300.1 pep chromosome:AGI1.1:5:14321709:14323959:-1 gene:ORGLA05G0128300 transcript:ORGLA05G0128300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G18335) TAIR;Acc:AT1G18335] MATAEKKRPRSSSSNGGVGKRLSRKEILGRKKAVEELIRKAVAMKDHLAQFPDFHKYQSNGLLVYLEYGYGNQLPLPTRKYIQNLLKVNMEGQYGLEWPSEEKVKRREMVAPEARYIFVRQSSNAITTQNIMKQDSRLEFTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQMESSAQGKGLGKFLMELVELIACKSQMGAVMLTVQKANNLAMAFYKKLRYVISSTSPSRVDPLIGLEKNYEILCKAFESEAKSILEEGN >ORGLA05G0128200.1 pep chromosome:AGI1.1:5:14315299:14317335:1 gene:ORGLA05G0128200 transcript:ORGLA05G0128200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCRADSSVATCRSITAISPLPLSRRSGVGGRRRALPAAAREGDGGEASSAAATIERFAYDELEAATSHFADAALLGRGSHGAVYKAVLASGRAVAVKRPSPRRPEVDNEIRILSSVRGPRLVNLLGFSDSGAGAGADQQQQQHRPRLLVVEYMPNGTLYELLHSNPRPPGWPRRVRLALQTARALRALHDADPPVIHRDVKSANVLLDANLDARLGDFGLALRVPKRLPGDAAANAAATPAPAGTLGYLDPAYVTPESLSTKTDVFSFGILLLEIMSGRKAIDVQHSPPSVVEWAVPLLRKGKVASLFDPRVAPPRDPVTRRDLAALAASCVRSCRERRPSMADIVDRLVVLSKAVSGKMWNGLAVVGNPCAVVDVQKTIAKRAAAAAAGDRAASQRELTSALAFDDDEKKEEDAPNAGALEEDEVPLVGAKKAPRPLKNGKMFSEPGARERRNLLELMARIDGVAGQRFGITRARTVRAASESIEKDATVLLLRRNQTVKVLGSEALSKADIFSSLDAKIKHELGKEQQEEAGKIKHELVKEQQEKAGNIKQELVKEQQEKAGNIKQESGEEQEKAGKTKHDAGKGHVEKAVGINLEAGKEQEKVEKNQEKEMKIQEKLGEIFDKAMKSEEKTGQNPGIEKKIQDTAEKKQEHDARVVQDKVEKIQDEAKKIQ >ORGLA05G0128100.1 pep chromosome:AGI1.1:5:14307755:14308069:1 gene:ORGLA05G0128100 transcript:ORGLA05G0128100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQPKLLWAFVTSSFPWALALVTFLDIWIGLVWTDADADADADAGKRRCRGSTAEGQPRLHVKAVGTRRRQQQQQQQPPPPPADAARKSRRKDTDLALKVLC >ORGLA05G0128000.1 pep chromosome:AGI1.1:5:14297979:14300056:1 gene:ORGLA05G0128000 transcript:ORGLA05G0128000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfoquinovosyldiacylglycerol 1 [Source:Projected from Arabidopsis thaliana (AT4G33030) TAIR;Acc:AT4G33030] MKMAHMVTNCSFSPSPAVKTYSKSPGYCCNVTQFQSSKCSNLVLKSCTATRPNRPFVARASAAVQGQTQTPLTGSQQASGHSSSKPKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPIASIQNRIRRWKALTGKMIQLYVGDICDFDFLSEAFKSFEPDSAVHFGEQRSAPYSMIDRSRAVFTQHNNVIGTLNVLFAIKEFSEECHLVKLGTMGEYGTPNIDIEEGFITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDETAMHEELSNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELAKLVTAAGAKLGLEVQTKSVPNPRVEAEEHYYNAKHTKLMELGLEPHLLSDSLLDSLLNFAVQYKDRVDTAQIMPSVSWKKMGAKPKTVSV >ORGLA05G0127900.1 pep chromosome:AGI1.1:5:14289150:14291351:1 gene:ORGLA05G0127900 transcript:ORGLA05G0127900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAAAKAKQGGDQAENKTGKDEKVAKPKDAKDLIDFMEKNYDLIKDVTKFEDFYHAFYELIEKFCEERGQLQYRIPEKAELQKQYERVNKSPQKGQNLSRKQFMELAGQVIKVNSFTFGKATMDVLVVLFGAPVCALLAKRVVPGLKSFSDDVVIPVATSGAVVYLAKTNKL >ORGLA05G0127800.1 pep chromosome:AGI1.1:5:14285588:14288056:1 gene:ORGLA05G0127800 transcript:ORGLA05G0127800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PV80] MSLHQRPHQKPPATDSLPASAAAAAAAPSRPLPLLTLPYLFSLLALLLLLALLFPWGPPRHSSAPASPWRGYTLQEAAAFAARAGNGTIVLAAVSGPYLPFLSNWLITVRRAGRADQVLVVAEDYDTLERINAAWPGHAVLVPPAPDAQVAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYIVGDHDVYFMDDMTPVKPLDHSHELPPPGKKGRTYICSCMIFLRPTEGAKLLLRKWIEELKEQPWSKKQKANDQPAFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHGLWLVDEHSDESPLGRI >ORGLA05G0127700.1 pep chromosome:AGI1.1:5:14278544:14281396:-1 gene:ORGLA05G0127700 transcript:ORGLA05G0127700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGAGSSRSVAPCCCAVLLAAALLFSAPATTEAYDALDPNGNITIKWDVMSWTPDGYVAVVTMFNYQQFRHIQAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGGTPHCCKKDPTVVDLLPGTPYNMQIANCCKAGVINTFNQDASNAASSFQISVGLAGTTNKTVKLPKNFTLKAPGPGYTCGRAMIVRPTKFFTGDGRRATQALMTWNVTCTYSQFLAQKTPSCCVSLSSFYNDTIVNCPTCSCGCQNNGTSPGSCVNENSPYLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKITITNFNYRMNYTQWNLVAQHPNFNNITQLFSFNYKPLTPYGNKINDTAMFWGVKFYNDLLMQAGPLGNAQSELLLRKDSKDFTFDKGWAFPHRVYFNGDNCVMPPPDAYPWLPNASPLTKQPLTLSVLVFSIVLATLLAYA >ORGLA05G0127600.1 pep chromosome:AGI1.1:5:14274658:14275071:1 gene:ORGLA05G0127600 transcript:ORGLA05G0127600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPSLSPPSAMPPLPSPFSDGGGASAVRDRRLPPPAAVDAASSPWTMASLFPTPSLANLKSPTLARSDGVSSTLEEACRPPLSPGGHGRRGGARRWRRRRGPALGEGSNTCCCCRHGNSAISAALVGHVHRLR >ORGLA05G0127500.1 pep chromosome:AGI1.1:5:14266076:14266792:1 gene:ORGLA05G0127500 transcript:ORGLA05G0127500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRKKRKAPAPAAPPPPPRRPPSPSRLEFRSPADGAWYEARVTVQGGALRVMYELFTEEQDEWYDPRAAAAAPLDAAALRARFRAPSTPLDDARCRDLRPGDPLCVACALAGGVELKFFDAVLESVSPAAHETVDGEERCACRFSVRWAEGPLAGAMAEVGVEQVCCVRSTTPVRDPVLAEFLDGVLSKSPGDDGEGNATAASRSSGAVAP >ORGLA05G0127400.1 pep chromosome:AGI1.1:5:14262957:14263562:-1 gene:ORGLA05G0127400 transcript:ORGLA05G0127400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYHIISLPPSLFSPRSWLTRYRAAGGRTRSPGDDGEVGGGSGGGGDENGGQEEGSKSRAAGETASAARQERRASAAPTPATTQHAPPMPGGPSRAAVADDDNELSRLLSLAKADLDAGHLCAARLDADSPRGSLLLTVISVLVADHSSHRATLLAIASGVALDEGGEERGGVNGARDNGPWGRREGGLGRRCQRAVGGR >ORGLA05G0127300.1 pep chromosome:AGI1.1:5:14247222:14248323:-1 gene:ORGLA05G0127300 transcript:ORGLA05G0127300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAPAASYHHHRAAAAAAAAAAAAAAAEPVFPLLGTGQCALDADTAKSSGAAAAAGVPPGSASAIHFWQSQPTTAAGAGGGSADKKPLPMLDYGGIGGPGGSGAATCHDCGNQAKKDCVHHRCRTCCKSRGFDCPTHVRSTWVPAARRRERQQLAGAASSPPTSSAFPAATTASAKKPRLLGSQTTTTTSRTSTSNATTPRSFDTSSSHQVASFRDALPRHVRAPAVFRCVRVTSVDDGDDEFAYQAAVTINGHMFRGFLYDQGADDGRGGMASTSNDESSHGAGAAVPSISDLHLGSASAAVPPHLYSGGSGGPLILGGLGYGNTMN >ORGLA05G0127200.1 pep chromosome:AGI1.1:5:14228885:14232700:1 gene:ORGLA05G0127200 transcript:ORGLA05G0127200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKNAGKASPCLLLLISVGCFFATYNFLTMVGHGRSRDAGPRKILGGVGGGGSDPSKRFHVALTATDALYSQWQSRVMYYWYREMRDRPGSDMGGFTRILHSGKPDGLMDEIPTLVVDPLPEGADRGYIVLNRPWAFVQWLKKSNIKEDYVLMAEPDHIFVRPLPNLAHGDEPAAFPFFYIKPTENEKILRKFFPEENGPVSKIDPIGNSPVIIKKAQLEKIAPTWMNISLKMKEDVETDKAFGWVLEMYAYAVASALHGVHYSLRKDFMIQPPWDAKSDNTFIIHYTYGCDYTLKGELTYGKIGEWRFDKRSYLRSPPPRNLTLPPPGVPESVATLVKMVNEATANIPGWDEER >ORGLA05G0127100.1 pep chromosome:AGI1.1:5:14214471:14214956:1 gene:ORGLA05G0127100 transcript:ORGLA05G0127100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSFGGGVQGYMDLLQQGDCLFGQYSSHPNEIPYMQAPSTGSFRPELMSGFKPYTASYGDMSSFGGGSSSVPNELRTSQTDDAPQVTQPTQPEVGEGNDNDPRRSNRERHEPNRLSLSGPRHAAGQRKKTTKKQGGPS >ORGLA05G0127000.1 pep chromosome:AGI1.1:5:14198509:14199117:1 gene:ORGLA05G0127000 transcript:ORGLA05G0127000.1 gene_biotype:protein_coding transcript_biotype:protein_coding REGYVEPIVQQHKMGVKNVTRSKAWQDWLAGCRRRGYEYVMLVQACQGRVPTESATGQSSNREEMGSSSHEEDEVVAPHHGGDVGPDIQNLSIQGDEVVNRHPTGEADDGEDIPAIVEEIERVDRHAVEDEENLAAEENDDKDEQEVEEVPMPASWNLEDPGYIAENSCHDSIWFYGDGQINLGAMFRDKIGLQGAVKSWSF >ORGLA05G0126900.1 pep chromosome:AGI1.1:5:14174000:14174665:1 gene:ORGLA05G0126900 transcript:ORGLA05G0126900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGMSPCLCSPPVHGEEAAAAAARLVFWGGAASQLVASAATTAGDVMAELPGHLVCAGDSFFIGLPIPALPAGEELAAGRTYFVLPAARFSCQQALTAASLASLSPSPAAKVSLAGGASSPFEYVTGDDGMALIRVLPEFIERAITCVARVAGGGKAGGEAAADDQLCSTPELRKHYMQLVGARQQRPWSPGLETISEAEKRRRRRSPVRLVALAKAASR >ORGLA05G0126800.1 pep chromosome:AGI1.1:5:14172141:14172698:1 gene:ORGLA05G0126800 transcript:ORGLA05G0126800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSRHFGPLHRHDRCACRPAAAPHAVLAASRRRSTTPRRCTAVPSAIRRHHHRQSGEGKEQEPEKEYEIKRGKAGRQSVLVAVRPRLAPQEPRRLPRRPTQGRPSPATAPSAAHRHRRLHRRPAAPRDARLPPPHGRARPSALGPRPRRLPASPHDHPLPLDLCRVPPQWAARCRPPPAPARR >ORGLA05G0126700.1 pep chromosome:AGI1.1:5:14141486:14149067:-1 gene:ORGLA05G0126700 transcript:ORGLA05G0126700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLGGARPGAVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESILIWFSGKEEKQLRLSHVSRIIPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLITRSHQRKWRTESRSDMLSSGTTSPRTYTRRSSPLSSPFSSNDSVHKDGGENYRLRSPFGSPPKVGLEKAFSDIVSYAAPPKPFFPSDSNAGSVHSVSSGQSDNTNLHSRGIPMDAFRVSLSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGNSRVGNSSGVKMDCLIPKPLEFAVKLDVQNISCGGRHATLVTKQGEIYSWGEESGGRLGHGVDCDVPQPKLIDALANMNIELVACGEYHTCAVTLSGDLYTWGNGTSNSGLLGHGNEVSHWVPKRVNGPLEGIHVSAISCGPWHTAIVTSAGQLFTFGDGSFGVLGHGDRQSLSVPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGRLGHGDKDTRLVPTCVAALVEPNFCQIACGHCMTVALTTSGHVYTMGSPVYGQLGNPQADGMLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRSSPTLVEALKDKQVRTVVCGINFTAAICIHKWVSGVDQSMCSGCRLPFNLRRKRHNCYNCALVFCHACSSKKSLKASLAPNPNKPYRVCDSCYSKLNKGPETDRYSSAKRGSVIQGFNDSVDEELETKSNAQLSRLSSLESFKHMDSRTSKKNKKFEFNSSRVSPIPNGSSHWSGLNISKSFGSSKKFFSASVPGSRIVSRATSPVSRRASPPRSTTPTPTLGGLTSPRVVDGVKPNDSISQEVLSLRSQVENLTRKSQLLEADLERTTKQLKEAISIAGEETAKCKAAKEVIKSLTAQLKGMAEKLPEGAGLVKNSRLPPLPGISIPTDISVASESLGSPRSSGEPCSNGSNGLLVSNGPTSVRNKLSHPEIPKNGTRLPDSDSKHESEWVEQDEPGVYITLTALPGGTRDLKRVRFSRKRFSETQAEQWWQENRARVYEQYNVRVIDKSVGSIDNDVHH >ORGLA05G0126600.1 pep chromosome:AGI1.1:5:14139874:14140380:-1 gene:ORGLA05G0126600 transcript:ORGLA05G0126600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSSVQRLNKVFTQSSLPREEGYLNSTLRRVIMLKIVVTVGPKKPWAKISLGTPTTLPSWSVAQSETTIQTSVAHGLRHTRPTPLRQPASSPANDKTATTPVTLASALTQAAFTTPPAGFPVESNLWEPAQGTTLPRQSEFSLLTDHRLQPAKKLPTKKGRSSLAGL >ORGLA05G0126500.1 pep chromosome:AGI1.1:5:14128824:14130800:-1 gene:ORGLA05G0126500 transcript:ORGLA05G0126500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G19410) TAIR;Acc:AT5G19410] MEDLSLSSELDPALLSTSTSSSSPPDSASPSFSFYHPSPPNYTLAVSNLSCRDPRRGSGGAGLLSSLLGSFSTSSPPATGGAGLLNNVSFTASSSQILAVVGPSGAGKSTLLRILSGRGTGGEIAARPHAVVSVNGRAVTSRARLRRMCGFVTQDDNLLPLLTVRETILFAARFRLRSAVTARERGERVEALLQELRLSEVADSYVGGCGGAGGAPRGVSGGERKRVSIAVDIVHDPPVLLLDEPTSGLDSRSAMDVLSLLRDVARARRQVVVLSIHQPSYRMLAYISSLLLLSRGAVAHFGTLKSLEHSLSRLGHEIPMQLNPLELAMEVTEQLEADHARFGAALATTIHQHQHNKVIDDEDESSGAGEHEHEHGYYCSRAVEVGALAVRCWRTMHRTRELFAARAAQAVIAGLGLGSVYFRIRPDPEGVALRLGLFAFSLSFLLSSTVEALPILLHERRVLMREASRRAYRLSSYVVANALVFAPCLLAVSLLFSAPVYFLAGLRATPLAFACFALAVWLIVLMASSLVLFLSAVSPDFVLGNSLICMSLGVFFLFSGYFIPRESIPRYWAFMYYVSMYRYPLDLLLINEYGGSSSGRCVAWAGGVCLRTGGDVLRGRGIDEGMRWVNVGVMLGFFVLYRVMCWAVLVRRAAKTTL >ORGLA05G0126400.1 pep chromosome:AGI1.1:5:14121035:14128798:1 gene:ORGLA05G0126400 transcript:ORGLA05G0126400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLPRRPYVFSLIVGTPPQNITGALHINGELVSMPCVECAANTPCNDNRPDAYLVGESRTLDVELCTSQRCQRLAPQNQRTCGGGSRACQYTYTYGGRNETAGFLATEAFAFGETRANVTFACGVRNVESFGGAPGVVGLSRGNLSLVTQLQLGRFSYYLAPEEDHAGDAGNASFVLFGDDAAPRTGNTSYTRLVVTNATGHPDYYYVALAGVRVGAKNLNNL >ORGLA05G0126300.1 pep chromosome:AGI1.1:5:14106342:14106670:-1 gene:ORGLA05G0126300 transcript:ORGLA05G0126300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNEPTNVNENERTNMNENKVNERERERCRTNVNEPTNVNVKCNYRQVNERERTNVEKTLSESSLKPEW >ORGLA05G0126200.1 pep chromosome:AGI1.1:5:14082378:14082971:-1 gene:ORGLA05G0126200 transcript:ORGLA05G0126200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGRREPMGDWMVREREGKEEGRFAGGGSRPPPARPRRPPTRPPPARPGRLPPVGGWWRRRSKGEAAAAEGNKGGAGRRSRGRSGRGCPRRWPAGETARWLRGSGAGAGGGAGGRDRAMTAAARTTRAPPRGLPRRRGAACAPTRSAGWRRTRAPTARRTRASAPSSRTTTSSPTTATRTPPPPSTSPATAPIRCH >ORGLA05G0126100.1 pep chromosome:AGI1.1:5:14067195:14070815:-1 gene:ORGLA05G0126100 transcript:ORGLA05G0126100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT1G56590) TAIR;Acc:AT1G56590] MLQCVFLLSDSGEVMVEKQMTAHRVDRGICGWFWDYVLAHAAGDASKVLQVVVSPTHYLFQVYRNGVTFLACTQVEMPPLLAIEFLLRVADVLTDYLGDLNEDIIKDNFVLVYQILDEMMDNGFPLTTEPNILKEMIAPPNIVSKMLNVVTGKSSNLGNKLPDAAASFVPWRTTVVKDASNEVYVNIVEELDACVNREGALVKCEAYGKIQVNSSLPGVPELTLSFSNPTIINDVRFHPCVRFRPWESNQILSFVPPDGQFELMSYRVKKLKTIPIYVKPQLTSDSGNCRVNVMVGIKNDPGKPIDSITVQFRLPPLIASADLTANYGTVDILADQTCLWTIGQIPKDKAPSLSGNLRLEEGLTHLHTFPTFEVKFKIMGVALSGLQIDKLEVKNTPNAPYKGFRAQTQAGRYEVRS >ORGLA05G0126000.1 pep chromosome:AGI1.1:5:14061233:14061538:1 gene:ORGLA05G0126000 transcript:ORGLA05G0126000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIWRRRRRERRASTAAAEGEATGAAAADANPVGGREWIWVQVLSRVAWVLFVRFAAAASSSSALRKKGRRTSGDFSPSHVGPAFKALFNSTQYYYNLNY >ORGLA05G0125900.1 pep chromosome:AGI1.1:5:14058513:14061209:-1 gene:ORGLA05G0125900 transcript:ORGLA05G0125900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein [Source:UniProtKB/TrEMBL;Acc:I1PV61] MEAKIGKLVESVGSFFSGGDTIPWCTRDIIAGCEREVAEAANEEQKSDSIMRLSWALVHSKNQEDVNRGIGMLEASLGQSNSPLQTREKLYLLAVGHYRNGDYPRSRQLVDRCLEIQPDWRQALSLRKAIEDKIAKDGLIGIGIATTAVGLLVGGIAAIAARKK >ORGLA05G0125800.1 pep chromosome:AGI1.1:5:14055864:14058108:1 gene:ORGLA05G0125800 transcript:ORGLA05G0125800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:I1PV60] MCCWCCCLDCIHNIPPLNLLFLHFSPHSLSPSAASAGGGEEAAAAVAPMASISVPNPAPSPTEDAESIRKAVQGWGTDENALIEILGHRTAAQRAEIAVAYEGLYDETLLDRLHSELSGDFRSALMLWTMDPAARDAKLANEALKKKKGELRHIWVLVEVACASSPDHLVAVRKAYRAAYASSLEEDVASCSLFGDPLRRFLVRLVSSYRYVGDGGGGGVDGELAIAEAAELHDAVVGRGQALHGDDVVRIVGTRSKAQVAATLERYRQEHGKGIDEVLDGRRGDQLAAVLKAALWCLTSPEKHFAEVIRTSILGLGTDEEMLTRGIVSRAEVDMEKVKEEYKVRYNTTVTADVRGDTSGYYMNTLLTLVGPEK >ORGLA05G0125700.1 pep chromosome:AGI1.1:5:14049406:14050763:-1 gene:ORGLA05G0125700 transcript:ORGLA05G0125700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:annexin 4 [Source:Projected from Arabidopsis thaliana (AT2G38750) TAIR;Acc:AT2G38750] MADEIQHLTRAFSGLGGLGVDEPAMVSALAKWRRQPEKLSGFRKSFNGFFKDHGGVIERCEEEYMLHLAAEFSRFKNLMVMWAMHPWERDARLAHHVLHQAHPAAIVVEIACTRTAEELLGARKAYQALFHHSLEEDVAYRARDKPYCGVSLLVGLVSAYRYEGPRVSEETARAEAKALVAAVKSAGHAAAKLVENDDVVRILTTRSKPHLVETFKHYKEIHGRHIEEDLGHEETLREAALCLATPARYFSEVVAAAVSDGADHHAKEALTRVAVTRADVDMDAIRAAYHEQFGGRLEDAVAGKAHGYYRDALLSLVAGGK >ORGLA05G0125600.1 pep chromosome:AGI1.1:5:14039231:14040814:1 gene:ORGLA05G0125600 transcript:ORGLA05G0125600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAQLAYVLLFLVTAVLLFHLRRGGRSAPAKLTTAHRPHPNPVLGNTVEFIRNRRRFFDWYTDLLRASPSGAIEAWGPFGAGHAVTTACPAAVEHLLRGNFGNYVRGPSFRAAMSELIGDGLFAADGRLWSVQRKVASYAFSSRALRRFSDDVLAVHLRGTLLPFLDAAAASGEAVDLQDALRRFAFDSICHVAFGVESSTLLETAREDSRHEALFAAFDAAVEISFRRALAPFTLVRKLTGLLNVGSSRRLREAVGVIDDYAMSVVESKEAACRDREDGDGDGDPDLLSRFMAAMDEEDGGELGAMFPTPEGKRRLLRDVVVSFVLAGKDTTSSALTWLFWLLAANPRCERRVRDELSRSPDGGGGGDAKGMHTHYLHAAITEAMRLYPPVPFNGRVAVGDDVLPGGAAVRAGWFANYSAYAMGRMERLWGEDCLEFSPERWLRDGGEFVAVDAARYPVFHAGPRACLGKEMAYVQMKTVAAAVLRRFSVEVAAPAPAMDSPPAYEMTATMKMKGGLWVRLRKRE >ORGLA05G0125500.1 pep chromosome:AGI1.1:5:14029666:14033721:-1 gene:ORGLA05G0125500 transcript:ORGLA05G0125500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANGSAVTVKMASDGMWQGENPLDFALPLLALQVAVILVITQGLALALKPLHQPRVVAEILGGILLGPSALGRWGAFRRTLFPAWSAAALDTVSGLGLLLFLFLVGLELDFRSVRRVGPRSVAIAAAGIAPPFLAAAGLVPLLDVAVPAPRRASFLPLCVFVGAALSVTALPVLACILKELSLLGVPFGDTAMAAAAVNDVFAWVLLALALAVSGGGGGEPKGPPLAPVYILASGAAFVAFMLGALRPLMARLARRLGPDRAGDLACTGAVACALLAGAATDAIGVHPVFGAFVFGLAMPREGGLAERAGEKVAPLVSGLMLPLYFATSGLHTDIDNVRGVAAWGMVALVVAVAIGGKFAGTFAVAAGTGMPRREAAALGVAMSAKGLVELIVLNIGKERKVLDDTTFAIFVIMALTTTVLATPFMTALYRRTPTATTPESDDVELKGGDACPA >ORGLA05G0125400.1 pep chromosome:AGI1.1:5:14022016:14025168:1 gene:ORGLA05G0125400 transcript:ORGLA05G0125400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQDVRTKEAEEERMEENNLLHFLDSPNAHYRRKCEEYVSAHDDEAHCDASDVDLANARERLEHLLKQPANKFCADCGTPDPKWAALPFGALICIKCSGTHRSLGVHISKVISVNLDEWTDEEVNCLAGSGGNATVNTRYEAFLPENFKKPRHDCTTEERCNFIRKKYEFQQFVTDPQFSCPLRLNTKHAPDKNQQQQNCSARHGFGHAFRNSWKRKDTDNKGLKKMTDVGMVEFVGLIKVDIRRGTNLAVRDVMSSDPYVMLNLGHQTMKTKVIKNTLNPVWNERLMLSIPHPVPPLKLQVFDKDTFSSDDRMGDVEVDIQPLIAAAREHESSAAIAASVEVTKLLASDDGTLARDSVISVVDGKVKQDIALRLQNVEHGELEIELECVPLSQ >ORGLA05G0125300.1 pep chromosome:AGI1.1:5:14018027:14018578:1 gene:ORGLA05G0125300 transcript:ORGLA05G0125300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTCEVISDYMFFLLVTQPAMLPVQRNVYDLLALVLNDASYARTSSKEQFLEALASGENPWVPPFMDNLFGATPDDMLEQLQQGWQGLHAALQVIVQVWVRLLIYAAGKSQPEEHARRLSMGGELLTFVWLLMAHRELGDIYNIQFQLVEKEKDGSVRTGPNSTITTDIYTLFRLGHPIDIDRI >ORGLA05G0125200.1 pep chromosome:AGI1.1:5:14002609:14005671:1 gene:ORGLA05G0125200 transcript:ORGLA05G0125200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTSSSDAKVGRGAASSASPRRRPVRVVARGKVLFPKVSAAAAAAASAGLGRATCSSTMKEAKFPDALDLAPGATDAEGPAATRVCPYTYCSLNGHAHSPAVPLRSFLASRRRLIKTQQSMKLRGVSAFRKGAAHQRPEDTNGAGGGARVAPPPPLIDEEALGDFFVEVYAGPRVSTDMSCSDMSLDEMDATVRKMEFVVFDRCGADESDEKGKNDLDVCGDGGDDDGEARPEERFGAFRDSTSECSDASTSGEFVEELPWIRYQGYEDDSLDGEFSDEHGIRDEEITRAVVSEFQEDQEEEGTSGRLGDGCEDEAAQQQEENDEQNISDFARESEIASEHEGVDFRVEACEEQERVSEDNILDAAHQTEVCREQEMQEEKNFAAVCKLGIPEQELAETADNIPDECCKEETSMEQDKGGDGTNMESESISEVAEHPNVEDEENTQDDGGSEMEISEEIISGFGCEEDFSEEVTSKYVSEGEISDSGAIVSLHVEMQKQPVENHAFEQDDSSTADNAFHQDNSTADRAFDQDDIRADGYDDSQKELDIGMREFRVASEEVGIQEANSDDPVDCTEDANMELGVFLCDLQDASEGSGIAQESSQEGNSACFNDGAQMVPDITTQTTEDASEESDTAQETTLDDNSTPLAAGAQMELGIGTSELIEGSSDVTEQSGIAEETCQDDNAGYFSDDDSQKATVITTCQLQVDYEENVIAQEADDNSTCVRDDAQNEPEQTCELATSEECHFTQETIQNHGALEESVVIASTSEDAHEESDPTQDGHEEDYSVGINSGAQKEGELDTSESGGASEGTTVHQEDDGHVNTTDLNGSAQKEITVSILDDSEELCSSEENNQSSNMLIPEFSDNFSAEEPQYQDSVAKESSLDDICNAFSGMHLKGDAYLDPTESMTCPGNRLIIARRRRTPEEEEYLRGFNPRAPNFLPLELDPESEKVDLKHQMMDERKNAEEWMIDYALRRAVNNLGPARKKKVELLVQAFETVLPHDEEEKKDITPTRPVQACN >ORGLA05G0125100.1 pep chromosome:AGI1.1:5:13984331:13984852:-1 gene:ORGLA05G0125100 transcript:ORGLA05G0125100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRTMIAPLLVLNLIMYLIVIGFASWNLNHYINGETNHPGVAGNGATFYFLVFAILAGVVGAASKLAGVHHVRSWGAHSLAAGAASALIAWAITALAFGLACKEIHIGGYRGWRLRVLEAFVIILAFTQLLYVAMLHGGLFSGNHAAGAGGYGGDYPADHHHKPAAAARV >ORGLA05G0125000.1 pep chromosome:AGI1.1:5:13980639:13981052:-1 gene:ORGLA05G0125000 transcript:ORGLA05G0125000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEGGAAGGAGGNRSPPPPTPGQQEEASSSSKNSKVAGWSKEEDDPIIGGGGGEKEEPTPSPECLPLFPLTPGCHHQKQAQAPAQAPPPLAVTPGFPTPEFLVLFPLTPGLRGCDLKDNAAAMDVDSEAVRRSLS >ORGLA05G0124900.1 pep chromosome:AGI1.1:5:13978717:13979316:-1 gene:ORGLA05G0124900 transcript:ORGLA05G0124900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMSDGSSSTRESKASPVPYRVGPLEYQPAVMCRCRPPAKAARWISWSTDNPRRRYYKCQNARQGGCDFWAWYDGPTSSFIRELLNDLRDRVNSLRRENEVMRKEVEQSRDKVEVQSKAIDDARGVVAMKNAKIMCLKARNHKLEKERKVFVICVVSCMFVLFVVLFGKK >ORGLA05G0124800.1 pep chromosome:AGI1.1:5:13962596:13963201:-1 gene:ORGLA05G0124800 transcript:ORGLA05G0124800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVRAFFSRKGRGNSSGRSRSMREAAMNVDWSPRPSDLAAAAAAKPRPPAAEDETERVFRKFDANGDGRISRAELAALFRSVGHAVTDDEVARMMQEADSDGDGYISLGEFAAISAPPPGDAAAAEEDLRHAFGVFDADGNGVITPAELARVLRGIGEAATVAQCRRMIDGVDRNGDGLINFEEFKLMMAAGAGFGRIAS >ORGLA05G0124700.1 pep chromosome:AGI1.1:5:13952678:13957027:1 gene:ORGLA05G0124700 transcript:ORGLA05G0124700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELASGAVSSLLGLLQKEAQLLGRVGSDVEFIREEMESMNSFLEHLSRTAHLASGHDKQVRTWMKQVRDLAHDCSNCVDDYLRSGDLAVHLARGGVRRYIWWTYWLVRKMHDQQNAALRLRELRDRVSDVGKRRLRYGVEIPSKGRAAQILPSSTPSRGSAAAPGVIEDEDDDDDDTLHQVVAAMTGDLDLRRGIQEHRTLEDFCAEKLVNWVSTSREQEKGQQGPSSIPSIAIVALDAGASSAAAQGALELATAHFDKSFSINLQALHRPWDLPVLPWEILYYILFQCKQHGTGQGEADDEKRRKAFQDKLNTHDEIWKEIEKMNIDNKIEEVKRKIGEVSGTTEEVKNNKIEGTKYLKATTDIPIGMLHQALRLMLNSEGLSIIGRSSDTKIMQETAMMLKQHMESVVPKPPIQLDNIQYLDILQKVFLDTKPSHAKETSTNTATTLGEDHIKEILNNHKIALDIVRELLRWSQLPEGNSVKELAKGVLQGVRDQNSVIDAAISETEEKMNENPRDTKAKGTNAAIQETNEKVGEISSAIKFSLFIKGIVDKIKVPLERKRTLFILIDDRGYISEWEGIKNALSLLDCSNGNAVIVITNNNQKAQGFCSTPWEPITYSLVGLYYDIVLKMTSQRENEGGNNNSQIFRDILVKCDPNEFCMRMFAHAMYANPNRSYEELRRLLGSLQVFGNSTDAYATKAKMIFKFSYRDLPREHKTCLLYLAIFPQGHEIKRSTLIERWAIEELITNEDWPTVVRHGKRCFQALIDRWFVTPVELSAAGKVKSCKVDGLVHDFITKIAKKEHILDMRLSQLRAHHFSTFSGLRLRASDTIDTVVEKLPRYLHKLHLLKLLDLEGCQYHLDKNHLKDICSILHLKYLSLRRTDVADLPHEINNLHELEVLDIRQTKVSEHATKNIVLLKLRRLLAGQVDPSTSHEMGKPLRSAVLIPRKINKMENMEVLSNVKAYSRNGAELKEIRKLGQLRKLGVVIVNNENHLKNLLWAMSDLKECLQSLSITILKTRNEGDTDNEQKVLPHDLYNHLIQPPKVLESLSIDGPTKIVRLLTMFAKGSNELAKVTLRHTSLKKKNLVHITMLPKLCCVRLRQNAYTDKELTFETEEFPHLKNFLVEQLHETDMINFKKGAAPELEKIVLFRTSIKHLCGVGALPNLKELELKENEQLVLSPEAGTVSADPVILEDWTDSTDIIVHKDGATSAEKSALTFKKKEFKHLKYFLVEGHHMQTDIKFEGGAPELEKIVLSNTNIESLDGVGGLAKLMEIDLKGNKTILSLFATANHIAKVTLSDTCLKQGDLQILAKKPKLCWLLLLDKSYDESHLTFKKDEFPKLKHLTITCSKISGINFAKESACKLEKITWSFTELESLSGIDNLPELKELELEGRSVPLQVRRDINAHNKKLIHKKTQVQDEPKGGPTLANKKKSAFFSIFPSTHR >ORGLA05G0124600.1 pep chromosome:AGI1.1:5:13933393:13937169:1 gene:ORGLA05G0124600 transcript:ORGLA05G0124600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLSALLPALLKKASESLSTEFSFIGGIEHRRSELCTLLLAVNQVIYDAEEQASKKPAVKSWITKLKLAACDADDALDELHYEALRCEALRRGHKINSAVRAFFSSHYNPLLFKYRIGKRLQQIVEQINELVLQMNRFGFLNCPMPVDERMQTYSYVDEQEVIGREKERGQIVHMLLSARSDELLILPIVGIGGLGKTTLAQLVFNDVKVKAHFQKHMWVCVSENFNVPVIVKGIIDTAIGNDCGLKSDNLELLQQRLREVLSQKRYLLVLDDVWNEDEQKWEALRTLLCSCRMGSAVVVTTRNSNVASVMGTVPPLALEQLSQEDSWTLFCERAFRTGVAKSCEFVEIGTKIVQKCSGVPLAINSMGGLLSRKHNVRDWLAILQNNTWEENNILTVLSLSYKHLPSFMKQCFAFCAVFPKDYEIDKDDLIHLWISNGFIPSKETSDIEETGNKVFLELLWRSFFQNAKQTRSRKEEYIYGYKDVTTCKIHDLMHDLAVSISRDECYTLQNLVEINKMPKNVHHLVFPHPHKIGFVMQRCPIIRSLFSLCKNHMNSMKDVRFMVSPCRVLGLHICDNERFSVEPAYMKHLRYLDLSYSDIKTLPEAVSALYNLQILMLNRCRGLTHLPDGMKFMISLRHVYLDGCSSLQRMPPGLGQLSSLRTLTMYMVGNESDRRLQELKDLELGGKLQLHNLLKVTNPLQAKEANLENKKNLQQLALCWDSRNFTCSHCHSADEYLQLCRPEEVLDALRPPYGLKVLKLRQYMGTDFPMWMEDGVTLQNIVKLSLRGSVMCVKLPPVWQLPFLEVLRLKRMERLKYLCYRYPTDEEYGNQLVVFQKLKLLSLEWMESLENWHEYDTQQVTSVTFPKLDAMEIIDCPKLTQLPNVPILKSLSLTGNKVLLGLVSGISNLSYLYLCANQGSSRRVRTLYYIYKGEREGNTDTKEHILPDHLLSWGSLTKLHLQGFNTPAPENVKSRSGHMMSVQDLVLSSCDCFIQHEGLQSPLWFWKSFGCLQQLEIRYCDSLTVWPEEEFRSLTSLEKLFIVDCKNFTGVPPDRLSARPSTDEGPCNLEYLQINRCPNLVVFPTNFSCLRILVITDSNVLEGLPGGLGCQSTLTTLVILGCPSFSSLPASIRCLSNLKSLELASNNSLTSLPEGMQNLTALKTLHFIECPGITALPEGLQQRLHGLQIFTVEDCPALARRCRRGGDYWEKVKDIPDLRVTSEPHPVWQDAARTIIPKCLNAWQRRASSSGQPDN >ORGLA05G0124500.1 pep chromosome:AGI1.1:5:13927391:13931703:-1 gene:ORGLA05G0124500 transcript:ORGLA05G0124500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi transport complex protein-related [Source:Projected from Arabidopsis thaliana (AT1G67930) TAIR;Acc:AT1G67930] MAAPATPRLLLSPTSKDLIAAASSSFPSPPSPTTSSSAPDPASPLDAFASDPVLSAFLSPSFSPSDFSSAALSSGLAASRAEQLQEAIRLLRRHLRAEVLRRHPLLLSHLLSLRSASASLSSLPSHLHLLSSHLSLLSSHLSAPRAHLAHSSSSLSTLLSTADLLLHSHRLVRLSSRLLTSTPPPDLARQAELHREIRLLYEEKNLAGINAVDEEMRKVDAAASKLRSEASAVIDRGIAESNQNDVWCGLQVYYNLGELKPAVEGLVSKYKAAGAKSVAVALDMKAISMAAGGGGGPGGVQRSGTPQIGGSKKAAEALWDRMRQCMEELHRSVTAAWQLQTVLTKKRVPFTQMLFLEEVWQEGEPLLTERVWDAIVKAFASQLKSAFTASSFVKEIFTLGYPRLFSMIENLLERILRDTDVKGTLPALTPEGKNHMTAAIEIFQTAFLALCHSRLSDYINSIFPMSGRGIPSKDQISRLISRIQEEVEVVRTHGHLLARVLQEIGKVLLLLAQRAEYQMQISTGTEARQVTGTVTPAQLKNFALCLHLQDVHTRISSIVSTLPNVTSEKLSKSLEAIYTVACDSVSPLFKEMFDRLGSCILKMHEQDFGTHGMDATMDNNASAYMEELQKCAVHFRSEFLSKLLPSSSSRSETICTIMVRSMASRVLILFIRHASLVRPLSEAGKLRMTRDMAELELAVGQNLFPVEQLGAPYRALRAFRPVLFLETSQLEKSPLLQDLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGVKATLDDYEMKVRSRGDKEFSPVYPLMLQIGSALSQAKG >ORGLA05G0124400.1 pep chromosome:AGI1.1:5:13921834:13926227:-1 gene:ORGLA05G0124400 transcript:ORGLA05G0124400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWPPTSAAAATAEPRSVQLLLLVVALVAASFYAGTVFRSPSAPALLLPPSASRSPDPSRTPGAPKFTNRVSLSYRTKPISVPDYGVDVCPLKYNEYIPCHDASYISQLKKLDRSRHEDLESICPPQEKRLFCLVPPPNDYKIPIRWPTSRDYVWRSNVNHSRLAEVKGGQNWVHEKGKLWWFPGGGTHFKHGASEYIERLGNMTTNSTGDLRSAGVVQVLDVGCGVASFSAYLLPLDIHTMSFAPKDGHENQIQFALERGIGAMISVLATKQLPYPENAFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPVIWEKLMNITTSMCWKLIAKHVQTAIWIKPEDQSCRQKNADTKLLNICDSYDNSSPSWKIPLMNCVRLNKDQSNMQKLPSRPDRLSFYSRSLEMIGVTPEKFAKNNKFWRDQVSMYWSFLGVEKTSIRNVMDMNANIGGFAVALSNDPVWIMNVVPHTMINTLPVIYDRGLIGSYHDWCEPFSTYPRTYDLLHAFHIFSHYQSRKEDCSMEDIMLEMDRIIRPEGFIIIRDENAILSGINDLAPRFLWDVTTHMLENEESKPEKVLICRKKFWSIV >ORGLA05G0124300.1 pep chromosome:AGI1.1:5:13913262:13913936:-1 gene:ORGLA05G0124300 transcript:ORGLA05G0124300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNKNSQLQPPPGYPRLDSEQQAGKKKGRCCGSSCRRSTKRGETSFIEGCIAALCCCWLCELCCD >ORGLA05G0124200.1 pep chromosome:AGI1.1:5:13898138:13899820:1 gene:ORGLA05G0124200 transcript:ORGLA05G0124200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQAATAAGTTTAAATTAAVPMTNFQLFGSMVPVPVASMATATAPAAVAAADNGGHGSSSASQNASGSGEGQGGSMSLSLQLRPLGSTPTAAVAVSVPPMAAAPMMAGPAAAAPAPAPPLATMAVAQNASLAAVASALAAHRRNQATHRSAALHGHLRRCAEALAASRPADADAELARIARMASADGDAVQRVAAAFAEAMARVVIRPWRGVSAALFPSDAGAAGDALTAWEAEFARQSFLNLCPLLHLAAVAVNEIILETTRNDKFIHIVDLGGIHHAHWVELLQGLATRRAAVRPCLRLTIVHEHKHFLGQAAQVLAAESDRHGVPLDLHIVESSVEALKLDALGVRSDHAVVIVSTLQLHRLVGAGILSTTAPPSPAAAAAASMITSPLPPANMSSKVDRLLRGFHLLSPRAIILTENEANHFVPSFTDRFASALPYYEQLFAAMEEAGAAAVERKAAERYLLREEIKDVIACDHDGPRWAQHETLGRWVVRMGAAGFALAPAITVVTAAGRVRAVAARLPGGGDERRYGVTEGGGWLILNREEKPMFCVSAWRRQ >ORGLA05G0124100.1 pep chromosome:AGI1.1:5:13870140:13872468:1 gene:ORGLA05G0124100 transcript:ORGLA05G0124100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSSSTPSAANTSEPMLGQAAIAATTAVPMTNFQLFGSTVPVPVASMATATAPAAMAAADNGGHGSSSASQNASGSGQGQGGNMSLSLQLRPLGSTPWKGSSSTPSATNNSEPMVGQAAAATTTAAMPMTNF >ORGLA05G0124000.1 pep chromosome:AGI1.1:5:13856160:13857818:1 gene:ORGLA05G0124000 transcript:ORGLA05G0124000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIPRLALGGGGGGAGGERLPAAGEDSAAAATNAGKRPVVGLGFGSSLAAMAAAAAAAGIQPDAFALGGPAEYPAGDGERDVLMVSFLRSIAAFLADGTCQMQVNDGLSCVVDLAGGDADGGGVGEGRSAQRLASAFAEALALRFILPCDGVCRSLHLTRAPPPPAVSAARQGFRAMCPFVRLAAAAANLSIAEVMEAERAVVHVVDLGGGVDANQWVELVRLVAARPGGPPGLLRLTVVNESEDFLSAAAAYVAAEAQRLDLSLQFHPVLSSIEELSATATGSIGSRLVVIPGQPLAVVANLQIHRLLAFPDYVDGVASRRPAAEQSGSSQHTMTTATKTKADALLRAIRDLNPKLVVLTENEADHNVAELGARVWNALNYYAALFDALEASSTPPAAVPPHERACVERWVLGEEIKDIVVREGTGRRERHETLGRWAERMVAAGFSPVTAARALASTETLAQQMVAAGGGGAVAGVLRAAHGGGCFPVICWCDVPVFSVSTWTARRVLVPAPPLWPPAAAGGAGPSGSGYGGDGPSTASSSAAMWWVG >ORGLA05G0123900.1 pep chromosome:AGI1.1:5:13851282:13852464:1 gene:ORGLA05G0123900 transcript:ORGLA05G0123900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIPRLALGGGGGGGERLPVPPIAAAEEDSPAATSTRKRLVGSGKLTMVAEIPRLALGGGGGAGERLPAAGEDSAAATNTGKCQVVGSSLAAMVAASAGIQPDAFALGRPAEYPAGDGERDPLMVWFLWSITAFLADGTCQMQVNDGLSCVVDLAGGVGGERSALRLASTFAEALALRFILLCDGVDH >ORGLA05G0123800.1 pep chromosome:AGI1.1:5:13842780:13843255:-1 gene:ORGLA05G0123800 transcript:ORGLA05G0123800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVRRGTRLHRQHAGLGCGSGLYRHGAELRRHGTGLHVLPDTVDMVLDFVEVVQCVLSAARSTLVASSEKPATKLAAKLAEKFECELYIPDMEMLEMNGDTIILPDHVQMKLDKIYNMKKLEDAKLKQDAKKEHVFF >ORGLA05G0123700.1 pep chromosome:AGI1.1:5:13832413:13834525:-1 gene:ORGLA05G0123700 transcript:ORGLA05G0123700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:I1PV39] MAMAAARRALLSHLRVPVLARPAAAAGSVPAARLLSSATEEGSKGSFLDKGEVADRIVSVVKNFQKVEPSKVTPNAHFQKDLGLDSLDTVEIVMAFEEEFGFEIPDNEAEKIDSIKTAVDFVASHPQAK >ORGLA05G0123600.1 pep chromosome:AGI1.1:5:13825492:13826233:-1 gene:ORGLA05G0123600 transcript:ORGLA05G0123600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGVGVRIRALLCCIAMAAMLLSSYQQGQAEASYMPWPPATPPPPAAATANSTSTAAANNSSSSSSTTAPPQQPTAFPMYGVTPGSLRPQECGGRCAYRCSATAYRKPCMFFCQKCCASCLCVPPGTYGNKQSCPCYNDWKTKRGGPKCP >ORGLA05G0123500.1 pep chromosome:AGI1.1:5:13811605:13824040:-1 gene:ORGLA05G0123500 transcript:ORGLA05G0123500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRKPAKPAAAASSSASAAAAAFSIGNCKVEIHGSSLRCQSTEQEEEELTISGPRGAKIVVSVDGAKSSSVGDGFDFILLSPSDVDERNKALLQEVLLLYKQELPTMDYAANTGRKSGFLEKCVTNGKYKTLVLSSTAGHEEVTAALSYQLVPADTQYAEIPLAVVRPPYQRVGIGQLLYKELSQRLRNVGVTTIFCWADNVSEGFWLKQGFESVGEVDTKGKICRIPVRADIKRALCFPGGSMLMVSHLKDLPAPQKSLLSSQQTYQFHTFAPDSISPSDTGTSTPSCEKLLPQTVACHKVSKTAAVEKKXRFPWYRRMFIFXPTRKXTYTXNLIIFTKVKKSKMFPTFXPSPRHESKXDLWQTPFYKQHPHTXKFSASDAQRTFXSKHGRSCXKQNIRRCKGQYQFQWKSFCYAHEYCRXNKEDTTYRGSXDAWRSSHLXRKFMHSCHYWKSSNDYEFLYCFVLWSLDSFSKVAEGKLQKREICRRSRICFSRXXIXGEIQVXTERCSCESXREALLIIFWLHFLSDEEHPALRQCSLSHHXIFWWQAHQ >ORGLA05G0123400.1 pep chromosome:AGI1.1:5:13805265:13807084:1 gene:ORGLA05G0123400 transcript:ORGLA05G0123400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IWGLLTDVVPVAGYRRRPYFLLTGVIGASSMLTLSLHRKLGIMPAILALTAQSAGAAVADVTVDALVAQNSITHQPLAADMQSLCGFSSSVGALLGFSISGLLVHSMGSQGVLGLLSIPSALVFLAGVLLKERRVTDFDYKQVHRKFYKAIQSMGATLKCAEVWRPCVYMYVSLSLSLDIQAGMFYWYTDPIVGPGFSEEFIGLVYSVGSIGSLLGVLLYQISLKDYPFRGVLFWGQVLSSLAGMLDLIMVTRLNTRIGIPDYVFAVIDNSVSQMVGRLKWLPLLVLCSKLCPPGIEGTFYALLMSIQNAGLLMSGWWGGLMLHLLNVTRTEFSNLWVAVLIRNLSRLLPLMLLFLVPQSDQNSMLLPAEMLQDNESTEARKGGQDTAEFSVLVADDSSCHALNVAVEDERIKVVDAGTGTVELIPLMNELQDRGS >ORGLA05G0123300.1 pep chromosome:AGI1.1:5:13800697:13802762:-1 gene:ORGLA05G0123300 transcript:ORGLA05G0123300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGEKGMQPKISAFFKRQAPEPETSSGGDTHREGTCGTEVKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYARGNDDDEKAHKAYHKSYFEGVPFKGWRNETVVARSEGGDRIILVTDENSCARNSKVQEVIKVVQKELGFGEGQLLHKLCKVYLFISSQRIVGCLVVEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEDVPAHCGFRAIWVVPSRRRKRIGSQLMDAARKSFLEGETLCISQCAFSPPTSSGKALARSYCKTSAFLVYKEQDA >ORGLA05G0123200.1 pep chromosome:AGI1.1:5:13794713:13797150:-1 gene:ORGLA05G0123200 transcript:ORGLA05G0123200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIWLSPLITFINSSQKVVKDEHILGNRTLEVKIATPKEEMRAPGIKKATRIFVARIPQSVDESKFRRHFEAFGEIIDLYMPKELRSKSHRGIGFITFRSAECVDNIMQETHELDGTTVAVDRATPK >ORGLA05G0123100.1 pep chromosome:AGI1.1:5:13791203:13791530:1 gene:ORGLA05G0123100 transcript:ORGLA05G0123100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSGNVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGASNSQKNVEDKDLLDCLENGVNFWMDGGSQPP >ORGLA05G0123000.1 pep chromosome:AGI1.1:5:13787982:13789009:-1 gene:ORGLA05G0123000 transcript:ORGLA05G0123000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRASGITKLDNTATAMDLELEVTMMQTLSNLGELTGEQGFGTTTVGTREDSQGGGNRRASLLLLRLLDPRGQGDGRG >ORGLA05G0122900.1 pep chromosome:AGI1.1:5:13780887:13782323:-1 gene:ORGLA05G0122900 transcript:ORGLA05G0122900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLLLELLCFSMALAGGAALRLELAHVDANEHCTMEERVRRATERTHHRRLLHASTAAAAGGVAAPLRWSGKTQYIASYGIGDPPQPAEAVVDTGSDLVWTQCSTCRLPAAAAAAAGGCFPQNLPYYNFSLSRTARAVPCDDDDGALCGVAPETAGCARGGGSGDDACVVAASYGAGVALGVLGTDAFTFPSSSSVTLAFGCVSQTRISPGALNGASGIIGLGRGALSLVSQLNATEFSYCLTPYFRDTVSPSHLFVGDGELAGLSAGGGGGGAPVTTVPFAKNPKDSPFSTFYYLPLVGLAAGNATVALPAGAFDLREAAPKVWAGGALIDSGSPFTRLVDPAHRALTKELARQLRGSGSLVPPPAKLGGALELCVEAGDDGDSLAAAAVPPLVLRFDDGVGGGRELVIPAEKYWARVEASTWCMAVVSSASGNATLPTNETTIIGNFMQQDMRVLYDLANGLLSFQPANCSAV >ORGLA05G0122800.1 pep chromosome:AGI1.1:5:13765981:13769019:1 gene:ORGLA05G0122800 transcript:ORGLA05G0122800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAPSPASVRAAASPRCCSRSRPRSSAGRVAALPADGRGDGASTAASYKELGLYSLKKRIEDAVVRVETTASSALEMEEARRIRQEEVLRGRNLWDNPAKSHETLSALADAIRVVDHLKDLRYKAEEAKLISQLSEMDVINVELFKQAYKTSVDATEFLDRYQMYKLLKGPYDKEGACIIVTAGSEGAASELWAERIFCMYSSWARKQGCKDGLVEKITSTSGRVWTAAIEIESEYMFGTLTGEKGTHRMIYPSVDNAGTYEATSARVDIIPLFLDRPVNLHLDENDLEISPSPSDHKRRDHRNSAVRVQHIRTGVTAESSGERSYFANKMKAISRLKAKLLVISRELRSSNLKTIKRQTVEELYSRETRRYKFGPQKLVHDLNTGLQLSELNSVLDGDIDPFIRGRIVSRLG >ORGLA05G0122700.1 pep chromosome:AGI1.1:5:13744074:13748210:-1 gene:ORGLA05G0122700 transcript:ORGLA05G0122700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGVASMFALALLLGAFASIPQKAEAIGVCYGMSANNLPPASSVVGMYRSNGITSMRLYAPDQAALQSVGGTGISVVVGAPNDVLSNLAASPAAAASWVRNNIQAYPSVSFRYVAVGNEVAGGATSSLVPAMENVRGALVSAGLGHIKVTTSVSQALLAVYSPPSAAEFTGESQAFMAPVLSFLARTGAPLLANIYPYFSYTYSQGSVDVSYALFTAAGTVVQDGAYGYQNLFDTTVDAFYAAMAKHGGSGVSLVVSETGWPSAGGMSASPANARIYNQNLINHVGRGTPRHPGAIETYVFSMFNENQKDAGVEQNWGLFYPNMQHVYPISF >ORGLA05G0122600.1 pep chromosome:AGI1.1:5:13712253:13718390:-1 gene:ORGLA05G0122600 transcript:ORGLA05G0122600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:I1PV28] MEGRAAGWVRVAAVGWAVAACAVAAGMVARRGAARVRWNRAVAVVRDLEERCATPAELLQRVVNSLAIEMFAGLASDGGSKVRMLLTCVDALPDGSEEGISYAIDLGGTSFRVLKVELGAGSTIINRKVEHQPIPENLTKGTSDDLFNFIASALKNFIEREGGEVEERALGFTFSFPVRQTSISSGTLIRWTKEFSIEEAVGKDVAQCLNEALARNGLNMKVNVLVNNTVGTLALGHYYDDDTVAAVIIGAGTNACYIERNDAIIKSLGRVTNSERTVVNVEWGSFRPPQIELTPYDICFNNETWNYYDQGFEKMISGVYLGEIARLVFQKMAEESDIFGTAVDGLSTPFVLSTPNLAAIREDDSPDLREVGKILEEHLKLPDVPLKTRKLVARVSDIITRRAARLAAAAIVAILQKIGCDGTLCGSTQVRTMRGVRRRTVVAIEGGLFEGYSVFREYLNEALVEILGEEIAATVSLRVMEEGSGTGAALLAAAYSSARQKNSE >ORGLA05G0122500.1 pep chromosome:AGI1.1:5:13705614:13706354:1 gene:ORGLA05G0122500 transcript:ORGLA05G0122500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLGRRAKRGDGGRSGDARKAGGAAAGGVGQWRMRAKRGDGGRSADASELRNRRGDGACWRRSARGVQRSTAAWGPQLADAVEDEQEGVYNLLLLVVMDDDGGSLLLVAASLLLVCGFNSLALDLAAGLWIWICSLLADEDALVADGRADGMTRPTDGGSPATDACCLIPAIPTAEVRVLLLLKPIAIVVVFSGVGIGIGIVVVFSSGVGMRRLRRRRAPRSGCVWGECGVHVFATSAAEVEVEG >ORGLA05G0122400.1 pep chromosome:AGI1.1:5:13688939:13692998:1 gene:ORGLA05G0122400 transcript:ORGLA05G0122400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKAEALLTLRKYEEVIQLCQETVVLAEKNSSASETTEWSGRLWRTYLICKTYFLSGKLEDALELLNKHQQVTNVKESEGRTSQECFSSLSTTIRELLSHKAAGNEAFQARRYSEAVEQYSAALARNSDSRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDATYLKAISRRATLYEMIRDYGQAANDLRKLISLIEKQANNSGLSPKVLNKHSDLKQARTRLLSVEDEAKRDTPLNLYLILGIEPSSSPADIKKAYRKAALRHHPDKAAQLLVRNEKPDDGFWRDVAKEVHADADHLFKAIGEAYNVLSDSDKRQEYDIEENLRSATKRVSKGGRMHRSPEQRYTKQYDRGFNPRPSVFLVLSFGEGGSWSYKDWLVGMISFDTSDVVA >ORGLA05G0122300.1 pep chromosome:AGI1.1:5:13682139:13684948:1 gene:ORGLA05G0122300 transcript:ORGLA05G0122300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGNFVTGANSKAFTSVHGNMESTLPARMTKLNLGHGAPSNNNKDETAHQPPEVSGFGTNAATTFSSAQAASMPFTSIQTNVSFELKGNGGNLANEDIGNITHSRSDNDQGYGPSSFVFSSGSNAVPPSEGYAEHALQEDIKKLNINREGASVGSTKVNGSSQFVFRSKAEAIPVFGAIPQPNVPESRAFSHSNHSSSFSTSGNDMPSFSFKTTNTASDTIPGESCAVRQEPAWCSRESLFGIDYIKSAYRDKKEAHKNARKNKRPTKLKQHAQPHHFASQETCTTGPDMDLAGDYSPMDCSPYSATVEQVAKEQVAKEASVMSDQSVHIHDYGVSNQSSSCAEDLVSATEHLVIDADLPTCEDEGRIPNVDTSANSFASSFSSFDEEVTIPIAPQPSSSNMHDTANGKPKSAPAEVWDDAYRLNDQGQAYEENGYRTAHEIGEHATFQESSEDFSGLNFTFGASTSPQSSVSTQRRNTRRKVRTKSGQLPKPSVTQAYVQPKSSLDKKTMQFSPEKNKAGDSADEQSTRGASTSAALETCETWRTSGNQAYTNGHFATAEEYYTRGINSVSGHDSSGYCSRALMLCYSNRAATRMSLGRMREALQDCLIATSIDPTFLKAKVRAANCQLALGDLEDALRSYTACLTSSKTSGSDRKMLAEASDGLERVQVCYISAQGSL >ORGLA05G0122200.1 pep chromosome:AGI1.1:5:13675331:13675745:-1 gene:ORGLA05G0122200 transcript:ORGLA05G0122200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVGKASVRVSTSKRARYRLQEVSTRRLARDGSSLAATALSFRLIGSSGRGAPETRRRLADCLSGELLLERWLSWIPADGWGMHLVSL >ORGLA05G0122100.1 pep chromosome:AGI1.1:5:13668917:13670828:1 gene:ORGLA05G0122100 transcript:ORGLA05G0122100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLLQYLKLLLLLALGGVTTMHVPKQDVPSSLEALTLDGHFSFHDVSAAAQDFGNLSSFPPVAVLHPGSVADIATTIRHVFLMGEHSTLTVAARGHGHSLYGQSQAAEGIVISMESLQSNTMRVNPGVSPYVDASGGELWINVLHETLKYGLAPKSWTDYLHLTVGGTLSNAGVSGQTFRHGPQISNVNELEIVTGRGDVITCSPEQNSDLFHAALGGLGQFGVITRARIPLEPAPKMVRWLRVLYLDFTSFTEDQEMLISAEKTFDYIEGFVIINRTGILNNWRSSFNPQDPVRSSQFESDGKVLFCLEMTKNFNPDEADVMEQEVNTLLSQLRYMPSSLFHTDVTYIEFLDRVHSSEMKLRAKGMWEVPHPWLNIIIPRSMIHKFAKEVFGKILKDSNNGPILLYPVNKSRWDNRTSVVIPDEEVFYLVAFLSSALGPHNIKHTLDLNYRIIEFSDKAGIGVKQYLPNYTTEQEWQSHFGARWDTFQQRKKAYDPLAILAPGQRIFQKASASLPLPS >ORGLA05G0122000.1 pep chromosome:AGI1.1:5:13653952:13655274:1 gene:ORGLA05G0122000 transcript:ORGLA05G0122000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGHETDKNIEVWKVKKLIKALDAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYSRVPANGLVLYTGTIVTDDGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLYGTLSGNSREVLYKFNVDLPKKHGRGGQSAVRFARLRMERRHNYLRKAAELATQFFINSATNQPNIAGLILAGSADFKTELGKSEMFDPRLQAKVIKTLDVSYGGESGFNQAIEMSAEVLSDVKFVQEKKLIGKYFEEISQDTGKYVLGVQDTITALEMGAVDTLIVWENLDVRRYELKNTATGETVIKYLNSDQEADQSNFVDEATSGEFDVIDKPLLLEWFAENYQQYGCTLEFVTNKSQEGSQFCRGFGGIGGILRYPADVAAFNDDDDDMLDEADYEDFE >ORGLA05G0121900.1 pep chromosome:AGI1.1:5:13651237:13651599:1 gene:ORGLA05G0121900 transcript:ORGLA05G0121900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDLPPRRGGGPTQGAGCRRVELTTACARWPRMVVAAQGPVIADGVRRLDIEVNVVGARFSSFHGVLDALASMRSSAASRSPPTSRSSLENGVMPWCAVRSSAWCAAAPAWLAVKCSP >ORGLA05G0121800.1 pep chromosome:AGI1.1:5:13647894:13649497:1 gene:ORGLA05G0121800 transcript:ORGLA05G0121800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAEIEKQEEELRAQIEEQKLNEGDEPVVVEDDDDDEDDDDEDDKDDDDAEGGDASGRSKQSRSEKKSRKAMVKLGMKSITGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSSMLSKPEASTAAQEDDEAVDETGVEPKDIELVMTQATVSRSKAVKALKAANGDIVTAIMELTT >ORGLA05G0121700.1 pep chromosome:AGI1.1:5:13638882:13642636:-1 gene:ORGLA05G0121700 transcript:ORGLA05G0121700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSALFLLKPFAAHRARAGAPPPPGPPGDAFAADIVASFMLQKTVSELSGSTSKLEFDIYGEIGIPNSTVAVNFLQPIGAPNWTNVIFSIVPYPKYSSISSMYLSILRASFMSLTVEQSTLHLTESLFGDTSLFEVLKFPGGITIIPPQAAFLLQKPYASFNFTLNFPIYKVQGRMNELKDQMKAGLQLDPYENLYIKLTNSEGSTVRPPTIVQASIVLEETWA >ORGLA05G0121600.1 pep chromosome:AGI1.1:5:13630529:13635942:1 gene:ORGLA05G0121600 transcript:ORGLA05G0121600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGGDRDQQNQQQQQEEAAAPAAAAAGVGGGEEQGNGRGEESVKLFVGQVPKQMTEDELAAMFAAVAVVDEVTLIRDKATKASRGCCFLICPSREEADKAVNAYHNKRTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVTDAEMTDLFSQYGNIKDLQILRGSQQTSKAGCAFLKYETKEQALAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQFHPSNMSNPNAMQQSSLFGAMQMGYVPQYNGYGYQPQGTYGLMQYPLSPMQNQAAFPNMVQSVNQGSSIRGVNSELSPNSAPRSFNSMQLGSPYSPVPSMQYPGSYPGNAINSRPFVNSHNSMKVPNANASSPTSSSTSSNPGPQIEGPPGANLFIYHIPQEFGDQDLAGAFQGFGRVLSAKVFVDKATGLSKCFGFISYDSPASAQTAISMMNGYQLGGKKLKVQLKRDNSKHSKTY >ORGLA05G0121500.1 pep chromosome:AGI1.1:5:13618091:13624362:1 gene:ORGLA05G0121500 transcript:ORGLA05G0121500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phospholipid-binding Copine family protein [Source:Projected from Arabidopsis thaliana (AT1G08860) TAIR;Acc:AT1G08860] MGGCLSGDVRGGMEAVGGSGGRGAAGTGGGGGGGAGQGGGANEAVDHFFNAAGLRGLYSPLELSFSASKLRNMDAFSKSDPMLVIYIRKDARLEEIGRTEVILNSLEPSWITKATISYQFEIIQPLVFKIYDIDTRYHNTPVKTLNLAQQDFLGEACCNLSEIVTNNHSLTLNLRDSCGHTLLGTVTVHAEESNSSRMAVEMTLHALNLENKDVFSKSDPFLRISRLVETAGPIPICKTEVISNNLNPVWRPIILTSQQFGSKDNPLLVECFDFDSSGDHELIGAFQTTITQLENVYNSKSGANFFSHKGQKKLKGQLFVDKFQEKVQHTFLDYISSGFELNFMVAVDFTASNGDPRSPQSLHYIDPSGRPNSYQQAILGVGEVLQFYDNDRRFPAWGFGAKTPQGYISHCFNLNATTNDCEVVGVEGIMSAYTSTLYSVTLAGPTLFGPIINKAAEIASHSLQYGNNKYFVLLIITDGVLTDIQETKDSIVRASDLPLSILIVGVGNADFKQMEILDGDNGKRLESSTGRIATRDIVQFVPMRDVQGGQISVVQSLLEELPGQFLAYMRSRDIKPRAPLQHDNASSAPPLYPPTK >ORGLA05G0121400.1 pep chromosome:AGI1.1:5:13611860:13612327:1 gene:ORGLA05G0121400 transcript:ORGLA05G0121400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNSHIDKEQYKLHVSQCRPLVVGPTHRFSPSAVQAQVGGRRTWSGAAGVGRGAGGDTRCGVGRHRQTWSEAASAKHGAGRVRARSNDGEATRSTVRLRRSLPAPRDLDITEELVTFFGREDLMLVGPSTNVAWILPVLPTWIAHADCQLIAL >ORGLA05G0121300.1 pep chromosome:AGI1.1:5:13599323:13604149:-1 gene:ORGLA05G0121300 transcript:ORGLA05G0121300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDFGLVEIHPGEIRFEFEVKKKSSCSVCLVNKSEEYVAFKVKTTSPKRYCVRPNVGVILPRATCVFTVIMQAQMIAPPDLQIRDKFLVQTTVVPFGTADEDIAPAFFSKEVGRYIEENKLRVVLVSATQLEEQQLIAGVPSAKTGVEVRVAKETLNIESEASNVMNKVHHSLKTNFPPLRENPATLNEMPFPVKQTTILAPSKEVPAISAESAHHWKETPAESLFSSNAVHHSLKTSCLLRENPAILNEIPFPVRQTTILPPLKEVPVISAESAHHWKETLNVTDDVQNLMTKLSNLEAKLEEAESVIVKLREDTRTTIRERDKLKHEMVVLTRKGASRSQAGFPLLFVVYMAILGASLGYLLHL >ORGLA05G0121200.1 pep chromosome:AGI1.1:5:13595984:13597979:-1 gene:ORGLA05G0121200 transcript:ORGLA05G0121200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEDVATGNTTAPAAAGSSKLFRRKSSVSASHRSSSSSSSNGCTSVSVKDVATKKEEETEADAVEVTSAEEAAAAAVVVKKDVTIAAATLAVTEAMATAAEPKEEEEEEELPESTMADEAPAAVVEAAPAKADETKEVAVVKEDQEKAEEETKAEEEETPSSPAPATDLGESKEQNTMEAKPAVNHNGEHKVEEVATTVVSESSSPSPSPSEAEKETAAEKTNDVAVPESSSPSEAEEKKDAAADEKTAAAVAAAAASESSSPAN >ORGLA05G0121100.1 pep chromosome:AGI1.1:5:13585128:13587796:1 gene:ORGLA05G0121100 transcript:ORGLA05G0121100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPPSPAPAPEGRWADLPGDIAISVASRLQEADVCALGGCSRSWRRACDADCVWEALFRRRWPLAAAAGGGGGGEGEGASGVQGWKALYINHHRRTAVAISGVAEFVENNLRNGSLEAEYYLKAIANLASMRDIGFIDAQFFLLSRNYSAIMNLIGLHYSISSLNIPPNEVYKALQARKVEERKVCVSLYKLGRWFYGFRLPDESESHEISLSELTMSEGATILAILKRGAVHEVFRLQVSLVDINK >ORGLA05G0121000.1 pep chromosome:AGI1.1:5:13575983:13578834:-1 gene:ORGLA05G0121000 transcript:ORGLA05G0121000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRWLQAAVLCCLLVLCSGRELKTKHTPIYNSTLARTLAEYTSAVYTADLTQLFSWTCERCCDLTEGFEVIELIVDVKNCLQAYVGYASEMNAVVVVFRGTQETSIQNWIEDLFWKQLDLDYPGMPQAKVHSGFYSAYHNTTLRDGVVNGIKKTREAYGNIPIMVTGHSMGGAMASFCALDLVVNYRLKDVTLITFGQPRIGNAVFASHFKCHLPNAIRVTNAHDIVPHLPPYYHYFPQKTYHHFPREVWVHNVGLGSLVYSIEQICDDSGEDPTCSRSVSGNSVQDHINYLGISMHAEASGSCRIVTGDNKLQYKMDSDGNIVFSKQPGLSVDQLHSSQ >ORGLA05G0120900.1 pep chromosome:AGI1.1:5:13568248:13575282:1 gene:ORGLA05G0120900 transcript:ORGLA05G0120900.1 gene_biotype:protein_coding transcript_biotype:protein_coding CXSCWGWGWCRPARRRRWPLRLVCLAAAAWWTVERAPIDIETRTTPSSGRELLGAACWPEFESVXAQEMNRGGKQPVDGVSGESTVVPPWAHQTEQEEGKLSFIWFGPVPRVMIPDPELVREVFNKFDQFGKPKMIRVGKLLATGVVSYEGEKWAKHRRILNHAFHHEKIKRMLPVFANCCTEMVTRWENSISLEAASEIDVWPEFRNLTGDVISRTAFGSSYQEGRRIFQLQEELAQYLTEALQKLFIPGYWYLPTKNNRRMREIDREVRKILLEIIGNKERAITNGENSNDDMLGLLVESNTKQPELGMSTDDIIEECKLFYFAGMETTSLLLTWTLIVLSMHPEWQERAREEVLHHFGRTTTPDYDSLSRLKIVTMILYEVLRLYPPVVLLNRRTFKETNLGGIKFPADMNLILPILFIHHDPEIWGKDASEFNPGRFADGISNASKYHDASFFPFGWGPRICIGQSFALLEAKMALSMILQRFSLELSPSYIHAPYIVLTLRPQHGAQIKLKRI >ORGLA05G0120800.1 pep chromosome:AGI1.1:5:13565927:13567093:-1 gene:ORGLA05G0120800 transcript:ORGLA05G0120800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPSSAAAVDHGALSASAKAADDLLAASAGCGGAHGHSLFFDALVQLIPPRFYLSAADEDRPWYQGLSKSAKAAMKAQSRANVKAARRARLDPSAPPSSTLDLLKKSLADQDAHDSSSSSGEDASDDDDDDDDDEVEEREEDEGDEEGMQLAPAAVVSEDRSVTYEELRERLHRRIAELRGNRCTRPEFLNKPKREKGKKGKKGKDVGKKRKREDGGGGGAGAQDGEGKDGKKSKKEEDSKAPDIVYGNVWVDPKEARRRKKRRIKNKKKALEEAKRMQQAKEDPEKATKLAWDLARRRAAGEKVHDDPKLIKESMKKDKKRQQKHAEQWKERQKMVDKQKKERQSKRMENIRERANQKKMRKIEKREKKLMRPGFEGRKEGYVNE >ORGLA05G0120700.1 pep chromosome:AGI1.1:5:13556730:13563227:1 gene:ORGLA05G0120700 transcript:ORGLA05G0120700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFGSGGKGEAKKGGGGRKDGGSADRRVARVGSDKSKSQGGLDSRKDAFIPRDANGQPIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYKGHLENGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPDKEPLDWNTRMKIAAGAAKGLEFLHDKANPPVIYRDFKSSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPLGEQNLVAWARPLFKDRRKFPKMADPLLAGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAPVQHSRSNASTPRARNRVGANFDQRRLHSPNHQQSPDLRKEGTTTSKYEAEVSRTNSGSGSGRRAGLDSMDVTGSQMGSPAHAGRKRESSRSTDRQRAVAEAKTWGENSRERKWPNARGSFDSTNE >ORGLA05G0120600.1 pep chromosome:AGI1.1:5:13550102:13551833:-1 gene:ORGLA05G0120600 transcript:ORGLA05G0120600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVARSRRGLALALSRAGAGAPSRPSPPGLGKTLGYEPTSHLHGAQFLPCWFSTIASNGSHMQKAQETCKPVAGMEHSDALKVMEGTSPKVVAFSPLEAAITKPRSSPLTIESSKVKRSEIATLVTFYMIPSLLVSSKNGLATSILVGAVFHQIYMFHKEIFLDYVHHDITRKWALIYFKLLLLIMAKETIVYFNFI >ORGLA05G0120500.1 pep chromosome:AGI1.1:5:13537467:13537724:-1 gene:ORGLA05G0120500 transcript:ORGLA05G0120500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFHDHVIRVSTGTGTSNLSINSTKLPNVLLNISPVCLQLLDCPLVAICRTVVVELISHILPIILSLLVISIYRINRVAQPSTN >ORGLA05G0120400.1 pep chromosome:AGI1.1:5:13527555:13528313:1 gene:ORGLA05G0120400 transcript:ORGLA05G0120400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELETEVTDLTAQQLQLEEEHQAWGSEIDSLKAQLQVHGDQFQQLSDQYNDRRGMMDTLEEQLRESQEHVSQLEEQLRAATISTTGASTSTAVGRDRYFFLTPPYPPAFHALLGEASMLATESAPYLVDPTVTQPPAPEIVHTPLIPTPSPQLGSSLETSIQVDSKTEGTDTEPEIEPDITDPSEDETPVPRITFLGGPRTLSTARKSTRPPGKRPKPDPEATTSEPWGLRFARASDHPLPAPGSCGWLDD >ORGLA05G0120300.1 pep chromosome:AGI1.1:5:13522022:13522717:-1 gene:ORGLA05G0120300 transcript:ORGLA05G0120300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDGEGGGRRHHFVLVHGLCHGAWCWYRVATALRRAGHRVTALDMAAAGASPARVDEVRTFEDYSRPLLAALAALLPSGDGERVVLVGHSHGGFSVALAAERFPERLAAVVFLTASMPPVGRAMANTTDELEPIE >ORGLA05G0120200.1 pep chromosome:AGI1.1:5:13514071:13516780:-1 gene:ORGLA05G0120200 transcript:ORGLA05G0120200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGMSGRREKRGAGCVLGFGGAYIGTGRKLTSRTNSSNIGKVAVEDRIFGRSAANRVYRVAVRWCAGGSGAAFAAICSAACGWGATKRPVREGRKGRRPAAARERPHPRFKRGQSRLRVEGGRGEGKHRLPSASRLREKRKNGPREYVSFVGADFFLDSRVLEQTNPDIPGNPEIFGPNFMAQKLYQLSPPEDLTLALSLIRPANRFTGDALMRDAGLLTKERYGSTRRVFVVVEDDHAIPVEFQRRMVAENPGVEVVDIAGADHMAMISKPAKLADLLVRIAAN >ORGLA05G0120100.1 pep chromosome:AGI1.1:5:13506655:13507119:-1 gene:ORGLA05G0120100 transcript:ORGLA05G0120100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQAPMSTGGGAMELGGGSHESVERRRRHQHHFVLVHGLCHGAWCWYKAAAALRRAGHRATALDMAASGAHPARVDEVRTFEDYSRPLLDALAALPPAGGDGDDEERVVLVGHSQGGFSVALAAERFPERVAAVVFLTAAMPPVGRPMSATTEE >ORGLA05G0120000.1 pep chromosome:AGI1.1:5:13500769:13501056:-1 gene:ORGLA05G0120000 transcript:ORGLA05G0120000.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLTLGLSLIRPTNKFTGDVLMRDPGLLTKERYGSTRRVFVVVEDDRGIPAEFQRRMVAESPGVEVVDFAGADHMAMISSPAKLAELLVRIADKAQ >ORGLA05G0119900.1 pep chromosome:AGI1.1:5:13494217:13496541:1 gene:ORGLA05G0119900 transcript:ORGLA05G0119900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRPFRPEEYSLKETSPHLGGGAAGDKLTTTYDLVEQMQYLYVRVVKAKDLPSKDITGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKERIQSSVVEIIVKDKDFVKDDFIGRVLFDLNEVPKRVPPDSPLAPQWYRLEERNGHKVKGELMLAVWMGTQADEAFPEAWHSDAASIPGDGLASIRSKVYLTPKLWYLRVNVIEAQDLIPNDRTRFPDVYVKAMLGNQALRTRVSPSRTLNPMWNEDLMFVAAEPFEEHLILSVEDRIAPGKDDVLGRTIISLQHVPRRLDHKLLNSQWYNLEKHVIVDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWKHSIGILELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHAYPLIVLTPAGVKKMGEVQLAVRFTCSSLLNMMHLYSQPLLPKMHYVHPLSVMQVDNLRRQATNIVSTRLSRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVAKWFDQICHWRNPLTTILIHILFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAESAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCFVAAIVLYVTPFRVVVFLAGLYTLRHPRFRHKMPSVPLNFFRRLPARTDSML >ORGLA05G0119800.1 pep chromosome:AGI1.1:5:13483813:13484526:-1 gene:ORGLA05G0119800 transcript:ORGLA05G0119800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMACRTLELTLLSASDLRGVNLVSKMEVYAVVYLAGDPRARQRVATDRAGGRNPSWKGKDATVRLAVPASGAGSGAVRVLLRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRGGVLPGPQGRLEQDHPRRAQPLLQARRRRPP >ORGLA05G0119700.1 pep chromosome:AGI1.1:5:13473018:13474447:-1 gene:ORGLA05G0119700 transcript:ORGLA05G0119700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEASMLSSPAATYSGLWLSSPSSVAEKARKERNSFGKEDVADVAVAPNTDADLREPDVVRLRGSTLPKTTKLRGEQHATDSNPVGLGFSTSKLTTIEIVPRVENGISLTTYTDHIYG >ORGLA05G0119600.1 pep chromosome:AGI1.1:5:13463416:13463946:-1 gene:ORGLA05G0119600 transcript:ORGLA05G0119600.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLEPENAGNYVLLSNIYASKKMWDGVNRLRDMMKTVGLKKEKGCSWIEIKNKVHMLLAGDSSHPMLAAITEKLKHLTMEMRRLGFAPSTDYVLHDVEEQEKDDILSVHSEKLAVALGLISTSHGTPLQVIKNLRICGDCHEAMKFISSFERREIYVRDTNRFHHFKDGKCSCADYW >ORGLA05G0119500.1 pep chromosome:AGI1.1:5:13430137:13431754:-1 gene:ORGLA05G0119500 transcript:ORGLA05G0119500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIQWQRDLAGAGEEKERCRVNDVSIVNCYKPGSKSPLRGGCWSLPRRKVVSSDHRLHSGSHKVSKKVHRRDQDQEQQLQRDQAVAETCDIDGNQPQTDY >ORGLA05G0119400.1 pep chromosome:AGI1.1:5:13425946:13427538:1 gene:ORGLA05G0119400 transcript:ORGLA05G0119400.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQLPTVMELDSSETADFSQPPRIYSYLEKIRSSLSVVLSGSGEAAGWSSSSSSRSARSSYYSSSMSSYASGPHNHRYVPYSNSSRRVVRVLDVHGPRDNIARQMVHDGFMVNLIREFDRAPGPALERWFSELDVGWVLRSAAPADKEQAELGLDDLVWRWTRGYTVMAEALSAMKTKVGGVAVMELRQAQPDHTNIMCDEDDLRLQVARFVEATVSKMHAFADALAADNTWWTIDNLSGLMGLYNCIFKCQVHLLIPAITDSEEITNSEVQCLARKVDGACRITTSNLCTAIWRMAKDAEAVTPVLSGRDSWENFKQNAEIHKATRLIVDYARLFWGYESLWSNIVCSKRDRHSYNQQPDRIITLILQMLINLQDQLEKKSKSFSDASLRYLFLLNNSYFVIEDFVGITVYLYTLGSGSTRLKFMQYQEKYMLASWEPVLRCLQDKMPLWFPKHSSQLSRFKSEFQKTCRHQKLWKVPSPNLRQKLREAIIDKVITGYKRYLEDHPELEKCSSDLQDMEDMVNELFEG >ORGLA05G0119300.1 pep chromosome:AGI1.1:5:13407903:13408778:1 gene:ORGLA05G0119300 transcript:ORGLA05G0119300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQLPTVIWMEWDSATEPAESSPPSRRYSYLERIRNLSVVSAEAGRRWLPWSSSSSTARSSYYSSSMSSSVSGTGSQNQRDFDREPDHALERWFSELDVGWVLRSAAEKELAAELGCLDDLVQRWTRGYAVMVEALVATQQNMKSQDHTNMELEDDLRPLQVTRFVEATVSKMLAFADALAADNTWRPIDTLSRLMCLCTSINECGIRILSEILVFEFDCIFSKMNGAFSNTASKSRMKPYTGWPRTLKPSLES >ORGLA05G0119200.1 pep chromosome:AGI1.1:5:13403742:13406096:1 gene:ORGLA05G0119200 transcript:ORGLA05G0119200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMMTSSPTACVVLALAFVLLAVTPTLCYVTDGATRRRGASTSRRHGEARTYIVLVEPPDADGDDDEAAHRRWHESFLPGGGGGGGGEERASPTRIRHSYTGVVSGFAATLTRGELAAVSRRRGFVRAFPERRLPLLTTRSPGFLGLTPERGVWKAAGYGEGVVVGLLDTGIDAAHPSFRGEGMPPPPARWKGACTPPARCNNKLVGAASFVYGNETGDEVGHGTHTAATAAGRFVDGVSAFGLAAGNASGMAPGAHLAMYKVCNGQGCFESDVLAGMDAAVKDGVDVLSISLGGPSLPFDKDPIAISAFGAMSKGIAVVCAGGNSGPTHFTLSNEAPWMLTVAAGSVDRSFRATVRLGDGEAFDGESLSQDKRFSSKEYPLYYSQGTNYCDFFDVNVTGAVVVCDTETPLPPTSSINAVKEAGGAGVVFINEADFGYTIVVEKYYGLPMSQVTAGDGAKIMGYAAVGSPAASHNATIVFNSTVVGVKPAPVVAAFSSRGPSAASPGVPKPDIMAPGLNILSAWPSQVPVGEGGGESYDFNVVSGTSMATPHVTGVVALIKKLHPDWSPAMIKSAIMTTSSAVDNDGHAIMDEEHRKARLYSVGAGHVDPAKAIDPGLVYDLAAGDYAAYICALLGEASLRAITGDAAATCAAVGSVAEAQLNYPAILVPLRGPGVEVTVNRTVTNVGPARARYAAHVDAPGSGTTTTTTVKVEPAELVFEEAMERKTFAVTVTASGGGGAGGGGHVVAEGSLRWVSRRHVVRSPIVADSSVGGPSRRSAQDA >ORGLA05G0119100.1 pep chromosome:AGI1.1:5:13396510:13397915:-1 gene:ORGLA05G0119100 transcript:ORGLA05G0119100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESLQCKVLALRVSIHCEGCKKKVKKVLQRVEGVYRCDVDGRSNKATVTVTGKVSADTLVRKLRRAGKHAEQWPEEQQQQQPNGGQCQEETKNQAADPGMSGEPAEPEKPASGDAAEPSDPKVTHEEPKKVAGEGAAAVAPAEDGGTEITDANVSESAGDGGGGGGGGSVETVKAQQPSEPKRRRKQQPPQQQQEEKAGEATMATAAAAAASTQGNHTSHHFPAAPLQQQPVHVMSYNVARPSSSAAYYAAARPASAARPPPPLPPAPPQEHSYAYSPYYSQSQPSPYRYGGYYSYYYYGGGGGGGGGGQRTPQRSAASPARNSYGDLFSDDNANSCSVM >ORGLA05G0119000.1 pep chromosome:AGI1.1:5:13387550:13388443:1 gene:ORGLA05G0119000 transcript:ORGLA05G0119000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATGDAGCSRALGCRARATTSSPRDGRAAAAGRRRTSFAANLGGHDRCRAXRRPVAGWPLAASKQGAFSLFAXLPAGSYLALFYGLRWLNSKIYASKCWLELWDLGLGKV >ORGLA05G0118900.1 pep chromosome:AGI1.1:5:13385484:13387153:1 gene:ORGLA05G0118900 transcript:ORGLA05G0118900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XARGLKKHLKRLNAPSHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNRLKYALTYREVISILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTGENFRLLYDTKGRFRLHSIKDEDAKFKLCKVRSVQFGQKGIPFLNTNDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKSREKHKGSFETIHVEDALGHQFATRMGNVFTIGKERKPWVSLPKGKGIKLSIIEEARKRNAEAAAEH >ORGLA05G0118800.1 pep chromosome:AGI1.1:5:13378859:13379155:1 gene:ORGLA05G0118800 transcript:ORGLA05G0118800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNDDGGFLGMEASGCGLKGGGGQRWAASPEAGAEVDSVPSLVEVEVDRCGPPGRRGGRRWWLLCASRAGRRGKPAMVHLCLQMVVENVEEQPNPRG >ORGLA05G0118700.1 pep chromosome:AGI1.1:5:13367076:13368239:1 gene:ORGLA05G0118700 transcript:ORGLA05G0118700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKAEAAGGGGEKKAASVAPRDAGDAPSSPRAAVGGEQQSSAAAASSGDGVAAAANVDRSGGVAAAGEAEEDEDDEQRAVERFYALVANVRAMRGMYRSSGDGASADSATGGNAGGGGERKRARRADQPWRPVFRMEDFADDVAGGAATTTTRSDDGARAPPIEIEDLQLVFDLEDSLFLDVEAGGVEPLMELGSL >ORGLA05G0118600.1 pep chromosome:AGI1.1:5:13357169:13357720:1 gene:ORGLA05G0118600 transcript:ORGLA05G0118600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDVKAPRPGCGGDDGGAAASSLSARREEEEEGAVVGGEDEQVERFYALLANIRALRGMYSRYNGEEGAAGGDGDGASGRKRARRAEPPWRPAFRMEDFEFEEAAAGAGDDDAACSGRTTKKQRSGGGGHGAAVEKRRTEKEAAAAAAEDDDDEQEGGEVVEGKEEHRPGRRVEAHGPTDQ >ORGLA05G0118500.1 pep chromosome:AGI1.1:5:13336791:13337588:1 gene:ORGLA05G0118500 transcript:ORGLA05G0118500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHHLPPEPADERHHHNHGGKAAVHADDLKPGGRRPRRYGYYYGGGDGYCAGDPARTLCFVVLVVILLAGITALVLYLVYRPSRPAFAVTSVAVYSLSLNGTGNGPGAGGPATLAASFQLTLVIRNPNGRSAARYDRLAAYVAYRGEPITAPAPMPPLVQDADSAVAVAPVLGAAAPPVPVSPDTAAALATDVSYGVVALRVVVLGRVRFVSGPFRSAWHSMYARCDLLVGVRKSLQAAGGGGGGAGAGPEAPLLGNPTCAVDM >ORGLA05G0118400.1 pep chromosome:AGI1.1:5:13331948:13333966:-1 gene:ORGLA05G0118400 transcript:ORGLA05G0118400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGATWTRRLHLHGLFLAVLLLLTLPAGSTAAAGGGGGGGGTVIGIDLGTTYSCVGVYRNGHVEIIANDQGNRITPSWVAFTGGGERLIGEAAKNQAAANPGRTVYDAKRLIGRRFADAEVQRDMRLLPFAVVDKGGKPHVRVEVRGGDVRLLSPEEVSAMVLARMKETAEAYLGEEVTRAVVTVPAYFNDAQRQATKDAATIAGLAVERILNEPAAAALAYGVGKEGAGGKNVLVFDLGGGTFDVSVLAIDGGVYEVLATNGDTHLGGEDFDQRVMEHFVELVRRKHGRDIAGDARALGKLRRECERAKRALSSQHQVRVEVESLFDGVDLSEPLSRARFEELNNDLFRKTMAPVRKAMADARLSNADIDEIVLVGGSTRIPKVRQLLRDYFGGKQPNQGVNPDEAVAYGAAIQANIVGGDTDNKTRDMVVIDVTPLTLGLETAGGVMATLIPRNTPVPTKRAQLFSTYKDKQTTVTVKVFEGERSMTRDNRLLGRFDLAGIAPAPRGAPQIEVAFEVDADGILSVSAADRATGRSERITISGDDRKTSREEIDRMVGEAEEFADEDRRHRERAGARNSLEAYVYGVKNAVVGGEMAGAMDGGEKEKVEEAVMEAYEWLDGNQDVGKEEYEEKLRELEDVCNPVMSAVYQRSGGSRRDGDGGGDDDHDEL >ORGLA05G0118300.1 pep chromosome:AGI1.1:5:13318246:13321835:-1 gene:ORGLA05G0118300 transcript:ORGLA05G0118300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPAMSHSSAFLLPSPSAAAAGADADGAAYALLVLNQRLPRFAPRLWDRAQVRVCADGGANRVFDGMPELFPGQDPDEVRRRYKPDVIKGDLDSVRPEVKEYYSNMGTQIVDESHDQDTTDLHKCVAFITENSAIPNKSNLCIFALGALGGRFDHEMGNINVLHLFPNNRIILLSDDCLIFLLPRTHTHNIHIERSIEGPHCGLIPIGAPSATTTTTGLQWNLDNTSMSFGGLISTSNIVREESTVVTITSDSDLIWTISLRHHS >ORGLA05G0118200.1 pep chromosome:AGI1.1:5:13315039:13315287:1 gene:ORGLA05G0118200 transcript:ORGLA05G0118200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAAVGHRAETGSGERPSRPSPPPSWTPPHGERGEQRREREEKVAAAAAAGKGEEGGGTGAREIEREREGEDDDGAERRE >ORGLA05G0118100.1 pep chromosome:AGI1.1:5:13311141:13314356:-1 gene:ORGLA05G0118100 transcript:ORGLA05G0118100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGGRCASTRARTARWTRRRRRSRGAPSSHWRRSPPPRRPPSQGVTWXDPRRGNPRLRAIAAPSPPAATSRRKIQMVLAAXPLTARKLRFSRMIMGKGSTLRVWFYLRLGDSVVKMAVADVQDLPVEDLRDNEVITVDSEGPGKPLQSIPLVQDKDAIQLARTFVNEKVKELMEGVSEPGVLQSRLCKITSFLVQATSIAAGLHDEVPLQIRGQTAALVTQISGLEQQVEELSKKLCSTEDELEVTNAMLKETQAAMLEAQSDRATAITAMNSLAMRMGASFARLGTILDPPPNAADSLEKSIKQMTALVSLLGPVSHSHSLSLARSSLTVGIAALLCRERGIEGLREPSGMDTRQFVRSQGPEFHSLISQVVDSMEQRLAKTVEGSGWRDSGATKEPGKPADSNKGTMPEPH >ORGLA05G0118000.1 pep chromosome:AGI1.1:5:13304369:13306342:-1 gene:ORGLA05G0118000 transcript:ORGLA05G0118000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRKLKRSRAKVKVGLPRKKPREFKPAFDLPEALAAAAAAEGGGHAPSWDAEGSVVKNYAAFGVVANPNLLGAHARGTPRLVQSAPLQAPDIDAARAPVDEFEPVDSGSDLESDDLKSALGKQRRDGKSAPLEPLTKVQRIYIGRLIEKHGDDYKAMFMDIKLNTMQHSVGTLKKLCERYHVVGKSIIHPLK >ORGLA05G0117900.1 pep chromosome:AGI1.1:5:13300162:13300494:-1 gene:ORGLA05G0117900 transcript:ORGLA05G0117900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >ORGLA05G0117800.1 pep chromosome:AGI1.1:5:13294667:13296124:-1 gene:ORGLA05G0117800 transcript:ORGLA05G0117800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAARRGARRSRARGLLLLAAAAAVAVVVVVAAAAAAGEEERHQQQQQQPGGTFEFNLPGHGNVKASWSVADDEESRWLDRLAADAESSSSSSSASAAGGGGGGGHRIPFGDDSVKFGSDAYEFIADLLRQGTDDGEGEKPTGYWEKVDEEGSRMLDRIAANARHRAEANGDDDSIGGFVHNVFSGKSKQHGEEPSHVESDKEFSNSKLPPHDDM >ORGLA05G0117700.1 pep chromosome:AGI1.1:5:13289619:13292661:1 gene:ORGLA05G0117700 transcript:ORGLA05G0117700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFQEDVKLMSDTGLEAYRFSISWSRLIPRGRGPINPKGLEYYNDLIDELVKRGEICDCSIGIEIHVTLYHLDFPQALQDEYNGWLSPRIIEDFTAYADVCFREFGDRVRHWTTVGEPNVLSIAAYDSGVIPPCRCSPPFGTSCAAGDSTVEPYVAAHNSILAHASAVSLYRDKYRAKQKGVVGTNIYSFWPYPLSRSCADIDGRGSELDFTIGWILDPLVYGDYPEIMKKQAGSRIPSFTKEQSELIRGSADFIGINHYKSLYVSDGSNREKAGLRDYNADMAAHFRGTTISVPN >ORGLA05G0117600.1 pep chromosome:AGI1.1:5:13269526:13274571:1 gene:ORGLA05G0117600 transcript:ORGLA05G0117600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTSTCSSFSLLLLLLLLAAAPWRSGEAAAAAAAAATAAARALNFTRQDFPGEFVFGAGTSAYQYEGATDEDGRSPSIWDTFTHAGKMPDKSTGDMGAGGYHKYKEDVKLVSDTSLEAYRFSISWSRLIPRGRGPVNPKGLEYYNSLIDELVERGIEIHVTLYHLDFPQILEDEYHGWLSPRVIDDFTAYADVCFREFGDRVRHWTTMDEPNVLSIAAYDSGAFPPCRCSPPFGANCTAGNSTVEPYVVAHNSILAHASVTRLYRDKYQATQKGFVGMNIYSFWNYPFSSSSADIAATQRALDFMVGWILDPLVYGDYPEIMKKKAGSRIPSFTEEQSELIRGSADFIGINHYTSVYISDASNGETVGPRDYSADMAATFRISRNDTPSGQFVPTRLPRDPKGLQCMLEYLRDTYQGIPVYIQENGFGHFGKDDDSLNDTDRVDYLSSYMGSTLAALRNGANVKGYFVWSFLDVFELLAGYHSPFGLHYVDFEDPNLPRQPKLSAHWYSKFLRGEIGINIESTISPDEHEHEHADQ >ORGLA05G0117500.1 pep chromosome:AGI1.1:5:13258146:13261895:1 gene:ORGLA05G0117500 transcript:ORGLA05G0117500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGASSVMEDANGVVDLDVDIVGLESGAACSKFVKTEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPANGDAAALMDAAVSDNLDRLLKKKRVTDHWRKYISPLMWRCQWLELRMKDLQSQVSRYDRQLAVLKHEKELQTKMIELDCSSSRSVPFSSHCCRKTMKRRRRKRNEEKMNASSYISNHTVFSYYEKTEADAFSIDDDEDTDENTTVNNETDWLLGIKRGEATVEQILLSIQSAQDKVLSLRSSLKKAMAKKSKGAILKVNTHMNGAQSSSCSPGKGKVLERSPRDMSDCDMDDAAMPESALSSYGEANDMDIFESTMSLLSAEVPHQMGEFHQSSEDVLIDNQAAEEGYQNFEVISHPCKRLRVSVKRESGAHSEDESVAPTVSVKKEAHEEATTSFGLQAAFFKPGYTGKRRRRMPKIQRRGGSSASPFSSWISSRIRKKRQF >ORGLA05G0117400.1 pep chromosome:AGI1.1:5:13252935:13253168:1 gene:ORGLA05G0117400 transcript:ORGLA05G0117400.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGANVKGYSVWSFMDLYELFGGYNTWHYGLIAVDFSSAERRRQPKRSASWYSDFLKNNAVIRVEDGSSVSVAAHAQL >ORGLA05G0117300.1 pep chromosome:AGI1.1:5:13244694:13250274:1 gene:ORGLA05G0117300 transcript:ORGLA05G0117300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRPLHLLLVFLSSPWLLLLLLLLVVQGTSSLQFTRDDFPHDFAFGAGTSAYQYEGGAAEDGRTPSIWDTYTHSGRHPEDETGDVASDGYHKYKEDVKLMSEIGLEAYRFTISWSRLIPSGRGAVNLKALQFYNSMINELVKAAIQIHVVMYHMDLPQSLQDEYGGWISPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNAMAQAGYDMGILPPNRCSYPFGSNCTAGNSSVEPYLFIHHSLLAHASAVRLYREKYKVAQKGIIGINIYSMWFYPFTDSAEDIGATERAKKFIYGWILHPLVFGDYPDTMKKAAGSRLPIFSNHESEMVTNSFDFIGLNHYSSVYTSNNNNVVKAPLQDLTADVATLFRVTKNDTPTPVFVPGTIVDPRGLEHALKYIREKYGNLPIYIQENGSGSSSETLDDVERINYLAKYIAATLKAIRSGANVKGYSMWSFVDLYELFGGYSTWHFGLVAVDFDSEKRRRQPRRSASWYSEFLKNNSVIRVEDGFVSAASHAQL >ORGLA05G0117200.1 pep chromosome:AGI1.1:5:13232886:13237241:1 gene:ORGLA05G0117200 transcript:ORGLA05G0117200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPLHLHLLLLFFLAWLMLLLLLQGVSSLQFRRDDFPDGFAFGAGTAAYQYEGAAAEDGRTPSIWDTYTHSGRHPEDGTGDVASDGYHKYKEDVKLMTEIGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNNMINELVKAGIQIQVVLYHSDLPQSLQDEYGGWINPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNVMAQGCYDTGILPPNHCSYPFGSNCTGGNSTVEPYLFIHHNLLAHASAVRLYREKYQVAQKGIVGINMYSLWFYPLTDSAEDIGATERAKQFMYGWILHPLVFGDYPETIKKVVGSRLPFFSNYESELVTNAFDFVGLNHYSSVYTSNNNNVVKAPLQDLTADIATLFRATKNDTPTPEKWFCLVCQFLPGNTVDPQGLENALEYIRENYGNLTIYIQENGSGAPDGTLDDVERINYLQKYIAATLKAIRNGANVKGYSMWSFIDIYEIFGGYNSWHYGLVAVDFGSTERRRQPRRSASWYSDFLKNNARIRVEMAPLSLQPLMLNSE >ORGLA05G0117100.1 pep chromosome:AGI1.1:5:13224316:13229781:1 gene:ORGLA05G0117100 transcript:ORGLA05G0117100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCGCASGEDAMETRRPLHPLLLFSSPWLLLLLLLVVQGVSSLPFTREDFPDGFTFGAGTAAFQYEGAAAEDGRTPSIWDTYAHSWRNPGGETGDVACDGYHKYKEDVMLMNETGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNSMINELVKAGIQIHAVLYHIDLPQSLQDEYGGWVSPKVVDDFAAYADVCFREFGDRVAHWTTSIEPNVMAQSGYDDGYLPPNRCSYPFGRSNCTLGNSTVEPYLFIHHTLLAHASAVRLYREKYQAAEKGVVGMNIYSMWFYPLTESAEDIAATERVKDFMYGWILHPLVFGDYPETMKKAAGSRLPLFSDYESELVTNAFDFIGLNHYTSNYVSDNSNAVKAPLQDVTDDISSLFWASKNSTPTREFLPGTSLDPRGLELALEYLQEKYGNLLFYIQENGSGSNATLDDVGRIDCLTQYIAATLRSIRNGANVKGYCVWSFMDQYEMFDDYKAHFGIVAVDFGSEELTRQPRRSARWYSDFLKNSAVIKVDDGPVSTAFHAQL >ORGLA05G0117000.1 pep chromosome:AGI1.1:5:13217065:13219791:1 gene:ORGLA05G0117000 transcript:ORGLA05G0117000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRRLLLLRRLSTLHPHPSLSSSSTTASPAAPATHHLLPPPAPLAPHARGFAFSSAEEAAAERRRRKRRLRIEPPLHALRRDPSAPPPPRDPNAPRLPDTTSALVGPRLSLHNRVQSLIRSGDLDGASVAARAAVTSRVRPTVFTCNAVAASMVRAGRHDDAVSLFDFFFRRSNIVPNVVSYNTLILAHCEAARVDSALQVYREMLDKAPFSPSAVTYRHLTKGLVAAGRIQDALDLLREMLNRGQGADSLVYNNLIAGYIEEDNWDKAFELFEELQGRCLVYDGVVHTSFMEGYWKKGMDKEAMENYQSLLDKKFRMTPATCNVLLETLFKHDKDKEANDLWETMIDNHTPPSFIGINSESYNVMVNQCFKKCKFHEAIEVFHRQPRKNVQMDVGCFNNIIGKLCENGMLEEAEKLFEEMETKSVLPDVYTYTYLVDSCFKVGRVDDTIQYFYKMADGREHGPKFNIGFFNRMFEGLTEAGRMDDALKVYGRMPDKEIKPNTTTFETLVNALCKEGDLDKARELVSDMAKGGVTPPPEFRDSVIDIFKNAGRQEEIEKAFEEKPVPLPPQPRPEYRPRSSPQGLPGFASNQTRGSYMPHQGQLTYGSQPLHPAVGGSQAIQPQGMPLRPQQPVFGGPQVDKSEFGGRPLQHGPSAPDPRQPGVVSMTQPPNVSTPNTWQHSGVHATQGTHHGMGSPHPWQQSVGIGQVQQTDYSSGPPMQPGFGRPQPPQPMHSAPQTQQPGYGTSHPWHTRFNAPQVQQPSYGGPQSSQHAVGSTQPPQGQFGTPQAPPPSPGFRYQNRPEYGQAVDQHNRFGSPQGEPRFHTQPQQQGFDAQAPGNHALQGQNSFNARRGQVGFGNQGAPPEYGASPSQPSHGAAWNQRGYGLSEDQEDVNQQVVPHAYARVR >ORGLA05G0116900.1 pep chromosome:AGI1.1:5:13207648:13210248:-1 gene:ORGLA05G0116900 transcript:ORGLA05G0116900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALASLRLAASPILNKLLTDASTHLGVDMASELRELETSIMPQLELLIEAAEKGNHRAKLDKWIQELKEALYNAEDLLDEHEYNILKHKVKNGGEDPDPEHASSIGSILKKPMHVASSSLSNLRPKNIKLVRQLKELKAILAKAREFREMIGLLAGTSAEGGCTGHTEMVVVTPVTSTPPPKVFGRDADHDRIVDLFTQHKTCAEASRFVVAIVGPGGMGKSTLAQYVYNNKRIQEHFDVTMWVCISRKLDVHRHTREIIESATKEECSRVGNLDVLQYKLKEILQKKEKVLLVLDDIWFDKSQDVKEWDLLLDPILYSQKGATKVLVTSRSKTLPPALFSEDVIDLENMKDTEFQALFKHHAFSGATIQDLQLRARLEELAEKIAERLGRSPLAAKVVGSHLKRTMNIEDWKGALTIKIDNLSEPKRALLWSYQKLDPCLQRCFLYCSLFPKGYKYGIKELVHLWVAEGFVDARNMNRRVEDTGMDYFKEMVSGSFFQPFSEGYYYIMHDLLHDLAESLSREDCFRLEDDKVKEIPCTVRHLSVGVESIIQHKLSVYKLQHLRTLICIDPLVDVGSNLFKQVVLNLRKLRVLYLSFCNTRKLPESIGQLKHLRYLNIMNTLLSELPKSLCDLYHLELLYLCPNSRLPDKLCNLCKLXHLDGLELSRIPDIGRLTLLQHIEKFHVLKQKGHELRQLRNMNEIGGYLLLRNLENVIGKDEALESKLYQKSRLKGLTLEWNDENNMNPENSLHLEILEGLVPPPQLEQFSIRGYKSTTYPSWLLEGSQFENLESFTLDNCSALERLPSNTKFFRHCRALCLENLPNMKELPFLPAGLTTLSIHRCPLLLFVTNDELEYHDHSEHIT >ORGLA05G0116800.1 pep chromosome:AGI1.1:5:13200414:13203507:1 gene:ORGLA05G0116800 transcript:ORGLA05G0116800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGMGGNGNDADDKARDVTDQSKALGGNSCEDRALPSAVRVTVSGDPVGTFGSLGNMADDNVHLQPDEGDDDHGDSTECSSSFGPSCSAASDDDDDDDTKSEMDGVEVDSPFLGPTRSSAVRASSAPRMVRRRQVTAEWRKIVGPIMWRCQWLELHMKNLLSQVAKYDRELAIINHEKDLQLEMVKADGPKSEPGKLYSQSHERIIMKRRKRKRDEDTVDTSLYLKRHPALSYYENKNSGVQTDGPLVNGGFDSSVVEDIESTDDALVENDRVFEQYSLREILLTVDDVQSRVLSLQGRLSNARSKYKKLSQCLDRKQVKVPQKNQNQMTCCKKDGRRSHQKTKCMHTLLQKDDLDRSLAVVPPVFGRSTDCVLECMKKNDAQEDAVQSDPNGITIEMFCGKDNFLTNAHVGELYKESADDVLIDNQAAKEEGYQLFEKVKPEEHSELVIPPSKVQKASADIVDYEQVQETTPLAKQIISGDKRGQKPNKKHGLPVLAKKIKTEKDPGNMKNEKTVLVAVDPRRSTRVRKPKTY >ORGLA05G0116700.1 pep chromosome:AGI1.1:5:13189799:13190257:1 gene:ORGLA05G0116700 transcript:ORGLA05G0116700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADEDAASRGGGGGGKRKAVAEGGSPSPLSVLADDVLLQILGRLEGDPRDWARASCASPRLAALLRAACLPPRLTRALPAELLPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSSPSCLPGPPPRRRPPRALR >ORGLA05G0116600.1 pep chromosome:AGI1.1:5:13187077:13187920:1 gene:ORGLA05G0116600 transcript:ORGLA05G0116600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATHWNSMESDRRIYKYDHCHGYGYGIPSILRLMEYTDMAYLHMYDTSSYMIRKSIRDYGNNPHGSSYQRTQYPMYPVYVYLPSIITTAYNNFHHKFFIKVLAIGSWHIWSKRNDYVFNNIPVSFDKWKDSFKTEFSLHMHMAKEVDKPLW >ORGLA05G0116500.1 pep chromosome:AGI1.1:5:13175162:13175979:-1 gene:ORGLA05G0116500 transcript:ORGLA05G0116500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGVEDPNWHSDPCVYLLPTWCQRPLCLCGDRCQLMASRNPDIRGRRFFRCPNYDHETRTTACAYIEWVDTENPVLDLTTCLQEDRWYFASESTEQYLQRKAAYERQCHERQSDWQVLTTALPPWEARPRCRCGDRCQVLRSINPTTLGQRFFVWPNILDDDFMEPPRRCQYKEWIDTRRVLTPPSRVVQLELPEQYRVTKARFERGEGSSRRG >ORGLA05G0116400.1 pep chromosome:AGI1.1:5:13173721:13174252:-1 gene:ORGLA05G0116400 transcript:ORGLA05G0116400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVLISSGFFFLAGFDRSLMWLLPQWFCIIAAVAMVAVFAAEIFPRCESKGDVALCAIALAGALLMGPILGLAMTACAADDDEAAARIPSRYTRSEENMGRAAIMAVALLGLYVIYLAAVRGGDSGRFLDAACYVMMGLGLIVGHSVTWIEGRSCSTIIG >ORGLA05G0116300.1 pep chromosome:AGI1.1:5:13158145:13160815:-1 gene:ORGLA05G0116300 transcript:ORGLA05G0116300.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTREEDLVCDMEQQLFPTDGVSFCITGASFRRSCLNFSNLYLVAISFLILEGDNLDKLLPSTVVEILGYQVHGKQLFVLATAAVILPMTWLKNLSMLTYVSVVGLISLNYAVTVVLRYLIYGEDVQSQVTQNLPTGKLYTRIAILTTLITPLANYTLVIQPVTTAIEEKLSATTDVENNWLTRVLTSIAIVISTVVLACTVPFFGYLMLFIGSSLNVSVAVLVPCLSYLKIYMFRGGVGCFERTMIVGILVIGVCVNVVGTYTSLHQIIGTF >ORGLA05G0116200.1 pep chromosome:AGI1.1:5:13138897:13145046:1 gene:ORGLA05G0116200 transcript:ORGLA05G0116200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAQDAIYGRDGYDFDGHRLRVELAHGGRGPSFDRSSSYSSTGRRGAAKRTDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREGGATIGIVDYTNYEDMKQAIRKLDDSEFRNAFSRAYIRVREYDSAKRSRSRSRGRSHSRSISRSRSRSRSISRSRSRSYSRSRSPRSRSASRSHSPVKERSRSASQSRSPVKERSPSRSPSPATSPPREKSASKSPVKSRSLSRSPSPVKSE >ORGLA05G0116100.1 pep chromosome:AGI1.1:5:13135267:13136370:-1 gene:ORGLA05G0116100 transcript:ORGLA05G0116100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ, N-terminal with domain of unknown function (DUF1977) [Source:Projected from Arabidopsis thaliana (AT5G49060) TAIR;Acc:AT5G49060] MDGNKDEALRSVKLAETALASGDRQRAEKFLRIAQRLDPSLPIDDMLGTPKKYDTLDGAVRQYRARSGEVGESQNLRKESVGPSNVDKGYTEENVRVVRNITKNKDYYAILGVERSCSVEEIRKAYRKLSLKVHPDKNKAPGAEDAFKLVSKAFKCLSNDQSRRTYDQTGAIEDHEFNYQYSNVMRQRTTRRQRQARSSFYGYEEDLDPDEIFRSFFYGTHDNMFQSRNAYRARGTVRQQQQQRREHPVQGRSGINLTMLVHLAGVLFFILFAFIPARHPEYSLKRTSYFSISKVTEKHGVEYFVSKQEFDQQFPRGSSSRDNLEQYVFKDYKSMLGRFCHVELQRRQWAKDYPTPHCDKLRSLSVA >ORGLA05G0116000.1 pep chromosome:AGI1.1:5:13074207:13077640:-1 gene:ORGLA05G0116000 transcript:ORGLA05G0116000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:complex 1 family protein / LVR family protein [Source:Projected from Arabidopsis thaliana (AT3G62810) TAIR;Acc:AT3G62810] MAAAAAAAEGLAAYRAVLRAARRTFAGDRLMLAESAVEIRRRFEEHRGLAPGSGEAARALTDAREAAHFITHMIVQAQRAPSGSFVVKPEKEHAGATLEVPSEEILSKLK >ORGLA05G0115900.1 pep chromosome:AGI1.1:5:13056959:13063491:-1 gene:ORGLA05G0115900 transcript:ORGLA05G0115900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06880) TAIR;Acc:AT3G06880] DDAARRAARRYKDWLIYYKVVAGAPASGGGGGGCIQFGRSVSSVIPKWPEFSEDGTIHSIDQEGKCRAFDSNCGDHDSFAELKDFLNCEDPDLQEDTKGSSDSRCLHEMLEEYQSDSPVSFYSHLDSSEESDNEEVSHDKGRSAKVMPIDTVFLSTKLHGRSIQNKNLTWCTSPENAMIYTPESPLYQVDDCDMKQNDLQSSRSQCSANSLSNSVLNVNKADSYSTSNYFNKDGMFPQCTPKHDLRCFSNFSTKFMKRSALSDIVSRGSMSRKFKAFSQSDEWSDVSSRWGKESQVDFLERFEKAVSKLLVSDGLESYLDAGSEVTTIWHLLNSSSEVRYKSSARQDILDQLLDSISTSKKDKVIRASVYVLLLMLSEDRNAMRGIKRKEFHLSNLASALKRDVHEAAILIYLLDPSPLQIKNLELLPSLLHVACNSDTKKWPAVLPLTPTSASIALIEILVTAFDYVTNNVHLGAISSPHILSKLVDVAKNNNLEEGVALAAILVRCVRLNGNCKKFLSQATPVEPFLHLVRRKEHRAKCAALEYFHEILQIPRSAANSLLQEIKKLGGIAIMHTLMACLHQTEPEHRVLAANLLLQLDMLDKPDGKSVFRDEAMEVLLDSLSSQENCTVQALAASFLCNLGGTYSWSGESYTAAWLAKKAGLTSTSHRNMIRNIDWVDPCLQDTEIGPWSSKSARTIIRTGVPVLRALAKGIQSKAKGTSHDCLVCAAWLGSELAALGENNMRYSACEILLHDIARHLHPGFELDERLLACMSLYTYTSGKGKQKLMGLSEGSRESLRRLSSFTWMAEELLQVTDYYLPSKPRVSCVHTQILEIGQPGNGAATAIIFFGGQLFVGYSSGTIRAWDIKGQRAVVIREVKEHKRAVTCFALSDTGENLLSGSADKSIRVWKMAQRKLECVEIIQIREAVEQFEIYNDKIIVLTPNNVLKFSYSSRSTQTFYKSKHVKSLAVAHGKAYLGCTDLSIQELDVAVGSKIEIRAPTRSWRIRKQPISSIVVYKDWMYCAGTQVEGSTIKDWKKRCKPTMTMAISKGTNVEAMAVVEDFIYLNCDKSPSIIQIWLRENQQKVGRLSAGSKITSMFTANDIIFCGTETGLIKAWIPF >ORGLA05G0115800.1 pep chromosome:AGI1.1:5:13046765:13047164:-1 gene:ORGLA05G0115800 transcript:ORGLA05G0115800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVRRTGGDGGKKAVLRRGGGVAVAERKRRYGQGPQGGGMAAQRGGDKVGSKREDKTGPVFVINQYQRVIIDADF >ORGLA05G0115700.1 pep chromosome:AGI1.1:5:13039010:13042594:-1 gene:ORGLA05G0115700 transcript:ORGLA05G0115700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTYRGGGGATLAGEAEAAVAAGGYSPKPSKPLAWLPRAARYAAGEHRPLFALAGMLVAAAIFSLATPSSSSTPAAAAAGSTAANPLARFSVEPAVSRRQQQLPARQFVGGKVPLGLKRKGLRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNLFTGRKENVVHHFGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVVGTLNMLGLAKRINARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFRPNTQDDPHKRKPDIGRAKELLGWEPKIPLHKGLPLMVTDFRKRIFGDQDSTATTTGGQQG >ORGLA05G0115600.1 pep chromosome:AGI1.1:5:13032236:13038518:1 gene:ORGLA05G0115600 transcript:ORGLA05G0115600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEMADDVEYHEEFVTNPRGLRLFTCGWLPASSSPKALIFLCHGYGMEVSGFMKACGVELATAGYGVFGIDYEGHGKSMGARCYIQKFEHLVDDCDRFFKSICELEEYRDKSRFLYGESMGGAVALLLHRKDPTFWDGAVLVAPMCKISEKVKPHPLVVTLLTQVEEIIPKWKIVPTKDVIDSAFKDPIKREKIRKNKLIYQDKPRLKTALELLRTSISVEQSLSQVSMPFFILHGEADTVTDPEVSRALYERAASADKTIKLYPGMWHGLTAGEPDHNVHLVFSDIVAWLDRRSHRQDRASMTPPAACTDSAAAAAADSPVSPEPPRQGAAGGFLCGLTGRANPQQCRM >ORGLA05G0115500.1 pep chromosome:AGI1.1:5:13013875:13014657:-1 gene:ORGLA05G0115500 transcript:ORGLA05G0115500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAASCVALLAQRRGLSVAITAAEGSAKTIDDKAVKLGTAAKDVATATATTTEEKTAFWEPDPDTGYYRPVTGTKEVDAADLRAEMLKQRMLHD >ORGLA05G0115400.1 pep chromosome:AGI1.1:5:13010565:13011119:1 gene:ORGLA05G0115400 transcript:ORGLA05G0115400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLHLLLLLLAVLAVAAAAAEAAAEKKPTAYEVLESYDFPVGILPKGVTSYTLEATTGDFTATLDTGDDDDSSSSTCEFAIEGSYSLRYQRAITGRIATGHLTDLRGVAVKVLFFWLNIVEVTRRGDRLEFSVGIASADFTVDNFLESPQCGCGFDCDDDGISSSSSLPPPLEPSLLRLRGAF >ORGLA05G0115300.1 pep chromosome:AGI1.1:5:13000871:13001392:1 gene:ORGLA05G0115300 transcript:ORGLA05G0115300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPLPLLLLVALLVAGGGGAAAETKPTAYEALATFDFPPGILPKGVVSYTLDDATGDFTATLNTTSTCAFSIQGSYSLRYQRRLSGRIAADRLTNLQGVSVKILFLWVNIVEVTRHGDELGFSVGIASADFGIDNFLESPQCGCGFDCHDHRDLSLPLPLPEPSLRLRGAF >ORGLA05G0115200.1 pep chromosome:AGI1.1:5:12984757:12991504:1 gene:ORGLA05G0115200 transcript:ORGLA05G0115200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTSMEVRRDGVAVITVSNPPVNALSLDVIASLQRDYGEALRRSDVKAIVLTGAKGRFSGGFDINAFDKKPSKDCPVLSFVNKLNIYVVKFMLLPDAPKPSVAAIDCVALGGGLELAMVCHARVSTSSAQLGLPELQLGIIPGLGGTQRLPRLVGLPKALEMLLMSKPLKGVEAHKFGLVDAVVSADELISTACSWALEIVEDKRPWFRSLHRTDRLPALEETKKILNFARVQAQKQSASLQHPLVCIDVIEEGIISGPRAGLMKETLCGKMLEMSQISKSLRHVFFAQRATSKIPNISNLGLTPRRIHKVAIVGGGLMGSGIATALISNNLLVILKEVNEQFLDAGISRVKANLQSLVKRGQMTKEDYEKKLSLLSGVLDYEQFREADVVIEAVIEDLSLKQKIFADLEKYCHSNCILATNTSTIDLHLIGQKTSCQDRIAGAHFFSPAHAMPLLEIIRTHRTSSQVVIDLLNVAKQIRKTPIVVGNCTGFAVNRMFFPFTQVAYFLVDYGLDVYHIDHVITKFGMPMGPFRLADLVGFGVAIASRKQYLQSYPERCYKSMLIQIMLEENRTGESSRKGFYLYDDKRKASPDPEMNKYIEKSRSMASIVQDPKLPKLTDDEIVEMMLFPVVNEACRLLDEGVAMKASDLDVASIMGRGFPSYRGGVMFWADSFGAKYIYDRLKDWSKYHGGIFEPCEYLSTRARQGLSLAAMADGAMSRL >ORGLA05G0115100.1 pep chromosome:AGI1.1:5:12980641:12981070:1 gene:ORGLA05G0115100 transcript:ORGLA05G0115100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISYFLVAMLLCDGFGFIVFAQVVGGGSSSGIPSFVGIDGGSNVIGVGKRLTPTGPNPVHNEFQPPPPPPPPSPPNGGNVIGDGKRLTPTGLDPVHNLFQPPPPSPPN >ORGLA05G0115000.1 pep chromosome:AGI1.1:5:12974135:12977440:-1 gene:ORGLA05G0115000 transcript:ORGLA05G0115000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGEEEKPFNFLQILCEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGSQIQWKGLYSGLAGNIAGVLPASAVFVGIYEPTKRKLLETFPENLSAVAHFTAGAIGGIAASLIRVPTEVVKQRMQTGQFRSAPDAVRLIVGKEGFRGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKVVAKRELNDPENALIGAFAGAITGAITTPLDVMKTRLMVQGSANQYSGIVSCAQTILREEGPGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSMLAERRSREPPAGKDE >ORGLA05G0114900.1 pep chromosome:AGI1.1:5:12960277:12961063:1 gene:ORGLA05G0114900 transcript:ORGLA05G0114900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIADFVPPAGARRAAASDISDNAVLSAAGAGDESFAAAKAPAPGRKTAYRGIRRRPWGRWAAEIRDPRKGARVWLGTYATAEEAARAYDVAARDIRGAKAKLNFPPTIGAAAAPPPPKKRRKAAAAANHHQQESSGSSSASSLPPTPPPAAEHQLRECMSGLEAFLGLEEEEEDDGGAGEPWDAVDMMLE >ORGLA05G0114800.1 pep chromosome:AGI1.1:5:12954193:12955556:-1 gene:ORGLA05G0114800 transcript:ORGLA05G0114800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNATSSLSPPPFSLSPLLLFLGQAGRRLVRRPPGQDGRGGGQRRDGSRSLEARWQPGEEEEAPLSPSRTKATVPSLEYEMSSVTWGVIQGRKERLVSRVLALDFLQSVGVCDPAGELEAVELPSSLEVLQERLDFDIRLGLSTDNLSSYPLLPACSLRKNAIPVLSYLEAGDAEHRRAAMAACPLLLPLSHSVAHVVIDEEVGEGAIAHVLVDEEAVGALVAAAEQAHQVAVALPHDGAHLSLELPLAVLHQLLQPLHGNRPLAAVPQCPLEHRAKRGAEARVAAACAGLSCTDLRQVLGVAAIVADEVEHAAGIEAQGDGEAYLERAGVLTCGAYMGPTPTQPPHRTKPGSKLPKDLFVTSFD >ORGLA05G0114700.1 pep chromosome:AGI1.1:5:12949272:12952226:1 gene:ORGLA05G0114700 transcript:ORGLA05G0114700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1PUU9] MAVRYEKKAMCALLLSLIMVALSVAAAGDGDAPPSAPVSPTTACNDTTDPSFCRTVLPPGGSSDLYTYGRFSVARSLDSARRFAGLVGRYLARHRGLSPAAVGALRDCQLMSELNVDFLSAAGATLRSAADALPDPQADDVHTLLSAILTNQQTCLDGLQAASSSWSERGGGGLAAPIANGTKLYSLSLSLFTRAWVPTAKGSKHHGGGKKPHHGHGKKQPPAAAASMRRGLFDAADGEMARRVAMEGPEATVAVNGVVTVDQGGGGNYTTVGDAVAAAPSNLDGSTGHYVIYVAGGVYEENVVVPKHKRYIMMVGDGVGQTVITGNRSVVDGWTTFNSATFAVVGQGFVAMNMTFRNTAGPSKHQAVALRSGADLSAFYGCSFEAYQDTLYAHSLRQFYRRCDVYGTVDYVFGNAAVVFQSCAFLSRLPLPGQCNTVTAQGRSDPNQNTGTSIQGCSLLAAPDLAAAGDGGRTLTYLGRPWKNFSRTVVMESYVGGLVDPAGWMPWSGDFALDTLFYAEYNNSGPGADTSRRVAWPGYHVLGAGADAGNFTVTSMVLGDNWLPQTGVPFTSE >ORGLA05G0114600.1 pep chromosome:AGI1.1:5:12903108:12908831:1 gene:ORGLA05G0114600 transcript:ORGLA05G0114600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase [Source:UniProtKB/TrEMBL;Acc:I1PUU8] MWSSSQASTRGVIEVGRVEAGPSHFPKRPAPRNSSRVNLSRTYAIKSCSVSSRTGLCLGQCYHKKSSACKCKLGWSSQPLSSLRHHLRVHSSASEAVLTSQSDFTKLLVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQAEALRKALCDKDIPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLEGIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIEKELRTFSEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGITNSCTLAYQSRVGPVEWLRPYTDETIIELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKHWGRVPALGCEPTFITDLADAVIESLPYVGAMAVSNLEARQPLVPLGSVEELLAAYDSKRDELPPPVTVWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGEGFLHQWGILPLFH >ORGLA05G0114500.1 pep chromosome:AGI1.1:5:12896436:12898117:1 gene:ORGLA05G0114500 transcript:ORGLA05G0114500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISLTSLVLVLLAFVLPLLYLLLLQLPGKKSGGGGDGPSLPPSPAGCLPLLGHLHQLGALPHVALRSMAAAHGPVLRLRLGRVPTVVVSSAAAAEEVLRARDAAFSSRPRSAMAERILYGRDIAFAPYGEYWRQARRVCIVHLLSTQRVSSFRRVREEEAAALADAVRAAGRGGGHAFDLSGLIVAYASAVVSRAAFGDESARGMYGGADGGRAVRKAFSDFSHLFGTKPVSDYLPWLGWVDTLRGRERKARRTFEALDGVLDKVIDDHRRRRDSGRRQTGDADAGHRDFVDVLLDVNEMDNEAGIHLDAIEIKLAAHLVDGCIRQDMFVAGSDATSKPMEWAMAELVSHPRHMRRLQDEIRAVVGGGRVTEDHVDKLPYLRAALKEALRLHAPLPLLVARETVADTEIMGYHVAARTRVVINGWAIGRDTAVWGETAEEFMPERFLAGGNGGGAAAADYKMQGFEMLPFGGGRRGCPGVTFGMATVEMAVASLLYHFDWEAAAADGKGGREGTPLLDMSETSGISMGLKHGLPLVAKPRFP >ORGLA05G0114400.1 pep chromosome:AGI1.1:5:12892788:12893396:1 gene:ORGLA05G0114400 transcript:ORGLA05G0114400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPVLVVVAACLAAALVCLAANVAPASCARATAALPHASIAETCSFVDDHKLCEESLSSLPLTARAAADARVLARAAVLLARQNATATAAYLFHLHAATAAADPDGTPYDDDAGHRCVGDCTVRYDRAVAYLVDAAAALDAGEFDEAELLVGAGRTEAELCQKGCEHARLPALLAAPNGAVERLCNVAMDITRLLHQQH >ORGLA05G0114300.1 pep chromosome:AGI1.1:5:12876219:12876821:1 gene:ORGLA05G0114300 transcript:ORGLA05G0114300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTVQMVAPAAAVVEDPSKHWTRHGPVLTACLVGINLLMVLLVFFYFWRFFSGKRGPPTSSTSTMAGGGDDDEEEGASSSSSSADTSPGRHHQDREDIASSLPVFMYSSSAAAPDVGDAGGNGKAAAAAECAVCIVEFRDGDRARLLPRCGHRFHADCIGAWLQLHSTCPLCRAAVLLHPAAAEPAKNDQPKDDDCPV >ORGLA05G0114200.1 pep chromosome:AGI1.1:5:12854643:12855071:-1 gene:ORGLA05G0114200 transcript:ORGLA05G0114200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKAEEAVAAEVEAEATGSVAVAAAAAAAATSDDGGEQGHSGKKVRLPDPYVAAILSLKREPPPSAQYLEVLSPEKELEYAGHRKELEDELEAFEKDGYFVVDESYLEETAACLAMANEQLAKLDFSGIVFGDWDYDDLD >ORGLA05G0114100.1 pep chromosome:AGI1.1:5:12849305:12852550:1 gene:ORGLA05G0114100 transcript:ORGLA05G0114100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAAPAVEDASKHWAPHGAVLTAFVVGINLLMVLLVFFYFWRFFSGKRGPPPSSSSMAGGADDEEAASSDSDTSPAASPRASWRRLREWPAGRRQQEEDIASSLPVSVYSSADVGNGKAAAECAVCIVEFRDGDLARLLPRCGHRFHADCVGAWLRLHSTCPLCRAAALPLAASTATASVPNNNDDPKDDAAAHCPWHMHACAVDRNEMTLEALQLLGLVVRPDKLRRWEKAYRRAGFSTSGTRGGSSRISFIAAVGGRREEVGRQEEDEQEPHGSDLIGERVKRYALKAQLANSAWPIRHXLFGYSALGIGLDTRRWGWLTAIEGLRRRRRGASGHEVRRAHGATMAA >ORGLA05G0114000.1 pep chromosome:AGI1.1:5:12836733:12837776:-1 gene:ORGLA05G0114000 transcript:ORGLA05G0114000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYVEVEELRKPVGYLNPCRISQPNHTYTLDEKKLPEHIKAMTPEERTAYIGQRHREKLLDVASYVAIALESAQDKECVYAPCAFDDHWIVFLLYPKYNEVIVLDSLDKDIKTYQEFLRIIDLFQNSLSLKESSMINPFKTFSGTCATSSTVSAHISLGSFSTRKEFGACRRTNPCLIGAGDC >ORGLA05G0113900.1 pep chromosome:AGI1.1:5:12833758:12834429:1 gene:ORGLA05G0113900 transcript:ORGLA05G0113900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGAGGDVSGGERWAPSGRQLTASLVGLNVFVVLLIYYYLWRFFSGKSDGGVPGGGGGGDDEDAESSAAPSRAVSPKARDREAMELAITALPVFVVHVPTPSDNSGDGDGGAGDAAAADANGGGGGGGKVLECAICIAEFADGEEGRLLPRCGHRFHARCVDMWFQLHSTCPLCRAGVLPPAPAPPCPTTAPHDDDGQQQVVAPPPDHTDDTNRTDNCPV >ORGLA05G0113800.1 pep chromosome:AGI1.1:5:12799422:12802873:-1 gene:ORGLA05G0113800 transcript:ORGLA05G0113800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTAPSSKAATFLPLSASAPTSPPPRRRLRPPRSPPRRVDDVDPLPNHRDDASLSSSTAGGGGMRVAASSSKASATTTTLPTGSGPPRRLQAVSRDEQDSLPTSSPQPDVRDSQPALSPQPDEPRPEKRKRGGRGRNKMPKGRYTITHVTDDGQPMLPKTAVSAFRRACSVIGRSKIKITYKDWKKVPNTEKTVLWETMKGMFEIPESAHDSVQRQALLKIGKVWKNFKSELYKKYVKQDRTPFHDKELAHLRDQWNEFVQRCQTPEFLHQSEVNKALSACNTHPHRLGTGGYVGKSFQWAREDEEAAQLSRPTPFADIPVQRARNWVRARAITTSDGSISFANTETEQVAQRVQQLAEESLQGSFQSCREKDILTEALGTKEHPGRTRGLGATVPWKAGFTDNSDLYKKHRRSKGECEETNVAQLKKEIYDELAAKIDSEVEERLQQALNQRSVASPVEPSPNTIQDSVVSPVPVEPSPNTNQGNCGAVAHSHPGGSIIHDRYPVDDIEEHTKCKIQVAIGVGTNFIIDAGEGTAYPCSEDPWVQGVPLAEGYGKVRVNMVYPNFTAFPLPLPPNEEIMTLGQALRKCIQWPKKDITLST >ORGLA05G0113700.1 pep chromosome:AGI1.1:5:12793283:12793711:-1 gene:ORGLA05G0113700 transcript:ORGLA05G0113700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESARFKLQKPISKKHHHKKQQQRRRWWSSALLFFRRGGSSSSSSFDDDDATASVEYPSSYSTAALSPAGPLYLAAVEDDDDDGAAAACACWAPAMRSGGRHLAASELGASASVLPYVSLRDSAGVAGGARAPLAMPIYLVT >ORGLA05G0113600.1 pep chromosome:AGI1.1:5:12770907:12781641:-1 gene:ORGLA05G0113600 transcript:ORGLA05G0113600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XTWSASSPAAPAAAAAASGTSGCRLGARRMTGRGRRRRRRRRCRSGGRRSWRRRRRSASRRRRGCSRSSPGRRSSPCRAPTPGTSPRCCCRTPSRCTTSRGTXLDTINSRSRNXLTXRISQIXIEGRAMFRWRLYKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPVGHDEDEADDVNVPAQAEENSIRHRNVPSSAVLPVIRPALGRQHSVSDRAKVAMQEYLNHFLGNLDIVNSPEVCKFLEVSCLSFLPEYGPKLKEDYVSVGHLPKIQKDHKENCCSCGLFSCCKSSWQKVWVVLKPGFLALLKDPFDPKLLDVLIFDALPHMDISGEGQISLAKEIKERNPLHFGLQVSSGGRTLKLRTRSSSKVKDWVSAINAARQTPEGWCYPHRFGSFAPPRGLMPDGSMVQWFIDGEAAFQAIASSIEQAKSEIFITGWWLCPELFLRRPFQHHGSSRLDALLEARAKQGVQIYILLYKEVALALKINSLYSKQKLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYLGGLDLCFGRYDNSAHKLSDVPPVIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPPCRDAARHFVQRWNYAKRNKAPNEQGIPLLMPHHHMVIPHYKGISQEINSEADGKQNHDKDCDVKKPVSVDSRESCQDIPLLLPQELEPPALPNGDLRVNDLDANHSDHLHKTSFNQPLLNRKAKLDSSRQDLPMRGFVDNISSLESSSIRHFDSSKEEKYHMDKNWWEMQERGDQVASLLDIGQVGPRATCHCQVIRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDETIKNRVLEALYRRILRAEREKKRFKAIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLYDVIGPKAHDYISFYGLRAHGRLCEGGPLVTNQIYVHSKLMIIDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVSSKMNGKPWEAGKFSLSLRLSLWAEHLGLHRGEVSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFSCVPNDLIHSRAQFRQSFAHCRDKIGHSTIDLGVAQEKLETYQDGDLKGTDPMERLQMIKGHLVSFPLDFMSQEDLRPYFSESEYYTSPQVFH >ORGLA05G0113500.1 pep chromosome:AGI1.1:5:12755409:12755675:1 gene:ORGLA05G0113500 transcript:ORGLA05G0113500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PEGEMPLDSAVARQRRSHRYSRRRRRLPRRPSIQQRTPSIAPPTIHLPPSLQRCPCCRLQLSTHYGLHRQGSRELQFQLARTPCAAATT >ORGLA05G0113400.1 pep chromosome:AGI1.1:5:12744296:12744891:1 gene:ORGLA05G0113400 transcript:ORGLA05G0113400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHNFLRCPPTASVMMFSLAGHVGNATSGGGRLGSTRDSRLRITSVTVTTAGSTTSFAACARDGRIHATDAADDDGEEEVAGSGIAFPTRGDDGSGGGEAWRPSSAWIQRXPPSPHMAAAADLKVVRHGTRRPRGSDGDRPLLTRRRRI >ORGLA05G0113300.1 pep chromosome:AGI1.1:5:12726707:12730190:-1 gene:ORGLA05G0113300 transcript:ORGLA05G0113300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHISSLLQGLARSLSVGKERKGGDGDDGKAAAATATAVLRTSGTLWGEGSETFAAVCSRRGEKGINQDCSIVCEGFGCEEGSVLCGIFDGHGQWGHYVAKAVRESLPPALLRRWREAVTLASLIDGGEKRLCECRPDLWRQSYLAACAAVDAELRASRRLDAVHSGCTALSLVKHGDLLVVANVGDSRAVLATASPDDGGGARLAAVQLTVDFKPNLPQERERIMECNGRVQCLADEPGVHRVWRPDREGPGLAMSRAFGDYCVKDYGVISAPEVTHRRITAQDHFVILATDGDKHLNLFVFVCAAGVGRGVERGGGADRGVGAGEGEGGEAARRVRRPGMEAQAPGHRRRRLLGDLPLLPLAAVLNNTHADTHAANKNRTRRRQCHRRR >ORGLA05G0113200.1 pep chromosome:AGI1.1:5:12723370:12726112:1 gene:ORGLA05G0113200 transcript:ORGLA05G0113200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVAASACTLRPGPPGAVRSKSNGPDRLSHLPTRPLSAPRLHLRRSPEPTHRRLPLMASSPSAAATGSGGSFPEMNSVDDFAAVTAPRGGGRVSVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHSAPIFFERGIAIEATKEFSSLSVEPCEGELIVVTVFEIKEDEVPAFIEREHEFRFLAVVPEGLDGVPYANPAVVCARYSDEEYFQVRCKGSKEIYYQRYGRYNIDRIWRDDILPCRAYLRHCVLAAKNLGEPAYSNFLDHTYLGDRTTTIREYLATTGAGIMEEEPPESLKSRYGG >ORGLA05G0113100.1 pep chromosome:AGI1.1:5:12710605:12713191:-1 gene:ORGLA05G0113100 transcript:ORGLA05G0113100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase [Source:UniProtKB/TrEMBL;Acc:I1PUT3] MAREDEKADAMEIDGQHQQVANTAIPDGFNADYLRIYYGKLFPHVDFFKWLSYGNDGKHPGCDQLYVGRREFSFTLENDIYVRFQSFDSVAEMENSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDIDISDYDDVRYCCSGADVCMECWPLMTIAIKILDASLRDDFGFNHILWVYSGRRGVHCWVCDSRARKLNNEQRAAIADYFRVYKGGENTMKKVSLTGAVLHPFLVRSYIDVLKGFFEDKLLLSQQLFASEERYQKILDLIPDENVASELHDKWQGNRRSSISKEDVNATRWEQLKSTLQSGKHKGLRRCIEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTG >ORGLA05G0113000.1 pep chromosome:AGI1.1:5:12709673:12710371:-1 gene:ORGLA05G0113000 transcript:ORGLA05G0113000.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLSLGRVCVPIDPNNCDDFDPTTVPTLSQLLGELNAAGLRTDSENDWERTSLENSIRFFRASFLQPLLKACKEELESSYNAKLQQSKNTLTW >ORGLA05G0112900.1 pep chromosome:AGI1.1:5:12691214:12695711:-1 gene:ORGLA05G0112900 transcript:ORGLA05G0112900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAWGRSSSSSSSAAAAARRLQARYDLYMGFDDADAAGVEEVEARGGGEAYNCPFCGEDFDFVAFCCHVDDEHAVEAKSGVVCPICATRVGVDLIGHLTMQHGSYFKMQRRRRVRKISSGSHSLLSLLRKDLRDGSLQSFLGGSSYVSNPPAAAPDPFLSSLICSLPVAEPSKDLHSDSSDNNFLLNKFPDDKTAERAEPSLSEKDQKERAQRSKFVRGLVLSTIFEDDNL >ORGLA05G0112800.1 pep chromosome:AGI1.1:5:12687758:12688350:-1 gene:ORGLA05G0112800 transcript:ORGLA05G0112800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKHATMPAQSVSGGRRKERMIIHMHPWYSLRMILRWQWFSRKIKPDNLGILSHRTFQFGHSGGTILLFSIFWLNFLICM >ORGLA05G0112700.1 pep chromosome:AGI1.1:5:12679443:12683500:-1 gene:ORGLA05G0112700 transcript:ORGLA05G0112700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G80950) TAIR;Acc:AT1G80950] MALPLHDATTSPSDPDDLGGGGEEEEERLASKPLLSSPSTYPSAGTEEGVEELELDRRYAPYARRDAYGAMGRGPLGAAGAARLAVGAAVLFPLRLAAGVLVLVAYYLVCRVCTLRVEEEREGGGGGAAGEVEGDGYARLEGWRREGVVRCGRALARAMLFVFGFYWIREYDCRFPDAEDEHQEQSKELGRPGAVVSNHVSYVDILYHMSSSFPSFVAKRSVARLPMVGLISKCLGCIFVQRESKTSDFKGVSGAVTERIQRAHQQKNSPMMLLFPEGTTTNGDYLLPFKTGAFLAKAPVKPVILRYPYKRFSPAWDSMSGARHVFLLLCQFVNNLEVIHLPVYYPSEQEKEDPKLYANNVRKLMAVEGNLILSDLGLAEKRVYHAALNGNNSLPRALHQKDD >ORGLA05G0112600.1 pep chromosome:AGI1.1:5:12674247:12676973:1 gene:ORGLA05G0112600 transcript:ORGLA05G0112600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:farnesylated protein-converting enzyme 2 [Source:Projected from Arabidopsis thaliana (AT2G36305) TAIR;Acc:AT2G36305] MAVSYVAVLYAPTALLRLPPPTSLRVFLHRRFLCAAFSSSASLLATASLLRVWSIGDSSVVLAVFGIRRDHLLQAVAIPLLLTSLVYAGSFVARLWLLANSCGGGYEEPEIGWAQRLAHRIRASVGDVMVWRNCVVAPITEELVFRACMVPLLLCGGFKIYNIIFLSPVFFSLAHLNHLFELHQQGRNFTRSLLIVGVQLGYTVIFGWYAVFLFTRTGNIVSPIVAHIFCNIMGLPVFSSPQTRGVASVAFLAGSLSFFWLLFPATSPKLYNSRIDQCSCWHGYCRWS >ORGLA05G0112500.1 pep chromosome:AGI1.1:5:12667818:12672806:1 gene:ORGLA05G0112500 transcript:ORGLA05G0112500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSTGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIQYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSMIPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDFIDVIEGNRKYIKCVYVYNKIDVVGIDDVDKLARQPNSLVISCNLQLNLDRLLARMWEEMGLVRVYTKPQGQQPDFTDPVVLSTDRGGCTVEDFCNHIHRSLVKDVKYVLVWGTSARHYPQHCGLGHVLQDEDVVQIVKKKEKEDGGRGRFKSHTNAPARISDREKKAPLKT >ORGLA05G0112400.1 pep chromosome:AGI1.1:5:12656781:12662866:1 gene:ORGLA05G0112400 transcript:ORGLA05G0112400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1PUS6] MASPARTGEAAVVVVGVAEQEAAVVEQQREEEEQAAAAVLLPVGMVMVQVFTAVTLLLSELALGAGAARPLVLLVYRNLVGAAAVAPLAVLFERSILLATGMYYYGLRDTNAAYSANFLNLIPIITFIIAVIFRAEKLAIASCAGKMKVLGTVLSAKLAKVFPSKYWATVLTCLSGSLQALVAGVLTTGDWSEWKLSWDLRLLAVAYSGVFNTGITFVLISWAITRRGPIYPSMFNSLSLIITTVMDSLLLSANIYLGSVIGALLIIVGLYAFLWGTGQELQLKASGVKQERHKAAGDDDPEI >ORGLA05G0112300.1 pep chromosome:AGI1.1:5:12644219:12647411:1 gene:ORGLA05G0112300 transcript:ORGLA05G0112300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD+ transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G47490) TAIR;Acc:AT2G47490] MPGDVATSPAPAPPSASSQQSHHATSARGLLCHAAAGASAGVVAATFVCPLDVIKTRFQVHGWPKLATGTIGGSVIIGSLQQIAQREGFRGMYRGLSPTILALLPNWAVYFTVYEQLKSLLSSNDRSHHLSLGANVIAASCAGGATTIATNPLWVVKTRFQTQGIRAGVIPYKGTLAALKRIAHEEGIRGLYSGLVPALAGISHVAIQFPAYEKIKAYLAERDNTTVEALSFGDVAVASSLAKVAASTLTYPHEVVRSRLQEQGAHSKARYTGVMDCIRKVYHIEGLTGFYRGCATNLLRTTPAAVITFTSFEMIHRFLLDVFPPLPEQQPQPLKH >ORGLA05G0112200.1 pep chromosome:AGI1.1:5:12635522:12640432:1 gene:ORGLA05G0112200 transcript:ORGLA05G0112200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G56310) TAIR;Acc:AT1G56310] MGCSKTQTQEDSEFNQCNEERSLCLHSFSDLSHVSAATFMYLLKDCYLYGTDKATPKFKILQLQVKRALNNDPQPGPFTYVVQCMYIVPLLGKTHAEGFSHMLISSLRHLKSVESVQKDFLDAKHLAARLILDIVASIVPHEERILIKLLEAYDIELRDMADALYGSELGDEDLVKAKEHLKQYVQCLMESESYVTAVNLITRFSIQCYDESFLTKLIENNHLEAAEKWAVFMGNEMICLIIQTYLDIKMLKRANELVKQHDLTEKFPDVNYLYKESVLKKLAEKGCWDIAEVRAKKETKLMEYLVYLAMEAGYMEKVEELCQRYSLEGYVNSLGKHKHQRYLSYINICSPAVPEEICCGSDYLDLKELILEDIIWVDEIDGLLNSISYIEACKIIGVDCEWKPNFEKGSKPNKVSIIQIASDKKAFIFDLIKLYEDDPKVLDSCFRRIMCSSNILKLGYNLQCDLHQLSQSYGELKCFQSYEMLLDIQKLFKGTTGGLSGLSKKILGAGLNKTRRNSNWEQRPLTQNQKEYAALDAVVLVHIFHHVKGQPQFGVTEGCKVEWKSHIVSRVKNSRSTLRF >ORGLA05G0112100.1 pep chromosome:AGI1.1:5:12625306:12625785:-1 gene:ORGLA05G0112100 transcript:ORGLA05G0112100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHRPYRSDLDLRPPPPPPSSASFPHSNGYYLPSSSSSSPTANGYFSSKGTGGFAANGDRRIEIYTTAPPPPLPPPPRLALPPPPGRRDGYLGGGGAGGGGGGGGSGGMWCFSDPEMKRRRRVASYKAYSVEGKMKASLRRGLRWFKGKCSEIFHGW >ORGLA05G0112000.1 pep chromosome:AGI1.1:5:12613265:12614781:-1 gene:ORGLA05G0112000 transcript:ORGLA05G0112000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 33 [Source:Projected from Arabidopsis thaliana (AT2G40320) TAIR;Acc:AT2G40320] MKAPPPPSPVAKRARVSPFVFLLVLFLLLFSFLYGEDLKELLGSQAQARPSLHFNAAAAGDGIELPAATAATTEGRTTTRRWRGRLPFAANGDGEEEEEECDVFSGRWVRDEAARPLYREADCPYIPAQLACEAHGRPETAYQRWRWQPRGCALPAFDAAAMLDRLRGKRVMFVGDSLGRGQFTSLVCLLLAAVPDPAARSFATSPDQQRSVFTAAAYNATVEFYWAPFLLQSNADNAAVHRISDRMVRRGSIGHHGRHWEGADVIVFNTYLWWCTGLQFRILEDGPFDAGGNSSTTTWVSTEEAYAMAFREMLQWAREHMDFATTRVFFTSMSPTHGKSQDWGGGEPGGNCYGETEMIGDAAYWGSDSRRGVMRAIGEVLDGDGADVPVTFLNVTQLSLYRKDAHTSVYKKQWTPPTPEQLADPKTYADCVHWCLPGLQDTWNELLYTKLFYP >ORGLA05G0111900.1 pep chromosome:AGI1.1:5:12600127:12601584:1 gene:ORGLA05G0111900 transcript:ORGLA05G0111900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHAQRRRCLLFMALVFAVAVAAVAAARPPPSFSGTADGDDGNVLVAAAEMRWQIGMDNEQNFVFLCVLLDFALGKIDLQI >ORGLA05G0111800.1 pep chromosome:AGI1.1:5:12595929:12596348:1 gene:ORGLA05G0111800 transcript:ORGLA05G0111800.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQCELNSDRDSNRSPTGLGGPSDGSYIFVRGVTGGAWEYGEENARGGEGRAGAIDYFLYIDARYFARVPSTSQRLLPLHSASSSNDL >ORGLA05G0111700.1 pep chromosome:AGI1.1:5:12589351:12591089:1 gene:ORGLA05G0111700 transcript:ORGLA05G0111700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLHPSPRSVCLAFAIAVCLLLPCCFGSKAAVEMFEKACHCFDDHNVYSECKEELRLGVEGAFHVGKESVDEYCGGPCLMETKMALQCVEEVAHDGFRFYSGASLPAVKAALDTGCSYTPDRGTFEIRERKQCGDEYYHYSHHEQTTEQQYGGYYGSEEGEYPTTTSTLPASDYCYGAGASSLGLRYSLLQMLMLFSASMVLLCL >ORGLA05G0111600.1 pep chromosome:AGI1.1:5:12582834:12586781:1 gene:ORGLA05G0111600 transcript:ORGLA05G0111600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKLRHVCLTVVLFSIGISSCRGQGGGGAGGGGAVVPGTQDAIQIVAQAALCFDNRQVINGCLQSMGINVTTTTGGSGATTSAPAAANGSAAATMCSAPCFGQMTMMMGCVNGIFGNFAGYSPGLMQGVQAVFQMACGNVNGQGGAAAAAGGGGGGSAGASGGSGGAGGGAGGATGGGAGSGNASPNSEAWPWRTRASRTGSGCSPRTTRTPPMGCSSGRRSRGGARRTWRPTTRATRPCRRTTSCSHGTPRPCRAGTRTSATRRGGHASRCPALAEGDLEYAHLVTDPHRFFLSALPSLTQTTTTCIDTLSTHSAAPPPDSPSTAAPPSLPSAACWCSPAVQTTAPPSCLRHNSHRERNLATPADDADVAAQGQAVGASLTEEVLPMLEEV >ORGLA05G0111500.1 pep chromosome:AGI1.1:5:12577809:12578144:1 gene:ORGLA05G0111500 transcript:ORGLA05G0111500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVVVVEEGEAAGGVLGAMDGGGAGALTAAVAGTVASGEAAKEEGEAVAVTVVEAEVAVAAVAAEEGAGGDAAMVDGATKVAKKAVAAARAGRASGRRGKRPAMAAVAWG >ORGLA05G0111400.1 pep chromosome:AGI1.1:5:12576108:12577105:-1 gene:ORGLA05G0111400 transcript:ORGLA05G0111400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L29 [Source:UniProtKB/TrEMBL;Acc:I1PUR6] MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNQRYSRKHNKKSGEAESEE >ORGLA05G0111300.1 pep chromosome:AGI1.1:5:12574295:12575201:1 gene:ORGLA05G0111300 transcript:ORGLA05G0111300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTGGGGGGSPRRVVVAVDESEESMHALSWCLSNVVSAAAKSPAAAPPPAVVLVHARPARPLYYPVIDGGGYVLTQEVMDSMDRYMATAADSVVAKARDICTAFPNVKVETRVEKGDPRDVICGAVEKAGADMVVMGSHGYGFLQRTLLGSVSNHCVQHCKCPVVVVKRPGTNAKAF >ORGLA05G0111200.1 pep chromosome:AGI1.1:5:12565428:12567939:-1 gene:ORGLA05G0111200 transcript:ORGLA05G0111200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHELSSSSSSSPSFSSSSSSSSSSSSSSSLLRQCRICHEEEDEWCAAIESPCGCSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFESDYTIPPKKVQVVETAVTVRDEEMLPEELSQEDQEQYAGSEAQTGNGDCSSWCRSLTITFTIMLLVWHLIAVVTIEAADHCAFSLVTMFLLRAAGILLPFYAIMRMVRMIQQGQRQFRLQLLQDQRRRNASNLHSMSGQEQHQQLVINVH >ORGLA05G0111100.1 pep chromosome:AGI1.1:5:12560438:12562327:1 gene:ORGLA05G0111100 transcript:ORGLA05G0111100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKPKPTTYLLLLPSSAAAAVHGDLQVAAAAALLPAAATRAGDGAPVPVRDAPPPRPHRLLHRPHRPLPPLLPLPHRPPPRPSPLPPPHLTSAAAAASLPLLSPLACGARCVSTCSASDGDEVEGEGEGGSPAHADSSHPEHVGRVCAAIADVVAAGAGAGADASLEAALTALSPPLSEALVLAVLDRFKHAHRPSHRFFRWAAAATAASGGFAHTTITYCKMVHILGKARQFQSMVALIQEMGKEGALCMDAFKIAIKSFAAAGEIKNAVGVFEMMRTHGFDDGVESFNCLLVALAQEGLGREANQVFDRMRDRYAPDLRSYTALMLAWCNARNLVEAGRVWNEMLENGLKPDVVVHNTMIEGLLRGQRRPEAVKMFELMKAKGPAPNVWTYTMLIRDHCKRGKMDMAMRCFEEMQDVGCQPDVATYTCLLVGYGNAKRMDRVTALLEEMTQKGCPPDGRTYNALIKLLTNRNMPDDAARIYKKMIKKGLEPTIHTYNMMMKSYFLGGRNYMMGCAVWEEMHRKGICPDVNSYTVFINGHIRHGRPEEACKYIEEMIQKGMKAPQIDYNKFAADFSKAGKPDILYELAQKVKFTGKFDASNVFHQWAERMKKRVKRNVPNQTESRTF >ORGLA05G0111000.1 pep chromosome:AGI1.1:5:12544969:12554086:1 gene:ORGLA05G0111000 transcript:ORGLA05G0111000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMAATVEEQMMVKAIREELPWESLPKRIQAALVSKDDWHRRIVDYCIRKRLPWTSCFARKICKEGEYYEELMRYLRRNLALYPYHLADHICRVMRISPFKYYCDVLFEAMKNEQPYDSIPNFSAADSLRITGVGRNEFIDIMNKCRSKIMWKLSKSIAKELLPALPADLAIEPWWGVRFVNFTLEEFKRLSEAEASAIDKISKDEDNSYVLFDPKVINGLYKRGMVYFDVAVYPEDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENATVAELAKTLQADLYQLQAAASFACRLGWAVKLMDADSILRDSNGSTIPSNILTDDDEGSLTSINSERSGHALLTSDSDGPRRISGASYVGFIVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIADLCKDLASLEGKRFEGVLQEFANHAFSLRCFLECLLSGGTSPDEAIEPNSQEFCLQENFSKTLSKESIDEGISNVVKSNGGSLETVDTADTDHHNELSQADHPMVDSDVADASTSSPSSTVSESKESTDKNDSDTSKTPLPDGSTDSSSLSKTKRSYRINILRCESLASLSATTLERLLVRDYDIMVSMIPLPYSSVLLPSTAGLVHFGPPSYSSMTPWMKLALYTSGSCGPVSAVFMKGHRLRMLPEPLASCEKALIWSWDQSVVGGLGGKFEGNLVKGSLLLHYLNSMTKHSAVIVQPLSLNDLDETGNLVTVDVPLPLKNADGSIASTVASMDLPEEKILNLSSLLYNLSSRVELGTVGYLSLVRLHRISKSNEIFSKDENYEWIPLSLEFGIPLFNPKLCEKICERVVESHMLQKDDLTEHYEAMQNVRKRLRELCAEYQATGPTARLFNQSGSSKNSPRQLINIVSGRWSPFHDTVAPTTGGSPRENDRLKVARRQKCFTEVLSFDGSILRSYALAPVYEAATRSVTEDQPTTPVAKPEPDDADTKDVVLPGVNLIFDGAEIHPFDIGACLQARQPLSLIAEASAASLAMK >ORGLA05G0110900.1 pep chromosome:AGI1.1:5:12496787:12501437:-1 gene:ORGLA05G0110900 transcript:ORGLA05G0110900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPRRKGGAGPLVGAPSRRAQVAAVFALALLLGVSVLYDSAHIAASLRRHGVGGGGSSGGGGGGGGDGARAYTNAKLSATTEEAEAAEVRSPPAQGVESAVEATDRGEAPPEQPVAADSGASSAETPPSLLEQVTETPPPSPSSSSAAAAAEAQVGGDHGGESCDVYKGRWVYDEANAPLYKESACEFLTEQVTCMRNGRRDDDYQKWRWQPDGCDLPRFDAKLLLEKLRNKRLMFVGDSLNRNQWESMVCLVQSEAPWEKKSLVKNDSLNVFHLEEYNATIEFYWSPFLVESNSDDPNMHSIVDRIIKPTSIAKHAANWEGVDYLIFNTYIWWMNTPEMKILHGGSFSKKPVKYDEMERVAAYRKVLKTWSRWVEKHVDPKRSTVFFMSVSPVHMQSEGWGKPDAIKCFSETQPAINYTKKLEVGTDWDLFSTAHHVTKAMKRVPVHFINITALSEIRKDAHTSVNTLRQGKLLTKEQKANPRKFADCIHWCLPGLPDTWNEFIYGHIVSSPQRRPVEPIENQPQR >ORGLA05G0110800.1 pep chromosome:AGI1.1:5:12469619:12488783:1 gene:ORGLA05G0110800 transcript:ORGLA05G0110800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEVASIPLPYAVSSIWPLPSGLLLQKSTDGGHMVLSSTSLLKSRDLIRPNKEFGLNYNVSSQVNTLETVSKADGAIFSSHLILKHPLEEPQALDKCRESPPDDWPAPAYVLVGRDDLAMARMGSGRRENGFWNNDNLTSISVPYMLHLQPVTVLTTALDVPPSEILNSEDTDSVYRSVEDGMEHIFTSTTQLRYGRDLRLNEVRRLLCSARPVAIQTPTNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEALVFPKLVLAGRLPAQQNATVNLDLSTRSVSEFKSWAEFHNGVAAGLRLAPFQEKMLRTWIQYNRPSEPNFTHAGLLLAFGLHEHLRVLTMTDAYRYLSQEHDITRLGLLLGLAASNRGTMHPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGLVALGRGSNAFGFMDTFLDRLFEYIGSKEVYHEKHLNAAIAADEQSGNTGQMMEGAQINVDVTAPGAIIALALIFLKAESEEIAARLSVPNSHFDLQYVRPDFVMLRIVARNLILWNRIQPTKDWVESQVPSFVNFGVSNTSQEAMDSDELDSEALFQAYVNIVTGACIALGLKYAGSRNSDAQELLYAYAVHFLNEIKHISIQTASILPKGLLQHVDRGTLELCLHLIVLSLSLVMAGSGHLQTFRLLRYLRGRSSAEGQVNYGLQMAVSLAIGFLFLGGGTHTFSTSNSAVAALLITLYPRLPTGPNDNRCHLQAFRHLYVIATEPRWIQTVDVDTGLPVYCPLEVTVAETEYYDETNYCEVTPCLLPERSVLKNIRVCGPRYWSQVITLTPEDKPWWKSGDRTDPFNGGVLYIKRKVGSCSYSDDPIGCQSLLSRAMHEVCDTPSTSCSNQANSATRSSLRVDQLVSTFSANPSLIAFAKLCCQSWKDRRNGSFEEFCSQILYECMSKDRPALLQVYISFYTIIETMWEHLKIGHFPFSDSLFLSSLKVASAYNEALIDGRITTGGIIQSTFLESLMKRIEYIFAELPNLHDSFINYLNKGKWPDAQNEAVLLSWYLQWYSIPPPHIVSSAIEKVKPRTRTSLSMLPLLRLLLPTTHLVGLMEIEKLHMTHGHEGLTLH >ORGLA05G0110700.1 pep chromosome:AGI1.1:5:12435978:12436718:-1 gene:ORGLA05G0110700 transcript:ORGLA05G0110700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPWVRRGRATGRLTQSPSFSPSCVASPPSSGGGGGGGKADHQGIGSVLDDDDAAPAPPAPGSRNVLHRVRSSTKLRACKSFAVAAEAAAAAVAGERRVVLYFTSLRAVRGTFEDCRDVRAILRGLRVAVDERDVSMDAAYLAELRALMRRDRPALPQLFVGGRLVGDADEVRLLHESGELHRVVAGAARAAATPCASCGGTRFVPCGTCDGSHRRYSEKTGGFRVCTACNENGLVRCAACCSGG >ORGLA05G0110600.1 pep chromosome:AGI1.1:5:12420542:12423227:-1 gene:ORGLA05G0110600 transcript:ORGLA05G0110600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMSRVAVAVLLLLCLQLQLGISGGGGGHGARRGVRPAARLIHAKKSSSSSQQQQQRSRHAGSKAGWPSTSSTPSNPFGLPMLLPPPPPLKDWPPWLDMPPVQGPSSSPSPSPSPAPSPASSAAVAEHAAPPRRGEEHARPRSIALPPASSSGDAGETSRPEVTDGSATRRGGGGGKTNYVLVAAAGASVLLAASAAAFAACYRSSKVVRSVRPWATGLSGQLQRAFVTGVPALRRAELEAACEDFSNVIGSLPEYTMYKGTLSSGVEIAVVSTTKTSPKDWSKKCEAHFRKKITSLSRVNHKNFVNLLGYCEEEQPFTRMMVFEYAPNGTLFEHLHARDEGHLDWPTRLRVAVGVAYCLEHMHQLAPPEIVRTLDASTVYLTDDFAAKISDVGFCEEEMAAAAAAPAMADRESVVHGYGMLLLEMMAGRLAASEGGLVQGWAAALLRGERRLRDVMDPALRGAFHAETVDRLDAVVRSCADRDPRRRPSMADVAARLREITAMPPDAATPKVSPLWWAELEIISTEAA >ORGLA05G0110500.1 pep chromosome:AGI1.1:5:12416874:12419577:1 gene:ORGLA05G0110500 transcript:ORGLA05G0110500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITQILLSAQSADGNLRAVAEGNLKQFQEQNLPNFLLSLSVELSDNEKPPESRRLAGIILKNSLDAKDSAKKELLIQQWVSLDPSIKQKIKESLLITLGSSVHDARHTSSQVIAKVASIEIPRREWQELIAKLLGNMTQQGAPAPLKQATLEALGYVCEEISPEHLEQDQVNAVLTAVVQGMNQTELSPEVRLAAVKALYNALDFAESNFANEMERNYIMKVICDTAVSKEVEIRQAAFECLVAIASTYYVHLDPYMQTIFNLTANAVKGDEEAVALQAIEFWSTICDEEIELQEEYEGSDDANSTVNYRFIEKALPSLVPMLLETLLKQEEDQDQDDNVWNISMSGGTCLGLIARTVGDAIVPLVMPFVEGNITKPDWHCREAATFAFGSILEGPSVEKLAPLVQAGLDFLLNTTKDPNSQVRDTTAWTLGRVFELLHSPASANPIITSANLTRIMTVLLESSKDVPNVAEKVCGAIYFLAQGYEDAESISSVLTPFLPNLIAALLSAADRADTTHFRLRASAYEALNEIVRVSNISETSGIIGQLLQEIMRRLNLTFDLHILSSGDKEKQSDLQALLCGVLQVIIQKLSSSDAKSIIAQTADQLMFLFLRVFACHSSTVHEEAMLAIGALAYATGTDFVKYMPEFFKYLEAGLQNYEEYQVCSISVGVVGDICRALEDKILPFCDGIMTVLLKDLSNSMLNRSVKPPIFSCFGDIALAIGDNFEKYLPYAMPMLQGAAELLAVLDHTDEDMVDYGNQLRRGIFEAYSGILQGIKGAKAQLMIPYASHLLQFTEAVYKDRSRDESVTKAAVAVLGDLADTLGSSSKDLFKSNLFHVEFLRECHDLDDEVRDTASWAQGMINQALVS >ORGLA05G0110400.1 pep chromosome:AGI1.1:5:12405617:12409246:1 gene:ORGLA05G0110400 transcript:ORGLA05G0110400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSRAAAFPHISLPSPRPRVSLRPPPPAPRSLRLDRVVADTTAELGLDGGGGGGLLAAAIEHLEREPAFAGEGEDAAAAASAQLAALSPRELQLVLVYFAQEGRDAYCALEVFDWLRRANRVDGETMELMAAIACGWIERLVGGGGDVADVAALLGEMDCVGLRPGFSLVEKAVALYWDRGDRGSAVDFVRDVLRRGGVGVGVGVGDGGEYGGASDGERGGPVGYLAWRMMMDGDYRDAVKLIIEFKESGLQPEVYSYLIGLTALVKEQKEFSKALRKLNSSVKEGSISKLDSETMHSIENYQSELLSDGVLLSKWAIEEGSSDVLGLVHERLLSLYTCAGCGLEAEHQLWEMKLLGREPDTQLYDVVLAICASQGEVAAVRRLLAGVESTSAGRRKKSLSWLLRGYVKGGFYLEASETLMKMLDMGLYPEYLDRAAVLTALRRNIQESGSLESYMKLCKRLSETDLIGPCVIYLYVRKFKLWMMHML >ORGLA05G0110300.1 pep chromosome:AGI1.1:5:12399353:12399942:1 gene:ORGLA05G0110300 transcript:ORGLA05G0110300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTFPELGVTASDRIEMMWIQSMLYFAFYGTGKPLEMLLDRGTSKPDKYLKAKSDSDGAGLLILDPYGGEMVRVAPAVTSFPHRQALYNIQYYGFWSKSGAAAAEKDMGWMRGLYNEMEPYVSKNPRGGAAAIAADTGSLAVCRSWHGGIWLQGQSCHFEITSFDPEIIK >ORGLA05G0110200.1 pep chromosome:AGI1.1:5:12396259:12396723:-1 gene:ORGLA05G0110200 transcript:ORGLA05G0110200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQPHGPVHMTHRQATPRARPPPPQSVPSPRPPPSTPVSSPAAGADLHPASRGGAGVLLLPPHSLTGEHPNPSDPASASNFVSSPAACADPHPASRGGARRPPPSTASSLAGEHPSLPTPHQVFCVIPQKVWGFNRNRVRSASAQLHLLSSC >ORGLA05G0110100.1 pep chromosome:AGI1.1:5:12390771:12392573:-1 gene:ORGLA05G0110100 transcript:ORGLA05G0110100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHFIFVIMMRCVLQTEQKKQAAADVLFHYSQFVMVCIGEGVRPTDLRLHLMKEVSGMATSLTKEPQQAAASPDSSEPSSSGTTK >ORGLA05G0110000.1 pep chromosome:AGI1.1:5:12386018:12389250:1 gene:ORGLA05G0110000 transcript:ORGLA05G0110000.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSISFRTIIPKIHGTCFTLRTNVLKRRKSCTDAGMLPGPLLPLPPPPPPELVVYPSVPSLPPSATPPSSSSSIGSSIAIVVLVVITTAIVTVAIVVIRRSYRRGRRLSCSSFSPRRSLSPRALSSSPSAMSQMWRAAVAAVGSSPRASAASARSWPEMAAPSSAPGDPGRGPPVALSSSAQGAVQGTAGLMASSATSAAAMAPPPSAPSLPEVERVILELLSLPPSPLQPAMSGSTATCFICNKLLLPTDLHLVLPVCSHMFHQRCLVAWLRSRVTPLLCCPECHAPITTRCRTDKRSLVPTFCSGEYDIESQILAVPAPPGEEVAEAVGGSRGWLRSSLDRLSGSWRACSGSRAVAAVAAPGCSSSRRTTGSWSPGTGSTSGRHLHLGADSRGVQTQVQLQLQLPVLPLADEEVAAADDDAGGSRGWLRSSLATLSGSWAVFPTTSRSTAMELPVSSSRRTAAGSTDSWSGSWDPEALRVSEPQPRERPSVLDYARWVFRNSGKYTKHRH >ORGLA05G0109900.1 pep chromosome:AGI1.1:5:12384986:12385186:1 gene:ORGLA05G0109900 transcript:ORGLA05G0109900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAGTTVTSIRICHALLYARVAFRPVHNDAVLFSVGSCTEPQIVLVRIRENPSITTLYREQFAQVAS >ORGLA05G0109800.1 pep chromosome:AGI1.1:5:12380728:12382241:1 gene:ORGLA05G0109800 transcript:ORGLA05G0109800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGGSPGHYGGGGIHLVCEYCGHGSEYAEDDADDGFFTCRQCSAIHTSTQNTATNPFDFPMTPAHLSAHRRPTQPTPTPKPFPAPRGAATGAAAPAFDDLGEPSEPRDFATGANAWGNPEDVAARLRWRYVRGLQVILQRQLEALVERHRVGSLAASLAGTIWLRWVAASKVFDEMWVHKMLAIAASVEEGHSASKDKQSELEGDAQKSQSSYEFLFLRSLRMMLPVYSTLAVCFLACHVARETILPTDICRWAMEGKLPYVAAFTQVDKLLGSSLNDCPLSSRQLFRPTRVIGAWQLEAAAGSIAQKIGLLLPSVNFYLIAQRFLKELSLPIEKILPHACRIYEWAMPAELWLSSNPGRVPSRVCVMAILIVALRVLYGINGQGIWESIAQTENAVGSDPEASAPHSIEPDSNNSEEFDARELLCTLAASYDKIDVGHGNFG >ORGLA05G0109700.1 pep chromosome:AGI1.1:5:12368568:12370577:-1 gene:ORGLA05G0109700 transcript:ORGLA05G0109700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNRMLKILQISHESSHSIGFNEGVTDYLANIRVHFLRSHHSTVGKVSKMEGKSSAMSNIAPVAPTRITRYDKDDKHKPVIVCALAALPIPSQPPKASQERKRQGRTFWTKCATCKEKNKFPITNLACQVVCLACTETFTAIEVARPRNTSLYCKEKLESSSSVAANSSLQSTAVTPIADVAYHPPNIQGKRKDGEVKISEAFLSLLLRNFCKQG >ORGLA05G0109600.1 pep chromosome:AGI1.1:5:12345218:12347982:-1 gene:ORGLA05G0109600 transcript:ORGLA05G0109600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAGA-associated factor 11 [Source:UniProtKB/TrEMBL;Acc:I1R8P7] MSSSTDAPVSPRSQFALACFEELLETAVVDVASECHRIARLGLDRGVDAEEEELRAWAARDHPGAEEGGGAVGGGGGNKGVVDVFGQVLPAVAADLVDCMNCGRPVAAGRFAPHLEKCMGKGRKARTKTTRSSTAGRTRNNNGSAASSYSPYSSPAIANRASLPNGVTDGSASVTGEDHSNHILPEP >ORGLA05G0109500.1 pep chromosome:AGI1.1:5:12323358:12324182:-1 gene:ORGLA05G0109500 transcript:ORGLA05G0109500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40220) TAIR;Acc:AT2G40220] MEPSDDAFTVAAPAAETAASSSGAGGGGGGGRTKKKAAGKGGPENGKFRYRGVRQRSWGKWVAEIREPRKRSRKWLGTFATAEDAARAYDRAALLLYGPRAHLNLTAPPPLPPPPPSSAAAAAASSSSAASSTSAPPPPPLRPLLPRPPHLHPAFHHQPFHHHLLQPQPPPPPPPPPLYYAATASTSTVTTTTTAPPPQLAAAAPAAVLVAAAVSSTAETQAVVATAPEDAASAAAAAAAEEEAAWGFHGGDEEDYAAALLWSEPDPWFDLFLK >ORGLA05G0109400.1 pep chromosome:AGI1.1:5:12299961:12300872:-1 gene:ORGLA05G0109400 transcript:ORGLA05G0109400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHGEGSSSSAAAAGGKIKGSWSPEEDEQLRGAVARHGPRNWTAISEEVPGRSGKSCRLRWCNQLSPGVHRRPFTPDEDALIVAAHAKYGNKWATIARLLDGRTDNSVKNHWNSSLRRNRRAAAAAAAAAASVSYQSMDLTEEADNDDEGTSDDSVAIPAQSSPAAVVAGVPVLPPPPPPAKRLCVAPPTGVEHRAPPPDPPTSLSLSPPGAAAAAISASTVVGGSSAARAEEEAVAREKARMEQDPWLMAMMRQMITEEVQRQVSVVYSLVASSAAVAAQTGNAGGVGRKGPDGRPSNGQD >ORGLA05G0109300.1 pep chromosome:AGI1.1:5:12285768:12295155:1 gene:ORGLA05G0109300 transcript:ORGLA05G0109300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKSDLMSGSPDGHGYFSGQRGLYSAASLERSASFRESGDSYAAFPVSGSSRSPAVDSATLLQSLAMDLRTTTLEPKTSRLDVKKSISLILGISPEESTSTPCTGRNSSLPFEEIRRMKNNLSDISNKARERSRAYGAAVTKIERCCPNILRKRSRGDGSSNERSTALLSGGLISKMPPQGHLNADDTELVSPRGEERIKNAGQNRRLRTSMSEMDARTTVLSRGLGSTDRSADPGKVTGGGPAVPEEKIRGLATGIDGWEKPKMKKKRSAIKADVSMTGPSRNVDVDREQKPGMQHKFNNEARARMTNSPSFRSGTVSSVSSISKSDLLSGQNGVGRSLSRSDQDSGFHPTNKRDRQAVLDKEISAPKSHNKPSEDDGGANVTAVPKANGSTRGPRSNSGSLLKSSPNIHRLQANSDDWEHPSGMTKLNSTSGSGNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITNTDGQSVSGSLESPSINEESAGLPRRASINCSQQTRRGDHGLSTGSEGDESGVAEKKLRDKSKRAGELDDGHSGFQKIAMLGHPSKRNKLSADDDVGDAARRQGRIGRGFTPTRPSTPASIDKLENAPTTKQRSVRTVTERNESKSGRPLIKKMSERKGNARPRHISSNAQLDSPVQSEDDHEELLAAANSALRSANSSPFWRQVEPFFSYLTTEDIAYLSQQIHLSDDSTASRSIEGDESRKYKGSLEYISQPSTPAGSNKDDHSALQNGYTLNEIDNDVGIAWETSCIEPILDQLVQGIGARGGASVGQRLMQALIDEDKVDNITNNIYRSETYPFDTHEIHFEEGGWKSHSQGYKLESLMNFEAAGKGSNGLMLDSDWKYHDELSHKGNNAMEKAKVWPEFQYSEMCFSDRIIIELSEVGVSIEPVPDLAQSEDEDINSEICKLEGQLHKEVVDKKNLLRKLDGVLRTKKESQHREFSRRAMERLLLIAYEKYMAFCGSSSSKNVNRAGKHAALSFVKRTIARCQNYEESGACCFDETPFKDMFVSATSHRSDPDSASQDNITVPKSVQRASTSDASRASSHLTDLSFSKEDPWTNNVKQRELLLDEVVGSITGGTLKTSGLGTSLVSNTKGKRSEREGKGHNRDGSRSGRPSSSNAKGERKNKTKPKQKTANISAPVSSALTRDPQSQAKITPSGNGRDNTSAASARHEEPANASNDAEMPDLSNLELPGMDVDFGGWLNIEDDDGLQDLDLMGLEIPMDDINEINLMI >ORGLA05G0109200.1 pep chromosome:AGI1.1:5:12276799:12279430:1 gene:ORGLA05G0109200 transcript:ORGLA05G0109200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEPVVDRPEDEEEASAAAAAAAGGEEEDTGAQVAPIVRLEEVAVTTGEEDEDVLLDMKAKLYRFDKEGNQWKERGTGTVKLLKHKENGKVRLVMRQAKTLKICAXXXXASTTKMQEHAGSDKSCVWHAADFADGELKEEMFAIRFGSVENCKKFKDLVEEISESLAKTEGKETEEDSSAAGLLEKLSVTEKKSEEVATKEESTEAVKETDTKSAATSE >ORGLA05G0109100.1 pep chromosome:AGI1.1:5:12269423:12272504:1 gene:ORGLA05G0109100 transcript:ORGLA05G0109100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:metallopeptidase M24 family protein [Source:Projected from Arabidopsis thaliana (AT3G51800) TAIR;Acc:AT3G51800] MSSDDEVREEKELDLSSSDVVTKYKDAADIINNALKLVVSLCKPKAKIVDICEKGDSYIREQTGNIYKNVKRKIERGVAFPTCVSVNNTVCHFSPLATDEAVLEENDMVKIDMGCHIDGFIAVVAHTHVIHDGAVTGKAADVLAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNADTKVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLLPSGSQRVTSHSLQELQPTKSIEDNAEIKAWLALGTKTKKKSGGKKKKGKKGDAAEAVPMEEGSNDANKE >ORGLA05G0109000.1 pep chromosome:AGI1.1:5:12261107:12263551:1 gene:ORGLA05G0109000 transcript:ORGLA05G0109000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDDEHLSLTQKAPASATNSSTSKAYRKRPRPSPGTWASKKLFKDNYDGTADGRFVQVKKEKSHRVHICEKICSHDIRSTEIACYREILKITYKLHAVVLFFALHSFSALLYTKQGNISFFKLFSQPASKFSKGKEVSQLLADIIYRDLVVVKVFGAGNPTTTKISSMINTVCNCEVDDFTLLPFWRRMYIVIFMGPDKQEEKSEKALKQESFTYQTTKLEFEPFKMNFHSTVNPLCQRVILALEAWNKEGIAELLDNCCKIEGLYVEHHIEDLSFFRLAAWTTNCDLIPKLIDWNIDIIEENQQTLISIGSKTHIHLRY >ORGLA05G0108900.1 pep chromosome:AGI1.1:5:12243234:12243628:-1 gene:ORGLA05G0108900 transcript:ORGLA05G0108900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQQQGRSELDRMAREGQTVVPGGTGGKSLEAQENLAEGRSRGGQTRKEQMGEEGYREMGRKGGLSTGDESGGERAAREGIDIDESKYKTKS >ORGLA05G0108800.1 pep chromosome:AGI1.1:5:12236413:12241561:1 gene:ORGLA05G0108800 transcript:ORGLA05G0108800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G51820) TAIR;Acc:AT3G51820] MATSHLLAAASSATFRPPLLSLRSPPPSSLRLNRRRHFQVVRAAETDKETKANAPEKAPAGGSSFNQLLGIKGAKQENDIWKIRLQLTKPVTWPPLVWGVLCGAAASGNFHWTVEDVAKSIVCMIMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENEVITQIWVLLLAGLGLGALLDVWAGHDFPIIFYLAVGGSLLSYIYSAPPLKLKQNGWIGNFALGASYIGLPWWAGQALFGTLTPDIVVLTSLYSIAGLGIAIVNDFKSVEGDRALGLQSLPVAFGMETAKWICVGAIDITQLSVAGYLFSSGKPYYALALLGLTIPQVVFQFQYFLKDPVKYDVKYQASAQPFFVLGLLVTALATSH >ORGLA05G0108700.1 pep chromosome:AGI1.1:5:12227322:12228740:-1 gene:ORGLA05G0108700 transcript:ORGLA05G0108700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHAPTSRKRVAGTQINKDNPEPDDDSTEQEMGTFKRASEEVMATRRIVKVRRQQPSSAPSNPFSAIRFTPSDTSAQATIPVSEPQPSDVITANAKDSSSEKADEGSNGSGKDALPVTEKSAGSSEVAETEKDGSDLKGSDEKAKSSDSIEPPSQPVETTDEAKDLGGGSVVAGEAKEDNSKASDIEDKTAKEGDAEEEDGANEAGAEDKISKGDDEKKDGDESETKDGSSEEQKDADNKGQSSSPTPLFSFKNLSSGQNAFTGLAGTGFSGSSFSFGSGSKESSSAPLFGLKTDGSSFPSFSIGASNNGSSSPALATSAEAPKKFAMPEGPVETGEENEKAIFTADSALYEYLDGGWKERGKGELKLNIPVSGGERSRLVMRTKGNYRLVLNASLYEDMSLKDMDKKGVTFACMNSIGDSQSGLATFALKFRDTSIREEFKAAVEMHKAKKASGTLKTPENSPKASDG >ORGLA05G0108600.1 pep chromosome:AGI1.1:5:12225818:12226042:1 gene:ORGLA05G0108600 transcript:ORGLA05G0108600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSGGWPPSSLSRRGACASLLHGLPRPATAAAMMLERHPPVHPGLRPTVATVQLRRLPSGPRVTPPPTARWTG >ORGLA05G0108500.1 pep chromosome:AGI1.1:5:12178581:12179336:-1 gene:ORGLA05G0108500 transcript:ORGLA05G0108500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTASWRLLPPAASSPPPRRQAALLRRHSAATTTSSSSGKRTTRLLCFLHDTPPPAPPPAADEISSPPLRKLAAALQCGAIWAAVEAPAALAVTGEEDLDILGILPTVAAIAFVYLFVFPPIIMNWMRLRWFKRKFVETYLQFMFTYLFFPG >ORGLA05G0108400.1 pep chromosome:AGI1.1:5:12164700:12165365:-1 gene:ORGLA05G0108400 transcript:ORGLA05G0108400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLYCMPPSLLLPSPPFFLSPPPAAAPCCSTALSPSSPPFHPTRLRIRWAPPPVGWCKLNFDGSVFNDGSRRASIGGVIRGCDGGVVLAFAETTEHWTVGVVEARALIKGLKLALACFVERIVVEGDDLVLVQLLRGEETQTRIPAAMHEEILSLLRRFTEFEVRHIYREGNSVAHTLCRQAYQGPGLWTERVPMPGAVREKIDEDCRGVLHERLCKKKK >ORGLA05G0108300.1 pep chromosome:AGI1.1:5:12136968:12137822:-1 gene:ORGLA05G0108300 transcript:ORGLA05G0108300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPDAPRAGAAEEQPGPSSSASAPAPAASSNEEEGRHQSQAQQQVQEAQPQPLAQQAPAAAGLSRYESQKRRDWNTFLQYLRNHKPPLTLPRCSGAHVIEFLKYLDQFGKTKVHADGCAYFGEPNPPAPCACPLRQAWGSLDALIGRLRAAYEESGGRPESNPFAARAVRIYLREVREAQAKARGIPYEKKRKRGAAAAAAAPPVVVAPPPVVTAPDDATGTSGGAGEDDDDDEATHSGEQQDTTPAASPTTPPATSVGTTTAAATAAAAKGSAAKGSATSS >ORGLA05G0108200.1 pep chromosome:AGI1.1:5:12117904:12120083:-1 gene:ORGLA05G0108200 transcript:ORGLA05G0108200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVAERIERLMNESAAASSSSNPEDASLDLSFSEDGRNGTFMIGNESFPASLLDLPTVVESYKTYDDSVLIKTADIGQMIMVREEEDPAPEGVEYKHGLTPPMRDARRRRFRREPDLNAELVHRVEKDLISIMHGVSINQNASAILRAGEGGDRKKAGPAPATKPNVKQPAANGEEAEAERSDSDESVDP >ORGLA05G0108100.1 pep chromosome:AGI1.1:5:12100079:12100789:-1 gene:ORGLA05G0108100 transcript:ORGLA05G0108100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGASNVSKMLQELPAQQRGDAVSSLVYEANARIRDPVYGCVGAISFLQNQVSQLQMQLAVAQAEILCIQMQHRDDGAAHQLDAAADHHPLLDQQQQQQMVVDAADAAASFLVQNGGGGGGPAAQLISGYGSPAAGGGGGHGVVHYAAAQEHLKRESLWT >ORGLA05G0108000.1 pep chromosome:AGI1.1:5:12093496:12093780:-1 gene:ORGLA05G0108000 transcript:ORGLA05G0108000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGPAAVQRYGPDMTLREALDGTGDIYRTLLREATAVLLNAYYNTPGVAAAAATALARQRRLAPPQRGSRRASTAGWRLIGTLTRSWLLGKKNM >ORGLA05G0107900.1 pep chromosome:AGI1.1:5:12085503:12091916:-1 gene:ORGLA05G0107900 transcript:ORGLA05G0107900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSPRRLLRRLRSMLPSAAPAAAAAGEEEEEGERRPWEPPFDASQPAPPISYPITTLAALASRAYLSEAGNFHLPFNRASSSPRAAPLPPRRRILACHDFRGGYRDDAAPQGGHDPGAYALWHWHLIDVFVYFSHYLVTLPPPCWVNAAHLHGVKAKVLGTFITEWEKGAEICEEMLATEASAQMYAERLTELAAYLGFDGWLINIEVKLDIQFIDNLKEFINHLTKTMHAAVPGSLVIWYDAITIKGALDWQNKLNEYNKPFFDLCDGLFSNYTWKAKYPQESAVVAGERKYDVYMGIDVYGRNTFGGGQWNTNVALDLLKKDDVSAAIFAPGWVYETKQPPNFRTAQNRWWGLVQESWGVLQSYPKQLPFYSDFDQGHGYQVSIEGVKVYGAPWDNISCQSFQPMLKYAGDRGLQTVINFEDEPYSGGNCVTVKGSLQQNEIFSEQLFNGGLSMEGESVYVFYSVKADERSGLGLSLDLSSGNNESSSILIADDTAAFTRKKQHRKYGSYVKADKAEPHTPVHQNWVVYKATIQPSAGFTLTGINIVCTMKTTSGTDPETDGDGSSEAGANRSLHYHASLGHVSIRNTEETEFPPARSWVTEGEYISWSNGSDESKLASLKISWELENKQQAPFMKYNVYVEKLTADSNAKAPRIFLGVASVQVFYVSDLEVPSEVTTLKFFIQPCGRDGSCQGLHECPKFHLVPVDSAM >ORGLA05G0107800.1 pep chromosome:AGI1.1:5:12079213:12082778:1 gene:ORGLA05G0107800 transcript:ORGLA05G0107800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLNKVGSYWFSKRASKEIDSIGDDISSISTSIGTGAKWMVNKIKGKMQKALPDLLKEYDMPAGLFPRDATNYEFNEETKKLTVYIPSACDVGYKDSSVLRFFTCVTGYLEKGKLSDIEGLKTKVLVWTKVTAIKTEGSKVHFTAGVKKTRSRDAYEVVRDGIPIDKF >ORGLA05G0107700.1 pep chromosome:AGI1.1:5:12075733:12077922:-1 gene:ORGLA05G0107700 transcript:ORGLA05G0107700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARRKIQKDKGLEPTEFEDTVAQAFFDLENGNQELKSDLKDLYINGAVQMDLPGNRKAVIIHVPYRLRKAYKKIHVRLVRELEKKFSGKDVVLVATRRIVRPPKKGSAVVRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYHLDGRKIMKIFLDPKERNNTEYKLDTFSSVYRRLCGKDVVFDYPMTETA >ORGLA05G0107600.1 pep chromosome:AGI1.1:5:12069915:12072786:-1 gene:ORGLA05G0107600 transcript:ORGLA05G0107600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDQRTTAKAIMPPVEMPPVQPGRKKRPRRSRDGPTSVAETIKRWAELNNQQELDPQGPKKARKAPAKGSKKGCMKGKGGPENTRCDFRGVRQRTWGKWVAEIREPNRQSRLWLGTFPTAEAAACAYDEAARAMYGAMARTNFGQHHAPAASVQVAQAAVKCALPGGGLTASKSRTSTQGASADVQDVLTGCLSACESTTTTINNQSDVVSTLHKPEEVSEISSPLRAPPAVLEDGSNEDKAESVTYDENIVSQQRAPPEAEASNGRGEEVFEPLEPIASLPEDQGDYCFDIDEMLRMMEADPTNEGLWKGDKDGSDAILELGQDEPFYYEGVDPGMLDNLLRSDEPAWLSADPAMFISGGFEDDSQFFEGL >ORGLA05G0107500.1 pep chromosome:AGI1.1:5:12062110:12068887:1 gene:ORGLA05G0107500 transcript:ORGLA05G0107500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTAPPDMPAGDGDGDMETLPLASDYASVASTFDPLLSSAASPPSPTIAAAAAFPLSPSSSSSFVDPPSYADVAASSSSSPRSASASASASPRSAASDYALIAVSDPTPEAEPAATSLVPGSAPTYISYLVTSARRGDHRRHAVRRRFRDFVTLADRLAEAFRGHFVPPRPDKNTVESQVMQRDEFVAQRRAALERYLWRLAEHPAIGPSDELRVFLQAEGKMPLPSTTDVASRMLDGAARLPRQLLAGEEAVAAPQEVVQPAKGGRDLLRIFKELKQSVVSDWGGVRPPLVEEDKEFLDKKQKLQDWEQQLTSASQQAEALVKAQQDMGETMGALGLAFIKLTKFETEEAMYDSQRIRAADSKRIATAAVKASRACRDLNTQTVKYLDTLHEHLGIMLSVHTAFSDRASALLTVQTLMSDLASLQLRIEKLEAAASKIFGGDKSRLRKVEELRETIRATEDAKCCALREYERIKENNRSELNRLDREKKEDMLEMIKGYVTSQAAYAEKIVEGWETVAEETSGYARRSDNNIAW >ORGLA05G0107400.1 pep chromosome:AGI1.1:5:12057439:12058210:-1 gene:ORGLA05G0107400 transcript:ORGLA05G0107400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNMVWQPQVVEEMLRYYKEKIQAEGRQFIFKEVHYEECAKQINEKYHTKFTSRQVYHKFHKLKAQWKVIMEAKNLSGANFDDVEKRFLYDETEVVQMNNFINLQASYDHPMTEDTDFIGEKNGNPSDVDPSLHYDSDYLPEENNNRSSSSSKRPKGSKIDKGKRVKADDNPILHITGAMNNMSDTMRFTRDTSK >ORGLA05G0107300.1 pep chromosome:AGI1.1:5:12051606:12053359:-1 gene:ORGLA05G0107300 transcript:ORGLA05G0107300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQDAHFVRLRCSVVRRSKYLAAEDDGRGVCLSGQRGAHNTVWAVEHITGDVPGAAPGPYVRLRGAYGRYLVATDLQAKAGPAHGVTAEQRDAAHHPTPPPWAWQAFRRRSSSLLRNGTGRYLRANGRYLRWRTAVTVAGDNASTMMLWAVEVVPPKPGRVTLVDRPAQLIRRRRGPATEGETSRVIRFVRGDEGGEFEESEWRALRVNTNSLMHLRLTLANLLGHNRDALHTTVCVRAGAYAQLSPLLVDLPIGNDRIDVVVLSHGTPAEDALKYPCVDA >ORGLA05G0107200.1 pep chromosome:AGI1.1:5:12042431:12046837:-1 gene:ORGLA05G0107200 transcript:ORGLA05G0107200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G30600) TAIR;Acc:AT2G30600] MKVEEKKRSITVAPFECAWDEEFRFREAGRGCITFEASAHNDVTLVFREQPGSQHYHYKMDNSRHYIVILGSHRNKRLKIEVDGKTVVDVAGIGLCCSSSFQSYWISIYDGLISIGQGRHPNNNILFQWLDPDPNRNVQYVGLSSWDKHVGYRNISLMPSAPQNSILWSQIECAYVEPDGAGGHTRKQESKDGLDQRALANFLENWDFSDSIFVVGSERKVVPAHKVVLGSCGDFPFNLMMSRPAIELPSVSYPVLHSLLEYIYTGSTQISEWQLVSLLELSSQFKVKPLVMYCEEIIGCLKMSDAVSESSKKIQLSSGGSQAHQFYYFPFKAPLNTQKIEQFLVNGEHSDVNIYVNGHGLVTHAHKLILSLWSMTFDKMFTNGMKESSASNVFFEDVPVEAFFLLIQFMYSGELKVDIEEITPVLVELLLLSDQFGITALQFECCKRIMEFLSKDTVCSVLRAVSSIPSCKLLEEMCKRNFAEHFDYCTTACTDFVLLDEATFKDILQHGHMTVTSEERVLDAILTWCMEACDCFNWTSVHELLSTSRPEKLFGGRLTAINTLLTFVRFPLVQPSVLHLMEKSNLAKNIEAFRQLVAEAIEFSNAGLRMATNTCERFHHRRSSYKELQYISDGDNNGVIYYAGTSFGKHQWINPVLAKNITVTASSPNSRYTDPKALVSKNYQATCFAGPRLEDGKMCSWWMVDIGPDHQLMCNYYTVRQDGSATFMRSWVLQGSMDGRSWTSLHVHEDDQTICQPGQFASWPITGQTALLPFRFFRVMLTAPATGVSNTWNLCICFLELYGYFR >ORGLA05G0107100.1 pep chromosome:AGI1.1:5:12027847:12039601:-1 gene:ORGLA05G0107100 transcript:ORGLA05G0107100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58450) TAIR;Acc:AT5G58450] MASKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTALLAKHPTSPYVLALKGLILERMGKPDEALSVCLNAKEQLYSDNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYPNNLELMMGLFNCYVREYSYVKQQQTAIKMYKTVGEERFLLWAICSIQLQVHFSIGGEKLLPLAEALLKKHITSHSLHEPEALALYISILEQQSKYDAALEVLSGDLGSLMGREEDKLRLQGRLLAQACKYTAASEIYQKILESCPDDWESFLHYLGCLLEHDVNLPKSRTSEHPSSLPVDSALALKTSLSDELVESRLASALSFVQKLQVNDTSDCVRGPHLASIEIERQRCRSGNPTDRKFIEALINYFHRFGHLSCAASDVEIYLHMLSSDETTELLDTISRSFDASSLSVKGLGLAITTFKVQELLGTFFSKSTTELQHIAKGMVEAFYKNLPLSRDLDPQESMHGEELLCMASSILVQLFWRTRNLGYLIEAILVLEFGLTVRKYVWQYKVMLVHLYSYLGALPLAHRWYVTLEVKNILLESALHHILPQMLNSPLLQQTADLVKDYLKFMDDHLKESADLTCLAYRHRTYSKVIEFVQFKERLQHSMQYLSVRSDSIILSLKQKAESLDEVESILENVNHGARLVELSNEDNVKRFTFNEDLQARPWWTPTTSVNFLSEPFDEGSTPACFRAKACEHKSTEKDDSKIKYAERKALLPRLVYLSMHGCASSLRETQLNGSGLDTDATEMKPLLLKYARSIGYSIDDALSVILGMSSGKKSVKDFTPDIVSWMSFAVFINAWNLWSNESVVPRADESSPSSWQIVDSLVKICVEEQLIDANRILTSPGNNIPVLVQMITEPISWHLVVIQSCVRSMAPQGKKKKKGGPSERPNVPRLQAIQRSVQCMIDTLRSVQSWLSDQMRPEEQALDILLSYLQGGNEDGPGQISCILEENSARHNPELGERIAQSLETWSSAGVVRRIVGAEKELLVELKKICDSKLKLLASVSASLSSALH >ORGLA05G0107000.1 pep chromosome:AGI1.1:5:11994405:11997658:1 gene:ORGLA05G0107000 transcript:ORGLA05G0107000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein [Source:Projected from Arabidopsis thaliana (AT3G51670) TAIR;Acc:AT3G51670] MSPTATPSPAPAAVAAAPKPPPSGTGAKRSLMSSLMEATALLRSSSFKEDSYVASALPASDLRALADLRALLSTHPDPISIWGVPLNPAPPQGGEGAPAPAAAADERADVVLLKFLRARDFRVRDAHAMLLRCAAWRAEFRADAVLDEDLGFKDLEGVVAYMHGWDREGHPVCYNAYGVFKDRDMYDRVFGDGERLARFLRWRVQVMERGVRALHLRPGGVNAIIQVTDLKDMPKRELRAASNQILSLFQDNYPEMVARKVFINVPWYFSVLFSMISPFLTERTKSKFVIAREGNVAETLFKFIRPELVPVQYGGLSRAGDLENGPPKPASEFTIKGGEKVFLEIDGIEAGATITWDLVVGGWELEYGAEYVPAAEDSYTLCVERTRKVPAAADEPVHNAFTAREAGKMVLSIDNSGSRKRKVAAYRYFVRKPSA >ORGLA05G0106900.1 pep chromosome:AGI1.1:5:11980437:11980865:-1 gene:ORGLA05G0106900 transcript:ORGLA05G0106900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLSPPLSFSLSGVSLFSKVQEXTDAREDERERSVAADPPSRAPTPLPPIRGDGARPPIPIQFCSSVGLCHAPPRGSPASAMPSDVPVMVLLPSWLHLRFVYDVLTAAVVTADARTLRAGTMSTGASSPESWLSVKTRQR >ORGLA05G0106800.1 pep chromosome:AGI1.1:5:11962201:11964855:-1 gene:ORGLA05G0106800 transcript:ORGLA05G0106800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1PUM0] MSAAVAASSGAPAADVEKGAAXXXXXXXXXXXXXXXXXXXXGVVSAVVRRWRRQDLLEKSGSALRVAAWAFSLLAFVVMGANDHGDWRQFEHYEEYRYVVAIGVFAFIYTTLQLVRHGVRLTGGQDLQGKVAVLVDFAGDQVTAYLLMSAVSAAIPITNRMREGADNVFTDSSAASISMAFFAFLCLALSALVSGFKLAKQTYI >ORGLA05G0106700.1 pep chromosome:AGI1.1:5:11956700:11957221:-1 gene:ORGLA05G0106700 transcript:ORGLA05G0106700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVARGGGKLNPWAEPFVPAGWSATYWRCGGVAAVEPAVAEVEDFSPEWWRLVGSSPAFRDRWLRDYSALGLLDDNDNGDGDDLEGFLLPDDLFSSTPHLVGEPADEKEGKGFGGAGGKKVKGGSAEVVAWGIDKWWRAHSSPPEVPRYADKAPRRVAAAAARVNPRPIQQPR >ORGLA05G0106600.1 pep chromosome:AGI1.1:5:11952207:11954710:-1 gene:ORGLA05G0106600 transcript:ORGLA05G0106600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITPIAELRPGRYDYMICVRISRIWEFRGTNEDDNIKHLDLVLLDQKGDAIYAEIPPDAIPLLNQYLQEGNIVYISKITVNNAKPSYRAVGNPYMIKLNKRTCIIEAKDQPMDFPKYTFDLVSFDKLHDFTSKTDRFLDVIGKIIAVSNAAMISTSSSDYRMRRIVKLQDLSGKTIDLSLSGKRAVEFDGETILEVGQNNHIIAIFVGTSMKILKGTYEFLSGTTACRWYINKNDIPEIKMFQKCLPPHADPIQKLYLQSDEDMQRSIEHKTLAELKEIDPFVDKDEKYQCTATIIGIQERKTWCYQACKLCNCKMIWDGSILKCKKENCPCRQYEYKYKIPFIANDGTASLELVLFEKKGTELIGRTAETMKRQYDINQTPPEIKAWIGHKFTFIVKVLPNITINADEPSFEVLTIKKRFGRQHSSQGFKATKNLPISSSSSQQLHNLPPLVPILSKKIQHEIEPPQDIQSMEVEPYNLETSTLSGKRAYEDPNSTDQENDEEESTDYGFIQTKGKKKRST >ORGLA05G0106500.1 pep chromosome:AGI1.1:5:11943756:11945698:1 gene:ORGLA05G0106500 transcript:ORGLA05G0106500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGGLDHGFTFTPPPFITSFTELLSGGGGDLLGAGGEERSPRGFSRGGARVGGGVPKFKSAQPPSLPLSPPPVSPSSYFAIPPGLSPTELLDSPVLLSSSHILASPTTGAIPAQRYDWKASADLIASQQDDSRGDFSFHTNSDAMAAQPASFPSFKEQEQQVVESSKNGGAAASSNKSGGGGNNKLEDGYNWRKYGQKQVKGSENPRSYYKCTYNGCSMKKKVERSLADGRITQIVYKGAHNHPKPLSTRRNASSSCATAAACADDLAAPGAGADQYSAATPENSSVTFGDDEADNASHRSEGDEPEAKRWKEDADNEGSSGGMGGGAGGKPVREPRLVVQTLSDIDILDDGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERASHDTRAVITTYEGKHNHDVPXXXXXXGGRAPAPAPPSSGAIRPSAVAAAQQGPYTLEMLPNPAGLYGGYGAGAGGAAFPRTKDEPRDDLFVESLLC >ORGLA05G0106400.1 pep chromosome:AGI1.1:5:11924543:11925222:-1 gene:ORGLA05G0106400 transcript:ORGLA05G0106400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATDDDEDVEEMQGYRIGRIGSASCKEDRLDQMGSAVGTRVPSSGVGKVTIDRARRAAATDCRPGEPLRSPLMGMEAFDTAAASPSSMFSRPALFPSSGKLMESWCRKSQLRAVAHRRRP >ORGLA05G0106300.1 pep chromosome:AGI1.1:5:11903885:11907750:1 gene:ORGLA05G0106300 transcript:ORGLA05G0106300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVNGGAVEEERKPLSEVVGDCVQRWFQDALKEARRGDSAMQVLVAQMYHSGYGIPKNEHKGRAWAEKASRYRPSVWKVGTKRPGYNASDSDSDEMNDDEKQ >ORGLA05G0106200.1 pep chromosome:AGI1.1:5:11871489:11872025:-1 gene:ORGLA05G0106200 transcript:ORGLA05G0106200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein [Source:Projected from Arabidopsis thaliana (AT5G01740) TAIR;Acc:AT5G01740] MAMELEAEEEAMMTARRENERLVESLYAAVAAGDGAAASAVMAGDVDWWFHGPRRCEHMRRRLTGEAEAASASSFVFVPRRVAAVGRGGGWVVAEGWEGPRAYWVHAWAVEGGRITRLREYFNTSVTVRDVGGGGHCRPQLHGGGVRRRAAVCWQSQRGRGGGDDDDDRSLPGLVLAI >ORGLA05G0106100.1 pep chromosome:AGI1.1:5:11860160:11863812:-1 gene:ORGLA05G0106100 transcript:ORGLA05G0106100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVHFNMWHGMMVNGFMDISNLSAKIICQGKMLISVSRSGTGDRLRDYKLIYALDVGKTFGDYDSPTAAAELASDKVHANGDAADAGGGGEEEVVVSSSKAVVEELYRALERGDGDAVRRLLNPDVDWWFHGPRAHQHLVLMRLLTGGGGGAAGLPFKVRGVDAFGETVLAEGTDATGKLYWVHAWTVGPGGRVTGVREYCNTALVVTRLGGGGGGKGAEAAAPCSRSQSEQVWQSRLPDRARKNLPALVLAI >ORGLA05G0106000.1 pep chromosome:AGI1.1:5:11858023:11859582:1 gene:ORGLA05G0106000 transcript:ORGLA05G0106000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARADSAGAGAGAPEAIDGELVELELGERNNGVPPVAEEEGGEPRPGGRPPASGRRLLRRLSPASVARACGRWLRHPAHLALLAWALCVAASGAMLALLLLGALDGAFPRKSARNRWIEVNNQVLNALFTLMSIYQHPALFHHAAMLLRWRPDDVKALRKAYRRRRKAAAAGDGAGGWERLHMSVVVALLHVACFAQYAMCGLYWGYSRKARPDAAETSLAVIGAATPALAGLYAYFGPLGRRKPGTATSARHQEEPDDLELAADVVVAEWAGGLLDVGDDPTSWWLSCFCTFCVFGWNMERMGLGNKHVHAVTFALLCFAPLWVLNVAAMNIRDEAVGDAVGAVGVALCALGLLYGGYWRARMRRRFGLLPGRHGGGGACCGSPSSLADYLRWMFCWSCALAQEVRTANVLLLDADEAGGAGGGSSSSGGGGRGDATLLQPLPRENGVKLAFHHAAAVPVDTDAAYGPPVNGSPHRGSGGGGDESPLLQRQQGRESPAEEMRPPLQPLMTEAECRRVQ >ORGLA05G0105900.1 pep chromosome:AGI1.1:5:11852610:11853749:1 gene:ORGLA05G0105900 transcript:ORGLA05G0105900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPELNSLMDDVIEEILLRLPPDDPSCAVRASLVCKRWRRLLTDDPCFQRRYRAFHRRRARAPPPLLGFIHHVSDDQHPGAPTVSRFVLTTAFRPAEPERRRGWWWPIDCRHGRALFHSAGEGLAVWDPMAGDVRWQQEPRIPASDCMYSTAAVACAAPGCDHDHDHGDCGGGPFVLVFVAVDERHETASAFSCSSETGEWSSAPSTVHLDRETVLRYDLAKLELSAIEPPEVHSDVLLTTTEGGDLGLAILDDQRYLRLWAWAADHGVTRRWVRRRVVDLFAELPFLQHVLPLNLTGFDEGTGMIFFQASDGDYAIDELMSSPRAKKLWGRDNFSNVFPYRSFYVHSNSLRRRLT >ORGLA05G0105800.1 pep chromosome:AGI1.1:5:11850589:11852086:1 gene:ORGLA05G0105800 transcript:ORGLA05G0105800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVRAAWKNVVDCLLKLKRLKLLPPSVVDQDGGTSAVSSSTERLGHLAKSESGVIFPSSHRGAGTSRHMSGVIGRFSQFLSVWDQCRHAYVAQVGLVHAYEYALLANLARMRQLAFLACCSLRFWL >ORGLA05G0105700.1 pep chromosome:AGI1.1:5:11844220:11848244:-1 gene:ORGLA05G0105700 transcript:ORGLA05G0105700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPPPPPPQPSVSFKLVLLGDGRVGKTSLVLRYVNDVFSDKQEATVQASYLTKRLVVEGVPITLSIWDTAGQEKFHALGPIYYRDADAALLVYDITDNDTFLRVTKWVKELKQMANKDIVMAIAANKSDLVRSKHIDTNEAASYAESIGATLFVTSAKAGTGIDDIFSDIAKRLLEKRKNSSDGLSLAHPKKGILIVDDEPEKEPPPKCCS >ORGLA05G0105600.1 pep chromosome:AGI1.1:5:11801314:11801778:-1 gene:ORGLA05G0105600 transcript:ORGLA05G0105600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SARRPSRPAGHSAQRRLPPKPVAIASGHRRLPTPTPGDYSAVHDLSQPPIVRGHIAARSLITISPSRRLTISRSPRRFLQIRAKSCSPSSAPPLGPRSYAANLQPSIAREDRAGAEVGRRGAHAGRPVRHCHRAPTSRFRADCRYSSGLAEPKQ >ORGLA05G0105500.1 pep chromosome:AGI1.1:5:11795359:11796116:1 gene:ORGLA05G0105500 transcript:ORGLA05G0105500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDVLAAAAVSRRRAAPATAAGRRRYGGGGQLVSDLAASTLDLEGEGQQEWSWRRRGGGVGRLLGEADSRQVEEAVNPAYAESGRLHARREEAGHKELGEASGVGGGRQKVLVEVAGGEAEKEDGRRGAAGAVRWSRRLERPIICCSSVCWKAVGHNDQXCGQCPGRRRGFLPTGCCSLLLSIRAPSLLRLAYSSRGVPHVKERDTGLVGRFCLVKIGRPSTLSVPSKSLQQ >ORGLA05G0105400.1 pep chromosome:AGI1.1:5:11776877:11777155:-1 gene:ORGLA05G0105400 transcript:ORGLA05G0105400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLATVCFLCLSTASVWEFFFVHVAINQLTAMHTAYNSYERNNSRAVTIFLFFSLLISAYAPNQFSQKIEVIAIVNISAYIYLCLSSSVRI >ORGLA05G0105300.1 pep chromosome:AGI1.1:5:11770401:11771846:1 gene:ORGLA05G0105300 transcript:ORGLA05G0105300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRPDGAAPPVPVAAAPVVPVDFTVVKRRLGGGGGMEVRDASGGLAFRFVAAAGGGGRALLDAAGGVLVTVRSGEGEWQAFRGNSLDYKDIIYTAKSISVCSNRKEVHVFMPPRSNSQDTKASYRLIGNTSRRACTIISGDSIVSQTNLLYKLKKVVYSTRKFRVTIYPGNDTLLVMAMVMNFFMEK >ORGLA05G0105200.1 pep chromosome:AGI1.1:5:11748483:11754961:-1 gene:ORGLA05G0105200 transcript:ORGLA05G0105200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRVDKATSELLLGPDWTLNIDICDAVNSDHGQAKEVIKALKKRLQHKNSKVQFFALTLLETLMKNCGDHVHSQVVERDILQEMIKIVKKKTDMQLRDKILVLLESWQEAFGGNGGKHPQYYWAYAEMKKLGLEFPRRSPDAAPILTPPITRPTSLESYHQPSYGMPVNSSSRFDEAMPSNGPSLSSSEMERMLGAVELLSEMLKAVNPHDRGAVNDEIITELVKQCRSDQKKIISLVTSLRDEELLGQALDLNDRMQILLGKHDAIASGSPLPDEETDIMNESSAETTSTPVATGAPRAAVAAIVPTNVFDEEEEDEDDEFSQLARRNSKFRSTNAESTPSGVATSLSTAHDDEITRSGSSGTSTVSPPVQSHALALPDPPAPVRTAEEQVMSDLLALTISNPSPPQTPPTPEATTTMNQGGSQANDHPQPSYSNQGLAAASYNSYVAPWAQPQCQTPGIQLQQHQQPSQSQLPYNSSPYPPPPWASEDTAESNPFIAASLKNQPTSASPVNVPLNLRPLQQSNSFGVPLRSAGPQSPINGSTKQPMSAGARRPSYVSSNKYFDDLFEKNADGSLMKVGGSVGGGASSPYKT >ORGLA05G0105100.1 pep chromosome:AGI1.1:5:11740871:11747821:1 gene:ORGLA05G0105100 transcript:ORGLA05G0105100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERSRAGGAAVAVDGESLRRPEEEGGRRKKGGWITFPFMAVSLLAFGLSSAGAMGNLVVYLVKEYHVPSVDAAQISTIVSGCISVAPVAGAIVADAFFGCFPVVAVAMVFSVLALVVFTLTASVRGLRPAACVPGATACEAATAGQMAVLYAGVFLLCVSSAGARFNQATMGADQFDAAADRDVFFNWYFIFFYGSAVLGSTVLVYVQDAVSWELGFGLAATIAAAGLAALLLGARYYRRPAARGSPFTGIARVVVAAARKRKIDVAAAAASGDLKFYYGPRSGDGDDDGGKPSDDDNFAPSDSFSFLNRAAVITDGDVDAADAAAPLRPWRVCTVRQVEDLKAVLRILPLWSSSIFLSISIGVQLNFTVLQALAMDRAIGRFHVPAASMVVSSFVAVVVSLGLIDRALLPLWRALTGGRRAPTPLQRIGVGHVLTVLSMAASAAVERRRLATVRAHGEAARDNPAWVSPLPAAWLVLPFALSGAGEAFHFPAQVTLYYQEFPPSLKNTASGMVAMIVALGFYLSTALVDAVRRATAWLPDNMNASRLENLYWLLAVLVAINFGYYLACAKLYKYQNFGK >ORGLA05G0105000.1 pep chromosome:AGI1.1:5:11717948:11718382:-1 gene:ORGLA05G0105000 transcript:ORGLA05G0105000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLGGNGGAGADATGAGLEARCKEVVAVQRELAAVFLWPKLVRRPAGGGTEESWASSRGGGKVQQHVWKSVGGGVPVQWGGGLMLSLLVRWFLS >ORGLA05G0104900.1 pep chromosome:AGI1.1:5:11686067:11686615:-1 gene:ORGLA05G0104900 transcript:ORGLA05G0104900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGPHISSISCLSPHLSFLSQMARTAAGRVERGGSRSGRACGRRSHPSPPALCPCPLRQAWDSLDALVGRLCTAFNEHGGHPEANPFGARVVRLYLRDVRNSQAKVSGIAYEKKCRKRPPTSSSHSQAAAAATCPASPAASPTPERSADMGACVAIAIAVGCAPLLLAARPGCSYCALARRR >ORGLA05G0104800.1 pep chromosome:AGI1.1:5:11684054:11685083:-1 gene:ORGLA05G0104800 transcript:ORGLA05G0104800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRAALLSFYRLMQKLGEEGPGQDLQASYLSMKIGGMFQEVIAMSVPVLNQSSSAPFQTIIGTTSDILLTAKPGSHFSLSGWHVSFLLVKS >ORGLA05G0104700.1 pep chromosome:AGI1.1:5:11677591:11680295:-1 gene:ORGLA05G0104700 transcript:ORGLA05G0104700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14910) TAIR;Acc:AT5G14910] MMMTMSSPAATARLPASPSLGPRSLRLLHGAPARRGSRRLGVVRAASAEVAAPDAAAAAPGYTSDSLILYFKAEGTMEERAIPKITQALEGVEGVSDLEVLIEEGIGSVVLTKETTVQATGVASNLVEAIQGAGFKLQTLSLSFDDFNEDAATVAGEDDDQATE >ORGLA05G0104600.1 pep chromosome:AGI1.1:5:11659652:11661194:-1 gene:ORGLA05G0104600 transcript:ORGLA05G0104600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREQKRASLHEKLQILRTLTHSHAVNKMSIISDASTYIKDLKQKIAALNKELGCAKNMNICEEPSPVVRVQVLDKGFLINVFMDKSSPGLLSSILQAFDELGLTVIEARASCSNSFRLEAVGGEHEEADGGIDANAVELAVMQAIKSTPGK >ORGLA05G0104500.1 pep chromosome:AGI1.1:5:11646140:11646554:-1 gene:ORGLA05G0104500 transcript:ORGLA05G0104500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAKQEFTKDGSVDLRGRPAVAARTGRWKACSFLVGYEAFERMAFYGVAANLVVYLTTELREETVSSVRNVNNWTGSVWMTPIAGAYIADAFLGRFWTFTVSSIIYLT >ORGLA05G0104400.1 pep chromosome:AGI1.1:5:11637527:11639038:-1 gene:ORGLA05G0104400 transcript:ORGLA05G0104400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40460) TAIR;Acc:AT2G40460] MQGMILTTLSVSLKALHPQCTPDGACAPATRSQVAFFYAALYTMAIGAGGTKPNISTFGADQFDDFDARESRTKASFFNWWMFSSFTGGLVAVLVLVYVQENVGWGVGYAIPTAGLALSLLLFYVGTPFYRHKPVRRGAAASPARLVGRVFRAAFANRRRQLHGDQLHEHDAAWYAAAGTKRRLHHTRGYRFLDKAALPAAAAEAEACTVTEVEEVKLITGMIVVWLTTLVPCTIWAQVNTLFVKQGTTLDRTVGGVRIPAASLGSFITISMLLSIPVYDRVLVPLARRRTGEPRGITLLQRLGVGSALQVAAVACACLVELRRMRAIRAASATAARDTVPMSIFWMLPQYILIGVGDVFSSVGILEFFYEQSPQGMQSLGTTFFTSGLGVGNFLNSLLVTAVDRATRGGGAGKSWIGDNLNDSHLDYYYAFLLLLAVINLAVFVWVATRYEYKKEYLSDGGDVVAGMASRETEMAGGGKGKVVERSKVIDAPLVVVEEVRAV >ORGLA05G0104300.1 pep chromosome:AGI1.1:5:11627161:11627835:1 gene:ORGLA05G0104300 transcript:ORGLA05G0104300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKSSSQKTLRAAMLEERAASATSTTTMASRLLHSPDATVSNPSFRVYYGVASAGSVPFLWESAPGTPKNDAISAAALPPLTPPPSYYTTSKKGSAAAAKAKLAKSTSSKRLLCSSSRQAASFVHSIIPKLRRSHTMPSPMRSSASATAAAASDGEQVQCGAPRKRLVASPRSSFSSTSRGDDDDGEVASPTSTLCFRTRHSGGGGGGGRRLHGLLASVVGGN >ORGLA05G0104200.1 pep chromosome:AGI1.1:5:11615252:11616092:-1 gene:ORGLA05G0104200 transcript:ORGLA05G0104200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDANGLALAVRSTVTTRLSICVWKPAEVAISGGGSEDHRSAWLLPCSLSYMVAYSSTTAAVSATRSESDCRGQTYGGSSLSWCRSWRHRVESGGQSGRTXRVACAPATGGVRPRQPPCCCCPGVALLWAMKRAVATSMYRRT >ORGLA05G0104100.1 pep chromosome:AGI1.1:5:11611421:11613128:-1 gene:ORGLA05G0104100 transcript:ORGLA05G0104100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTLDLAKGVYAKFIDWDEQMFDRETCMPAHSANTAISEDLGQVEYILSDRTGTLTENRMIFRRCCMSDTLYGENNGDALKDARLLDAVSCNDPDIVKFLTVMALCSTVVPIKSNGGTITYQAQSQDEEALVTAASKLNMVLVAFSYLRLIFAFISLFSISTEISFNGCKFYYDLLDILEFTSDRKRMSAVVKDVQSGKILLSKGADETILPRCHQ >ORGLA05G0104000.1 pep chromosome:AGI1.1:5:11607764:11608102:-1 gene:ORGLA05G0104000 transcript:ORGLA05G0104000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTRPLATIGFGALPTSSMVEDSSDHTAEDQLAEEEGHYIDDAHHLVPSSGQEEGSSGRDVVVPGDHNGEDNYPNDLISDLDLDILVDSIVSPVPSGHLNADAAIIVPTTGGR >ORGLA05G0103900.1 pep chromosome:AGI1.1:5:11601921:11605488:-1 gene:ORGLA05G0103900 transcript:ORGLA05G0103900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRVVFMFIIAVMLLGDTSLAFDNAVGEKISNMETSLTMKVDPQLCQICEEFATEALFYLNENETQVEIIATLHQACSKFPSFKLECTKLVDYYVSLFFTKVTSLSPEEFCESVSLCHKVTFIRLPRHEDSCDLCHEVVDEILTDLENPDVELKIIEVLLKGCNNAENFVQKCKKLIIQNAPIILEHIKKFLKKRDFCNSIHVCGGKIIPARAGDLGALSAA >ORGLA05G0103800.1 pep chromosome:AGI1.1:5:11572557:11573891:-1 gene:ORGLA05G0103800 transcript:ORGLA05G0103800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PUI5] MPPAGDDESPAATGDGSSKKVLQGRYELGRVLGRGASSKVYRARDARTGAHVAVKAIRKQQQPCRSPEAAAAARRCVEREVAALRRVRGHPHVVALLDVLATRSTVYLVLELARGGSVLSALDGRSGGHYDEPAARRLFAQLASAVAHAHSLGVFHRDVKPENLLLDERGDLRLTDFGLSAFADADQHLGATDGLAATHCGSPAYVAPEILLKRRYDAGKADVWSCGVVLFVLTAGYLPFNDGNLMAMYRKICAAKFRCPKWCSPELRSLIGRMLDPEPDTRIKIGEIFDHPWFQQDGSSSSFGMIQAASSHSKPEVEKWEAELEQAMELNAFDIIGFASGCDLSGLIGPLPDRVRFVVLGGDSRSVLDRVEKLGREEGLVVRRKEEEWCGGVHVEATSGKFTAYVRVSLLPKKMLMIEAERAIGSEIPKFWHQLQIGDLFVRK >ORGLA05G0103700.1 pep chromosome:AGI1.1:5:11564586:11567620:-1 gene:ORGLA05G0103700 transcript:ORGLA05G0103700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQFGGTLAPKLGEKPQLLPRSPALTRVIYADPRFLVSKSGSGGRLKHLVSPTASLQSRTSSRLFNHAPSPRFRHRRSSRFIVRADADFYSTLGVSRNASKSEIKSAYRKLARSYHPDVNKDPGAEQKFKDISNAYEVLSDDEKRSIYDKYGEAGLKGAGMGTGDYSNPFDLFESLFEGFGGMGGMGGRAARNRPMQGDDEAYNLVLNFKEAVFGVEKEIEITRLEGCNTCDGTGAKPGTKPTTCKTCGGQGQVVSSTRTPLGIFQQVSTCNTCGGTGEFSTPCNTCGGDGRVRKTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIDVLSDPVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGMVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDERKLIEELANLNKAQTANSRR >ORGLA05G0103600.1 pep chromosome:AGI1.1:5:11561249:11561827:1 gene:ORGLA05G0103600 transcript:ORGLA05G0103600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLGLPPRHAGQAPIPQAHSRPHRAAQKPMCSGAPELRLSSAEANARLCRIVFKVNSIYSMLRSHRPRCMHPFPQRSPPPSFLFAASLLPTHRQAPSSGFYVPPPPNNHHPSPCWSPATTYLSRDSAYGVLFACMHGHSCSQWCSRVCLGIATYSISQLLEILSPIPTVWLTCDHDADAAQMRGELPRNDVVV >ORGLA05G0103500.1 pep chromosome:AGI1.1:5:11560292:11560855:-1 gene:ORGLA05G0103500 transcript:ORGLA05G0103500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WEMKKLQPHGPVHMTHRQATPRQPSAARPPPPQSVPSPRPPPSTPVSSPAAGADLHPASRGGAGVLLLPPHSLTGEHPNPSHPTSASNFVSSPAACADPHPASRGGARRPPSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA05G0103400.1 pep chromosome:AGI1.1:5:11554535:11558203:-1 gene:ORGLA05G0103400 transcript:ORGLA05G0103400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTCVLDNMGSSCSRSHSLSEAETTKNAKSADIDRRILQETKAEQHIHKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELRSYTSVIHANVFQTIKILYEGAKELSQVESDSSKYVISPDNQEIGEKLSDIDGRLDYPLLNKELVLDVKRLWQDPAIQETYLRGSILQLPDCAQYFMENLDRLAEAGYVPTKEDVLYARVRTNGVVQIQFSPVGENKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLFEDETKNRMMETKELFDWVLKQRCFEKTSFILFLNKFDIFEKKIQKVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >ORGLA05G0103300.1 pep chromosome:AGI1.1:5:11515432:11516766:-1 gene:ORGLA05G0103300 transcript:ORGLA05G0103300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PUI5] MPPAGDDESPAATGDGSSKKVLQGRYELGRVLGRGASSKVYRARDARTGAHVAVKAIRKQQQPCRSPEAAAAARRCVEREVAALRRVRGHPHVVALLDVLATRSTVYLVLELARGGSVLSALDGRSGGHYDEPAARRLFAQLASAVAHAHSLGVFHRDVKPENLLLDERGDLRLTDFGLSAFADADQHLGATDGLAATHCGSPAYVAPEILLKRRYDAGKADVWSCGVVLFVLTAGYLPFNDGNLMAMYRKICAAKFRCPKWCSPELRSLIGRMLDPEPDTRIKIGEIFDHPWFQQDGSSSSFGMIQAASSHSKPEVEKWEAELEQAMELNAFDIIGFASGCDLSGLIGPLPDRVRFVVLGGDSRSVLDRVEKLGREEGLVVRRKEEEWCGGVHVEATSGKFTAYVRVSLLPKKMLMIEAERAIGSEIPKFWHQLQIGDLFVRK >ORGLA05G0103200.1 pep chromosome:AGI1.1:5:11507461:11510495:-1 gene:ORGLA05G0103200 transcript:ORGLA05G0103200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQFGGTLAPKLGEKPQLLPRSPALTRVIYADPRFLVSKSGSGGRLKHLVSPTASLQSRTSSRLFNHAPSPRFRHRRSSRFIVRADADFYSTLGVSRNASKSEIKSAYRKLARSYHPDVNKDPGAEQKFKDISNAYEVLSDDEKRSIYDKYGEAGLKGAGMGTGDYSNPFDLFESLFEGFGGMGGMGGRAARNRPMQGDDEAYNLVLNFKEAVFGVEKEIEITRLEGCNTCDGTGAKPGTKPTTCKTCGGQGQVVSSTRTPLGIFQQVSTCNTCGGTGEFSTPCNTCGGDGRVRKTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIDVLSDPVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGMVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDERKLIEELANLNKAQTANSRR >ORGLA05G0103100.1 pep chromosome:AGI1.1:5:11504124:11504702:1 gene:ORGLA05G0103100 transcript:ORGLA05G0103100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLGLPPRHAGQAPIPQAHSRPHRAAQKPMCSGAPELRLSSAEANARLCRIVFKVNSIYSMLRSHRPRCMHPFPQRSPPPSFLFAASLLPTHRQAPSSGFYVPPPPNNHHPSPCWSPATTYLSRDSAYGVLFACMHGHSCSQWCSRVCLGIATYSISQLLEILSPIPTVWLTCDHDADAAQMRGELPRNDVVV >ORGLA05G0103000.1 pep chromosome:AGI1.1:5:11503374:11503691:-1 gene:ORGLA05G0103000 transcript:ORGLA05G0103000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRQATPRQPSAARPPPPQSVPSPRPPPSTPVSSPAAGADLHPASRGGAGVLLLPPHSLTGEHPNPSHPTSASNFVSSPAACADPHPASRGGARRPPSXXXXXXX >ORGLA05G0102900.1 pep chromosome:AGI1.1:5:11497410:11501078:-1 gene:ORGLA05G0102900 transcript:ORGLA05G0102900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTCVLDNMGSSCSRSHSLSEAETTKNAKSADIDRRILQETKAEQHIHKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELRSYTSVIHANVFQTIKILYEGAKELSQVESDSSKYVISPDNQEIGEKLSDIDGRLDYPLLNKELVLDVKRLWQDPAIQETYLRGSILQLPDCAQYFMENLDRLAEAGYVPTKEDVLYARVRTNGVVQIQFSPVGENKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLFEDETKNRMMETKELFDWVLKQRCFEKTSFILFLNKFDIFEKKIQKVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >ORGLA05G0102800.1 pep chromosome:AGI1.1:5:11469846:11471537:-1 gene:ORGLA05G0102800 transcript:ORGLA05G0102800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPIIPSSSGSDGEEARTKLGRLNAAVERSWVGRRFKLAPRGSTFTTELRAGTTTFLTMAYILAVNASILSDSGATCTADDCDAPSPACRFPPVDPGYAACVARARRDLIVATAASSVIGSFIMGTFANLPIALAPGMGTNAYFAYTVVGFHGSGTLPYRTALAAVFLEGLIFLFISLVGLRSKLAKFIPKPVRISSSAGIGLFLAFIGLQSSEGVGLVGFSSSTLVTLGACPASQRASVAPVVTFPNGTVALMPGGTVSGGILCLSGRMTSPTFWLAVVGFLIIAFCLIKNVKGAMIYGILFVTFISWPRNTAVTVFPDTPAGDESFGYFKKVFDVHRIQSTAGALDFRGARHGYFWEALFTFLYVDILDTTGGLYSMARFAGFVDDATGDFEGQYFAFMSDATAIVFGSLLGTSPVTAFIESSTGIREGGRTGLTALTAAAYFAAALFVTPLLASIPSWAVGPPLVLVGVMMMRAVAEVDWADMRQAVPAFLTLALMPLTYSIAYGLIGGIASYMLLNSWDWACHAVAVLRSRRRRGARAETRSAAAGDNGEQRKNLEMA >ORGLA05G0102700.1 pep chromosome:AGI1.1:5:11457058:11457264:-1 gene:ORGLA05G0102700 transcript:ORGLA05G0102700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMTMTMMMWPLQNHVMLMINAMPIKVAFANDGESDLHFLDHWWVLDQRLKANLKQKFHLLNETLQ >ORGLA05G0102600.1 pep chromosome:AGI1.1:5:11454006:11455379:1 gene:ORGLA05G0102600 transcript:ORGLA05G0102600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PUH8] MMELEKNGNILLRRYEIGKLLGQGTFAKVYHGRNIVTSQSVAIKVIDKDKIFKVGLMDQIKREISVMKLVRHPNIVQLYEVMATKSKIYFVLEYVKGGELFNKVAKGRLKEDAARKYFQQLVSAVDFCHSRGVYHRDLKPENLLVDENGNLKITDFGLSALAESRRQDGLLHTTCGTPAYVAPEVISRKGYDGVKVDTWSCGVILFVLMAGYLPFQDSNLMEMYRKIGKAEFKCPAWFSSDVRKLVSRILDPNPRSRMPITKIMETYWFKKGLDSKLILKNVETNEPVTALADVNVVFSSMGSSSSKKTEEKQDAGKLTNLNAFDIISLSEGFDLSGLFEETDKKKEARFTSSQSASAIISKLEDVASCSKLTVKKKEGGVLKMEGASEGRKGVLAIDAEIFEVTPSFHLVEIKKNNGDTLEYQHLWKEDMKPALKDIVWAWQGERQDQQPEDHGQP >ORGLA05G0102500.1 pep chromosome:AGI1.1:5:11451206:11453722:1 gene:ORGLA05G0102500 transcript:ORGLA05G0102500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRSHHKSAIPLLSSPLSCLPPRTSSFPAPTSPPPRTAVEKEGTNEAIGAAPLVPPCKPALLPPGHSWVVGVGFGFLGSRLQSSVVPSKYCKLP >ORGLA05G0102400.1 pep chromosome:AGI1.1:5:11434959:11435420:-1 gene:ORGLA05G0102400 transcript:ORGLA05G0102400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFIFALLAIAACCASAQFDVLGQSYRQYQLQSPLLLQQQVLSPYNEFVRQQYGIAASPLLQSAAFQLKNNQVWQQLRLVAQQSHYQDINIVQAIAQQLQLQQFDDLYFDRNLAQAQAQALLALNLPSRYGIYPRYYSAPSSITILGGVLY >ORGLA05G0102300.1 pep chromosome:AGI1.1:5:11392277:11392654:-1 gene:ORGLA05G0102300 transcript:ORGLA05G0102300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLAIAACNASAQFDVLAAFQLRNNQVWQQLRVVAQQSHYQDINIVQAIAQQLQLQHLMISTLIGIWLKLKLKLCWL >ORGLA05G0102200.1 pep chromosome:AGI1.1:5:11384458:11384997:-1 gene:ORGLA05G0102200 transcript:ORGLA05G0102200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDDVDDEDFSPHQATTKESMNSKDDAKVQPSSRQTRVESSMEEYKSKKVEKGQGEKRKVGEGIACD >ORGLA05G0102100.1 pep chromosome:AGI1.1:5:11376707:11382465:-1 gene:ORGLA05G0102100 transcript:ORGLA05G0102100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGGLSLVRRAVEAARRTPRWQKRLLVLTAGVGSLTYACQDNQVLQINDGTGKKRVVIVGTGWAGASFLRNIDTSLYDVHVVSPRNYFTFTPLLPSVTCGTVEARSIVEPIRNIVRKRGGAFRFWEAECYKIDPTNKKIHCRSDSDGNSEFVVDYDYLVVSVGARPNTFNTPGVVENCHFLKEVEDAQKIRKSVLKCFERASLPNLTEEERKKNLHFVVIGGGPTGVEFAAELHDFVNEDLAKLYPDVKKYANISVIEAGDHILTMFDKRITQFAEDKFKRTGIDLKTNFKVVKVSDKAITMTNSATGEIAVPYGMAVWSTGIGTRPLIMDFMKQVGQANRRVLATDEWLRVHGCDDVYALGDCATITQRKVMEDIASIFRVADKDNSGSLTVKKIKNVLGDIYERYPQVELYLKTNQMKDFHDLLKDSDGNAIKESKELNIEEFKKALARVDSQVKMLPATAQVASQEGAYLANCFNKMKYCEENPEGPLRIRGTGRHRFKPFRYRHLGQFAPLGGEQTAAQLPGDWIHVGHSTQWLWYSVYASKQFSWRTRMLVVSDWGRRFIYGRDSSSL >ORGLA05G0102000.1 pep chromosome:AGI1.1:5:11373246:11373503:-1 gene:ORGLA05G0102000 transcript:ORGLA05G0102000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRERVAARGRGRRGAGKEVVATAPGRDWRWLTTVEVRERVRRGGDPLMPGLGSGAGKGQQGLSGLAARGRGRQGTDPVPTSLG >ORGLA05G0101900.1 pep chromosome:AGI1.1:5:11367435:11367887:1 gene:ORGLA05G0101900 transcript:ORGLA05G0101900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLAIAACGASAQFDVLGQSYRQYQLQSPVLLQQQVLSPYNEFVRQQYGIAASPFLQSAAFQLRNNQVWQQLGLVAQQSHYQDINIVQAIAQQLQLQQFGDLYFDRNLAQAQALLAFNVPSRYGIYPRYYGAPSTITTLGGVL >ORGLA05G0101800.1 pep chromosome:AGI1.1:5:11323144:11323857:1 gene:ORGLA05G0101800 transcript:ORGLA05G0101800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSSTRPLAPSCVACGLLAPCRRALARLFRIPASAALSVRAFRFRTLRRAASKMSPRRHRHRRHPRRRTFRSVRAVFWPLVPPPATTTASSTDQGESAAGATARSVVAPEEEAAAEEEEAATVLAPVPSPETPAYVKMVARLRSRRSGGEEEEGPCRSFEKRLMEMLLEEGKVRDLQDVEELLRCWERLKSPVFVELVCRFYGELCKDLFSPGEEDGGDEGASSTTTITPAGDSS >ORGLA05G0101700.1 pep chromosome:AGI1.1:5:11317426:11317758:-1 gene:ORGLA05G0101700 transcript:ORGLA05G0101700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQRRAVAGGEAAEASDGGGGGGGCGRSRVHIRRRRPFGRADPATPFPGACFFAAMAVAARQQEVVVARRRDEMWRRPPSIGSGGRACGGSPAAGGGGSGGALLSILF >ORGLA05G0101600.1 pep chromosome:AGI1.1:5:11293998:11299090:-1 gene:ORGLA05G0101600 transcript:ORGLA05G0101600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLSRRAPYRCRPPPPRPPAPALPLRRPPSPLHPLACPLPWMTTAASSLSQSQLLFLRALRGQCLGRRRRGPRPALLAAAVRSEPAGLVPEGGQSKRSGGVGGRAQWRSGGFAICDQEQSHALGLGVDWPTYTRMQCRRALSSSRILLTVIRFALSRAHPSRARCSHRTPDWMSWWCIDHVAVWTQYSWSWKVWTEAGRLGDQSLSVLSQEAEKKPKRPPIDEELGPDVPIGIDTS >ORGLA05G0101500.1 pep chromosome:AGI1.1:5:11278144:11279361:-1 gene:ORGLA05G0101500 transcript:ORGLA05G0101500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPSWRDGKATMESPSSIPTLKCACGASATVQISNTPRNPRRRWLQCGNSGCCFLWIWKDLLNEYAEEMVAYCHAGDYDHMQETIDILCQYLDDEKNEKAKICEVLDAKENELKSTIETLNQCRLECLAMKKQLEEVKFSRARLLYLTLVITVLFACLMFSGSTYAYNSTHIHEQHYWLIAALLAKYLRDRAGYMSLQIGYYKFTGTRALQVHHSHWQLAKYLERRQLALQSKIGR >ORGLA05G0101400.1 pep chromosome:AGI1.1:5:11251174:11251902:-1 gene:ORGLA05G0101400 transcript:ORGLA05G0101400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAAADAVAPDDGDHTVLRDDERGIPRSLSLLAALVEAEALCHATAADADADSDLIRAFRGGATPTVRIGEFLERIHTFIQQESVRHVIEIQGVCYVLAGIYLIRFIRSGAAREAGILIDPSTAHRLVAVAIFVGTKFGGPIYRLPTRWTVVFETSSDAAIRAREMAGLERRFLIAVDYRLFVRADQFDWFCRVLERGPRPSGRCGGGGAGRKRTAAEAVEGEAEDGRRRVRASLPPPSVVAN >ORGLA05G0101300.1 pep chromosome:AGI1.1:5:11243898:11244860:-1 gene:ORGLA05G0101300 transcript:ORGLA05G0101300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGADGLLPGLKLDPSDDELVGRCLLRRLQGQPLPLGGVILDADSLSSPPRNLLADHGRGDEPFFLAKKGNGKRQRSSVEGQRMCVDGGRLRVPDDGRGGGGGGLAFLPSIFPSPPPVTRCSTPLSPSSPPFQPSRVIVRWWAPPAGWCKLNFDGSVYDDGSRRASIGGVIRGCDGGVVLAFAEITEHWTVGVVEARAMIRGLRLALACFVDRIVVEGDDLVLVQLIRGEETQTRIPAAMHEEILDLLRCFADVEVRHIYREGNSVAHTLCRQAYVHPGLWTDCATLPAAVWEKIDDDLRGVVHERLCNKKKKSSA >ORGLA05G0101200.1 pep chromosome:AGI1.1:5:11239314:11239722:1 gene:ORGLA05G0101200 transcript:ORGLA05G0101200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIFHLSPQSRPEPRPAAHGRPSRRRGMVPFCRRRWGRPRTWKREWRSRLRGLEFDLFVTEKIGARAAMVELAPGGLSANARRQPRRSPQVSPQY >ORGLA05G0101100.1 pep chromosome:AGI1.1:5:11231206:11231610:1 gene:ORGLA05G0101100 transcript:ORGLA05G0101100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHSKKRTRLGHRKLNALVFVMFNKRLKSKYSQKDRDPLVAKFIDDDPPNEWIIDDDAPAQQPEVDAQSAAVSRKRRLIHKKSSSKAKKACVVVEEEEEEEFQSSNSEHEEEENIPYADGSSDHELDDVGENDNE >ORGLA05G0101000.1 pep chromosome:AGI1.1:5:11220491:11231113:1 gene:ORGLA05G0101000 transcript:ORGLA05G0101000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHKVGGLPELGRMDMMTTLTTLGFVGSDVDVDEDSGKAIWDDLASEIAAAAPPPWIDTPATFLYSWLWPPPHRGRERGSWASGGEARFCRQEGVAVADLVTGAAKGECCYSIIFVDGPDEHTSTLDEKVPIEVADMHLSRDIQSKAEIPPACRTLKEQSSKVVYGSCISDQMFGLRGSQYTLAKPSSSERFYTDKFSDATGSNHLKLHRQISDNGNVESRSELNKDAIGVLLSEKSLLAKSGKQVEQCMVNDLSAHPYNPMCPLSQGVNSYSSSSQQIGSSLGEVKYIRNSGQEMQIACEIVEEQSHIALYGGKNPYELTGLGDPRDKFIAPSLFEGVNISSISSTSSGMNLSTNSAKENNEYNQLKLQKQIDGYQRGSIKSASWEKHDNFPSLRGIDNVADNNPRMSLLHNTIVSTRNAGNQSPTMSGMVHADVLEIYSSLDQQTSANNIQIQYALQAARQPSQQSLHADVAETNSPFGHHFAANIIQRQLAPQVTTQTSNISLHGSYNHNLQIGSGGDFQIELFRPFSSHITSSDVISGALPKINMQAETMVDSILITPCPXEXKQMQGNPMTXILYLKPLDKAAKYTLILHNRVLNVFKKXDXMKLQIRTCXLLSNNLRGNCIDTLRDIIRKSLHLKRRRCMAMLRLLSGXTKLRNSLKXXGIMKHAYFHFIILLLFHPMLXICCIGASVLIWKGKCHCQKGIQHNAMVHQGLFIKMHXSVWGAASDNKSFKLRHLKRNSWSLKKSCLMLLNWXRISMEVMCYKRWQHERVSPVPGVASMLVREFRSTLMIQYRKFFSKKLXRMSTTXQKNNTLTMLCSTFCSMGKPLCDLXLXRDLLGKLXPXASKIRFXCLXKVLGLRXLXXNPEDYXXGPNHSQGYLLRRELLQGWNNXAXEALGWSXRAECLLHTSSXXCXGEGXSNSGCTWXKEECKIGXPALIEAAGXYQWKXXXGNGRSRRSXSSRSSISCRKHTSPXEAPKQGTIGQLLHAPXGSSCXGKAYTNYNEXTLXGIFGSAKGVMVFYWMFYYRKCLDRSAWKKLNEFGCSLCXRYVFHXCHXCFLGGSRWEVVQVVTDNASNNMSASKMLQVKHPHIFWTSCAAHCIDLMLEDIGKITMVHNIIRDGKSITNLLYAQVRLLAIMRQFTKGDLVQAGTTRFATSYLNLRSLYDKRNELKQLFASQDWAKSSWAKKIKGQNAHNLVINNKFWSQMLEVINYFEPLAYVLRRVDGDVPAMGYIYGDLIKAKKDVAARLNGNEKKYGHIWKIIDARWDSKLKTTLHKAGYFLNRSFFYENKREIKEDFLMQAVVECATCMYRDDITVQDICVAQPSLYTEVMDSFGTTMAIRQRNSPTITPANWWSVHGTSAKDLRKMAIRILSLTCSFICMRKKLECI >ORGLA05G0100900.1 pep chromosome:AGI1.1:5:11214794:11215429:-1 gene:ORGLA05G0100900 transcript:ORGLA05G0100900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTLALSGRKPFFFTGIRCWKHGHLIWLGSTGLQYCTYMIQEPALNRSRPPIVHSSPRSLAGCRATPPIPKPSPCYSPSISA >ORGLA05G0100800.1 pep chromosome:AGI1.1:5:11211397:11211840:1 gene:ORGLA05G0100800 transcript:ORGLA05G0100800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAVAPDDGDHAVLRDDERGIPRSLSLLAALVEAEALRHAAAADADADSDLIRAFRGGATPTVRIGEFLERIHTFIQRESVRHVIELQGACYVLAGIYLFRFIRSGAAREAGILVDPSTAHRLVAVAIFVGAKFGGPIDRLPTR >ORGLA05G0100700.1 pep chromosome:AGI1.1:5:11203933:11204289:-1 gene:ORGLA05G0100700 transcript:ORGLA05G0100700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYRMRDDVDGIFRSVAEYIIGDGADNRYWTTNWTGNGCFAWRWPILYSYVGHSRLSVAQAMVNHRWVRDLQGSLFNEALGDFFQLWDEVHDVALQPNADAIRLKLTGDGQFSAASAY >ORGLA05G0100600.1 pep chromosome:AGI1.1:5:11201094:11202791:1 gene:ORGLA05G0100600 transcript:ORGLA05G0100600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADGLLPGLKFDPSDHDLVGRYLLRRLQGQPLPLDGVILEADPLSAPPWKLIADHGRGDEAFFFAEAHAKNGKGKRQKRTVEGGGFWQGQKTCVDGERLCVPDDGCGGGGGGLEIAWRKYVLSFFANGERGSSGWVMHEYAVTAPDGLASSQLRLYRVRFSGYGKKRKREPQCPGAHGDDDGELQRAPPPRSMAETALLEERGPLPHPVLGPASVVDQCTDQGSSGVIDDSSLVFRDLPDLIDLPVAEEADASHGAETALLNEHLPLPPPQLFVPPTAVPLDLADDSNGADQNSYGMMGDDQLLLPDLPGTINDDMPDLFVSQAEEASAVPAISYHSSGFMGNEVAALSDFELPESYSSSDAMDGEALALSNYEFPESFEEDLSCIDFATAKASSLGFPMDGYPMDELFDDMPDQGSSGAMDDSSVVFRDLPGLINLPAAEEADAIGDAETALLRDLADDSNGSDRNSYGVMGDDQDRLLLPEIPRRIDMPDLFVSQAEEAGLGGGAALDSSSGAMDGEALALSDFEFHESVEEVLSCMDFSTVDMSCLDVPIDELLDDLPAD >ORGLA05G0100500.1 pep chromosome:AGI1.1:5:11196251:11196484:1 gene:ORGLA05G0100500 transcript:ORGLA05G0100500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADAVAPDDGDLAVLRDDESGIPRSLSLLAALVEAEALRHAAAADSDLIRAFRGGAMPTVRIGEFLERIHTFIQR >ORGLA05G0100400.1 pep chromosome:AGI1.1:5:11194794:11195113:1 gene:ORGLA05G0100400 transcript:ORGLA05G0100400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTAAPKGQWERRLQTDIHTARQALRDALSLDLDPSPTAKTEPACAAPGRRHQARRRRPGGGDGVKGPEASGSTSTMATTQHQPQCSG >ORGLA05G0100300.1 pep chromosome:AGI1.1:5:11191344:11191613:-1 gene:ORGLA05G0100300 transcript:ORGLA05G0100300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSSSRSRAVAMWLVALMVVFLVAGPQPASAARPLRPAGWNAPSIDGEGHYASGVVDKYAPLLLSMLPRGPVTPSGPSGGTNGDGN >ORGLA05G0100200.1 pep chromosome:AGI1.1:5:11182958:11183740:-1 gene:ORGLA05G0100200 transcript:ORGLA05G0100200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHERFRLSHLMPNSWFYKLRDMKRPRPTSSRRITAADHAARSSRRSSSSSSSIHHYYLHGHGTTTPKPLPLSPPRRSYYPYLERAKQMPLMEKESQLISHSPLHQRIPATAIPGDHHDGEFQDLQLRPIRTRPPSAAAASAEPRRTASGSGTCPSSPRMRSRRLHVLGGCECRAGSGRRRSGGGGFAVVKASAEPARDFRESMVEMVVGNGMRSPEDLLELLECYLSLNAREHHGVIMEAFRGVWVEIVADADCCVGL >ORGLA05G0100100.1 pep chromosome:AGI1.1:5:11177282:11179243:1 gene:ORGLA05G0100100 transcript:ORGLA05G0100100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIQLWNDWELQVLVLLSFMLQVFVFFSGGLRQRSTNSALRILVWLAYLVADFIAVYALGQLSRQKTDASEAGQPHKFAFFCTPFLLIHLGGQDTITAFSVEDNELWLRHLLNLLVQVCLALYVFWKSAAGNQFVVSAIFAFISGIIKYGERTWALKSASQKSLRSSTDGGVVGQFPELEDYQELGYKTMVMFALSSSPVVRNLLVGRKIDQMEERVHHAFPGRLYSQVSENAQLVFKILEIELGMMYDNLYTKARVIRTWTGAILRFITCISLMVAFVLFLTGNKKWHHSRVDVAITYALFIGALCLEVCAIFFMVMMSPWTWASLQYWKYQRLADAAWYVFKSLQTESMSWWSNSLGQYNFLGSCFSDNVFGKVMSLVGAKEFWRNFRYSQRVGVKAEMKKLVFEAKCLAEIFGASQTSSVNADPNSGVGSALDIILREQFEVAILSLHVYTDIFLHRCMNPTSADSCDATRERRHLMDACMTISEYMCYLFVVHPEMLPVSGSVRNVLDKASETVAKVSSGAAASKGRVRVVLEKLATDRDLNDLSDPITLAGFVFRGHKEEAVYCHESLQVLARAWVGVLLYAAGKSRGENHARQLSMGGEFLSFVWLHMAHCSLGDMGTFEVELVRPSAVNEGGRKMFVWGYQRPR >ORGLA05G0100000.1 pep chromosome:AGI1.1:5:11169017:11172069:-1 gene:ORGLA05G0100000 transcript:ORGLA05G0100000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N2,N2-dimethylguanosine tRNA methyltransferase [Source:Projected from Arabidopsis thaliana (AT3G56330) TAIR;Acc:AT3G56330] MAISAAAAAAAALSFPRALPSPLQPRRHRHRRRSAEPPACAHSERGVTFDPGSAFYRSDSAAGRDLAVLAATLHRRAAGPSAPFLCLDAMCGCGVRALRYLAQAGADFVWANDASEALRPVIVGNLSRFERAPDRRWAVSHLDATRLLSERYLRREYFDVIDVDSFGGDAAYIRAALLALRIGGLLYLTSTDWRSARGYGSRSSLSSYGAYIRPVPYPNEVGLRMLIGGAAREAAILGFHITPLFSYYAYHGPIFRVMVQLHNGKQDGISNYGFICHCKSCGQSRTFGFDELGQITCGCADKIDPDSITVVGPLWTGPLHDTTFLTEMLSLATEWGWANTIENGVSLEKLLDTMIEESDSRLPPGYIRLDEIASRAKVNSPPLGTLINSLRKEGYAACRSHIGANAIKTNCPIECCLDVAQEIRNLR >ORGLA05G0099900.1 pep chromosome:AGI1.1:5:11166521:11168245:1 gene:ORGLA05G0099900 transcript:ORGLA05G0099900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMAPPPPTAAALPSRRCLRERIQSERQVVGGLLKKAEALVARAKEDVHGGRAAAAHSEACALPRRGRFLRRPEARPEAEATAAMDGAASPRKKRRKAATSASSIVEVEVIEPTMPKAQMDRLYGLLSSLSAEVPLPPHIVALMQSQCCCVVDPNGDEMDVDLGSAKDAALFQLLNLLEEFAQQQTTKIQPRLAEEQEPPKIEAPDATSRSSSICQLMEDGEVADEGADMDMDICGGVSPLPKQEEDDELINTSGGGGGGGFALQSPPAKQQEEEFVRDASPVAVDKFPQTESPSSSTGSSSGSSSSSSSSGGSSGSSCSRSSSSGSDSDHHGDSASSRADNSELPTEAAAKPLEQQLVTVCGGVSPLIDEFSPLLKQQEDDELIDVTGGVSPVSVNKFPDSPRSSSSGSSSSSSSGSSSSSSESDSDDDGDSASSKPDTADHPTEAEAPKLQPLEQHEVAEQDKKLIAERAASPNTEMQELIARAQERQKLRLELERKTARELERKMAREQLQEMERTARPVYDSIDPSVMKQLGISGDAQYIVSPVKSRHSLHRRGGGGLLQKLGFFLKE >ORGLA05G0099800.1 pep chromosome:AGI1.1:5:11160704:11165166:1 gene:ORGLA05G0099800 transcript:ORGLA05G0099800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Source:UniProtKB/TrEMBL;Acc:I1PUF0] MALRTLASRKTLAAAARPLAAAAAARGVTTVALPDLPYDYGALEPAISGEIMRLHHQKHHATYVANYNKALEQLDAAVAKGDAPAVVHLQSAIKFNGGGHVNHSIFWNNLKPISEGGGEPPHAKLGWAIDEDFGSFEALVKKMSAEGAALQGSGWVWLALDKEAKKLSVETTANQVKDPLVTKGANLVPLLGIDVWEHAYYLQYKNVRPDYLSNIWKVMNWKYAGEVYENATA >ORGLA05G0099700.1 pep chromosome:AGI1.1:5:11154328:11157963:1 gene:ORGLA05G0099700 transcript:ORGLA05G0099700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79600) TAIR;Acc:AT1G79600] XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRGPPLLRAASTAAPPSSSPSSQSPGSLSIQLSPRASPPAAPTHVASLARDRAEDLQAESRAMTRAAAATVFSPELLSSRYGSRPVKVALRAAEVVSKIGAFGLKVFLDEQRGQSSSAVRRARAVELRTILTRLGPTFVKIGQGLSTRPDLCPPEYLEELSELQDSLPTFPDEEAFACIERELGFPLDSIYSTISPSPIAAASLGQVYKARLKYSGQLVAVKVQRPGIEDIIGLDFYLLRGLGYLINKYVDFISSDVVALMDEFARRVYQELNYVQEGQNARRFKKLYADKQDVLVPDIFWDYTSAKVLTMEWIEGVKLNQQAAIEKQGLKVLDLVNIGIQCSLRQLLEYGYFHADPHPGNILATPEGKLAFLDFGMMSETPEDARVAIIGHVVHMVNRDYEAMARDYYALDFLEPDVDVSPIVPALKSFFDDALNSTVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRWNRLENLLVQGSQDREFAAKDALQPVLKLLLGPDGEELRVLVVKEAVRVTEAITFGTLIDSYNAAPEFLKPLISSGNPAGPFKISDTEREQMIELRDRVFRIWGLLRSSDGFDPTILQPIVQVLQEPEARVLGSRVAGGVTQRLAARLLQQLLRTPPAPGSP >ORGLA05G0099600.1 pep chromosome:AGI1.1:5:11126123:11126338:-1 gene:ORGLA05G0099600 transcript:ORGLA05G0099600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKSNPHKGRGDEEEYPMVAYACCPCYVLSSVIRGIGRCLFVACYPAIQCCGLDECRHHHTTTQLSHFR >ORGLA05G0099500.1 pep chromosome:AGI1.1:5:11116082:11119750:1 gene:ORGLA05G0099500 transcript:ORGLA05G0099500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40760) TAIR;Acc:AT2G40760] MLPSPPLXXXXXXXXXXXXXXXXXXXXXXXXRLLVLPAVASLVPGPPPALCRRVRLMPQLEGPRAPPPPHGRGFAAAAAGGDGATLDAGDSELVVVSFYRFADFPDHAELRRPLKELCEELRVSGGIILAPEGINGSICGTPEAVEKVLNFIQSDDRLKGLRMIQSPVTPEDEAIHHGHTSQSPVGAGEDAPFRWDHVRVKLKKEIVALGDPGVMPTKTVGKYVKPKDWNALISDPDTVVIDVRNMYEIRIGKFKRAVDPCTNSFREFPSWVDDQFQLAESDSQLCSENNDNTIGRQSEDVNSSKPKELPRVAMYCTGGIRCEKASSFLLSKGFKEVYHLEGGILKYLEEIPKAESLWEGECFVFDKRVSVEHGLAQGTHKLCYGCKKPVSDEDMESPEWEYGVSCPYCFATKSEEEKERARARQRQFETWGVIGGPDKGRSPKRLEANHTAEEPKQLSSSV >ORGLA05G0099400.1 pep chromosome:AGI1.1:5:11110431:11111603:1 gene:ORGLA05G0099400 transcript:ORGLA05G0099400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMSPAPAPAYAQVMEDMEKGKELAAQLQGLLRDSPEAGRFVDQILHTFSRAMRALDKAAVSAAGGEGSEVQSEVTCGGGASAGGKRKAPAADRKANCRRRTQQSSGNTVVVKNLDDGQAWRKYGQKEIQNSKHPKAYFRCTHKYDQLCTAQRQVQRCDDDPASYRVTYIGEHTCRDPATAPIIAAHVIHQVAAGDDDDGCGGLHAGSRLISFVAAPAAPVDAAAAPTTSTITTVTAPGPLLQPLKVEGGVGSSDQEEVLSSLTPGSSAARGGGGGGGVAGPFGPDQGDVTSSLHWSYDAVAGMEFFKNDEVVFDLDDIMGLSF >ORGLA05G0099300.1 pep chromosome:AGI1.1:5:11076066:11080299:1 gene:ORGLA05G0099300 transcript:ORGLA05G0099300.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSDAYGGAGAGTSGGPVRRVSSSSRSPPSPSPVQPRQGGAGSRRRRESGEKVTVMVAAQRTGNTEQPPDDGYTWRKYGQKDILGSRYPRSYYRCTHKNYYGCEAKKKVQRLDDDPFTYEVTYCGNHTCLTSTTPLLTLPAAAATSVTNSPTAAAVLGQDLVMAPAADQQPPQHQQAQPPLSTSIHLGIGWPMTPASLAGAVGEGSTSTTATAPQVTTMGATAAGGGGAAARDADHYPVADLADVMFNSGGSGSSSIMDGIFSYHDRRDN >ORGLA05G0099200.1 pep chromosome:AGI1.1:5:11062601:11062771:1 gene:ORGLA05G0099200 transcript:ORGLA05G0099200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEIEQCGGEIEADIERAKEVVANKGRALDDDRERFQKAAVAALNILSGGAAGSI >ORGLA05G0099100.1 pep chromosome:AGI1.1:5:11060455:11060751:-1 gene:ORGLA05G0099100 transcript:ORGLA05G0099100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSEDGSGDREEHGRSSWRPTARGAATKLVVRRQGELRRLGPREADLVARKSWAVGDGEGTDPAVGGEGEGGRGGRGGFGSGEQRGGRSRGREGRMR >ORGLA05G0099000.1 pep chromosome:AGI1.1:5:11032739:11036546:-1 gene:ORGLA05G0099000 transcript:ORGLA05G0099000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLVEKVLLGLFVAAVLALVVAKLTGKRLRLPPGPAGAPIVGNWLQVGDDLNHRNLMALARRFGDILLLRMGVRNLVVVSSPDLAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVAQNRAGWEEEARLVVEDVRRDPAAATSGVVIRRRLQLMMYNDMFRIMFDRRFDSVDDPLFNKLKAFNAERSRLSQSFEYNYGDFIPVLRPFLRRYLARCHQLKSQRMKLFEDHFVQERKRVMEQTGQIRCAMDHILEAERKGEINHDNVLYIVENINVAAIETTLWSIEWGIAELVNHPSIQSKVREEMASVLGGAAVTEPDLERLPYLQAVVKETLRLRMAIPLLVPHMNLADGKLAGYDIPAESKILVNAWFLANDPKRWVRPDEFRPERFLEEEKAVEAHGNDFRFVPFGVGRRSCPGIILALPIIGITLGRLVQSFDLLPPPGMDKVDTTEKPGQFSNQILKHATVVCKPIDA >ORGLA05G0098900.1 pep chromosome:AGI1.1:5:11025924:11030375:1 gene:ORGLA05G0098900 transcript:ORGLA05G0098900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARCGGLAVVAVRRPLQPRDTNVVASPAAALAGKAAKGKGKGKGTARAASSPPSVRSYAKAGGCRVGLREVSLAEELEKARERRGRMRAAREVTERALEERAAALRREAREWERRADEQRRLVAELMRLIGMPEVYTPVESLRSKEERKRKEGIAHSGSILHRHCWMAPRGDCDYVRAGDYEPCTAPPCQGKQTWPELLGKDQDTAYDTIKRENPQVTNIVYLISNSIGREENDEFCCNRVVLVIGALPTGGEGISKVPQVG >ORGLA05G0098800.1 pep chromosome:AGI1.1:5:11017688:11017951:1 gene:ORGLA05G0098800 transcript:ORGLA05G0098800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFCHRRLKDRKPPPKRQQLEEEVHVPVMAPPPVLPPPLPHSELTMAPGGMYGEQLLPSSSRRGTGRPSAILDMSDLQCLPLLTSHG >ORGLA05G0098700.1 pep chromosome:AGI1.1:5:10998792:11001690:-1 gene:ORGLA05G0098700 transcript:ORGLA05G0098700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRRRRGTSVAPPVTLPDDDDLLSEILLRLPPRPSSLPRASLVCKRWRRLVTDPAFHRRFRARHRNPPLIGVFEDYLGYPFFRSVLDPPDLIPRERFRLRLAEDEGGQWRFYGCRHGRVLLFNRAKNEILVWVPDNGDHRRVAVPPEIDSKEKIIWNGAVLSAATADDGFSSCPFKVVLVGVAGNNTQMFACVYCSESGKWSDLISVAAPFLVFFFRDPGILVGHALYWMASGDHGSTILQFDLDYQTPAVIEWPSDSDPNCYTQTWLTEGDCLHVATFSRGSLQMWERKVCSEGVAKWVLQKTYELKNVLNPEIRLNVEYVTKLGYAQDIKVMFVWAAHSVFMLQLDSLQAKKVWESCVIAPIHPYASTYVAGI >ORGLA05G0098600.1 pep chromosome:AGI1.1:5:10986386:10988236:-1 gene:ORGLA05G0098600 transcript:ORGLA05G0098600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTREVAFGVNLIGNNGAAPADEASSLAGWAPVGSRTTLSAHVEKDDPPAAMLPAVDDGGREHRPSGSRYVLAARRDGEEDGLRHPVPAGALVPRVTYRVAGWVAVQSGGGEHAGGESHVVRVSLHVDDGGECRVLGCGAVCAGVAGGWVEINGAFRLKATPRGATAVHVHGAPAGVDVKLMDLRVFAADRKARFRHLKEKTDKVRKRDVVLKFSGGAGVEATASIPGAAVRVVQMDNVFPLGTCINGSVIQDPNFVDFFTNNFDWAVFENELKWYWTEAQRGLLNYRDADALLDFCDRHGKPVRGHCIFWAVDGSVQQWIKDLGRDDLASAVRGRLTGLLSRYAGRFPHYDVNNEMLHGRFYRDRLGDDAAALMFREAARLDPAARLFVNDYNVECANDPNATPEKYIELIDALRRGGAAVGGVGIQGHVSNPSGEVICGALDKLAASTGLPIWITELDVSEPDVPLRADDLEVVLREAYAHPAVAGVVLWGFMQGRMWRQDASLVDADGTVNEAGQRLVNLRREWTSDARGTIDGDGHFTFRGYHGRYVVQVTTATGKILKTFTVDKGDTSLVLDMEI >ORGLA05G0098500.1 pep chromosome:AGI1.1:5:10980290:10984285:-1 gene:ORGLA05G0098500 transcript:ORGLA05G0098500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:I1PUD7] MSVSLEDLKKENVDLESIPIQEVFAVLKSSPQGLTSADGNGRLEIFGRNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALANGGGRPPDWQDFVGIVTLLFINSTISFIEENNAGNAAAALMASLAPQTKLLRDGKWSEQDAAILVPGDIISIKLGDIIPADARLMEGDPLKIDQSALTGESLPVNKMPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAAGMLIEIIVMYPIQHRQYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEPFVKDLDKDAIVLYAARASRTENQDAIDASIVGMLADPSEARAGIQEVHFMPFNPVDKRTAITYIDTKDGSWHRISKGAPEQIIELCRLRDDVSRRVHAIIDKFADRGLRSLAVARQKVPEGSKDAPGTPWQFLAVLPLFDPPRHDSSETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLKDGDTGGLPVDELIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFLLLALIWRFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDAWRLQEIFATGIVLGTYLALATVLFFWAVRDTDFFTRTFGVHPIGGSTEELMAAVYLQVSIISQALIFVTRARSWFFVERPGLLLVGAFLIAQLMATLIAVYANWPFAKMKGIGWSWGMVIWLFSIVTFFPLDIFKFAIRYFLSGKAWNNAFDNKTAFANELDYGKSKREAQWAIAQRSLHGLQQAETSTALFDDNKDYLELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQNHYTV >ORGLA05G0098400.1 pep chromosome:AGI1.1:5:10972208:10975312:1 gene:ORGLA05G0098400 transcript:ORGLA05G0098400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALTPLPLLCSSVLFLVLSSCSLANGRDTPSSSSSSSSSSSSSSSPATSTVATGIPAAAAAAANGTAALSSAVPAPPPVVIVVHHHFHRELVIAAVLACIATVTIFLSTLYAWTLWRRSRRSTGGKVARSSDAAKGIKLVPILSRFNSVKMSRKRLVGMFEYPSLEAATEKFSESNMLGVGGFGRVYKAAFDAGVTAAVKRLDGGGPDCEKEFENELDLLGRIRHPNIVSLLGFCIHEGNHYIVYELMEKGSLETQLHGSSHGSTLSWHIRMKIALDTARGLEYLHEHCSPPVIHRDLKSSNILLDSDFNAKIADFGLAVSSGSVNKGSVKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLMGRKPVEKMSPSQCQSIVTWAMPQLTDRSKLPSIVDPVIKDTMDPKHLYQVAAVAVLCVQAEPSYRPLITDVLHSLVPLVPTELGGTLRAGEPPSPNLRNSPC >ORGLA05G0098300.1 pep chromosome:AGI1.1:5:10969024:10969516:1 gene:ORGLA05G0098300 transcript:ORGLA05G0098300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAMSSPDPATSSLDLAAADLASGDTTTTVAVCTARVHGTTVPTTMGARLRRGGLDHMIGCNVVHGLTSPQTYKFHTQAKAPFSAKQAETYRQKHLSPHRSTRPERRQLKP >ORGLA05G0098200.1 pep chromosome:AGI1.1:5:10954155:10956680:-1 gene:ORGLA05G0098200 transcript:ORGLA05G0098200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLLADGCAGASSSPALSRVATSAAHGEGSPYFAGWKAYDEDPYDAAANPDGVIQMGLAENQVSIDLLEGYLREHPEAAAWGVAGDGGGDSFRDNALFQDYHGLANFRKAMARFMEKIMGGKATFDPDRIVLTAGATAANELLTFILADPRDALLIPTPYYPGFDRDLRWRTGVNVVPVHCDSANGFQVTAAALQAAHDEAAAAGMRVRGVLITNPSNPLGTTARREALEGILGFVARNDIHLVSDEIYSGSVFAAPDLVSVAELVESSSSRARRRGEDDVGVADRVHVVYSLSKDLGLPGFRVGVVYSRNDAVVAAARRMSSFTLVSSQTQRTLAAVLSDEAFVDAYVAANRARLRERHDHVVAGLARAGVPCLRGNAGLFVWMDMRRLLLGDGGDAATFAGELRLWDRLLREVKLNVSPGSSCHCSEPGWFRVCFANMSLATLDVALERISRFMDAWCKATIGKFNHLQPNRCEVNYFALERYQGHVQQ >ORGLA05G0098100.1 pep chromosome:AGI1.1:5:10947850:10950481:1 gene:ORGLA05G0098100 transcript:ORGLA05G0098100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIKLSSHASLRYKLQPCGASPPAAAAAMETSYREEEARRKASLLHCIFFFLLGALAMAAAIAVLHESSYWEWRCNRLTDIVVDGDDGDGPSSSEVVDGGGEWGMVRTRGAQFVVGGGRPFYVNGFNTYWLMVLAVDPSTRGKVTEVFRQAAAVGLTVCRTWAFNDGGWRALQKSPGVYDEEVFKALDFVVSEARKHKIRLILPLINNWDDYGGKAQYVRWAQAAAAGAGADAFFSDETVRGYFKSHVTAVLTRVNAYTGVAYRDDPTIMAWELMNEPRCASDPTGDTLQAWIAEMAFHVKSVDPAHLLGVGAEGFYGPSSPPARLRVNPNADVALAGADFVRNHRVLGVDFASVHVYPDTWLPAGATKEAQLRFATSWVEAHIADAEGALGGMPVLFAEFGVSTRGARAAFNATSRDAFIEAVYGAMLRSTRRGGGGAGALLWQVFPEGTDYMDDGYAVVLPRAAATAGIVAAHSRRLQSFNSRCAWSCRWGCNKRDNDTAETTTAEADVDVSFHHEL >ORGLA05G0098000.1 pep chromosome:AGI1.1:5:10934925:10937504:-1 gene:ORGLA05G0098000 transcript:ORGLA05G0098000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNNSTASAPIFLNCGASGVQPDSYNRSWDGDASSKFAPSVKGNVAGASYQDPSLPSPVPYMTARFFTSNYTYSFPVSPGRMFVRLHFYPTNYNGNLDSANAYFGVTTNNLILLDNFNASQTALATSSAYFFREFSVNVTSSSLKLTFAPSTRNGSYAFVNGIEIVPTPDIFTTPTPTSANGGDNVQYGIDPVTGFQTMYRLNVGGQPISPQGDSGFYRSWDNDSPYIYGAAYGVTFSKDGNVTIKYPNTEPNYTAPVAVYATARSMGPTAQINLNYNLTWILPVDAGFTYLLRFHFCEIQYPITKVNQRSFFIYINNQTAQNQMDVIVWSGGIGRTTYTNYVVTTVGSGQTDLWVALHPDRSSKPEYFDAILNGLEVFKLQDLGRNNLAGLNPPLRPKPGVNPNGGSSRGKSKSVAPAAIGGAVGGLAVLLIACVGLCIICRRKKKVAKDTGKSDEGRWTPLTDFTKSQSATSGKTTNTGSHSMLPANLCRHFSFAEIQAATNNFDKSFLLGKGGFGNVYLGEIDSGTRVAIKRGNPLSEQGVHEFQNEIEMLSKLRHRHLVSLIGYCEDRNEMILVYDYMAHGTLREHLYNTKNPPLSWKQRLEICIGAARGLYYLHTGAKQTIIHRDVKTTNILLDDKWVAKVSDFGLSKAGPNVDNTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARNALSPSLPKEQVSLADWALRCQKKGVLGEIIDPLLKGKIAPQCFLKFAETAEKCVADRSVDRPSMGDVLWNLEFALQLQESTEDSSSLTEGTSASTSPLVVARLHSDEPSTDVTTTTTTTTSLSITDRSIASVESDGLTPSNIFSQLMTPDGR >ORGLA05G0097900.1 pep chromosome:AGI1.1:5:10924090:10926546:-1 gene:ORGLA05G0097900 transcript:ORGLA05G0097900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTELATLLCIPLFSLLLLDVVAGNFTGPHQIRLRCGGTSTAIDSDGRTWEGDANSKLALDGLAANASYLDPLLPSPVPYMTARIFSSNFTYWFGLNPGRVFLRLYFYPTEYADRAAADALFSVTAGILVLLNDFNPSQVAHAMGRTYLILEYSVNVPSGDLDVTFSLSPHHTGSYAFVNGIEVVSTPDIFTKPAPTFLNVGISDPFPISVNIGLQTMYRLNVGGETISPKDDSEFCRTWGKDSPYISGDSGLNFFKDDTVTISYPRTMPSYIAPVGLYETARSMGLKGYINLRYNLTWILPIDAGFHYLLRLYFCEIQHPITKANQRTFFVYINNQTAQKMDVIVLSGGIGVPIYTNYIVGPIGYGQTDLRVALHPDVETNPEFVDAILNGLEVFKLQDVNKSNLAGMNPIPWRHRDGDPRLATIGGAIFVLVVLLIASLSMYIINIRKKRVDHGNTNKELLLATLLSKKSNLCHQFTFLQIQEATSNFDEAFLLGKGGFGNVYKGELDHGMKVAIKRGDPLSQQGINEFQTEIEMLSKLRHRHLVSLIGYCEDENEMILVYDYMENGTLQEHLYGSQKPPLPWKQRLEICIGAALGLHYLHTGAKQTIIHRDVKSTNILLDGKWVAKVSDFGLSKVSTDKDKTYVSTVVKGSFGYLDPEYFRRQKLTKKSDVFSFGVLLFEVLCARPVINPELPEEQVSLRDWALSCRKKGILSEIIDPHLQGEITPQCFRKFTETAEQCVADYSMNRPSMGDVLWNLEVALQLQESAEENCEETALNVLSSPLTTRLQPSSRSTMSISGQKAVFSEMMHPDGR >ORGLA05G0097800.1 pep chromosome:AGI1.1:5:10915448:10915948:-1 gene:ORGLA05G0097800 transcript:ORGLA05G0097800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSRAPDLVAASSWLRAARCSTPAATAPNSHISRRKRPTHPARLLRLTLSSVTAVVVVVGNDGAGAKTGGAAAARGEGPAVGHLLLPYQPSPMRRPSCPDSSTTSSCSAPPSSSPPRSKKLSRCHD >ORGLA05G0097700.1 pep chromosome:AGI1.1:5:10907842:10909872:1 gene:ORGLA05G0097700 transcript:ORGLA05G0097700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAATTMVAAAHHPRPCFRVRAAWDMNPSASPKPKAKPPPPPPPPLPTAPAPTHADLFARHSNSEGHVPKKTTSMGFERWWLPPPPEVKKPRSLYNAASLAYLGDCIYELYARRHFFFPPLSINDYNKRVMDVVKCESQDLLLNKLLGEDFLTQEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLIGYLYLTNFKRLEQLMFQLGFTSGASSHHIADELVSSFKKKNSTSVQSQQQAAQ >ORGLA05G0097600.1 pep chromosome:AGI1.1:5:10886485:10891176:1 gene:ORGLA05G0097600 transcript:ORGLA05G0097600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLSRRALLLFFLEVAVAMALLLPGGHARVCPPCGSTEVPYPLSTADGCGDPEYKVRCAAAAAGGTAPTLLFDALNGTSYPITSISPASQRLVVSPAPFVSPGACVSVGAAASRGVQLDPSRPFNVSSSNTVMLLNCTELLLRSPLNCSSNSLCHAYAGAAGSTASACAPLPLCCTFVAGGSSTSYRIRLGPQSCSAYRSFVGLDPSQPPATWGSRLGLELQWATPREPLCRTQADCEDGAAATCADDPSATGGAGTVRRCLCVSGLVWNPIAGACQQQNLTDSGSNHAPLIAGIVCGLGGALLVATAGLFAYRRQHRIRLAKEKLAKEREEILNANNSSGRTAKNFSGRELRRATANFSRDNLLGAGGYGEVYRGVLADGTVVAVKCAKLGNTKSTEQVLNEVRVLSQVNHRSLVRLLGCCVDLEQPLMVYEFIPNGTLADHLYGPLSHPPLPWRRRLAIAHHTAQGIAYLHFSAVPPIYHRDIKSSNILLDERMDGKVSDFGLSRLAEQGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTCKRAIDFGRGADDVNLAVHVQRAAEEERLMDVVDPVLKDNATQLQCDTIKALGFLALGCLEERRQNRPSMKEVAEEIEYIMNIEAGNAHLKELHSL >ORGLA05G0097500.1 pep chromosome:AGI1.1:5:10871539:10873437:1 gene:ORGLA05G0097500 transcript:ORGLA05G0097500.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVSKQCTMYRLNVGGMTISPQGDSMFYRSWENDSPYIYGSAFGVTFSKNSNVTITYPSTMPNYIAPADVYGTARSMGPIAQINLHYNLTWILPVDAGFYYLLRFHFCEIQYPITKVNQRSFFIYINNQTVQEQMDVIVWSGGIGITTYTDYVIVTVGSGQMDLWVALHPDLSSGPEYYDAILNGLEVFKLQDIGKKSLAGLNPPLPPQPKSDVNPKGVSGGGKSKGAVPASIRGAMGSTATMLIACFSVCIICRLKKVAKHSFMTDKKCMTYRTEFYHSPSNLCRNFTFDEIQVATRNFDESLLLGRGGFGDVYRGEIDNNGENVAIKRSNPLSVQGVHEFQTEIELLSKLRYCHLVSLIGYCKEKNEMILVYEYMAQGTLREHLYNSNKPSLPWKQRLKICIGAARGLHYLHMGANQTIIHRDVKTANILLDDKWVAKVSDFGLSKANPDIDSTHVSTVVKGTFGYLDPEYYRRKQLTQKSDVYSFGVVLFEILCARPAVNIELPEEQASLRDWALSCQKKGMLGKIIDPHLHGEISPPCLRMFADCAKQCVADRSIDRPLMSDVLWSLEAALKLQENAENNKKFSEATTSSKRTPDLITIMGTDKPSTYSTMSITGQKIIFSDMMHPQGR >ORGLA05G0097400.1 pep chromosome:AGI1.1:5:10856394:10858919:1 gene:ORGLA05G0097400 transcript:ORGLA05G0097400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPSLLTTIQWVALSTLISITIAADNYSSSSSPIFLNCGASTMQLDINNRSWEGDTRSKFALAMNGIAASATYQDPSLPSLVPYMTSRIFISNYTYSFPISPGRIFVRLYFYPVAYGYYASEDAYFGVKTNNLILLDNFNASQTAQAANYAYILREFSLNVTLGSLDLTFFPSTQNGSYAFVNGIEIVPTPDIFTTRTPTHNTEGNLDPSDIDSMTSFQTMYRLNVGGQAIIPQGDSRFYRSWEDDSPYIYGAAFGVTFGKDSNVTITYPSTMPNYTAPADVYATARSMGPNWQINLNYNLTWILSVDAGFYYLLRFHFCEIQYPITKMNQRSFFIYINNQTVQDQMDVIRWSGGIGMATYADYLIVTVGSGQMDLWVALHPDLSSRPQYYDAILNGLEVFKLWDIGKKNLAGLNPPLPPQPKTDVNPKGVSGGGKLKAAVPAAICAVVLLITACFCVCIICRRKKVAKHSGKTDKKCLTYQTELYKSPSNLCRNFTFHEMQIATSSFDETLLLGRGGFGDVYRGEIDNGTTVAIKRSNPLSLQGVHEFQTEIETLSKVRHGHLVSLIGYCQEIYEMILVYEYMARGTLREHLYSTKRPPLPWKERLKICIGAARGLYYLHTGPKETIIHRDVKTANILLDDKWVAKVSDFGLSKVNPDIDATHVSTVVKGTFGYFDPEYFRLKQLTQRSDVFSFGVVLFEILCARPPVNTELPEEQVSLREWALSCKKIGTLGEIIDPYLQGEIAPDCLKKFADCAEQCVADRSIDRPEMGDVLRNLEVALKMQECAENNSKFSEETTSSKTTPDMMTIMDTDKQSTYSTMSITGQRTIFSDMMDPQAR >ORGLA05G0097300.1 pep chromosome:AGI1.1:5:10851996:10852753:1 gene:ORGLA05G0097300 transcript:ORGLA05G0097300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALLHRFLSLSHLLSVSGCIESEQLRRWMHREGVVEGEENQCSAGARGGEAIYAAAEAYCPTRRSCRPMPTGSLSWKRTALIPSPRHPFAIAAGRLATRRRCGTSVGHYGASTTISNAIEGGARWQHRRRVSFQGQLRRWRTARSSSSAFWNREAHVDGEVGGAEEDNMGEQTPFSPAAVRPCGSPLTRRGREEEGRDKWKERG >ORGLA05G0097200.1 pep chromosome:AGI1.1:5:10839841:10842171:-1 gene:ORGLA05G0097200 transcript:ORGLA05G0097200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDDRASAEITTDQENHLKDALIQVFAATSEHQEGEGEGAEVVQDEEIGEGVEGVRDEEIGDINSKPDKPYVGMEFHDKDEAKNYYDDYARKWGFITKISSCRRSQITKQYNRYEFACHSERNSRESGASAGSRSRRSSRVLKTGCKARMVVVKRDEKWVVTIVDLDHNHPPLNPSALMSLKPHRLIKDEDHDLLEFLRTNKIPTQRIMSVLCDLYGSMQNIPLARKDVSNLRATMRPEAEGTCTDMAATIKYFQESQADDPSFFYSMELDSESKITSVFWVDGVSREAYREFGDCVFFNTKYITTKYCLPFAPIIGMNNHGQTVLFGCVLLKAEIEETFEWVFQTFLKAMDGKVPKSIMTDQDEAMEKAIANVLPNTSHRRCSWYIWRNAKFKLGVLPSRLEGFEDDLRHCIDESFNVEEFERRWAAVLDRYNLASNKYMQDLYKIREKWVPCYFMDCFFPFMSITQQSEVMEALFKDFVHPGDIIQNFIVQYEKLVQSCLDRDDKQLFLTVKTDANLWSKFPMEEQASKFYTRAIFERFQEHLKNTTMYNVVCEATPYSYLVQNVFGDQSQNRRYVVHCKLEDETFTCVCKQYEREGLLCEHILKVMTHRNVNLIPDKYLFRRWTLKGSDSAATRSHVPLNMAEASTRKMRYSTICKKSVCMASEACRTQEGYNLALRSIEELTDKLAAINLTRQDQHLPRPNICDKNGKGITMGESNTIAEKVLSESCLKDPTKIRPAAFDNNSESARKRNKVMKAVQDLRSPFQVTKLTD >ORGLA05G0097100.1 pep chromosome:AGI1.1:5:10827176:10838493:1 gene:ORGLA05G0097100 transcript:ORGLA05G0097100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT2G04350) TAIR;Acc:AT2G04350] MGENTGDNPNMSILQRIATSDVPLVKEYGLPGIIGAILLAVVIPIMLSSIFNKKGKKRAVQADVGGEAGLAMRNSRFSMLVEVPWEGATTMAALFEMASKKYPRHRCLGTRKLINREFVESPDGRKFEKLHLGEYEWDTYAEAFSRACNFASGLIKLGHQRDSRAAIFADTRTEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNETQVSTLICDSKQLKKLPAISSKLQSVKHVIYIEDEPVEAEVLNQMKHWTTFSFGEVEELGKTSHTDARLPSSTDTAVIMYTSGSTGLPKGVMITHGNMVATTAAVMTIIPKLGTGDVYLAYLPLAHVFELAAETVMLASGAAIGYGSALTMTDTSNKIKKGTKGDVSALKPTLMISVPAILDRIRDAVFKKVGEKGGLTKKLFDIAYKRNLGAIEGSWFGSWAPERMIWDNLIFKPIRSMLGGRIRFVLCGGAPLSSDTQRFMNICLGVPVGQGYGLTETCAGAAFSEWDDTSVGRVGPPLPCCYVKLVSWEEGGYKISDSPMPRGEVVVGGYSITKGYFNNEAKTNEVYKVDERGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVESALTTSNYVDSIMVYADPFHNYCVALVVPAHQALEQWAQNSGINYKNFDELCHNDQAIKEVQQSLSKAAKAARLEKFEIPAKIVLLPEPWTPESGLVTAALKLKREQIKTKFKDDLGKLYH >ORGLA05G0097000.1 pep chromosome:AGI1.1:5:10804960:10805520:-1 gene:ORGLA05G0097000 transcript:ORGLA05G0097000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWRFERVFICSRITYRCHSGLRKKAVVVGEKEVVQAPDRKPSEKVQERVHLVSLFRGLPPSPSATAKLTDCTGVGIGEVGASPSTRCAANTWDPITVTKEGTLIRANNSDRRRRGGREGARSSWRRRGSSIVTEAGRELVVLNKQRPDPTTLRLDPVVKGSLPSCSHHVPSPPVADDAAVDGER >ORGLA05G0096900.1 pep chromosome:AGI1.1:5:10800340:10801375:1 gene:ORGLA05G0096900 transcript:ORGLA05G0096900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAMSPADSLAAGRSRRVGASMPRPKRVRVYFVDADATDTDSSGDERSRPRVREVIDIDVGAASPAPAPAPKHPRRLVPTATAALXXXXXXXXXXXXXXXXXXRPWGRFSAEIRDPSLQKRLWLGTFDTAEEAAAVYDDAALRIKGSHAVTNFPSDSDTPSAKANQMKLHPRRHTTPDKTPSSSTAAAAKASVPDPTPAPPEEDRANDSSFNPFASPTSVLRYAAADEVTAPSFEHLLVELGDLSAPPPSTKAAEFDWLPWWEGEDFVTAGLTASNAVSVK >ORGLA05G0096800.1 pep chromosome:AGI1.1:5:10783690:10784305:1 gene:ORGLA05G0096800 transcript:ORGLA05G0096800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHDELDGGDSAHRLLFNLYLTYWPLLLHCHLLLFTRPITKTRAASSTDVVAKLDLDTEGWESGEEVDLELTGTLRRRRLVKARGRQCMEETLGEAEFELANTDFMAKRCSLLEVGPGDR >ORGLA05G0096700.1 pep chromosome:AGI1.1:5:10755891:10757913:1 gene:ORGLA05G0096700 transcript:ORGLA05G0096700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKKPLSSSPSTSVAKLLLRWRGRSKAAKDESIEFFSELRSSQPDRRGAASDHAGGGGAPDGRGKAKSAAPAAAGGDAGGGGKLLSTGTEKHDYDWLLTPPASPLWSPATSAAAGHHVSAAPPPSRLERASSAPYAKGNSRLPLTRRENGPPASRLSRSSSATSQLSTVAHAPGTVFSGRRTLSSASVSSINTASSTSVGSTPRGSSASTSPRTPATARGAPAGAAWPRHRDRTQALHVFGAAAAAGQPSASSLVSRSRPSLTAPSSGALQRATPGSAGTSSPRSTAPACQQPAATRRGANSVARSGSTPRAASPSPRARDVSIAAGASRVAPPPMSSSKPRQAPASGKQSNGNGMAAASTAAQRWRSAGRNARREEAVTHESSRNSDSRRKIDVANTSAAARRTADLSSPRGASGGSPTSGGGGRNKSTDTDAKRSLWQGAAARHLMAAARRDATPTTRRRGGLSSVASRSRLGITPAASSGDISATPTGRRSTPAKGRPAADAAAAASSPRVAAGDAFPSSRYDAMLLREDPRNLTWLHGCDDGEEIDGGDLVEASLESFDVPAGLSSTGLHGGKTLNFGANL >ORGLA05G0096600.1 pep chromosome:AGI1.1:5:10749977:10755147:1 gene:ORGLA05G0096600 transcript:ORGLA05G0096600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chaperone protein dnaJ-related [Source:Projected from Arabidopsis thaliana (AT2G38000) TAIR;Acc:AT2G38000] MTMEAERDGEEQRRPLLSSSSPPPPPPGRRTATAFAVRPRSLPDPPFQAPSRDRLTLPASFRRIPFPAAAEHQHQHQQQYQYLGRSSSSALRGGGGGGGGGAGWGVGPEVSAAEVRSAASISSASYYPPPPALHHDVYPPDIHSPSPSPAAPTAPHPHGGLAIVPQGPYPYGGEYQPSHDGRRDVLDEVEIRQLLIDHVGHRCCWGSRPARTWKITSIEDCNVYVGTLETFIEERDTVTNKEPYDGGKIDGRDKGPVLAVWELDLRSEFPLLFVPEKEVMVKIPHSEVIEKCLDCESRGEIPCPICNAGQEHGFYKANQMTRCSACHGRGLLAHQDGSDTVCGMCSGKGMLPCIACGSRGLVTCKTCSGYGSLLAKSTAHVRWKTLSARKVSATRGAASVPDEVFHRAQGIQLCNIQAYQCTPAFFADSYQLNQFSSEVVASRLPVPPSARVISERHIISVVPVIRVTMAHRKQCFSFYVVGYNRDVFIRDYPSKFCWGLCCCFEWLRN >ORGLA05G0096500.1 pep chromosome:AGI1.1:5:10736807:10743490:-1 gene:ORGLA05G0096500 transcript:ORGLA05G0096500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family [Source:Projected from Arabidopsis thaliana (AT3G08650) TAIR;Acc:AT3G08650] MDSRVGVVLFFLLFVLVRDVSAVAETEVGVVRVVQEAPDRKLEGAGGQDGFKSGKVPVSTVAWSTLAMAAATGLGALPFFFLELEAQWAGLCNGLAAGVMLAASFDLVQEGQMYGSGSWVVFGILSGGFFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMLLSSRGVSPQKAMIWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEAAPSQVASAGTLAVAFMETLGTVLQGFTDGHNSEDTAGFLVSLVFGLGPLFGGIVLVAFSLTFSMPHPLLTGVASGIAFRLAAWRPLQLLMSSKMGLFTTLFLLIGGSLIYHVATSNILQLVNRKKSSVNVITSSSGLSLSVLTLQSLLACGSVFLHAYAEGLQLGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCIYGATDSWRAALAAAALTGFAGPSAAISAILAKIDYSGLDYWMVIACGALIPSFGRVFKRSLRLDMRKSICGLLIGFAFASVCLMSTRFICLHTPYCNSAPEAVT >ORGLA05G0096400.1 pep chromosome:AGI1.1:5:10727088:10732223:-1 gene:ORGLA05G0096400 transcript:ORGLA05G0096400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01960) TAIR;Acc:AT5G01960] MEPPAGGSSDHRRGAGTGAGAGGAAAPSGLRRYGFRFSASSLLQAPLAALLEYSGVVPSGPGAPQAGPPSALSSSPSSSSSSSEADGLLSAAAAGDGEVSIRIQGGPGEADAAGVAGAASSGEDSIEATAAGDVDPASAAAGRGGGADAEASGGGADGASGNGGGDRAYQRYDVHHVARWIEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKIAVLVGITVIFMIHVFGVYWWYRNDDLLRPLFMLPPKEIPPFWHAIFIIMVNDTMVRQAAMAIKCMLLMYYKNSRGRNYRKQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLAAVKALSRKDVHYGSYATAEQVIAAGDMCAICQEKMHVPVLLRCKHIFCEDCVSEWFERERTCPLCRALVKPADIRSFGDGSTSLFFQLF >ORGLA05G0096300.1 pep chromosome:AGI1.1:5:10711253:10712686:1 gene:ORGLA05G0096300 transcript:ORGLA05G0096300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPTSLGFSVRRRERELVAPARPTPYEFKMLSDIDDQDILRFNRSGISFYRHNPNQDGVDPVTVIRAALSEALVHFYPLAGRLRELRPTRKLVVECTGEGVVFVEADASFRMDDLGDGTSTSSPLLAPPVPCYDMLLCEAESPTADVVDRPLLFVQVTRLACGGFVFGMHICHCMADGSGMVQFLTAVTEFARGVPGAPTVPPVWEREALTTRSWPPTVTRDHVEYAPLPVDDDDDDVLLSLSPSTNAYAHHVFFFGDREIAALRSQVVAACSRFDLVGAFMWRCRTAALRHGRGDVVRLNMFVNARVRNRPVPRGYYGNAIVFASASAPAGELCGRPLGHALRLLVEAKARAWEDGYVQSVASFNAARRRPAFPKGARTYLISDMTRAGMTDIDFGWGKPVYGGPATTMLATFHLQGRNEAGEAGIVVPIRLPSPVMERLIQEVDKGLNAGAAAVLDDAKARVVPDEGYVLAKL >ORGLA05G0096200.1 pep chromosome:AGI1.1:5:10674208:10674621:-1 gene:ORGLA05G0096200 transcript:ORGLA05G0096200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLEYLSVRFHFGGEFINNGRETFYVGGSEGMSYIERDKISLPELIGYLKDHCEVLPGMLLHWLFPGKELADGLRVLVDDKVCDCMSKCVVDGGVAEIYVEAIVGGESNESGSSDDSDFEDELEDMSLADDEWDDLN >ORGLA05G0096100.1 pep chromosome:AGI1.1:5:10671633:10674156:-1 gene:ORGLA05G0096100 transcript:ORGLA05G0096100.1 gene_biotype:protein_coding transcript_biotype:protein_coding STPEKTKSDLDRLRAWSTPSPKDKGKAVVLCEERVKSADLSGSDNDYVGGDSCSSGEDQEVEEIRKAYKEFKKKLKDGEVGNLDDVIYMGSSRQINDGALVQIQDEEDNSPYENSSADGDSYEEDSDGQLVRKKSKYPIFNANQPHVRLALGMKFDGKKEFKEAVVQLALQNKRFIRFPKDEGYRTRAKCDWATCPWSCLLSRNSRTNSWQIASLVDEHNCPPRKDNNLVTYKRIAQKYEKTIIDNPTWSIQSMQSTVSEQMFANDLGVGEGDGWVFISDQQKGIVNAVQHWAPSAEHRNCARHIYANWKKKFSKKECQKKFWRCAKAPNVMLFNLAKARLAQETVEGARAIMNTDPSHWSRAWFRFGSNYDSVDNNICETFNKWIVQARFLPIISMLEAIRRKVMVRIHEKITLMDKWLGSICPNIHKKLNAYIIDLGNCHAICNGMDKFEVKHQNHRFTVDLERKTCSCRIYSFKKTYSCCLEPVEGMESWPTSDRPRPKDPGYVRMPGRPSKKEMRRELHEKPKATRVSRVGSVMTCRLCKLPGHNKASCHKRRQQQQGNVQAQGSQPSSTQDQAHVAAKDMAKASSSRKRQLKASTSARAAGMVGVKQPRKKLEVRRSPRLNRG >ORGLA05G0096000.1 pep chromosome:AGI1.1:5:10670378:10671366:1 gene:ORGLA05G0096000 transcript:ORGLA05G0096000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPASSTATTACRAQVHRVARHRLLGLEVVSEEGVSRRCHTGRGLLIMSLPCSVISGGCGFWEWCDDEAEPYMKQLLLDLRNAVWSAREQVNGLEAALRDRTVAQHNLQSTAKKESNEVQGLRAAVEKMEAANCVLVDRINKQQTSILGDWESMHVMAVGDNGNNKSDDK >ORGLA05G0095900.1 pep chromosome:AGI1.1:5:10660154:10663800:1 gene:ORGLA05G0095900 transcript:ORGLA05G0095900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKPQPPQTPPSLDHHHHHHTPSVGPSSPPQDSLAAQAMRASAAHRDASSLSSAYSSSSASAAAAAAAARRGHHEPSVSTPSPGSSGYEYTSMKNLNEAKYGFWGALARKAKSFLDEDGSPGQYDSPARQQPSRDAPPVGVQYTRSQQPTSETWKSETPPSHKRSEAIASSLNYIGGTIKSALEEGRTIVENKTADIIHETRKLNIRRKGAGSTTQGEAPQRFTQRNLPQNPLDYETQLKASRDVANAMAAKAKLLLRELKTVKADLAFAKERCAQLEDENKILRESHDKGDNPEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVTEVYPTQVLPPAPSRAGSGLGRSVTPATPKTASSSPSSTSIVVPETCPVVPASPKSLSRTSSKQ >ORGLA05G0095800.1 pep chromosome:AGI1.1:5:10650099:10656503:-1 gene:ORGLA05G0095800 transcript:ORGLA05G0095800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67570) TAIR;Acc:AT5G67570] MVIPSCPLPRLHLSLQLHNPSAIPSPRRRPRPAPTSETLRRRLLRKGVSPTPKILHALRKKEALKSLRRARKDTAAAAAAAEAASNALPREEDGAAVVGEDDEARFRAAVAEYRALMGRPWHGGASAPPRGVLRGEGEGLDGLREMLAARRVGKFEWLLEDDDVEEGEEEAAVGRRGRAGVGWNSDFRDEERRIESLVRRLNEDDLSMRDWRLTRLMKKADLIYNEDNLLQILEGLEARGNWRQALSVTEWVYNENIYKHRKSRFVYTKLLSILGKAWRPTEALRVFTIMRSDAQIYPDMAAYHSIAVTLGRAGLLNELIKIIEYMRQKPSKRVMKMRRKDWDPSLEPDVLIYNSVLNACVLSQQWKGVFWVFQQMRRNSLIPTGATFGLAMEVMLKAKKYDFVQKFFQKMQKSGVPPRAITYKVLVRAYWEQGKVNEAVEAVKDMEQRGIVGAASVYYELACCLCNKGRWRDALLQVEKLKQLPLTKPLEFTFTGMILASFDGGYISECISIFESMEGHCAPNIGTINVMIKVYGRCDMFVKARDLFETIKVNLPSSNHSSHKADAYTYSSMLEAAASAQQWEYFENVYREMTLSQYHLDQSKYSWMLIKASKAGKSYLLEHALDSILERGETPNVQLFSEMICQTIALRNYAKTLHLINIMAEASTDVSELQWSKLLEQNMHRFSVNALKDLLKYLSTSDIIKSDPELCFVSALQSQCGTTFVNDTSFVADGTYTGQSQLSLPENITKSSNSNLDQLSCMNSLNTNVFPDEKVSSEFSDYIMNTPQSDANAGLSEDIVIGSHFESEQKEQHDLGWLGTGVSAVDEVLDSMNLYGDGSCGEMPSASEILELWEQDRINGTFSAKTAEPPCEDR >ORGLA05G0095700.1 pep chromosome:AGI1.1:5:10643552:10643926:1 gene:ORGLA05G0095700 transcript:ORGLA05G0095700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQLDSFDGLATGILATLMARRFGLRSTGFDGNSGAIHGGAPGLLRTTMARSAFPNIHCMKSTELKGDGTSTERRQGNEQDIETTAAQTAMATVVILAAGGKNLSGGEAEALPLELISFGRE >ORGLA05G0095600.1 pep chromosome:AGI1.1:5:10639527:10639793:-1 gene:ORGLA05G0095600 transcript:ORGLA05G0095600.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVRRAYDLPAAYSFRQPAFVAKFVSLLIADAQDVPTLAYQLLVSSAACLLRFIGSHITRQVEGTVLMHVTFADKQEHAGKGGCGRHQG >ORGLA05G0095500.1 pep chromosome:AGI1.1:5:10635015:10638142:1 gene:ORGLA05G0095500 transcript:ORGLA05G0095500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNNIRANKPGTQVCSQVAYWKFIQTLDKEQLQARDDLGFGGLTKMNGVQIRQLFCKQIARQYDEQTRAFNINGTMLEITIEDVDHILGVPSEGAELVEVPQVIQADVDAPKDKDENKALQTTKAALFALYKDKRGIRXLCQPCGIHXIXTNLVTITLKGSLCYIQLVXSYVLQLRDSSIQITXICSXIYQIXREPTRLAXPXTISRGLSSVSSMIRSILRATKFFYWXVXFQFKLCFGTGRSFEXVTXILPKITSDVSDHXYNTRMRRRPENVKKSCMEXDRLXMISRSLSRPPKSIPLKITLEQMPTNQVTWIILRHIQQKWRMSSCSLNQXMNIXSYXQKKFRPRRKKIQVTRLLHHGCEQQEGSSHQRYNXTLTLCTQRTPRTSRRQLQPPPPPPPTTFRSYASHKCLKIFLTQHFIFVTMXLIGXXLQSLHAPKRMSSSSTTLTLHRITMLXSSIHTSCTWNINTLKSHKLSEEYIXXKRSSQVKYRLTAXNXKSPSGSWKRDTSQELLTKLPKTNRSSSLLIEIRTIGTWWWSMLSNVRFNYWTHHLAPEHLSIGLHVVSSCXSAXNTGMDESQPQNXSRVIXTSSDASXRQFWLAPHXMITSKSQHTRN >ORGLA05G0095400.1 pep chromosome:AGI1.1:5:10628444:10629720:1 gene:ORGLA05G0095400 transcript:ORGLA05G0095400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNHISLEEIAEHESVITKEFRSEAEGYKFYNDYAWSKGFSIRKDNVTYSGDDFNDGHAHPLAKHDQVAFLRSHRNLSDAQKAEVVELGVCGLRTCNIMDVMEKNHGGYDQIIGYVHGTLIEENAKRHLRGDALTEFRRLIYEVMKADEFERRWCAFKNSDNVPEKELWLAMMYALREKWAAAYTDGRYFLGMRTTQICSNRNKEQLMRHLHTI >ORGLA05G0095300.1 pep chromosome:AGI1.1:5:10610786:10612911:-1 gene:ORGLA05G0095300 transcript:ORGLA05G0095300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATQQGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >ORGLA05G0095200.1 pep chromosome:AGI1.1:5:10576775:10577927:-1 gene:ORGLA05G0095200 transcript:ORGLA05G0095200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDTEIESLGTQAHWLHEWHCSYQVRSMNHKIAFLDPAVVNFDKQCTSEAEIDRYLFDALAKLNGCDHILLPYLSHHHWILLVINIDDSSICIYDSLRRGIDKYQTIFSALNRAYKKYCRSGRSYGRCKIDATEFRIFEHKYILRQPEAIDLCGFYVMRYMLYFVEDGYNHHNAEKLGLGTSEILPHVFKALTDEFCGFIRHHVVDPNDEYNINKAPQRVQSSAPPPRDQAAKKLAPRKKKTY >ORGLA05G0095100.1 pep chromosome:AGI1.1:5:10571515:10574428:-1 gene:ORGLA05G0095100 transcript:ORGLA05G0095100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIGRTPSSLGHLWKKTSPLKSWTTWYKRVSANKQTHWDEIGIGQALALTIANSAKDEPLMAAATYFWSNTINAFLFNQGPMTPTLIDITMIIGLDVTSSANPMSLNTKNQYDFRTKSIGGWSGYVAAYMGQGSVTPREHVAFLLMWLEKFLFCGSSCGRTTNCQFTAEALESKREFPLGKILLGYLYQMLNNASAKIVVGSVVGAGGPWWLLQSWLNLMVMKVVNRPSVTEAEFPRLEPIVEDDKEDRTHCRCMSYGEYASTPADAGAKLTAELLKDWFCSFYEGLLPNGGGLTPPVIGYHAPKTVSLLQGQMREPTDASRKRKTKVPAIDPSVLALKKKAKKQKPKPTDDLPALDPSIEQALDEEEIGEDVDQAAAEVSDIDKTPSASPKQTPSTPSAPAHFSRQSSSDQTPSATGSHRVEEEEQPAAPAIPVLADLFSFDIKNSFDETEEDTTSKALAPLSDDVKKTLEDISHRLEASSLGSLVVDCGSIRTRLHEVQALIPKELANVLTPATYLEQHQFKLEKAKQRLAEHRERKEIEATIQANRQLVHEEKSKLDQLSEGPIKSNIDWLEARKIELLAQLQECNAELDLEHKKLVDLPQSVEEQKARLKSAIKNVADMTKSLKVISETDAQDAQAIEEVEQIRQIAISAIQRYLSQ >ORGLA05G0095000.1 pep chromosome:AGI1.1:5:10495819:10496040:1 gene:ORGLA05G0095000 transcript:ORGLA05G0095000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWNESKFGTIGYVKFLSCTRGFPKVFRNLSMSLVRGFRLPTSGINRGAA >ORGLA05G0094900.1 pep chromosome:AGI1.1:5:10455224:10455445:-1 gene:ORGLA05G0094900 transcript:ORGLA05G0094900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIDKDSIWHTMEVLCAYGMRSRIWKESKYGTIGYVKFFSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA05G0094800.1 pep chromosome:AGI1.1:5:10445685:10451930:-1 gene:ORGLA05G0094800 transcript:ORGLA05G0094800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSAPTSLPPETANTSPAPIGATAGIRVENCYVFKSRLQEYAQKAGLQTPEYHTSKEGPSHEPVFKSTVVINNTSYGSLPGFSNRKAAEQSAAEVALMEIVKSIPANANIPAVQETGLCKNLLQEYAQKMNYAIPSYICTKPASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKRPIETPKPLKAKKGGFKKKWNKRKFMKKDGQAVDVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEDEPPRDIEMVQPDKGNQHSDAALVQPDDEARVEQEPSRDISVVQPNEEAISAKQEPSIDAATLQPKEEAMKTGCVALVLCLNISVDPPDVIKISPCVRNECWIDPFSMAAPKALETIGKTLHSQYERWQPKARYKLQLDPTLEEV >ORGLA05G0094700.1 pep chromosome:AGI1.1:5:10435519:10439046:-1 gene:ORGLA05G0094700 transcript:ORGLA05G0094700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34400) TAIR;Acc:AT2G34400] MPPSAFAQIDGDAAAAAAEAEYRCTGARRGISSPAMVRISSSPAATASYLASNLLPKCRSLAAVKQLHAHLLHRASFPYNHFLSKLLSLFTSSSSSSAAAAASDYALLLLASHSAPTAFSYNVAIRFFASSRPHTSLRLFLHMLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPTRDVVSWNAMMKAYGRVGMNGEVGRMFRDMVKEGTVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMEMDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGMRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNVASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARSGHLEEAWDFIEKIPDKVDAVMLGALLAACRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVNKTPGCSWVEVSGKVLEFYAGDEPQHGGDDMYQVLDLLVDEMRLEGYVPNLDVEVLPWASVYAAIHCLVSCSLQVGCVLDAKFVSLWPGWHEPEMVKPGSAVQVTKRQNVALKTDTGKVYSGNMDAKWLLMQKWVKLYYFSEASALIKFARIRCSKKGHRKFLGLDMQMKKISHEPQ >ORGLA05G0094600.1 pep chromosome:AGI1.1:5:10434619:10435095:1 gene:ORGLA05G0094600 transcript:ORGLA05G0094600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGCLTGISSTANVISPIVFSPLTAWFLSETAPFNFRGFSLACAGFAMLIALTVSINMRPAELQPDSK >ORGLA05G0094500.1 pep chromosome:AGI1.1:5:10393300:10393837:1 gene:ORGLA05G0094500 transcript:ORGLA05G0094500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLFIYFHMXNDRMADLDKVGGGVARQAARGALCWIEHMSAFMLRKMVELIAQGTQVYNHLCKWHSRWVRIARLKDLSGALWDDXSNMIVLEEEHYMGHTKDKFKGVEFLNVPLENYTPMSIIFGETQAT >ORGLA05G0094400.1 pep chromosome:AGI1.1:5:10377417:10385636:-1 gene:ORGLA05G0094400 transcript:ORGLA05G0094400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: GOLD (InterPro:IPR009038); Has 172 Blast hits to 172 proteins in 43 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi - 0; Plants - 63; Viruses - 0; Other Eukaryotes - 14 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G01010) TAIR;Acc:AT5G01010] MATEGLVPITRAYLARYYDKYPLPPLPDAATALADRLRAISAALALAAAAPITPDEELLEKEANGIPAHKIDENLWKNREQMEEILLLLNKCRRPVRLQQRSTAEDTETFIILDDVETKLKDMLKKLEQFQIKNADNVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDALVNAGGSIRDRYALLWKQQMDRRVQLAQLGSATGVYKTLVRYLVGVPQVLLDFIRQINDDNGPMEEQRERYGPALYTLTKLVLAIRLYLHVSLARYGQRKIEKDDIAVLQQAVVIYTEEFEKFTEFIGEVFVNAPFFISAEDAGAESRNNDDYKETIIPAGKTHEVILSVEAVNSYIAWDFSLQQGALNMVLDIGFHVEYISPSGQKTLILPYRRYEADQGNFCTVSAGSYKLVWDNSYSSFFKKSLRYKVDAVPPVVAPSEPAAEP >ORGLA05G0094300.1 pep chromosome:AGI1.1:5:10370639:10376118:1 gene:ORGLA05G0094300 transcript:ORGLA05G0094300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRCLFLLLFLCCLAGSRIASADTNPQDAAALRSLMKKWKKTVPASWRKSNDPCVRWDGVICDRNSRVTSLNLFGMNLKGTLSDDIGSLTELRILDLSSNKDLGGTLPATIGKLVQLEILALIGCSFSGNVPKELGNLSQLNFLALNSNQFTGIIPPSLGKLSKVTWLDLADNQLIGPIPNSRDHGAGFDQLLKAQHFHLNQNKLQGSVPDYLFNSSMELKHILFDRNNFSGSIPASIGVLSKLEVLRLNDNAFTGQVPAMNNLTMLHVLMLSNNKLTGLMPNLTGIGALENVDLSNNSFVPSEVPSWFSELPKLTTLTMQSVSLSGQLPQKLFSFPDLQHVILSDNQLNETLDMGNNISKQLNLVDIQNNKIASVTLYNNLKGNILKLTGNPLCNDSVLSSTTPCTGQLSEYPTQPPLLPDVQCANPFVETIVFRAPFFGDAANYLHILHYNLSSKLNSCTPNNLGLVYSNPDAYLNVDIKACPVNQKRFNYSQVLNCFNLTLQTYKPPEIFGPYYVKAHPYPFHDKASRTVLIGVVTGCFLLVIGLTLVGVYAVRQKKRAQKLVSINDPFASWGSMGQDIGEAPKINSARCFTLEDLKLSTSDFREINAIGAGGYGTVYRGKLPDGQLIAIKRSKQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGERMLVYEFIPNGTLSEALYGIKGVQLDWSRRLKIALDSARGLAYLHDHANPPIIHRDVKSTNILLDERMTAKVADFGLSLLVSDSEEGQFCTNVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVAQPPIYKQKYIVREVKTALDMGDQMHCGLKDVMDPVLQKTGDLRGFARFLKLALQCVEDLGTDRPSMNTIVREIEVIMQDNGIRTGMSSTSSSFSIDSRTMMAAPKYPYSNASASSTAFDMDSRAFEYSGKFPSEGSLKNRGT >ORGLA05G0094200.1 pep chromosome:AGI1.1:5:10354984:10359545:-1 gene:ORGLA05G0094200 transcript:ORGLA05G0094200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANADPTGVLLGGPHHRNPSPAPALAPPPGATTAAALRHDPGLSVRWTPEEQAVLEGGLASYAADAAVVRYAKIAMNLPDKTVRDVALRCRWMAKKESNKKRKEESSKKNKEKKERANDSSSKGPAHLVARPNAAPYSLPVLPMDDDDVSYKTIGGQTGQILEHNAQILNQIYTNISNMQVQENIPLLCQTRDNILAVLKDRIGDVPEIMRQMPPLPVKLNEELANSMLPRPPHT >ORGLA05G0094100.1 pep chromosome:AGI1.1:5:10349423:10353037:1 gene:ORGLA05G0094100 transcript:ORGLA05G0094100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IXQVMXKTKVICVPSQDNEIVVHTRDANTIRSNLQVDQPIPSRNSISEEWSVLSNNVDESQIPIRDKVKDEIVYELVDSNEVKNFEIVSVVGLGGSGKITLAKHICHVNKIKESFNDRIFWVHVSQEFNVKKLIGKLYETVVCRKSDYQAEKQMIREISKELSGNKFLLVLDDAWHTDVDEWKQFMLHLKDRSPGSRVLLTTRHRKVAEAVESDHTYELVFLSESESWSLFLKYSGWVEDDLGSEFIQVGKEILKRCGGVPLAIRTIEGVLRKKREIRTWRAIRGSDLWNDGSINDRVFASLKLSYIHLADELKQCFTFCSIFPKGYVINKDRLVAQWIAHGFITPMKEEQPEDIASEYFNSLVKAGFFLQDTLKGFDNSKLLYKMHDLIHDLAQYCEKNEAVTSGPKNMTTDQTYKCRYLSLTSGTEKVKMGLLDKVRALYMSDGNLSFDKPDKKSCYVRSVVFDSENFTPFPLVLLKFEYLGYLEIHQVDCERLPEAISGCWNLQSLHLIMCNRLMLPESIGKLKKLRALELNTVLSLKSLPQSIGDCQNLRSLQLHKCFELRDIPTSIGKIENLKVLHIEPCSSLQQLPSEPCREFNNLQISNLAYCRCFHDLPSTFACCALRTLNLNNTKITMLPQWVTLNDTLECLDLGYCNELMELPKGITNLRRLAVLNLEGCNKLRCMPSGFRQLTRLTKLGLFVVGCGGDDARISELETLDMLSGDIKITNLKYMQDPTDADRASLKRKNNIKRLVLNWYRGETEKELVSNMVMEQDMAVLNALEPPSKIEEISIHYFGGPCLPQWMRKQTDSSCWEGTMLMQTSPCQLLYLTRITLEEIPNLKHIQGLFDLPLLNYLQLFGLPNLEDMWTTTTGSEIRGDELQAQYCFPVLTTLSIEGCPRLNVVPHFPSSLEELSLKESNEQLLSTGSFSHLVLPLAHKSDPCSSAHSAVPRLKNLFLTKMTVSSCGWKFLQYLDALERLHIFDNDDLTQLPESMRSLTSLQNLYIHKCPTFGMLPEWFGELCSLRYLNITGTPMMDSHHQSIGHLTSLTNLTIECDNLKQLPETFQHLTSLRTLGLVGCGALTALPECIGKLSALHQLIIQQCSSIQCLPESIKHLTNLQGLYIYGCPYLAKRYKQGMGEYWQLVSHIPNLRI >ORGLA05G0094000.1 pep chromosome:AGI1.1:5:10299147:10300321:1 gene:ORGLA05G0094000 transcript:ORGLA05G0094000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVADPGPVRAEGLLLQCPYCDSEAMHKLAQFLLPGLAAVCIDCTTGDLFRKPSVVAVDMRKEMVDYVTQRSETFISDSLIESEASQDQENEMPEDPFEIVSIFMDDFSSTKRNIIGHVSGWLMSDSREDKIDDFVQEMEMTRFWPLKRREIIAEVLLKNVDLKTKYHCPEKYENEERLADHKAQCSFRPVTCPNDGCRAKVSVRCMQDHDSACLFKILTCEQNCEKRLLRRDMDRHCVTVCPMRPMKCPFGCDSSFPERNLEQHCSEFLQAHLHKLLKAIHKKGFTDEGLKDHALLLEKHDNDGKLAKSRDVRSLTNVVKNLEAKIKDDSS >ORGLA05G0093900.1 pep chromosome:AGI1.1:5:10288289:10296845:1 gene:ORGLA05G0093900 transcript:ORGLA05G0093900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSHCQDNCPVVKDPDKNYTCCGLCGKVLDDQVYDGEPTFQKGADGQARLAGSILSSIESGNSVSHERTINKGREEIRQIVSSLHVAGGDTIISMAHRYYTLAVDKNFTRGRRTTHVAAACLYIACRQSKKAYLLIDFSDHLQISVYVLGAVFLQLCQVLLLAEHPVIQKLIDPSLFIHRFTERLLGKRDNAVSDTALRIVASMKRDWMQTGRKPSGLCGAALYIAALSHGYDYTKADIVAVVHVCEATLTKRLIEFENTDSGSLTIEEFLAKADEQVLVTKISPKSGEVLCKHKDKAEHFAHGLCEKCYNKFMKLSGGLEGGSDPPAFQRAEKQRLEAAKNAKRTAASKEAALESVCEARESDVENNITTPPKNIIGDKHSTIPSVKVAGDSVATEDPEGEGKNDKADEGPESLSDIDDAEVDGYLHNEEETQYKKIIWEEMNKEYLEEQAAKAALAAELAARGVVVEEGKRKRRRHNEDGKNATPAQTPAEATQNMLKRKRLGSKINDEAVNKLYNTKDEDGKADKEMDFNDEYGQDTGDGETFEGGYDYPDYNYDGYGDGAYGDYDGVDF >ORGLA05G0093800.1 pep chromosome:AGI1.1:5:10270873:10278614:-1 gene:ORGLA05G0093800 transcript:ORGLA05G0093800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGKKHKEAAALSSSSSPLLPADRKALLLLLLLLIVLLARPAASSDGVRYDYRAYTECKSHPEPALYNGGILRWANKVTDFRTEDDGNYSPAFVLYNMSAATVYSFSCWVKIDRPTTAHVKAKILTLANAASQCLGTALVRNDCWSFLKGGFTLNSASETSVLYFQTASPNASTISIRSASLQPFSPEQWNQHREDRIQLNRKRFVNVHVADSNGSRVVGAKVAVHQITRDFPFGSAISRTILGNKLYQEWFNKRFNAAVFENELKWYATEPYPGKEDYTVADQLLQFVQANDAVARGHNIFWEDPKYTPAWVKNLTGSQLRAAVSGRIESLLSRYKGDFVHWDVSNEMLHFDFYENRLGGNATVDFFDTAKRADPLATLFLNDFNVVEVCDDLSSSADSYVSRLRQLADGGVTFEGIGLEGHFGKPNIPYVRAVLDKLGTLRLPIWLTEIDISSSFDPKTQAAYLEEVLREGFAHPSVDGIMLWTAMDTNASCYQMCLTNQNFTNLPAGDVVDKLLGEWQTKETLGTTNDRGSFNFSAFLGEYKLSVTYLNLTAEGTFSLAHSDDTKHINIRLSPSR >ORGLA05G0093700.1 pep chromosome:AGI1.1:5:10265339:10266319:1 gene:ORGLA05G0093700 transcript:ORGLA05G0093700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTKEEVEHNEEDNTFSRLQLLAQQRRAMEEFWRRSQEQIEASAGNHEHILPIDCVKNVIRPKDDAMMLSADTPTFVTKLCELFVQELTLRAWVCANSHNRDIILGTDIAEAITTTESYHFLGNVLRSHKALGSTAPDIDTSARKHIKLDQMTSLYHSTQEMQASRLAGYPPHVPIYPPIGQMGTQHTLSPFAFMMQGESLLNMKREKSLVNEVMVCTNKMSINNFDGATSIGGGSSSDAAIVVQQGETTHPFSSQNACPSLEDNYVVPMPTGHVQSFSPPTNINVKKLHQEEKNIYQDVAEEDMSNESLEGSQKDEDLFLHEK >ORGLA05G0093600.1 pep chromosome:AGI1.1:5:10249766:10254994:1 gene:ORGLA05G0093600 transcript:ORGLA05G0093600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:I1PU88] MQMPFCPKLWSSAAPAPAQPGSVVINGTVVVANHFGLSAPGKSTTLRLFSGTEVDHETRKGRLSAEAALRGGKKTRHGKASTTTYQVTFFVDGEFGTPGAVAVKNGNRNDQFFLRHVRLDLAEDRSIHFDCNSWVYPYKKTTSDRVFFINTSYLPDKTPEALRLLREEELRSLRGNGRGERKDWERIYDFDYYNDLGNPDNDDHVRPVLGGTKTHPYPRRCRTGRPLSKTDGVTETRKHKLINLDYYIPPDERFSPGKLAEVLAMGVQAVTHFVIPEARSIFHGDVVNFKSTEQLRADLYGKPPQPAADARVMDELKSSVPSHKTYKQVSRIVKDNPAKFPTPQVIHYDTEAWRSDEEFAREMLAGLNPVVIKRLEVFPPNKSKITTDDIMTQIGGLTIQQAMEQKRMYILDHHDYLMPYLRRINTEGVCVYASRTLLFLRDDGALRPVAIELSLPDGGVGGGEISRVFLPASQGTDAHLWHLAKTHVAVNDSGYHQLISHWLFTHATVEPFIIATRRQLSAMHPIHKLLDPHFKDNMQINTLARSILLNAGGLLEKIMYPGKYSMEMSSDIYAHWRFTEQSLPNDLIKRGMASRDPKARGGVSLHIEDYPYAVDGIDVWLAIEGWVRSYCDHFYHADAAVAGDAELQAWWDDVRRVGHGDRQGDAACWLDLDTVAGLVETLSTLIWTASALHAAVNFGQYGYAGYPPNRPTRCRRFVPLPGSPEMAQLEADPGRFFLETVPDRFTATLGIALIEVLSNHTSDEVYLGQRATSTWTDDGEVLLLLDRFRDELRRVEKRVEERNKDPRLVNRRGPVRVPYTLLYPDAGDVAGKEKGITGRGIPNSVSI >ORGLA05G0093500.1 pep chromosome:AGI1.1:5:10232300:10236708:1 gene:ORGLA05G0093500 transcript:ORGLA05G0093500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor [Source:UniProtKB/TrEMBL;Acc:I1PU87] MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGSSKDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYIQDYNEADPKTHQGLDLTTMTTRELIAKYGLSDDTVDFIGHALALHRDDRYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIASTNDSHSVQIILPQKQLGRKSDMYVFCCSYTHNVAPKGKFIAFVSTEAETDNPQSELKPGIDLLGQVDELFFDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTLITGKAVDLSVDLSAASAAEEY >ORGLA05G0093400.1 pep chromosome:AGI1.1:5:10225917:10227853:-1 gene:ORGLA05G0093400 transcript:ORGLA05G0093400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRALIRQPQQLLGSSPSPSGRCFRGSMLLARTSATSSMSSSAGHGCCCQWR >ORGLA05G0093300.1 pep chromosome:AGI1.1:5:10219622:10221594:1 gene:ORGLA05G0093300 transcript:ORGLA05G0093300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRGPPSPPSHPNPIDPFAAAAAAEAEGEAAPPPRNPIIPRDPPSPEMEATAEALTREEVLRRRRRRAKRLVDVYRRLYWTLGEELRARHRQYVWELGRSPLEAEQPPPPPPTSAAPGPGGDLVVVRPVSATVPRRKKCGFAGCKVRTMAMARFCHSHILSDPNQVLYKGCAYISKSGPQVQITCGRPILKASVPSLCNSHFQKCQKLITQGYKKFGVNPSPTGKVSPNFSLLVAECVRQIQAKRRESPS >ORGLA05G0093200.1 pep chromosome:AGI1.1:5:10164981:10165637:-1 gene:ORGLA05G0093200 transcript:ORGLA05G0093200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKGRAGRKKVEIKRIEKKDARDVCFSKRRQTLFNKAGELSLLCNANIAAVVISPAGRGFSFAHPSVDDVADRLASMAMGIPNNHSLGGGYHDSGEVTNIAQQQKIEYVELQKSLEKSEKKKRVQEAMEKERAGHLMQSLTSEVNLLGQDELEELHNKLSALPYTSIAKFYQVLQDAKGTRMPLPQPHIEIACQSQFLFEEQAVTPTNADFPGSST >ORGLA05G0093100.1 pep chromosome:AGI1.1:5:10140785:10145586:1 gene:ORGLA05G0093100 transcript:ORGLA05G0093100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFPTSTPFFAHHGRRRPSPSVSVRTAAAVYGRGGGRRWRPLRVACEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGARTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVDEESKQVSYRVIRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLAGNFKNDEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLRTPVDNALRDAKLSFKDIDEVILVGGSTRIPAVQDLVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVSAVDKGTGKKQDITITGASTLPKDEVEKMVEEAEKFAKEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPGDVKGKVEAKLTELKDAVAGGSTQTMKDALAALNQEVMQLGQALYSQQGAPGAGPTPGADAAAGSAGPSEKPGGEEGDVIDADFTDSQ >ORGLA05G0093000.1 pep chromosome:AGI1.1:5:10129785:10131207:-1 gene:ORGLA05G0093000 transcript:ORGLA05G0093000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLGPPTVLQKIHGQSMMFSKISPYSLMKNPALYNANTSYSVPLKSFNGMDGNNGFSSVTSVSPVFASAPKEKGLSGFMIDFMMGGVSAAVSKTAAAPIERIKLLIQNQDEMIKSGRLSHPYKGIADCFGRTIKDEGVIALWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLFFVYSLDYARTRLANDAKAAKKGGGRQFNGLVDVYRKTLASDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGNLQDNFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYNSSLDAFKQIVAKEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVVVFGKKYGSGGG >ORGLA05G0092900.1 pep chromosome:AGI1.1:5:10125494:10126264:1 gene:ORGLA05G0092900 transcript:ORGLA05G0092900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGVLDSLAAEERWLYPGFLAMYAAIYCVGQLALLRRWAWPLRLDGASCLISLAHGTPAALAAAGAILALPPEASGFAAPNTRLQDHVLDYSVAYFTMDLLHYLAFLPGDTLFIAHHVATLFVFVTCRYLVRHGAYALLVLLVLAEVTSLLQNVWTLAGIWRAEKPAAARVYRALSPPFYFIYTVVRGVAGPLFFLKMSLFYLSGQAVDVIPWWVRISWIVVVGTAITVSNLWIWNLWKELFRERKQSMTKKST >ORGLA05G0092800.1 pep chromosome:AGI1.1:5:10094186:10099503:-1 gene:ORGLA05G0092800 transcript:ORGLA05G0092800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRDPGEEASAPPAPPPRRGEKRRMRGRTPSPEPASAPQDLCPSGACGDNVAGATTTNGKWHPHESYRPEIDDAPVFTPTEEEFKDPIRYITSIRPQAEKYGICRIVPPSSWRPPCSLKEKNFWECTEFNTRVQQVDKLQNREPTKKKSQPRVQKKRKRRKRLRFGMTHRRPSANTSEDCADADEKFGFQSGSDFTLDEFQKYADEFKQQYFGIKGSDEIPLSEIKKKKKNWQPSVDEIEGEYWRIVVCPTDEVEVDYGADLDTSMFSSGFSKLSSDSNRRDPYGLSCWNLNNLPRIPGSVLSFETEDISGVVVPWLYVGMCFSSFCWHVEDHFLYSMNYMHFGEPKVWYGVPGADAVKLEEAMRKNLPRLFEEQPDLLQELVTQLSPSVLKSEGVPVYRVVQNPGEFVLTLPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQCAVELYREQRRKTSISHDKLLLKTANEAVRQLWMNLSDCKSEQGVYRWQDTCGKDGMLTSAIKTRVKMEKAARGGNMALQYKKMDGDYDSADRECFSCFYDLHLSAVSCQCSPNRFACLNHANILCSCEMDRKTALLRYTIEELHTLVAALEGDPTAVYQWGQNDLGLVCPSGSTQYKKMDLGENTEFPDSATNINHGCSLGSQDQYHYDPAKPAGYQQEKGIQIASEKHDKNKMVVNLESPATASNPSRSKSDCSGSLSLNLSSELPSSRIQTGNSTLASITTEKLFGVDIKSNLAQSSDGQVSQLAKPSSSQTDEVSKPAIAKYTVELLDSGTMMIGKKWCNQQAIFPKGFKSRVTFHSVLDPTRTCCYISEVLDAGLLGPLFRVTVEGLPEVSFTHTSPMQCWDSVRDRVNEEIAKQISFGKSGLPDFLSCNSLNGLEMFGFLSSPIIKEIEALDPCHQCLDYWLSRVSSVGTELPSESVMAAMVNDSTNPPIKLLGIEITRKESEQSSSFNNSCVRRSHLAGC >ORGLA05G0092700.1 pep chromosome:AGI1.1:5:10085196:10085915:-1 gene:ORGLA05G0092700 transcript:ORGLA05G0092700.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGHLETLAAIVDHGEATSGMSSSTVARXSAEVARSREEKMSEDLPATSSSGLSHIALLRMPSMEEEEEDGELLMVDMEMADEDELLSLNDGGKXHEGQFYLIIRWRSENDFENVQKGQNFAKWRCSGAQGSMHPEGRARGAPAAAAALPSSTSSPLLLVVVGAVSMGSLSSTKSRRKREGRRRDGGRARGIVVAAIFFLLFS >ORGLA05G0092600.1 pep chromosome:AGI1.1:5:10055211:10057655:-1 gene:ORGLA05G0092600 transcript:ORGLA05G0092600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGINQLLRRTLHNQSSGSSLLSSFRIKHEESSTGLRALALLGVGASGLLSFATIASADEAEHGLAAAEYPWPHAGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYPNEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVQIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMRWSVLKSRKLVLDVVN >ORGLA05G0092500.1 pep chromosome:AGI1.1:5:10050219:10052594:1 gene:ORGLA05G0092500 transcript:ORGLA05G0092500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoprotein phosphatase inhibitors [Source:Projected from Arabidopsis thaliana (AT5G52200) TAIR;Acc:AT5G52200] MSSRRVKWNEDNLYEIESNKPVRQKITEPKTPYHPMVDDDGSLSPTRPFDKCLDETVNAEAILTALNGVASSSKTDPKDDGWASSDDDADAMEQDDDPEAEKARLSFKEHRRAHYDEFLKVKELMRSGSLIDDEADEDDRGAKGSQAKAVGKKPAGCDSTPPPQT >ORGLA05G0092400.1 pep chromosome:AGI1.1:5:10042311:10046734:1 gene:ORGLA05G0092400 transcript:ORGLA05G0092400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I [Source:Projected from Arabidopsis thaliana (AT1G76400) TAIR;Acc:AT1G76400] MATPPPLRRVAALLLLLVAAASTPTARADLVVTRADRKVDLTSHIVRVLTSLKVENSGPEAVSQFLLAFPNVQAKNLAAIRAFGTEGKVKGPSMVLPIEVVQPSGVPPELTFFSASLSKPLEKGKTLHLDVLTVFTHSVQPFPEEITQAESQLVVYQDSAQYLSPYPVKVQTLSIRLPGGRVESYTKYPNTKLAESELKYGPYEDLPPFSYSPMVVHYENNNPFAVAKEVIREIEISHWGNVQITEHYNIAHGGAKLKGEFSRIDYQSRPYIRGVSSFRHLIARLPPRAHSIYYRDEIGNISTSHLWSDSKKTQLEVEPRFPLFGGWQTTFTIGYGLPLQDFVFNSDGKRFLNITFGSPVEEILIEKLIVKVVLPEGSQDIDISVPFPTKQEQEVKYSHLDISGRPVVVLEKLDVIPEHNLYFQVYYRFNNISLLREPMMLITGFFLLFMACIVYMRTDMSISKNSPSYLAKVQWDEVQSIIQQIQAIFNQCLAAHDKLETSLHELSRSGDVKSCKVARKTADAQFKELAKELKPLLTSLQSSSQSYQIWPKVEELVAKERELQDKLMTRHSTVVDSFEKKLRGQDVENRIAAQQQKVAALRQEVESLLEYISEI >ORGLA05G0092300.1 pep chromosome:AGI1.1:5:10036608:10038257:-1 gene:ORGLA05G0092300 transcript:ORGLA05G0092300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGERGNASGVCXXCRVGVRYQHMREDYVHTRLRCSSMWPRFCXWISPRCISDRQGSTNLWKCYIFLGSKSRSNSVLTNSGFSILVRNHMPDYGRRDSRCKSVIVIRIKEVQKSYVAEAIGPIACSKDGVYLVGGASSGHTYIWEDATLIINWFAPFVNVSLDDLNKEVHVTDKRKKVYDHGS >ORGLA05G0092200.1 pep chromosome:AGI1.1:5:10028715:10029078:-1 gene:ORGLA05G0092200 transcript:ORGLA05G0092200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVPSQFSKDVNSSIVSFNSSNLLAEQLLDLEEKRTLEAVEMIIGMNIQDRVKNQTMAKELTNMNMLLQGQVFDVMDVGADED >ORGLA05G0092100.1 pep chromosome:AGI1.1:5:10014137:10017678:-1 gene:ORGLA05G0092100 transcript:ORGLA05G0092100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIVLRVVDGDEKPAMLEIHTKKMNQLVHKRSNDVVFVAYNWKMETRFQLRCEKAGKGQILQSLRSLIGTMSGIIPYMNQLKVFVDVTLHRMMLMKLLVHHDLFELAVALRWSAKLNPAYGSMMYLNMEGYKGWTRLSPPSAYLTFYGAHNKKRAITKLHHPIKKVMNLVTASKRSDPFHHEDDQQAGPLPNNVEINVAEANKWMRRTSCHAMASGGKRPSAADHGHQQGIGCYMGLRVAKNKVYMCVVLLLKCSFDVPKTSSRLKPYL >ORGLA05G0092000.1 pep chromosome:AGI1.1:5:10003420:10008258:1 gene:ORGLA05G0092000 transcript:ORGLA05G0092000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPLASLPKNFSISLAHINHLPHEANEQKSHFIIIHTNPLGFFRVPGFSFLLSPPLDPSSSSPRSSALAVARRRPPTMEAAGVEVNGGGGGDVVVVVPQQHGVAAKQAAAAAKGKSVESKGVRVVGGRIYDPENGKTCHQCRQKTMDFAASCHKIKKNNKQCTIQYCRKCLFNRYGQEAEKVANDGTWTCPKCKDICNCSFCMKKKGLPPTGILAHAAKASGCASVHHLLKKGKEAVAAAQRSAQKVRSTPVKKSPKRAIQPDAAADEPLAEGDENVCIDFNAAPVKKQKRSRKVGNGVALTKDESPDAPKEQVVLPKGTPVTSVAGAEWEPEDVGLALQFFEFCRTFAEIFQVRKGQPERILRDIAGGRGLRVVSSVIADFHITLLSIIQEGRGIKPITYSRDNDAWIVDTGKCISESIFVPEGLPLDSLSQGVSGYKNLSPSCKLSVLNFLCDESLSTEKLRSCILSETKNPSREKAHSAKEKEEPKEETIKNTDEAVLLKTEGAAVAIEEDKNGISQQKDVKEVKNADTNEKKHGGFLRTNPFMVDKKVIYWKLDDYCNNTTMMLQEVDADDLMGNKDKWFMLNEDEKKIVENYLSTRNAC >ORGLA05G0091900.1 pep chromosome:AGI1.1:5:9998617:9999150:1 gene:ORGLA05G0091900 transcript:ORGLA05G0091900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGLEEEEEEVMPWWCCRSKRRRRPSNPRESTSSAAESMNRRTYRWWQLEPTGGAQQHEHRSMHTNSQSTTNATLPNDITDWEGEGEMRKRLTCGPILVLDPDQVHEGSMWHMPTLSHGADQGLVFDQ >ORGLA05G0091800.1 pep chromosome:AGI1.1:5:9966138:9966974:1 gene:ORGLA05G0091800 transcript:ORGLA05G0091800.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGREAAREGCGGRKGGVQLALTRSRQQVCNTVLFVYAHNKNEIKGSSSGATGKLAAHTHFIEHILSVLDFLNVLYCDQTNKVFNWHTVFSFGLK >ORGLA05G0091700.1 pep chromosome:AGI1.1:5:9941593:9942765:-1 gene:ORGLA05G0091700 transcript:ORGLA05G0091700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGQRLDLEFPHLDLALQHVSRTCYPSHGDDGGWGGEEMAMDSTQQWPQASEHLVKGGFWSRPQVGRGSTDRLTMRASKQEVMLRVSCSAREESEGSRVGFLSRMREVAMQAVPIAQPCINVLVAKAELLGRCGKLDNDNSQLFSFWLCGKGFLGGVVLMASVERLSCRGGGATAPGGKLSNDNPQYLCSGNARGVRQM >ORGLA05G0091600.1 pep chromosome:AGI1.1:5:9934411:9934935:-1 gene:ORGLA05G0091600 transcript:ORGLA05G0091600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESCDLNKDEAEILKPSSSSSPSPSPTTASPSPPTAQMTEPPPPQSTPPTPPAAAAAASAAAAPQFSAKNCEGILIEVSKKRKLAEATATDANAVVVAAVAEPLSPVLFVNRCNVCRKRVGLTGFRCRCGELFCPRHRHSETHECSFDYKTAGREEIARANPVIRAAKIIKI >ORGLA05G0091500.1 pep chromosome:AGI1.1:5:9927506:9928369:-1 gene:ORGLA05G0091500 transcript:ORGLA05G0091500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSMIDFSRRFLNLIVGNRTPGVKSLWCFDLMRQQLFYPATPPPPHKVEEFXQKFRPPGTMMDSMGLPFSCFTFRASALNVNGQSRMDCFPLAGGEVICMDQSSRAFLVDADACQVGTMPSLHKPKSMPLAVFVPNAKADNDYDYDGYGSSLFVMERIPKPELGFNSDQFEAFIYRKPTISNYTKAWYCHQLPPPPFVREPKHWHSCSSPEISSYAVLGGGSHICLSVNGIGTYCLETASHTWSQVGKWTLSFHGRIDYVPEFNLWFGLSAEARRLAAADLSAMDS >ORGLA05G0091400.1 pep chromosome:AGI1.1:5:9920962:9926029:1 gene:ORGLA05G0091400 transcript:ORGLA05G0091400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVGGGWWRSRKTLVGLGLGQLVSLLVTATGFASSELSRRGINVPTSQSLLNYVLLGVVYGSILLYRRKSLQMKWYYYLVLALVDVEANYLVVKAYQYTSLTSVMLLDCWAIPAVIFLTWMFLKTNYRFRKYSGVAICVSGLVLVVFSDVHAGDRAGGTSPVKGDILVIAGATLYAISNVSEEFLVKVGDRVELMGMLGLFGAIISACQISIFERNEIKSIQWSVGAVVPFIGFAVAMFMFYSLVPILLKISGSTMLNLSLLTSDMWAILIRLFAYHEKVDWMYYVAFGVVAIGLVIYSGDSNSDDGRRGQVAEATDVEGKLPDDEEAAVHPKCQDAASSGIRKFDDGKTPPTIRPNEPHAQT >ORGLA05G0091300.1 pep chromosome:AGI1.1:5:9901275:9903162:-1 gene:ORGLA05G0091300 transcript:ORGLA05G0091300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTWKPLTVLPLGEDPPAITSVCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSRFCSPESFSKRMHEISLDSDGKRLLVTSGLVRAPIYQVQGHESGLRTLAHSASITSVDWHPTLPMYITGSADNSVRVTSIV >ORGLA05G0091200.1 pep chromosome:AGI1.1:5:9893940:9898948:-1 gene:ORGLA05G0091200 transcript:ORGLA05G0091200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAATAPATSVTAATFSPSLPLLSRYQLPRAHRAASTVAFAARRFRGVNPSSDRPRGRRASVPAAPTTSAARDDGGGGGGIGALEAELWRLQRRAELRLHRLAAEADEAYRDLRYSARVVGGDRVVLTFRRSSLRFAAAALLWSLALSAAAWALLGWAVRAWQRRGLGWRGGEGAAVVRRRDRSLGGKEVVVAVSSSPVVAPVSRVPEPAREVKRREPKARLPEWWPELREEVVVDQGPGMEKWARLANRLVRAIIDNRITGKDYKYDDAIQLRQLCKISGVKVSFDTENARDSFYRAAINFVLDDCSRAAQDIGAAQISGENPRNFLAGLASNIGLDKFRAATLVCASVAARTRSLFLQCWALEMQGKRSEALEELSKICRIHNMFPPEENSAEMEMVAGGLKKNLQVAERVHLLSLYRSICTAGNIRTAAEALGLGKLKLPDTTNCYGWGLGLQRDDEV >ORGLA05G0091100.1 pep chromosome:AGI1.1:5:9888685:9890030:1 gene:ORGLA05G0091100 transcript:ORGLA05G0091100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTQEVAFGVNLIGNGAGPGAVDELLLILRRRCLTLLGGGAARSGAHQVGERSWQNPKTTPWMDLARIHGKELGQEKHDADELHKSTKEEEKTIRVREELYPLPHCRQPEPPELIKERGRTERDLLYRLERGHRQGRKVPSGRTVKWIAIFNLEGQWQLGKYYLRV >ORGLA05G0091000.1 pep chromosome:AGI1.1:5:9882930:9883181:1 gene:ORGLA05G0091000 transcript:ORGLA05G0091000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRADPSPLGRGEGGSAAAAGRAPPPLPPTSSSGPHHHHPPSRARLRRAVRSALRGVPSCPRRRRACAGQGGRRRRGILRHES >ORGLA05G0090900.1 pep chromosome:AGI1.1:5:9870037:9872121:-1 gene:ORGLA05G0090900 transcript:ORGLA05G0090900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHEVAFEVNLIEDDGGLAGWAPVGTRTALSAHAERDTAMLISGAVSAAEPNERIRRSSGRYIVASRRADEEDGLRRAVPAGALVPRVTYRVVGWVSVQGQGDGRHHAVRVGLRVDGDGGDDERGSWLDCGAARVEVGGGWAEINGAFRLRASPRVAAVHVHGAPAGVDVKVMDLQVYATDRKARLTQLKEQTDKVRKRDVILKLGGGATMVGASIRVAQLENRFPFGSCINKTAIRNPKFVDFFCDNFDWAVFENELKWYSTEPQRGQINYRDADELLDFCHRYGKSARGHCIFWAVDGDVQQWVKDLGRDDLAAAVQGRLHGLLSRYAGRFRHYDVNNEMLHGRFYRDRLGDGVAPLMFREAARLDPAARLFVNDYNVLRGNDPNATPEKYVELVDALRRGGAAVGGIGVQGHMDSPVAGQVIRAALDKLAAAGGAPIWITELDVSEPDVGLRADDLEVVLREAYAHPAVEGVVLWGFMEGQMWRRDAYLVDADGTVNEAGQRFLQLQREWRSDARGIVDGDGRFKFRGFHGTYVAQVTTATGKMLKTFTVEKGDNSLELDLDIEI >ORGLA05G0090800.1 pep chromosome:AGI1.1:5:9851450:9854140:1 gene:ORGLA05G0090800 transcript:ORGLA05G0090800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESQSQSHFPLRWESTGDQWWYATPIDWAAASGHYDVVRELLRLDANLLIKLTSLRRIRRLESVWDDDMRFADAARNRAAVARRLLHDCEPPRGAAAGGGSRPNRLIRAGYGGWLLYTAAAAGDAAFVRELLGRQPLLVFGEGEYGVTDVLYAAARSDSSEVFRLLLSAVLSPPECSAGGEGDGGTAAIAGGFMFRCEMMNRAMHAAARGGNLEVLRELLQGCSDASAFRDAQGSTILHAAAARGQLEVVKDLIASFDIVNSTDEQGNTALHLAAFRGHLPVVKALITASPSLISATNEVGDTFLHMVLTGFRTPGFRRLDRQMELMKQLIGGVIMDLSSIINMQNDDGRTVLHLAVIGNLHSSLVELLMTAPSIDLNVRDNDGMTPLDLLRKQPPTASSEILIKQLILAGGIANSMDHETRSAIASQLKMHCIVGSPGTSFKIPDAEIFLHAGIDASDISERTNSFSSVGQSEPEFPESSRKKLNSMQNAAKHLKILLRWPLRKEKKSSSGARNELDDDASSVDSVKSWSHGETPTPLRQKYSRMSSLFNNKRTMAARIGSPSPSMKKSFADGLAHGVMQPESPSGSGSWSSSSLVDRIEAVRLDKNGQASPDTSVVIRRTPKKHGSLNSRLMNQYFCIGAQGIAVEDSTTGQRSSRMFRSSLLSAA >ORGLA05G0090700.1 pep chromosome:AGI1.1:5:9844763:9845041:-1 gene:ORGLA05G0090700 transcript:ORGLA05G0090700.1 gene_biotype:protein_coding transcript_biotype:protein_coding REARQRGEGRGGVRGWERGEAHGWEEAERRKGKRYGRRRLPRKERWGKQMRCRFGKGRGNGTRKRIGLGSSYKTKPRWQKGSADTTNRGRGE >ORGLA05G0090600.1 pep chromosome:AGI1.1:5:9837599:9839144:-1 gene:ORGLA05G0090600 transcript:ORGLA05G0090600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFCLLCXHNVERFGAYDGVADDNAPVVVPGLARRIEVTRAQAPGFFRVPGWDKFADDVERRYLFEMDRCQASTTKYRQSFLKSXKVHGEQAAKLQVCYRRQGCTVMPVVNSYRAHLSSSVTCQHLPQVKKPEAPYWSQPLTTS >ORGLA05G0090500.1 pep chromosome:AGI1.1:5:9834088:9837337:1 gene:ORGLA05G0090500 transcript:ORGLA05G0090500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I [Source:UniProtKB/TrEMBL;Acc:I1PU57] MGFIGDTVESIRSIQIRQVLAQIISLGMIVTSALIIWKGLMVATGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNIDGREIPIVHRVIKVHEREESAEVDILTKGDNNFGDDRLLYAHGQLWLHQHHIMGRAVGFLPYVGWVTIIMTEKPFIKYLLIGALGLLVITSKE >ORGLA05G0090400.1 pep chromosome:AGI1.1:5:9821909:9828756:-1 gene:ORGLA05G0090400 transcript:ORGLA05G0090400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSPPLADDRVPMDRRMAQLGSTSGTGLPLQPPTPPSPAPPSTGGDELPALLLRRRSSPTTSPVLPAAGTILRRLTGRSLLGRSLCGFGSSASRAAYDISDMLDEFQSTEPDAGKKKGVFHKLATAPSRFPMASKMKRMRKELAKITEEHKNFSFVPNTASFEQHLSCRSAASITXVDXXKCHHREVRGQKKHHSCIADKRLXRSTIILPRLCLVQRKVXILVKIGDDVTEKLCVYDRLMXWKRTKVWIQTLDLNTALFMGLEALVKQRWHTWFLMTAISQSTISMHRSMCLRPLIWIKXXTQXFHMLHIQKRRALFQIFSXXENALPVYXKAEGFXLFXITYGRATNLSWTIXRLCXMLARRAVRWMSXLPHAQKKLPKEFAQXNHTSXNHXTMTYVGLSLKYTVPLKVEVINSRWRLXGKRLQPSVETHTDTGHLKHWRDLRALTTNKLDPKHGRTLLLNHRNAPRPGLEMAKRREEHQGVKESSMNPARNSGHHGTNPTTAATTTNFTASAESTLGRADEQLRRSDGEGKGGRDVRGGLRKCRRREGGRRPDSPTTEGRPPISQKDDRLVTLTMHDPVHDMARSAIDDELIVLDDTKENKCGQSTYRYVFITNXDKPSKEFSMILHGKIRALHLVGCSKTKLNDGAFSSAKCLRVLDLNHCSIQKLPDSIYQLKQLQYLHAPQVRDGVIPESISMLSKLNYLNLRESPEISKLPESIGKLEALTYLNLSGCSHLVELPESFGELRNLEHLDLSGCSRLVELPETVGKLDALMYLNLSGSRIVELPESFRELKNLVHLDLSNCTHLTDVSEHLGSLNRLYRPRLYSRCLVAYPRRRKIQELSSVQKENEASHIHMQNVMDAISRLVYSDSGYSARGILSEALGSLTELKYLNLXGCLLMVVLPGSFGNLENLVHLYLSGCSCLEWTPDNLVGLTKLQHLNLSHYCTGTPRSSMPSQGAARYFDRSYRTADANPAELQISCLENVQSTGEVKRIKLSQKTSISKLALEWRRDAKRFADDMNVLEQLVPPNTLSQFELRGYNNVCLPRCEPFLQLIKFTLDSMEILEEWRTSYNDHGDKVFMFPSLQELEILDCPKLKLKLYEPRAFQWKISNSDNIVTSCGGGQYTGPSSSSSSTTLDVQHCKVPLDQWTLLCHLPALHELRIYECDDLTCSSPEIIESLSSIKQITVECQDMVELPASLCQFKSLPKLILWKCLKLKSLPESTKHLTSLKSLWMVGCSSMTSLPEGLGHLASLMELNISDCPHLKSLPESIQLLPMLEVVKVSYCPELKRWYEIEENKMKLAHIGKKCFIAPSLHC >ORGLA05G0090300.1 pep chromosome:AGI1.1:5:9818750:9819385:-1 gene:ORGLA05G0090300 transcript:ORGLA05G0090300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAPEKAIFGTEKYKFSLSGNQSQALEDDDPYDDWLTSTKVSEPTGSPAKDSPVGKEKAIEKSQGEKQITPVEEHQQTDLAVVPAAIQDVPTSGKTDIEMADAATTETVNLKATDNPSSSNDGLTQVRTLLPDLNQQVAAPVSDEGHTDIVDHLRNKTSAFDASLSKTVTGGEAPQSGSGSSHFMQSSKTDDSDVESEDSMPGIVDGDPDSD >ORGLA05G0090200.1 pep chromosome:AGI1.1:5:9809449:9813680:-1 gene:ORGLA05G0090200 transcript:ORGLA05G0090200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lactoylglutathione lyase family protein / glyoxalase I family protein [Source:Projected from Arabidopsis thaliana (AT1G08110) TAIR;Acc:AT1G08110] MAAAAIAAASLLPSSAFALRRLSSAANVSRFAQLKRFDRARRFAPAAAMSTSLGPKEAPANNPGLQAPSEKDPATKGYFMQQTMFRVKDPKVSLDFYSRVMGMSLLKRLDFPEMKFSLYFLGYEDVESAPTDPVKRTVWTFGQRATLELTHNWGTENDPEFKGYHNGNSDPRGFGHIGVTVHDVYKACERFERLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDLNRIGAVTAEAS >ORGLA05G0090100.1 pep chromosome:AGI1.1:5:9805764:9807897:-1 gene:ORGLA05G0090100 transcript:ORGLA05G0090100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAEEGGLASPLDELLPPVDFCCAYGSTLLHARSGAASMIDYILGIPDPLKWHSENLERNPAHYSGWMACLGPGAITRLADSIGVGVYFNPFVEWRDKVAFATKPPLFVFPKVGAGLPVIASVTHIIASGDPVCLLWFSHIVGSLSGTLGYVMSELEDGKRFSEVVKTASFPEFSDACDKFTYSAI >ORGLA05G0090000.1 pep chromosome:AGI1.1:5:9783614:9797082:-1 gene:ORGLA05G0090000 transcript:ORGLA05G0090000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRPWEFIILGRTAPISPPPLGISAFSRPLRLRLAAVVDAARIQTPPRVAFSAFFFFFFFFSEGLSREGTAARLPALIYRVPFIPCLLQLQIRAPHADPRPAGDCAHHFLALAQGLSSSLLSGTISHIWGFIFSLYGTTHLRNRAILLFGLAGIIDLPNDAASEVDISHGSEDPRGPTVPGSYQMNGIINETHNGRHASVSKVVEFCTALGGKTPIHSVLVANNGMAAAKFMRSVRTWANDTFGSEKAIQLIAMATPEDLRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTGVSAVWPGWGHASENPELPDALTAKGIVFLGPPASSMHALGDKVGSALIAQAAGVPTLAWSGSHVEVPLECCLDSIPDEMYRKACVTTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRTLFKQVQGEVPGSPIFIMRLAAQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKELEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMNHGGGYDLWRKTAALATPFNFDEVDSKWPKGHCVAVRITSEDPDDGFKPTGGKVKEISFKSKPNVWAYFSVKSGGGIHEFADSQFGHVFAYGTTRSAAITTMALALKEVQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIAMRVQAERPPWYISVVGGALYKTVTANTATVSDYVGYLTKGQIPPKHISLVYTTVALNIDGKKYTIDTVRSGHGSYRLRMNGSTVDANVQTLCDGGLLMQLDGNSHVIYAEEEASGTRLLIDGKTCMLQNDHDPSKLLAETPCKLLRFLVADGAHVDADVPYAEVEVMKMCMPLLSPASGVIHVVMSEGQAMQAGDLIARLDLDDPSAVKRAEPFEDTFPQMGLPIAASGQVHKLCAASLNACRMILAGYEHDIDKVVPELVYCLDTPELPFLQWEELMSVLATRLPRNLKSELEGKYEEYKVKFDSGIINDFPANMLRVIIEENLACGSEKEKATNERLVEPLMSLLKSYEGGRESHAHFVVKSLFEEYLYVEELFSDGIQSDVIERLRLQHSKDLQKVVDIVLSHQSVRNKTKLILKLMESLVYPNPAAYRDQLIRFSSLNHKAYYKLALKASELLEQTKLSELRARIARSLSELEMFTEESKGLSMHKREIAIKESMEDLVTAPLPVEDALISLFDCSDTTVQQRVIETYIARLYQPHLVKDSIKMKWIESGVIALWEFPEGHFDARNGGAVLGDKRWAAMVIVKSLESLSMAIRFALKETSHYTSSEGNMMHIALLGADNKMNIIQESGDDADRIAKLPLILKDNVTDLHASGVKTISFIVQRDEARMTMRRTFLWSDEKLSYEEEPILRHVEPPLSALLELDKLKVKGYNEMKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTLVRQPSVSNKFSLGQIGDMEVGSAEEPLSFISTSILRSLMTAIEELELHAIRTGHSHMYLHVLKEQKLLDLVPVSGNTVLDVGQDEATAYSLLKEMAMKIHELVGARMHHLSVCQWEVKLKLDCDGPASGTWRIVTTNVTSHTCTVDIYREMEDKESRKLVYHPATPAAGPLHGVALNNPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETAVRKSWSSSTSGASKGVENAQCYVKATELVFADKHGSWGTTLVQMDRPAGLNDIGMVAWTLKMSTPEFPSGREIIVVANDITFRAGSFGPREDAFFEAVTNLACEKKLPLIYLAANSGARIGIADEVKSCFRVGWSDDGSPERGFQYIYLSEEDYARIGTSVIAHKMQLDSGEIRWVIDSVVGKEDGLGVENIHGSAAIASAYSRAYKETFTLTFVTGRTVGIGAYLARLGIRCIQRLDQPIILTGYSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSNILRWLSYVPAYIGGPLPVTTPLDPPDRPVAYIPENSCDPRAAIRGVDDSQGKWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQTIPADPGQLDSHEQSVPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMAAELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQDCMSRLDPTLIDLKAKLEVANKNGSADTKSLQENIEARTKQLMPLYTQIAIRFAELHDTSLRMAAKGVIKKVVDWEESRSFFYKRLRRRISEDVLAKEIRAVAGEQFSHQPAIELIKKWYSASHAAEWDDDDAFVAWMDNPENYKDYIQDLKAQRVSQSLSSLSDSSSDLQALPQGLSMLLDKMDPSRRAQLVEEIRKVLG >ORGLA05G0089900.1 pep chromosome:AGI1.1:5:9777556:9777807:-1 gene:ORGLA05G0089900 transcript:ORGLA05G0089900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRRFLYCFSAVNFETWHLELIAGLVIIISSSRYILLQTWPDFRYSSETANRQVYTTLQSVFPFCFIFLLMKVCHWQIQFLK >ORGLA05G0089800.1 pep chromosome:AGI1.1:5:9747826:9765225:1 gene:ORGLA05G0089800 transcript:ORGLA05G0089800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: nucleus; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Digestive organ expansion factor, predicted (I /.../o:IPR010678); Has 25006 Blast hits to 13379 proteins in 904 species: Archae - 102; Bacteria - 5571; Metazoa - 7448; Fungi - 3168; Plants - 1056; Viruses - 466; Other Eukaryotes - 7195 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G17690) TAIR;Acc:AT1G17690] MGKPKPASATAAPRGHKRRPKRTRPSGKGGGPEPREEKRKRRELDGVGLAEEAPPPGSSLGKILSTESGAIGLEQSDEDDMEDEHTVPGDDETNDMINNKDDAFDEMEASCSFHRHVSRIITNEEVNALLKQKCKFKWEVPAEDIPKSKWVGTGEKMEGAYVDLIGGVKGKLRDHWQNTLSDQLNSRLNFFSLCSSYRDIMHCNKKPFYLKGGSTVDSSTMDSYLMHALNHINRTREIVVKNDAKLRSDPSKDILDDNSFLDQGFTRPKVLFLLPLKSIARRVVKRLIQLSPLSQKDIIAKFEGKFGESDDEVEEPVQSNKPADFDLLFAGDTDDEFLFGIKYTKKSMNLFSNFYSSDIIVASPLALIKKINGVDDNGKEPAKERDFDFLSSIEIVVVDHADVILMQSWSHLYAVFEQLNQLPSKEHGTNVMRIRPWYLDQHARYYRQTILLSSYLTPEMNALFNGLCLNYEGKVKLVTEFKGVLSKIQFEAPQVYERFDASSITEVDDARFDHFCKKVYPKIQVSDEGRVLLFVSSYFEYIRIRNFLKSQEASFCRIGETTSQQDISRARLWFFEGKKKILLYSERSHFYHRYKIRGAHHLIIYSLPGRKEFYPELVNMLSESENRKCTVFFSRLDLLKLERIVGTFAAQRLVSSDKSIFVFR >ORGLA05G0089700.1 pep chromosome:AGI1.1:5:9741655:9742221:-1 gene:ORGLA05G0089700 transcript:ORGLA05G0089700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLTLVPGAKTWLSAGVSDVLEVSDEEGDQEGRQQSSSPGPIQVGMKGKVARSFSAPSKGFREEFSGAAKPKTFGSRFWALGDVSDATDSELEGEKDAATSIEEAFPEARFVRRALAEGFTVDEVLKAGEHLLLNPAATSGSCTKSTNLKGNGLLARRIVDSVAKRRKSSVKPWKGPLPEGAIAES >ORGLA05G0089600.1 pep chromosome:AGI1.1:5:9710796:9713372:-1 gene:ORGLA05G0089600 transcript:ORGLA05G0089600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50280) TAIR;Acc:AT5G50280] MALRAHPLLSSSSSLPPPTLPILCLRCPGSSLSSKRFLRSHSRSAIRPWRNPCAARPGGPRFLGQDDADSDADEEDEEEWRWAPSAGPSGAHLVGAVDEDDSVGEGGGGVGWGAPDGDAAGGSGIRESGVDDGGQVGEWDLPMSSFRGRVQVQHHQEEEEEEEEEEDEDGGGCEWSDPGFFLRGQEEEASSSVSTTTAMEEILTLARSPAVDGQAFAEFLAGYGRGALSVEECVELMRRMGEEGLALGCLHLLRWMQAPEEEPLLLPPQAWLLAVVALGRAQMADEVLEIVESLPPERRFSEAVLYNAAMSGLAYRGRYDDTWKVFKLMEKKNIQPDHITSLIMLDVMNKSKTSAKDAWEFFQRMERKGVKWSLDICISLIKIFCDNGLKTEALIIQSAMEKKGIASNTSMYNTLINAYCKANQIEEAEGVFVEMKEKGLSATAMTYNILMGAYCRRLQPEVLESLLLEMQDLGLRPNARSYNFLIRVYGQQKKMSEKAEDAFLRMKTDGIMPTSSTYTSLLCAYAVNGLHEKAYLTYVDMKREGLKPSLETYTALIDMFRRAGDTEKLMETWRSMINEKVPGTRVIFHMVLDGLAKHGLYVQATDVIYEFRRAGLQPTVMTYNILMNAFARGGQHYKLPQLLKEMAAMELKPDSVTYSTMIYAYAHVRDFSRAFYYHKLMVRSGQLPDVSSYKKLLNTLDVKAARKNIKDKNAIVGILKGKSSLKHRKEKKDEFWKNRKKRSMMNHVYGYPRKRFL >ORGLA05G0089500.1 pep chromosome:AGI1.1:5:9696248:9701746:-1 gene:ORGLA05G0089500 transcript:ORGLA05G0089500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G09280) TAIR;Acc:AT5G09280] MAAIFIFIWLFASVLSISSASSPLLINGSTADAAPDCGTGNPIDDCWRCDPGWADNRQRLADCAVGFGRRAVGRKGGRVYVVNDTGDDAARPAPGTLRYGLVQDEPLWIVFAGDMTISSAHELVVSSRKTVDGRGARVVVGDGGACFAVRGASDVVIHGLTIRRCRPAPKLEAGMSDGDGVGVHNSSDVWVDHCTVEACADGLIDVVVGSTRVTLSNKLLRNHDKAILLGHNDDYTDDKAMQVTVAFNRFGPGLVQRMPRCRFGLFHVINNDYIAWQKYAIGGSASPTIISHGNRFYADMAKEVTKRDDDVPESVWHHWNWVSDGDLMLNGAFFRASGEARTDNLKAPSFARSAPSVPSMTSSAGALSCKEGSHC >ORGLA05G0089400.1 pep chromosome:AGI1.1:5:9690235:9690615:1 gene:ORGLA05G0089400 transcript:ORGLA05G0089400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVWVGAAIISSPPPFPVAAAIIVASPHYRNQTSASPCPSSPPSPIPSPSCSSAKRRSSVATSACHTGAASPSATTSVPRRRHNPRRPASAAHRRSLVVAPPPQQIPSPEPPPLSHTPLPPIDAS >ORGLA05G0089300.1 pep chromosome:AGI1.1:5:9665643:9666437:1 gene:ORGLA05G0089300 transcript:ORGLA05G0089300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPRDEGAKSRQGWDDGAAVNQQFNLRNQQGLGRHPQHQFQRPPVIPRRDQDDTLRSDQQARKFQPQAELAHRITLDWKWEAIPHGERSFLVAFPSFEELKRMDDV >ORGLA05G0089200.1 pep chromosome:AGI1.1:5:9662322:9662917:1 gene:ORGLA05G0089200 transcript:ORGLA05G0089200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATLAAPSFLAHQSILSHKPLRKLGLSLELPRTRSVKIRAAKLPAGVEVPRKQPKLSEPFLGFTRTAEIWNSRACMIGLIGTFIVELVLNKGILQMIGVEVGKGLDLPL >ORGLA05G0089100.1 pep chromosome:AGI1.1:5:9637148:9642585:1 gene:ORGLA05G0089100 transcript:ORGLA05G0089100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVSTVYISVIDDVISKVRDDFISYGVGDAVLNELQALWEMKMLHCGAISGTIDRSKAAPAPSAGTPGAGTTPPVHDLNVPYEATSEEYATPTADMLFPPTPLQTPIQTPLPGTDAGMYNIPTGPSDYAPSPISDVRNGMAMNGADPKTGRPSPYMPPPSPWMTQRPLGVDVNVAYVENREDPDRTGQPPQLTKDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGSADQIVEFVVSKDNAQQLWSSIVNKQGTATKESSTKETIIAPTIPQRDGMDDYNDPFYFQGVPTEDYNTPGESSEYRAPTPAVGTPKPRNDVGDDDEPPLNEDDDDDDELDDLEQGEDEPNTQHLVLAQFDKVTRTKNRWKCTLKDGIMHLNGRDVLFNKCTHEFNF >ORGLA05G0089000.1 pep chromosome:AGI1.1:5:9627136:9628592:1 gene:ORGLA05G0089000 transcript:ORGLA05G0089000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYLEVVQSMEKCFTGITVEHLPRGLNEEADALAKSAAYGGPHSPGIFFEVLYAPSVPVESLEVMAIDQAKLGEDPCDWRTPFIKYLETGWLPEDEAEAKRLQLTPTRPTKFSLFMLLYGDEAMTPTELGANSPRVTFSWGEEGREVSLELLEGVRVEALEHMRKYATGTSATYNKKVRLTELLPGHLVLRKKEDPIAVGKLESKWEGPYLIKHKSRMGSFRLATLEGEEFDHSWNPASLKRFYV >ORGLA05G0088900.1 pep chromosome:AGI1.1:5:9618774:9620572:1 gene:ORGLA05G0088900 transcript:ORGLA05G0088900.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSSFSRFEEEVTAALNFIYRTQKELAACNDDLCLTMDGSATSYQLLGNFAAGQKREKERGEAAVITSVTINQNRHYPRHQTSNRPTGGPTINPAGQEKQAAGKDNRAGSRKRPRKLIINDDDEDSNDQTTGPTTSARKKLGYYIHSFILTCTFT >ORGLA05G0088800.1 pep chromosome:AGI1.1:5:9608213:9613831:-1 gene:ORGLA05G0088800 transcript:ORGLA05G0088800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 16 [Source:Projected from Arabidopsis thaliana (AT3G46780) TAIR;Acc:AT3G46780] MPPALTSNPPSFRPLSTPLTRRRAATTFLCRVGPGKPSKDAGADDEPKKRSFFADFGKLSDGRSLIPAFPPAAAGSLFAGGRGRKDPQTVFVAGATGQAGVRIAQTLLRQGFAVRAGVPDLASAQELARLASAYRLISPTEARRLNAVESDFEDPEAIAKSIGPATKVVVTVGAAEKGPDGGVVTTDEALRVVQAADLAGVTHVVVVYDLGAGDPSGESTYNVLDGFTSFFSNLFSRVQSLPLNEFLAKVVETDVRYTLIKTSLTDDYSPESTYGLVLAKEGASSTTSSTETGKVSKLQIAGLVADIFSNVAVAENKVVQVSTSSSVTSKTIEEAFSAIPEDSRRKEYQDAVAKAQAEEETRASQRTRQAEEDTTTVKAGEKKTPSDEAAASEAQASLENLLSRAKGFGTDFSWEKLSTQLAGVATQDSDEVEPKAQIATVRGQAKAKKLAPQRAVVKPAAQKTRPTPKQPESKPDVRPVFGGLFKQETIFVDED >ORGLA05G0088700.1 pep chromosome:AGI1.1:5:9499663:9505560:-1 gene:ORGLA05G0088700 transcript:ORGLA05G0088700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminyl transferase component family protein / Gpi1 family protein [Source:Projected from Arabidopsis thaliana (AT3G57170) TAIR;Acc:AT3G57170] MPARLQECAAFTILGDCMHLPREFEVCCSKQHHQPLGTQSVQKGHFYMTQNSPVVSSGSLESGAQDQSGYSSKWEYDCSILDGLLDACKKSVVKEHNRVHLCFKSSKSLKCNLNQVPVLHYLCLDDQKFETSHCHVVLYDVPTACGNHFSLGEDAPCRSKSSFRKPNWINNLECKRLEFDLDPIILGLNCSNAARLSVAQEAATSNSVARFLFASVVFAIVQVTWHSVGILLASISTIVYIFIQVFQKYLSNIYQYFMLQKVFGHSWKNMHLRCCHILYWPIILQDRSLSSTVNVEYAHKAAIQKHTLWSNIVMDLLTGLFLGVVLLLKTEIICSWTFALVHYMTDSVLRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWSIVGGFLRHIIWGLAFSGILLGLTVPVSFFIDVIQLATLHVTLLQWLISLIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLVLLPTTSIFYIFFSMLSTAVICLCILLEITVSIIHSTPYAELIMWVARRQRFPTGLFFHPVMWSSVSAVDGDGLLSTKGYRKTEHLVLGKSEPLVSELCCNYATFGHIIRPHYRKIFNGVALSFCKQLAYGILSGERVPTTLHLQFSLFPWMHLGIRHYWLLCRDSVLSCAPKRLQ >ORGLA05G0088600.1 pep chromosome:AGI1.1:5:9436306:9437806:1 gene:ORGLA05G0088600 transcript:ORGLA05G0088600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPTLAFSVRRRERELVAPAKPTPYEFKMLSDIDDQDILRFNRSGILFYRHSPSKDGLDPVKVIKAAISETLVHFYPVAGRFRELRPTRKLVVECTGEGVVFVEADANFRMDELGTSLAPPVPCYDMLLCEPESPTADVVDRPLLFIQVTRLACGGFVFGMHICHCMADGSGIVQFLTALTEFARGVHGAPTVRPVWEREVLTARWPPTVTRDHVEYTPLPNPGKDVLSPTDAYAHHVFFFGASEIAALRSQAPPDLRAVSSRFDLVGAFMWRCRTAALRYDPGDVVRLHMFVNARVRNRSKRPVPRGYYGNAIVFAAASVPAGELWRRPFGYALRLLMQAKARASEEGYVQSVANFNAAHRRPPFPKARTYLISDMTQAGLMAIDFGWGKPVYGGPATTMLATFHLEGRNEVGEAGVIVPIRLPNPVIERLIQEVNKGLTAGAVADAKANVVPDDCVLAKL >ORGLA05G0088500.1 pep chromosome:AGI1.1:5:9422355:9422831:-1 gene:ORGLA05G0088500 transcript:ORGLA05G0088500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGNRRLATRNKSSVQQAGLGEWNWIICYSSASSVFAALDKDDDGKVSAFELRGCMVVELGEDVFEEAAAILGKAKG >ORGLA05G0088400.1 pep chromosome:AGI1.1:5:9416690:9421155:-1 gene:ORGLA05G0088400 transcript:ORGLA05G0088400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALITPASGGGGGGGDKAPPSASDPSLGFLTKRDTEVKLPRATRVKNKTPASVQITAEQILREARERQEPEIRPPKQKITDSIELSEYRLRRRKEFEDVIRRVRWNVNAWVKYAKWEEQQRDFARARSVYERALDVAHRDHTLWLKYAEFEMRNRFVNHARNVWDRAVSLLPRVDQLWYKYIHMEELLGAVANARQVFERWMAWRPDTAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFEMKRGEVERARQVYERAADLLADDEDAQVLFVAFAEFEERCREVERARAIYKYALDRVPKGQAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNNDRIREVYERAIANIPPADEKRYWQRYIYLWINYALYEELDAKDVERTREVYSECLKLVPHKKFTFAKMWLMAAQFEIRQRNLKAARQILGNAIGMSPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKEYLQFEIDENEFDRTRELYERLLDRTKHLKVWISYTEFEASAGLAGEDGESEEIKNEVSYHEQQIERVRRCRAIFERAFEYFRTSAPELKEERAMLLEEWLNKEVSFGDLGDVTLVQKKVPRKVKRKRPIPTEDGSTVAYEEYIDYIFPDEVALAPNLKILEAAYKWKKQKTDDTDDV >ORGLA05G0088300.1 pep chromosome:AGI1.1:5:9329340:9329540:-1 gene:ORGLA05G0088300 transcript:ORGLA05G0088300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGKPRRYSESKGISGEGISGELQRLRYDEFGIKEAQQASPSLLGPFAIDQAQPISPLKAHMCK >ORGLA05G0088200.1 pep chromosome:AGI1.1:5:9271504:9273639:1 gene:ORGLA05G0088200 transcript:ORGLA05G0088200.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSISEIAIELKEIWRFRRVTSHIQRRIVTTLSSKERNPVLSYASCVVIALPRVVRAMSDLLQLGSCPVGLP >ORGLA05G0088100.1 pep chromosome:AGI1.1:5:9254484:9255440:1 gene:ORGLA05G0088100 transcript:ORGLA05G0088100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPARYWILARRKLGDQKAPLFPTPHITIGAGGSSASYYESWEERAFAEDSAGHLGGCIWPPRSYSCSFCGREFRSAQALGGHMNVHRRDRARLKLSGVVEDGTGGESHGMPPNQNYMIQPCAPQIGTLQHAYSPNPRSGSILAADTNPNSICDVVAYPARSLLQVAAARTALGKQVLNAPLVSSKSPSAGREHGKRETLFLGAVRLAQDHDTVSSNLDLRVGKNELKITTVLGCRSRRDFMYDNDRADDEETVEASHKKRRIDLEVNPLILTSSTSNHQQQDGGDDQHHEKILKLYRSSSVEELDLELRLGEAPKEQ >ORGLA05G0088000.1 pep chromosome:AGI1.1:5:9243458:9243646:1 gene:ORGLA05G0088000 transcript:ORGLA05G0088000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRPPQRALSPSTNMVMIIVDIDQDLSRVSRTALKLGLAFLDTMLFQKLISWNLVFILLA >ORGLA05G0087900.1 pep chromosome:AGI1.1:5:9239937:9240287:1 gene:ORGLA05G0087900 transcript:ORGLA05G0087900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSLFATIDAPPPHRRDRASSPASPSLPHSPCLLRIPPLRVRIERRLAQGFTGLLQAQPSQFPWPAASHKRIPFEIDLPVVPFGASRGARAGKEFFLAAAVASIIDIGRRPPAPGR >ORGLA05G0087800.1 pep chromosome:AGI1.1:5:9222966:9223259:-1 gene:ORGLA05G0087800 transcript:ORGLA05G0087800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAQEGDGLGDGARLLERRPEAAKLGFLSARYFQRSSSSEKWRPVFLSFLRIQRRQRRPKATTDDDERRGWSGAGAWREKESAGRAIPVAADDGT >ORGLA05G0087700.1 pep chromosome:AGI1.1:5:9124826:9125949:-1 gene:ORGLA05G0087700 transcript:ORGLA05G0087700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARDGLAGGERRWGWLERRQGWLEEVNVHLLEAVARPGVSKGSERHGRRWRRFWTSAAGVLRSTEIRFLSRGGSRDYENPYPVRGWSGDGPRRRGDELPRRSAATMVAADLLRWSAGNTACSRRRHCAGIAEGVQGYSEGAKAVDLALSLRASALAGGGGVGLATAAAVVGAWARQGIDRDGVGFVQPWHSGFRGLRVAQCRVASLLGQRERGRARERQLRVGRAGEGRGEGSGRASGRGAPALPQLCQRESRAEVAWSAWKR >ORGLA05G0087600.1 pep chromosome:AGI1.1:5:9096957:9099867:-1 gene:ORGLA05G0087600 transcript:ORGLA05G0087600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTPPPPPVWRMFFSLSKPLIRRRRRFPVTVGFVTEDTEGPSARQPPAGTKRARPAQPTKDDGAKNIRRKKQADASQMDAELVESHVNKQTIYARCSPKFVFDACAALSPSHRQALSGLRLGQLADMTLDGFEQPDLIRWLMDRTDPKTMTIQISENKKIAITPWKISIVLGTPFGGEPLQYPDKKCMAAAFAQLANELGVPPSSNISVPMLKRLTIDRLHTPRIQLYTKDLVEAISQGDRVKDEEDKIVFGQLNVRIYAISFFYPFSRTVTQTTIRTKSHVVTMLAPLLRMS >ORGLA05G0087500.1 pep chromosome:AGI1.1:5:9062752:9063721:-1 gene:ORGLA05G0087500 transcript:ORGLA05G0087500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADDLANYRVTLNLDHRLDQRRYNVPVTTKVAAVWVEGNERRKFEPSVTIYGNNRTRKCIQPFYGYYDPLSYPLFFPRGESGWHQGLPKDKITMEAANDHHGDDPDWNSMIRVSVRDYYCYKFQMRHGIFNPILHGRRLFQQFAVDMYIKVESSRLDYVRNNQKEIRADLYQGLMDSIQARESPASAVGKRTVLPASFVGGGRNMKRRYMDAIALVQKYGKPDVFLTMTSNPKWDEITREFEPGQTPQDRPDLVVRVFRAKLEDLKKQLFEKHILGKVIAHVYVVEFQKRGTFC >ORGLA05G0087400.1 pep chromosome:AGI1.1:5:9062207:9062527:-1 gene:ORGLA05G0087400 transcript:ORGLA05G0087400.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSYPLYRRRNDGKSRVVRGHPLDNRWVVPYNPYLLRMYNCHMNVEVCSSIKAVKYLFKYLYKGHDRALISINEADSNGEIDEIQRYRDARWVTPPEALWRIYGFDI >ORGLA05G0087300.1 pep chromosome:AGI1.1:5:9059967:9060875:-1 gene:ORGLA05G0087300 transcript:ORGLA05G0087300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQSDMRFADYLLRVGNETEEVNKEGLIGLPSDICVSCKGNETDLERLIDTVFPNLNNNLTDPNYITCRAILSTRNEFVDQINMKMIERFRGDVMTNHSFDRAEDDPHNYYPLEFLNSLTPNGLPPHVLKLKINCPIMLLRNIDLANGLCNGTRLVVRQFGKNAIDAEIVVGQHAGKGVFLPRIPLCPSDDEMFPFRFKRKQFPVRLSFALTINKAQGQTIPNAGVYLPEPVFSHGQLYVALSRATSRTNIKILSMPVEDKKQKKKSKRTSVKDNEKKGKELSKQTTTYTKNIVYREVLSD >ORGLA05G0087200.1 pep chromosome:AGI1.1:5:9058810:9059496:-1 gene:ORGLA05G0087200 transcript:ORGLA05G0087200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHDYDKGNLDAGMTQTDFIIMESAEIPGFGGTSWTDQETLLLLEALEILQAKWGDIAEHVATKNGMMH >ORGLA05G0087100.1 pep chromosome:AGI1.1:5:9051788:9052267:1 gene:ORGLA05G0087100 transcript:ORGLA05G0087100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKALRLRSLASSKGGSPRSGGCGGAKSAPPRWPATVGELTRVQMRISEPAATSSRRPRARHIAQGRAARAAPADAVPDVVELSVAKNMFKAFDLGGHQIARLCSNSTALSFFPPLLLQLLCYLLMDL >ORGLA05G0087000.1 pep chromosome:AGI1.1:5:9022878:9023189:1 gene:ORGLA05G0087000 transcript:ORGLA05G0087000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRSSSLTKSTLGGSSGHASGSGGNDRGLVIHRVVKEAGGAANYPVLTKTNYNEWSLLMKIKLQARCLTACGAPSIREGSRWSSMKIEWRWTPFAAPSPSR >ORGLA05G0086900.1 pep chromosome:AGI1.1:5:9004467:9005012:1 gene:ORGLA05G0086900 transcript:ORGLA05G0086900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAHGHLVLLVAAVALLSAGFLPHVVAKKGGAVPPAVADICSRTPFPDTCKSTAGRHASKYPVIDVVAVLNMQVDAFSKRTAHARERVTKVSRGAQPQQTQALSFCDTMYMNTQDTIGAAERAITFRDKATAKIMLQLAVQDFESCDRPFKQSGINNPLEKIDVELNQMAQNCMTLASMI >ORGLA05G0086800.1 pep chromosome:AGI1.1:5:8999026:8999362:-1 gene:ORGLA05G0086800 transcript:ORGLA05G0086800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDPGLTGGDWWLGWSWGCRVLTEMLDKAGGVCRATVTAKLHGGMAQLQR >ORGLA05G0086700.1 pep chromosome:AGI1.1:5:8990019:8990270:1 gene:ORGLA05G0086700 transcript:ORGLA05G0086700.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIIWYPGAPTSPSGRPPPCLPCCRPRKKKGRRRKGKKEAEEIEVKMEMWGLITRCPWRPRYQCMIFSPCFGFLLGFRSSVGSE >ORGLA05G0086600.1 pep chromosome:AGI1.1:5:8983903:8985940:-1 gene:ORGLA05G0086600 transcript:ORGLA05G0086600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGCLLLSSLLWMLFTPCYAAADTRSKGRPWVPNPAATTSLLADLVNRSSGQALAVSMPDGVPQRYYAYWFIIGCILRNLEGNLPKANKISHETLMGCAKYTTMQPQAILIVKLACLCRSTTFLHTKTFTLDFGILWFKAFRLLAEWSSLVTLHLPLLYIPAFSSSIPGSIVKVSTGSWLTLAHRIAKY >ORGLA05G0086500.1 pep chromosome:AGI1.1:5:8950181:8952790:-1 gene:ORGLA05G0086500 transcript:ORGLA05G0086500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHVLIAALIMVGVLEFANADKYKPTESILVNCGSDKEGQDIDGRKWLSDKDSKWLIDGEKSSIMANADFQDPSLPSPVPYMTARVFTKETMYNFSVGEERHWVRLHFYPASYHDLPAENFFFSVSTSTGITLLKNFSVYITAKALSQAYIIREFTLPPSTTGSLSLIFTPTAMNNASYAFVNGIEIISMPNIFSQAAASVDIAGNEVSTTDSSLQTIYRLNVGGSYVAPTNDSGLSRDWYDDTPYIYGAAVGVTYQANDTVQIKYPKNDPDAEYAAPASVYLTSRSMGPDPKVNKNYKLTWVFEVDGNFTYIVRLHFCELLLSKPNQRVFDILINNKTAQSGADVIGWGGQFVPVYKDYATIMPGGAGDKVLWVQLMPNVGSGSEFFDSLLNGLEIFKMSDSSGNLAGPNPDPSKLLEEAESSAQGKFKSKPSNLKATVIGGAAGGAAAFGIVAAICIVVYQSKKRKVLNNSASHSSGWLPVYGGNSHTSTSKSSGGRSAALINPNITAMCRHFSFGEIKSATKNFDESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGVLEFQTEIEMLSKLRHKHLVSLIGCCEDEGEMILVYDYMAHGTLREHLYKGGKPALSWKQRLEITIGAARGLHYLHTGAKYTIIHRDVKTTNILVDEKWVAKVSDFGLSKTGPTAMNQTHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPREQVSLADHAMSCQRKGTLHDIIDPLLNGKIAPDCLKKFAETAEKCLADHGVDRPSMGDVLWNLEFALQMQETFENGGKTEGADSTSDSTTTSVADSMAANAAALSLISEDMDEEDIANSVVFSQLVRPTGR >ORGLA05G0086400.1 pep chromosome:AGI1.1:5:8905736:8905990:-1 gene:ORGLA05G0086400 transcript:ORGLA05G0086400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGGGFGGGWRGRGRPEVRERADRWAPPVSTREGEGGRVNFGSGREERAGAGRNGPAAQEKERGKRKDRKEKGFSQGFNMALCLF >ORGLA05G0086300.1 pep chromosome:AGI1.1:5:8865925:8866167:-1 gene:ORGLA05G0086300 transcript:ORGLA05G0086300.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRYLAYARQEFDHYDEPRPHCAVTSSKWDLRTALVSSALQTVDIVWVKLDSLCRGELNHLNVPYSRFWSCVIRVIPTPEV >ORGLA05G0086200.1 pep chromosome:AGI1.1:5:8766478:8769440:-1 gene:ORGLA05G0086200 transcript:ORGLA05G0086200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPCEFPTAVLSFRRFLQRRFLGGRHHHRPTSTTATGAAIPPADKLHNQTVMIDLESWLLRSPMSTFPYFMIVAIEAGSFLRGLILLLIYPLLWLLLSHDMRLKAMVMVSFFGLPEKEVVRIGKAVLPKFFLEGMAMEGLEVVRNAKKVVVFSPLFPRVMVEGFLKEYIGVNAVIGREVIAVAGRYVGLLVDHIDMDDGGFVDEVMEETKRGKGDGAVGLAGVGSKMHHLFSRYCKETYVVCDADKKEWQPVPREKYPKPLIFHDGRLAFKLTPCAAVAMYTYLPWGIFLAVFRSLAFGLLPYRVSVPLAAFTGMRSRLIAGPSPDATRRNSGTAGGRLYVCNHRTLLDPITVAAVLNKPITAVTYSVSPVSELIAPIRTARLTRDRDEDRRRMEALLARGDLVVCPEGTTCREPYLLRFSPLFAELTGEVTPVALETRIDMFYGTSTKPAAKWLDPFYFMLNSRPEYHVEFLQPVSTAPVDGEAGGHGHSINAANRVQRVLGEALAFELTEQTRKDKYEMLAGNKGNVKGEAKM >ORGLA05G0086100.1 pep chromosome:AGI1.1:5:8737179:8738878:-1 gene:ORGLA05G0086100 transcript:ORGLA05G0086100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVDHEYSYLFKMVLIGDSGVGKSNILSRFTRNHFSLDSKSTIGVEFATKSLQMEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQSFDNVHRWLRELRDHADSSIVIMMVGNKSDLIHLRAVSEDEGKALAEKEGLFFLETSAMEAVNVEEAFQTIITEVYGIVNRKALAAKEAAAASAPLPSQGKTISIDSAAGNTKRACCSA >ORGLA05G0086000.1 pep chromosome:AGI1.1:5:8724358:8725149:1 gene:ORGLA05G0086000 transcript:ORGLA05G0086000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF617 [Source:Projected from Arabidopsis thaliana (AT2G37880) TAIR;Acc:AT2G37880] MKKKTAASKYLCFASFTICIPSAKQPSGGDAKNRLSFSFPESINGGKDRRCQQHTEEEHKSESIIDPAASIVTRTDGKHCTIIVGTIFGRRSGHVTFCVQRDAAMPPPFLFELSVPMLSLAAEMGSGLLRIALECHHSSGKVVVGAADGDTINNAGTGGGGSRSVWKASCNGRDVGYAVRRRPTDQDCRVLESMRMTTTGVGVLPSTGFSEDGGGGDVLYMRATYERVVGSKDAVSYHLITPGTASGSPQQELSVFLLRTRGD >ORGLA05G0085900.1 pep chromosome:AGI1.1:5:8713304:8716307:1 gene:ORGLA05G0085900 transcript:ORGLA05G0085900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPSRLGLPRLLMVVALVLLALCGFGVHGRNHIHKKPHGGGGGGGRQHRGGGTVVSSPAVPPADEQTQPPGIVPSDPAIPAQPEQCVFDVRAFGAVGDGTTDDTEAFRAAWRAACAVESAVISVPSDGTFTITTTTFTGPCKPGLVFQVDGVLMPPDGPDCWPPSDNRRQWLVFSNLDGLTLRGAGTIEGNGEGWWNLPCKPHRGPNGSTLRGPCDSPTLVRFFMSRNLVVEGLRVENSPEFHFRFDGCSDVRVDGLSIRSPANSPNTDGIHVENTQRVAIYNSMISNGDDCISIGTGSYDVDIQNVSCGPGHGISIGSLGVHNSQACVANVTVRNAVIRNSDNGLRIKTWQGGMGSVSGINFDTVSMENVRNCIIIDQYYCLDKRCMNQSTAVHVTDVSYANVRGSYDVRAAPIHFACSDTVPCTNITMSEVELLPFSGELVDDPFCWSAYGLQQTPTIPPIYCLQDGLPDSLLDNPDLRCR >ORGLA05G0085800.1 pep chromosome:AGI1.1:5:8690603:8699680:1 gene:ORGLA05G0085800 transcript:ORGLA05G0085800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30900) TAIR;Acc:AT4G30900] MTSLSITVMTLNLHEGEQPSESPNSWERRRDICVSVITSYSPTILCTQQGLRWQLDYLQQCLPGYEQFGISRKGSEDNTDEYCTIFYEKEKVELTEGGTFWLSESPSVPGSVSWGATAPCIATWATFQLKRVEPPGFSFQIVNTNLDEDSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGFKGEKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLVETP >ORGLA05G0085700.1 pep chromosome:AGI1.1:5:8679607:8684678:1 gene:ORGLA05G0085700 transcript:ORGLA05G0085700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCADALEWVAYGACGHREVCSTCVVRLRFVMGDKHCCICKTECSSVFVTKAMGDYTKVINDFNIFPPVATEGKVGEYWYHEDSQAFFDDAEHYKMIRAMCRLSCSVCDKAEDQAGQAAQVRRKSKFRSIEQLKGHLFHQHRLYMCSLCLEGRKVFICEQKLYTRTQLNQHVKTGDSEVDGSEVERSGFAGHPMCEFCRSSFYGDNELYTHMSREHYSCHICQRQHPGQYDYFRNYDDLELHFRKDHFLCEDEACLAKKFVVFQSEAELKRHNAMEHGGRMSRAQRNAALQIPTSFIYRRNEQDERRGRGRGRNSHRDGSDRDTPSMQNGSAIIGNGFPSRVDNVTGSVSVSSSSGRGESGQSSGNGRVFEHLSFPPLQDQDIPDARMDSAPDETSFPSLSEQQTRYAHALSQSSLAAKLGDESLFPPLPGSSSNRGSTSTQQGLQSLAKNTLASRLQQRSKGSVKVLHSARPRPSENPESVPPVSSSPQMWPTPDQGLLHSSSSQLRIVRENGIMSSADSAWNPGGGASNRMKHSVSTPNLMSGGSSVQALSTSNGGNKKQQPPQSSQTLPAADDVRAANKTLVERMRSALGMDEDRYSAFKEIAGEYRQGIIGTSEYLSYVEQFGLSHLVPEMARLLPDPQKQKELADAYHTNICFRSLQENSDGLTITSKEGGRKKKGKGKSHDVTETSAAPAKDMKDSLADSFLDTVRKLQLNKTQEGEAEVLSRDGYRSSKGKAQLITGGSSSSTPCLDGDHGAISMASCAKDDVGKGGGSSNSNINKQSKKTSKFLRARLGDNSLATLDLSRPTMSPERPERESQGPQVGLPMRGVWKNGGGQKLFTGNGRK >ORGLA05G0085600.1 pep chromosome:AGI1.1:5:8666776:8675325:-1 gene:ORGLA05G0085600 transcript:ORGLA05G0085600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:I1PU08] MLGLAPLRFVSPPPRGAAAAIGGHSISRGSQLGDGCHCAVPPPGEKDADKARAETTEGASYKWRMVIAYDGTKFKGWQYQPSPPTIQCSIENALTRITKLDRKELCLVGAGRTDTGVHAWGQVAHFTTPFSYHCLDSMHSAINGLLPHEIRVREISAAKPEFHARTSTRSKIYHYKIYNGPVMDPFHNHYAYHSAYKLNSQAMREAAKHFVGTHDFTSFANAVHNDRVRSPIKKIMRFDVIEMGAILQLEIEGTGFLYRQVRNMVALLLQVGREALPPDIVAKIIAARDRKELAKVALSAPPHGLYLMSVNYDKEMLQPPEGAPHISFGRTHQISKCKLLFY >ORGLA05G0085500.1 pep chromosome:AGI1.1:5:8649169:8649387:1 gene:ORGLA05G0085500 transcript:ORGLA05G0085500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSVVCSGAWGGSASNTGGSSGDDGVGLGRGRSTDENLDNGGSGGGDLGNSGSSMREKMMAELALGRPWR >ORGLA05G0085400.1 pep chromosome:AGI1.1:5:8638256:8643480:-1 gene:ORGLA05G0085400 transcript:ORGLA05G0085400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTVMRKSRNFVGPSPPAPPAEITTTLELSSIDRVPGLRHNVRSLHVFRRHKNSGPVVDGDSRRPAAVIRAALARALADYPAFAGRFVGSLLAGDACVACTGEGAWFVEAAADCSLDDVNGLEYPLMISEEELLPAPEDGVDPTSIPVMMQVTEFTCGGFILGLVAVHTLADGLGAAQFITAVAELARGMDKLRVAPVWDRSLIPNPPKLPPGPPPSFQSFGFQHFSTDVTSDRIAHVKAEYFQTFGQYCSTFDVATAKVWQARTRAVGYKPEIQVHVCFFANTRHLLTQVLPKDGGYYGNCFYPVTVTAIAEDVATKELLDVIKIIRDGKARLPMEFAKWASGDVKVDPYALTFEHNVLFVSDWTRLGFFEVDYGWGTPNHIIPFTYADYMAVVVLGAPPMPKKGTRIMTQCVEDKCIKEFQDEMKAFI >ORGLA05G0085300.1 pep chromosome:AGI1.1:5:8635206:8635427:1 gene:ORGLA05G0085300 transcript:ORGLA05G0085300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDRDSIWHTMEVLCAYGMRSRIWKKSKFGTIGYVKFLSCTRGFPKVFRTPSMSLVRGFRLPTSCINKGGA >ORGLA05G0085200.1 pep chromosome:AGI1.1:5:8595241:8595516:-1 gene:ORGLA05G0085200 transcript:ORGLA05G0085200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRQRRPRRRCLPLSFLGLGWDEAATNEGIGSGGRRWMLPPLHALGNASDRGCAWRERGNRHGSHGWLAGFGWPSLTSKGGSLASRIAWPFK >ORGLA05G0085100.1 pep chromosome:AGI1.1:5:8590059:8590802:1 gene:ORGLA05G0085100 transcript:ORGLA05G0085100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSLPCAVVAVLLLALLPTPSTAGDPDLLQDICVADLTSAVKVNGFACKAAVTEDDFYFKGLAAAGNTNNTYGSVVTGANVEKLPGLNTLGVSMSRIDYAPGGLNPPHTHPRATEMVFVLQGTLDVGFITTANKLYTKTISAGDVFVFPRGLLHFQKNNGDTPAAVISAFNSQLPGTQSLAMTLFAASPEVPDGVLTKAFQVGTKEVEKIKSRLAPKKR >ORGLA05G0085000.1 pep chromosome:AGI1.1:5:8588823:8589026:1 gene:ORGLA05G0085000 transcript:ORGLA05G0085000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPQRWAWIKDNVAIELPVERIEAGLTGERKSRLGTASEQADVGFPNPSVGFPSPSHDNLEASHGA >ORGLA05G0084900.1 pep chromosome:AGI1.1:5:8572165:8574699:-1 gene:ORGLA05G0084900 transcript:ORGLA05G0084900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 1 [Source:Projected from Arabidopsis thaliana (AT2G47020) TAIR;Acc:AT2G47020] MNQQLPANLVGVMEQRMKLIEQRSAYLQEQINQPDASPEEYSRANKELHKLESTMDMIEELRSKQEEIEGLKSLMTNSVEEKDFREMAAQELLQALEEEKQLQHKLFRSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKNGWKFDAIDIMESALKGYKEASGTISGPGAYGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAILPQADEVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHVPTGTVVAIQDERSQHQNKAKALKVLRARLYEIERHRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIEDVMEGESLDIFIDALLLSYEMDAIASFAS >ORGLA05G0084800.1 pep chromosome:AGI1.1:5:8569695:8570543:1 gene:ORGLA05G0084800 transcript:ORGLA05G0084800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPFRPSPSAGSIPRGCGGDRCASGRDAWPLHHVRHDGVFCRLCSSCVLLYHPAAFCSACLLLLPPASASASAATAVQEPRLDPILSPPGPTAACSSCGLFVAHHSCVPDSASFVCPSCAAAAEGKPFSYTPAGGGRRALDERAARVLLVAARLAHDSVARAAAAAREDAERCVREAAVARKRSREMLDAAFRALEAEAREAKKPAAAPPPKKKIPKSSEANRDKLLKFNAMQQPALAFAAAAAAAASSMPLSIPSSREDKKPVKQEVQGEPTLNSIKMGS >ORGLA05G0084700.1 pep chromosome:AGI1.1:5:8553972:8554975:-1 gene:ORGLA05G0084700 transcript:ORGLA05G0084700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPVVQVLLLCALAYQAVDAQWTPATATFYGGSDGAGTMGGACGYGNLYNAGYGLNNAALSSALFNDGAMCGACYTIACDTSQSTWCKPGTSITITATNLCPPNYAKKSDAGGWCNPPRKHFDMSQPAWTSIAIYQAGIVPVNFKRVPCQKSGGIRFTINGRDYFELVTVFNVGGSGVVAQVSIKGSKTDWMAMSRNWGQNWQSNAYLNTQSLSFKVKLDDAREVTVWNIAPSNWNFGTTYTSNINF >ORGLA05G0084600.1 pep chromosome:AGI1.1:5:8528509:8529956:-1 gene:ORGLA05G0084600 transcript:ORGLA05G0084600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGMEKQPAMLLVLVTLCAFACKRSVAQSAFATFYGGKDGSGTMGGACGYGNLYNAGYGLYNAALSSALFNDGAMCGACYTITCDTSQTKWCKPGGNSITITATNLCPPNWALPSNSGGWCNPPRQHFDMSQPAWENIAVYQAGIVPVNYKRVPCQRSGGIRFAISGHDYFELVTVTNVGGSGVVAQMSIKGSNTGWMAMSRNWGANWQSNAYLAGQSLSFIVQLDDGRKVTAWNVAPSNWFFGATYSTAWVQF >ORGLA05G0084500.1 pep chromosome:AGI1.1:5:8484662:8487153:1 gene:ORGLA05G0084500 transcript:ORGLA05G0084500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEAAAAALKPMKATSDGVFQGEDPLEAALPLAIVQICIVVVLTRVLAFFLRPLRQPRVIAEIIGGIMLGPSAIGRNSAFINTVFPKQSLTVLDTLANIGLLFFLFLVGLELDLRAIRRTGAGALAIAVAGISLPFVLGIGTSVVLQNTVNRGVPTGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGSGSPFVSLWVLLSGVGFVLSSFFFIRPLLSWMARRSPEGEPVKELYICTTLTIVLAAGFITDTIGIHALFGAFIVGIIVPKEGPFAGVLLEKVEDLISGLFLPLYFVSSGLKTNVLTIKGGDSWGLLVLVVATACIGKIGGTVLASLIVRVPLREAVTLGVLMNTKGLVELIVLNIGKDRHVLNDETFAILVLMALINTFITTPLVMAIYKPARRAPPYKNRAVQRPNPDDELRMMVCFHSTRNIPTMINLMESSRGTRRRGITVYAMHLVELSERSSAINMVHKARRNGMPFWNRRRNGDGDQLVVAFETYQQLSHVSIRAMTAISDLHTIHEDVVTSAHQKRAALIVLPFHKLHQMDGHMESLGDEYQHINQRVLHHATCSIGILVDRGLGGAAQVAASDVSYNIVVLFFGGRDDREALSYATRMVEHPGIALHVIRFVPESGGGGANDKAAADDAFLAEFRGKVAGGNDSIRYEERTSRGKADVVEAIKAMGPTNLFLVGQGSPCAPLMEPSAECPELGPVGSYLAMPDFSTVASVLVMKQYDPTAEHYELVEEVADTAVDIDTPGPRRG >ORGLA05G0084400.1 pep chromosome:AGI1.1:5:8470215:8476342:-1 gene:ORGLA05G0084400 transcript:ORGLA05G0084400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pex2/Pex12 N-terminal domain-containing protein / zinc finger (C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT1G79810) TAIR;Acc:AT1G79810] MADPETLPSPSASTDSSSPPPDAWAAEYRRLLPQWESMHDSSKIAIPISISRVNQFDAARLDVEMSAMLKEQLVKVFSLVKPGLLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAAPITGKEVRTGLEGPGLSVSQKVLYCISTVGGQYIWSRLQSFSAFRRWGDSEQRPLARRAWGLVQHAEGLYRASSFFNLLLFLYGARYKTIVERILKARLVYESPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLLPFSKDKSASSSGDEADCPICRSSPSIPFVALPCQHRYCYYCLQTRCAATSSYRCARCNEIVVAIQRQGSS >ORGLA05G0084300.1 pep chromosome:AGI1.1:5:8463477:8469091:1 gene:ORGLA05G0084300 transcript:ORGLA05G0084300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSGAILRHISSLKDMLDKVNEEIEENIQKTREIESEIVKHSETEKEYIMKESELMKGVSIAEFELNGIIQVAAAETDSLKVMEGNLEFQKATLNGIRKRFSNKMEKFINESKGFQANMLGDLNKDLVLLLKEKGSLGDESENLKMKINAIESSSRDYFADILEELNMENSVLESELQYKISEYMDVMKDISNLKALFSSINS >ORGLA05G0084200.1 pep chromosome:AGI1.1:5:8456858:8457274:-1 gene:ORGLA05G0084200 transcript:ORGLA05G0084200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASNAILSALSPEEFNKVDGLEEAKEIWDILQLAHEGSPAVREAKIELLEGRLGRFVMDDKETPQEMYDRMMILVNKIKGLGSEDMTNHFVVKRLLRAFSPRNPTLVSMIRERKDFKRLTPSDILGRIVSHEMQEEEACE >ORGLA05G0084100.1 pep chromosome:AGI1.1:5:8436613:8439432:-1 gene:ORGLA05G0084100 transcript:ORGLA05G0084100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G19290) TAIR;Acc:AT1G19290] MPHGCHFLRPLSFTCRRRRLHSSLPRADGDGTEAGAATDTTLLGRLTRLLLLHRFPAAERLLASSSPLTPALLHAALRRVRLDPDAALHLFRLAPSRPSLVSHAQLLHILARARRFHDARALLSSLPPHAEPLFPHLAEVYRDFTFSAVSFDLLLRAHADAGQLSSALNVFDGMGKVGCRPSLRSCNRLLNKLVQSGDPGMAAMVYEQMRIAGVLPDEFTVAIMAKAYCRDGRVAQAVEFVEEMEGMGLEVNLVAYHAVMDCYCGMGWTEDARRILESLQRKGLSPNVVTYTLLVKGYCKDGRMEEAERVVKEMKETGDIVVDEVAYGMMINGYCQRGRMDDATRVRNEMRDAGIHVNLFVYNTMINGLCKLGRMEEVQKVLQEMEDVGMRPDKYSYNTLIDGYCREGSMRKAFEMCRLMVRNGLAATTLTYNTLLKGFCSLHAIDDALRLWFLMLKRGVAPNEISCSTLLDGLFKAGKTEQALNLWKETLARGLAKNVITFNTVINGLCKIGRMAEAEELLDRMKELRCPPDSLTYRTLFDGYCKLGQLGTATHLMNKMEHLGFAPSVEMFNSFITGHFIAKQWHKVNDIHSEMSARGLSPNLVTYGALIAGWCKEGNLHEACNLYFEMVNNGMNPNVFICSALMSCFYKEGKVDEANLVLQKLVNIDMIPGCSLSTIEIDKISHVVDTIADGNPHSANVMWNVIIFGLCKSGRIADAKSLFESLRNKRFLPDNFTYSSLIHGCAASGSIDEAFSLRDVMLTAGLTPNIITYNSLIYGLCKSGKLSRAVNLFNKLQSKGISPNGITYNTLIDEYCKEGKTTEAFKLKQKMVEEGYMEEAIKLLDQMIENNVDPNYITYCTLIHGYIKSGNMEEISKLYDEMHIRGLLPTNWIGNWKRSDPVVVNNWNRKGGHMKTYSQC >ORGLA05G0084000.1 pep chromosome:AGI1.1:5:8428572:8430953:-1 gene:ORGLA05G0084000 transcript:ORGLA05G0084000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGRGVFPNKPALPAGPKKRPPTHTVLLPATPPPRPSRSSLPLDSLLLHLTAAPPPPQPPATRRPHPTPTPPHSFLSPAAQSLVAAISSQPLPSLPAFLSSRRDELLRSDLPSLLKALELSGHWEWALALLRWASDEGAADAAALEMVVRALGREGQHDVVCDLLDEMPLPPGSRLDVRAYTTVLHALSRAGRYERALQLFAELRRQGVVPTIVTYNVVLDVYGRMGRSWPRIVALLEEMRAAGVEPDDFTASTVIAACGRDGLLDQAVAFFEDLKARGHVPCVVTYNALLQVFGKAGNYTEALRVLKEMEDSGCQPDAVTYNELAGTYARAGFFEEAAKCLDTMTSKGLLPNTFTYNTVMTAYANVGRVDEALALFDRMKKNGYVPNVNTYNLIFGMLGKKSRFTAMLEMLEEMSRSGCTPNRVTWNTMLAVCGKRGMEDYVTRVLNGMKSCGVELSRDTYNTLISAYGRCGSRTYAFKMYDEMISSGFTPCLTTYNALLNVLSRQGDWSTAQSIVSKMLKNGFKPNDQSYSLLLQCYAKGGNAAGIESIEKEVYVGTIFPSWVILRTLVIANFKCRRLEGIEKAFQEVKAQGYKPDLVIFNSMLAMYAKNGLYSKATEMFDSIKQSGLSPDLITYNSLMDMYAKSNESWEAEKILKQLKSSQVKPDVVSYNTVINGFCKQGLIKEAQRILSEMIADGMAPCVVTYHTLVGGYASLEMFNEAREVVNYMIHHNLKPMELTYRRVVDSYCKAKRYDEAREFLSEISDTDQNFDQKLQHMLEARIKDAQFGR >ORGLA05G0083900.1 pep chromosome:AGI1.1:5:8423053:8424523:1 gene:ORGLA05G0083900 transcript:ORGLA05G0083900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:I1PTZ1] AGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >ORGLA05G0083800.1 pep chromosome:AGI1.1:5:8420429:8420809:1 gene:ORGLA05G0083800 transcript:ORGLA05G0083800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSPSSFPRVIALLPDGRRPSPCRSSSSSLSTACDRSAVEEEEGSGVRGEATRSSLSAACDRGVVEEEEESGVRGEATGSSSSVTCAHGAVEAKPHFPRGKDGGGRRRGRSGGWQGRSVLLSTET >ORGLA05G0083700.1 pep chromosome:AGI1.1:5:8393222:8398952:1 gene:ORGLA05G0083700 transcript:ORGLA05G0083700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDLSPSSAPSSPSSSSEPLPHEFAEYAAVSPAIDGESDGCCVCDDPEVEAFLHGGRLQDRSLREAKELIRRYKPGDLVEGVCGTKSGDYVLPDITTFLLVGPRDAGKSALVNRITRVFDKDDDPDAPDRAQVSCNSKSTGTSFLREYRVPRNSNSICIYDTRSLSSNHENNFKMLQRWMTKGLSHGDIITWDNDNYSKIQNIKSMGRQYSFLRCKTRKVNFVIFVVNGASVLESIENNNKNYIDMLHKTFMYPFLSFGDDKPAVVVTHGDRLTAQQRMHVRNELVELLGIPLQQIFDISGCDDYETDLSVLDMLRYCIQHAEQNFPIKKNYLLEMHGRETLKQIAVGLMGFDAVIETAIIFLCIVILLLRVSDKLVQW >ORGLA05G0083600.1 pep chromosome:AGI1.1:5:8382344:8390433:1 gene:ORGLA05G0083600 transcript:ORGLA05G0083600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS homolog 2 [Source:Projected from Arabidopsis thaliana (AT3G18524) TAIR;Acc:AT3G18524] MEGDDFLPEGGKLPELKLDARQAQGFISFFKKLPQDSRAIRLFDRRDYYTAHGENATFIAKIYYHTMSALRQLGSNSDGLSSVSVSKTMFETIARNLLLERTDRTLELYEGSGSSWRLTKSGTPGNIGSFEDILFANNDMQDSPVTVALFPVFQEGQLYVGLSFVDLTNRKLGLSEFPEDSRFTNVESALVALGCKECLLPADCEKSIDLKPLQDAITNCNVLLTERKKVEFKSRDLVQDLGRIIRGPVEPVRDLVSQFDYALGALGALVSYAELLADDTNYGNYTIEKYSLDRYMRLDSAAVRALNIAEAKTDVNKNFSLFGLMNRTCTVGMGKRLLNRWLKQPLLDVNEINNRLDMVQAFVEDPELRQGLRYQLKRMSDIDRLTHALRKRTANLQPVVKLYQSCIRVSYIKNVLQQYDGSFSALIRTKFLNSLDEWLTEDRFGRFASLVETAIDLDQLENGEYRISPRYSSDLAVLKDELSEVENHINNLHKHTAADLDLTIDKQLKLEKGQLGHVFRISKKDEQKVRKKLTSNYIIIETRKDGVKFTSSKLKKLGDQYQALLGEYTSCQKKVVDDVVRVSASFSEVFENFAAILSELDVLQSFADLATSSPIPYVRPEITASEEGDIILEGSRHPCLEAQDGVNFIPNDCTLVREKSWFQIITGPNMGGKSTFIRQMILTDYDFSFLLDIQVGVNVLMAQVGSFVPCDRASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGASDKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVTRAPTLFATHFHELTALGHKSGDEHQHVPNLGIANYHVGAHIDPSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAEELEDFSTAPNFSDDSKDEVGSKRKRVFSPDDVTRGAARARLLLEELASLPLDEMDGTKAAETVTKLKSDFEKDAADNPWLQQFL >ORGLA05G0083500.1 pep chromosome:AGI1.1:5:8351509:8353045:-1 gene:ORGLA05G0083500 transcript:ORGLA05G0083500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADNEVAVITHRTVDANGLRMHVAEAGPPGAPPVLLLHGFPQVWYAWRHQMRALADAGYRAVAPDLRGYGDSDAPAAELQYTAMHVVGDLVALLDAVVGAGKPVFVVAHDWGALTAWNLCLFRPDRVRALVSLSVAFTPRSPARRPVDGLRALYGDDYYICRIQEPGAIEAEFARLGTELVLRKFLAYRTPGPLMMPKSGWGSPDDEVPLPSWITEEDIKYYASKFDKTSFTGGLNYYRALNKTWELTAPWTGAEIKVPVKFIVGDLDLTYHTPGIQDFIHKGGFKKYVPLLDDVVVMKGVGHFINEEKPKEVSEHVISFIRKLSAEM >ORGLA05G0083400.1 pep chromosome:AGI1.1:5:8331629:8333354:1 gene:ORGLA05G0083400 transcript:ORGLA05G0083400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALALSCGRRQRGKKGGGSGGDCGGRHFHVHYHLPRRVCASSFVPNLLPRFFSLLSACVLVPPVLFLAVLAFLVLFLWFTLLYFIRSLWNKDINGETFERSSNDHGSDADKRLGEGVAEEGKAEKKTLKISSEDCARRFEIEEVLHVNSDDSQNLPSMVSSDGCLNCKMHTDDEKLIKEVTVFEIKRRESGAAIVCSDGLSQKRQPRDMSIDWFEEETKSGDIIKSGDSSPTVLSTFSSEFHDFSDNNEVVGLATDFLDVNNQNKAVLLDSSSHRGIMDNHCKCGEEFSSDKEAPAYHLFENYDFVDKHETKEVVLGDTVVLTFVDDSANDDSEYREDISEQKDPNNLSVLLDNVADIFYQQQEIHKVVVSDDNKPPEVLFLSGKQTVSSSGEFSSPNENGTSGFPFDSVCEDKNGTVAYPSVASHYNIGIEDDKCEDHTDNNIEEASSIASTNCGSADKHQTIQVLPVCLANGDNIDDVASLGSSICEDVEDKDNKSNANCISEGAPHGNGMPLVRSPASWWNLCGVIDVFAGCKD >ORGLA05G0083300.1 pep chromosome:AGI1.1:5:8297281:8300041:-1 gene:ORGLA05G0083300 transcript:ORGLA05G0083300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTLLAAEAALVLVLLFRTPFRRLALLAIDRAKRGRGPVMVKTVAATMLVVLGSSGYSIAKIRRRVGDLGQLTPTDQVLASRHLLEASLMGYSLFLGLIIDRLHHYIRELRTMKKNMEAVTKQSRTLEEAKHGSVEEIQQYQKEISRLNEQVQEFKRLSETKTEELKTAEANTLALQKQSEGLLTEYERLIAENEEFRNQLQSMDLRLSHSDNKKNT >ORGLA05G0083200.1 pep chromosome:AGI1.1:5:8290275:8293174:1 gene:ORGLA05G0083200 transcript:ORGLA05G0083200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVASLLSFVLALPFCLAAPSITTHGSDGGGGSYVSAVGDPGMRRDGLHVAWEAWNFCNEVGQEAPGMGSPRGADCFDLVRAGKFAENGVDENGQPTYTVVHRVTDADNNLRAGDPLPGSPANITDVDLYAAAKELYLGDRCQVPDSPSPWQFWMVMLKNGNLDTTAAICPENGRPARPFSQTSRFPCPGGAGCMNQPLVFHNRTALDATARRLRGGLFGTYDLDAADLGSREVSYYSVTWEKDIGSGGDGGWVFHHKLRTAPKYPWLMLYLRSDATKGFSGGYHYDTRGMTKMVPESPNFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPSWCTRRRIEECPPWHTFRNGTRVHRTDAARFPYAAYHVYCSPGNARAAEQPTTYCDAYSNPQPQEILQLVPHPVWGEFGYPTAKGQGWIGDPRTWELDVGALSQVLYFYQDPGTPPAKRRWSSLDVGTEIYVSKYAEAEWTLSGFDIVVPEECIGSSQGGPVSRCL >ORGLA05G0083100.1 pep chromosome:AGI1.1:5:8276724:8277107:1 gene:ORGLA05G0083100 transcript:ORGLA05G0083100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAESSGQLRPPVPPLLPLLSPAVVLCRLWHELPDRQQRESDEVVAHHVRVRDALRLHGAPDAHLAAVDGRDLTGSTAALAPRPGSRPNSEGMNLRMRPPPISLIAAMRLVWSGTWGDRTAVRR >ORGLA05G0083000.1 pep chromosome:AGI1.1:5:8272618:8274796:1 gene:ORGLA05G0083000 transcript:ORGLA05G0083000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVADAASLLGLLLLLVSCCSLAQSVTTNGGGYVSAVGDPGMRRDGLRVAWEAWNFCNEVGREAPGMGSPRGADCFDLEDGVDEHGKPTRKVVHRVTDADNSLRAGDPFPGTPANITDVDRYAAAKELYLGDRCQVADGPSPWQFWMVMLKNGNLDTSAAICPDNGRPARPFPQGKFPCPGGAGCMNPPLVFHNRTALDATGRRLRGGLFGTYDLGAADLGNKDVSYYSVTWEKEVGGAGGWVFHHKLRTSPKYPWLMLYLRSDATSGFSGGYHYETRGMTKIVPESPNFKVRVNLEVKQGGGPSSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPSWCTPGKVGLCPPWHTFRNGTRVHRTDAARFPYAAYHMYCSPGNARAAEQPTTPCDPYSNPQPQEIMQLVPHPVWGEFGYPTAKGQGWIGDPRAWELDVGAMSQALYFYQDPGTPPAKRRWSSLDVGTEIYVSNHAEAEWTLSGFDILVPKE >ORGLA05G0082900.1 pep chromosome:AGI1.1:5:8248996:8250462:1 gene:ORGLA05G0082900 transcript:ORGLA05G0082900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10330) TAIR;Acc:AT1G10330] MIARSRHAASLAAAACGGTSSAARSYAGLDLLLQENFSAPRRVLQLHALLLTSGALSLPHPHPAATAAFPYNCLIHAHLRLRGSASPPWGPLRIFSAMLARGVRPNRHTFPSLLKSSASFDAATPTLHAQCLRRGLDEDRFVACSLLSAYGRDDHLVRDARKVFDDMASPDLATCNAMLDVLCLSGDMYGARCLFDRMVVRDVVSWTTIISGLTRIGCHWDAVEMFRAFLLQNKGRLSEATLVSVLSACANLDAVEGLAVGMAVHGHVVRHEVQFTAFLGTALIDMYGKYGKLSCCSHIFHLVRDKEVCTWNALLSALANHGKEAEALVKFEMMRSEGFLPNHITFVAVMTACARAGLVETGLDFFEELFTEYKVIPMMVHYGCVVDLLGRAGRFLEAIQIIERMPFMADDSVWGALLGACKIHGNIELSAQIREKLIVLGGQQPGRYVTVRNMYLEEGNWYAATRMGEVMQEAGIKKIVGMSSVVLN >ORGLA05G0082800.1 pep chromosome:AGI1.1:5:8246494:8246733:-1 gene:ORGLA05G0082800 transcript:ORGLA05G0082800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RESLEAMFLRFCYISLERFFLISHSIFSLKFTVHFLVSYSVIYETYTVTFVSYTVIFESSHVNFKFCIGFGLFLEDMVI >ORGLA05G0082700.1 pep chromosome:AGI1.1:5:8239156:8239563:1 gene:ORGLA05G0082700 transcript:ORGLA05G0082700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATILLFLVLAAATAAMAATSASTAPVGMRRSRFLMTQQGQGADPYYYYSCSKKSAAAFCLAAGSPGATCCGGRCVDTGASGEHCGGCNKACKHGRSCCGGRCVDLLSDRDNCGSCSNQCSNKCTYGFCDYAI >ORGLA05G0082600.1 pep chromosome:AGI1.1:5:8226145:8226482:-1 gene:ORGLA05G0082600 transcript:ORGLA05G0082600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAACAAAGRRAEAAAATECDARFRCLALIATVLKWLQDFSDKVEERAKGVAAEVNGLLDEAVALELDMKTRSFFFLVHVFCLCFYDVMMV >ORGLA05G0082500.1 pep chromosome:AGI1.1:5:8223137:8223511:1 gene:ORGLA05G0082500 transcript:ORGLA05G0082500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITVFLFLLVALVVVSAAEASPEPLPARRSRFLLTSSSFYSCTKKSSAVCLAAGSPGATCCGGRCVDTGASGEHCGGCNKACKHGRSCCGGRCVDLLSDRDNCGSCSNQCSNKCTYGFCDYA >ORGLA05G0082400.1 pep chromosome:AGI1.1:5:8211256:8221161:1 gene:ORGLA05G0082400 transcript:ORGLA05G0082400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAMKPASRKRGPPAPDPVELPPPGFVADRAEAAARVERLLRYQFRDGRLLEEALTHQSFADDAVSYQRLEFVGDSALGLAFSNFLYLTNPTLGPGPLSTLRAANISTEKLARVAVRHDLYPLLRRNCPRLDLLVGQFIETVKQEPEDDLGTVPYGGSVVKAPKVLADIVEAIAAAVYVDCKFDLEKLWKRLTWLHGLQEIRWTVNTNNIKDWINNFIYGKRLVTRWLFEPIITAETIDEQPVTMLHELCQKHGKMAQFKTWQKGGMTVVNVFVAGELVGIGSSEQKVIAKLNAARDATRKLAGAKKQVLTTGVGNGLGDEIGELRECKQKLNEQCSRQNWPKPIFKLEKEDGPAHERKFVCSVQVETAKGNFVTIGDPMSRVKDSENSAAQKMLEVILKF >ORGLA05G0082300.1 pep chromosome:AGI1.1:5:8183771:8186306:-1 gene:ORGLA05G0082300 transcript:ORGLA05G0082300.1 gene_biotype:protein_coding transcript_biotype:protein_coding REAKLCVVLAALLLLLGAPGVATADAVATSGSGRGGCTRSCGNISIEYQFGMEPGCYHVVGFNLTCDTRTTHPGCSSIHGMDQLGMDLLADIYMVDQGFNYTTDTFYSNSTEYPPRALPALLKWVIITLTTTSRSGRGGCMRSCGNISIEYPFGVEPGCYHAVGFNLTCNHSYQLPRLFLDDGTVQVLNISIPDGTVRINSGRANLEDNGLGSTNGTWGRWPPNWFFLSESESRLVLMGCNS >ORGLA05G0082200.1 pep chromosome:AGI1.1:5:8179024:8180778:1 gene:ORGLA05G0082200 transcript:ORGLA05G0082200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGGGGGGEGGVRLGVSGWRGRGSWCSCPVGDPTSGRSTMPLWVGTXMALWLRWLPINQAVEERSMRGVMTYRSLCSLSRSLLQRGVSTDELLNGLRELRVDFILLAGYLKLIPVELVQAYPKSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEHYDIGRTLAQRVVPVQANDTPEQLATRVLHEEHQVYVEAVTALCEDRIVWREDGIPLIRSQTNPDEYT >ORGLA05G0082100.1 pep chromosome:AGI1.1:5:8167086:8170139:-1 gene:ORGLA05G0082100 transcript:ORGLA05G0082100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P/MRP protein subunit POP5 [Source:UniProtKB/TrEMBL;Acc:I1PTX3] MGEIHALRNMDDDEVFAYAKRIAAPYNLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVASGIFKSGDPARRARAIVQSVTHCRSSRPSTLPPRRPCWHTFAVELCPNRYMVMEAFIDTAGKGQSDPLILTQLNSTKAIRDSIQINFGECGLAACLGSLQGIYELYSSIVKYVNPITKLCVIRVSREDHQKVWAAITMVRSIGKIPVSFNLRDVSGSIRACKKAALECEEAKFEYYKLAAGDRITPKFVETMESCFNKD >ORGLA05G0082000.1 pep chromosome:AGI1.1:5:8164525:8164902:1 gene:ORGLA05G0082000 transcript:ORGLA05G0082000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAPATGSTTVTVDPSPSSSSSAPASSAPPPAAESVVLRLKRRPKKKVTWKEGTVDNESLGRKSSKKCCIFHKEVPFDEDCSDDEPDGGGGRRSPPGDAGEGTSGGGGCCSSSSHGHGHGHDH >ORGLA05G0081900.1 pep chromosome:AGI1.1:5:8150379:8152690:-1 gene:ORGLA05G0081900 transcript:ORGLA05G0081900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSTAAVPTANTNGNHALSMDSHSSQDVRRRTVVVARKKASPELLADGGFNGTSSVDKITDKKDLSHTIRGESVLGKSKYPLEARKDAIASAAAADRRKKSGAKQEKAKWEIALSVLMKLCLLISAVAWMGQLFWRWQNGDLSFTTLDMESRLSKVEGFKKTTKMLQVQLDILDKKLGNEIDKTRRDITKQFEDKGNKLEIKMKALEGKTDKLDKSLAELRDMGFVSKKEFDEIVEQLKKKKGLDGTVGDISLDDIRLFAKEIVEMEIERHAADGLGMVDYALASGGGKVVKHSEAFRKAKSFMPSRNSLLEPAKKMLEPSFGQPGECFALQGSSGYVEIKLRTGIIPEAVSLEHVDKSVAYDRSSAPKDFQVSGWYEGPEDDSDKESRVVTNLGEFSYDLEKNNVQTFQLERTADSRVINMVRLDFSSNHGNSELTCIYRFRMHGREPGSP >ORGLA05G0081800.1 pep chromosome:AGI1.1:5:8142973:8146613:1 gene:ORGLA05G0081800 transcript:ORGLA05G0081800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L25/Gln-tRNA synthetase, anti-codon-binding domain [Source:Projected from Arabidopsis thaliana (AT4G23620) TAIR;Acc:AT4G23620] MAMLLRRPLRALTLPLLRPHLSTAAAAEVFPADHHPDLLSPPFGYLPGHPRPDAKHDELILAVPRASPGRHVAAKERKAGRVPAIVFEQENGQEGGNKRLVSVQSKQIRKLVDHLGRSFFLSRLFRLQVWSEHAGQGELIESVRVLPRKVHLHAGTDEPLNVTFMRAPSSALLKIDVPLMFIGDDASPGLRKGAYFNTIKRTVKYLCPADIVPPYIEVDLSELDVGQKLLMRDLKVHPALKLLQSPEQPICSIIGSRAPEQKKSK >ORGLA05G0081700.1 pep chromosome:AGI1.1:5:8129511:8136298:-1 gene:ORGLA05G0081700 transcript:ORGLA05G0081700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hapless 2 [Source:Projected from Arabidopsis thaliana (AT4G11720) TAIR;Acc:AT4G11720] MPRRRGTPLPTILLLLAFVGGACGTEILSKSRLESCSHDSDAGGRLKCDRKLVVDLAVPSGASGGEASLVARVAGVEEENDTPLATKSIRDPPVITVSKSATYALYALTYLDRDVAYRPDEKYVKTHKCEPYAGAKVVGECERLWDEKGNVIKQTEPICCPCGPHRVQSKCGDIWSKLTKGKANTAHCVRFPGDWFHVFGIGAWSLRFSIRVQVKKGSSVWDVVVGPENKTVVSGDNFLRVKVVGDYTGYTSIPSFEDNYLVTPRKGTGSSQPQDLGNEHSKWMILDRVRFTLDGLECDKIGVGYEAYRNQPNFCSAPYGSCLGNQLWNFWEYDKRRIDNSQLPLYIVEGRFQRINQHPNAGAHTFSVGVTEDLNTNLLIELMADDIEYVYQRSPAKIIDIRVPTFEALSQVGIANVTTKNIGKLESSYSLTFKCSSGISPVEEQLYTMKPDEVIARSFELRSTTDQAAMHQCEAILKASDFSELDREGYRFSTAATVYNNGAQIGPTNDHKKGGFWDSIKALWRNLIDFLTGRLCWTKCPRLFDFGCHIQYVCIGWILLLLLIPAAVVFLWLLHQEGLFDPLYDWWGLEPDDDYRARRRHQKGRHHRHHHDHRHLHGHGHGHGDHHHHHHGGHHQRRRHHHPPAWDVEGHHHDRQQHSHEAGRNHRRGYGEVVAVAAAPLRLDRASRPGQTEVDAVVEYRERRSRHERHGGHGHRDGH >ORGLA05G0081600.1 pep chromosome:AGI1.1:5:8119474:8121792:-1 gene:ORGLA05G0081600 transcript:ORGLA05G0081600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSHKPLELFHALPPQAPADAPPPPSAFEGFSSILTHFDAGYFRISLSLCGQALLWRTLCGGGGDGDGDEHVQPRALGALARHLPPAASVLLWSLALLSLVALTALYAARCLLRFAAVRAEFRHRVAVNYLFAPWASWLLLLQSAPSSLLRPGAAPRRVLWCAFAAPVLALDVTVYGQWFTEGRTALSMAANPTGHITVVANLVTARAAAELGWREGAVAVFAVAVAHYAVLFVTLYQRLLGANALPAMLRPVFFLFFAAPSMASLAWGAISSSFDTACKMLFFLSLFLFASLVSRPTLFRRAMRRFSVAWWAFPFPLTALAVASVEYAREVEDHAAVVLVLVLSALSVVVTVAVVVCTAIRTSDLLPHGDDDPLPCASSSVMVPLDAFTGSIVSSCV >ORGLA05G0081500.1 pep chromosome:AGI1.1:5:8112819:8114212:-1 gene:ORGLA05G0081500 transcript:ORGLA05G0081500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLPVSAGDASRVLFTGIRDHTDILIEVLLRLPPWSLCQLRCVCKLWLERTTSSTFLSAYAERHTTNPSNWFLLDRTIFIDTAPTPRGPIRALLRNSEPPKVSSIITSSRMCSIRRKESFYDQLPMVVSYSGGLILLTGNENNYYVCNPFTGDTFLLPVPKPQLRNAESLGIVARDGEYVVAELMMSCLRSFSSVNGRWEEKPLVCPQFSRGDMVFSSGGMLHWVDLNCGILSCDPFASEPTVLFINLPEASGRPTRGLDEWIHMRYVGVSAGRLCFFDIDEDDGESGSMSLWALGGNSGEWVLEYKVDFEDLWEDESYDDYSLDEDEVPLVGLVDPLNEHSVYVISQDCLFNIDLKTKQILNCTTQTNAGREVGSSPPIACVVPPLPHLVSPYPSCLRKEASNSDPQEAGSSDKPQKSGKGRRRKSKT >ORGLA05G0081400.1 pep chromosome:AGI1.1:5:8109002:8111618:-1 gene:ORGLA05G0081400 transcript:ORGLA05G0081400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAATSARSSSRAFDWRSVLTASTSALYCFPQCTREDDRERCELDIQGRGCNEENKGNLQLWSIRGKKAVFPGHFKMIEKIRTERGKGFLSLFCLGTKLILLTRGA >ORGLA05G0081300.1 pep chromosome:AGI1.1:5:8092040:8098803:-1 gene:ORGLA05G0081300 transcript:ORGLA05G0081300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1PTW5] MELPRMASRRLPLPLVAQLVVLLGLACGLRSSSAAAAAASGLAGERVTYLPGQPPVDFDMYSGYVTVDKRAGRSLFYWLQEAPAAAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPDGATLFLNDYRWNKVANILFLDSPAGVGFSYTNTTSDLYDSGDKRTAHDSYKFLVKWFEKFPQYKYRDFYIAGESYAGHYVPQLSQLVYRNNKGVKEPLINFKGFMVGNAVTDDYHDYIGTFEYWWNHGIISDGTYRLLNASCVHDSGEHPAPACLAALNASTVEQGDIDMYSLYTPTCNETSTSSAAARQRRLKQGHYPWMTGSYDPCTERYSTEYYNRPEVQRALHANVTGINYTWATCSDILNDNWRDSPRSVLPIYHELIAAGLRIWVFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVGGWSQVYKGLSLVTVRGAGHEVPLHRPRQALILFKHFLQGKPMPDAPTKVQNEA >ORGLA05G0081200.1 pep chromosome:AGI1.1:5:8072318:8079552:1 gene:ORGLA05G0081200 transcript:ORGLA05G0081200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRGEIQELPRPTPPPPPQNTGDKPLTTEETKKEYHKGCPGCQLEKANESRTGIPYLNFFYICIIGITSSLPIQSLFPYQYFMIRDMNIAKREEDIGFYAGFVGETFSSVPWGIFADKYGRKPCIVISILSVIFFNTLFGLSTRYWMAYATEICRKEHQALGMSLVTSSRAVAFVLGPAIGRFLAQETLHLHNDGKVGGAGEDAQISEEVEAQVDLSNIESGKAKVSSDTSLKNLLKNWKFVSSVIIYCTFSLHDVAYLETFSFWAVSKRKFRGLNLTSQDLATVSAISGIGVLVYQFTVYPFIAKYFGPIRPLRPTTILSVLLLTAYPYMHNLHGLELKATITTACNILQNTAVVFMVSKARDRVVLTRYTNKQHICSLINMVAGGQILFLVISMVAVIGLILTFKPFFSMSDALSRS >ORGLA05G0081100.1 pep chromosome:AGI1.1:5:8064078:8064263:-1 gene:ORGLA05G0081100 transcript:ORGLA05G0081100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPAAATAPLVRAHRRHSTRAAALTTPLPRRHLGSPVAAASRQSRRRRCRGKRAASPPPL >ORGLA05G0081000.1 pep chromosome:AGI1.1:5:7986941:8004900:-1 gene:ORGLA05G0081000 transcript:ORGLA05G0081000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLKTRSESDKPKSPSSEELQQKQGRVSGKEQIKHFVYLLESLAMNSADEQEEHVTWLIDLRGWSISSTPLSTSRESMHIVQNYYPGIIAVAILSNTPRIFESFWKIIKHFLEAKMSEKVKFLYTNNPENHKIVSEMFDMDLLETAFGGRNSITIDIDNYAERMRRSDLARGVLIIQTDINLISRHH >ORGLA05G0080900.1 pep chromosome:AGI1.1:5:7976557:7983547:-1 gene:ORGLA05G0080900 transcript:ORGLA05G0080900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEKPTPPRDPPPHPPAPEVAPLVCGFGGGNVKKFVLSGHLRWKQWIKKLQFIINIQGSFDEIAIVAGGCDKNGQVLKSAELYNSETGHWETLADMNLARRLSSSFFLDGFYRCKVAYDIALNRPVITTTVFALYGDRWYQGIYKVEVKYIEIKIFIQVRAPSSEGGERPMYATEISFVWPCWLSQPFDMFGKEVTFVSVEF >ORGLA05G0080800.1 pep chromosome:AGI1.1:5:7899323:7902660:1 gene:ORGLA05G0080800 transcript:ORGLA05G0080800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGISVIVVVRHLAWLVLILCSWPRVAAAQAQQAPKTDPVEAAALNTILGRWGKKASWEWNISGELCSGFASTEIDWDYYPTINPFIKCDCSFSNNTLCHITKLALASNSLSGQLPKELGNPTNLLSLGINLNNFTGVLPEELGNMTKLQQLRASDNGFIGKIPHYFGSMTNLEDIMSFSGFEGNSFEGPIPENLSNLTRLENLILRNCKISGDLRALDFSKFRTLISLFIGTIYVRMHDTKSSSHRAMYVKNNNQRSSIAQIF >ORGLA05G0080700.1 pep chromosome:AGI1.1:5:7827357:7837498:1 gene:ORGLA05G0080700 transcript:ORGLA05G0080700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMNRTRDLLMEGFEGLVREGSFTWGLPRRGASPVGDGDDPDSSSSSGKQASVSGLSPKANAVVSRCARVLCTSTDELRYDFDMQASDSIKQQINYARNFLEYCCLRALAQASQIAGYLSDKSFRRLNFDMMLAWEVPSSSSQLTVKVEVESTVSLEAFSRIAPAIPTITDVVTCSNLFDVLSSSSGGRLTFPVYDKYLTGLDRAIKKMKGQSESSLLSGQRSQRGERIVEIDGTLTTQPVLEHVRTSTWPGRLTLTDHALYFEALRVVTYDKPKAYELAEDLKQSVKPELTGPWGSRLFDKAVMYKSATLTEPVILEFPELAGHFRRDYWLAIISEILYVHRFVRKFDISGIDKEETILKAVLSILRLQAIEELAIPVSNRFESLLMFNLCDKLPGGDVILETLAGSISSRRSAQVNQPGNSSARHSMSPFTVLSNLGVVSPINKGERLFVGEIVVGEMSALQKVVTESMNNYKKVELAQATVDGVKVDGLDTNLAVMKELLSPVSELWRFLVLLASWDEPIKSMVFCFSSSYIIIRGWLVYFLVLVLLFSATFMFFTRLTSHVNPMMEVKVTSPPPMNTMEQLLAVQNAISKVEELVQDANIVLLKIRALLLASPSQATDKTILALVVMALSLAIVPTRLLVLMMFLEVFTNHSPPRRASTERWTRRLREWWFSIPAAPVVVEKDKEDKKTK >ORGLA05G0080600.1 pep chromosome:AGI1.1:5:7758334:7772247:1 gene:ORGLA05G0080600 transcript:ORGLA05G0080600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCGIRGVIRHHLAWLVLILCSWQVAAAQAQQAPKTDPVEAAALNTILGRWGKKASPEWNISGELCSGFATDKTDWDYYPNINPFIKCDCTDSNNTLCHITRLRVTNLNVVGQIPTELQNLTHLVDLNFRNNYLTGPIPPFIGKFTSMQYLSLSLNPLSGLLPKELGNLTNLVSLGIGSNNFTGGLPEELGNLTKLQRLYIDSSGFSGPFPSSFSKLQNLNTLKASDNGFNGKIPEYLGTITNLVEIVLYGNSFEGPIPESLSNLAKLKTLRIGDIVNGISPLAFISNMTSLSTIILRNCKISSDLGAIDFSKFEHLELLDLSFNNITGEVPQSILNLGNLKFLFLGNNSLTGRLPDGISSSLKAIDFSYNQLTGSFPSWASQNNLQLNLVANNFLLESTSDSTLPWGLNCLQQDTPCFRGSPKYYSFAVDCGSNGSTRGSDDTIYEADPTNLGAATYYVTGQTRWGVSSVGNYFQREDAKNIIYSSQNFQNVVDSELFQTARMSPSSLRYYGLGLENGNYTVLLQFAEFAFPDSQTWLSLGRRVFDIYIQGALKEKDFDLRKTAGGKSFRVVNRSFMVTVSKNFLEIHLFWVGKGTDAIPIKGYYGPMISALRVTPNFTPTVRNGIPKRESKAGAISGILIGAIVLVLAALFGVFTLIKKRRALAQQKEELYNLVGRPDVFSYAELKLATDNFNSQNILGEGGFGPVYKGKLPDERVIAVKQLSQSSHQGTSQFVTEVATISAVQHRNLVILHGCCIDSKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIARGLIYLHEESSIRIVHRDIKASNVLLDTNLVPKISDFGLAKLYDENQTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLEIVAGRPNTDNSLEESKICLLEWAWGLYEKDQALGIVDPSLKEFGKDEAFRAICVALVCTQGSPHQRPPMSKVVAMLTGDVDVAKVVTKPSYITEWQHRGGGNSSNTTSSXAEVCLQQIMELLILNSVLVIKVCS >ORGLA05G0080500.1 pep chromosome:AGI1.1:5:7736964:7737383:1 gene:ORGLA05G0080500 transcript:ORGLA05G0080500.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDPNRSSTGLRGPSDGSYIFVRGVTGGAWEYGEENARGGEGRAGAINYFLYIDARYFARVPSTSQHLLPLRSVSSGNDL >ORGLA05G0080400.1 pep chromosome:AGI1.1:5:7701174:7714639:-1 gene:ORGLA05G0080400 transcript:ORGLA05G0080400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSIRGVIRHHLAWLVLILCSWRVAAAQDQQAPKTDPVEAAALNTILGRWGKKASPEWNISGELCSGFAADKTDWDNYRDINPFIKCDCTFNNNTLCHITRLRVTYLDVVGQIPAELQNLTHLVDLNLFRNYLTGPIPSFIGKFTSMQYLSLSFNPLSGLLPKELGNLTNLLSLGISSDNFTGSLPEELGNLTKLQQLYFDSSGFSGPFPSSFSKLQNLKILSASDNVFKGKIPAYLGTMTNLEDIAFHGNSFEGPVPESLSNLTKLTRLWIGDIINGVSPLAFISNMASLSTLILRNCKISSDLGAVDFSMFKQLKLLDLSFNNITGEVPQSILNLGNLNSLFLGNNSLTGKLPDGISSSLKVIDFSYNQLTGSIPSWASQNNLQLNLVANNFLLDTTSESTLPWGINCLQQDTPCFRGSPEYYSFAVDCGSNASIRGSDDTIYEADPTNLGAATYYVTGQTRWGVSSVGNAIDAKNIIYSSQPFQNVVDSELFETARMSSSSLRYYGLGLENGNYTVLLQFAELAFPDSQTWLSLGRRVFDIYIQGALKQKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWAGKGTVDIPTKDNYYGPMISALSVTPNFTPTVRNGIPKRKSKAGAISGILIGAIVLVLAALFGVFTLVKKRRALAQQKEELYNLVGRPDVFSYAELKLATDNFSSQNILGEGGFGPVYKKPWQGKLPDERVIAVKQLSQSSHQGTSQFVTEVATISAVQHRNLVILHGCCIDSKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTNLIPKISDFGLAKLYDENQTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTDNSLEESKICLLEWAWGLYEMDQALGIVDPSLKEFDKDEAFRVIYVALVCTQGSPHQRPPMSKVVTMLTGDVDVAKVVTKPSYITEWQLRGGGYSSNTTSSYAWSSNPELSRQKEITEVSLQVR >ORGLA05G0080300.1 pep chromosome:AGI1.1:5:7593899:7594054:-1 gene:ORGLA05G0080300 transcript:ORGLA05G0080300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVTPTDFSPLLSLVPHNEPCYTKGKSVAHASLWLARLLSHNSSSRTGP >ORGLA05G0080200.1 pep chromosome:AGI1.1:5:7553163:7553797:1 gene:ORGLA05G0080200 transcript:ORGLA05G0080200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLKRDVRILFGTCNDIVEKMNLIDAVHRLGIDHLFQEEIGSAISDIKGSEFTSSSLHEVALWFRLLREHGIWVSPGDSELHLFALIQWNNFSVSVRTNHTTTSVSNCSNCLSI >ORGLA05G0080100.1 pep chromosome:AGI1.1:5:7524809:7531624:1 gene:ORGLA05G0080100 transcript:ORGLA05G0080100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMDGNHIMPISGQGGTWALGAVQWCRWQEGNKFERCWRNTFGAAEFHLYAGPVWNLGYNYLTGAVPSFFGKFTFMKYLAFPFNALSGPLPKELGNLTNLLSLGISFNNFSGQLPEELGNMTNLQQMYIDSCGFSGPFPSTFSKLQNLKILRSSDNDFTGKIPDYLGIMPKLEDIRIGDIVNGSSSLAFISNLTSLSNLILRNCKISGNLAPVDFSKFGVLTLLDLSFNNITGQIPQTILNMTNLEFLFLGNNSFTGSLPDAISPSLKAIDFSYNQLTGGLPSWATQNNFQLNLVANNFELGTIGHSTLPSGLNCLQQDTPCFRGSPEYYSFAVDCGNNRTTRGLDGTIYEPDAAKLGAASYYVTSDTRWGVSNVGNYFLATDGVNIINSPQKIQNVLDSRLFETARMSASSVRYYGLGLENGNYTVLLQFAEFAYPDSQTWLSLGRRVFDIYVQGDLKEKNFDIRKMAGGKSFTAVNRSYTARVSKNFLEIHLFWADFTPTVRNGVPKRRSKAGAIAGITIGALVLGVVSLFGVFLLVKKRRTITQQQEELYNLAGQPDVFSNTELKLATDNFSYQNIIGEGGYGPVYKGKLPDGRVIAVKQLSETSHQGKSQFVTEVATISAVQHRNLVKLHGCSIDSKTPLLVYEYLENGSLDRAIFGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHVSTRIAGTMGYLAPEYAMRGHLSEKADVFAFGVLMLETVAGRSNTNNSLEESKIYLLEWAWGLYEMGQALRVVDPCIKEFDEKEAFRVICIALLCTQGSPHQRPPMSRVVAMLTGDVDVAEVVTKPSYITEWQLRDGGSSSYTTSSYAGSSNPEFSRQRETNPLAQSSPTITKASLVGR >ORGLA05G0080000.1 pep chromosome:AGI1.1:5:7520762:7521464:1 gene:ORGLA05G0080000 transcript:ORGLA05G0080000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWCSRMSGLVWVVLVCSWTWRIAAAQAPQPPKTDPLEAAALNTILGRWGKKASSEWNISGEPCSGLASDKSDWDNYPNINPFIKCDCTFSNNTLCRVLCTMSSMKCPL >ORGLA05G0079900.1 pep chromosome:AGI1.1:5:7510427:7511021:-1 gene:ORGLA05G0079900 transcript:ORGLA05G0079900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFHKEIRRRRQAAGVVPQGGHWVSPESRWKKMTATMHWYPRCPDLRRALGLSAHSDSGFCSRASCQGCSYSGGDQTASTTAPLSTATAIGYRSDTSSAHPPNVKVAGGRVPPGRSAAYRAVTWPEYKAVRKKAFTTGGSTLEMVSTPTATDEHNDVTDIVRDVI >ORGLA05G0079800.1 pep chromosome:AGI1.1:5:7493423:7502588:1 gene:ORGLA05G0079800 transcript:ORGLA05G0079800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSCWQLLWLVLVCSWRIAAAQAQAAPRTDPTEAAALNTILGRWGKKASSEWNISGELCSGLASDKTNWDDYPNINPFIKCDCSYNNNSVCHIIKLRVYKLNVVGQLPSELQNFTYMEDLNLAYNYLSGVVPSFIGKFTSMEYLNLAFNPLSGQLPKEIGNLTNLLMLGVSFNNFTGELPEELGNLVKLEQLYIDSSGFSGPFPLTFSKLQRLKILRAQDNDFTGKIPDNFGSMSSLEDMAFQGNSFEGPIPASLSKLTKLTNLRIGDIVNGSSSLAFISNLTSLSNLILRNCRISGNLGLVDFSKFANLTYLDLSFNNFTGQIPQSILNLGSLEFLFLGNNSLTGSLPDPISSSLKTLNLVANNFVLVGTNSSILPSGLGCLQQDTPCFRGSPEYYSFAVDCGSNSSTSASDNTIFEADPTSLGTAAYYVTSQTRWGVSSVGNFFQGTNGMDRIYSSSKHFQNTVDSKLFETARMSSSSLRYYGLGLENGNYTVLLQFAEFSFTETPTWQSLGRRVFDIYVQGALKEKNFDIRKTAGGKSFTAVNRSYTATVSKNFIEIHLFWAGKGTCCVPTQGHYGPTISALSITPNFTPTVRNGVPKKRSKAGAIVGIVIGASVLGLAALFGIFFLVKKRRTMAQQRKELYDLVGRPDVFSSAELKLATNNFSSQNILGEGGYGPVYKGKLPDGKVVAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKSPLLVYEYLENGSLDQALFRGTGLKLDWTKRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTAIAGTFGYLAPEYAMRGRLTEKADVFAFGVVALETVAGRSNIDNSLEESKVNLFGWAWSLYEKERALEIVDPRIKEFSRDEALRVIHVALMCTQGSPHQRPPMSKVVAMLTGDVEVAEVIMKPSYITEWLHREGDSSYFTNYAGSATPEFSGHKESELSFIS >ORGLA05G0079700.1 pep chromosome:AGI1.1:5:7463910:7464647:-1 gene:ORGLA05G0079700 transcript:ORGLA05G0079700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGARGLIGGRGRHGRLLARTEQLIDTQNQIEARRHRQRHARAPAASITETCSFAKLCMESQSSLPLTARAAADTSVLASAAVLLVKQNVTATASYLSXLHGATAAAGGGDLDGTPYDNADDGMVRYGRAVAARPAGAARRAQWRRRTPLQRRHGHHQAAPTPTFFLFLVGLEIDLEPASLRRTGRTALANVALALVAAALLAATAHALPLAMAREVVAREAVPRPPVPSKPCALWASPPSPSKP >ORGLA05G0079600.1 pep chromosome:AGI1.1:5:7458988:7459224:1 gene:ORGLA05G0079600 transcript:ORGLA05G0079600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAPLPIVHIDAAPCSRQPHRHRALAPPPTPTSPSAAGAAAATYVSPLKLLRSRCRLPCRLPKAPNPPNTSANGEWWATA >ORGLA05G0079500.1 pep chromosome:AGI1.1:5:7443390:7453328:1 gene:ORGLA05G0079500 transcript:ORGLA05G0079500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSMAGVFTHLAWPLLVLVLCSWRIIAAAQAQPRTDPVEAAALNTILGRWGKTASPEWNISGELCSSVASDLSNWDDYPNLNPFIKCDCKYNNGTLCHINKLRVTKLDVVGPIPSELQNLTYLEDLNLGYNYLTGAMPSFMGKFTSMKYLALPFNPLSGPLPKELGNLTNLLSLGISYCNFSGELPDELGNMTSLKQLRASDNEFTGKIPDYFGRMTNLVDVAFQGNSFEGPIPAGFSNLTKLTNLRIGDIVNGISSLGFISNMTSLSNLILRNCKLSGNLEAIDFSKFATLTLLDLSFNSITGQVPQSILNLGMLEFLFLGNNSLTGNLPDVISPSLKTILFAEIFPIISSLEAFLLGIVRTICNYYSFAVDCGSNSSTRGSDNTIYEADPMNLGAGSYFVTGEKRWGISNVGKFDQATNGMDIIYSSDHFQNTVDSKLFETARMSASSLRYYGLGLENGNYTVLLQFAEFAFPDSQGWQSLGKRVFDIYVQGALKEKDFNIKKTVGGKSFTVVNRNYTATVSKNFLEIHLFWAGKGTCCVPTQGYYGPMISALSVTPNFTPTVRNGIPKRGNRAGVIAGVLIGSLVLGLATFFGIFIVVKKRRAMAQQKEELYNLVGRPDVFSNVELKLATDNFSSKNILGEGGYGPVYKGKLPDGRVIAVKQLSQSSHQGKSQFITEVTTISSVQHKNLVKLHGFCIDNNAPLLVYEYLENGSLDRALFRDNNLNLDWAMRFEIILGIARGITYLHEESNVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGRLTEKVDIFAFGVVMLETVAGRSNTNNSLMESEIYLFEWAWDLYEKEQPLGIIDPSLMEYDKDEALRVIRVALLCTQGSPHQRPPMSKVVAMLTGEVEVAEVVTKPSYITEWQLRDGNRSYVTTSYSGSTTHEFNSKDEIKPFT >ORGLA05G0079400.1 pep chromosome:AGI1.1:5:7425605:7427338:1 gene:ORGLA05G0079400 transcript:ORGLA05G0079400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCIRRLFIQQGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPSLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPEVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGR >ORGLA05G0079300.1 pep chromosome:AGI1.1:5:7416019:7424548:1 gene:ORGLA05G0079300 transcript:ORGLA05G0079300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNSSSGGGGFRGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTIMGRWGLTASSEWNISGEPCSGVASDKSDWDNYPKDPAIKCDCSSNNNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLNLNQNYLTGAIPSFIGKFASMKYLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKYLKASDNEFTGKLPDYLGSLTELEDLAFQGNSFEGPIPASFSNLTKLTNLRIGDIVNGSSSLGFISNLTSLTNLVLRNCRISENLETVDFSKFAALTMLDLSFNNITGQVPQSILNLGRLQFLFLGNNSLIGSLPNVISSSLKVIDFSYNHLTGTFPSWATQNNLQLNLVANNIVLGSTKNRLFVCG >ORGLA05G0079200.1 pep chromosome:AGI1.1:5:7395267:7399933:1 gene:ORGLA05G0079200 transcript:ORGLA05G0079200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit I [Source:UniProtKB/TrEMBL;Acc:I1PTU4] MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYSGHNGAVWSCDVSRDSTRLITGSADQTAKLWDVQTGAELFTFRFDAPARSVEFAIGDGLAVITTDNFMGNVPTAQVKRIADDPDDHEESEESLLVISGIKGRINRAVWGPLNRTIITAGEDATIRIWDTETGTCLMQSDNEQGHQKTITSLSKSADWSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPLLDHVVIGGGQDAMNVTMTDRRAGKFEAKFFHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKV >ORGLA05G0079100.1 pep chromosome:AGI1.1:5:7387810:7390967:1 gene:ORGLA05G0079100 transcript:ORGLA05G0079100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKERMRHIRRAIDSRDALSASHLSYTRSLRSVGTALRRYAESEISPESSLSISEADKSPSHSSMASPSPSQAVESTGSPVHQGSQLTPPSTKIHYMKAAGTKPLTFTIDPSAADFVGQESPVSTFVPPPPPLPPELCNSWDFFDSNYTSGSATSNNENGVTLNFSRLKGLRDSRESEAVSLREETTNRSDRMHPELPGDNAAPKQEAQAKKGGMSKPSGSVEVTTEATTSGQVGAKVEEDDMEKELCTEAEDPSEFITHRAKDFVSSMKDIETRFVRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPTARFVSALRVCCNRENILNQETAQNISKVVTWKRSVSSLSSSSKSPLTAAMITDDVGDSNSDFVEQFAMVSGSHSSTLDRLHAWERKLHDEIKASEHVRKTYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKAMLECHHKQFITISLAYHVKSSTMVQQGEHHRRAAAHLWNELDCFSSSFRIWVTAHKSYVESLNAWLQKCVLQPAQDRRRRKRKVSFPPRHALSPPIFVLCRDWLAMMESQSLPTDELCKSIKEVMQLLRGSFDHPADHQNKTTTESQSRNESQECGMLENNEQEVSGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELKQNYEIARDDYQTGRSNAHHV >ORGLA05G0079000.1 pep chromosome:AGI1.1:5:7380149:7383260:1 gene:ORGLA05G0079000 transcript:ORGLA05G0079000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVMAAAARDYQKEIVAKEKAQAASASHDEVDLDELLDDPELEKLHAERIAALKKEVEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKALAPIYLGTKFIKLDAENAPFFVTKLGIKTLPCVILFKKGIAADRLIGFQDLGSKDDFSTRALENILKMKGIIDEKKKDEDDEDDETYMSMNRRVRSSTAQDSDSD >ORGLA05G0078900.1 pep chromosome:AGI1.1:5:7282849:7287839:1 gene:ORGLA05G0078900 transcript:ORGLA05G0078900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSWNLNFNYLTGAIPSFIGKFPSMKYLGLALNPLSGPLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLQQLYIDSSGFSGPFPPTFSKLKNLNTLRASDNEFTGKIPDYLGSLTNLIDLILRNCKVSGNLGPVDFSMFTTLILLDLSFNNITGEVPQSILNMGKLEFLDFSYNQLTGNFPSWATQNNLQLNLVANKFDAGSNNRSKTLLVFVDLQNVFIFLFFKDCSSQHDFRNFKNNGYVFHILTDYSFAVDCGSNSSTRGSDNTIYEAEPTNLGDASYYVTGQTRWGVSNVGKFSLASNGMNIISSSKHFQNAVNSRLFETARMSPSSLRYYGLGLENGNYTILLQFAEVAYPDSQTWQSLGRRVFDIYIQGSLREKDFDIRKMAGGKSFIVVHRSYTATVSNNFLEIHLFWAGKGTCCIPTNGYYGPMISALSVSPNFTPTVQNGVPKRRSKVHTIAGILIGASILGLAALFGIFMMVKKRRTMAQQKEELYNLIGRPDVFSNTELRLATDNFSSQNILGEGGYGMVYKGKLSDGRVIAVKQLSQSSHQGKSQFVAEVTTISAVQHKNLVKLHGFCIDSNTPLLVYEYLQNGSLDTALFGHSRLNLDWGTRFNIILGIASGLTYLHEESSVRIVHRDIKASNILLETDLTPKISDFGLAKLYDEKQTHVSTRIAGTLGYLAPEYAMRGRLTEKVDVFAFGVVVLEIVTGRSNTNNSLEESKIYLFEWLWDLYEKEQVLGIVDPSLKDFNNNEAFRVIRVALLCTQGSPHQRPPMSKALAMLTGEVELSEVVVKPSYITEWQLRDVNRSYATSSYSGSTNPEFSTHKEIEPLTQS >ORGLA05G0078800.1 pep chromosome:AGI1.1:5:7273302:7279804:-1 gene:ORGLA05G0078800 transcript:ORGLA05G0078800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVLEIVEAQSIIHTLFQYGYGLNSILLLSKSEQNCFTGPALLHWEGAQWGRSARSVRAGGCACSDLQIRDQFEPLAGCSCLLKLSSLKELASHLLAGGASSSACAWLLLAASWPVFLLSGEMVVGTVSCCQRGSWRRHAAALVLTVVVFAADTQCLRREHRLPAWTFNAFTLLLSNKKESLSIAWARFSLLTQSGLDLSLPDHVLLQHFRYGLDKESVEYLNISARGSFAHKTTAEGNELLDMILENDSFGQSEAIPEVEIIHEDPLHVESEPDSTAESSSQSQEPEEEEIHLLEIPFQFEEDLFEDYGNTSNYSCEKRPPIKVISNEPLDKAMLKETVKKLTTIMSNEWLREGELSSEAIQIRCLEEICFAFDCLGDRLLKPTVKTFQISTNSTTEGLGIISGVPTRHNNVEEESPPLSLCCTEPLPIPKPSEAVMAISPFEPPESIFDESIEEFNEREDEFGETIDLSKMDQPSRAPIELKPLPSGLRYAFLNGDAESPVIISDELSERETTRLIAVLEKHRAAFGYSLQDLKGISPTLCTHRIPLDPSCTPSREPQRRLNNAMRRSSKRKS >ORGLA05G0078700.1 pep chromosome:AGI1.1:5:7266283:7266701:1 gene:ORGLA05G0078700 transcript:ORGLA05G0078700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQTTAADEEAVDGSEKAAAPAAQEDGEDVVDSSEKAAAPAAQQDGEEAVDGLEKAAVQEESRAAAWAAFHGRAGLGPVPHRNTNSQTRPKM >ORGLA05G0078600.1 pep chromosome:AGI1.1:5:7262898:7264655:-1 gene:ORGLA05G0078600 transcript:ORGLA05G0078600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGDEGWLNHQGSNISPEQFIDVPEATMFGRGSPKFNLIQAAAVQRKPSPKISRHQKKKASPKAVLDRSRASWNAGLEKALVDLLHDHNNDCYRSQNGWSTEAWNRIVKSFHEKFPYVNFTKSHPKAKKFRNKSFPLFEALGELYDGHTAEGTMNFTTIAPSQVPITQPFQDPVTQPSHIPLTQPSQTSQATVTQHYMGEDENFPFDSHVHNAESDDDNLRIVEQPIASDASTRSRMGKRVASATNKHAGTSDKTEKATQRRRQDGKVVEMMGKFLEFKEKQAETEIMQQERARSNVHEDEFPIPVCIAVVDSMEDMSDDEKVLAYDVFKDPQNRAIFMTAKDSTRLKWLRRKITTA >ORGLA05G0078500.1 pep chromosome:AGI1.1:5:7257339:7257749:1 gene:ORGLA05G0078500 transcript:ORGLA05G0078500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRNVTACFLVLLVFLGNSNIAAAECWETTSSSPICVGFLCKGTCWIGAKIWKVKVKVHKCMGSTLSSSCYCYYCDNKP >ORGLA05G0078400.1 pep chromosome:AGI1.1:5:7241932:7242459:-1 gene:ORGLA05G0078400 transcript:ORGLA05G0078400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGKGISSSNNGGVGGGGDEPKAAWEIDLSRLRIRQGAPVKHGFRGSLFRADYDGRDVAVKLLDWGKEGFSTPEQIAHLRASLRGVAEAWHKIDHPNITKFVGASMATADLNIPQGQSTAPPLPPPPPDTPCCVVVEYLTGGTLKSYLIKHMERKLAYKDASASRCQWREG >ORGLA05G0078300.1 pep chromosome:AGI1.1:5:7239750:7240148:1 gene:ORGLA05G0078300 transcript:ORGLA05G0078300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRQRVQAVGHVRRSGAARLLCHRLLEVSPTELRACDANGQNEHGDSFAILDNLEGLPYNAQDEPGDTDEDCREACLNKCYCIAYSSEYGCKLWYYDLYNLSSPNKPPYSKIYVRAPPRPPACHRRPFSGY >ORGLA05G0078200.1 pep chromosome:AGI1.1:5:7228424:7230084:-1 gene:ORGLA05G0078200 transcript:ORGLA05G0078200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVCPNLDREDGLDTVLEVPVPELHREAPRRRGRRGGGGTVKSWVRARMEHGRRRDGAAPSRAEVQLMLGVVGAPLVPQAVEARKAMVAGRGVGGEGEEPLDLEASKARYIVEQYVAAAGGEAALGAATSMYAMGKVRMRTTTTSKANKGKVMGVAAGGEVAGGFVVWQKKPELWCVEMVVAGGVKMSAGSDGKVAWRQTPWQEAHASRGPPRPLRRCIQGLDPKSTADLFSSAAWVGERCVDGDDCFVLRVDADHAVLRARSSGDVEVVRHAVLGYFSQRTGLLVRLEDSHLLRIGLAHAAAESAYWETTMESSIGDYRAVDGINIAHAGRTAVSLSRFESADDAAAAARGSNKRSWGTTTMEETWSIEEVDFNVVGLSMDCFLPPRDLVLNDCSKQQQKEDAAAAVVVKDAAGAAANAKGGSDDGKTNGGDVGRGVVVKKALVPAVTGLGWFGPAKVVAVDDTVDDGVAAAADDDK >ORGLA05G0078100.1 pep chromosome:AGI1.1:5:7220272:7225560:-1 gene:ORGLA05G0078100 transcript:ORGLA05G0078100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASIGDPRLTSVEAAFEKNPLPGFSWLVTPRAMAVAVLLGIVFCFVGMRIQMMTGFVPALNMPVTVLSFFLLKVLARQLQKWRLTVVPFTRQENMFLITCVITCLNLAITGGFATALTGMGTIVAKTLADDLDPRDIIDYIPTGKLIIYFFLIGMAGVLSNIPLNQIMIIDYQLLFPTGSVIGHLINSFHTPEGAYIAKMQVMTIFKVFFGSFSWSIFQWFYSSGSGCGFSSFPTFGLELYKRRFYIDFSATYIGVGMMCPHIVNFGLLFGAIISWGFLYPYLETKHGEWYQTDSPSNLDGLNGYKVFISVTLIVTDGLINFLILVTSAAINFYHIRQQQQQTSGLASYISKNPSMNYDERKRIEMFLSSKIPMFVPVAAYVAWTAISMVAMPAMFDQIKYYHVGVLYLAIPVVGFCNTYATGLTDWSVSNTYAKFSPFIFAAWIARPGAIVASLLVSGITMASLHVSSQAMQDLKSAHMTLTSPRAMIAGQVFGVALSSVVSPCIFRAFEKAAKPGAPLGSKDSVYPCPYAGLYRAICIIGMGGVKGLPKYCVELCVIAVLVTIAIDAMVLVSQLKGWRLHLYIPSMTVIALPFFAGSYFTLDMCLGGLLLLLWKKIDTMSAEILSAAVAAGLICGEGLFTLPSALLNMFKVLPPMCMKFLPSGQEVEVVDSFLNSSGGTVPKT >ORGLA05G0078000.1 pep chromosome:AGI1.1:5:7212698:7219166:-1 gene:ORGLA05G0078000 transcript:ORGLA05G0078000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMIGDPMSATSVEAVFEKQPSPEFRELVTPRAMAVAVVLSVVICFVGMRIQMTAGIVPALNMPASILSFFLLKWLIRLLQSCGFPMLPFTRQENMFLLTCIITCLNLALTSGFATNIIGMTSTVARSLADDPDPRDIMDHVPIGKWIVYLFLVGMTGVLINVPFNQVMIIDYKLLFPTGTVIAQLINSFHTPEGAYVAKMQVATIFKVFFGSFSWSMFQWFYTAGDDCGFQHFPTFGLGLYKHRFYFDFSATYIGLGMICPHIVNFGLFFGAIISWGFLYPFLETKRGQWYQTDSPTSLNGQNGYKVFISVTLIITDGMINFLALITTASINFYQLRKEHDLGLANYFKKHPSLNYDDRKRIEVFLANRIPIPVPVAAYITCAAISTIAIPAMFNQIKFYHLAVLYMVIPVVTFCNTYATGLTDWSVAPTYAKFTTFVFAAWIAKPGAVVASLLASGVIVAALHISSQAMQDLKSGHMTLTSPRAMVTGQIFGVAVGSILCPCVFLAFQSTTKPNAPVGSKQSDYPCPFAGLYRAIGVIGTGGVKELPKHCMTFCVVAFCVTVIIDAVVLVSQKRGWSIHRYIPSMTVIALPFFAGSYFTIDMCVGSLLLLAWTRMNAKSAEMLSSAVAAGLICGEGLFTLPSALLNMFKVQPPMCMKFLSGGKEVEAADSFLNNLGTSRT >ORGLA05G0077900.1 pep chromosome:AGI1.1:5:7201403:7201609:1 gene:ORGLA05G0077900 transcript:ORGLA05G0077900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKKLADLPKSIEEQKARLKSAIKNVADLMKSLKVISRTDAQDAQAIEEVEQIRQRAILAIQRYLSQ >ORGLA05G0077800.1 pep chromosome:AGI1.1:5:7196062:7198908:1 gene:ORGLA05G0077800 transcript:ORGLA05G0077800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kish [Source:UniProtKB/TrEMBL;Acc:I1PTT0] MSALFNFNSFLTVVLLVICTCTYIKIQFPAILNDRTGFRGFFWKAARIGERLSPWVALGCFAMGISTIFF >ORGLA05G0077700.1 pep chromosome:AGI1.1:5:7189267:7193036:1 gene:ORGLA05G0077700 transcript:ORGLA05G0077700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTTSGGGGGGSQPPRGVGLPLVEVQAAAASLRRSEVFYVVKELLGFVLYMHHQIPAVLQNLENEFASLKEEMTEMALPPGEMKPSDQRKYNTRKREVRRRIKKQEKLMNGLSSVFSALQKALDEVPSIEGVLLILGGSLVRPLFVYDITISHGRFDAGSANERGASKLAQSVSRKAIRALISSGAGSLSYTGPTKLFVLVRCPCTLNLPLDFLPKRDFRYSKKVVPLQMCIKCNIAGIQIDNQQTTSIVDASRCTSESTISEVIWFQCKHTIRGLPCKASLEE >ORGLA05G0077600.1 pep chromosome:AGI1.1:5:7185167:7186420:-1 gene:ORGLA05G0077600 transcript:ORGLA05G0077600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLLRLRRSILSAAAAAGRTLSTAAPPSRPRWAMIFHVEAARSSLAALRASFQLAEPPRASHVHVPAHLLDLRPLTDPRSQMRLLGGCVRGASGDGLLLLDFTDGLATGPVVGVHPNGLARQMTGFDRRFDVTRFVCNPVTGQLFRMPDIDGTKDTSWCQFTGILTQSDRPDGPPDRYAVAWLSVDGEDNRRIAMRRFLSQKGEWDKLVGLPSPLPLARQMDVSHEVVAFAGRLWWVDVSWGVVSVDPFSDRPELQFVQLPRGSVTEPVEGIRKLGRFRRVGVSEGRLRYAEVSQKEPFVLSSFALDDNGSSWTLEHRVALSRLGVDGCHPDPEEDDTPRIGVIDPLNASTMYLTIGDSCVAVDMERGEVLGRSQIGCSTGPFSPFTGFLTPCVLPPWLEEFQIPSAGSLLSHALF >ORGLA05G0077500.1 pep chromosome:AGI1.1:5:7174818:7175117:-1 gene:ORGLA05G0077500 transcript:ORGLA05G0077500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGVALALAAAVCFLLVAPASARRPADLPPQAVLLLPEPVDYREAAAEPLLPPKPVADADADASDADLTTAAALAVLDEEERGPAHARASLLCFVFR >ORGLA05G0077400.1 pep chromosome:AGI1.1:5:7152166:7155797:-1 gene:ORGLA05G0077400 transcript:ORGLA05G0077400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFASYVGDLLKQVAQDELTLLLGVSGEIASLHERLNSLKDYLADAERRRITDQSVQGWVRKLKDVMYDVTDILDLCHLKAMQHGGVGSSAPPVNISCLDSLLFCLRNPLFAHDIGSRIKALNARLDAICKSAAAFSFLKLEAYEDMAAPRRPSAADRKTDPVLERSAVVGEKIEEDTRTLVKMLTNGKNKKQNAVVVVAVVGTGGIGKTTLAKKVFNDEAIKEAFDKKIWLSVTQDVNEVELLRTAIRSVSVGGASNGRESNKSLLVPTLVDAIRDKRFFLVLDDMWSDRAWSGLLKVPFSHGAAGSRVLVTTRHDAVARGMQAMHPFHHVDKLCPPDAWSLLKKQVVSSEMEEVEIDETLKDIGMEIIDKCGGLPLAVKVMGGLLCKKERRRADWEKILHDSIWSVPQMPELNYTIYLSYQDLHPCLKQCFLHYSLLPKNVDFFIDTVTSMWISEGFLHGETDDLEQLGEECYKELIYRNLIEPNAEYAGEWVSTMHDVVRSFAQHLARDEALVISSRDEIGRGALKSHKFLRLSIETDDLQPNDEFGWKIIQGQKSLRTLILVGELKINPGDSLITLSSLRTLHIENVNCTSTLVESLHQLKHLRYISLKCGDITRLPENIGKMRFLQYLGLVCENLGRLPNSIVKLGQLSLQELGPLAQLWVLGLSNLENVPAISFAAKARLGEKAHLSYLMLECSSRLGEDGFVEDENGVPAEEQRQIEEVFNALTPPLCIESIEISGHFGEQLPRWMMSRVACAYERLSMVIMDDLACCNQLPDGLCRLPSLYYFQLTHAPAIKRVGPEFLTILPSSSQLRQAHAFPRLKRMILIGMVEWEEWEWDQQLNSVHAMPALEELVIENCKLRRLPPGLSSQATALTSLYLRNIQQLNSVESFASLVKLEVYDNPNLESITSLNRLQKLVIDGCPKMRILEGVPELLRLELKDLDMEELPGYLLQSVSPRHLLLDCSLEMLTAISTGESGPEWSKLSRVQHLKAYADQGDNERRWHVLYTRDPYRFETNIGISSSSSSGESRDDEE >ORGLA05G0077300.1 pep chromosome:AGI1.1:5:7145387:7150621:-1 gene:ORGLA05G0077300 transcript:ORGLA05G0077300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARISFNGGKTAISIGARRVVAKDELNLHFGVSLEIATLHDKLRILKDYLADADRQRITDQSVQGWVTKLKHTIRIKALNARLDAICKSAATFSFLKLESYEDMVAPRRSSVADRRTDPVLEQSAVVGEKIKEDTRALVRRLTDGKHKKQDAVMVVAMAIKEASDKKIWLSVTQDVNEVDLLRTAIKSAGGASDGRESNKSLLVLALVDAIRDKRFFLVLDDVWSERSWDNLLKAPFSHGAAGSRLLITIRHDEVTQRMEAIQPFHHVDKLYPQDAWLLLKKQVASSDDMEEVEIDDTLKDIGMEIIEKCGGLPLAVKQGVSPMHLVLDCSLELLTSIALRESGPEWGKLSHVQHVKAYADQRDDERKWHMLYTREPYSFETNIGDNSSSSTGVN >ORGLA05G0077200.1 pep chromosome:AGI1.1:5:7141616:7144306:1 gene:ORGLA05G0077200 transcript:ORGLA05G0077200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTPAPWLALGTWQERKEHEPRERPRKEHEHSAGELGCRSTQCRQFKIRAVKVKEDLESFVPGVSVPRRGCLEIREEGGEVFISLLNMPRPFTAMKKLDMDEVIKDIAK >ORGLA05G0077100.1 pep chromosome:AGI1.1:5:7139577:7140125:1 gene:ORGLA05G0077100 transcript:ORGLA05G0077100.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXRLASSRVASPDPACSVAAGIGAAIRGLDDGVLDGADGWFQKIVSLMPHRPMSIGGSPRYAVYDTDFGLGRPTKVELLSIDKTPGTVSMARHRDRRGAAGVRHGAVQLMLRRRTEAASSEFVQFGCDSVMLAVGDLFMCGLSVLNYYFKCW >ORGLA05G0077000.1 pep chromosome:AGI1.1:5:7138214:7139215:-1 gene:ORGLA05G0077000 transcript:ORGLA05G0077000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHTGLLMPPSSPRAAFQLAEPPCASHILVPEHLVDPQPCPPGMMRGCSSHARASSGDGLLVLDFTDGLTAAPAAGARGPIPLDGKQLKPDVTRFVCNPLSGELFRVPDIDGTKKTLKWQLVGILTQSGRPNGPPDRYALASFSSNAAADDDDEWSFAVRRFLSQKGEWDEPVVFPSPLPLERPLFVDHDPVTFAGRIWWVDVSWGAISVDPLSDQPELRFVELPGGSVMEPVKDEKRRGLVRYRRLGVSEGRLRYAEASQKEPFVLSSFALDDNGSSWTLEHRVALSRLRVDGGLPLQQEDTPRIGVIDPLNASIMYLKIGVQCISVDMEKG >ORGLA05G0076900.1 pep chromosome:AGI1.1:5:7126746:7128326:-1 gene:ORGLA05G0076900 transcript:ORGLA05G0076900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLPLRRALSAAASAPVRRALSTASRRPPWAMVEKYVAVGSPERRVSLRLAEPPCGSRLIAPAHLVGYAPRVPDPDTDELNAFFAGFVKAASGDGLLLLTFMEVTGTAPLVPGGGGWRALTGISIDPDMTRFVCNPISGELFGLPDIDGTKKTAWFSDIGILTQSERPHGPPDRYAVALFREDSEGYRGGDERFAMRRFLSQTGKWDKLVGLPSSLPLHRRRMNTSTQEVVAFAGRLWWVDLSWGALSADPFSDRPELRFVELPRGSVTQPMEKERRELRRFRRVGVSEGRLRYAEVSQEEPFVLSSFSLDDDGGGWTLEHRVALRRLWPHDQNLCKNTPQIAAIDPLNAGCMHLVVGRQVVSIDMDNGDLLGCTLGGGSDPSVDTLTPCLLPPWLASCRIPRAETLSRNKADAKSKSLSDMLVRVDRKKMT >ORGLA05G0076800.1 pep chromosome:AGI1.1:5:7111252:7121124:-1 gene:ORGLA05G0076800 transcript:ORGLA05G0076800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDANPTATGRRTHSRCPLRAPGLVHQLTQLQNPTCRGLSELHPPRLFGFSFAGKPTTPKMQWLPLRRALSAAASVAARRALSTAAASPSRTPPWAMIYQIPAVRSTAPRAFFILADPPGASNLYVPDHLFDRRPGPGPDNGDVMALLGGMVCTTSGDGLLLLCYSDAHAPVVSTHSGTREPKLIGVDMDPDITRFVCNPITGELFRLPDIDGTKKTLSYGPNAGLLTRSASAAGHGPPDRYAVALLNEDRSRNGDERTFVMRRFLSQTGKWEKLVGLPLPSPLPLPRRMELYLEAVAFAGQLWWVDPTWGAISADPFSHRPELRFVELPRGSVWPMPSTHPVQALGMFRRLGVSEGRLRYVELSNQDPFVLSSFALDDHGGSWTMEHQVELAPLCRNHVNGGGLPSKDYTSTPRIGVIDPLNSSCICVLIGKHVLAVDMDMGKVLGCSLTDESEGSPWAITTCLKPCVLPPWLGSSQIPNAEHRALGGTGPRSRCSPLPLSESELTSVNLVHREPAPPPRLLLDATRLPFSLAFAVRRPPPRLHRRRMQLPLRRALSAAASASAPVRRALSAAAAAAAPVRRALSTAAADADASRHPGWVMIHSIHHATEARTPSPRASLLLAEPPCSSYLLLPDHLVDRRPGPKPGTGIDVVGLLSAVIYATSGDGLLLFAYVDSHAPLSVVSKAFAAGATPTREGELDLDGLNPQDQDLTRFVCNPITGELFRLPDIDGTKKTFFWRHTGLLTRSAAGHGPPDSYAVAMLREHSNSGTFHMWRFLSRTGKWDKIDGLPSPLPLVRRLDIDTEAVAFAGRLWWVDLTWGVISADPFSDRPELHFVELPRGSVWPMPSEDLLVEVQSIHRRVGVSEGRLRYVEVSDKDPFVLSSFALDDDGGSWTLEHRVALGRICEVKGGGPEDTPRIAVIDPLNSSVICVIVGKHVLSVDMEMGKVLGSSPIEEGEGSPWFITSILKSCVLPPWLASSKIPAAGFAGKHIEDIAVKSDPVQSSVLLSSRLYVVLVAGQSAHLFT >ORGLA05G0076700.1 pep chromosome:AGI1.1:5:7105511:7106097:1 gene:ORGLA05G0076700 transcript:ORGLA05G0076700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRGSRGCGSSMNIKSKSKVGIDQSKGAVYENGSTRDRESHPEVLSGTSWRRGEVENFPKCCPEVPGTFTRNLLAGFQFKLVSNG >ORGLA05G0076600.1 pep chromosome:AGI1.1:5:7098438:7099152:-1 gene:ORGLA05G0076600 transcript:ORGLA05G0076600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TELIVEPEKFTPQSCLKLQTINKEEKLAKQDLINYFDPKCNDSKWFQYSQNLLINFSKVALDAKIAIKDITKFQNHSTTVPTTVQCVNCF >ORGLA05G0076500.1 pep chromosome:AGI1.1:5:7096641:7097197:-1 gene:ORGLA05G0076500 transcript:ORGLA05G0076500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINNLCTMGGKPPFFYVVMTGRMTSSCYLAGACCHGIVNVVESCSTEEVSSESLETSRSLPRLHQPNTSSGDGDCRTALRAMVLPSSESPCQSSDRHHPVESLVMTLLVAVISPPTSAGHLRWCYHLPHTRR >ORGLA05G0076400.1 pep chromosome:AGI1.1:5:7088606:7089085:-1 gene:ORGLA05G0076400 transcript:ORGLA05G0076400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHVRSSHHSCLANSTTSMYMFICHGSGSGDGLQEEPPHVEGPTIVVLAEHGDDIAVRRPVASIGAGEEASVAAPGEHGAPLGAFDVREAEQLAGERVADGLHVFWFWVTEVASASGAGGGDMLGEAAFPSSTGHEVGDDRGRDAGIHVNEVEAVAACA >ORGLA05G0076300.1 pep chromosome:AGI1.1:5:7071665:7073813:-1 gene:ORGLA05G0076300 transcript:ORGLA05G0076300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPKRRKALSTDGCTKSTYTECHGFTTPAAEDRALCGTSPRPPSSFLLRYVCQSSTTSVNLAHREPATHTTSSASPLRLLSSLSHSSLRRPPPPRRMRLPLRRALSPAAVRRAFSTAAASRPQWAMIRQAPPVRSPSPHASLLLAEPPRDSYLLVPDHLIDRRPGPDPSSDIRGILGGTVHATSGDGGLLLVVYMDSHAPAPIISKIVTGAFPARPRVSDLAGLDLSDPDFIRFVCNPITGELFRLPDIDGTKNTMFRGCDNAGLLTRSAAGAGHGSPDSYAVAVLGEDRNGGTFNMRRFLSRTGKWEKLVGLPSPLPLPRRMDMYTEAVAFAGRLWWADLTWGVVSADPFSDWPELHFVELPRNSVWPVPSTDLVQEQAMHRRLGISEGRLRYVEVSQEDPFVVSSFALDDDGSGWTLEHEVALGRICQVKGGGPRDTARIAVIDPLNASVMYLIVGKHVLGVDMDMGKVMGCSLADETEGPPYAVTSVLKPCVLPPWLSSSKIPAAGTFSRDNGDAKSKTLSDILVRADSGKR >ORGLA05G0076200.1 pep chromosome:AGI1.1:5:7049535:7050225:1 gene:ORGLA05G0076200 transcript:ORGLA05G0076200.1 gene_biotype:protein_coding transcript_biotype:protein_coding DQINCRRLTKKNIQSGQNARGGTETPNATSYGAQILYNQYSLLNEYGKLMEAGKMSYTNLMQQIIQSPRVTMQKNENSMILTTSRFSPQETDQQDGFYQQQISTTERIYQEFDAIYNNNNMTTWSEVTF >ORGLA05G0076100.1 pep chromosome:AGI1.1:5:7043926:7044819:1 gene:ORGLA05G0076100 transcript:ORGLA05G0076100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRHAALLSLAVVLLFAGLAAASPTSQNTGDTVIFWGRNKDEGSLREACDTGLYTTVIISFLSAFGYKPGYYKLDISGHPVSAVGPDIKYCQSKGILILLAIGGQGGEYSLPTPQAAVELNDHLWYSYLGGHRNGVYRPFGDAIVNGIDFFIDQGGRENYNKLAKLLYAHNKDYRGTVRVMLTATTRCEYPDHRLDEALATGLFHRIHVKKFSDGRCPASSWIQSFQKWAKMYPQSRVLVGVVASREVDREAYISPEDLKKLMQYVFSKLPNFGGVMVWDRFYDKKTGFTGRLRA >ORGLA05G0076000.1 pep chromosome:AGI1.1:5:7035879:7036525:-1 gene:ORGLA05G0076000 transcript:ORGLA05G0076000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTHSILLWLALFILLPAAHSSLAASELRAGPTRASPVEIKRCDSGSKRQQTQHRVRVDGHELGTDLVTSAPPPRQRGKGAQGKADGVDSDGAGDELRVDLAAQHRWCLLLAGGGDWRRVLHPPPPTSLPRQCWRCPIVLIDAGKPLPSPAVSSSLSPHSSSPTLCAFFSDKTDETLCTWRGRDYVRTP >ORGLA05G0075900.1 pep chromosome:AGI1.1:5:7028825:7035445:-1 gene:ORGLA05G0075900 transcript:ORGLA05G0075900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) TAIR;Acc:AT1G03750] MSLHSLKETLQSSSFSQPQSESQPRSPPPLLARRPPKTSLSQQLLRLDASSSSSSFSVSPPPPPRTSPTSDAAADDAPPLPEEEDEVPCIRPRASLPPAAALDSRGPYEPLVLSPPGERPVVQVPSSINCRLLVHQRDGVRFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLSAVIGKDNDHGDQLVEGRKIAPILILCPTSVIRNWENEFAEWARCSVAVYHGPNRDLVLQKVETQRLEIVITSFDTFRIHGKILCGISWDLVVVDEAHRLKNEKSKLYTACLEITTRKRFGLTGTIMQNKIMELFNLFDWIVPGCLGDREHFRVYYDEPLKHGQRFSASERFVQVADKRKKHLVSVLSKFLLRRTKEETIGHLMLGKEDNIVFCRMSDVQKRVYRRMLQQPDVQILINKDLPCSCGSPLTQVECCKTTEPHGIIWSYLHRDNPEGCSLCPFCLVLPCLGKLQQISNHLELIKPNLKDEIEKQKKDAELAAAVFDTDIELVGGGAKSENFMGLSDAEHCGKMRALERLLSLWTLQGDKILLFSYSVRMLDILEKFLIRKGYCFSRFDGTTPMNARQLLIDEFNRCPSKQVFLISTRAGNLGVNLVSANRVVIFDPSWNPAQDLQAQDRSFRFGQRRHVTVFRLLGAGSLEELIYSRQIYKQQLSNIAVSGKIEKRYFEGVQDDKKFQGELFGICNLFRDLSDKLFTSEIIEMHGEHGKGNTAETIGIREIVDTNIFGTQDQMKSSMTAIHNENKNLYHCGIVYAHRNEDVVNTRTNEASNCAEDKTVPRHLEELQSKKNETMHTIKAKSYSLVQKKKEFSRIASFMGMNDLEFSKWLLSVSPLQRHEVLDRYRNAK >ORGLA05G0075800.1 pep chromosome:AGI1.1:5:7021752:7022633:1 gene:ORGLA05G0075800 transcript:ORGLA05G0075800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLAALLSLAVLLSAGLAAVSATSQNTGDTVIIWGRNKDEGSLREACDAGRYTTVIISFLSAFGYIPGTYKLDISGHQVSAVGPDIKYCQSKGKLILLAIGGQGGEYSLPSSQAAVDLHDHLWYSYLGGRRNGVYRPFGDANVNGIDFFIDQGAREHYNELAKMLYDHNKDYRATVGVMVTATTRCGYPDHRLDEALATGLFHRIHVKMFSDGRCPAWSRRQSFEKWAKTYPQSRVLIGVVASPDVDKDAYMPPEALNNLLQFINKQPNFGGVMVWDRFYDKKTGFTAHL >ORGLA05G0075700.1 pep chromosome:AGI1.1:5:7017154:7017426:-1 gene:ORGLA05G0075700 transcript:ORGLA05G0075700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAPASLRQINGTGQGVAEAGKGLLTRSRGRRPFSRPHSSQRRGILGTWEQEIMGGKGQIGRCRLPRVQCDWEAWWSTMMAWQGVGEEL >ORGLA05G0075600.1 pep chromosome:AGI1.1:5:7013569:7016123:-1 gene:ORGLA05G0075600 transcript:ORGLA05G0075600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMARNQPQDEEIDLQKHKNVISFYENPLLRSHLALAFVDKLEERRDLNLAEWNFRLFIKSKDFYNLCAQFCEGSLVLESINRSFITLVPKKSSPEKVNDFRPISLQSVALKLLTKVLADRLQSVIIELIHQNQYGFIKARNIQDCLAWSFEYLHQCQHSKREIVLLKLDFEKTFDTIEHSVILDIMRQKGFDSKWISWISQIFSSASSSVILNGVLGKSFYCKRGVRQGDPLSPLLFVLGADLLQSIVNKACSQGVLTMPIPTPGNEFPIVQYADDTLIFLTASQKELFCLKAILNTFASSTGLKINYSKSCMIPLNVDLEKVDHLSKLFGCSIEQAFQEYLAMSAADTLEHLNDK >ORGLA05G0075500.1 pep chromosome:AGI1.1:5:7010155:7011036:1 gene:ORGLA05G0075500 transcript:ORGLA05G0075500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRLAALAPLAVLLLLSSCLAAAPATAQQSSSIGDTVVFWGRNKAEGSLREACDTGLYNTVIISFLSAFGRGSYKLDLSGHPVVPVGGDIKYCQSKGKTVLLAIGGQGGEYYLPSSQAAADLDDYLWNAFLGGGRSGVARPFGNAVVNGIDFFIDQGATEHYDELARLLHSHSNGGVMLTATARCVFPDQRLQAALATGLFSRIHVKLFNDGRCTWGRRESLEKWAAAYPDSRIFVGIVASPEADRDAYMSHKDLYFDVLQFINKLPNYGGIMVWNRYWDKKTGYINGDVF >ORGLA05G0075400.1 pep chromosome:AGI1.1:5:6990259:6991657:-1 gene:ORGLA05G0075400 transcript:ORGLA05G0075400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWIEAKTVVTALLAFQTGGDRGGSGISADGALRIGRPASRRSWMWRLYWLGLAERGGTLVAAVMGRAKGGRWQTAKAFIAAASRCRSCNGFGTKGGGMVAKGNRDSSSVPTGVGGNSETGGSRRSAVVRSSGGFKPAVVPVLLVAAPLPHREEDRGV >ORGLA05G0075300.1 pep chromosome:AGI1.1:5:6974535:6975428:-1 gene:ORGLA05G0075300 transcript:ORGLA05G0075300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLAPLLVLLLSSSLAAGQSTGDTVVFWGRNTDQLEGSLREACDTGLYTTVIISFLSAFGYIPGTYKLDISGHTVSAVGPDIKHCQSRGILVLLAIGGQGGEYSLPTSQAAVDLEDYLWNAFLGGGRPGVARPFGDAVVDGIDFFIDQGATEHYDELARRLYAHNKDYKGRLGVMLTATARCVFPDQRLQAALSTGLFSRIHVKVFGDGRCASRREELEKWMAAYPQSRVLVGVVASPEADRDGYVSHKDLYYDVLQFINKLPNYGGIMVWNRYWDKKTGWTAGNEPGLLDQVL >ORGLA05G0075200.1 pep chromosome:AGI1.1:5:6964705:6965231:1 gene:ORGLA05G0075200 transcript:ORGLA05G0075200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEAEVKLSIFEDAKSAFDTTEMVGEAGSEVERTRMAALAAGSQFIDAKRILKALEQAGEVAVSLIESLKHKATSVVVATTKSMFMGCGLVPALGGQQDDNNAGEDQKLDSGHLHTRASGPTHAVGPTDLQ >ORGLA05G0075100.1 pep chromosome:AGI1.1:5:6954325:6961075:1 gene:ORGLA05G0075100 transcript:ORGLA05G0075100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLQKSLRDPMVKSFDQGLPRIVFFIVTIYTINREQKNVILNVSMSQWEAAFVVTLSLLFCIHGQLDNLGFISIDCGYITRPSYPDFKTNLTYVADVGFTNTGFIHTVDVGNLQRDLAQRYTTVRYFPNGTRNCYTLKQLTRGGKYLVRATFGYGNYDAFNSPPAFDLYLGANYWVKVNITNSSRAYVHETIAVSPSEFLQVCLVNTGSGTPFISGLDLRSLPANFYPEANVAQSLVLLSFFRETVSFGFNRFHFGTDEHHIRYPVDRYDRFWQRYEDIPGWEDVPDKINGTVKSPQNDTYGAPSDLMRSASTAVNASRMDLPWSSDASMDVGIGPEYIVVLYFAEVQAISDNLLRQFLVSVDNTPLAAAFSPRHMLADVFSGTVLGSDQHSISLITTIISDLPPLISAMEIFLGQTLNESSTGSSDAIAMMTIQTKYSVKRNWEGDPCAPEAFVWDGLSCIHTSIGDIQYNPRGLHRITALNLSFSELIGDIDASFGQLLLLRHLDLSYNNLSGSIPDFLGQMPLLTFLTGNNPNLCGNHTCDPISNKNKRNKFIGFVIAAAIVATVFALSLSALFIWYRRRKTNPDVLPEADPYKSRRFKYKELQVITNDWRNVIGEGGFGHVYAGQLEDVTDVAVKVESQTSLRGNHKQFLAEVQHLTRVHHKNLVSLIGYCNDKKHRCLVYEYMDGGTLEGRLRGREDPPEPPLTWLQRVNIALGSANGLNYLHTLCSPRLIHRDVKAGNILLTANLEAKISDFGLTRPSIHGTVETRTITQLAGTPGYMDPESLQASHPSESNDVYSFGVVLMVVITGRTAIVTINGTEKNLAQCVRDWLSSGRGIEAIADPMIRDDCNLSSVEMVAQLALDCTEPAGQDRPTMADVVTTLTESLQLEMSWSSPHSMRSSTTISSSAGFTGSGRADHDAAADSIAVLQVEQAVVETSTRGFTILDRAPRSQTLDELMNTGSEFSIHGQYVWLP >ORGLA05G0075000.1 pep chromosome:AGI1.1:5:6952764:6953153:1 gene:ORGLA05G0075000 transcript:ORGLA05G0075000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTRASHLGFVPESEDEACGGDPNSEPSPDGCVVPDFEDEACGSDPDSELPPDGGIVPDSEDEACGGDPDSELPPNGGFVPKSEEKACGGDPTLNHLPTAASSLNSRMRRVAATPTPSCLPTAAPSLVGM >ORGLA05G0074900.1 pep chromosome:AGI1.1:5:6946298:6946889:-1 gene:ORGLA05G0074900 transcript:ORGLA05G0074900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLPKNLAFTISVPSPESFHASSCYRKAEDATYAVVSRILGFSTVPRRLRATNVATAPHRRRQQGGSAHPGVSVWAEDLRLEETGCLSPLTRRRGWSLLPLLPPDATSTKLGIQLLSDPNCMVLYSLEVMKIFWYWT >ORGLA05G0074800.1 pep chromosome:AGI1.1:5:6942944:6944072:-1 gene:ORGLA05G0074800 transcript:ORGLA05G0074800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKFQLILSTFVVIAAFTLLPRPCASIEFHRKLSSWSNGGATWYGAANGAGSDGGACGYQAAVDQAPFSSMIAAGSPSIYKSGLGCGSCYQVKCSGNSACSGNPVTVVLTDECPGGPCLSEPVHFDLSGTAFGAMANPGQADQLRAAGVLQIQYNRVPCNWGGVMLTFAVDAGSNPSYFAVLVKYENGDGDLSGMDLMQTGAGAAWTPMQQSWGAVWKLSAGAALQAPLSIRLTSSSGKTLVASNVIPSGWKPGASYTSTVNY >ORGLA05G0074700.1 pep chromosome:AGI1.1:5:6935017:6935304:1 gene:ORGLA05G0074700 transcript:ORGLA05G0074700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVQFIFSPFLDASHTSCKWVVTGSGISIHHSGTSCKWVVTGSLESAFTVQEPITFCTAKCQLLFPLLLLLKKDGYTSHRPYFILAKSFFRIFLLG >ORGLA05G0074600.1 pep chromosome:AGI1.1:5:6926363:6928052:-1 gene:ORGLA05G0074600 transcript:ORGLA05G0074600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1QRP6] MAKVHRLMNAVLRLAAAAAAATAAVVMVTSRETTSFFGIQMEAKYSYTPSFIFFVVAYAVAAAYSLLVLAVPAGSALSRLALTTDVVLGMVLAGAVASAGAISDIAKNGNSHAGWLPVCGQIHAYCNHVMAALIAGFVALAVHFVVVMYSLHIVTDVICPCH >ORGLA05G0074500.1 pep chromosome:AGI1.1:5:6924623:6924871:1 gene:ORGLA05G0074500 transcript:ORGLA05G0074500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLVLVLFFRCMGAVARAPAGPRRQWLRAAVVALCVALTGLVQLQIYSPAVPLSVALAIWAVSVTCFSADFLVASARPQVA >ORGLA05G0074400.1 pep chromosome:AGI1.1:5:6916932:6917399:1 gene:ORGLA05G0074400 transcript:ORGLA05G0074400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNPLRRWKTFLAAFASVDDAIEIEAADLGISRGEIRRARGRIVEMLRGAEDGRVAEELCSVLDEVMAESLLTLRLVPVTPRTLATTDLAGVVGALRKHDSERIRGLATDIVRRCEGGREARPCQDRGRHGEALSDTEAHRGRRSASLLRSG >ORGLA05G0074300.1 pep chromosome:AGI1.1:5:6908972:6910947:-1 gene:ORGLA05G0074300 transcript:ORGLA05G0074300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEEGHHDHVPVYESGSEVLQKLQEKWNSTKQQRYPAMYSSVVGGIILDPLMMVIPIDDHMVHRGHGVFDTAMLSNGYLYELDSHLDRLLLSASKAKISSPFSRETLRAILVQMTAASKCRNGSIKYWLSAGPGDFLLSPKGCTAPAFYAVVIASSATAAAAAAGGHPRLREGVRAITSTVPMKDPFFAAMKSVNYLANALAMAEAEERGAYASVWVDGDGGVAEGPMMNVAFVTGGGDLVVPAFDRVLSGCTARRVLALAPRLVDAGVLRSVGAARISAADARRCAEMMFVGSGLPLLPIVEWDGQPVGDGQVGKIALALSNMLCEDIKAGQDRVLVPYDQAS >ORGLA05G0074200.1 pep chromosome:AGI1.1:5:6899949:6904470:-1 gene:ORGLA05G0074200 transcript:ORGLA05G0074200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAKVGEKPALRKPVFVKVDQLKPGTCGHTLTVKVVSANPVPARGRAGGGGPAVGSRPARIAECLVGDETGVIVFTARNEQVDMLMPGNTAILRNARIDMFKGSMRLAVDKWGRVEVTEPASFAVKEDNNLSLVEYELVNVPE >ORGLA05G0074100.1 pep chromosome:AGI1.1:5:6894128:6895953:-1 gene:ORGLA05G0074100 transcript:ORGLA05G0074100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRLLKRACLLLLVSSWLCSLSDAKRKTPPSPPPPPPPPSSSGLPVRAVCLGGWLVTEGWILPSLFDAIPNKDLLDGAQLQLKAVAAGAYLTAAGQDGAAAVVANRTQAAPSASETFKGAAVVAVAAAPGPSETFQVVRDDGDKSRVRIRAPNGHFLQVALGSNSVTTDYYGESTSWGDDDPSVFVVTKVLELQGEYQICNGYGTAKATPILR >ORGLA05G0074000.1 pep chromosome:AGI1.1:5:6882740:6884740:-1 gene:ORGLA05G0074000 transcript:ORGLA05G0074000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSKNHWSTYIVEDDFKFISASGLTAVRIPVGWWIASDPNPPAPYVGGSLQTLDNAFKWAEKYKLGVIIDLHAAPGSQNPWEHSSSRDGTQEWGTSDANIAETVQVIDFLASRYAKSPSLLAVELMNEPFAPRATLESLMKYYHDGYNAVRKYSSTAYVIMSNRLGPHDPTEFLQFANGFPRAVIDVHYYTVFNDLFNNLTVQQNIDFIKSNFSSELKNVTTQNGPLTFVGEWVAEWRVPNATKEEYQRYAKVQMDVYGQATFGWSYWTLKNVNNHWNLEWMINNGYISLKT >ORGLA05G0073900.1 pep chromosome:AGI1.1:5:6839146:6845465:-1 gene:ORGLA05G0073900 transcript:ORGLA05G0073900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALAGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCVVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVMRMLPRNRLRDDRDRKLRIFSGNEHPFHDRPLEPFMMPRRQVREMRPRARRALLRAQKKEQDRAAAASTKDEENAKNAKSEITA >ORGLA05G0073800.1 pep chromosome:AGI1.1:5:6829959:6830285:-1 gene:ORGLA05G0073800 transcript:ORGLA05G0073800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLQVSALLCLPIHCASFADHYAPPQLVVGDETLEWTGAAPTWVYPVQEDKGEGRKKKGKAARGAAGTSRCSAPSTSAPAESSNSLIEMWTKPSVQVVIDIDGVPV >ORGLA05G0073700.1 pep chromosome:AGI1.1:5:6797782:6799494:-1 gene:ORGLA05G0073700 transcript:ORGLA05G0073700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin family protein [Source:Projected from Arabidopsis thaliana (AT4G19400) TAIR;Acc:AT4G19400] MAADWIWARRSWEKWAGKHVGASGKPVKAALLLNYDPTGPSRLLPVVAEQEGTELKAVDLLPFLDFVRRNNLQMEFFSIGSNQYLVTSIHEHWFCARCVNAVQPEGEGVIVMEIGAYLLVCMMVLLVLLHKQWWLLISLHGTLIEELTNC >ORGLA05G0073600.1 pep chromosome:AGI1.1:5:6794285:6795207:-1 gene:ORGLA05G0073600 transcript:ORGLA05G0073600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSGISPAVHHDLQPGGRSPYDGDDGDRTLVVLLTFGIFFSFVILYLVAGLIWAVVITAAAVVLSFLYLRVRRRRAAVGGTAAAAAPNDVVFIVGAHQAARSTGSSGGGGDVAAAAIVSAIPAFEYKRVNGGGGGEDGGAAAGSGWAQCVICLGLVQVGEVVRRLPACKHMFHVECIDAWLSSHSTCPICRADVVDELAAAAAAAALSLSPYGTELIHMKFITEFL >ORGLA05G0073500.1 pep chromosome:AGI1.1:5:6781630:6790523:1 gene:ORGLA05G0073500 transcript:ORGLA05G0073500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARALLPVGGAAAVAVPRRLRSGEASSTSLSGPVSDGARLVRRMQLRPAPLLGSSTCALPSLRGKRLIRTPAASSSSSLDSTGEAKPVGFAERYPALVTGFFFFMWYFLNVIFNILNKKIFDYFPYPYFVSVSHLLVGVLYCLVGWSFGLPKRAPINSMVLKLLFPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFFNAAASQFILGQQVPLTLWLSLAPVVIGVSMASLTELSFNWTGFVNAMISNISFTLRSVYSKKAMTDMDSTNLYAYISIIALLVCIPPAIIIEGPQLVQHGFKDAIAKVGLAKLVSNLLVVGLFYHLYNQVATNTLERVTPLTHAVGNVLKRVFVIGFSIIAFGNKITTQTGIGTCIAIAGVALYSYIKAKIEEEKTQMKSA >ORGLA05G0073400.1 pep chromosome:AGI1.1:5:6769035:6772563:-1 gene:ORGLA05G0073400 transcript:ORGLA05G0073400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVENSMDDDHFQQNMRNGDGMNVEGGSMEIITRVELELAFASEKLLNLEMLVMEIARKATDFEPPTLEDESVSSETAESAFELDILYGFLDAEVGELDDMISTLETDIQNVEHMVCEDESGGKIKARLDAAMVSLKQMKELVSDIRKESAKFEKAIEFPHDKEGITGDAGYENGIVSSHTSMRTEDQRRNVLQMLEQSIASELDLEKKLSESRCIIEELKLKLHHHDQEKYFLEESIESLCGKTFAAENASEVLLGTSKELVDKVSTIECHLSASRCREGDLESKLGESLMGLSSLQVNAENIQEGSQHSGGTETHPSPELSSLQDKVKELEKQLRESDSQLQLAKASAETFQEEQNVLHAEISTLENIIKSLKEDVSRAESRAQNAELRCMQLTEANIELNGELNSLKSHGSEKTSLLERKLKESHTQLEHAKASLDATVEQQSMLRSTMSDMEHMIDDLKGKVLKAETRAENAESKCTLLTETNLELSEELSFLRGRAESLENSLHEANHVKMSTVKDIGIRTKIITDLVTKLALERERLHQQISLLTKKNKILAQKCKGSVKDDTQLSKNVTGKDVELHSTKLAEEIVPDFLSSQTKAEKPVDPSNEEEKTSSSEDDDSAGEGTAEAVRTIQPSVLNWKYITVAFLILLVAVFIYLPLPEESSSV >ORGLA05G0073300.1 pep chromosome:AGI1.1:5:6767062:6767271:-1 gene:ORGLA05G0073300 transcript:ORGLA05G0073300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMPSCFWILFCSVNFVEVINMLYCHLPSTPIIEILLCLWGIIQMQLKNNICYYVQLRTDCGLEPKPLI >ORGLA05G0073200.1 pep chromosome:AGI1.1:5:6762307:6763431:-1 gene:ORGLA05G0073200 transcript:ORGLA05G0073200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTQAPPPSTPSMVGNPDGGAPAAAADQSAPCATGGEMLVGEGRLRKGKMVAEDQSPPSVSGGAPADLPPGGDTDTLLLGVRRQEDAIAMMTPSMKENVRKCKLAGLRAAAPAARRRPRPVEKKLIGGAAILGAGRPPHVAADEATPPPGAKKPKPDHRAFFWTALGHDMASTTSAGSDTGATLDNIAVVDDNLRANLRYLVASPWRPPARVYGKKMTFTDRSVAQHRLLMSCKGWHNTHRGGDEPFPFEELLTPAEKAAADGEGRPTTAPAGTTTSSASSSTATTPTGSSWNGPNSLKKTTLTSRTRTPPWPTKPXSICGRSVPGGXAMAWMAMRTAGSGWCGRRS >ORGLA05G0073100.1 pep chromosome:AGI1.1:5:6739894:6740115:-1 gene:ORGLA05G0073100 transcript:ORGLA05G0073100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCNANKSGVADYTCHAKEPGVAEVPRQRQIDLVNDNVCHEAPFDMPHVTNGMAVQIGHTDYNGVAGSFLEIS >ORGLA05G0073000.1 pep chromosome:AGI1.1:5:6725261:6733267:-1 gene:ORGLA05G0073000 transcript:ORGLA05G0073000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIVDTFLVHDDGPDPTDPHMLLRLRKKLGKLFKKSKARRKISNLIQDINKKFEQVKARHVKYTVDSVVLKSLAATTIDPRLQNLYKRATELVGIDGPRDDLINKLSLGGDVDVSDKKMKVISVVGFGGLGKTTLAKAVYDHFKPHFKCRASVPVDQNPDMNKAFDDQVEPHFECGAFVPVGRNPDMKKVFRDILIDLDKEKYTNPNMMVLDEKQLMDELKEFVKEKRCFIVIDDIWDKESWKLIRCALQESDCGSRLVITTRISEVATHAGEAYKIQPLSRDNSEKLLYARIVDGEWKYFDNPSAEACEKILKKCGGVPLAIITIASLLASKPGEDWSEVYNSIGFGHEDNDDVGNTRRILSFSYYDLSSHLKACLLYLCVFPEDHVIEKNSLIWKWIAEGFIHEELAAGLGLFELGERCFNELINRSMIQPVETKHEGYVDGCCVHDMVLDMIHSLSSEENFVTVLNGDERQKLPGSIARRLALQRVLVHKDGQLANITLKNVRSLIASQCFGSLCLHTPVLRVLDVINCAESETPNVLEDRDKTMPSHLGSLLHLRYLGLVDTYITKLPREVRYLKFLQTLDLRGSRIKELPEEVGLLTQLVCLRADWEIRVKIGLIGKLTSLQELWIEPAAAVYDDDAASVDDDDDAAAVDDTMKSTMKFVNELGLLRELRVLRTYFEDLNESMERALLESLSNLHNIRDLHIEGPPLVTSSARSVSCLHLRYLCLNCFVFSGLPAWIKSSLAPNLSCLDVVVLIVKEQDMETLARLPELRCLVLRSHETELVSIKIHTEDVGYFQKLRILRILGTSIWFDLHGSKCNITDNRSLASIIMPSLEFLEFAVHMRFLKDATQLGFDKLLSFEKLGRSSLQKVTIVLKCGDARLSEVEEVAAALMNSAAVHPKHPTVQTKWQDEQAVLSPYQEAYMHVSRTPELVNEAWKANIVSSGRIRALRMPVTEASSSKVICLFYRKSGKGLLALSSNAVHKLWKWESNDKNPAGMSTTSVPPQVWQPESDILMNDTANGNPEEAAACSLLSENDCYLISASGGKVSLFNMLNFKTMTTFIAPPPSATFLAFHPHDNNIIAIGTDDSSILLYNIRVDEVKWVLKGHQKKITGLVFSLSMNVLVSSGADSQLCVWSMEDWAKKKSRYIQPPSNHSGALVGDIMVQFHYDQKRLLVVHESQLAIYDVELECLCSWFPSDPLPAPVSSAVYSSDGLLIYAGFCDGAIGVFQVESLMLQCRIAPSAYVPSSVSSGGEIVYPMVVAAHPWKPNQFAVGMSDGAVHVLEPLDTPDDIISNSIQQGRFGDSG >ORGLA05G0072900.1 pep chromosome:AGI1.1:5:6715990:6716517:1 gene:ORGLA05G0072900 transcript:ORGLA05G0072900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIGETEDVEEDGNNNEPITRLQYNALRDVLRREMNSRMDTLEGRTDELSQKFEKTIQDFEVQVNNNMEQLRQNIVQDLEHLLRPDVEDDSVHGSLLDETDGDAAAREKRQAQQRRNIAAAHAMRPHGRGRGNGGNGRGRGRAGRGHAIGAHEDDVDADFALDQDDGHQRHRARN >ORGLA05G0072800.1 pep chromosome:AGI1.1:5:6702528:6702728:1 gene:ORGLA05G0072800 transcript:ORGLA05G0072800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLRCIQTMLAMLLMGSSNLKGRVTHIFWYIFYNGNVLRGKSTCTRPLDNSVSFACTMQIMKILL >ORGLA05G0072700.1 pep chromosome:AGI1.1:5:6690662:6693280:1 gene:ORGLA05G0072700 transcript:ORGLA05G0072700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIGLLLIDAVFIVSLVGPMATMAISLTRLIQHDYDGSDASSKGKLRAALFIFYSLALLHSLCFYFWLLLHFSLEMLPIPASIEEYGHGDGGYYQMLLRQYLQETKTKCANDPKLPGDWNLVTYAVGLLDSASPDDHLDGLRMLDVLAINKQRSVRLELLSSRHSVQNLIEMLEWDGPDQEMRERAARIVADVAAALRVAQMPAGALHCISSLLEASPRSDTLKKPKGEYDSEWVDILSILLRLVMRLISAPGEAGTVLCHEISASNDAVHNLLGILDGQIKFPLQLQENAMDVLSEISIGLSAAMTENLVKKLYHIFLANSGDEETVSEARESNNSEMHNDEESKPPKPAGLKKSLVEKNDELSEERKHLAALLSLLVVICDNLVDADLFSNVTSVNDELAKKLKKIIEANNENTADCLRIVKLTCQVVIAIIHLKPSSLKDFNESNFNDVVSTAFKNMSDIENCMLFAVKDRQITKPAKTLSSLVKETQGLLHNAQETGNNSI >ORGLA05G0072600.1 pep chromosome:AGI1.1:5:6686739:6687317:1 gene:ORGLA05G0072600 transcript:ORGLA05G0072600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESNNNNNSVIQGQHEGGSSAAALQAGRPEPDALINSIAIIRAFTAKVVAGVGFLALTWSTVVLLGGFVSALPIKEFWFLTFISMILASSRSYIVPDVRKFRSEISNPAASTDAG >ORGLA05G0072500.1 pep chromosome:AGI1.1:5:6680988:6682657:1 gene:ORGLA05G0072500 transcript:ORGLA05G0072500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPPKNPKEGMYGISTAMLLSTHEKRVRRGSRCTWCRGSGLEEDCFDSVQHCTAGMKGGGACTEDGREAAPALGRKGMRCLRGSWRMRWEALAPPPVRDERRRRRATRQQGEAAREPLEKRRREAARSGGFPPRPPFQHQPMHMKAVSLSFIPARVDEKHGSLGDMLNFSADGKGVATVDAMVVAKERQVGRRRGCDPIFRDHPIA >ORGLA05G0072400.1 pep chromosome:AGI1.1:5:6677676:6679844:-1 gene:ORGLA05G0072400 transcript:ORGLA05G0072400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETSNDNLVVQGNEIVPSNGEALAEEVQGDELVLAEDLIQGDEVQGNELVSAEMSIPPTSRRRRKKSLVWEHFTIEAVSGGATRACCKLCKQTFAYSSGSKIAGTSHLKRHITLGSCPKIKNQEHKLLLTPAGGTDNDGEGTVERPSKRRYRYTGYANAAFDQERSCSYLAKMIILHDYPLHIVQQPAFTTFIDSLQPRFRVVDVETMEWEVYAVYQKEKENLMQAFNTMPGRISLAIGLWTTSQTLGYVSLAGQFIDSEWKMHRRMLNFMMVSSPHSENALSEAISTSLSDWNMKDKLFTITLDNDCSSHDIYSANLRDYLSNKNNLMLKGQLFVVRCYAHILNAVAQDVIASIHGVIYNIRESIKFIKASPSCEEKFAEIALQLEIPSTKTLCLDVTTQWNTTYLMLLAALDYKQAFSTLETSDDNYNEAPSAEDWKKVEAACNYLKLLYDSAHSIMAAANPTSNLFFHEAWKLQLELSNATGCEDPVFSSIAKDMHERFDKYWKDCNLVLAIAVVMDPRFKMKLVEFSYSKIYGVEAAKYVKVVDDAVHELYNEYVAQPLPLTPAYVEQGGGNNAPASENSTQATAPSTGDGLVDFDMYLSEIATSQPTKSELEQYLDESLTPRIQEFDILNWWKLNTLKYPTLSKMARDILAIPMSMVSSGNSIFSAGTGTRMLDDYRSSSRPEIVEALVCAKDWLQYLPATPEAPSTALVKVDAA >ORGLA05G0072300.1 pep chromosome:AGI1.1:5:6674438:6676688:1 gene:ORGLA05G0072300 transcript:ORGLA05G0072300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIVLMVLAQIVVVFLALLIEAVLIINMLGPMASMVISMIRLIRHDYGDDNGNLRAALFIFYSLALAHSVCFYCWFLLQYFLEKLSKSASKEFGLNKDFGGQILLLQYLRETKAKCADDLSLPGGWNMVTYAVGLLKSVSRDDHLDGLRMLDAFVVNKRPSIRLELLSSSESIQNLIKMLQWTGPALEDQEMRERAARIVADVATGALHIVQIPGALQCISSLLQVSPLRQYCQEVEKGPQKQDQDKEGGEEEKDKNMNTAIDEQITDRLLRMDRRAQKFLFGTMDEQSPFKPQGTRELIHQGLQILERLACDDQNCREICCNQRLLTKIIAPINSPALLHTDYDNAWVDILSILLRLVRLLISAPGEAGTRVCHDISACEDAVRNLLGILGQNATYPMQLQENAMEILTEIAIGSPAIMAEDFIRKLWCIFLSNRGTSRSRRKAGEQLAKLLSAQGGNGQVFVKDVFCENDTVVAQLIDILVQDKECQISAAAILEHLCCHFVRYNELSEICVVKLLRMILDLISKMEIKEETVPGAGESNSFDVHNDEESKPPKQSGPKKPWVPKNDELSEETKFLAALMSLLVVICNKMVDAHVFCYVTSVDAALVKKFKKIIEANNKNTADCLRIVKLACQVVIAIIHLKPSCIKDFNGNHFDAVLSTALKNMSDIDNCMLFAVQDCQITKPARTLSSLVKEAQGLLQNAQDVTVLSD >ORGLA05G0072200.1 pep chromosome:AGI1.1:5:6649215:6649913:-1 gene:ORGLA05G0072200 transcript:ORGLA05G0072200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPEPPRYYAAAGYHRPSPSSSVAASCVVAALFILLAAGGAAAALFLLYRPQAPAIAVTAVQLPSFASRNGTVAFTFQQLASVRNPNRSPLAHYDSSLRVAYAGGEVGSMYIPAGQIDGGRTQYMATSFTVPAFAVTSSAAAAASSSPAQTITVPASGPSPAAVGAVALQQEQPPPQQQVAAPPVMEVDSLLVVKGKVTILRVFTHHVVAAKVCRIGVSPADGRVLGFRC >ORGLA05G0072100.1 pep chromosome:AGI1.1:5:6645878:6646395:1 gene:ORGLA05G0072100 transcript:ORGLA05G0072100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMSKDTSSSYALNMASWDTIPAFEHCADRNCTPKIRCTGGTSEFRVAVIVLY >ORGLA05G0072000.1 pep chromosome:AGI1.1:5:6638374:6643741:1 gene:ORGLA05G0072000 transcript:ORGLA05G0072000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:I1PTM2] MDMDSVECLSLPDSSMDVDDVDGGGAVHHHHHHHHALPPHLPAGVAVGVGPGGRAFPKVNVAGVGGGGAAGAAAAGGAVAGGGAPGGGPPATSVHELLECPVCTNSMFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCSFRPYNCPYAGSECAVAGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >ORGLA05G0071900.1 pep chromosome:AGI1.1:5:6625426:6627456:-1 gene:ORGLA05G0071900 transcript:ORGLA05G0071900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G71680) TAIR;Acc:AT1G71680] MSATEVMEECTETARERREEERLRNVNLDDWLPITSSRTAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWPTGVAAIASSFAITLYTLWQLVELHEPAPGGGKRFDRYHELGQAAFGRRLGVCLIVPLQLIVQVGTDIVYMVTGGQTLKKFVELACDGRCADIRLTFYIMMFASAQFVLSQCPNFNSISAVSAAAAAMSLCYSMIAFFASVLKAHPAAAAAVDYGFKATTAAGRVFGAFNALGAVSFAFAGHNVVLEIQATIPSTPERPSKRPMWRGVVVAYAVVALCYFTVAFGGYHAFGNAVAPNVLISLEKPRWLVAAANLMVVVHVIGAYQVYAMPVFDMIETVLAKKLHLRPGLPLRVTARSAYVALTMFIGITFPFFDGLLGFFGGFGFAPTTYFIPCIIWLIMRKPAKYSLSWLMNWCFIIIGMLLMLVSPIGGLRQIILDASKYKFYS >ORGLA05G0071800.1 pep chromosome:AGI1.1:5:6585774:6586112:1 gene:ORGLA05G0071800 transcript:ORGLA05G0071800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRIRRDDGSGDNPAREGAASADPTWHGEARRRRIRPPLRAPRTRIRLRWCVSGGSTADPVTGRLRATAEAAADRAAAPRPTHTAVTSAAASSNTEGHGGAAANTSMTLRR >ORGLA05G0071700.1 pep chromosome:AGI1.1:5:6551665:6575262:-1 gene:ORGLA05G0071700 transcript:ORGLA05G0071700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:I1PTL9] MWRLKVSEGRSPGLRSVNGFLGRCVWEFHPDAGTPEERAEVERVRREFTAHRFERRESQDLLLRMQYAKQNRVQVDAPTDELVYSTQVTEEIILASLRRALTQHSALQAHDGHWPGDFSGIMFIMPILIFALYITGSLDVVLSAEHRREICRYIYNHQNEDGGWGKEVLGLSTMFGSCLNYVALRLLGEERSNDALTKGRAWILSHGSAAAIPQWGKIWLSVIGLYDWSGNNAIIPELWLVPHFLPIHPGRFWVFCRLIYMPMAYLYGKKFVGTITPTILQIREELYSVPYNEINWIIARDTCAKEDLRYPRSFVQNVIWTCLNKIVQPILNLWPLNNLRHVALNNLMKHIRYEDECTKYIGLCPINKALNMICCWIDNPNSDAYRLHLPRIYDYLWVAEDGMKFEVYDGCQSWETSFIVQAYCSTDLVNEFSQTLIKAHEFIKKSQVLENTPDNEAYYRHRSKGSWTLSTADNGWCVSDCTAEALKALLMLSKISPDVAGDAINGERLYDAVDGMLSFMNKDGTFSTYECERTTHWLEVLNPSETFLNIIVDYPSVECTSSVLQALIMFSECYPVYRKEEIGKCIKNASKFIENKQRKDGSWFGTWGICFTYGTFFAIKGLVAAGRTYENSSSIRKACNFILSKQLSTGGWGETYLSSETEVYVGASRSHVVNTAWAMLALIYAGQVERDPSPLYHAAKELINMQLETGEFPQQEHVGCFNSSFYFNYSNYRNLFPIWALGEFRRRLVLRKN >ORGLA05G0071600.1 pep chromosome:AGI1.1:5:6535211:6536599:1 gene:ORGLA05G0071600 transcript:ORGLA05G0071600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGMCAAAQVPRRQRSRREESMERTEGQVVVSTPSGSTGNGDGDGALVVSTTSWDRNRTPRVRPRETTTVAAAADRSVLGGNGGGSVDVADGDSNNAEKEQRRKEEFDRERRRKGKMVAEEESPSTASGGGMPIGLPAADKLMGYAIRGGRRQHVTTAIGTCKNTKRRGHGKFWASLGRDGASTSSAGSDMVVPLDDIAVVHDRLRSLLNGLGAVSPPVRVYGKMMWRSDRLKSQNRLQISRKKDGKLSPFDSILTLAEKSAATSKRKKKSSKPKNKKNGESKEKKDEHKEYNDNGTNKPNNEPNNGDDGLFVQAYDRTGEEYILTLKYIKANNSYRLMGRPWKTFLKNCSLTLQEDAKKKGKKAIKKVKKVAIANEAMIDLWVFRSQKLSHGKDDHNDGRLGLVMVHYFKGDAPHADAAFKANEELLLLAPKKRKKKHEGASSSHDAVTEALPQEEPNVV >ORGLA05G0071500.1 pep chromosome:AGI1.1:5:6531210:6531560:-1 gene:ORGLA05G0071500 transcript:ORGLA05G0071500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRRVLTPFGLDTADPVLTEVELAPSRPDREGAHRPRRIQDRRRRSWCRWRRSWHRQRRPWRARAEEARDGQVRLLREGRGGEEAVEVRAAWEKQAAQLVATVGRHGARCVAAAT >ORGLA05G0071400.1 pep chromosome:AGI1.1:5:6527830:6530346:1 gene:ORGLA05G0071400 transcript:ORGLA05G0071400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGMDFSPHKNSTEQNRTSFEEDDQFSIRSAPSKPSPDKKSKPKNKPNHHSDKTANPSPQRPETTAAPVTTTAAAATAGTNRGEAVSNGSLDSISSGSTRSNSLESSSTGAAAAPTVLTTSASTASVSASGQNVKRHTGGDSRWEAVQAATARDAPAPLSLAHFRLLKRLGYGDIGSVYLVELRGTSAFFAMKVMDKASIASRNKMARAETEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQKQPSKHFSEPAARFYVAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCTVCPTLVKSSSVHATGSGGSGSCGGIGSRGDAIDGGESMPANQGCIQPSSFFPRILPRRSRKASKSDMGLLLNGAAAVEFNAEPTEARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELIHGATPFKGAGNRATLCNVIEQPLRFPSDGGASAVARDLIRGLLVKEPHKRIAFTRGATEIKQHPFFDGVNWALVRSLTPPSVPEPVDFRQYAAAASATTPKDKKPPENAAAAAAPAAADGGGAAKSSTGESYTDFEYF >ORGLA05G0071300.1 pep chromosome:AGI1.1:5:6521604:6523924:-1 gene:ORGLA05G0071300 transcript:ORGLA05G0071300.1 gene_biotype:protein_coding transcript_biotype:protein_coding FMWSLHILQYSKLKSEDNITSIVLSAPYSSGWVGIGFSNDGKMVGSSAMVGWIDNQGRAYIKQYYLSNQTSSGVKVDEGKLLTTDVPSAAVLYGDNIYLVFQVKFPLHIARQSVILAFSKISPNKFHLAEHDDKTTLSFDFSSGDSVSTNYPYQLKRNHGAFAIFGWGVLVPLGAIAARYLRHKDPLWYYLHVLVQFLGYIIGFAGVVSGIALYNRTHSNFTTHRSLGISVLALGSLQVIAFFLHPNKDSQVRKCWNQYHHWLGRICIFLAAINIVLGIELSDTNISWKVIYGAIISVMIISTTFLEVMMCTKLPKEGTCNGGLQMPTHHPNS >ORGLA05G0071200.1 pep chromosome:AGI1.1:5:6517422:6519417:1 gene:ORGLA05G0071200 transcript:ORGLA05G0071200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDAMSTGDSTESLDIDCLDDGDSEVVSSLQHLADDKLHISDNRDVAGVASKWTKHGCNSVEIDYIVDIDNNHEDPQLCATLAFDIYKHLRVAETKKRPSTDFVETIQKNIDTSMRAVLIDWLVEVTEEYRLVPETLYLTVNYIDRYLSSKVINRQKMQLLGVACLLIASKYEEICPPQVEELCYISDNTYTKDEVLKMEASVLKYLKFEMTAPTTKCFLRRFLRAAQVCHEAPVLHLEFLANYIAELSLLEYSLICYVPSLIAASSIFLAKFILKPTENPWNSTLSFYTQYKPSDLCDCAKGLHRLFLVGPGGNLRAVREKYSQHKYKFVAKKYSPPSIPAEFFEDPSSYKPD >ORGLA05G0071100.1 pep chromosome:AGI1.1:5:6514010:6514231:1 gene:ORGLA05G0071100 transcript:ORGLA05G0071100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCAAGRRRSPVSAMAGSAGAGGTTRSERRMPLRNLTNVVGGRARAAKAEVCAVWLVAGFWGVMLLGRFLRQ >ORGLA05G0071000.1 pep chromosome:AGI1.1:5:6508874:6509497:1 gene:ORGLA05G0071000 transcript:ORGLA05G0071000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLLGALLPSLSAVCGRWRSARRMRRQWAAPRRALEDKGGGTAAGADTAWGGGMAAEVARGVEDNGRWQWDNGKRGSVAALFFRMEATDRPAPSPTVLQHPALWPSAARTSDGDRRRMDLRRQALSATPLLCHSGESFAAAKGNSHLFTATRCTAGVVGPPPPRRSDGAPNSTAGQLLRSCCLSPTQIPHRRWAPAAGYRDLSSV >ORGLA05G0070900.1 pep chromosome:AGI1.1:5:6493254:6494971:-1 gene:ORGLA05G0070900 transcript:ORGLA05G0070900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFLQLFLFFTGGLRRRRTNKLILIFIWLAYVGADLVAVYALGLLSRYEYKSKIGSDSLTVIWIPFLLVHLGGQDTITAFSIEDNNLWLRHLLNLIVQLVVPAIFIFVAGIIKYGERTWALKCGSLDGLQSSAGGYKDKEQEEQKDNKYGSYLSKVFYPHQMVLYARGLFAGVTVSQLGQKVRKELTHGIRGCENYVKAKIVELELSMMYDILYTKATILQTWIGCILRCISHIAMVVAFVLFLVTPKHGHRMADVAITYTLFAGALLMEACAIGVVAASPLTWARWRRHNCVGLLPVGKIIGAKEERSVVPICLGQFSLATCALHDGSTPRIMSRVLYAFGLEKIYRDVRHVKHVDATGIVGCFVDCFNNRPSNNDQDEVIMGVSKEGNVDVRLNLLSLSQRFEVGIIQLHLFTDILASSVLLSGSMEQMTVVARECEKLSNYMMYLLVAHPSMLPVSSDYAVMEHLSYMAKLVDTNKDASDKQGPLARMELLLKALEHMTSKTNSDLIAVAKDHIETSQ >ORGLA05G0070800.1 pep chromosome:AGI1.1:5:6476697:6482985:1 gene:ORGLA05G0070800 transcript:ORGLA05G0070800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT5G44635) TAIR;Acc:AT5G44635] MEAFGGFFVDEKAARVENIFLEFLRRFKEADAAEAFYETELEAMRSRESTTMYVDFAHVMRFNDVLQKAISEEYLRFEPYLRNACKRFVMEQRTGENRAPIISDDSPNKDINIAFYNIPMLKRLRELGTAEIGKLTAVMGVVTRTSEVRPELLQGTFKCLDCGNVVKNVEQQFKYTEPIICVNATCQNRSKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFTGTVVAVPDVMALTSPGERAECRREAPQRKNGSGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRREVDIRDRDIDGDDSERQKFTEEEEDEVVRMRNVPDFFNKIVDSICPTVFGHQEIKRAILLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDESTDYHIAHHIVRVHQKREEALAPAFSTAELKRYIAFAKSLKPQLSSEAKKVLVESYVTLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERVVLPAHVRMAVKLLKTSIISVESSEVDLSDFQDADDGTNVPADNDAGQPTEMDAAPQQDGPENEQAADTGKKKLVITEEHFQRVTQALVMRLRQHEESVTKDGDGLAGMKQGDLIIWYVEQQNAQGAYSSTAEVKEEVKCIKAIIERLIQREGHLIVIDEGAAPAADDGAARRTSESRILAVNPNYVID >ORGLA05G0070700.1 pep chromosome:AGI1.1:5:6470551:6470817:-1 gene:ORGLA05G0070700 transcript:ORGLA05G0070700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TFAHVCEVDRIVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLEKSRGFAEKLLSDAREQLFSGFDQEKAAPLLHLANYIAYRQN >ORGLA05G0070600.1 pep chromosome:AGI1.1:5:6466783:6469158:1 gene:ORGLA05G0070600 transcript:ORGLA05G0070600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIELSSEDKANLVETLKNKLQALVEQHVDVLESLAPAVKKRVDVLMEIQSQHDELEAKFFEEKAALEAKYQKLYGPLYSKRSKIVSGVLEVKGEAEEREEKGVPYFWINAMKNNEILAEEIHESDEEALKYLKDIKWCRIDDPKGFKFEFFFDTNPFKNQVRTKTYHMIDEDDEPILEKAIGYTKIEWHPGYCLTQVLTKESSESTKPITKIEECESFFNFFSPPQVPNDDTKIDENTAEELQNQMERDYDIASTLRDKIIPHAVSWFTGEAVQDEDYGTSWVDDEEEDDDDDEYSDEEA >ORGLA05G0070500.1 pep chromosome:AGI1.1:5:6463590:6464723:-1 gene:ORGLA05G0070500 transcript:ORGLA05G0070500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKTCAELHNLDSHVCLTSNMWTSIQNIGYMVVTAHYIDREFNIKKKIISFKELKYPHTGFAIEEAIMSCLTYWGIRSKLFTITLDNASNNNFACQELIKNHKNALMFEGQHLHVRCCAHILNILVQDGMKIIKPTIHKLRELLKHLDSSVSRMQDFNSMANSKNLPSKLSFSFDTPTRWNSTYKMIVEGLMYRSVLDSYANQHGEVAPTEQEWQKVESICAFLKAFEEATLSVSADRKPTAHRFLPLVLQIRHALNDPDWQTSDILKVLAAAMLSKFVKFWDSGFNSALVIATMLDPRRKGDYLNFFYEKTSNSVTEIVEKVGSAEDWLKDYYEKYEGFVRRNDIHMLSHSREGSSSVGSPVLEKRKLEEEFALYKS >ORGLA05G0070400.1 pep chromosome:AGI1.1:5:6433817:6458865:1 gene:ORGLA05G0070400 transcript:ORGLA05G0070400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:target of rapamycin [Source:Projected from Arabidopsis thaliana (AT1G50030) TAIR;Acc:AT1G50030] DVIAAALRKHVEEEARDLSGEAFLRFMEQLYEQICSLLQSNDVAENLLALRAIDALIDMPFGEGASKVSKFANFLRTVFEVKRDPEVLVPASAVLGHLAKAGGAMTADEVERQIKTALGWLGGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPKQAVRERAVEALRACLHVIEKRETRWRVQWYYRMCEAAQVGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLNYLRHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKICMDHILFVLRTPDERASGFVALGEMAGALGAELVPYLPLITSHLHDAIAPRRGRPSLEAISCVGSFAKAMGPAMEPHIRGGLLDAMFSAGLSDKLVEALESISTSIPSLLPTIQERLLDCISQALPKSSVRPGAAVGRGSRSSSLQQFVDSGGPVLVQLALGTLANFNFKGHELLEFARESVILYLEDEDCSTRKAAATCCCKLVAHSLSASSSSQFSSNRPNRMGGAKRRRLVEEIVEKLLMAAVADADVGVRSSVFKALYRNPSFDDFLAQADIMTSIFVALNDEEYHVRELAISVAGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESARLLGCLIRSCARLILPYIAPIHKALVARLREGTGPNANNALAAGVLATVGELAKVGGFAMRQYLPELMPLVVDALLDGGAVSKREVAVATLGQVIQSTGYVISPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHKLPGQHREVLRPTMETAQHIVSMEELPTDFWPSFSASEDYYSTVAISSLMRILHDPSLSSYHQMVVGSLIFIFKSMGLGCVPYLPKVLPELFRAVRMCEDGGLKEFITWKLGTLVSIVRQHIRKYLQEILSLVSELWTSSFSLPAPNRTVQGPQASPVLHLVEQLCLALNDEFRMYILHILPSCIQVLGDAERCNDYYYVPDILHTLEVFGGNLDEHMHLVAPVLVRLFKVELVDIRRRAIVTLTKLIPTVQVGTHVSVLVHHLKLVLDGNNDDLRKDAAEALCCLAHALGEDFTIFVSSIHKLLVKHHMRLQYRKWDEIENRLLRREPLISENLSVQKYTQCPPEVISDPLDDFGGVPSEEADETQRQPRSHQVNDVRLRSAGEASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAQMNETSQEQLVRSLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAFAKALHYKEMEFEAVCSKKMGANPVTVVESLIHINNQLHQHEAAIGILTYSQQHLEVQLKESWYEKLHRWDEALKAYKAKSSQASGPLQNLDATLGRMRCLAALARWEDLSALCREQWTGSEPSARLEMAPMAANAAWHMGEWDHMAEYVSRLDDGDENKLRILGNTTASGDGSSNGAFFRAVLSVRCKKYEEARVYVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEVIDYCTLPMESPIADSRRELIRNMWNERIKGTKRNVEVWQALLAVRELVLPPNEDRDTWIKFAKLCWKSGRISQAKSTLVKLLQFDPESSPELTLYHGHPQVVLAYLKYQYAVGDELKRRDAFCRLQDLSVQLATATNSYSGTLASQVATSNAGVPLIARVYLTLASWKRALSPGLDDDSIQEILVSYKNATLNAKDWGKAWHLWALFNTEVMSRYTLRGRPDIAGKYVVAAVTGYFYSIACASTTKGVDDSLQDILRLLTLWFNHGATSEVQMALQKGFSLVNIEMWLVVLPQIIARIHSNNKIVRELIQSLLVRIGKDHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGPETIKENTFIQAYGHELLEAHECCLKYRATGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLECRKLELAVPGTYSADAPLVTIEYFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRCMLSFAPDYDHLPLIAKVEVFQHALENSEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLDRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQVTNYGNAHSHTVVNSEEAANRELMQPPRGARERELLQAVNQLGDANEVLNERAVAVMARMSHKLTGRDFSSGSSLSGAGSSTQHGNEHLASGDTREVEPGLSVKVQVQRLILQATSHENLCQNYVGWCPFW >ORGLA05G0070300.1 pep chromosome:AGI1.1:5:6369201:6369881:1 gene:ORGLA05G0070300 transcript:ORGLA05G0070300.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQGNFRELVQWLAGNFEEVNKVVLGNAPTGCQMIDHKIQKQLIGSCAHETTKLVIEELHDECFAILADESSDAYQKEQLALCLRFVNTMGQPVERFLGLVHVEDTTSLTLKEAIKSLLIKYQLPLSKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA05G0070200.1 pep chromosome:AGI1.1:5:6351853:6353570:-1 gene:ORGLA05G0070200 transcript:ORGLA05G0070200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNNNVVAAMSGTKGGSGMPCGSDVAASPLVEEAAASAEAAAGGWRAALGSGCQQWVLGRSRTSKGVLGRSSSGSEGLTTSALGWGGCDFWREKQRGGNAAAGIEQTDQRAATGGGKTTAFYISMDIEIRTNPNTLIRDAILKQPVLGQFFEIALGNHLCV >ORGLA05G0070100.1 pep chromosome:AGI1.1:5:6299402:6299644:1 gene:ORGLA05G0070100 transcript:ORGLA05G0070100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVNGDSIWHTMELLCAYGIRSQIWKESKFGTIGYVKFLSCTSGFPKVFRTPSMSLVRGFRLPTSCINRGGAGGFPVSL >ORGLA05G0070000.1 pep chromosome:AGI1.1:5:6273779:6275461:1 gene:ORGLA05G0070000 transcript:ORGLA05G0070000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKGSSCRYLPHSSAPCATDGARCFRCDHRPAIDEIVREQSLVTQLRAVVLPALETKADDGRAEIVAQLFGSILDCSRKVISALNSRYVGESPPDDDEIVDKRRAKRKNSEGKKGDDQVKVKPHEHKRSRRYTNSTSQITAVPHYDGHQWRKYGQKNINNSNHQRSYYRCTYKHEQNCKATKTVQQLDSAGETIMYTVVYYGQHTCKTNMSNAPLHVVETSTPQSISTTCCSDDLGDYSQKMENMHTPELAEVCSDELGSYHAIIGAEHSALGLEDEHMHKLLDTFACGALDLDSWEIDAIVRSGFC >ORGLA05G0069900.1 pep chromosome:AGI1.1:5:6267827:6269257:1 gene:ORGLA05G0069900 transcript:ORGLA05G0069900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVASSDEASRSVLRPAPPLKWEDEEAHGSSPGGGGSAGWAVDSGDQENGADLGPVIAVEGLGGLVPQPEVAVVAVELLPQLVQEPGKVSPRIHEKANSGEGENGDGKYRVVYTAGRRKRCLISPGGSDGDRITPRDLAVAFHGQEAGQVAPEAATAAIADGAGVAVDSVLTKMQELVNHGSCGNGKNNVRKSRRIHMKQATAVPVSDELKNLHSQEAEAAHGKITDQSDCEIKKEPRQSCMSSIVGSDDSHISGLSTRLQSLGINITSVTPILSKNVSSTDCHPNQARLLLSRHAVEGSPLLGMLTPLEDALVHSSGLPIEVLDRYGCSYDMFLRYLDSTTSYRLIVQWRNFLEMSHMIPGDLVKLGAFRFEGQLALTLLHYGNAGKAKKVLDRKLKEKKVESNSTVTEKSKELTSRETEESKEELTSRKTDANDEESASSVAEAIKKKWPSEMLEAAETLLMLSCSGDKPKPSE >ORGLA05G0069800.1 pep chromosome:AGI1.1:5:6253433:6253846:1 gene:ORGLA05G0069800 transcript:ORGLA05G0069800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRATTSLSRRAACATLLRRLPPRPATTLERHPPVHPGLRPAAAAAVQLRRLSDFGVSVPRRMARRSPPTRPEGYSTSDSEADGWSVSEDDEEEVEEVQLEPMSVDDVAAGKEWEGFTLEYDHEHDLDADDEDAAE >ORGLA05G0069700.1 pep chromosome:AGI1.1:5:6240730:6245657:1 gene:ORGLA05G0069700 transcript:ORGLA05G0069700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGYPKMQGGGASSSSSSSASCRAADAAVWDAVQQQKRQRCQGSSSNDQVGLSTENNSFQAPEPELQNSDCVENEEEDYYLYDEDDVCYDDDGDYEFDETDFNQQLADKFDGLDLPPGVEATVPWLQKKDIADGPSTFKSMAELDADITKKYEFFKQFDVVENFSDHHYADKPVGKTGKDWTKRIQYDWKLLEKDLPASIYVRVSENRMDLLRAVIIGPQGTPYHDGLFFFDAQFTSTYPSTPPVVYYHSGGLRLNPNLYACGKVCLSLLGTWSGSGCEKWNSAHSTMLQVLVSIQALVLNENPYFNEPGYETYANSATGQKSAMDYNDTTFQYSCRTMLYSLRRSPQHFDALVAGHFRHRGHAILAACKYYMEGHKVGSVVPNEDEEDAKQQDDTDAGGSGSSSGAKPQPEKPDLCKGRAASFKTNMAVLFEELLMEFNVKGADTKKFCDEKLKKNQQAAAAAP >ORGLA05G0069600.1 pep chromosome:AGI1.1:5:6230822:6232728:-1 gene:ORGLA05G0069600 transcript:ORGLA05G0069600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTGARGEAGPDGGFGRADGGRDYCQGQANGEAAGAAKRRHNVLTGEVFRTVLVFDTAAEEFRTMRRPCDYSQDADWTGQLNRVQGTMAASSYDADGDRVLALWLLRDYDEEAWECVYRVATGFLGDVARTMGFFVAHMSDDSGGGDALLRSSQGHRYGVYNLKTQEGRGGGRRQGARRRRRRW >ORGLA05G0069500.1 pep chromosome:AGI1.1:5:6221541:6224335:-1 gene:ORGLA05G0069500 transcript:ORGLA05G0069500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASHTIDDSGYMMNFSVRLLAVSCAHAVTRSDILKMIPLYLSNILDREIIPIRGLRQGDPISPYLFLLCAEVFSSLLNAAEERGDLSGVKADERSAECLQNVLSLYERCSGQTINKEKSSVMFSMNTKENDREKFRGALDIGVEAWNEKYNPTANYSKRRHNTEPGSRLDRFIYWRMGQRIDPGYFLGGGCEEYPSYTAEYGVRRFCSMAGEALPLAFCPRXPATAHEHXQKRHGDXHTMPCLSSSEXGWWPLLLQTQICXSWLESDEFEAYQVRADAVQFCFGCVQTYSEYGGGXNADHCAVSLELVGCKEXSKRWGRSQISXASVXQSHEDGFXNFPVEPGQISTKRSSAEEMASPYPGRTEAEFXWGFLXXRQDRWMGICSTGP >ORGLA05G0069400.1 pep chromosome:AGI1.1:5:6217955:6220843:1 gene:ORGLA05G0069400 transcript:ORGLA05G0069400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSIHVSAAQSPAATAPLFLQVVLGKREYNGSIGQGEFSFPVTSLRESVVMLLYNADRSLISQAELKMKAVVESGTMDVDFSLDNGGSIILRLQFLLSDEDRRRVQEMRNSAVKRKQQELLSDGYVLSQDSLLSKQVENISNISREGDESSVRKSMSLDDLQEKAVFSAIIVDPQMKDTKESPEQSGSNSAVQKMISAFQSSSPQDLTRIKSESSRKGLSASSENFTQSSSDKSSSSLATQDVSGHTEAGLVAGTSGKMQLPPGDKSFSNKRSNATEQQAVLSTTSEGRIRRLFREKDLDNSEMVITVQNRSKKRSMPKRRRAAIGPYSLEHMHPHVCITTASRQLRELVELEPPLDSFVFVGQVDIKRPNVRGGASMQDQGTSDSKTKNEMVSARGDDHGFPILDGRLINQGVRVVIVIIACGAIFLNNR >ORGLA05G0069300.1 pep chromosome:AGI1.1:5:6210421:6211991:-1 gene:ORGLA05G0069300 transcript:ORGLA05G0069300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PTJ5] MAAGAGAGGLSGDYYRRSCPQLELVVDMALAPVFAVDQTSPAALLRLFFHDCQVQGCDGSILLNSDERRNITSELGSDKNFGIRDVSTIGLVKAAVERACPGQVSCADXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSDAAFEAALRLACPAAAPRAVAAAVPVLSDATPSWFDNLYYWNAASGRGIFAVDAEEAADARTAGHVRRFAADGRRFFRAFSSAFLKLAMSGVLTGDEGEIRRRCDVVNH >ORGLA05G0069200.1 pep chromosome:AGI1.1:5:6205552:6207300:1 gene:ORGLA05G0069200 transcript:ORGLA05G0069200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVDPCDHSEVVDAGCVRAVLAELVLTFVFVFTGVAATMAAGVPEVAGAAMPMAALAGVAIATALAAGVLVTAGFHVSGGHLNPAVTVALLARGHITAFRSALYVAAQLLASSLACILLRYLTGGMATPVHTLGSGIGPMQGLVMEIILTFSLLFVVYATILDPRSSVPGFGPLLTGLIVGANTIAGGNFSGASMNPARSFGPALATGVWTHHWIYWLGPLIGGPLAGLVYESLFLVKRTHEPLLDNSF >ORGLA05G0069100.1 pep chromosome:AGI1.1:5:6194493:6194735:-1 gene:ORGLA05G0069100 transcript:ORGLA05G0069100.1 gene_biotype:protein_coding transcript_biotype:protein_coding QADGLRVALREGKRDIRGREGVYGERKPWPKAAAMVEAHRSREKRAPTTDLAERTADAAAQGMADTAAPMAGYGGDPSGC >ORGLA05G0069000.1 pep chromosome:AGI1.1:5:6181731:6181985:1 gene:ORGLA05G0069000 transcript:ORGLA05G0069000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDDEARPTVKKVQRNDAPAGWEGQPEEKDEGGVVVVGVHGAPDGLERNGGEAEEEEAAARPTEVAPCRGPALLGSMGNGD >ORGLA05G0068900.1 pep chromosome:AGI1.1:5:6174390:6175592:-1 gene:ORGLA05G0068900 transcript:ORGLA05G0068900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRARFNHHRLNRKTEILIEMTMEEEVHNTRRFSYAHLLAATENFSDSRKIGQGAFGAVYKAQLMNWTTPVAVKRIMRVADHERAARDYNNEIKVISKLSHPNLVPFVGSCDENGELLLVYDLIHNGTLDYHLHYANTILSWSRRYKIALGMASALNYMHGNHPRVLHRDIKPGNVMLDEEFNAKVGDFGLVRQVPIDKTSCPMTIFGSSRYIDPQYCSTGCISPASDIYSFGVVLLEIASGEIPQCLKGNGLVEKFRRLYYSNSLLDAVDRRLNGDFDEEQMKRVILIGLLCVQFDHHIRPSSKEVLGYLEGRLPVPQLHIKTCEQAK >ORGLA05G0068800.1 pep chromosome:AGI1.1:5:6148195:6154162:-1 gene:ORGLA05G0068800 transcript:ORGLA05G0068800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase [Source:UniProtKB/TrEMBL;Acc:I1PTJ0] MARLLLPLPIAAAAASRLRLPVLSSSVARREALLFGGRVAAARAPVRLARRGVSAGAEAGGSSSAAAAAQVIGQDEAVEWVKKDRRRMLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVESYDIGTAFGHFGIAVEDVAKTVDLIKAKGGTVTREPGPVKGGKSVIAFIEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRAINFYEKAFGMELLRKRDNPQYKYTIAMMGYGPEDKNAVLELTYNYGVKEYDKGNAYAQIAISTDDVYKTAEVIRQNGGQITREPGPLPGINTKITACTDPDGWKTVFVDNVDFLKELEE >ORGLA05G0068700.1 pep chromosome:AGI1.1:5:6138414:6141409:1 gene:ORGLA05G0068700 transcript:ORGLA05G0068700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1PTI9] MSPPLELDYIGLSPPVPAAADAAADNDLKGTELRLGLPGSHSPDRSPPAATLDLLPAAKGAKRGFSDEARPLPASAAAAAAAGKGKKAAAGEEDEDAEEEDKKVAAAPQAPARAQVVGWPPIRSYRKNTMATNQLKSSKEDAEAKQGQGFLYVKVSMDGAPYLRKVDLKTYKNYKDLSTALEKMFIGFTTGKDGLSESRKDGEYVLTYEDKDGDWMLVGDVPWEMFANSCRRLRIMKGSDAIGLAPRAVDKSKNRN >ORGLA05G0068600.1 pep chromosome:AGI1.1:5:6114945:6124103:1 gene:ORGLA05G0068600 transcript:ORGLA05G0068600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics [Source:Projected from Arabidopsis thaliana (AT5G11560) TAIR;Acc:AT5G11560] MAPPRHLLLLLLLGFLAAASLAFTLTAAVYEDQVGLADWHQKYIGKVKQAVYHSQKSGRRRVVVLTEENVIASLDLRSGDIFWRHVIEKNDPVDELSLSLGKFVVVTLSSGGSILRAWNLPDGQMIWETNLQVSKSSKPLLHVLSNNKVAKDNLVFVLAGRWIYAVSSIDGVISWGKEFSLDGLDLKQIIQSPENDIVYAVGLAGSSKLNLYQLNAKTGETVKHIQESFPIALCGETILGSHNVLVALDETRSDLFLIELKGESISYNKVHISDLVQGLSGTVKLLPLMSNGVIALQASSTVSLLKLKGIDGLEVIQSFEQPAAVSDALTITEKDEAFAVVQHTGSEIEFVVKFTSDVTGEVIREKINIDQHRGNIQKVFLNNYIRTDKSHGFRALVVMEDHSLLLVQQGEVVWSREDGLASIVDVTTSELPIEKDGVSVANVEHNLFEWLKGHMLKLKGTLMLANADELAAIQAMRLKSSEKNKMTRDHNGFRKLLIVLTKAGKVIALHTGDGRIIWSNLLQSLRSSKSGEMPSALRICQWQVPHHRVMHENPSILIIGRSGADSAAPGVLSVLDSYSGEELNSLKLDHSVVQIIPLTVKDSSEHRLQLIVDSHSRAHLYPRSPDAMNVFLHEMPNTYLYTVDIETNVIRGHSLQKSCDNSDDEYCFSTKELWSIVFPSDTERIAISETRKMNEVVHAQAKIIADQDVMYKYLSKNLVFVATVSPKASGEIGSAAPEEAWLVAYLIDAVTGRILHRVTHHGAQGPVHAVVSENWVVYHYFNLRAHRFEMAVIEIYDQSRADNKDVMKLILGKHNLSAPITSYTRPEVMVKSQSYFFTFSVKAIAATQTAKGITSKQLLIGTIGDQVLALDKRFVDPRRSVNPTQQEKEEGIIPLTDALPIIPTSYLTHSLQVEALRGIVSIPSKLESTTLVFTYGVDLFYTQLAPSRTYDSLTDEFSYALLLITIAALVAAIVVTWIWSEKKELRDKWR >ORGLA05G0068500.1 pep chromosome:AGI1.1:5:6093642:6094127:-1 gene:ORGLA05G0068500 transcript:ORGLA05G0068500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRALHFEDCQGISVMGNTLKNSHESHLTFTRCSHVKANYMRITSPEDSPDTTGVHVVSSRNVHIMDDSISTCHDCVSIVGNSMDVRLRAEKEKDSRG >ORGLA05G0068400.1 pep chromosome:AGI1.1:5:6088937:6089650:1 gene:ORGLA05G0068400 transcript:ORGLA05G0068400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLPHHTPVMSPPHHTNVAPSWRARARAVLCQRPRPSGDPAHVPGSELHAGRRACAGTTRQLDDPAKGKKEKERKKEEDGDFEKRTLFFPKMTRKPLLCVMAKVMNTDSAPHGETSLTLISNVEAAFEAMKLSTHCRG >ORGLA05G0068300.1 pep chromosome:AGI1.1:5:6085904:6086536:1 gene:ORGLA05G0068300 transcript:ORGLA05G0068300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDGSSKGSRADESVSSLGTDDHAAAAEPMSSSPPPATATARPYYECVFCKRGFTTAQALGGHMNIHRRDRAAKPAGPGAAAPPRDASTTAVSRSVDCYSQFRHLAAAYPVPAATSGAAGTAGSSSSFTMYYGGTGAGAEAAVVAGPRELSLFDAATDHGLHLGVGRRGGGGDGGESRTPEGSEQQVAGELPERELDLELRLGRHTKQ >ORGLA05G0068200.1 pep chromosome:AGI1.1:5:6066295:6066615:-1 gene:ORGLA05G0068200 transcript:ORGLA05G0068200.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGLDVTSSANPMSMNTKNQFDFKTKSIGGWSGYVAAYMGQGSVTPREHVAFLLMWLEKFLFCGSSCGPTTNWQFVAEALESKKEFPSGKILLGYLYQMLNNASAKIA >ORGLA05G0068100.1 pep chromosome:AGI1.1:5:6065198:6065515:-1 gene:ORGLA05G0068100 transcript:ORGLA05G0068100.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTLEDISHRLEASSLDSLVVDCGSIRSRLHEVQALILEDLADVLTQAAYLEQHQFKLEKAKLRLAERRERKEIEATIQANRQLVHEEKTKLDQLSEGPIISNIDRL >ORGLA05G0068000.1 pep chromosome:AGI1.1:5:6053058:6053795:-1 gene:ORGLA05G0068000 transcript:ORGLA05G0068000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRFAARKVSRGALQQATAFVSPSPAVKEEQRRLLPEHQTIASCKEPASLGAQIEEKKHELFHLLFELKYGRKSIAGGEKLSIQDERIRHTVSLRQWLISM >ORGLA05G0067900.1 pep chromosome:AGI1.1:5:6032513:6032828:-1 gene:ORGLA05G0067900 transcript:ORGLA05G0067900.1 gene_biotype:protein_coding transcript_biotype:protein_coding HMVLYYQVARLLPITLGQVFQRIDVVSKDFDNIVDVELGGPWPLPPMELTATLAHKFGIIGKVGNCVQC >ORGLA05G0067800.1 pep chromosome:AGI1.1:5:5995889:6003561:-1 gene:ORGLA05G0067800 transcript:ORGLA05G0067800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGEFQSSLVQQMIWSGSSTGTATGVGGGGGAGSLMGSLKPCHEDQEASPNMPSLSSPSLIFSQQFQHSSPGLVPMNGAAASLPSLHDGGGGGHESSMPESWSQLLLGGLAGDQERYSATAALLSKGLENWGDHAAAAAASACMVGGMKEEGSMAQAAATAAAAAYSFYGSHLAGDHQHEIQAAAAGGGASNKSQLSQMLMASSPRSCITTSLGSNMLDFSNTAAPPELRSHHHNSDNSSECNSTATGSALKKARVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYIRFLLSQIEALSYPYMGDANGTGPMQNGPVGERNPGLFPEYPGQLLNHNGNTGAQQPAAQPEQQGANDDGKKDLRSRGLCLVPVSCTSHFGGDNAADYWAPAPLGGILR >ORGLA05G0067700.1 pep chromosome:AGI1.1:5:5984158:5984394:-1 gene:ORGLA05G0067700 transcript:ORGLA05G0067700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGHGDSGFPGAAPDAPTSMLPALLLTPPRSQMLPLLPTPCLIILHASFRAWPASDPKPGRADAVERWDADKKAGS >ORGLA05G0067600.1 pep chromosome:AGI1.1:5:5974693:5979596:-1 gene:ORGLA05G0067600 transcript:ORGLA05G0067600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSSSPAQTTTTLALLLVVAAAAAAVAAEAAAVAADAARGVRQVNRRGPYLGVVVPNGFEMEPLLRSPAFSPAKKLPYLDVAGRRFRFGTIGEKKVIIVMTGLGMLNSGVTTQLLLTLFDVEGIVHFGIAGNADPDLHIGDVTVPRYWAHTGLWNWQRYGDGPEKELALESNGDYTRKYGALNFSDYGVAGGGGGNLLNGVWYQPEEVFPADGTPESRRHEFWVPVDGRYYQLAQKLEAMPLERCVNRTGTATSPATCLARAPAVARVERGCSASVFVDNAAYRQFLRSRLGVTPIDMESAAVVLVAAQQGAPFIAIRSLSDLAGGGSAESNEAGVFAALAAQNAVAVAVKFISLLS >ORGLA05G0067500.1 pep chromosome:AGI1.1:5:5969056:5970223:1 gene:ORGLA05G0067500 transcript:ORGLA05G0067500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDSCYVNSLVVKINSPEWDDGTMMGASPPEEQHSPVEEVVVVRRNQKRTKNFSGKEDEGLVSAWLNVSKDVVQGIEQSRCAYWKRIYDYFHANKDFTSDRSQNSLMHRWSTIQENVTKFEGCLSRIGDRKQSWVSSQDKIMHACALYKAEDENHRPFHMMHCWNLLRNQQKWIDRSSQLPSHKKQKITNNSSLGMSTTTTDDTAAAPPPPGFELSKTPESINAPPRCELSERPENRKGEKEKLRRGGDVVFVEDLDDLLAKKKEADAEKELKKDERYKQSHALEQEKVALEQAKVANETKNLEMRSKELELKTKEIDLKRMLEEERIMTMDISAMSGLQQQYYKSLQEEIVTRRFNSSG >ORGLA05G0067400.1 pep chromosome:AGI1.1:5:5963048:5968221:-1 gene:ORGLA05G0067400 transcript:ORGLA05G0067400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit L [Source:UniProtKB/TrEMBL;Acc:I1PTH6] MASSAAAAFYRDREDAAPPSSGPGGGGAMAAYDPSYVPDSVKTFVSHLYRHIRDRNVYETHQMYEGGFTRLSDRHFRDTPWPPAEAVAAHCDGDHVFLLLYRELWFRHAHARVQGLTPAQRAESWDNYCSLFSVVLQGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKTHEEIALLKQYDQAWSVYGVLNYLKALVEKSMIGEILEREKVGLEQFTATDGYDYEGGSNVLKMLGYYSMIGLLRVHCLLGDYHTGLKCLAPIDISQQGVYTTVIGSHISTIYHYGFASLMMRRYALSXLSFVVEKNHMLSLTKXVNXDLFTXLIXREELRSIMLYLFSADGIXNAYPHPFGXPVMVHFLCHIIELFLVPLQSLQNDSFNSSFNIYIYGFSWVVPGPPPRSRLCLVSVDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTITIGKLAKYMDVDEVTLRTILMTYKHKMHSIDSDGKVISSADFDFYIDEDIIHVVESKLTKNHGDYFLRQILKFEEMITQLDKVQFD >ORGLA05G0067300.1 pep chromosome:AGI1.1:5:5943881:5944186:1 gene:ORGLA05G0067300 transcript:ORGLA05G0067300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISEDGKFPHRAVLPLTTRDCDVLPLLPPHLASHPKTNNPCHRRHISAPSDACRPATPRGGRARELETSPTSAMSKEMGAAVTTTAGGDGMDGILVPGAI >ORGLA05G0067200.1 pep chromosome:AGI1.1:5:5909421:5910182:-1 gene:ORGLA05G0067200 transcript:ORGLA05G0067200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPCLAVAVLLLGVVVAAIGAFADEGAASGWGLGHGARFLSKRDRAAYEKSSEPEAKPASQQEPKSDSYSQAKPGPKAEPKPEPEAMSASQPESKPATYSESKPESKAEPQSKLEPKAESTPQPETKSEPKSEPKPKSEPYAEPKSEPKSEPQSEPNHETKAEPKSEPKYEPKSEPTPQTEPKAESQPEPKTEPKSESKAEPKPERKSYSKSKPEPESKPKAKAEPQPEPKPEPKPESAPKKETPSSAYP >ORGLA05G0067100.1 pep chromosome:AGI1.1:5:5906545:5907280:-1 gene:ORGLA05G0067100 transcript:ORGLA05G0067100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGGGNPXGAGDENPRGRGGGNTMGAGDGNPRGYGREGPRGCRGESPRGYSNSKPIGVVKSWVAAAVMTATEGWVVAAAMMTMAAEGWVLMCLPDAVSNTVEECGTREDTTTYLKKANILFWIHKMGTTTTSSPQTRSLCPNLSSRIVGKWRRRVVGFKTMAKWRRRVGFQDCGETEENVGGIQDCREVEKGGVISGSSSMVHSHHGGIWPTARRCGQXLRDK >ORGLA05G0067000.1 pep chromosome:AGI1.1:5:5902518:5903279:-1 gene:ORGLA05G0067000 transcript:ORGLA05G0067000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPCPAVAVLLLGVVVAAIGAFADEGAASGWGLGHGARFLSRRGRAAYEKSSEPEAKPTSQQEPKSDSYSQAKPGPKAEPKPEPEAMSASQPESKPATYSESKPESKAEPQSKPEPKAESTPQPEAKSEPKSEPKPKSEPYTKPKSEPKSEPQSEPNHETKAEPKSEPKYEPKSEPTPQAEPKTESQPEPKTEPKSKSKAEPKPERKSYSKSKPEPESKPEAKAEPQPETKPEPKPESAPKKETPSSAYP >ORGLA05G0066900.1 pep chromosome:AGI1.1:5:5899884:5900759:-1 gene:ORGLA05G0066900 transcript:ORGLA05G0066900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGGGNPLGAGDENPRGGGGENTMGTSGGNPRGYSGRGPRGCSGESPRGYSNSKPTGTVGGWVAAARRWVAKCLLDAEFVPKTKFQECGGVEEKGDRIQDCGKVEEKGGGIHDCEGRMSDGFDLDEYEFWSDLDDEGDDLIVDCFNDFVP >ORGLA05G0066800.1 pep chromosome:AGI1.1:5:5881761:5882090:1 gene:ORGLA05G0066800 transcript:ORGLA05G0066800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSELAVARRLGGGSCGAQGGGGRQRPLWEGMWRAPPPSDLASPPPDLAGGEAAGGGDRCGRGGGGRAPSSGGGRCGRRAPMRHEGGGRQRRPLWERRQRQSPPLHWM >ORGLA05G0066700.1 pep chromosome:AGI1.1:5:5863012:5863338:1 gene:ORGLA05G0066700 transcript:ORGLA05G0066700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAEILGWRSLQHLVETGGDKRIYVPDLDLLKAGKGRRQTRRLRNDMDASEAGGPVRRCEDCLQYGHRTRDCKNNKECTSSSMEPRQQRARRNRRGSQGAHDREEGNLQ >ORGLA05G0066600.1 pep chromosome:AGI1.1:5:5862514:5863011:1 gene:ORGLA05G0066600 transcript:ORGLA05G0066600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WARHGIMTTNLAEAYNAILRKLRPLPLTAIVEGIMYRTTMWMRTRRAVTLQQMSNAQTPFCKKMAEYLQEKANKARFHTVITIGNIRQRWEVTCRTKGGFGSSTGVITHEVTLGHESDNTCSCSCNKPKLLHKPCSHVLAACAKIKLDSTSYVSTFYLKDRVLNV >ORGLA05G0066500.1 pep chromosome:AGI1.1:5:5860638:5861651:1 gene:ORGLA05G0066500 transcript:ORGLA05G0066500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDLSNFRQCTLYHPNPDTLTMPKVWHWLTCTFSLDPGIYSVNVRVMWSRSANKIRWELKNVTRSKAWQDWLASYRRRGYEYVMLVQACQGRVPTENAAGQSSNHEELGSSSQEEDEVVAPHRGGDVGPDIQNLSIQGDEVVNRHPTGEADEGEDIPAIAEEIERVDRHAVEDEENLVAEENDDEDEQEVEELPMPASWNLEDPGYIAENSCHDSIWFYRDGQINLGAMFRDKTRLQDAVKSWSFQMQRQFRVVKSNKTEYTVVCETEGCTFRLHSHVPKYECYWIVSKLQEHSCLIRNTRESHRNLTAAYVANKYYEEIIEGDDTAYAAHNKTGGER >ORGLA05G0066400.1 pep chromosome:AGI1.1:5:5843862:5857284:-1 gene:ORGLA05G0066400 transcript:ORGLA05G0066400.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPDPVSPPPPAADEGSPGGDDGAEDAGGFSAGLDSLWTALFGSPEELEPMWSPPRGFGVGAEFAAAEVEPEIMDVAGGPWDGAPWRSSGVVAGEGAATALVPPTAAAGFAEFEPAAPIDSSPAGAAAASLGDVPEVSALDSGVDCSPDPPPSSSPPVDFDARGFDPVADSAPAMESPLPPSVASSEANLDGRMLDCTLNSVPSPPLASPYEVASGAEDPIKDSSPSVAWGTTMDAKDPEVDATCANGTALRRSRRIMKIKSAASSMPLNQNGDSSRASKRRVADSRKSRSSEGSKLPAFTGPISVNTVDLINGVKVQGLQEIVAVENVSSSYDNNQKAGGLYNQVVVALPAASNSLLKDKGASVLPRRKTRLASKVLVNSDRVSAISPVVNGGPPVQKSDVCIPTKKHKLAVEECLTSLDGVDGGGIVLCNSKLKSAKSRVVSKTPQGRGRRSPQPPKTQRARTLSVKYLEKLKRAENNNNNGSMSKSPRVPMIPENNGSMSKSPRVPIIPELSTKHELVLDKHMVDSVMLETDDGSCFFVGDAVPGDEARKQWPHRYEINDQIMKKDKRTSSQTFSKLVTVSFCGVFMYVYLQMLDFRGPEGKPNYIGRLLEFFETKTGECYFRVQWFFTAEDTVIGEQAQSHDPRRLFYSDLTDDNLLDCIVSKVTIVQVPPSVDGKSKSVPSSDYYYDMKYSIDYSTFSTIEMEDTDDLMQSCYTSRINDKMKKIDVNKKHKSPVLEKMELSLLDLYCGCGGMSTGLCLGARGGGVNLSARWAIDDDEIACESFRNNHPETRVRNETTDDFLELLKEWEKLCKTYVKHSRTKACVDSTTESNNETPDCSTVPPEEFEVWKLVDICFGDPNKVSKHGLYFKVRWKGYGPHHDTWEPVEGLRNCKEAIRDFVIEGHRQRILPRPRRNIAVFLLRPSKFPLGDVDVVCGGPPCQGISGYNRNREFEAPFKCEKNKQIIVFMDVVQFLKPKYVYMENVLDILKFADATLARYALSRLVAMHYQARLGIMAAGCYGLPQFRMRVFLLGCHSKEKLPPFPLPTHEAIVKNGCPLAFERNLVGWPNDTPMQLARPIVLEDILSDLPEVANGESRDEMLYVKGPQTEFQRYIRSFNVEVHGPRAHVTKDSKSSKLYDHRPLVLDNDNYQRILQIPKRKGANFRDLSGVIVGPDNVARLDPTKERVLLPSGRPLVLDCILAYENGKSLRPFGRVWWDEVVGTVLTVPNARMQALIHPAQDRLLTIRESARLQGFPDNYRFRGTVKDRYRQIGNAVAVPVGRALGYALAMAYLKKSGDDPLMLLPPNFAFSHDLRGFA >ORGLA05G0066300.1 pep chromosome:AGI1.1:5:5839356:5843058:1 gene:ORGLA05G0066300 transcript:ORGLA05G0066300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLKVDMSWNVLISPSELSPKGLLLRKAVIVSLLEEIANRKASKDHGYYIAVSELKAISEGKVRELTGDVLFPVTFTCITQKPTKGEILVGSVDKILKHGVFLKSGPIESIFLSEKTLSDYKYIGGENPMFMNDHSKLEDTAVRFKFDVMMMMIRPVAVMLAVDLTLEGEASIDRNRLGLTSGLNIGGFGHAFYKYPLNFRKNSNSPNDPSFATTFVFTITTWRDQPQEAGSDGIAFVLSSTNKLINHSLGGQYLGLFNASNTSQNILAIELDTFMNPDLNDMDDNHVGIDVNSLISINSHTAGFYTSDGGFQLLRLANGRSPILQLWVDYDGKAHQLNVTLGLPYSPKPEYPLLSSIVNLSSLLPSSSYIGFSASVNSPKTRHFILGWSFKENGRVPPLPSVPVTDPETYGWGGNFFAPPPPPQLNTHQVHKHSLQILLPIVMTSVILLLLVAFLGWRKKAGPQEDWEMKCRPPSFIYKDLYNATSGFSDKMLLGNGGFGKVYRGFLPASKWNVAIKRISPESKQGMKEFVXSCNTRQCSSPESCSVTWLLQEQARAPLGWSQRFRIIKGVACGLAYLHEEWERVIIHRDIKSSNVLLDEEMNGRLGDFGLARLYDHGVDAYTTHVAGTYGYIAPELARLGKSTKGTDVFAFGVFMMEAACGKRPIEVNSCGEPQALADHVLNAWQRSSIINSIDPSLEDHVAEEVVLVLKLGLLCSHSSPKVRPSMRLVMQYLEREATLQDFAFSFFSINEANNEVYGQHVVSNPSVATTITTLSGGR >ORGLA05G0066200.1 pep chromosome:AGI1.1:5:5813722:5813862:1 gene:ORGLA05G0066200 transcript:ORGLA05G0066200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLDKERQPEFYMETTRTTRIVSILVSLVLLGQGDTYGYKYKAP >ORGLA05G0066100.1 pep chromosome:AGI1.1:5:5811281:5812778:1 gene:ORGLA05G0066100 transcript:ORGLA05G0066100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSLDADEEDASRRLRVLHIVETAGVFDMVVPLLEQADAHGRLVLWRLEQEDGSDKGAVCSCICISRRCDMGTRRVLVTQGWGRWVRAARPERRVRAGGDECPRRQRGNSDSFVHFDGSRRHVQEGTQRLAAHAGRTDKLLPARWTCMDRLTDATLDGRSCTE >ORGLA05G0066000.1 pep chromosome:AGI1.1:5:5802755:5803588:1 gene:ORGLA05G0066000 transcript:ORGLA05G0066000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRSAAKLSYMVDETYFTIQAELEAQFKEVWAKIDWSGIETADWDDFNGPNYLFSDECSMLMSCSRAVYFKDAVCACA >ORGLA05G0065900.1 pep chromosome:AGI1.1:5:5788723:5789270:1 gene:ORGLA05G0065900 transcript:ORGLA05G0065900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWWDSEYKDRSSLEYRVYVGNLPYSVDEQTLMDYFADYGAISAEIAWDSEMGRPRGSGSSTSRTTSR >ORGLA05G0065800.1 pep chromosome:AGI1.1:5:5783530:5784411:1 gene:ORGLA05G0065800 transcript:ORGLA05G0065800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPWWDNDYNGRTGSDSKGQESRVYVGNLPYRADERSLKDSFANYGAVSSEIAVDSETGRSRGFGFVSFQDSKSASDAIKGMNGQDIGGRNVTVQEAQPRSRR >ORGLA05G0065700.1 pep chromosome:AGI1.1:5:5774793:5775269:-1 gene:ORGLA05G0065700 transcript:ORGLA05G0065700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAELEQVFRRYDANGDGKISAEELASVLRALGAPPGPGEVRRMMDEMDSDRDGFVDLSEFAAFHCGPTPAHGGKGGDAKDQEAASEAELREAFRMYDADSNGKISARELHRVLRQLGDKCSVADCSRMIRSVDADGDGCVNFDEFKKMMGGGGGRR >ORGLA05G0065600.1 pep chromosome:AGI1.1:5:5733643:5738564:-1 gene:ORGLA05G0065600 transcript:ORGLA05G0065600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGGGREGGGGMNGNGTAYAGALSPAARYAESGGASLTWENLTAVLPGGGGRATKKLVQGLYGYAVPGRVVAIMGPSGSGKSTLLDALSGRLARNVLLTGKVLLNGKKRRLDYGVLAYVTQENVLLGTLTVRETVTYSALLRLPSTMSKAEVRRVVDDTLDEMGLRECADRNIGNWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTSGLDSASAFSVIETLRQLAVDGGRTIVSSVHQPSSEVFALFDDLCLLSSGECVYFGDAKLAPQFFAETGFPCPSRRNPSDHFLRCVNADFDDVAAAMKGSMKLRAEADFDPLLKYSTSEIRERLVDKYRISEYAMMVRNTIHEISKIEGVIEEVVMGSQASWCKQLTTLTRRSFTNMSRDFGYYWLRIVIYVLMAVCLGTIYYDVGTSYAAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKMFTLERQNGHYGVAAYIISNFLSSMPFLLAVSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVIGIMMLTSGFFRLLPELPKIFWRYPVSYIVYGSWGLKGAYKNDLIGLEFEPMMPGQPKLTGEYIITKMMGLSLNHSKWLDLSMIFVLLFAYRLIFFLVLKAKEAAAPYIRVAYTRFTIKRLERRASFRKTLAMSSMSKRHNQPPHPMAVQEGLNSPMPY >ORGLA05G0065500.1 pep chromosome:AGI1.1:5:5731816:5732070:1 gene:ORGLA05G0065500 transcript:ORGLA05G0065500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREMIEKDQIALTHVAPTHALPSCNAAPAPSAPPCCAGGGGRLESRGGLSAPGARRYSRCYERWSGRVPMVSGQSHEWPAPTS >ORGLA05G0065400.1 pep chromosome:AGI1.1:5:5729300:5729488:1 gene:ORGLA05G0065400 transcript:ORGLA05G0065400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRSLFPRECQAGAVWEFDKTRVPRQLGSLALHRSSLSLSSTGEETGGRGRLAEEVVEW >ORGLA05G0065300.1 pep chromosome:AGI1.1:5:5723730:5724590:-1 gene:ORGLA05G0065300 transcript:ORGLA05G0065300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTVFDXICFVTALQVAAARASHAASPAELYWKIALPTSPMPGSIRDLINPARSASQEDTDMDEVSTDAVFFLEKDLFPGSKITLHFTRGGACAMVLLRGRADAIPFASEKLPEILTQLSVPAGSRAAEDMRTTLAECEAALLGARDQAKHCVTSLESMVEFAAASLGTRDIRAVSTEVIGTGAAETPRQEYTVEAVKPVVSVSGGNMVTCHGMPYAYAVFGCHTTTATAYAVTLAGADGTRAEALATCHGDAFPGVAEAYERVGVAAGSVPVCHIMPLGDMLWVRN >ORGLA05G0065200.1 pep chromosome:AGI1.1:5:5722179:5722751:1 gene:ORGLA05G0065200 transcript:ORGLA05G0065200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETVAGRMAGTARRMEASTAAGGLGRVLRLRLCRYSRSEYLFPEAQPFPHADFADEVEYLDSALPGELLLFRRSAVMSSSLPDASPRWHVYSASSSSSSSKSTFSARPLTTCGWPDKGRPCLCGRLTSGGTPLPATILHPSRGSSYCFSLHSPYSTTMYIRSPATAQESVHPSHIPRAISDHEYDATP >ORGLA05G0065100.1 pep chromosome:AGI1.1:5:5701971:5706656:-1 gene:ORGLA05G0065100 transcript:ORGLA05G0065100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFFPVHYSICSGRASDLGEDMVFYFKVRPEAGDYTIFMGLDKFENEDLIKYGFPEDIWFHVDKMSSAHVYLRLNKGQTMDDISEGVLEDCAQLVKANSIQGNKTNNIDVVYTPWYNLKKTPSMDVGQVGFHNSKLVRIVKVEKRINEIVNRLNKTKVERKPDLKAEREAVSAAEKAERKVQLRDKKRREEMERLEKEKQAEIRSYKNLMVQEKMTSNKQVASGSKTLEELEDDFM >ORGLA05G0065000.1 pep chromosome:AGI1.1:5:5693904:5694626:-1 gene:ORGLA05G0065000 transcript:ORGLA05G0065000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVKLVFTTLNWFVVSFLPKPHQCRLPSSSSSSSSPARAPPPRWRSPRRRCPRRTRTRRSPRSSRATACHRGCSPPASPPSPSPPTAALPSTSRAPATPTTSTSPTLRPASWGSSATALSPTFPGSRSTASSSGSTSSESRSTSRRPRTTSTSTSDGSPASSLPTSSSPPTSATTPRNAASRPRSPRLPCGFRFDVFLPFVIPSCLCSIGS >ORGLA05G0064900.1 pep chromosome:AGI1.1:5:5681641:5687077:1 gene:ORGLA05G0064900 transcript:ORGLA05G0064900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSISMYRGNLHRAGADTDHRWPAPRPTITPSRFRSLLRSRTLSLARLDGAARADSAASSSTSRLADGDAGVDEEEQDEEGMEMEEEEEEQEQEQEEEDGQDEQQPQEAGEEQDEGAVEDADMDDAGEVLVGGEDAHGNGDAQEGQGESEGFDPNPEGSCLDVIEERKKELSDKLDTLNKKKHDLVQMLKQILNAEEEIRMRTMQASLRTTVPQPSENAADGSSISRLVPRMTVDVNFSDVAGESEAGSNQGTPGRPLHHVHSISPSTASFARSPFGSLQHNSGHTPRSPATFSTASPSRFAATGNQGHPIGHPSISLPGINFVASSPSPAASGGSSSVFRDYRPPNST >ORGLA05G0064800.1 pep chromosome:AGI1.1:5:5671942:5676217:-1 gene:ORGLA05G0064800 transcript:ORGLA05G0064800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rhomboid-like protein 11 [Source:Projected from Arabidopsis thaliana (AT5G25752) TAIR;Acc:AT5G25752] MAAQQLLLLLPLPLPSRTFSKPLPSLPIPLPALRLSSSAYAARRRDLLRSLRCGMRRSDLVADLELAKDKQWQGRRPNGIFWILLLNVGIYVADHLFQIEHIKAMYLYHAFPSWFQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEEEGSFALWMSYILTGAGSNLISWLVLPTSSVSLGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVVDKVMEAARATTVTGHSLQVNNIAHLSGALIGAALVFLINRIPFPSDGDSSKSSKDTREKRS >ORGLA05G0064700.1 pep chromosome:AGI1.1:5:5668953:5670191:1 gene:ORGLA05G0064700 transcript:ORGLA05G0064700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHSVVVHQRGGAGAGDEEEEIGVFTAERYFSGADEVDALWCGGSSSSLSSAFKTGGGQQEYWSAAPTTLTAATTSSEASWNSRSALLRDAAAAVAVETEEPSGAGGDLCTPGTKASSPSHNLLLRWLLGVAACACAGGGAEEAVIADDCRRDEARAAGVAVGGEKRISTEAAEAVATTRVSRGMCDGDVFDAGTATPPPLPQLAEPRRIRTADSGEVSARVFNTRATAAATLAADERRRRSLDMFASATRQQQSSQNPAFTIVAGTSTAARDAGGAGASATIRRDDPGAAAGDDAASSDGELVECAYPPSEASVVWSVVTADGVASAGNFSSAASGYYHHYYYHNGGGGDGRRTDAGKSSRRSSAGGLLTMGCMSDRALDAISPARVVHRRPLPPAVAGDGATWHAASRK >ORGLA05G0064600.1 pep chromosome:AGI1.1:5:5656790:5657152:1 gene:ORGLA05G0064600 transcript:ORGLA05G0064600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIDRSYQKPGSSLHGGSGPGRATEEGASPEEEEEPRERDSPEGGWACAASGFAPRGEELSGGLGGWGTERRGSGMGGEESGGGGGGRRRRGLRRRRRGENVMRSGLSLRWVNLIVSV >ORGLA05G0064500.1 pep chromosome:AGI1.1:5:5652727:5656689:-1 gene:ORGLA05G0064500 transcript:ORGLA05G0064500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGGGWVARLAALLVVGFVLGSVDASLGDVDPQYRTCVEECHTTGIIGENIISHCQSPGNDDASVGSSWYTQEPLYMQWKQLNCMNDCRYYCMMQREGERQSRGLNPVKYHGKWPFIRVSVFQEPLSAALSAVNLLMHFTGWLSFFLLVNYKLPVRPQTKRTYYEYTGLWHIYAILSMNAWFWSSIFHTRDIDLTEKLDYSSAVALLGYSLILSLLRTFNVKDEATRVMFAAPILAFVTTHILYLNFYELDYGWNMKVCVVMAVVQLLAWAIWAGITQHPSRFKLWVVVFGGALAMLLEVYDFPPYKGYADAHSLWHASTIPLTYLWWSFIKDDAEFRTSTLIKKAK >ORGLA05G0064400.1 pep chromosome:AGI1.1:5:5631616:5633674:-1 gene:ORGLA05G0064400 transcript:ORGLA05G0064400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGGSKPGGEVPSLLPDVEVTNVASFDVTAPSPRSQPSPRPLPHPNTPTRPRAPSLVRVPRRGGEAVVPPLESPGFRSVQPVSVSLPASPSGFGAPTPVGGGGGGGGDSDVDLRRQAAANAAREPLHSPASQAKGGGNGVQFVQPERMMFLSQPIPGGQPSRTATRGGGGRAMCRDKRYDSFKTWSGKLERQLTHLAGVGPEAPVDKERGDAIGSHHTSSLPKVDRFFAALEGPELDQLKSEEELVLPSDKTWPFLLRFPVSAFGMCLGVSSQAILWKTIATSGPTAFLHVTTKVNLVLWCVSVALMCAVSATYGAKVVFFFEAVRREYYHPIRVNFFFAPWIACLFLTIGVPDSVAPTPLPHWLWYALMAPVLCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLREGPVFFFAVGMAHYSVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWARITGEFGLGSRVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNALTRVLCVALSAVATLVVTALFATTMIHAFVLHKLFPNDIAIAITDGQSMIPIKELLEMHADADDEDIESAAAKPTAARS >ORGLA05G0064300.1 pep chromosome:AGI1.1:5:5626571:5627036:-1 gene:ORGLA05G0064300 transcript:ORGLA05G0064300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLYGALSSGPGALALLESPTGTGKTLSIICSALQWLVDHRDAAARGSTTAAAAGGGGEGDGDEPDWMRDFTPLPPEKPTAKKGRPPAAAARSKAPRRAAAGSEKPEGSEEAEDEEFLVEEYESDGEVGV >ORGLA05G0064200.1 pep chromosome:AGI1.1:5:5622325:5625411:-1 gene:ORGLA05G0064200 transcript:ORGLA05G0064200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein [Source:Projected from Arabidopsis thaliana (AT1G79890) TAIR;Acc:AT1G79890] MIRKRGLQKEFKSEVSEQGALDIEDLAQLGKKIGTCPYYGARDTVRTADLVVLPYQSLLLKSARESLGLNLKNSVVIIDEAHNLADSLTSMYNSKITSSQLNAILSHLEAYLNRFQSVLGAGNRRYIQTLTVLTRSFLRVLTSNQDDACTMTSMTINQFLFSLDIDNINIVKLCQYVKESNIIHKVSGYANILNINQDGVNHLSHQQQYDEGSSIASFQTLADFLRSLLNCNDNGRIIVARKKFSGQPEDAYLKFVMLCAEKIFLEITCDAYAVILAGGTLQPIEETRLRLFPNLPPSDIKFFTCNHIVPPESILPIAVTRGPSGKAFDFSYSSRSSHTMIEELGRFLCNVVTTVPEGVVMFFASYEYERCVYDAWAASGMISKISKKKHVFREPKNSVDVEMTLNKYKEAIQSCSKSSQDTGVTGALLLAVVGGKISEGINFSDGMGRCVVMVGLPYPSPSDVELTETIKYIENISKPVLVGGDNSSSSKYDDECKLQPGFDILRKCNKGGREYYENLCMKAVNQSIGRAIRHANDYAAMLLVDSRYAHTSSNRSSSSPTDKLPQWIKTRLACAQNYGEVHRLLHQFFKLNRQTH >ORGLA05G0064100.1 pep chromosome:AGI1.1:5:5615492:5615911:1 gene:ORGLA05G0064100 transcript:ORGLA05G0064100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQYELNSDRDPNRNPTGLGGLNDGSYIFVRGVTGGAWEYGEENARGGEGRAGAIDYFLYIDARYFARVPSTSQHLLPLRSASSGNDL >ORGLA05G0064000.1 pep chromosome:AGI1.1:5:5597784:5598659:-1 gene:ORGLA05G0064000 transcript:ORGLA05G0064000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAKSGGGGGGRTKRLKDRLARLLLLRSPCSSSSSTSTTFTAATNVSTTTTTTTASSTATTAAFLPRVEPFSAALDLLRHPPQEEEKKDINRRNSSRRRGHFKPKLSSNPYGFTTSDDDGEGADGDDDDDDNDGTEAFFSSRSLVSSDSSGFYACSSKQQLLPHKSKANRHRHHRRHRQQKPTTTRRRRRRASGCVDDACSVRDAAAFRPLVSTTAEEEVRKGLAVVKRSSDPYGDFRESMAEMIVERQVFAAAELERLLRSYLSLNPPRLHPVILQAFSDIWVVLRGG >ORGLA05G0063900.1 pep chromosome:AGI1.1:5:5582329:5585247:-1 gene:ORGLA05G0063900 transcript:ORGLA05G0063900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSKAERKAALDAGTWMFNIVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTLVMKWLGYIQPSYLPVPELIKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCFLEILFDKVRYSRDTKLSIMVVLVGVAVCTVTDVSVNSQGLIAAIIAVWSTALQQHYVHHLQRKYSLGSFNLLGHTAPAQAASLLVLGPFVDFWLTNKRVDTFNYTAIVTFFIILSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTVLVLTLGFLFFGKEGLNFHVAIGMILAVIGMIWYGNASSKPGGKERQVYSAPSEKTQKHNILSSQSELDQKV >ORGLA05G0063800.1 pep chromosome:AGI1.1:5:5580024:5580299:-1 gene:ORGLA05G0063800 transcript:ORGLA05G0063800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANKSSKPERSSSQPTHDAVVPASASGGAAASCEAGKTPSSGGLPLSAAASSEKRPGSGGGGELPGWKLDCLCRESGMSAAVISGGFPCF >ORGLA05G0063700.1 pep chromosome:AGI1.1:5:5572622:5578713:1 gene:ORGLA05G0063700 transcript:ORGLA05G0063700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVHLPNLAGARRPSPCGGHRRARGGARFSVSAAAPGGGVKEEEEEEEEEEEKGTRGKERIVIRVSDPVRERRLPPPLFSSPDAPSPAAGRRRRKGEDEDDGEDRRRRYHVNVGDAIRALREELPAAFYREPSFHIYRDDIVFKDPINNFTGIDNYKRIFWALRFTGQIFFKALWIDIISIWQPVEDVIMIRWIVHGIPRVLSDGPGRFEGTSEYKFDKNGKIYEHKVDNVAKNTPTKFKVLPVVELIRSLGCPSTPKPTYFETSSLQLISLLPFWFKLTWMRCYLSLYLILANLSKG >ORGLA05G0063600.1 pep chromosome:AGI1.1:5:5563494:5564966:1 gene:ORGLA05G0063600 transcript:ORGLA05G0063600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PTD8] MGGGRGGAPATASARARRPHQPRVLLLCSPCLGHLIPFAELARRLVADHGLAATLLFASARSPPSEQYLAVAASVLAEGVDLVALPAPAPADALPGDASVRERAAHAVARSVPRVRDVARSLAATAPLAALVVDMIGAPARAVAEELGVPFYMFFTSPWMLLSLFLHLPSLDADAARAGGEHRDATEPIRLPGCVPIHAHDLPSSMLADRSSATYAGLLAMARDAARADGVLVNTFRELEPAIGDGADGLKLPPVHAVGPLIWTRPVAMDRDHECLSWLNQQPRGSVVYVSFGSGGTLTWQQTAELALGLELSQHRFIWAIKGPDQDTSSGAFFGTANSRGEEEGMDFLPEGFIERTRGVGLLVPSWAPQTSILGHASIGCFLTHCGWNSTLKSVSNGVPMIAWPLYAEQKMNAAMMEVQAKVAIRINVGNERFITNEEIANTIKRVMKGEEAEMLKMRIGELKDKAVYALSKGCSILAQVTHVWKSTVG >ORGLA05G0063500.1 pep chromosome:AGI1.1:5:5560717:5561121:-1 gene:ORGLA05G0063500 transcript:ORGLA05G0063500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSCFSSVLASLRGGAAAAAEDDAGEEGTAALLRRRLLVGEVAANLEEEVGALERALAVAVAERAAVEARRRDAEARADDAEARLLAAEEEKDARAEELVLAAAEAAAKRRQIRDLELQIQAVNNMTSKFRWY >ORGLA05G0063400.1 pep chromosome:AGI1.1:5:5545014:5548671:-1 gene:ORGLA05G0063400 transcript:ORGLA05G0063400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLAAVLLLMVAAAGASHAASPAELYWKIALPTLPMPGAIRDLINPVSSAASASKEDTKEDTLGNVFFLEKDLFPGSKMTLHFTRATAGAALLPRGRAESVPFASERLPEILSQLSIPAVSPTADAMWSTLAECEAARLAGETTKHKHYCATSLESMVEFVASSLGTRDVHAVSTEVISTLTPTPRQAYRVEAVRPVAVPGGDMVACHGMPYAYAVFGLHGLKGGGRVPRGRGRARRGGGGIQEARRGARERGHLPLPASGRHDLGAQLN >ORGLA05G0063300.1 pep chromosome:AGI1.1:5:5534751:5537948:1 gene:ORGLA05G0063300 transcript:ORGLA05G0063300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRRWWWWPWATPPPFSSSASRHHKGEGWGLGGPALVKAVGWLLLAGLLFRVLCSFPSSTSSPEISEGKCNLFDGEWIPNPSGPAYTNKTCRFIDGHQNCMLNGRPDMSYLHWRWKPYECELPQFDEVRFLSAMRNKSWGLIGDSILRNQVQSLLCLLSKAEEPIEVYHDKEYRNRRWHFQSYNFTVSLVWSPFLTKSEVFENENGQSTSEIQLHLDILDPIWTSQYETFDYVVIAGGQWFLKVAVYWENDRVIGCHYCQDKKLRELGFEHLYRRTLQQVFRFIASSNHKPAVLFRTWAPDHFENAEWFNGGTCSRVLPYKKGEYSGKDMDRIMRPIELEEFRNAMAALGGSRNSANLKLLDTYSISSMRPDGHVGPYRYPFLKGDKDAKSVQNDCLHWCVPGPIDAWNDLVMKMVLG >ORGLA05G0063200.1 pep chromosome:AGI1.1:5:5524269:5524475:1 gene:ORGLA05G0063200 transcript:ORGLA05G0063200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECQRRLRGFGSTATQAADLASLCLVGVAGGGAAVGRRRDDDRRHASRRGHDDTILAFHSPILSKFL >ORGLA05G0063100.1 pep chromosome:AGI1.1:5:5510049:5512153:-1 gene:ORGLA05G0063100 transcript:ORGLA05G0063100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1PTD3] MFPDIRFIVGIIGSVACMLLYSAPILTFKRVIKKASVEEFSCIPYILALFSCLTYSWYGFPVVSYGWENLTVCSISSLGVLFEGTFISIYVWFAPRGKKKQVMLMASLILAVFCMTVFFSSFSIHNHHIRKVFVGSVGLVSSISMYGSPLVAMKQVIRTKSVEFMPFYLSLFTLFTSLTWMAYGVIGTDPFIATPNCIGSIMGILQLVVYCIYSKCKEAPKVLHDIEQANVVKIPTSHVDTKGHNP >ORGLA05G0063000.1 pep chromosome:AGI1.1:5:5506578:5508003:1 gene:ORGLA05G0063000 transcript:ORGLA05G0063000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G41850) TAIR;Acc:AT5G41850] MAPKRRRARPADESSTPPPPPPPLRTAPSHLQPVVVFAHGAGAPSSSDWMVHWKDMVKDALDAIEVVTFDYPYMSGGKRRAPPKAEKLVDHHLGVVKDAVAKHPGHPLVLMGKSMGSRVSCMVADSDDIIVSAVICLGYPLKGVNGAVRDETLLNLKIPTMFVQGNKDGLCPLDKLEATRKKMNCKNELHVIDGGDHSFKIGKKYQESTGVNQQAAEMEAVKAIAKFVQNSIAGT >ORGLA05G0062900.1 pep chromosome:AGI1.1:5:5479708:5480289:-1 gene:ORGLA05G0062900 transcript:ORGLA05G0062900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAICLAVFFLLAVISSAASDDPLTTVQQPISQVSHGATMEEKRSSPVKQDEGEEKAASKAVQAGDRRDGELDVGRGSGQDAEHHRGMEIKKLDNDDDDGSDWDSVDDSDSDHDSDSDHDHDSDSDHDSDFDRGSDSDHDSDSNHDIDGDDNDDDDDDNNDDEHKTNKTMSKKNKRSASGGRRVGPGGNKN >ORGLA05G0062800.1 pep chromosome:AGI1.1:5:5468734:5469396:1 gene:ORGLA05G0062800 transcript:ORGLA05G0062800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSISITLLQFNSGSHTSVSYSSLFSTFRRGMEAAPVGAVRAVEAGGSERATANNNEAAVSATDDGRRRQRTTTPPPSYPYVLSLSVHDTRLRAGGHDEALPRWPLYHLVSHLTGAAAPFTPLPLIPSSLSSALKRARRQGDRNQRWMPAATSGRLRRRSPSCRHATVSSSLVFPSARPQADLVATEIGAGGRGGGNQHXGKAWGPQLASQFGWPSLASGR >ORGLA05G0062700.1 pep chromosome:AGI1.1:5:5463596:5465359:1 gene:ORGLA05G0062700 transcript:ORGLA05G0062700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLVGGGGWRVGDDAAGGGGGGAVAAGAAAAAEAEHMRRLHSHAPGEHQCSSALVKHIKAPVHLVWSLVRSFDQPQRYKPFVSRCVVRGGDLEIGSVREVNVKTGLPATTSTERLELLDDDEHILSVKFVGGDHRLRNYSSIITVHPESIDGRPGTLVIESFVVDVPDGNTKDETCYFVEAVIKCNLTSLAEVSERLAVQSPTSPLEQ >ORGLA05G0062600.1 pep chromosome:AGI1.1:5:5441866:5442195:-1 gene:ORGLA05G0062600 transcript:ORGLA05G0062600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDCASKLHDHLASINGSRQGGQRRLGPEAVVLHALAVGGPRAIAVMSDYRKCRRAAASRLAGVVTKSAVKAVAEEDRREKKRSSPWTSAAAVSSYERAHRPLPLPWKRR >ORGLA05G0062500.1 pep chromosome:AGI1.1:5:5433235:5434621:-1 gene:ORGLA05G0062500 transcript:ORGLA05G0062500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATAAVVDSRLCKQHAEGPAAVLAIGTANPTNIVYQDGFADYYFGLTKSEHLTELKDKMKRICHRSGIEKRYIHLDEKLIREHPEIIDKHMPSLETRVDIVTTEIPKLAESAARKAIAEWGRPATDITHLIFSTYSGCSAPSADLKLASLLGLNPSVSRTILSLHGCSGGGRALQLAKELAENNRDARVLIACAELTLICFSNPDESKIVGHGLFGDGAGAIIVGADPLVDGERPLFEMVLASQTTIPGTEHALGMQTTCSGIDFHLSIQVPMLIKDNIRQCLLDTFRSVGNMDPNWNDLFWAVHPGGRAILDNIEGELQLQPTKLAASRHVLSEYGNMSGTTIAFVLDDLRRRQEKEADEHEQPEWGVMLAFGPGITIEAMVLRNPLS >ORGLA05G0062400.1 pep chromosome:AGI1.1:5:5429551:5431230:-1 gene:ORGLA05G0062400 transcript:ORGLA05G0062400.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNLRNCKLVSTPFASHYKLSSSQCPINEDDKDYKSHVPYASAVGNLMHGLFPDILFVWFCWGSRLLVSLASPLWSRLQHRRLDGIINEARDEQVVESGFSLLRSYGY >ORGLA05G0062300.1 pep chromosome:AGI1.1:5:5419214:5422406:-1 gene:ORGLA05G0062300 transcript:ORGLA05G0062300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAAAAVVDSRLCTQHAEGPAAVLAIGTANPANIVYQDGFADYYFGLTKSEHLTELKDKMKRICHRSGIEKRYIHLDDKLIREHPEIIDKHMPSLETRVDIVSTEVPKLAESAARKAIAEWGRPATDITHLIFSTYSGCSAPSADLKLASLLGLNPSVSRTILSLHGCSGGGRALQLAKELAENNRDARVLVACAELTLICFSNPDESKIVGHGLFGDGAGAIIVGAGPVVDEERPLFEMVLASQTTIPSTEHALGMQTTASGIDFHLSIQVPTLIKDNIRQCLLDTFRSVGNMDPNWNDLFWAVHPGGRAILDNIEGELQLQPAKLAASRHVLNEYGNMSGTTIAFVLDDLRRRREKEEDHHQQLEWGVMLAFGPGITIEAMVLRNPLS >ORGLA05G0062200.1 pep chromosome:AGI1.1:5:5411506:5412923:-1 gene:ORGLA05G0062200 transcript:ORGLA05G0062200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTATAAVVDSRLCTQHAEGPAAVLAIGIANPANIVYQDGFADYYFGLTKSEHLTELKDKMKRILHPGGHAILDNIEGELQLQPAKLAASRHVLSEYGNMSGTTIAFVLDELRRCREKEGDEHQQPEWGVMLAFGPGITIEAMVLRNPLS >ORGLA05G0062100.1 pep chromosome:AGI1.1:5:5405223:5407444:-1 gene:ORGLA05G0062100 transcript:ORGLA05G0062100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTATAAVVDSRPCTQHAEGPAAVLAIGTANPANIVYQDGFTDYYFGLTESEHLTELKDKMKRICHRSGIQKRYIHLDEKLIREHPEIIDKHMPSLETRVDIVTTEIPKLAESAARKAIAEWGRPATDITHLIFSTYSGCSAPSADLKLASLLGLNPSVSRTILSLHGCSGGGRALQLAKELAENNRAARVLIACAELTLICFSNPDESKIVGHGLFGDGAGAIIVGADPLVDGERPLFEMVLASQTTIPGTEHALGMQTTSNGIDFHLSIQVPTLIKDNIRQCLLNTFRSVGNMDPNWNDLFWAVHPGGRAILDNIEGELQLQPAKLAASRHVLSEYGNMSGTTIAFVLDELRRRREKEGDEHQQPEWGVMLAFGPGITIEAMVLRNPVS >ORGLA05G0062000.1 pep chromosome:AGI1.1:5:5387917:5389637:-1 gene:ORGLA05G0062000 transcript:ORGLA05G0062000.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLSLKEEKVYYIDSFIVRAANRTYRPVANNLMILFSKWTTLEEHINVPPHFTGITFSLTPFEDVPSLVEKNSFYVDIMGVITEVGADTTIRPKSRNAESLKRTLQIRDYNASQTQAQVVVFVGTLMKDYSGLGLTLTGSSPCKWYINLNIPKVAELNESFSTNFQPIKWVDEPATGYNQDVLEEKSIAEILNIYPHKHKGTRFIVNVTVRRICNEYSWYKVVLIVGDDANATFILFGRIAQRLLRRPIESLIEENPPNSEYIPSEITSLIGSNFAWNVSFTRDTVTMSQECLQVNSIISSGASPATTPYVPKC >ORGLA05G0061900.1 pep chromosome:AGI1.1:5:5379816:5385737:-1 gene:ORGLA05G0061900 transcript:ORGLA05G0061900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSQDVESKAKVHPLVQGDDEIKGEQPNTDAPLGDSDSLSAASNDNKRVSREDIELVQNLIERCLQLYMNRGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKKQINLFNHLLEHQYHLMKYPVPQQVPLTPTQNGIRPMPVNNLPMGYPVLQQPGIPAPVQPHVNSMSCGPPGCHVVNGIPAPGGYNPIRMSSGNGMTENEVPGTAHAGAMSSEMAVSPSSAMSSNHVSFTPDISGMDVDASTVNATFGDDLGNGGPLQIGPNGGDSSSLGQQIWDFSLSDLSADLTNLGDLAALENYSGNPFLPSDSDIFESPDDDIVEYFADAINGPSQSDEEK >ORGLA05G0061800.1 pep chromosome:AGI1.1:5:5365648:5368038:-1 gene:ORGLA05G0061800 transcript:ORGLA05G0061800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:I1PTC0] MANGAATLFGFLALASMVKLGFVAGGGHDYAMALRKSILYFEAQRSGVLPPNQRVSWRASSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSILEYGKQMAAAGELRNAMDAVKWGTDYFIKAHPEPDVLYGEVGDGDTDHSCWQRPEDMTTSRQAFRVDPQHPGSDLAAETAAAMAAASIVFRGTYPGYANLLLVHSKQLFEFADKYRGKYDASITVARNYYGSFSGYGDELLWAAAWLFEATEDRSYLEYLAGNGDALGGTGWSINQFGWDVKYPGVQVLAAKFLLQGRAGDHAAALQRYRQNAEFFVCSCVGKGAVNVARTPGGMMYHQRWNNLQFVTSASFLLTVYADFASISGRGAVRCPAGAAQPFDILKFVKSQVNYILGDNPRGTSYMVGYGASYPRQVHHRGASIVSIKRDPSFVSCQEGYSSWYGRQAGNPNLLDGAVVGGPDEYDDFADERDNYEQTEAATYNNAPLLGVLARLAASCGGLKEEEYEQETATPVMNRTSSSSSSLPATAIAIEQNVTRTWARRRRTYYRYAVTVTNRSQRKTVRELHLGVSGLQGRLWGLEEARYGYVPPRWLPALRPGRSLRFTYVQPAPAPANVWVTGYKLV >ORGLA05G0061700.1 pep chromosome:AGI1.1:5:5335595:5335816:1 gene:ORGLA05G0061700 transcript:ORGLA05G0061700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDEVSIWHTMEVLCAYGMRSRIWKESKFGMIGYVKFVSCTRGFPKVFRTSSMSLVRGFRLPTSGINRGGA >ORGLA05G0061600.1 pep chromosome:AGI1.1:5:5330663:5333052:-1 gene:ORGLA05G0061600 transcript:ORGLA05G0061600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT4G23840) TAIR;Acc:AT4G23840] MASASASAGGETPLVDRCIDAAAGGAATVEAWRRQRRSLERLPPQLADALLRRLAARRLLFPSLLEVFQHSVEEIDLSGHIAVDAEWLAYLGAFRYLRVLKLADCKNVNSSAVWALSGMSTLKEFDLSRCSKISDAGIKHIASIESLEKLHVSQTGLTDNGVMAISSLINLRLLDLGGVRFTDKALRSLQVLTQLEHLDIWGSEITNEGASVLIAFTSLSFLNISWTRVTCLPILPTLRCLNMSNCTIHSICNGEFQVLIHLEKLVISAASFGNIGEVFSSILPSSLTYLDMSSCSSSNLYFLGNMRNLEHLDLSYSRIISDAVEYIANIGMNLMFLSLSNSEVTSQALCVLAGTVPSLTTLSLAHTKIDDSALLYISMMPSLRILNLSRTCIKGFMMENSVKVLSLSALEELKYLESLNLNNTQLMDDVIPPLASFRALKYLFLKSDFLSDPALHALSSASNLIHLGFCGNILSTTGLRKFVPPATLRMLDLSGCWILTGDAISAFCTCHPVIEVRHELIQELQANYGGTSHLHKSSRQPQQVKAKVAKSLAGPSRLADICFVDERIKYSKEEMMELQHQAKSNSSMNVAQLPPELRRSV >ORGLA05G0061500.1 pep chromosome:AGI1.1:5:5327074:5329542:1 gene:ORGLA05G0061500 transcript:ORGLA05G0061500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49170) TAIR;Acc:AT3G49170] MAAAVAALPGKLPRQPPPPPPPPSPPNSTFPRYPRSLAAHPALSSSHPSDVSALLAAAARAGDLRLGRALHRRLLRGDLLDRDAVVANSLLTLYSRCGAVASARNVFDGMRGLRDIVSWTAMASCLARNGAERGSLLLIGEMLESGLLPNAYTLCAVAHACFPHELYCLVGGVVLGLVHKMGLWGTDVAVGSALIDMLARNGDLASARKVFDGLIEKTVVVWTLLISRYVQGECAEEAVELFLDFLEDGFEPDRYTMSSMISACTELGSVRLGLQLHSLALRMGLASDACVSCGLVDMYAKSNIGQAMDYANKVFKRMPKNDVISWTALISGYVQSGVQENKVMALFGEMLNKSIKPNHITYSSILKSCASISDHDSGRQVHAHVIKSNQASAHTVGNALVSMYAESGCMEEARRVFNQLYERSMIPCITEGRDFPLDHRIVRMDVGISSSTFASLISAAASVGMLTKGQQLHAMSLKAGFGSDRFVSNSLVSMYSRCGYLEDACRSFNELKDRNVISWTSMISGLAKHGYAERALSLFHDMILTGVKPNDVTYIAVLSACSHVGLVREGKEYFRSMQRDHGLIPRMEHYACMVDLLARSGLVKEALEFINEMPLKADALVWKTLLGACRSHDNIEVGEIAAKNVIELEPRDPAPYVLLSNLYADAGLWDEVARIRSAMRDNNLNKETGLSWMEVENTTHEFRAGDTSHPRAQDIYGKLDTLVRQIKGMGYVPDTSIVLHDMSDELKEQYLLQHSEKIAVAFGLITTSAPKPIRIFKNLRVCADCHSAIKYMSKATRREIILRDSNRFHRMKDGECSCGEYW >ORGLA05G0061400.1 pep chromosome:AGI1.1:5:5316797:5317081:-1 gene:ORGLA05G0061400 transcript:ORGLA05G0061400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKPMTVKYIVTRFVEADAAEFKSVVQSLTGKDSTAATASPEEEGSRRRRTGHHRHVVPPPAPRRWLRRNVDDGFLVDVMPSIEEMDEFLRD >ORGLA05G0061300.1 pep chromosome:AGI1.1:5:5302071:5302292:1 gene:ORGLA05G0061300 transcript:ORGLA05G0061300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDFIWHTMEVLCAYGMRSRIWKESRFGMIDYVKFVPCTRGFRKVFRTPSMSLVRGFRLPTSGINRGGA >ORGLA05G0061200.1 pep chromosome:AGI1.1:5:5286548:5288428:-1 gene:ORGLA05G0061200 transcript:ORGLA05G0061200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTASTMARGAPLVGVLPSLLAKGPVAFIRHHYEKMGSVFTVSLLQQKVTFLVGSEAASHFYKGLDSEISQDEVSQFTIPTFGPGVAFDVDYATRHEQFRFFGDIMKPAKLRTYVDLMVAEVEGYFARWGQSGTVNMKQEFEQLVTLIASRCLLGEEVRDKMFDEVSTLLRELNDGMRLVTILFPRLPIPAHRRRDRARARLGEIFSDIVRSRRGSSVGGGGGAPHDDMLQCLIDARYKDGRATTETEVAGMLVAALFAGQHTSSSTSTWTGARLLTNPDHLRAAVEEQARLLRRHGGDRVDHAALAAMDTLQRCVKETLRLHPPALMLLRHARRSFVVRSEDSGGGECEYEVPEGHTVASPLLLHNALPRVYRDPGEFDPGRFGAGREEGAGGLAYTAFGGGRHACVGEAFAYMQIKVIWSHLLRNFELQLVSPFPETDWTVVMPGPKGKVMVTYNRRKLT >ORGLA05G0061100.1 pep chromosome:AGI1.1:5:5253033:5256090:1 gene:ORGLA05G0061100 transcript:ORGLA05G0061100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASAEAEEVRRAGNEQYKNGCFEEALRLYDRALALCSDSAACRANRAAALIGLSRLGEAVAECEEAIRLDPSYGRAHQRLASLQIRLGRTADARKQIGMGGLQPDVVELHKLEAVEKHLGRFADARKIGNWKSALRECNAAIAAGADSCAMLFASRAEALLQINQLDEADLAISRASKLDCSSSCSQDMMLCGFQSNSYLYYVHAQVDIAFGRFDSAMSSMEKARKIDSGNVEVMAMHKNVRTVAQARTLGNELFHSGKFAEAFLAYGEGLKHHPANSVLYCNRAACMFKLGQWEKSIEDCNEALKIQPNYWKALLRRAASYGKIEQWADSVKDYEVLRRELPGDTEVAEGHFHALVALRSSRGEDVSNMKFGGEVEALVGAEQFQMATTLPGVSVVHFMAPLNQQCSDIAPFVDALCTRYPSVNFLKVDITENPTVTQLENVKTVPTFKIYKDGTRVMEMICPSHQLLESSLRQYEV >ORGLA05G0061000.1 pep chromosome:AGI1.1:5:5237679:5247199:-1 gene:ORGLA05G0061000 transcript:ORGLA05G0061000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MDSAALSLTCAGLGGAEEDDDGAVVGYAKGEHCLDNLKDLQRFLRRDDPQRREVFKQVCKWKIASRDLVPIIENYQADRNLVITAVKVLVFLTMPVEPSSENVAQQIEYLWDLKAALTRNVAMAVIVSLLEDPLDHLERASFTEDDWKLVQLVLTLFRNILAIQEITLGQKASGEATQLLCLADSFLELMFQENVMDLILVLTQHMDEPSGYLQQENLLLLEIFHYLFLGRDPELIARASTAGSKDGSKSLCKGNPNSASENSLRKIRNVQRGPQKRIAWDNELLYTPREGTMEMIRNFLEQFLSGGYNAHFHVKLGITQCLPVLMQSVCDDIVKEHHSIQKSDITTFFKVARFVLAFQHEKASNAQKSNAAVQLPEASPSDHLDDNLPFLGDICGPVAATLNEGMFNLVISRWRETYDSLKETHDYKTLSAAGSLMKNMIDMVYLVLKVLPDDSRESQTARVLLYKLFYDQTEQGLTQFLLNLFRSFDTHKQPKSDLADLLGTIHIMLQLMEKLQARGALRVAKRTRKGRKKKASKNKIENTNLNAEKMEQSNADSTDGTKSALESLPDLKNVDSVAEPPLTEQEKVVSNGMDAPDELTGTSVNLDSTSHCEGEPSYADNGELKGNLIDEEDGTSDSSLDDQPPATSEVDFNVSRLISTLANNSVVQNICWLLKHYKSNSFRTNHYIICMLRRFCEDLELAPMLYQLSLLTTFYDILADQKSSTSKEYTNIVNFLSKIVRKMLRVMKKQPLLFVDVLFWKTRKECHCIDADALLSDITKDGTNKGGEIGSSKGWRGSINIADSLGDDEVDLVIPHEPYNADKDDDSSSGEREDVSKRSMGATNKSNRILSFSDSEADDNDSSIQIDKIRNTVSRGSQNSEAPKRRGRSIFSEEQEKLMRDLYEKYKDDRKCTHLIAEALDPSGKITSVQVSRKLTQLGLRNAMKRRTKVPEAPLSAQELATQTDQMLGEHNCNPKPETTRRRRKRLNVSHASYEDNTTDGKSSDEETLQAIKSRTKNKKVPLVGLSPSTSQHQDGLADPDSDDETIGSMLRSGKKKRLLTPDNAMNIEKHQESPDSTNTSNYSPEISQKQEALQDTYSGDEIIDSMHRSGKKKRLLKSGFTANTQEHEEPLINIGQDDETISSKDNLHHGLNSSNNSGGAGETELLDDFIEPELDNVENTEQRIIDDINITESGDMATSFADQKPGLKRRHKLVIDDDDD >ORGLA05G0060900.1 pep chromosome:AGI1.1:5:5233099:5236607:1 gene:ORGLA05G0060900 transcript:ORGLA05G0060900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLTAAAAVVLCFLLHGAAASGDRPFPPRFTSIFSFGSSYSDTGNFVLQSAGLPSIPFNHSPYGDTFFRRPTGRPSDGRLPIDFIAEALGLPLVPPFLAKEANDFGGGGGANFAIVGGTALDVGFFIRHNNASVPPFQSSLRVQIGWFRSLLRRGGNATAAAAAERLATALFVVGEFGGSDYRYLLSGGKSLEQAKSFVPEVVRAICRGVERLVEEGARYVVVTGTLPAGCMPMELTKYAAAAAGAANASSTAAAAYDRRTGCLRRLNGLAQYHNWVLREAVERMRGKYPTTKLVYADFYKPVASLVRRPAKFGFTQQPLKACCGGGGPYNYNPGAACGSPGASTCGDPSAYVNWDGIHLTEAAYKYVAGGWLNGVYAYPSILSLLAQ >ORGLA05G0060800.1 pep chromosome:AGI1.1:5:5227387:5227947:-1 gene:ORGLA05G0060800 transcript:ORGLA05G0060800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMMVQSAGMKRLLRDIESRRTPPDHLAPITGRVAKKFSRPSSPFLAGAAGADEPIIKKGTPVSVRTRVGKIGAGLNRHLVLRLGAVVVSDADEDDGFLDVVYNVGFPPHDPFRPVRVSRDQVKVITPTAAVTNAAAPPPPPHPTKSKSKDGGPRPTVAGKSLRLLTKLERERAGAIYYSLVLRP >ORGLA05G0060700.1 pep chromosome:AGI1.1:5:5218970:5221264:1 gene:ORGLA05G0060700 transcript:ORGLA05G0060700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRVAVLGLVWLAAAATVAMADPLPSYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEAFGLPLLPPSANKGTNFSQGANFAVMGATALDLKYFKDNNVWSIPPFNTSMNVQLQWFDEVKQTICSSPQECREFFSKALFVFGEFGGNDYSFAWKAEWSLEKVKTMVPSVVASMVGGIERLLDEGARHVVVPGNLPAGCIPITLTMYATEDRSEYDPRTGCLKKYNSVALYHNAMLRIALDQLQRRRPDSRIVYADYYTPYIQFARTPHLYGYKRGALRACCGGGGPYNYNMSASCGLPGATTCEDPDAHVSWDGIHLTEAPYRFIANTWIRGPYAHPPLASVVRDDMVY >ORGLA05G0060600.1 pep chromosome:AGI1.1:5:5186413:5186787:1 gene:ORGLA05G0060600 transcript:ORGLA05G0060600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVRREAGGVATIVLVCSTVAAVAAAGTLAVTDAGAAAGGGAGGGARHQPRQAEVRAPAGGAQTIQRKVLNQLGATIELAGDGAKAVDIFKDAIERVCFSF >ORGLA05G0060500.1 pep chromosome:AGI1.1:5:5180820:5184212:1 gene:ORGLA05G0060500 transcript:ORGLA05G0060500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLAAAMVVVVFAHAAAAQRYNAIYSFGDSISDTGNLCVGGCPSWLTTGQPPYGKTFFGRPTGRCSDGRVVVDFLAEHFGLPLPPASKGGGDFKKGANMAIIGATSMDAAFFKSIGLSDKIWNNGPLDTQIQWFRQLLPSVCGNDCRSYLSKSLFVVGEFGGNDYNAPLFAGRAMTEVRDYVPQVVSKIIRGLETLIRMGAVDMVVPGVLPIGCFPIYLTLYGTSNGADYDRNGCLKSYNSLSSYHNTLLKRSLSNLQRTYPHARVMYADFYSQVTAMVRSPQNFGLKYGLKVCCGAGGQGTYNYNNKARCGMSGSSACADPANYLIWDGIHLTEAAYRSIADGWLKGPYCNPPILH >ORGLA05G0060400.1 pep chromosome:AGI1.1:5:5167173:5167558:1 gene:ORGLA05G0060400 transcript:ORGLA05G0060400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCGGGGDGDGDGCKCRPLGWLLGLPFALLAVLVSIVGAIIWIIGLPISCICPCCLCVTLVLEAAVELIKAPLHVMTWFTSKIPC >ORGLA05G0060300.1 pep chromosome:AGI1.1:5:5162634:5164858:1 gene:ORGLA05G0060300 transcript:ORGLA05G0060300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLARLFAPTYIAMGFSSSASPPSRRLAHLTRHLLLSSGELSSSVGAPAAAARPAYLAAPKGYAAVLVCLFEDPHGGDPRVILTKRAASLSSHSGEVSLPGGKVEEGDADATATALREAKEEIGLDPALVSIVTVLEPFLSKNGLHVTPVIGILSDKALFKPVLNESEVADIFDAPLEMFLKDDNRKTQEANWMGMNIPVQSFEYQSEDKTFVIWGLTAHILTRAAAVVLQREPSFVEFRPRYVNSPSGDTNETKR >ORGLA05G0060200.1 pep chromosome:AGI1.1:5:5158269:5159054:-1 gene:ORGLA05G0060200 transcript:ORGLA05G0060200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSSVAAAPSGSNGTRRDGGSGSVTGCLPADQACFALSSSASSPGYLHASATTTRRDASATVARACCTTASYVVVLGISFGSLLAILLILCIIRWYLVWRSARPRRDDGAADEAVGSAKKRSAGLDDDAIAALPVFAYKQREEGGGGGAVGAAEEEEEERECTVCLAVMADGEAARRLPRCMHVFHRGCVDVWLREHSTCPVCRAEVVVRPVGAARVEKLPESSASRALTSPVPAPAPRPTGTVVDDGRERDLEAQQ >ORGLA05G0060100.1 pep chromosome:AGI1.1:5:5140436:5143772:-1 gene:ORGLA05G0060100 transcript:ORGLA05G0060100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-mannosidase 3 [Source:Projected from Arabidopsis thaliana (AT1G30000) TAIR;Acc:AT1G30000] MSGGGGGSGPLPYSMRDVDGSGAYNNAKFRHRSRLKMASQALFTNSSKYQCGKFTVGKFLSLLMVSGVIYLLVHKSSDGFVSGELHEKVGNTHTKKDFPKIRTFWRKPPRLPPRLPPNEIYRNNSLLLQSPQSEWTLRQKKVKEAFEHAWSGYRNYAMGYDELMPLTRRGIDGLGGLGATVVDSLDTAIIMGADDVVSEASKWIEDNLMKKLSEKGQVNLFETTIRVLGGLLSAYHLSGGDKARGDSGIPMTSKRANPERLLEVSKDLADRLLLAFTSSPTAIPLSDVVLRDRTAHAAPDGLSSTSEATTLQLEYSYLSTISGDPKYDLEAMKVLEHMRTLPTVEGLVPIYINPSSGQFSGENIRLGSRGDSYYEYLLKVWVQQERYRDTSLKYLFEMYTEAMKGVKHLLVRKTIPNGLVFVGELPYGRNGGFSPKMDHLVCFLPGTLALGATKGITKKKALENHLLTAEDIDNLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEGNSEGGPDGGNKSSQYVNDIIIKPLDRHNLLRPETVESLFVLYRITEDPKYREWGWQIFQAFEKYTRVDSGGYTSLDDVTSLPPPRRDKMETFFLGETLKYLYLLFGESNILPLDKYVFNTEAHPLPIIQSAQQISHSV >ORGLA05G0060000.1 pep chromosome:AGI1.1:5:5138621:5139208:1 gene:ORGLA05G0060000 transcript:ORGLA05G0060000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFMPEQSCSSSSPCRYIRGGRARHAQPPCRRAELLLLLLVIPGHRLKPPSLPLLFPSPPLASTSSSSSSTRPPRGSRSSGPSPSPTPTPRTAAPPSGARWRPSSHAASRRRRWQRRRWPHRGRRPVELEARSGVLEVIATSTTHGKGDDGKHNSGGGIDGARSEEDAAERDPPLSAMVAVVTGSSPSQGCRRRC >ORGLA05G0059900.1 pep chromosome:AGI1.1:5:5120548:5124626:-1 gene:ORGLA05G0059900 transcript:ORGLA05G0059900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPEKEAAAAAAAAAAPGSPFGYGSKRIGCNGDLGWVEYLLLGVAAAAAAPLPAHGEASPSPSYGSFRDILNEYVVAVRAMMWEVLKLMAEGLGLKEKDALVRLVSHEESDSVLRVNHYPPHHELKQQGHGRLTGFGEHTDPQIISVLRSNDTSGLEISLRDGSWASVSPDRKSFFVNVGDVLQPGGRIKLEELQGFLHAVSLRSRATRETTGSRTTAGLLSLASPTSPPPEQPPAKPDGGKDGGGGAFYPLQHPAVGAPTGNRWRGGDASRRLRRRRRPEAAELASPLADLAPSQPDRDEAASAAPHXDEALAGAVVAPAEKAVGWGSRGAGGGDVAGGGDEAASLGAAGSGSPRPDPTPAAGPRRRPAPGRQRRR >ORGLA05G0059800.1 pep chromosome:AGI1.1:5:5113640:5113864:-1 gene:ORGLA05G0059800 transcript:ORGLA05G0059800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGIVETGIRARLRIAAVVARTRLLVNWLFIAGLYHGREFYPTADIKDIDSSNDEDKSDDVAAREDMKLAIITT >ORGLA05G0059700.1 pep chromosome:AGI1.1:5:5107434:5107691:1 gene:ORGLA05G0059700 transcript:ORGLA05G0059700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFHDHVIRVSTGTGTSNLSINSTKLPNVLLNISPVCLQLLDCPLVAICRTVVIELISHILPIILSLFVISIYRINRVAQPSTN >ORGLA05G0059600.1 pep chromosome:AGI1.1:5:5104840:5106192:-1 gene:ORGLA05G0059600 transcript:ORGLA05G0059600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSQEQSNRIEDSLTSSNLEELMWEEINDPMEAEIEDQIEAEIEAELEAELAGPSTQRGGYTRRYINRDHEEDHNRLFAKYYCDNPLYTDEQFRRRFRMRKHLFLRIVEALGVWSPYFRLRRDAFGKMGLSPLQKCTAAIRMLAYGTPADLMDETFGVAETTAMESMINFVQGVRHLFGQQYLRRPTQEDTQRLLQFGEAHGFPGMLGSLDCMHWQWENCPVAWKGQFTRGDYGVPTIMLEAVASADIWIWHAFFGVAGSNNDINVLDQSPLFTEVLQGRAPTVQFTVNGSDYNMGYYLADGIYPEWAAFVKSIKRPLNDKAKLFAQRQESARKDVERAFGVLQKRWAIIRHPARLWEREELADIMYACIILHNMIVEDERGTYDIPDDNTYEQGHFSAQMAGLHHGPIYGFEDVLEKNLLIRDRATHRRLKQDLMEHIWQKFAGQQH >ORGLA05G0059500.1 pep chromosome:AGI1.1:5:5094827:5096227:-1 gene:ORGLA05G0059500 transcript:ORGLA05G0059500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 20 [Source:Projected from Arabidopsis thaliana (AT5G45820) TAIR;Acc:AT5G45820] MPEKGTVVMSRYELGRSLGHGTFSKVYQARSLVSGETVAVKVIDKEKALRAGAGMVDQIEREVAIMRLVGRHPNVVRLHEVMASRSKIYFVMELVRGGELLARLVAGGGRLGEDAARRYFHQLVAAVDFCHSRGVYHRDLKPENLLVDDDGSGGGGNLKVTDFGLSALSASRRHDGLLHTTCGTPSYVAPEIIGDKGYDGATADVWSCGVILFLLLAGYLPFFDSNLMEMYKKITNGEFKVPDWFTPDARSLISRLLDPNPTTRITIDELVKHPWFKKGHTKRPASSNTMKLNEEEKPANAAMNMKPASLNAFDIISLSQGFDLSGMFCCHGHSSRTQDQLFVTGKPATAIVSRLEEIAETEHFTVKKKQKKRQEEDGMAVKLQGWKEGRKGQLAIDAEIFEVSPSCYVVEVKKTAGDTLEYQAFCNRDLRPSLNDICWTSPATAASEKNQLPAVSEVSPLSSPRN >ORGLA05G0059400.1 pep chromosome:AGI1.1:5:5090040:5093583:1 gene:ORGLA05G0059400 transcript:ORGLA05G0059400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKQQQQQQTAAAATGVWKTVKPFVNGGASGMLATCVIQPIDMVKVKIQLGEGSAAQVTKNMLANEGIGSFYKGLSAGLLRQATYTTARLGSFRVLTNKAIEKNDGKPLPLVQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPIAQRRNYKNAFHALYRIIADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVELFRDKLGAGEVSTVLGASAVSGFFASACSLPFDYVKTQIQKMQPDASGKYPYTGSLDCAMKTFKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKFEKQIGI >ORGLA05G0059300.1 pep chromosome:AGI1.1:5:5073825:5085808:1 gene:ORGLA05G0059300 transcript:ORGLA05G0059300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPEVNGLLCVGLHQTDGGDELYATECLKMNQGSSHETNKLDAVMSASTMHSDHNNANACVDDYQHTKMMAGIQPMEEVGFGCMQPFELQSQGIVPDSEEESLPSSPETSSTSNYDMPDLAEQNLEHIYNVLGEMVDKEGPVVLSPEYVMCSTTSHVEPRLTFSADGFKIEYWDSCENDEMAAQYWKISDITCIDCKWAQSVGSVLITLHVGSGTETGNSSHGRIQFCLIDSQWPRKQQNIWHLASRYQEIWNSIPSGDFASENWNIEPSLFFPKQYFSDTEEFEDVIYPKGDHDAVSISKRDVELLLPETFVNDTIIDFYVKHLSTRIEPAEKHRYHFFNSFFFRKLADLDKDQGRAPEGRAAFLRVRKWTRKINIFAKEFLFIPVNFNLHWSLIVICYPGEVETFKDGDTNISAKIPCILHMDSLKGSHSGLKDIIQSYLWEEWKERHPESASDCSDKFLNLRFISLELPQQDNSFDCGLFLLHYVELFLTDTPRSFNPLKIDSFANYLSDDWFPPAEASLKRSLIRKLIHKLLKEPSQDFPKLVCCSEQLDKTHGSENAELEQMSENAEREQAKELPAQMCTDGEPDSVGTILETQQPSISTCFNDSDENGPPVSVHNPHKLEVSSANKDAIVCLSNHDEKNESPPADSYNHLDLRSCDSEEAETAKGSAGVVKDPNSHKEPLLDSLDNNQDISIQAGAEMHDSMDSKLCSISNNADLMASEERSLDKNTKENEEHNRTSEDIVESVMMLGGSKSDTELDAEPERTAGEAEVRNCDHSKDIDYIALGDINKDAAKQSLNRNIVEAEDIKCEGTLVDHTVVEDATPYNVNETSASADKINDNEHNVSSELKEGNNGNGITTSISCEMEDRNIDNLMVGDSRNGTDETRADGQEAHDNSATAETVPCEDNATTSITDAEMPHEDSTCSVKGEAISDNTASDAKRPLPDSTYIEDIPDDKCLQKDDGGGDEAKTERHYKRRKFLVSEATS >ORGLA05G0059200.1 pep chromosome:AGI1.1:5:5059760:5064194:-1 gene:ORGLA05G0059200 transcript:ORGLA05G0059200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58690) TAIR;Acc:AT3G58690] MSSGGGGGDDYKREESVALMVIVSLAALSLLSLVAAFAYYCYITRKVSRRLHSLDLPKHHRRSSSSSPPPMPPPLPPPPPSANAPTLGKESPSSNSASDGAAAAVVVGGERGAVQVFSYRQLHAATGGFGRAHVVGQGSFGAVYRGVLPDGRKVAVKLMDRPGKQGEEEFEMEVELLSRLRSPYLLGLIGHCSEGGHRLLVYEFMANGGLQEHLYPNGGSCGGISKLDWPTRMRIALEAAKGLEYLHERVNPPVIHRDFKSSNILLDKDFRARVSDFGLAKLGSDRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVNWALPMLTDREKVVQILDPALEGQYSLKDAVQVAAIAAMCVQQEADYRPLMADVVQSLVPLVKNRSTPKTCNPSVQA >ORGLA05G0059100.1 pep chromosome:AGI1.1:5:5056058:5056547:-1 gene:ORGLA05G0059100 transcript:ORGLA05G0059100.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGNKVGARAISTDPXPHXXPGLDRYVGXEKARRHGLQHHGLDLGAKRGSREDSNLKRPPPISSSGSVHSEGRFGQSIRHVGPT >ORGLA05G0059000.1 pep chromosome:AGI1.1:5:5050461:5053819:-1 gene:ORGLA05G0059000 transcript:ORGLA05G0059000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPAPAPMLLDAQPPAAVACDKKQQEGEAPYAEGNDAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRAMDHPNVISLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANHRMPLIYIKLYMYQQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKALVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHPFFDELREQNARLPNGRPLPPLFNFKHELANSSQELISRLIPEHVRRQAAHNFFNTGS >ORGLA05G0058900.1 pep chromosome:AGI1.1:5:5045313:5048562:-1 gene:ORGLA05G0058900 transcript:ORGLA05G0058900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAAAGGEGDAGVVAHVVVDVDESCAAAADDDDRSRRPSTGCRICHLGGGGDGEMAAESGSGRLVRLGCGCRGELAAAHRRCAEAWFSVRGNRRCEICGETAENITGWGGGGKEFMKRWHATAGVDVEGSSKACSGFCKSHSLCNLLIACLIIVIVLPWLLHNHVL >ORGLA05G0058800.1 pep chromosome:AGI1.1:5:5042360:5044170:1 gene:ORGLA05G0058800 transcript:ORGLA05G0058800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVCWSSXVGRAQFSPRRGTPXGHSVSVVTRRSRATSPSGARRPCSFWRAASRSRSTSCXGGTSARPDASGSVSRSTLILASSTTRQLVFMAWTSMLFLSVLDTVLLAGAGASPVLESSTG >ORGLA05G0058700.1 pep chromosome:AGI1.1:5:5039873:5041060:1 gene:ORGLA05G0058700 transcript:ORGLA05G0058700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53330) TAIR;Acc:AT1G53330] MESVLARLPSSLRPREPLLCRVISAYGRARLPAAARRAFAHPAFPAPRTARALNTLLHALLACRAPLPELLSECRGSGIHPDACTYNILMRAAVADSGSVDNACLLFDEMLQRGIAPTVVTFGTLVTAFCEAGRLEEAFKVKEVMSLQYNIRPNAHVYASLMKALCEKGKVDDAHRLKEEMVSNSEPLVDSGAYATLARALFRLGKKGEVVSLLEEMKEKGIKVGREVHNSMIAGFCEDEGDLDAAFAALDDMQKGGCKPDSVSYNTLVGGLCKMGRWRDASELVEDMPRRGCRPDVVTYRRLFDGICDAGGFSEARRVFNEMVFKGFAPSKDGVRKFVGWIEREGDAASLESVLCQLASVNALESSEWEKAMSGVLHDPAEQKIVKLLDNLSLA >ORGLA05G0058600.1 pep chromosome:AGI1.1:5:5021092:5021313:1 gene:ORGLA05G0058600 transcript:ORGLA05G0058600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFGTIDYVKFLSCTRGFPKVFRTPSMSLVRGFRLPTSGINRGGA >ORGLA05G0058500.1 pep chromosome:AGI1.1:5:5011465:5011973:1 gene:ORGLA05G0058500 transcript:ORGLA05G0058500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGQEGWGEAVEVGDETRDAHRCLHASSGSAAFPKRCXALSLIGRRLQYPRQGRDGGGLVAAFARAIALSLLQPPPRKPSLATISIAEKKHGIRKEDAINILEVTPAHHPHHRGLFRAPSP >ORGLA05G0058400.1 pep chromosome:AGI1.1:5:5002654:5004254:-1 gene:ORGLA05G0058400 transcript:ORGLA05G0058400.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMAAELPQQKAGGGLARRLVRLLRRKRSTAGSVAGGGEYDESSMDSSINSLSKLKLSAAKLDVLFRSAAQPAASPAVDAAAAHALVASLFAGVSAVKAAYAQLQQAQHPYDAEAIQSADAAMVAELTKLSDHKRRFARDPAAAAKSAAAGPAALAAHADEQRHLLRTYEITAGKLGRELRARDXXXXXXXXXXXXXXXXXXXXXXRAHPGRTLAALDGLHLSGLNATHFLTALRHAARSVRSFAKSMLGEMRRAGWDPVAAAAAAHPGVPLRHPGDAKFALESFVALKMFDGFHRRDFGLSALHDRSSYDRRRLFDEFAELKAAPAAEFLDARSSRWGALGEFLRDRYLSVVHERMEAAFFGSTAQRGAAASAGAALPGTPWFAEFAEMARRVWLLHCLFLAFDDGGASTIFQVAAGARFSEVYMESVGDGDGDGDDGGAGTAVAAAAAGDRVVGFTVVPGFKVGRTVMQCRVYLSRPARQP >ORGLA05G0058300.1 pep chromosome:AGI1.1:5:4978022:4978333:1 gene:ORGLA05G0058300 transcript:ORGLA05G0058300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHAIVAAILCLLLLQSSESLVQAARMMPAGDRPQSPVARTRSATDTAASSSTSQDLLQEFMAPPRPIAGKPEIIAVVDIAKRRRAIQVQGSVPSPGIGHH >ORGLA05G0058200.1 pep chromosome:AGI1.1:5:4957929:4959839:1 gene:ORGLA05G0058200 transcript:ORGLA05G0058200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSWMLKAAAAGDMHGGAGDTMIRCSWSDMATTDQLLRHHEQEPAMTMMMNSQSQAMQQQLSQIYMLMDMEEHDHQYATPPSPSSSSFRSFSAGTTTTTTSRDDNSSLMLAAAAASCHHQTMEVSSQILLPRPGQAARRSSGGHGAAAAATAFRPYSRYLGPKKHLLRRPGAATTATGGGGGQRAFKKAISVLSKIHAARLAQYYQIMEMAARASPAATAGGGGGENQQLQLQHVLSERKRREKLNDSFKALRDVLPPATKKDKASVLMRAKDYVNVLKARIAELEEKNRKLSESQQLHAGDGDGERDDGPDDDKIEVNTSRSAADQGSSPNKCQELHLKIVLGSSSGCSAMDAVAGILQGLNEKRDVSLLATGHNSSSSSSSGRRRLLPRAKSSQQPAVRLQTLCKLSR >ORGLA05G0058100.1 pep chromosome:AGI1.1:5:4951754:4951957:-1 gene:ORGLA05G0058100 transcript:ORGLA05G0058100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPAPRSRTTPPSKPRPPWAHPHRGAPAFVPTFDGRLHPLLRVERYTRSRSSVCDPRLLLLRRHYG >ORGLA05G0058000.1 pep chromosome:AGI1.1:5:4942997:4947252:-1 gene:ORGLA05G0058000 transcript:ORGLA05G0058000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PT82] MPLHHHRHHHHAAAVAVAVADDDDEAKPRRPYSTFASPRAPTSAFSAAFSTHRLLVLFSVACLLVAAASLAFAFSARAATLQPPPLAAVAEATAKVAFRCGRAEDTLRAFLASSSGNYSSAAEGREREKVLAVVGVHTEIGSAARRAALRATWFPPKPEGIVSLEHGTGLSFRFVVGRTKDKEKMADLQKEVDMYHDFLFVDAEEDTKPPQKMLAFFKAAYDMFDADFYVKADDAIYLRPDRLAALLAKDRLHQRTYIGCMKKGPVVNDPNMKWYESSWELLGNEYFSHASGLLYALSSEVVGSLAATNNDSLRMFDYEDVTIGSWMLAMNVKHEDNRAMCDSACTPTSIAVWDSKKCSNSCNTTEIVKALHNTTLCSKSPTLPPEVEDE >ORGLA05G0057900.1 pep chromosome:AGI1.1:5:4941636:4941860:1 gene:ORGLA05G0057900 transcript:ORGLA05G0057900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTKGTFTWIHDDIGAHGPLMGRLAIAGPIAPRLDGPERLPRPSRGRTSQGEVVLLRQIVFALHPSPETPLA >ORGLA05G0057800.1 pep chromosome:AGI1.1:5:4920193:4930632:1 gene:ORGLA05G0057800 transcript:ORGLA05G0057800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIPLARLRLRLRLPHLALLALLAVASGNPSLGGFDRGDAEAETYSILTFHDYTPPPPPSLPPPPPAPSATCAGDLRGVGDLDTRCVVPASVRLRGPGVYISGNGTLVLVDGVALTCERPGCVVSANLSGGIFFGREARVVAGWVSLSATNITLSSDAVIDTTALAGDPPDKTSGVPTGSYGDGGGHGGRGASCYVKEGQAQEDSWGGDMYAWAELKTPNSYGSKGGSTSVEKDYGGGGGGVVWLFAKDIMMNGTILANGGDGGTKGGGGSGGSIYLKAKTMQGGGTISACGGDGLAGGGGGRVSVDVFSRHDDSQFFVHGGRSSGCLDNAGAAGTLYEEVPKSITVSNNNLSTQTDTVFLEPPYDPLWTNVFIKNHAKVSLPLRWSRIQAQGQISLLSRATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGRESGVATSLLEGSNLIVLKESSVIHSIGNLGIHGQGILNLSGDGDTIQAQRLILSLFYNIVICRVEDIVVSGLVQGTVINFNRARNVTVRSSGTISATGLGCRGGIGRGRMLSSGLSGGGGHGGKGGDAFYSGSHAGGGTAYGSADLPCELGSGSGNVSTSSSTAGGGIIVMGSLEQSLPLLSLAGSIEANGGSFAGAVTHAANEGPGGGSGGTILLFVRALSLEEGSVLSSAGGVGSNGSGGGGGGRIHFHWSDIPTGDDYIPFATVNGSILARGGTVDGQGFPGENGTVTGKDCPKGLYGTFCKACPLGTYKNITGSLKSLCSPCPTNELPHRAVYISIRGGVTETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLFLSGLLFLLALVLSIARMKFVGTDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYEDAFNKFVDEINALAAYQWWEGSIYSILCILSYPLAWSWQQWRRRRKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRPDLPPRLHQRLPMSLIFGGDGSYMAPFSLHSDSVVTSLISQGVPSSIWHRLVAGLNAQLRLARRGNLKATFLPVLKWLETHANPALNTYRVRVDLAWFQATALGYYQFGLVIHSVGPFSSGLQGGSRMKFDYHAQFQNTNVDSQLDHSRNNDAVMLKRITGRVLDIDNLRTLKDKRDLFYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMIDVLLVLFVLPLGILAPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVVSTHL >ORGLA05G0057700.1 pep chromosome:AGI1.1:5:4911849:4912070:1 gene:ORGLA05G0057700 transcript:ORGLA05G0057700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTKGTFIGVHDDTGAHGPLMGRLAIAGPIAPRLDGPERLPRPSRGRTCQGEVVLLRQIVFALHPSPETPLA >ORGLA05G0057600.1 pep chromosome:AGI1.1:5:4899094:4899975:-1 gene:ORGLA05G0057600 transcript:ORGLA05G0057600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPAAKPNPPPAMANAGGGGATASFPAPKSQMYQRPIYRPQEAAAKRRRGRSCRCSFCCCFCWALLVVILLALVAAVAGGAFYLLYRPHRPSFTVSSVKLTALNLSSSPTSPSLTDSIQLTVTAKNPNKKVVYLYDDFSFSASTAANAVPLGAATSPGFTHDAGNTTVFTATIAANAVAVDPASAASDIKKSGAFSVAVDAETRAGVRVGSLKTKKIGIQVHCEGIKVTPPPPAAPPRPKAVKGKNGTVLAPAPAPADSDTAATTAATVSTAAHSCKVRVRVKIWKWTF >ORGLA05G0057500.1 pep chromosome:AGI1.1:5:4891856:4892401:-1 gene:ORGLA05G0057500 transcript:ORGLA05G0057500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRGGGCRTLPCARSGRRGGGGLQWPPGGGGGLPRPAAAAPSPPPDPVEGEAAVSRGCPAVAAGSRGWRLPGECSGYPMEAARRWRRLPRPPLCHIRREGKRRSLDEGGSGSGGGAPPPPPSRRPKGLVAAASSSRPLWFECVLFILFMFSCLISLKFSCSYVYREHADLDRMNIRIWIG >ORGLA05G0057400.1 pep chromosome:AGI1.1:5:4882475:4883092:-1 gene:ORGLA05G0057400 transcript:ORGLA05G0057400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRTKPARRGSGSGAARGSRGRRRPRAAARPSPRGRPPPLLLLLRQPRRRRRRWRRWRRSLGWWWRRREEEDYAEGITEESIAEVMSWLELEIKLASSAAAAGAAATPAPFAPPPPPPPAAGGGGYMPAAKGVNTSNMEGSCGASFSVSASTVMASVDLRAGAPPPPPLPWPLPGHGGGATAAAAEEAVDDDEWVDQLLTDGPAME >ORGLA05G0057300.1 pep chromosome:AGI1.1:5:4867532:4869731:1 gene:ORGLA05G0057300 transcript:ORGLA05G0057300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSDSHRFNQLLEGIDGVQQAQHTTASLDTCEHSPPLLAPPGRVVAGDLHRASRAGERIMVAFCSPKSRNMVNAIKGLFISCDVPMAQFIVNLNASMPASDKFILHMLDPTHMFVQPHVAEMIRGKISEFRDQNSFEKPT >ORGLA05G0057200.1 pep chromosome:AGI1.1:5:4830759:4833319:1 gene:ORGLA05G0057200 transcript:ORGLA05G0057200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFVQFLRRSNGLMAASLAAGSCAEEVAKAEGAGCRDDAAALRLKGVAMATILVAGVVGVGLPLAGRKRRALRTDSAAFVAAKAFAAGVILATGFVHMLHDAEHALSSPCLPAHPWRSFPFPGFVAMSAALATLVLDFLATRFYEGKHRAETERVKAAAAAALAASSASDDDITVVTVTEDDNDNKAPLLQPHSHSHSHPHGHGHGHEVAQPEGSGGEGEVPAQVRSVVVSQILEMGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCIAQAQFKTLSAAIMACFFAITTPAGIAAGAGVASFYNANSPRALVVEGILDSVSAGILIYMSLVDLIAADFLGGKMTGSTRQQVMAYIALFLGALSMSSLAIWA >ORGLA05G0057100.1 pep chromosome:AGI1.1:5:4825866:4826282:1 gene:ORGLA05G0057100 transcript:ORGLA05G0057100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGVSCAVAGDAPSSTRGGGGGGMLGLTLFDPPGGEQPAERIGRLVRESPVVIFARRGCCMCHVMRRLLAAVGAHATVIELDEAAEEAAASAAAAAAVPALFVGGAPVGGLDGLMGLHLSGRLVPRLREVGALCG >ORGLA05G0057000.1 pep chromosome:AGI1.1:5:4795996:4796856:-1 gene:ORGLA05G0057000 transcript:ORGLA05G0057000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPLLTSDISEFMEGILYHFPKAITEANRPVDFYHLLHLCRMYLKPGHKVEDKQHHGHAGKSHYFDYISDFGQKVFCVGQEQNMLYELSKLNSPEHVYRWRRAVDYHEAGIEIKKRDFHEEDPHSLLDIRFRKGVMEIPCLPIDDKSSLLFRNLVALEQTCPQVGDDITAYIVLMSEFVSTAADVALLAQKGIIVHQMESDEEVSTLFTKLFEYVAFDFRGEHYLKSLYCAMEAHYQSRLNRWNAWLWHNHFSNPWLGFAAITSAFIVLCSIMQTVLAFLSYAA >ORGLA05G0056900.1 pep chromosome:AGI1.1:5:4788489:4788887:1 gene:ORGLA05G0056900 transcript:ORGLA05G0056900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTVALVLAVLFLLIADLMIASALGRDVLDLPEDSNISKRHIKRSHSGSSMNGQKPGFSEDKGFVLLKPTTRPIYLPPCASTASLHPSRGTKREKLC >ORGLA05G0056800.1 pep chromosome:AGI1.1:5:4784952:4786610:1 gene:ORGLA05G0056800 transcript:ORGLA05G0056800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDGISDVEIEELGNSMKDKLKKYMSLDTVHADGSEFCLIPRIHEHIRMIDRDSYEPLILSIGPYHNGSSALSFMERKKWNCLDYILKLNCQKDLKDYLTIINRLEKRARSCYSGDIKMNKRKFLQTLLLDGCFVLVSLSQYNEFLWPDSLRYIPSPSNDKTFEGALSFGDHQKVTGRNESQQVNKGKQSAMKSTQLDHDRHYGRIFNLXYXVIFGNQWPVSGPQPADWTVVXHVCSHDLLLLENQISLFVIQGIHEIVVSKLASKLTTTTALRRSIVQCIEQFVPCYPKAIRESNRPKDFDHLLHLCHMYVRPSPNQDEHHGHTGHHIRHFLQLGWDYLHLTYKQEAANLGSSQNGHFPYRWRRASQYHEAGIEFRRRAYSESNRHSLLDIKLRDAVLEIPFLLVDESTSFLFRNFVALEQTCPKVGNGVTAYVIFMAKLMNMPDDVALLARKGIIAHHLRTDRDVSQLFYEADERCGIXFLWELLPHAPVFSLGGTLPESSAQVDCMVEAQPSXXPLAGRGRVGWCYRAFLHCCTDCPYCLVLCXSQV >ORGLA05G0056700.1 pep chromosome:AGI1.1:5:4757247:4759914:-1 gene:ORGLA05G0056700 transcript:ORGLA05G0056700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHQHAWGEVGIEIFSPRSKHIAMVNKISPWDEQEKMALRSNGALVKSLSFKEWEGGEQTKKSSVNHKNRPSRINVVVDNRRNSDIFMAESSPIVSSSPKCELDAAAVKVQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKHSSISFFNGEKPETAASRWARARTRAAKVGKGLSKNGKAQKLALQHWLEAIDPRHRYGHNLHIYYGVWSRSESTEPFFYWLDIGEGKEVNLDRCPRNKLQSQCVKYLGPKERQEYEVVVESGRLVYKQSGVFVHTSDDSKWIFVLSTTKALYVGQKKKGSFQHSSFLAGGAITSAGRLVVKDGILKAIWPYSGHYLPTEENFREFISYLQENGVDLADVKRCPMDKDDEYPLLTKPDVTAASIAATKNVEKVAAATAAAAERLIETVSDDTDHAAVDEDGSMSEGEDEDADVPTATKEDEHKATSSSAASTTAAAAAAENHLPCRWSTGTGPRIRCVRDYPQDLQSRALEHVNLSPRLAAAGAAPATRKRDPVPSPRPSPGMILSPRLASVGFRPPVVALTLPDFKRSRLQ >ORGLA05G0056600.1 pep chromosome:AGI1.1:5:4746549:4747283:1 gene:ORGLA05G0056600 transcript:ORGLA05G0056600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPSTPTLSRTSASPTRRPKVRSPMAPAMPMHELGLSTCSICDRRSAGERAAVQGPGGGDGGRLLLLRRRRAGGRGRARRHGEPAVRVHGAVGGHPGAEHAGRVGRPRRRGPRRRVPAALPPEGVGDGGGARRRRLLRLRHVVPGQPRRGQGAPARRRVRRAAGPRPLPPQQRQRAGGAVRVAEQPEPRPGARRRRPPRRAAPRRPRRQDAPHRRGHRRQDQGKLHRPPLLILDNKSFN >ORGLA05G0056500.1 pep chromosome:AGI1.1:5:4745111:4745404:-1 gene:ORGLA05G0056500 transcript:ORGLA05G0056500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGLHVAQPGGHWCSVPDGREDGDGDGSEDDDACGDGDDATAAARLDPAVPCLDLAPPRWIQPEDVPALGEAGGRWLXLEEVAGMARVADGGSGR >ORGLA05G0056400.1 pep chromosome:AGI1.1:5:4732399:4738589:1 gene:ORGLA05G0056400 transcript:ORGLA05G0056400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PT66] MVGSDGDGDGGGGEAHAPAAPAHHHRRPPRPRGGSGAIVEGFAAALRRRIRSGAAAAARASFGGDSGDEAASGEPSSSSSSSPSRRRGGDSNGAEASSAAGGGGGRGCGGDFSAFTFRAAAPVHRKAKESPLSSDAIFKQSHAGLFNLCIVVLVAVNSRLIIENLMKYGLLIRAGFWFNDKSLRDWPLLMCCLSLPAFPLGAFAVEKLAFNNVITDAVATCLHIFLSTTEIVYPVLVILKCDSAVLSGFLLIFIACIVWLKLVSFAHTNHDIRQLTMGGKKVDNELSTVDMDNLQPPTLGNLIYFMMAPTLCYQPSYPRTSCVRKGWLIRQIILYLIFTGLQGFIIEQYINPIVVNSQHPLKGGLLNAVETVLKLSLPNVYLWLCMFYAFFHLWLSILAEILRFGDREFYKDWWNAKTIDEYWRKWNMPVHKWVVRHIYFPCMRNGISKEVAVLISFLVSAVLHEICVAVPCRILKFWAFLGIMLQIPLIVLTAYLKSKFRDTMVGNMIFWFFFCIYGQPMCLLLYYHDVMNRIEKAR >ORGLA05G0056300.1 pep chromosome:AGI1.1:5:4725595:4726605:1 gene:ORGLA05G0056300 transcript:ORGLA05G0056300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMDHGGGGAGLLAVAAAPAPAAVLTTMHGRELSHSSCSFSSAAASLSSPSSSTSSRSYHAAMSGKSLSCESIPEIMDKQSSFSSSASSYESFIQLEAADLDRITAAAAATRAPAVQTMMASHEQQQLAVAGGSGGYDPKRLPSSIFRTGSTSSGGGGGGGGDWSVASNDSLFSINLRHSGDLSARYNSSNHSSSGDLFYDASGGGFHRIPSSTSAAAAAAGGGGGGGGGGLCVSGSCARCTTIAAGKNRKSVRFAPDAEIVSGEITNPSAVFPTEAAAPATEGKEAAKSPDAAAQGGWCLFRCCWPSPPSVWWPRCGCGGGCGVFCCGGENCRC >ORGLA05G0056200.1 pep chromosome:AGI1.1:5:4715828:4717509:-1 gene:ORGLA05G0056200 transcript:ORGLA05G0056200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAAHGEDSPYFAGWRAYDEDPYDPITNPQGVIQMGLAENQVSFDLLEEYMREHPEASDCGAGFRENALFQDYHGLKSFRKAMASFMETIRGGKARFDPDRVVLTAGATAANELLTFILADPGDALLVPTPYYPGFDRDLRWRTGVNIVPVSCDSAAGFQVTAGALRAAYDEAVAAGTRVRGVLITNPSNPLGTTAARGVLEGILDFVARHDMHLISDEIYSGSVFAAPDLVSVAELVDERRRARGGAADAEDIARRVHVVYSLSKDLGLPGFRVGVVYSYSDAVVAAARRMSSFTLVSSQTQRTLAAMLSDAAFAAAYVRSNRDRLRERHARAVAGLRRAGVACLHGANAGLFVWVDMRRLLGDGEATVAGELRLWRRVVAEAKLNISPGSSCHCREPGWFRVCFANMSLETLDVALHRLGCFIKKWEQEQHEN >ORGLA05G0056100.1 pep chromosome:AGI1.1:5:4699099:4703681:1 gene:ORGLA05G0056100 transcript:ORGLA05G0056100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVTTTLNEDTEPSIPPGFGPFATLPLWGIHNDAKPAVTHSTPVQALQSIRKDSEECQPSAAVSRSDTPCSTSGTQTCRKSLRNRPPIDYSRFEHISDEDSDVEIVEKDVSSTRRRQQLPKGVLRGCAECSDCQKVIAKWNPAGARRPALDEAPVFYPTEEEFEDTLKYIESIRPMAEPYGICRIVPPSSWKPPCLLKDKSIWEGSKFSTRVQKVDKLQNRKSSKKGRRGGMMKRRKLAESEENSATAHTQTGMQQSPERFGFEPGPEFTLQTFQKYADDFSKQYFRKDTSMDSVPSVEDIEGEYWRIVEVPTEEIEVIYGADLETGTFGSGFPKLSPETKSDAEDKYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGKDAVNLESAMRKHLPELFEEQPDLLHNLVTQFSPSLLTSEGVHVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGHNAVELYREQARKITISHDKLLLGAAREAIRAQWDILFLKRNTADNMRWKSICGADSTIFKALKARIETELAQRKTLGVPAQSRKMDAEFDSIDRECALCYYDLHLSASGCPCCPEKYACLVHAKQLCSCDWDKRFFLFRYDVNELNILADALGGKLSAIHRWGVSDLGLSLSSCVKREKVQDSKTVRRLTDGPRRSYMSQASAVSLVSSSTSNEQKDEGNKIMKIASPQTNNVCPSVEQRKSENISPLKEPCVRNELSCTTNSDSNGLQYNGGLGGHKGSAPGLPVSSSPSFSSNVATRPISTSSVSMKIVQGLVASKSCIQASSRTGDSRSLLGEHHNRSPAMIHDGTNMKSSLESSNNSCRLIASDYNATPCHSSKDQVLVTPGTNASVATLKDSSQVHSASSQQFVRTGPWTQSASHEASSPSTSALKPSLDPPAMKNLYGGFTQGSAHPGPPSFSNQQPNDGRLQRTSESLPGVEARARGHPTVTAQPALEIHSRNGGAQKGPRIANVVHRFKCSVEPLEIGVVLSGRLWSSSQAIFPKGLHFDRSLAVPQ >ORGLA05G0056000.1 pep chromosome:AGI1.1:5:4686926:4687366:-1 gene:ORGLA05G0056000 transcript:ORGLA05G0056000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVCAGAGVLGAPDPRRRLRGRGRARRLPPAAVHFGRGRGDVPNLHDVVASATPEPTAPGRIWKPATQRRAPACRSSPSASTGFPSDVAALSSSSRPSRRPFPICSTQATTTTRSRKSLHRSGPDSGWRTPAGCSTSSPQKYQS >ORGLA05G0055900.1 pep chromosome:AGI1.1:5:4672031:4674504:-1 gene:ORGLA05G0055900 transcript:ORGLA05G0055900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSGSGSGSVSVDVERISFGGKEHQVRTRCGSLSVAIYGDEDKPALITYPDIALNHMSCFQGLLFCPEVASLLLHNFCIYHINPQGHELGAAPIPSDVPVPSVEDLADQVADVLDFFGLGSVMCLGVTAGAYVLTLFATKYRERVIGLMLVSPLCRAPSWSEWLYNKVLLNLIYYYGTRGLVKECLLQRYFSKKVCGSGHYLESDIVQACRNLLDERQGENIWRFLHSINERHDLTDALRKLQCRTLIFVGENSQFHEDAIHMTTKLDKRYCALVEVQGCGSLVTEEQPHAMLMPMEYFLMGYGLYRPYQMNSSPRSPLSPCCISPELLSPESMGVKLKPIKTRIAVDY >ORGLA05G0055800.1 pep chromosome:AGI1.1:5:4665620:4671409:1 gene:ORGLA05G0055800 transcript:ORGLA05G0055800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 6 [Source:Projected from Arabidopsis thaliana (AT3G21250) TAIR;Acc:AT3G21250] MASHLGIMQGLGWSIASACEQQQEEDSLCFQANLIDLANILLLVVYLATLVIAGCEKRFLVAARWGGLRLRPPWLCLVASPCCAVLGVACVCLGALRSSSSSASAAAAVAVVRGVVWVFVAVSVVVRPTRLSSAVAMAWWAAMAAMRTAYGVEVVARGGSLPVLDVAAWGVSLVLLLCVFVVGRAGRRDDVAGGGDGETSTEPLLSARGGGERSSAFGEAGFLSRLLFTWMNPLLRLGYSKPLGLGDVPPLDADDEAAQACDTFLREWHRRRSATPGGGGEEKAASRLVFAVLAACYKKDLLLTALYTLLRTAAFGAMPVMLYSLVSYSYRRRERGLAAGMALIAALVVMKLVESLSQRHWFFGSRRLGMRMRSAAMAAVFEKQLRLSGEARRRNSAGEIVNYIAVDAYRLGEFPYWLHLAWSMPVQLALAVALLFWTVGAGALPGLVPVAACGVFNVPFAKLLQRYQSRFMAAQDERQRATAEALGAMKVVKLQSWEEFFRGNVQRLRDAEVRWLADAQVSKAYGSSLYWMSPTIISAVIFAGTAALRSAPLDAAVVFTILATLRVISEPMRMLPEVLSIMIQIKVSLDRIGKFLMEEEFRDDAVLPLPMPSSDMITMAINNGVFSWEPSKAIATLKSISIAAMQGEKIAVCGPVGAGKSSLLCAMLGEIPRMSGSVAMSGSIAYVPQTPWIQSGTVRDNILFGKPMNNEEYDRAIRCCALDKDMENFPHGDLTEIGQRGLNMSGGQKQRIQLARAVYNGADVYLLDDPFSAVDAHTAATLFNDCVMAALENKTVILVTHQVEFLSKVDKILVMENGEITQEGTYSELLQSGTAFEQLVNAHKDSKTILDTDDRREGAKELGAFQYQVPLIQQNSEAEISTGNLKSVQLTEEERRELGEIGLKPYKDYVSVSKGWFLLSMILVTQCAFFGLQCLATYWLAVAIQNQQFSAGVVIGVYAVMATVSCLFAYVRSLIAAHFGLKASREFFSGFMDSVFKAPMVFFDSTPTGRIMTRASSDLSILDFDIPFAMTFVISGSIEIATTIAIMILVTWQLVLVAIPVIVALLYIQRYYIASARELVRINGTTKAPVMNYAAESMLGVITIRAFAETKRFIQTNLQLIDTDATLFFYTNAALEWVLLRVEALQILVIVASSILLVLLPEGAVAPGFLGLCLSYALMLSSAQVFVTRFYSNLENYIISVERIKQFMHLPAEPPAVITDRRPPPSWPSAGRIELENLRVKYRRNAPTVLRGITCTFAAGHKIGVVGRTGSGKTTLLSTLFRLIDPYSGRILIDDLDICTIGLKDLRMKLSIIPQEPTLFRGSVRSNVDPLGLHTDEDIWEALDKCQLKKTISALPGLLESPVSDDGENWSAGQRQLFCLARVLLRRNKILVLDEATASIDSATDAVLQRVIKQEFSGCTVITIAHRVPTVTDSDMVMVLSYGKLIEYDRPSRLMENEDSAFCKLVAEYWSNYS >ORGLA05G0055700.1 pep chromosome:AGI1.1:5:4627190:4628504:-1 gene:ORGLA05G0055700 transcript:ORGLA05G0055700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCSGQRVLDHSISSSNSGSTTAAAATACGGLRLFGVQLQVGGGSSPLKKCLSMECLASPAYYGASASPSVSSSSSSLVSIEENTERVSNGYLSDGLMGRVQERKKGVPWTEEEHRMFLAGLDKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQNSMTQKKRRSSLFDVVEGSKRAAAMPISGSASELQIPGMSIGVGVVKEEVVLPPCLNLMSNSSSASQHSPSLTLLANPQVQLQMPDLELKMSTSRLSDQSGPSPSTPFFGTIRVT >ORGLA05G0055600.1 pep chromosome:AGI1.1:5:4612593:4612865:1 gene:ORGLA05G0055600 transcript:ORGLA05G0055600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGGGGRREVAAGGDGAAXRRQRRGEGRQQRHTAAASGASPPLDLAGGETVGSRGPCDEVPTGGEAVGSRSWHRAPPRQQRQAPHGGGAFE >ORGLA05G0055500.1 pep chromosome:AGI1.1:5:4604150:4605358:-1 gene:ORGLA05G0055500 transcript:ORGLA05G0055500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGAHAPPWQQHVASPVSGVEGGGGKESEVVAAPYHLLDALRHYLPSNEAAAAEDEEEAAAVAAAVDAYACDEFRMYEFKVRRCARGRSHDWTECPFAHPGEKARRRDPRRYCYSGTACPDFRKGGCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPPSQQQGSNSPRGCGGGGAGAAASPLAESYDGSPLRRQAFESYLTKSIMSSSPTSTLVSPPRSPPSESPPLSPDAAGALRRGAWAGVGSPVNDVLVSLRQLRLGSPRSAPSCASFLPAGYQYGSPKSPAAAAAAALYSLPSTPTRPSPVTVTTASGTTVTVEPLDLGLIEEEQPMERVESGRALREKVFERLSKEATVSTDAAAAAAGVAPDVGWVSDLIN >ORGLA05G0055400.1 pep chromosome:AGI1.1:5:4567629:4569437:1 gene:ORGLA05G0055400 transcript:ORGLA05G0055400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:I1PT56] MEAATAVAAPIPAADAAAKALEKKLLDLELPPFPAPAKKAAAKVVAAAPKKKLAGGAGGYVLEDVPHLTDYLPNLPSFPNPLQNHPAYSVVKQYFVNADDTVAKKIVVHKGSARGTHFRRAGPRQRVFFQPDEVSAAIVTCGGLCPGLNTVIRELVCGLHDMYGVTSVVGIEGGYRGFYARNTVELTPRSVNGIHKRGGTVLGTSRGGQDTGKIVDSIQDRGINQVYIIGGDGTQKGAATIHAEVQRRGLKCAVVGVPKTIDNDIAVIDRSFGFDTAVEEAQRAINAAHVEAESAENGVGVVKLMGRNSGFIAMYATLASRDVDLCLIPESPFYLEGKGGLLEFAEKRLRENGHMVIVVAEGAGQDVIARSMRLADAHDASGNKVLLDVGLWLCAKIKDHFKKKANFPITLKYIDPTYMIRAVPSNASDNVYCSLLAHSAIHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSTEDVEKAGQDDEEPIVPLVEGENSLVKAPPLLANAGDGAALCNGAA >ORGLA05G0055300.1 pep chromosome:AGI1.1:5:4552330:4554606:1 gene:ORGLA05G0055300 transcript:ORGLA05G0055300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYFKPIKKTSGTKGDVAEDENPKAEVAKKPRVGIVLNLDDVVADPGLRRPIEEYDVGIIDQKPGVGKSGSDVFSTSGFQNWKKASESFAGHVGGVNSIHNNARRRCEDFKTSRRIATPERPCMNAILDVARLHLKLGIAFCGPEAILGNKGNFLEMLDWLRSFSESVDHAFKEHAVVFNEMTSPEMQAIFVKSCAEMTTQVIVDEIGDGYFSVLIDTPHDTLMLDRMSVIVRFVNRQGQVIERLLGVEYTTGDTGPLMKLALDGLFARLGLSISKLRGQGYNVASNMREEFDELKSIILKENPHAYYIHCFANRFQLAVVSIARSNKVVGDFLYYVDKIVRAVGDSCRTKDAMLQELYGKIREKIVRGDALPKIGMHPENDLSGPAHTRWGSYSTTLLNLLTMWDVVLDTLVTMCDKGIYPEPGSIPSDMIEQMESFEFVFVLHLMIRVLIWTDDLSCLLERKGKYIVNPLELITSVKNILQDLKENQWVDLLEEVKRFCILKSIPVPSMDDSIPVRGRSRHRGLVVTCHQQYYVETFIALIDLVTSDMNNRFSKTFMDLLRCFACFDPDGSFSQFDVDMLLSLADIYSADFSMTDREILREQLHMFIIHVRNTADFSSCNDLATLALKMVQTETHVAFPLVYRLIELLLVLPVATPTTKRAFSARNIFEEDFGDNRSGDWLSNTMLCCVETDFGRD >ORGLA05G0055200.1 pep chromosome:AGI1.1:5:4546653:4551025:-1 gene:ORGLA05G0055200 transcript:ORGLA05G0055200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G22720) TAIR;Acc:AT4G22720] MTSSPAARRRPPGPLALGLESSANKIGIGVVSLTGEILSNPRHTYVTPPGHGFLPRETAHHHLAHLLPLLRAALGEAGVTPADLACVCYTKGPGMGAPLQVAAAAARALSLLWGKPLVGVNHCVAHVEMGRAVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLELSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSFIEATAIEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDSKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCIDNGAMIAYTGLLAYAHGMTTPLEESTFTQRFRTDEVHAIWREKEMPVLTNIRAHAMAEVSKDEASVPTPIAVDS >ORGLA05G0055100.1 pep chromosome:AGI1.1:5:4544743:4545150:1 gene:ORGLA05G0055100 transcript:ORGLA05G0055100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAPYARDTSECAAPPPVVEVDAEQWMTAWTRPSRPASASACSASLPLSETTSESMRNMVMLASTSGGNCSKMMVVTCPLHRPTCSCVAATAASPVAGHRRRSSSSPVSPRRLPPQHVGQLAQEKTERRGRDR >ORGLA05G0055000.1 pep chromosome:AGI1.1:5:4538466:4542093:-1 gene:ORGLA05G0055000 transcript:ORGLA05G0055000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSIQARMSSDGASGSIGMKHDDGDHRPITGASSRRCPSCGHDPDCNKPFDMVGMPAGVRFDPTDQELIEHLEAKVKDGGSTSHPLIDEFIHTIQGEDGICYTHPENLPGVTRDGLSKHFFHRSAKAYPTGTRKRRKVLADQQPDDHPQASKGRNVAAAETRWHKTGKTREITVRGQPKGCKKILVLYTSFGKKRKAEKTSWVMHQYHLGELDDEKEGELILSKVFYQTQTRSAAAAEAPVSSGAAMEVQGQQQQVLKLQADDGHFSSAPTKKRLHQDVVAQVKVDRGHHCMPAQRQVNFNLKVTPVPTTSSFPVVVDKQLYSPVALFRSEHLHVGKNFNSSAPKSRLASPALAS >ORGLA05G0054900.1 pep chromosome:AGI1.1:5:4524041:4525821:1 gene:ORGLA05G0054900 transcript:ORGLA05G0054900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEEARRTSTVAPRLPIFLSLPDLARGLEEWRGGGATVMLWSLAESPLSAASGKKSRSWIIALSEEKGKVYRCPCMTLLVCTGHHIAYLPYHGSLTGCKDLN >ORGLA05G0054800.1 pep chromosome:AGI1.1:5:4523568:4523956:1 gene:ORGLA05G0054800 transcript:ORGLA05G0054800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLPSARRTSAPPTASRCHPWRRRGRARRSSPPLLTFLFPIGLLPDRLPRPTTPPFQRSAATVILFQTRARRRWLPPSSKRSRRWLGFAPPSSAKRPRR >ORGLA05G0054700.1 pep chromosome:AGI1.1:5:4515348:4520075:-1 gene:ORGLA05G0054700 transcript:ORGLA05G0054700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGQVHRGREGAAVESHGEDLLSVLPDEILLHIRSMLPAEAVARTRFLSRRWAKLPLHSTPPASSLLQQAWRGGGGARGGGVLDLEEGWRDVLAGVAKLKSIHTDSDFGGFSPDEYMHIYTLVYYMCTQKGHKDYPKELYHLCKQALDDHLDSIVLPSLNEKHGNFLLAEMLQSWEKHKLMVRWLRRFFDYLDRVSITWKSLHSLEHMGWIGFRDMVFDKLKSTLTTTVIGMINDERNGLLIDRALLKNVIHMCNEFRDSQLNSYPEYILKAEECLQKEKEQVYSHSTTEPKDTSDKGMALVKHGTDTAKSRKNKKNEVMVGFPINLISGSRVVDEILGRVPVKAPFTDSTFEFGTSSCNPPDSFGYIPTTSKSFAERLMAPENEDLVVMLSLDLAEELETIDEVYQQISRKGERGSSKLWKFIDHGVKKCTQIRRSITLLGGSPQEIPNYLIR >ORGLA05G0054600.1 pep chromosome:AGI1.1:5:4505388:4505903:1 gene:ORGLA05G0054600 transcript:ORGLA05G0054600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRREVSVSVSPTKKRKGECSALDGGEDALAPPAKKKKMWLLPQEEVDWILAQSNEPVPTEFRELKRANPSLEEEAKFAKFQAWVRGEYARKGFVEVDYDYFGERAEVFRLNDEAREEVMGHWDHPSDTDDDDDEDWKLFIREIRRTFV >ORGLA05G0054500.1 pep chromosome:AGI1.1:5:4497405:4497848:-1 gene:ORGLA05G0054500 transcript:ORGLA05G0054500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLPKEEVDWILAQCNEPICARFRELKRANPSLVPSPEEEKDEYTMLLYECTRESYEDEAKYAKFQAWVRGEYARKGFVEVDYDYFAKREEAIRLNEEAREEVLGHWSDRHHPSHTDLDDEDWKLVRSILERFDQRSAISRFNRRN >ORGLA05G0054400.1 pep chromosome:AGI1.1:5:4477964:4479814:-1 gene:ORGLA05G0054400 transcript:ORGLA05G0054400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARLLLLLFLTAAASAWEMNIRLPTEMLNGGEAVVAPIIHALRPLLGSGGQLAARAGVACDSWRLGVEAHNVIGWKTVPARCEGYVGHYMLGGHYRRDSAVVVDEAIAYAESLQLAGNGKEIWVFDIDETSLSNLPYYAKHGFGATLYNDTSFREYVAEGSAPALPETRRLYRRLLQLGVKPVFLTGRTEDQRNITITNLRRQGYSGWMKLLLKPAVHAAGELQGSAVAYKSGERQKLEDAGFAIVGNIGDQWSDILGTPEGARTFKLPDPMYYIG >ORGLA05G0054300.1 pep chromosome:AGI1.1:5:4473878:4474147:-1 gene:ORGLA05G0054300 transcript:ORGLA05G0054300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVWSLSVTTSKAIGGEQEQWMHHAWALAPPLVLPPAPSSPLLLFHFLAEATALDGMARDDNNTSDLSGAAHKDELEGSILFSTAILL >ORGLA05G0054200.1 pep chromosome:AGI1.1:5:4449998:4465464:-1 gene:ORGLA05G0054200 transcript:ORGLA05G0054200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEARLLLLLFLTAAASAWEMNIRLPTERLAYGGGEAVVAPLIHALRPLLGFGGQLAARAGVACDSWRLAVEAHNVFGWKKAIAYVDSLKLAGNGKEIWVFDIDETSLSNLPYFAKHGFRTTLYNDTCFREYVAEGSTLALPETRRLYRRLLQLGVKPVFLTSRTEDERNITVTNLRRQGYSGWMKLLLKPAVHTAGELLGSAVAFKSGERQKLEDAGFTIVGNIGDQWSDILVYDSPIYIRRFKPAVHATGELQRSAVEYKSGERQKLQDAGFIIVGNIGDQWSDILGAPEGAPTFKLPDPLYYIG >ORGLA05G0054100.1 pep chromosome:AGI1.1:5:4424777:4430764:1 gene:ORGLA05G0054100 transcript:ORGLA05G0054100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRLEGQHCDENEYQDDFAEYLYEEEPSDNINMVEQRAQPQINNEQSNPQTPLHINITSARTPATKQVIQISSQSSPDIGTNSPRIAQMREPNQHAQTEERQYSMTRNIDSLNASGNCSGTRHNLYRPKRIVHLSKYKSSPYDNYTRHQTISAAELNHYNNILSIGETQQYKYKFYILYDNPEVVGAAEFCINIQMKVYHFLFNTTRHAKKSANDQNNKENEYRNFYFFHRTSVYFLKNWEGAGKEEDYENCARQTFTFARNKKPLHYYDLLIFPCLYDNHWFVFTVDIKGHHFVFLDSIYDENSKYHKKIQGLLIPGLIAMWEEFSDVEKDFSKFDIQQPPITRQNNGHDCGIYAMKCMEWWNPRMHLKDMIRPEYIPNMRKQIANDLLFSQYNSQEEAKMLARSFNPTKHGKYARQQ >ORGLA05G0054000.1 pep chromosome:AGI1.1:5:4398167:4399105:-1 gene:ORGLA05G0054000 transcript:ORGLA05G0054000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLILLLTVAAAAAGSCCFCSAQEVIVGGVGEQLATAPPAAPAPSPPPPPPYCGSVRTAVEAHNIIGWKTVPADCAEYVSDYLTGERYGRDSDVVINEAIAYAESLKLSGHGKEIWVFDVDETALSTLPYQAKHGYGTKPYDHASFVQYVAGGSAPALQGTLRLYRRLLQLGIKPVFLTDRTEDQRAVTTHNLLSQGYCSWEKLLLQPVGLQTTTQAFKTGERQKLVSAGYVIVGNIGDQWSDILGSPEGYRTFKYPNPIYYVA >ORGLA05G0053900.1 pep chromosome:AGI1.1:5:4379312:4380510:-1 gene:ORGLA05G0053900 transcript:ORGLA05G0053900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLLLVLTVVAAAGSCFCSAQEAKPPPYCGSVRTAIEAHNIIGWKTFTADCAKYLADYLTGDRYPRDADVVINEAIAYAESLKLSGSGKEIWVFDVDETALSTLPYQANHGYGVQPYDQASFIQYVSEGSAPALQGTLRLYQRLLQLGVKPVFLTDRTEDQRTVTTNNLLSQGYCSWEKLLFQPVGLQTTTQAFKTDERQKLVDAGYVIVGNIGDQWTDILGSPEGCRTFKYPNPMYYVA >ORGLA05G0053800.1 pep chromosome:AGI1.1:5:4364442:4366072:-1 gene:ORGLA05G0053800 transcript:ORGLA05G0053800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTKEEAKGGGGSKKLGQIKVGDVVVPVNSEVKKRKNYVSEFDDDEDDLLEFDEEPRLIRDKKGDDQKGGARVKVCSRCTQKGHSVADCKVDVYCDICDCSEHVNHKCPVLKLPKPVIQAVGYAVEGLRFQHIPHQPLQRNKKNMKKALVRVVGGALSVERLVTLLHKLCPTKWKWEPVPHGKDAFIVLFPSKGELQRPSTLGVRMXRKEGXLREXGWSLKSGLRKKRVFFYLSNSD >ORGLA05G0053700.1 pep chromosome:AGI1.1:5:4341220:4341845:-1 gene:ORGLA05G0053700 transcript:ORGLA05G0053700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAEQIASTLLNSRCRAPRRGGRKVTINTKLVKKRARRERLDISFPQPFGKVCGKHAKLFKSEVTVIVRNHVPLKAKKWKTIEKQHPGTMANVWKKLKDTFPELRNEDEDCAMKQVEEQYTNRCYRLHCLHRNKKPRPTHVSPEDWA >ORGLA05G0053600.1 pep chromosome:AGI1.1:5:4340783:4341219:-1 gene:ORGLA05G0053600 transcript:ORGLA05G0053600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIKHVWTDEDFQKRSNQNAANRAKQEMGSKVGTKSIAQIAHELRNKETGEWPTAMQVWKATYQKADGTWSVPNGERVLVYIFTYDNLFFYISA >ORGLA05G0053500.1 pep chromosome:AGI1.1:5:4321779:4322072:-1 gene:ORGLA05G0053500 transcript:ORGLA05G0053500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGIKPVFLTDRAENQRAITTHNLHLQGLLQLGEAIVPVGWTPDLNCLFKTSEQKKLVIAGYVIVGNIGDQWSNILGGPEGCRIFKYPNPMYYVA >ORGLA05G0053400.1 pep chromosome:AGI1.1:5:4312120:4313627:-1 gene:ORGLA05G0053400 transcript:ORGLA05G0053400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARLLLLLTVVAAAAGSCFCSGQEAKPPTPPPPPPYCGSVRTAVEAHNIIGWKTFPADCAKYVADYITGDRYGRDSDVVINEAVAYAESLKLSGSGKEVWVFDVDETALSTVPYQAKHGYGVQPYDHANFLQYVAGGSAPALQGTLRLYQRLLQLGIKPVFLTDRTEDQIAITTHNLLSQGYSSWEKLLLQPIGLQTSTQAFKTSERKKLVDAGYVIVGNIGDQWSDILGSPEGCRTFKYPNPMYYVA >ORGLA05G0053300.1 pep chromosome:AGI1.1:5:4308337:4309888:1 gene:ORGLA05G0053300 transcript:ORGLA05G0053300.1 gene_biotype:protein_coding transcript_biotype:protein_coding QYSQVSHDSPKVSPMKPGETGHDTKDDHDTSQVSCDSTTYQVSYDSYHISGDTREVSYDTYQPLSSFLSMFSLIDRSLLPRIHSSPAGSDVSLRASMVGFWQRQRRQLRAVEAEERWAFDDGNGKINGRSHIHKSWFQRLAWASNNDGSGVGELGDGGCCVGSLVMMGCSVMAILALGSLAIADPAAPASRKANPPITASGVMGPRGDNDGVFNAWELDGGRSATAGLSP >ORGLA05G0053200.1 pep chromosome:AGI1.1:5:4276513:4276915:1 gene:ORGLA05G0053200 transcript:ORGLA05G0053200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWLAEVAAAGTPPMAGGWLAEVAAAAAAAPSSPPDPAGGEAASSSPLDPAPGELVGRRRAPACQRRRRQQRPHPPHAAMTVTVEDSGGTGSFLKKPLWHFLVT >ORGLA05G0053100.1 pep chromosome:AGI1.1:5:4268139:4269803:-1 gene:ORGLA05G0053100 transcript:ORGLA05G0053100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPIMATTTARLLLLLTVAGFCLCHTTGQEAAPPPPPYCGSLRTAVEARNIIGWKTVPPPCAKYVADYITGERYGRDADVVINEAIAYAESLKLSGTGKEIWVFDVDDTALSTVPYQANHGYGVQPFDNQSFLKYVVQGSAPALQSTLRLYRRLLQLGIKPVFLTDRTEDQRTVTTNNIIQQGYCNWEKLVLQPVGLQTSTLAFKTCERQKLVNDGYIIVGNIGDQWNDIRRSPDGCRTFKFPNPMYYVD >ORGLA05G0053000.1 pep chromosome:AGI1.1:5:4265980:4266186:1 gene:ORGLA05G0053000 transcript:ORGLA05G0053000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARAVAGESGKWWAPLLGWSGKADYIEAPTPAKEKARELRARMAETESFHDTMYHSAIASRLARSA >ORGLA05G0052900.1 pep chromosome:AGI1.1:5:4261884:4264322:-1 gene:ORGLA05G0052900 transcript:ORGLA05G0052900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENCPPPAAQARRPRLRRLAPPARARDSRATHAADFATVLSISFPFGRRGSDSPPQIRRGLFLKLEAAPSAPPSPDYEEFRIGREKAFSSEETLRLYQKPLQLGIKPLFLSDRTDDDQRELTTNNLLQQGYCNLGKLVLQPEGLETSTLAFKTCERQKLVNDGYIIVGNIDDQWNYISSLIAEGCRLAAPLSSLTHVLHRLAS >ORGLA05G0052800.1 pep chromosome:AGI1.1:5:4252016:4256933:-1 gene:ORGLA05G0052800 transcript:ORGLA05G0052800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEISTEARWLELTANNCASGLLHYDSKARLRWCRGVAKVREEDPGLRIRRSVDIGFFSSVHKTTRKKDQVKFKTEDGGEYGLRGVYDVTARKEFNFAWQDDYGDMREGVALLQVESRGRSYRDSGSLLFTVSLMEGSAPALAGTLRLYQRLLELGIKPVFLTVRTENQRAVTIRNLSQQGYSGWEKLVLQPTGGLSIEAFKSGERQKLVSDGYAIVGNIGDQWSDLLGPAAGARTFKLSNRIWSLVDDQCTVDRKLVVFISSNNGIDGFCSIELVPS >ORGLA05G0052700.1 pep chromosome:AGI1.1:5:4246789:4248809:1 gene:ORGLA05G0052700 transcript:ORGLA05G0052700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis protein COQ4 homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1PT29] MFLVGLCGLSKSLSQASPTLVGRIVFREVVRPITDWPIILQLGHSELRIHGRRAQLYAGGGDGEDHVTCGTARRQRRSISSLPPHDSEAQPSGERERSTARVMQGARVNLKGWQQAAVAFGSAFGALLDPRRADLIATLGETTGKPAFHRVLQRMRNSAEGRDVLLERPRVISTQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFIDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFNEKQRTLFFQHYFPWASKAGLKCADLMSVYYEKHFHEDLEEVRRNWGIIPCPNPKRSSV >ORGLA05G0052600.1 pep chromosome:AGI1.1:5:4243737:4244954:1 gene:ORGLA05G0052600 transcript:ORGLA05G0052600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDLLLLLLLLLLLSSSRLALAAAFGVWINGAASSSPQSQEYEALQALKAAVVEDPRGALASWQGPNVCAYRGVYCSAPPDDAAASGAVVAGIDLNRANLRGTLPAAVSLLAHLTFLHLNSNRLAGQPPDSLRDLQYLTELDLSNNLFSGPFPAAALLIPSLVYLDLRFNAFSGGIPAEAFAKSSLDALFLNNNQFDGEIPETLWSSPATVITLANNRLTGPVPSAYGYGGRVREVLFLNNKLSGCIPEELGFLPTIEVLDLSYNSLSGHLPPTLSCLAGIEVLNIAHNQFTGELPDLVCDLKRMTNLSVSFNFFSGISQHCDRLAGRSVFDFVGNCVPGRGLQRPPPECDGGPGDGGLSCLRSIPVTRPVPCAQASVSVGVGVIVGGAMPSFGAGGVVTVTVP >ORGLA05G0052500.1 pep chromosome:AGI1.1:5:4235069:4241532:1 gene:ORGLA05G0052500 transcript:ORGLA05G0052500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding;sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT4G03090) TAIR;Acc:AT4G03090] MVSVIEELSGLTSRELGEMLKESENFVLQEKTEDGGTKQVDMEKLVSSLPLHLLAVCLELERGSDLAYVLRGMRFLHSLSELAARHTRLEQVLLDDVKLSEQVMDLIFFVLSILSHWKKENHLGVSPFIHSSLVAASLHLLTSYFSSQWHELVHILLAHPKVDIFMDVAFDSLHDDVRSLSHRLSTLSTNTFPVGPFDSRLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKELSRNGGILSLSRSILKLGVPECLKGSIDIAASMSRLKAKILSILLQLCEAETVSYLDEVATSPKSMQLGQTLALEVLDLLKTAFGRKQKLTLDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILAIPHDEFVSNWCSVNLPVIEEDANLDYDPFGAAELALAAAGNKLTEAKANYSCPFRPISMPSIAYAQTRTSCVVKIIANLHVFVPNICEEQERDLFLQKFQKYLVSGNPRSSVDHPASADLKATTVCRNLGSLSEYARSLIPNNLLNEEDVQLLSEFAYKLQTWCKSHVGQSTSQAVKIDPSSESKEDFKPLQHPLIPSTVVPDSSINNLPKNMEEPTPTNMEEPAPTPSTKQEGNARDETPRSTVALNGGFLQNSVGQDLVHLGVARTSSGFLGGGTSTSTGSLRCKMDLDPASSSMDHFKTPDRKESGLQDDEKGDTHMYDERQPKRRKRTIMNDRQINEIEKALIDEPEMHKNAALLQAWSEKLSGQGSEITSSQLKNWLNNRKAKLARIAKERGVLSEGENADKPSTPATPHHCDSSESAGEESYLPPARVMSALGISKGSRFVSPDGNETTSQAEFNQNIMLSRPFTRSFSFEPGRLVSLIDNDGKEVGRGKIFQVEGRLQGKALTDTRVCIVDVIELKIEKWRELPHPSEASGRTFQEAESRNGGVMRVAWDVIRLSPVVQ >ORGLA05G0052400.1 pep chromosome:AGI1.1:5:4221222:4229398:1 gene:ORGLA05G0052400 transcript:ORGLA05G0052400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sister-chromatid cohesion protein 3 [Source:Projected from Arabidopsis thaliana (AT2G47980) TAIR;Acc:AT2G47980] MDETLASLRRPKRGRPPRPREDHLAAEDFEEEGEDEEAEAEALARPQTKRKRAASAAAAAALEDQTLIDIIKHNGRLISHAVKKLVEDYESDPKSVMFQILAMLFEACGARHNFYADYLYEADVDGVVFSLVELAKKGMVEDNYNTKQKDLKNFKENLVSFWDTLVHECQNGPLFDGSLFQKIKDYVVALSCTPPRVYRQVASLVGLQLVTSLISVAKTLSGQRETTQRQLNAEKKKQTDGLIVESLNKKLAHTHKSITYLEELMRKIFSGLFMHRYRDVDPEIRMSCIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRTSILALQSLYEVDENIPSLGLFTERFYSRMIQLADDVDISVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPLIRRAIGELVYDHLIAQNIKTSQSGARDGNNDSSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMKDWKCIISMLLDENPLTELTDMDGTNLVRMLRASAKKAVGERIVPATDNRKMYYNKGQKEILENSKHEITTALLKKYPQLLRKYISDKAKISPLIDMMMLMKLELYSLKRQDQHFKAAIDLIADAFFKHGDKETLRSCIKAITFCCTNCQADLQNYAENKLKDLEDELVLKVKTAIKEVEAGDDEYSLMVNLKRFYELQLSKPVKNDGLFEDMYRILSHLKDMDNEVKSFLLLNMYLQLAWCLNAIDGENPSEASIDELLSRQSSLFEKLYYYLVVLPTYQKEGRSTTILSCRVCVITAEMWCLFKKPKYSSTRLESLGYLPQLDVVQNFWKLCEQQLNIPDEIEDEDANEEYIEDTNKDVVMIAAAKLVLADTVSKDYLGPELVSHYASHGTSTTEIIKHLITSLRKNADNNMGALFFEALKRAYERYMAHVSDGENQTLIGKSYSECQDLAGRLVGSYVGASRNKNKSEILKIIQDGVSFAFVDLPKQLSFLEAALLPFVSKLPSSDIPDILIDVQKRTQDTNTNEDPSAWRPYFTFVEHLRDKHAKNEVLQEEKEEKPVKRRGRPRKVRDVPARNLFDGHKSSDEESVSDSDQQGHGEDNDDDDADQPLINTFRSSASKLRSLKVSQQGTSGQKGPSRASGSNS >ORGLA05G0052300.1 pep chromosome:AGI1.1:5:4216184:4216579:1 gene:ORGLA05G0052300 transcript:ORGLA05G0052300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGDAASMVAVGLVWGTTNALMRRGALVWDHRLRSLPATSSSVTGVLRRWAELLLTWQYSAPFAVNLAASAAFFSLLGAAPISVAVPVTNATTFAATAVAAALLGEGTRAAPAALGTALIVLGVWVCIS >ORGLA05G0052200.1 pep chromosome:AGI1.1:5:4213940:4214521:1 gene:ORGLA05G0052200 transcript:ORGLA05G0052200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSYCIWVSSPSPASSPSRPPQKSGSTGPITRWRRISELVISHSHSDSKEKFRFISALSSPAREHPKPKPTTKGATATKPRSSLTAAKRPLEDEDDDDDVGGPSVNVDGCGPLYALGLISSVIADGAGKHRRGGRTRRRSPHLRRPIPAAARRRGCRLPLGVEPRGGGVTPRCRRPLRRASIALLLGKKGK >ORGLA05G0052100.1 pep chromosome:AGI1.1:5:4211735:4212004:1 gene:ORGLA05G0052100 transcript:ORGLA05G0052100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGAKKSRNPHKAPADYRSDRKSASGMSGEPKKGGRGGKFTWEGADGYADEDLDLISPKNSTSHSKSAASAAAADSSSNKKSGDDE >ORGLA05G0052000.1 pep chromosome:AGI1.1:5:4203382:4203924:1 gene:ORGLA05G0052000 transcript:ORGLA05G0052000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILQEMVRVLRADPHAFTSVLFFLLCPASVGVPPAVHRRAGGCSRAPVHVEATPRGGGGGVGAPAHAFRQAAGAPPCRHPHRVRRVILGVIHAPPRRPRRRRVRGCGRLRGQAAPRRRRACLSSFLALLVTACSTLKSMLYPPDIVVCAGLLTVLAFFVVGAGQAADVAGRGGRGGEAGG >ORGLA05G0051900.1 pep chromosome:AGI1.1:5:4197021:4200226:-1 gene:ORGLA05G0051900 transcript:ORGLA05G0051900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DERLIN-1 [Source:Projected from Arabidopsis thaliana (AT4G29330) TAIR;Acc:AT4G29330] MSSPAEYYNSLPPISKAYGTLCFFATVLCQLQILNPPFLALYYPFVFKKFQIWRLFTSFFFLGKFSINFGIRLLMIARYGVQLEKGAFEKRTADFLWMMIFGAISLLALSAIPFLDIYFLGVPMVSMLLYVWSREYPNSQISMYGLVQLRSFYLPWAMLGLDVIFGSEILPGLLGILVGHTYYFLSVLHPLATGKNYLKTPMWVHKIVARFRIGVQANAPVRAAAANTGSGVFRGRSYRLSQ >ORGLA05G0051800.1 pep chromosome:AGI1.1:5:4193785:4194465:-1 gene:ORGLA05G0051800 transcript:ORGLA05G0051800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAATRVVHVHYNNATVTIGTVGVAREDDGDDAEAVAKQIVVRLGIHPPAGSGADAHAVVDLRFALQEPTPAASVPWRWLAFSLCRFLDLPADSSRLDDELCSFATDVAGDGAGGALHLLLVDVRYLGVYDERPSTQEWLPVQLYLTPATDDDGAVVVLPLCPRHTGAEAERWCHACLGEFKVGDTLATPACCRRRAVHQECLRRHLAKGPDESCPLCGGATAL >ORGLA05G0051700.1 pep chromosome:AGI1.1:5:4188652:4192550:1 gene:ORGLA05G0051700 transcript:ORGLA05G0051700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASRLAVVVGREEGASSPVDGVASDEAGFREGGERSAARRRSERFPRVRGARRRELLRFFPVERARLERASEAASDPLAHAVSLGIVDGVMGLGPSNTSLVYQLAKSQKWKKMFAHCLDGKRSGGIFVLGHIVGPKVRKTPLDQTSSRYRTTLLEITVGETSLSLSAGNVEIKSQNMTILETGSLISYLPEKIFSDLEDISVINIGGYSCFHYERRMNSDVKWDDEDVWSHDRVKLETEHTTPADNTSEKTEVHSGLLSRSITRLLAMIVLVLWCVTRDGQ >ORGLA05G0051600.1 pep chromosome:AGI1.1:5:4167361:4168928:1 gene:ORGLA05G0051600 transcript:ORGLA05G0051600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRNMWGGGRKEQKGEAPASGGKRWSFGKSSRDSAEAAAAAAAAAAEASGGNAAIARAAEAAWLRSVYADTEREQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSKGRSAPVLAATVAGDTRSLAAAAVRIQTAFRGFLAKKALRALKALVKLQALVRGYLVRRQAAATLQSMQALVRAQATVRAHRSGAGAAANLPHLHHAPFWPRRSLVRRWLNLADDIAMYMFDVDVVCWRWMQQERCAGDDTRSEHGVAAYSRRLSASIESSSYGYDRSPKIVEVDTGRPKSRSSSSRRASSPLLLDAAGCASGGEDWCANSMSSPLPCYLPGGAPPPRIAVQTSRHFPDYDWCALEKARPATAQSTPRYAHAPPTPTKSVCGGGIHSSPLNCPNYMSNTQSFEAKVRSQSAPKQRPETGGAGGGGGRKRVPLSEVVVVESRASLSGVGMQRSCNRVQEAFNFKTAVVGRLDRSSESGENDRHAFLQRRW >ORGLA05G0051500.1 pep chromosome:AGI1.1:5:4149522:4152028:1 gene:ORGLA05G0051500 transcript:ORGLA05G0051500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWRWRGFGERLGCGFDDGNANPRGCIGGEPRGCGGGGPRGGGFGKRLGCGGDDSGRLRSARQMRGKKRRRGMWYCRISGQSLEEGENFVLDTQDGSDDDQIEFIPDSDDEGIEYCFSSDQEFVPETEFQDCGEVEEKGGGIQDCGEVNEKGGGIQDYGEVNEKDGGIQDCGEAKENGEEGKNVIFDTQDGPDMDEYEFWPDLDDEGGDFVFEDWFIDVVPKKKMHDGVAMEKKRGGKIGKLMSSNVTYGMGRPSSTITKASFSSNASYPQGGDLWQGTMANHSAKPSKHFVVESSNISEQSKEVSIQFMPNEEEIPSEEKMPSDKENGDGQFVGVDLWVDSQEEEYELIDDTKLEILRLLIPVQNTNS >ORGLA05G0051400.1 pep chromosome:AGI1.1:5:4145912:4146993:-1 gene:ORGLA05G0051400 transcript:ORGLA05G0051400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTTSYSTTSAMGRFNPTECICTIALVRYVWATQTGAQKEQLSTRVGCGRVHRIIENNLDKCRVVAPNLPMKTDDLSHSTGCGWTIREKDVNR >ORGLA05G0051300.1 pep chromosome:AGI1.1:5:4131244:4135115:-1 gene:ORGLA05G0051300 transcript:ORGLA05G0051300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:I1PT15] MVAAAVAAAEQVVAALREECATPAARLDGVAAAMAGEMAAGLAEEGGSKIKMIVSYVDNLPNGTEEGLFYALDLGGTNFRVLRVQLAGKERRVVKRESREVSIPPHLMSGNSSELFGFIASALAKFVADEGHNAVFNDRQRELGFTFSFPVRQTSIASGTLIKWTKAFSIDDAVGEDVVAELQMAMEKQGLDMRVSALINDTVGTLAAGSYYDEDVVVGVILGTGSNAAYLEKANAIPKLEGELPKSGNMVINTEWGNFSSSCLPITEYDEALDKESLNPGEQIFEKLISGMYLGEIVRRVLLKISLQSSIFGNLDQTKLKTRFILRTPDISAMHHDGTPDLRIVAEKLADNLKITDTSLETRKMVVEICDIVTRRSARLAAAGIVGILRKIGRGVPGDKRKSVIAIDGGLYEHYTEFRQCLETTLTELLGEEASKSVAVKLANDGSGLGAALIAAAHSQYLN >ORGLA05G0051200.1 pep chromosome:AGI1.1:5:4128166:4130446:1 gene:ORGLA05G0051200 transcript:ORGLA05G0051200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:I1PT14] MAGATDLPPKGGFSYDNCARNAMLVEKGLKMPGFLKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTSLTLLKSHLFSYQGHVSAALVLGGVDVTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLTREEGIQLVAEAIRGGIFNDLGSGSNVDVCVITKGKTEYLRNHQLPNPRTYVSSKGYNFTKGQTVVLSTKITQLKPKVEVTEGDAMEE >ORGLA05G0051100.1 pep chromosome:AGI1.1:5:4124565:4125749:1 gene:ORGLA05G0051100 transcript:ORGLA05G0051100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1PT13] MAWNGRFGEDGEEERSLELSLALPGYFSSSGLQGNTSTAADGAKGNDGFKASRPAAPVVGWPPVRSFRRNLASSSSSSKPPRGGRDAAAAAAGGKVARFVKVNMDGVPIGRKVDLAAHGGYGELSAAVDRLFRGLLAAQRDPTMATAAAGESCTGEEEAIAGLLDGGSGEYTLVYEDDEGDQMLVGDVPWNMFIAAARRLRVLRSSDLNASTIRAGSRKRAAAE >ORGLA05G0051000.1 pep chromosome:AGI1.1:5:4085674:4088990:-1 gene:ORGLA05G0051000 transcript:ORGLA05G0051000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:I1PT12] MAGGGVEDTYGEDRATEDQLITPWSFSVASGYTLLRDPRHNKGLAFSEAERDAHYLRGLLPPSIVSQELQEKKLMHNLRNYTVPLQRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKDKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNESLLNDEFYIGLKQRRATGEEYHELLEEFMTAVKQNYGEKVLVQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLIAALKVVGGTLADHTYLFLGAGEAGTGIAELIALEMSKQTEIPINDCRKKVWLVDSRGLIVESRKESLQHFKQPFAHEHEPVKTLLEAVQSIKPTVLIGTSGVGKTFTQEVVEAMATFNEKPVIFALSNPTSHSECTAEEAYTWTKGSAVFASGSPFDAVEYEGKTYVPGQSNNAYIFPGFGLGVVISGAIRVHDDMLLAASEALAEQVSEDNFARGLIFPPFTNIRKISAHIAAKVAAKAYELGLASRLPRPDDLVKYAESCMYTPAYRCYR >ORGLA05G0050900.1 pep chromosome:AGI1.1:5:4078818:4080990:-1 gene:ORGLA05G0050900 transcript:ORGLA05G0050900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMPKASLLRHAYYHLGPGPRTVVEHAVVLFLPNGPRPKGHDRVESTTMLPRNFESAGAVPLVKKTSLERKQCGSPRLERTVHHSXKLVRLVLMLLCYPCQPVSKIXNGMMFLKFVWLLXKHNWFPFLFSWRRMSXFFLCHLCVMLSMERICVHLQRQQLEIPRNGRGT >ORGLA05G0050800.1 pep chromosome:AGI1.1:5:4070645:4072651:-1 gene:ORGLA05G0050800 transcript:ORGLA05G0050800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSNLRRQIIFMKKNLFDQGYLDEQFNQLEELQDESSPNFVEEVAALFFKDSSRLLTNIEQAIDKYPQDFYRLDSLVQQLKGSGSSIGALRMKNECSVFKANCNDRNLEGCRRSLQKMKREHATLKQKLESYFQLLRQVGPRDYAVSSRK >ORGLA05G0050700.1 pep chromosome:AGI1.1:5:4069068:4069787:-1 gene:ORGLA05G0050700 transcript:ORGLA05G0050700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid-specific ribosomal protein 4 [Source:Projected from Arabidopsis thaliana (AT2G38140) TAIR;Acc:AT2G38140] MALLAVHAMAASPATFPSSQHHAAAFSRSRSRVAAAAFSRSRSRVAAAAAATLSAPLTPVLEVYCGRGDKKTKRGKRFNHSYGNTCHLLTAVCSSQARPRNKKKGTGPARLFAPPAPPRKDQFDDGEVTPIDIDDDILE >ORGLA05G0050600.1 pep chromosome:AGI1.1:5:4060263:4062998:1 gene:ORGLA05G0050600 transcript:ORGLA05G0050600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin binding protein family [Source:Projected from Arabidopsis thaliana (AT1G52080) TAIR;Acc:AT1G52080] MPKVAGDGGGGGRRSEDLRVVFLRVGAAVALSVAGLLVSRRRPRQQLRLPPPPPRSDSDGMKGGGGLKDELRILKNEDTKAKIINGNSVHTTTTTTTMTTTALVPLPPKCRTLDDDEEFLLPEFNEIVLKEFGRDMGNIATSPAPRVSEEDATKNREIFELREMVRSLQEREKTLELQLLESYGLQEQDVAVRELENQLKINTVESKLYTLKIESLQSENERLQAQLTESSKLASELEAARMKCKLLKKKLRQDAEQAKERIASLQEMADSWQCKEIITEGKFSAEVEEKLSKLEELENEARELRVVNSRLRQENAHLARRLELTRLPPVPKPINNMEVKALQEADHLRQENDKLAKEVEQLKTDRFSDVEELVYLKWINACLRYELRNQDAPSGKNVARDLSKTLSPQSEEKAKQLIMEYANAGPDEKNFDHIEFCSEYSSSRASSLGEPDDASIDVSLMNKHKNPKKKKFFSKLRKLVLGKEKENKTIPTLERRISISSCSFDEFNGRESIDSYSSFMTEPGNSGNQQHDNHGSRWHSMDSQSARHLSKEIADARNLHLGVKSVSFGEGRVSNFGHSSHLGNGEATVPEDAKKIHKFAEALKTSRPGSRSSRKDH >ORGLA05G0050500.1 pep chromosome:AGI1.1:5:4053421:4054174:-1 gene:ORGLA05G0050500 transcript:ORGLA05G0050500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60335) TAIR;Acc:AT5G60335] MRAFARNLAAIIPLARCNATATTAAAPSSLVLKVGDTLRERRRFTDGEVEAYAAVSGDRNPVHLDDAFARQVGGFGRGRVVHGMLVASLFPALIAAHFPGAVYARQSLKFAAPVYVGDKVLVQVQALHIRASTKHIVKFGTKCFTSDSDDLLAVDGEAMAVLPSLYLRQQLNQVPPIVD >ORGLA05G0050400.1 pep chromosome:AGI1.1:5:4047023:4051483:-1 gene:ORGLA05G0050400 transcript:ORGLA05G0050400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G49350) TAIR;Acc:AT1G49350] MAGATTTSSPLRRMESVCRHLLPASPPILYQNPLGAIRLESSPVIIGGMVLDIHAKPSMQPHPGTTVPGMVKYVSGGVARNIAECICKLETRPFMISVVGNDMAGDFLLKYWRSAGLCTDGILQIDDVTTPIVSNVFDGSGELIAGVASVGAVEKFLSPSWICQFRLHISTAPLLMLDANLSPDSLDAACKIAHESGVPVFFEPVSLAKGSRIAPIAKYITYTSPNEIELVAMANSLSPPEKYTFVKMEQSKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSNGVFVCCKESTSLMDQRKSEMMSFSTPLLQKLERCFPSNMLVDLPREGSSRTCVFHFPAVSASVVSLTGAGDCFVGGVISALCGGLGMMQSVAVGIAIAKSSVESEANIPDKFSAATIADDARRTLLSAKMMWCK >ORGLA05G0050300.1 pep chromosome:AGI1.1:5:4031929:4036146:1 gene:ORGLA05G0050300 transcript:ORGLA05G0050300.1 gene_biotype:protein_coding transcript_biotype:protein_coding DREAQGHICLSLLVLRMEELERRWRCPGRWWWRCCAASSPLPPLELHLRVSYAEPEYARWMLSRSPSSVVHPPPGAATAGEHLASAGIALSSKMSAMPCLAGEKRKRDGG >ORGLA05G0050200.1 pep chromosome:AGI1.1:5:3994707:3996131:1 gene:ORGLA05G0050200 transcript:ORGLA05G0050200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFLELEPFSTPLFEIPDDADFEYTSMLAADDFPLMDGDFPADQPPAPAEVVSGGGKRSFQADDGEAMCVVSRSSKRRKKAPTNSTSPSNRDGSGGGEGNEPTAERRGSRRVWVRERSTEWWDRMRDPAACPEADFRRAFRMPRAVFDKLCDDLAAAVAKEDTTLRAAIPVPQRVAVCLWRLATGDPLREVSRRFGLGISTCHNIIVQVCAAITIVLLTRVVRWPDSHAAAASRFQALSGIPGVVGAVHTEHFRIVAPREHAGEYYDHRLTDRNNKATYSVAMQAVVDADGAFTDVCIGHPGSLSDAAVLEKSALYARCEAGLLLGHDKLGWQQPLWLVGGASYPLTSWMLVPCTQTNQTWAQDRLNAQVADARAAAVGAFRRLRARWQCLRRAEVKLPELANMLAACCVLHNLCERSGEELDADLLHDELVVVDDGVVAGGGNTVRSAAAEEVRDHRIAHVRSSSRRQCFFL >ORGLA05G0050100.1 pep chromosome:AGI1.1:5:3919487:3923640:-1 gene:ORGLA05G0050100 transcript:ORGLA05G0050100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WAAGEAAAATRGSSTRRRHGPSPPCAASSCSSPSSSRRGSTRSGSSSRTGRRRRWWRRWRRXRRSSWCXGSSRCCSCSARTTSSRSASLRRPPTPCSRAASRPPPSTPRPARVTTATPPPPPAAMGPPPWRPAANTGPRRPAANTGPPPWKPAANTGPRRPAANTGPRRPAATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTLFFLLLLLLLFFLSFFFFFFFFFHGDPGXXLRAAFMATLLQIRGWKEWEKEAAGQDFEFANDPTKFRFTHETSFVRQHMNVLNKTPASFYISNFFRQFFRSVRRADYCALRHSFVNVHLAPGSKFDFQKYIKRSLEDDFKVIVGISPPLWASALIFLLLNVSGLHTMLWISIMPVVTILSVGTKLQGIICRMAIDITERHAVIQGIPLVQVSDSYFWFSRPTFVLFLIHFTLFQNGFQIIYFLWILYEYGMDSCFNDSKEFVFARLCLGVVVQFLCSYVTLPLYALVSQMGSTMKQSIFDDQTSKALKNWRAGVKKKPAAASSSKHGDAAGDHAAASPHSGSPKGEAGGVALTQRKAGGGEAGDAQQAGGGSGSKKGEEGDYEFVKLEP >ORGLA05G0050000.1 pep chromosome:AGI1.1:5:3888709:3889835:-1 gene:ORGLA05G0050000 transcript:ORGLA05G0050000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVGLNPEAFFFSNSYSYSSSPFMASYTPELSAAAIDADLFSGELDFDCSLPAPTFAGAGQEYPENENTMMRYESEEKMRARVNGRIGFRTRSEVEILDDGFKWRKYGKKAVKNSPNPRNYYRCSTEGCNVKKRVERDREDHRYVITTYDGVHNHASPAAAAAALQYAAAAGDYYSPPLSSAGSPPAAYSAGGSLLF >ORGLA05G0049900.1 pep chromosome:AGI1.1:5:3880796:3883697:-1 gene:ORGLA05G0049900 transcript:ORGLA05G0049900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQDEGSGDLGSPKLAQDHHIMDLGDNSKIKRARATNWPTVMSKFVLDWYLQKKKEMPPKTKFKKMHHHYCTSVLNARFETTFTVDQVHRHFRRFKEVWNIVARYMNVSGSRFDKKHKMLILPPSTLASLPIAERAILVKPIPFFDHIQALFGEWSVDAAPVTDPIEAADINDDDMEILDPLNMMANCADARDPDGADLDKFVLEDEDDCHEVAASSDAVPCEVMSDTSAPSAQPSGSFAESTMAALKPGLKKCKVVSRTKTTPNPKPQAPVPHDGRKADMVKSTLAGIRDTPAKPIRAAPASSDPNAPLWNMLKEIPLTPADRLSVGICLCKPEFEVHRSFFMNMGREYLEAWAHKFLSGGEPGSL >ORGLA05G0049800.1 pep chromosome:AGI1.1:5:3874245:3878936:-1 gene:ORGLA05G0049800 transcript:ORGLA05G0049800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEAAAPPELASFLAIGLDQRTAENALANRKVTANLAAVIAEAGVSGCDKSVGNLLYTVATKYPANALVHRPVVIQYIVSSKIKTPAQLDAALSFLSTLGPDPLDTAKFEETCGVGVVVSTEEIQSMVTDILKENMEAIVEQRYHINVGSLCGQVRKWHPWGDAKFIKEEIDKRLTEILGPKTEADNVKPVKKKKEKPAKVEEKKTAVAAPAPPSEEELNPYSIFPQPEENLKVHTEIFFSDGNIWRAHNRKDILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVRWMGWEPYKVTYTSDYFQELYELAVCLIKKGLAYVDHQTPEEIKEYREKQMNSPWRDRPIEESLKLFEDMRHGLVAEGKATLRMKQDMQNDNKNMADLIAYRIKFTPHPHAGDKWFIYPSYDYAHCLVDSLENITHSLCTLEFDIRRPSYYWLLVALDQYQPYVWEYSRLNISNNVMSKRKLNKLVTEKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGMGITRSDNSLIRVERLEYHIREELNKVAPRAMVVLHPLKVVITNLDYGTIIDLDAKKWPDAPGDDASAYYKVPFSRTVYIEQSDFRVKDSKDYYGLAPGKTVLLRYAFPIKCTEVIYGDNSDNIIEIRAEYDPSKATKPKGVLHWVAEPSPGVNPLKVEIRLFEKLFLSENPVELEDWLGDLNPRSKEVIKGAYAVPSLATAALGDKFQFERLGYFAVDSDSTAEELVFNRTVTLRDSYGKAGPK >ORGLA05G0049700.1 pep chromosome:AGI1.1:5:3868983:3873279:1 gene:ORGLA05G0049700 transcript:ORGLA05G0049700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQAGMGLTRVVVLIGAGMAGSVVLRNGRLSEILGELQEILDKGEKGKDGEGGGGADMTDALTRQVRNLAMEVKQLASSRGSITVLNGGSGQTGVSGLIVPAATVGALGYGYMWWKGISFADLMYVTKRNMANAVSSMTKHLEQVQTSLAAAKRHLTQRIERLDDKLDQQKALSGQIRDDVTDARLKLENIGSEIKNIKQLVWGLDEKMDSMEAKQNFSCAGVMYLCQFIEQNGGKLPERLEGSKMAGKRFGSQNLIQGLQLAIETGNFDKETFNALKNNSDSR >ORGLA05G0049600.1 pep chromosome:AGI1.1:5:3862898:3867939:1 gene:ORGLA05G0049600 transcript:ORGLA05G0049600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 [Source:UniProtKB/TrEMBL;Acc:I1PSZ8] MTDGHHFNNISLGGRGGNNPGQFKLYSGGLAWKRQGGGKTIEVEKSDITSVTWMAIPRSYQLGVSTKEGLFYRFFGFREQDISSLTNFMEKNMRITPEEKQLSVGGHNWGGIEINGNMLSFNVGSKEAFEVSLADVAQTQMQGKTDVVLEFHVDDTTGGNEKDSLMDLSFHVPTSNTQFPGDENRPSAQVLWQAILNKADVGSSEEAVVTFDGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSILRLFVLPKSNNPHTFVVITLDPPIRKGQTLYPHIVIQFETEAVVQRDLTLSDEVLAEKYKDRLENSYQGLIHEVFSKVLRGLSGAKVTRPSTFRSCQDGYAVKSSLKAEDGLLYLLEKGFFFLPKPPTLILHEEIEYVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFISGKHLKILNLGEAQGRAGGVTAVLQSTDDDAVDPHLERIRNQTGDDESDEEDEDFVADKDDSGSPTDDSGEEGSDASLSGGEKEKSSKKEASSSKAPLKKRKPKGGDAAEGSEKRKPKKKKDPNAPKRAIAPFMYFSKAERANLKNSNPELATTEIAKKLGERWQKMTAEEKQPYVEQSQVDKKRYAEESAAYRGAAAMDVDSGPASD >ORGLA05G0049500.1 pep chromosome:AGI1.1:5:3857819:3861926:1 gene:ORGLA05G0049500 transcript:ORGLA05G0049500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLMQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >ORGLA05G0049400.1 pep chromosome:AGI1.1:5:3847598:3851413:-1 gene:ORGLA05G0049400 transcript:ORGLA05G0049400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine-guanine phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G71750) TAIR;Acc:AT1G71750] MQNLGIPPFLPAGPATAPFHSRKTSSPPPPPSPPATNKSPNPARPRRPRRRGTLTLALPAAVMVGAGAGADAGIDRVLWTEAEIAARVSEVAAELAADLRALREPAVVVGVATGAFLFLADLVRRVDAPLAVDLVRAESYGDGTESSGRPRITSDLKVDVAGKHVVVVEDIVDTGNTVSCLIAYLEKKGASSISVCTFLDKPARRTANFQLVGDGKFYRGFECPDSFVVGYGMDYAELYRNLPYVGVLKAEMYKKDTSN >ORGLA05G0049300.1 pep chromosome:AGI1.1:5:3839686:3845701:-1 gene:ORGLA05G0049300 transcript:ORGLA05G0049300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAMAMSFLSTTAAAAASSSQPPLPARRLPRRNPSLPFPLRPPHRLSPFSAPPPEPHRLTYRTHSTSSSSRTPTAAGLLSPVISTSRTLIFLLVASLLSLSGVRPLPSLASPPPPTQQPQETEEQEQQQESEEKQQQQQEEEGVEAEVEEAWLRQDEEEEVEEKEEEEEADDEVQMYMEILSRDPGDVDALKCALFAKMRRAEWGGALGFARRLREAEPGEVEWRLMEALLHELKGDLAEAERLFNEVLAEKPLLVRGQGLALCMHKRSEGPTVFEMLEKALQLAISEERVPEERNIKLLIAQMHVVKGQLDVASEKLQNLINEDPRDFRPHLCQGIVYALLDKKEEADELFDTYRSLVPDEFPDKSFISDVIQAARVESKDRLQKDFGSEFLSKK >ORGLA05G0049200.1 pep chromosome:AGI1.1:5:3838120:3838542:-1 gene:ORGLA05G0049200 transcript:ORGLA05G0049200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNPRLLLRAAASLLRPSSSSAAAAPTPALPPLLRRPPLFFPEGCPHRRAFSTADFGKDVDEVNRKFAEAREEIEAAMDSKETVYFDEEAACARDAAGEALAAFESLLARLPPPDADSLRRSMGLKMEQLKAELKQLDE >ORGLA05G0049100.1 pep chromosome:AGI1.1:5:3835668:3836210:1 gene:ORGLA05G0049100 transcript:ORGLA05G0049100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMDNAKEEMRRAAATADSPDAGEPHAIAAHLQGWCRACLHLAALAFLACAFVQTAGRARHDPWDLAFVVAAYASLAALFVVLRRAERLTPESPADDRRWLQRAAWTLSTVLSCLFAYRVARIMPAAMAVAVWAMTASVVVGGLYFLVLNDGDRGSEEDCHVADDGKLVFQKIPREEMV >ORGLA05G0049000.1 pep chromosome:AGI1.1:5:3811880:3812395:1 gene:ORGLA05G0049000 transcript:ORGLA05G0049000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEINSLKAEEQQCHGGGGGGGRVSQAIAMLVATAVTAQAAYRARHAPWDLAFVLFAYAGLGLLFLCLSMHERLPQPAAADDDGEAVRRRRRWLKMAVWALSTALSAAFAWRVAAVMPAPAMKAAVWGMTSTVAVAGFYLLFAYRPAAISSYSELETCKHEQASSKLDQIL >ORGLA05G0048900.1 pep chromosome:AGI1.1:5:3798601:3799609:-1 gene:ORGLA05G0048900 transcript:ORGLA05G0048900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGNSDEFCQCQACLGRYTLLADEENPRLAMFERRLPFFGCGIGWCCFLLGFLCPLIWYIAALLYYCKYYNRDPRERPGLAASAFLAVIFTAATIVTLSVLLIMCTQKRLNSCACLG >ORGLA05G0048800.1 pep chromosome:AGI1.1:5:3796358:3797908:1 gene:ORGLA05G0048800 transcript:ORGLA05G0048800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGALLSFLLSYPEFILAAACFLAFAAIRRARDARRRAAPVPVSWPVVGMLPFVVAHLGRLLDAAAAALPELGCTFMFRGPWLVGADFLVTCDPAVFRHCLVANFAGYDKGRDFAEMFDVVGDGLLVADAASWAAKRHLAASVFTSAAFRGFVLSTVERQTRRLLVPFLDHAGGVVELEDVFMRYSLDVSYTVAFAADLDSLSVASAAEPFPPFGEATRVTGEAVLLRHIAPAGWWKLMRWLNVGVERRLADAKAVLDEFVYREIANRRSRPAPAVAGGDDLLSMYMASPIDPAMSDQTLRDAAVGFMFAAKDLIAAALTWLFYMICTHPHVEAKILDELRSLHTTTTAGAVVFDADELRAATYLHAAVLETLRLYPSAPFEEKEAVGDDVLPGGTAVRKGTRVVFCLYAMGRVEGIWGSDCREFRPERWLSTGDGDGGAGKVRQEPSYKFAAFNAGPRSCLGKDLGLSNIKIAAAAIVYNFTVELVAGHVVEPKDSVVLHTKNGLMVRVKRRETA >ORGLA05G0048700.1 pep chromosome:AGI1.1:5:3788875:3794275:1 gene:ORGLA05G0048700 transcript:ORGLA05G0048700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNESGIVAVARQRGIDVVLNEESKRETPAVVCFGDKQRFIGTAGAASSTMNPRNSVSQIKRLLGRAFADPELQRDLASFPFRVSEGPDGFPLVHARYLGEDRAFTPTQLLAMVLSNLKGIAEGNLNAAVFDCCIGIPAYFTDLQRRAVADAAAIAGLRPLRLFHETTATALAYGIYKTDLPEKEWLNVAFIDVGHASMQVSIVGYKKGQLNMLSHAYDRSLGGRDFDEVLFKHFADKFKDEYKIDVYQNARACVRLRVACEKLKKMLSANPEAPLNIECLMDEKDVRGFIKREEFEQISSPVLQRVKAPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIRIITEFFGKEPRRTMNASECVARGCALQCAVLSPTFKVREFEVNDGFPFSIALSCKPDSENTESEQTIVFSKGSPVPSAKTVTFYRSNTFAVDVVSVDADDLQMAKKISSYTIGPFQSSKPEKAKVNVKACLNIHGIVSIESAMMLEEEVDVPVATTNETLKDDTKMDTDDALGDPAPGTDENMQESKCSADATHGAAENGKPDSEEISAPMDTDAKVEPLIKNVKKIDVPVSGLVYGALGSEELVKASENEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYTDFVMSEYKEGFIAKLQEVEDWLYEDGEDETKGVYIAKLEELKKVGDPIEIRYKEWAERSSSINQLVHCINGFKEVALSNSQAFDHIDMSEKQKVLDECSEAEIWLIEKQQQQDALPKHADPVLLISDMKKKAEALDRSCRPIMSKPKPAPKPQTPPPPTPPTESPTTPEPQTPEQQQQSNGAGEAEEPTSEGGAQDQEPTAEQMDTDKPDGWAEPSA >ORGLA05G0048600.1 pep chromosome:AGI1.1:5:3786975:3787172:1 gene:ORGLA05G0048600 transcript:ORGLA05G0048600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLPGRRLSGRRSVKGDHDHPHGGGAPATAATARWIDGKAVDQAVAYALMAAALVATYLLH >ORGLA05G0048500.1 pep chromosome:AGI1.1:5:3777268:3778398:1 gene:ORGLA05G0048500 transcript:ORGLA05G0048500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPSGSVAAAAAAAGEEEGAMVEAVAEDGGAESRITALLFDVSQQVQEALQGMLKMTGEIEQCGAEIEAEIERAKEAIADKGRALDDDRERFQKAAVAALNILSGGAAGDI >ORGLA05G0048400.1 pep chromosome:AGI1.1:5:3765117:3775863:-1 gene:ORGLA05G0048400 transcript:ORGLA05G0048400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGEFRFFLSCDISLPLAFRVDRLLHYPTPTQPSPPQDCTTLATNKKVPELVVECKLYIDGIQFGLPVSTRLESSGPPYFWNEVMTLTAKYRDLTSLSQLAFTVWDMSSGEDGNIVGGATIFLFNNKKQLKTGRQKLRLWPQKEADGRVPTTTPGKVPKNERGEIERLERLVNKHERGQIQHVEWLDRLFFRAMDKAKEKCERTENLYPSLVVEFCSFEHRVVFQESGANFYAPAPVLLSNQLVTVWDPELGRTNPSEHKQLKLARSLTRGIIDKDLKPSSNERKCLQRIIKFPPTRSLQVDEKQLVWKFRFSLMSEKKALTKFVRAVDWSDIQEAKQAVELIRKWETIDVADALELLSPDFESEEVRAYAVNILERADDEELQCYLLQLVQALRFERSDESCLAHFLVKRAVSNIEIASFLRWYVVVELRDTAYARRYYSTYDLLENGMMKFARDDGDEDGFRLWQSLTRQTELMAQLGSIMKDVKQVRGSAQKKIDGLRQLLSGVFSELTNFDEPIRSPLAPTVLLTGVIPQESSIFKSALHPLRLAFKTANGGISKIIYKKGDDLRQDQLVIQMVSLMDRLLKLENMDLHLTPYRVLATQPDEGMLEFIPSSSLAQILSEHRTITSYLQKFHPDEDGPFGITAQCLETFIKSCAGYSVITYIMGVGDRHLDNLLLTDDGRLFHVDFAFILGKDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSSLILNLFNLMRRSNIPDITNEENAGLKLQEKFRLDLEDEEAIHFFQDLINESVSALFPQMVETIHRWAQYWR >ORGLA05G0048300.1 pep chromosome:AGI1.1:5:3751523:3752708:-1 gene:ORGLA05G0048300 transcript:ORGLA05G0048300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSPRSWDARRAGLGGLIDDGLAEPPGAAAMSRLLMPQMRPTKPRPCGPAQPELGNAVGAAAGMSVPCSSRFYGDVKSGPEVTVAGAAQLRVNGGAHAAAADLGKFPATGSLPASIGRPPPPRYIGSVSATVVEQSEDYTRIIARGPNPKTTHIFGDCILEPCTESYWLVKLSGSSDELRRLCSSCKKNLDGSDLCFYRGEKAFCSGDCREQEILIEDEEESNTAVSSPISIDSSSSFHDDLFMAGMAVLDMSTSSPHA >ORGLA05G0048200.1 pep chromosome:AGI1.1:5:3746237:3749750:-1 gene:ORGLA05G0048200 transcript:ORGLA05G0048200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHHLLLSPPPPHPRLSLVTSRRRPRAGHVAAACSPSPSALAAGRRAVLLVGVSVLPLLRLRDAAFAAAAARPPSTTTVDLVTDRIDTAKTEETQPEEPSAEESLAEVKVPPAVNPLAGLLNAIAVIASGVFAGLFGASQREKEALQSTVSTMEIKLAENEAAMSMLRENYEKQIWNEHAEQKKQARMFQEKEASLLDQLTLTKRTVTSLNEEVRREKELVEQLKQEIHRLKSSIAQAEDDKHVFEGKLREKLEALDSLQDKVNLLSQEVNSKEEAIRELSSSLSSKEEDYQKLQLIYNETEASLEYANSKIEQLEEDYSATKDDLNSKMSSIDSLNKEVQTLYTAKTGAEEKISELKKQYADLAASSEMRASCDSELLIEKDNLLNQLEEKLSAALSDTSKNKIIIAELNNELDTNRTMLDNEVEAHKKLSEILQSTEGALTDYRDKVFNLSEELNRVKISNQQLITQITKLTDESNITKQVLSNKIAEAEAVSKVLSDELASVRDVLQKTQEKLDITSNQLVSTMEAREDLNKELLDAYKKLESATDELVRERKINATLNRELEALVEQSIVESEARQALQADLDEVTNSQKEVDESTQFLSERLDSANSRISSIEEEKEMLSEALEQQKRSKMEAQKDMEDAQNLIKMLGTERENFEIRSKKLEEELATAKGEILRLRRQISASGYLRTELAETSVTSNTSQPEQDVNDPDQNSNNTDAGDTRSPTRIYRRRKTKRAT >ORGLA05G0048100.1 pep chromosome:AGI1.1:5:3721497:3722741:-1 gene:ORGLA05G0048100 transcript:ORGLA05G0048100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEVVLVAMVVVVVVSWVVAAGGEAAAAAAAVVVDPAWRFPSARLRDAYVALQTWKQTAIFSDPKNLTADWVGPAVCAYTGVFCAPLPGAGGAPGEVAVAGVDLNHGDIAGYLPAGLGLLTDLALLHLNSNRFCGLVPDALRRLRRLHELDLSNNRLVGAFPAAVLDLPALRFLDLRYNDFEGAVPRQLFDLPLDAIFLNHNRLRFALPDNFGNSPASVIVLAGNHFGGCLPASLGNMSATLNEILLINNGLDSCVPPEVGLLREVTVFDVSFNSLAGPLPPEVAGMRKVEQLDVAHNRLAGAVPEAVCDLPRLKNFTFSYNYFTGEPPSCARVVPAADGDRRNCLPNRPYQRTPRQCAAFYAAPPVDCAAFQCKPFVPSPPLLPPPPPAYPGPLPPVYPVPYASPPPPPLYR >ORGLA05G0048000.1 pep chromosome:AGI1.1:5:3700313:3701785:-1 gene:ORGLA05G0048000 transcript:ORGLA05G0048000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PSY2] MARRQQAHFLIVTYPSQGHVTPARHLARRLVHGAGARATVCVPVSAFRKMFPADDGEVVVEEEGGAVAYAAYSDGYDGGFDRAVDDHTRYMAQLSTVGARTVAGVLRRLRGEGRPVTCAVYTLLLPWVAGVARDHGVGAVAVFWIQPTTALAAYYHYFRGGRDAVVAAAASGDASAEVNLLPGLPPLRVRDIPSFLAITSDDDPFAFVLSEFAELIDTLERGGGGGGELPTYVLANTFDAMERDALASLRPHIDVVAVGPVLSFLHDADETKTASSPNDLFDHDGGGYLDWLGTKPARSVVYISFGSSSVMSKNQVAEIAAAMAESKKPFLWVIRKDNCKDDDDDNEAIKKFVAAAAAADTGGGGMAVEWCDQARVLSHASVGCFVTHCGWNSTVEAVACGVPVVAAPQYSDQGTSAWVVERIGVGVRAAARAGDGVVEAAELGRCVGAAMSEAVAGRAAAWKEEARAAVARGGASERNLSEFVRRFVPK >ORGLA05G0047900.1 pep chromosome:AGI1.1:5:3687692:3690344:1 gene:ORGLA05G0047900 transcript:ORGLA05G0047900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSRRGAAAAAEEARIGTSNVFAALDTLKRRKKPSSSSKKHAEKEKEVLWAPVPLTTRSWADVEDDDDDDYFATTAPPRPVWGTHHHAADAHDDDHDEQAALEQELESEDEEVDDDAEDEHEHETEDATPAEPAMNKAAAPPASPKDTERQLSKKELKKKELEELDAILAELELSSKSNNDAQNETNGKKGAKQAADGENKEGAPAPAESKSSKKKKAKKDKSAKEAKETQELNGGVEEAAGAEPDEEVASMDVKDRIKKVASMKKKKSSKEMDTAAKIAASEAAARSAKLAAAKKKEKSHYNQQPVR >ORGLA05G0047800.1 pep chromosome:AGI1.1:5:3639968:3641523:-1 gene:ORGLA05G0047800 transcript:ORGLA05G0047800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPDKAVERLSQKLVLPSSPTPSAPLRLSWLDRYPTQMALIESLHVFKPDPARDAAGQGLAPARAIETALARALVEYYPLAGRLSVSRDSGELQVDCCGGAGGHGGVWFIEAAVPCRLEDVDYLEYPLAISKDELLPHPRPRPTRDEEDKLILLVQVTTFACGGFVVGFRFSHAVADGPGAAQFMGAVGELARGGESITVAPSWGRDAVPDPAGAMVGALPEPAGASRLEYLAIDISADYINHFKSQFAAATGGARCSAFEVLIAKAWQSRTRAAAFDPSTPINLSFAMNARPLLLPRGGAGFYGNCYYIMRVASTAGRVATASVTDVVRMIREGKKRLPSEFARWAAGEMAGVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVTAFHDILIMKN >ORGLA05G0047700.1 pep chromosome:AGI1.1:5:3627471:3627755:1 gene:ORGLA05G0047700 transcript:ORGLA05G0047700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAIASASASICSVYRHLSATPADDNGDDNGARPLSSSEELLKSSSSSRRMTKPAELRRRCYAVLKQQRTRLYILRRCVSMLLCWHEHDLSD >ORGLA05G0047600.1 pep chromosome:AGI1.1:5:3617962:3619725:1 gene:ORGLA05G0047600 transcript:ORGLA05G0047600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPVESETRSEETDNFPLLADHMENTGHHAHAVDIPWDSSPSTSRRDNHNGFDQLPRILEGSPGTSTPSNSQNGPLARRDDNRGRRQPSPLNSGCWISVELVVNVSQIIAAICVLSVSRNEHPHSPLFEWVIGYTVGCTAMLPHLYWRYLHRNLPTTGQEPTVQNIPPNNTPEANSYGVTGTNGVSRNNEATVNPRFQAFADHFKMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISILGFREDLNQNRGASAETINALGTCKFKSKKTRDGDGNEVGVGVVAAGTNKERVISAEDAVCCICLARYVDNDDLRELPCAHFFHKDCVDKWLKINALCPLCKAEIDGVSTSAPAIGFGRRHSDNRVGNDIESQL >ORGLA05G0047500.1 pep chromosome:AGI1.1:5:3610986:3613528:1 gene:ORGLA05G0047500 transcript:ORGLA05G0047500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRP38 family protein [Source:Projected from Arabidopsis thaliana (AT5G37370) TAIR;Acc:AT5G37370] MEIQTSGKPIDLLMEKVLCMNIMSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKILWTWYEPYLKDDEEFSPGSNGRMTTMGVYVRDLILGQYYFDSLLPRVPLPVIRQVTSNLEKMKLPTKLSGITGESNRHGSEDTARRPPSVKASLSVSFGQRAPHRASTRESSPVRRTVTHDGHRKSSSPSRRSGSREVPDRDRSSRDRSSRDYDRSSHDRDRDHSSRDYDRSSHDRDRDRDRSSRDYDRSSRDRDHDRDIRDYHRRDRDSRDRDYRSRHSSERQDDRRDRDREGSRHRRSSSRHRSRSRSRSRSRSRSRSRNEERSSPFGNAGKEKTAAISSNLAKLKDLYGDVTEKKDDGEAPRRDSCAEEVIRLGGPRWR >ORGLA05G0047400.1 pep chromosome:AGI1.1:5:3607726:3608097:1 gene:ORGLA05G0047400 transcript:ORGLA05G0047400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGPVAIPIYEVEPLLLLFNLFTLLGAIGSRDSFVVDQPAIGLDKAVIAFSIISEIVTGKVALTQLNIETSIPVTEIESLVLFNVSSTIATPVTGRHSPISHWPPLPRSPAGHVGRKEKKE >ORGLA05G0047300.1 pep chromosome:AGI1.1:5:3604175:3605232:1 gene:ORGLA05G0047300 transcript:ORGLA05G0047300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENSLRKKKDVMVKTVKSIKPFEEDCEQLLKDARDAHQRTGCIVNVLAIHPITGERHEVIVDSTNDGDVSTLAEDHFTVRVVRKYLRLKGRLGEVTLRMLSEELVGAMRLADVEKLMFRIRAVRLAVLRRSKAARMSTAPELLPELAHLGSFFHAPAALATTQLAIFALEGLSHGPHRRHDVAEHDGAAIAGGDAG >ORGLA05G0047200.1 pep chromosome:AGI1.1:5:3587744:3590201:-1 gene:ORGLA05G0047200 transcript:ORGLA05G0047200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1PSX4] VGGDGAELDGVVGGVRARLRGHRPHPPPPGLLLLLLLVILLLLVAVGARPQARLRHRRRPRQPPRLHRHRVPPSPKARVVGWPPVRAFRKNALAASAVASSSKAKFVKVAVDGAPYLRKVDLEAYRGYDQLLAALQDKFFSHFTIRKLGNEEMKLVDAVSGNEYVPTYEDKDGDWMLVGDVPWKMFVETCQRLRLMKCSEAVNLGTVSSWSCMLFFIVATVTFCMVQQRIFGQNPKFSPFSHAAPRSA >ORGLA05G0047100.1 pep chromosome:AGI1.1:5:3580938:3581357:1 gene:ORGLA05G0047100 transcript:ORGLA05G0047100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNADKDPNRSPTGLGGPSDGSYIFVRGVTGGTWEYGEENARGGEGRAGAINYFLYIDARYFARVTSTSQRPLPLRSASSGNDL >ORGLA05G0047000.1 pep chromosome:AGI1.1:5:3571542:3574223:-1 gene:ORGLA05G0047000 transcript:ORGLA05G0047000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLGKISWKAPKSGGGGGGGSPTAKSPPSKGAGNGEPPAPPAAAAGGGGGAEGETREDVFLRKLNVCCVVFDFSSAAAAAAAERGRDSPERERKRQVLVSLVDCVGAAEEPLTEAMISGCVRMFAINLFRVFPPKVRSGAAGAAEADEDEPFFDPSWYHLQAVYELLLRFVMSPVVDVKIARKYMDNSFVSRLLDLFDSDDPRERECLKTVLHRIYGKFMGNRPFIRKAVSNIFYRFVFETDRHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALVPLHKPKTVGVYLPQLTYCIIQFIEKEPKLAGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELTEMAEFQKCMVPLFRRIAHCLNSSHFQVAERALFLWNNEHLFDLISQNRQTIMPIIYPALERNTRWHWNQSVLNVTMNVRKMFLEMDEGLLLTCQRNFQEEEEKRAASEERRKLIWENLERNASFRPVTGDIGFSVLPASAPLVAPTMT >ORGLA05G0046900.1 pep chromosome:AGI1.1:5:3566343:3569872:-1 gene:ORGLA05G0046900 transcript:ORGLA05G0046900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPPSAQEMTYKDHVQRRHEEKGCLYACLFTLCCCFCCYETCECCLETLCCCC >ORGLA05G0046800.1 pep chromosome:AGI1.1:5:3566076:3566252:-1 gene:ORGLA05G0046800 transcript:ORGLA05G0046800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLINYLSAVNLQCVVLMCLITFSFLCNGWKPGVFVCSSNKYSMQCFGLNKMFVEGLG >ORGLA05G0046700.1 pep chromosome:AGI1.1:5:3561426:3563291:-1 gene:ORGLA05G0046700 transcript:ORGLA05G0046700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMTSSSTSPTSPTSPLAAAADNGVAAAYFNFRGAERVPESHVWKGMHEKDTAPVAAADADGGDAVPVVDMSGGDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARGARRPGGGNTGYGVPPYLLRYPKQMWAEGYTFPPPAIRDEFRRVWPDAGDDYHRFCSAMEEYDSSMRALGERLLAMFFKALGLAGNDAPGGETERKIRETLTSTIHLNMFPRCPDPDRVVGLAAHTDSGFFTFILQSPVPGLQLLRHRPDRWVTVPGTPGALIVVVGDLFHVLTNGRFHSVFHRAVVNRERDRISMPYFLGPPADMKVTPLVAAGSPESKAVYQAVTWPEYMAVRDKLFGTNISALSMIRVAKEEDKES >ORGLA05G0046600.1 pep chromosome:AGI1.1:5:3553495:3554100:1 gene:ORGLA05G0046600 transcript:ORGLA05G0046600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSPSPSPPHGAAAVKQPASSPDARLTPSSVVAAAAVGIGVTPPRRSCDDAASCVVNDVDAFARTIASIRSKPASAAAAAASSSSDGGGDHLATVLAHYAARWLPDVASSPSGRFLLPPQSPTATWIRKRLLLESLVAALPPDGGDGDDGGGGVTCDFLLRLLRAGSMAGADAALLADLEARAARRLDQASLGAVMIPAF >ORGLA05G0046500.1 pep chromosome:AGI1.1:5:3544449:3545857:1 gene:ORGLA05G0046500 transcript:ORGLA05G0046500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVFLQLEEIALGLEASKRPFLWVIKSDNMPSETDKLFLPEGFEERTRGRGLIIQGWAPQALILSHPSVGGFVTHCGWNSKIEGVSAGLPMITWPHCAEQFLNEELIMNALKVGLAVGVQSITNRTMKAHEISVVKRDQIERAVVELMGDETGAEERRARAKELKEKARKAIDEGSSYNNIVLKNLRRCILRPLSKEKVGKIVGRKGTWKGNQG >ORGLA05G0046400.1 pep chromosome:AGI1.1:5:3543404:3544332:1 gene:ORGLA05G0046400 transcript:ORGLA05G0046400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLDDAPKPHFVLIPFMAQGHTIPMIDMAHLLAKHGAMVSFITTPVNAARIQSTIDRARELNIPIRFVPLRLPCAEVGLLDGCENVDEILEKDQVMKMTDAYGMLHKPLVLYLQEQSVPPSCIVSDLCQPWTGDVARELGIPRLMFNGFCAFASLCRYLIHQDKVFENVPDGDELVILPGFPHHLEVSKARSPGNFNSPGFEKFRAKILDEERRADSVVTNSFYELEPLYVDSYQKMIGKRVWTIGPMFLCNT >ORGLA05G0046300.1 pep chromosome:AGI1.1:5:3534475:3536097:-1 gene:ORGLA05G0046300 transcript:ORGLA05G0046300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PSW5] MALSSPSSPPIKSRKPASSEDSVAMAAAPLHFVLVPLPAQGHVIPMMDMARLIAGHGGGGARVTVVLTPVMAARHRAAVAHAARSGLAVDVSVLEFPGPALGLAAGCESYDMVADMSQFKTFTDAVWRLAAPLEAFLRALPRRPDCVVADSCSPWTAGVARRLGVPRLVFHGLSALYILAVHNLARHGVYDRVAGDLEPFDVPDLPAPRAVTTNRASSLGLFHWPGLESHRQDTLDAEATADGLVFNTCAAFEDAFVRRYAEVLGGGARNVWAVCPLCLLDADAEATAARGNRAAVDAARVVSWLDARPPASVLYVSFGSIARLNPPQAAELAAGLEASHRPFIWVTKDTDADAAAAAGLDARVVADRGLVIRGWAPQVTILSHPAVGGFLTHCGWNSTVESLSHGVPLLTWPLFGDQFLNECLAVDVLGAGVKVPVTHVDAVNSPVQVRSGEVASAVEELMGDGAAAAARRGRARELAAEARAAMADGGSSARDLADMVWHVARRRDMVVVDPPPPPSPGGIAGGHGKMVSPSVASEVA >ORGLA05G0046200.1 pep chromosome:AGI1.1:5:3529513:3530685:-1 gene:ORGLA05G0046200 transcript:ORGLA05G0046200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDSRDGRRGWIPPPAEKLTDDLLVEILWRVPYKSLCRSKCVSRRWRRVISHPDHRHLLPRYHLGDAIVGFFYSDTFTNVTGEGRPFVDPSLPFLPKCEFLNVLDSCNGLLLCRCWRLADPRRFDYLVVNPATEQWVILPDSGWSDKVQTARLGFDPVVSSSHFHVFEFVEDGAGDADGNVDDDDDFDGHVKGVEIYSSVTGEWSHKDNGWDWEIRIRDEWNSVFFDGVLHLITLEYVVAAVDVEGNAWRTIPMPQSLVEPFDGIGEGFIGLSQGSLYFVNTDHDEPYKVSVWVLEDYSSEQWIWKHTVSHLHLFRTKRLLFGHDYKVVSIHPEGNIIFLVLPHSKILMSYEMESREVCFICGIGGSSDWLLYLPYVPLYSESLADGH >ORGLA05G0046100.1 pep chromosome:AGI1.1:5:3521660:3522832:1 gene:ORGLA05G0046100 transcript:ORGLA05G0046100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADSRDGRRGWIPAPAEKLTDDLLVEILSRVPYKSLCRSKCVSTRWRRVISHPDQRRRLPRYHLGNDIVGYFYKSNTFTNVTGEGRPFVDPSLPFLPKCEFLNVVDSCNGLLLCRCWRLADPRRFDYLVVNPATEHWVILPDSGWSDKVQTARLGFDPTVSSSHFHVFEFVEDGAADVDGNVDCDDYDGHVKGVEIYSSVTGEWSHKDNGWNWEIRLRDESNSVFFDGVLHLITIEDVVAAVDVEGNTWRTIPMPQSLVEPFNGIGEGFIGLSQGSLYFVNSDHDKPYKVSVWVLEDYSSEQWIWKHIVSHLHLFQTKRLLFGHDYKVVSIHPERNIIFLVWPHSKMLMSYELDSREVHFICGIGGCEWVMYYLPYVPLYSESLADGH >ORGLA05G0046000.1 pep chromosome:AGI1.1:5:3518752:3520114:1 gene:ORGLA05G0046000 transcript:ORGLA05G0046000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPSLLLRRLCVRHRRRPKPQALAAAISNGSTSAMAEELTDDLLVEILSRVPYKSLCRLKCVSMRWRRVISHPDHRRQLPRHHLQPLAGFLYADYRVLNRFQIVPALDPPPLIDPSFSFLPKCDDLQLVDSCNGLLLCRCWNQLNEHRTFNYVVCSPTAKKFVVFPDSTCSKKVRPFLGFDPAVSSHFHVFEVVEDWDYYDCDDDDLECDGVEDVVGLRIYSSETGVWSDEIDNGWSNRIRIRRDQKGGSKSVFFNGMLHLVAIQPVVAVVDVEGKNWRTIPLAHKDGSPLCGAHPPCASGPEGFIALSRGLLHFASTDSYGDWEISVWVLDDYYGGQWTLQHTVSTMRPFERMMRRRMNPDDCTLVWIEGRKMFFMFYDYVHCILTSYEMDSGEVRCLSRPRSYCEPPYLPYIPLFLESLADGP >ORGLA05G0045900.1 pep chromosome:AGI1.1:5:3509175:3515846:-1 gene:ORGLA05G0045900 transcript:ORGLA05G0045900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTVKAPFEGVVNDFKGRLSCYKQDWIDGSRTGFRILAPTLYIFFASALPVVAFGEQLSNDTDGALTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYIYNFAKNHPNLGERLFLPWAGWVCIWTAFMLFLMAMFNAAVVINRFTRFAGELFGMLITILFMQEAVKGMLGEFSVPEGKDHSLPIYQFQWAYVNGLLGIIFSMGLLYTAIRSRSARSSLYGTGWQRSFIADYGVPLMVVVWTALSYSLPSKIPSGVPRRLFTPLPWEPKSLQHWTVAKDLFSVPPPYIFLAIVPAVMVAGLYFFDHSVASQLAQQKEFNLKNPSAYHYDILVLSFMVLICGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTAKEGMMNNASSSEVYGKMQEVFIKMDDKSNAKSVRKELKELKDAVIPEGNGAGRVSEVFDPEKHIEAYLPVRVNEQRVSNLLQSLLIAGCVGVMPIIQKIPTSVLWGYFAYMSIDSVPGNQFWERTQLLFISPQRRYKLLEGAHASFMESVPIKKISAFTIFQLVYLLIVWGMTWIPVAGILFPLLFFFLIVIRQYILPKFFDPRHLWELDAAEYEELEGVRRDPSTDEDASVSRCSDASPEYASEILDEFTTNRGELKHRTKSFRDERLIQLNSVKMTRELSRIPTFTPPRS >ORGLA05G0045800.1 pep chromosome:AGI1.1:5:3502120:3502476:-1 gene:ORGLA05G0045800 transcript:ORGLA05G0045800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSYASTVSFSARPSAASAVRPCAAAGRARARAAAGESGKWWAPLLGWSGKADYIEAPAPAVVATAEESEARRRPFVGGLTEEKARELRARMVETESFHDAMYHSAIASRLARSA >ORGLA05G0045700.1 pep chromosome:AGI1.1:5:3497646:3499800:1 gene:ORGLA05G0045700 transcript:ORGLA05G0045700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSSSSSTQFDDAAARRQQQLQQQRKPPLLMLLPLIYAPVLPLIRIGLRHNPVWRDRLFYGVLAGAFAHGTYLISELYDVESK >ORGLA05G0045600.1 pep chromosome:AGI1.1:5:3493528:3497358:-1 gene:ORGLA05G0045600 transcript:ORGLA05G0045600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: mitochondrial proton-transporting ATP synthase complex assembly; LOCATED IN: mitochondrial inner membrane; EXPRESSED IN: 18 plant structures; EXPRESSED DURING: 7 growth stages; CONTAINS InterPro /.../N/s: ATPase assembly factor ATP10, mitochondria (InterPro:IPR007849); Has 168 Blast hits to 168 proteins in 86 species: Archae - 6; Bacteria - 0; Metazoa - 2; Fungi - 107; Plants - 30; Viruses - 0; Other Eukaryotes - 23 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G08220) TAIR;Acc:AT1G08220] MMRARWAAGMLRRASSGEASLAARAVFARGFLGLNMKASKETIEKKEKDKARLMDEMSRGYFADITEIRKNGGKLATANKIIIPEIAAVKFPDLSVKSPDGRTVNLPLVAPPRNDDPQTGNTVDTQNDDCKAGDMVVPDASLVCLSFRASSQKMAETWSLPFLDAFSSAGNIQVYEVSFIDSWLLSSSPVRQVFLKVMTKSNNPQRHAVYAFGDHYYFRKKLHILNLLTGYIYLVDRLGRVRWQGFGSATQEELSSLTACTSILLDGK >ORGLA05G0045500.1 pep chromosome:AGI1.1:5:3489679:3492079:-1 gene:ORGLA05G0045500 transcript:ORGLA05G0045500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGEEDPRWRRCNTDCVYFLASPFTCTKGSKCEYRHADGARFNRRNCWYWFKGNCVNPSCTFRHPPLENLNKTKSLADPLSLCSTSVKAANPCYFYYNLHCSKGDNCPYLHEPLTSNDAVGTSCKATTSNPAVSKSYVGDEMVEESKDTITNPCQDTSCHIKEVPVSINPEFGEAEAVSGALETSTDIDEYMKCSAVSDLNSGDSTMDHTEQDERDSSPGFDVLVDDCLSNKSDLEHQLTTESDNKVLHAEYGIRDPVLYDMYYHDPEYYNYEPEFCGLDDRQGYLYLCQPNGAHEHESEITLGHLLPQNTEVTSDEFDRRFFNPRNFTSSVADTNFVHQHTQIRHISKRRPENRKGAKGKKDCIKRSRCLEPKNSTQQIESMPTRQRKDYLMGECPQPANHATFRGRRKKNRGKQQHVLSAKSSEHPTADFTGPKTLAQIKEEKCKSNSSFSHSTACTPNVRSFSDDFEGPKSLTELLMTKSRSSVGK >ORGLA05G0045400.1 pep chromosome:AGI1.1:5:3487183:3487470:-1 gene:ORGLA05G0045400 transcript:ORGLA05G0045400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDQNQSDQRATGDAEAGSLESKELRHSRSVGSSIKVQRRCSDSVERSRSGNQAFRTRHVPPAMDPPSPKVSRCLFCGIFSKEEPSQPPKPRRY >ORGLA05G0045300.1 pep chromosome:AGI1.1:5:3483168:3483746:1 gene:ORGLA05G0045300 transcript:ORGLA05G0045300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTRHASTAPSCTGVGVMWWFTLLPSVVCVLPSVVFATAGVGRRGCLRWGCKSPGQSSSLGEDGAADELKEQLQGALQENGQLKRELQQYTSEKKASAKTTDAADAAAEQAEHWRKDTETAMAAAAV >ORGLA05G0045200.1 pep chromosome:AGI1.1:5:3473763:3479185:-1 gene:ORGLA05G0045200 transcript:ORGLA05G0045200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:I1PSV4] MAANAGMVAGSRNRNEFVMIRPDGDAPPPAKPGKSVNGQVCQICGDTVGVSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRHKGSPRVQGDEEEEDVDDLDNEFNYKHGNGKGPEWQIQRQGEDVDLSSSSRHEQHRIPRLTSGQQISGEIPDASPDRHSIRSGTSSYVDPSVPVPVRIVDPSKDLNSYGINSVDWQERVASWRNKQDKNMMQVANKYPEARGGDMEGTGSNGEDMQMVDDARLPLSRIVPIPSNQLNLYRIVIILRLIILMFFFQYRVTHPVRDAYGLWLVSVICEIWFALSWLLDQFPKWYPINRETYLDRLALRYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIVVKSCCGGRKKKSKSYMDSKNRMMKRTESSAPIFNMEDIEEGIEGYEDERSVLMSQKRLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKAVALGQCGVNC >ORGLA05G0045100.1 pep chromosome:AGI1.1:5:3468231:3471094:1 gene:ORGLA05G0045100 transcript:ORGLA05G0045100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVFASGRGFGRGGGGRGDGGGRGGGGRGFGRGGDSGGRGGRGGRGGRTPRGRGGGRGGGGRGGMKGGSKVVVVPHKHDGVFIAKAKEDALCTKNMVPGESVYGEKRISVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGGASGTTVSHVSDIVGPTGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPARYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFASEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKQKGTS >ORGLA05G0045000.1 pep chromosome:AGI1.1:5:3466546:3467395:-1 gene:ORGLA05G0045000 transcript:ORGLA05G0045000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRSKRVCTRWRRVISDPDLRRLLPWYHLHDAVVGFFDYRSFISFSGRGSFVGPSLPFLPDCGDLQLLDICNGLLCRRQRLSDPQRFDYLVGVWSHKDNGWGCIIRTLDIWRSVFFKGMLRLITMDDVVAVVDGEGNTWRTIPMPETFVDPYYGVDDGFIDVSQDCLCFVNTDRDDLYKLSVWVLEDYSSDQWTLKHTCQPSTSIWNKQTTFWI >ORGLA05G0044900.1 pep chromosome:AGI1.1:5:3441834:3443132:-1 gene:ORGLA05G0044900 transcript:ORGLA05G0044900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKKEKGSGGRAHRRPHRGDPLPPPGSRPSRENESRFPMEARHFINVSGRGRPLVYPSFSFLPRFESIRMVDSCGGLLLCRCFESSDASRYVVCNPTTEELVALPESSYDAEGGGEEEMCARLGFDPDVSSQFHVFQFVTDARLWLVLRSTLRKSENGITTLKHKVTIELLSGKISCKYQTMFYRGVAVHLDCNLVYYIADYILMSYDMDRKEPRVIQDLGSDCMMEYLPYVPLYAKTLSSGR >ORGLA05G0044800.1 pep chromosome:AGI1.1:5:3439262:3440405:-1 gene:ORGLA05G0044800 transcript:ORGLA05G0044800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQGAWMGGVAIRRASAGRRWWAQTCGGRMAIAAGALLLYLSVAFFSSSVAKLRPFKSGQRKKLRKW >ORGLA05G0044700.1 pep chromosome:AGI1.1:5:3434782:3437917:-1 gene:ORGLA05G0044700 transcript:ORGLA05G0044700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKERMRHIKRAIDSRDALSASHLSYTQSLRSVGTALRRYAESEISTESSLSISEADKSPSHSSMASPSPSQALESTGSPVHRGSQLTPPSTKIHYMKAAGTKPLTITIDPSAADFVGQESLVSTFVPPPPPLPPELCTSWDFFDSNYASGSATSNNENGVTLNFSRLKGLRDSRESEAVSLREEATNRSDGMHPELPGDNAAPKQEAQAKKSGMSKPSGSVEVTTEAATSGQVGEKVEEDDMEKELCTEAEDPSEFITHRAKDFVSSMKDIEIRFMRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPTARFVSALRVCFNRENILNQETAQHVSKVVTWKRSVSSLSSSSKSPLTAAMITDDVGDSNSDFVEQFAMVSGSHSSTLDRLHAWERKLHDEIKASEHVRKTYDEKCNLLRHQFARGLNAQLIDKTRAIVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKTMLECHHKQFITISLAYHVKSATTVQQGEHHHRAATHLWNELDCFSSSFKIWVTAHKSYVESLNAWLQKCVLQPAQDRRRRKRKVSFPPRHALSPPIFVLCRDWLTMMESQSLPTDELCKSIKEVVQLLRGSFDHQADHQNKMTTESHLRNKSQECGMLENNEQEVSGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELKQNYEMARDDYKMGRSNAHLV >ORGLA05G0044600.1 pep chromosome:AGI1.1:5:3430013:3431129:-1 gene:ORGLA05G0044600 transcript:ORGLA05G0044600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLFFXSEWRXGEMRRECRAAGLLXARRLAGPPAKWXARAGFFAGRPLAALAVGVLHLGEIVEDVFALVSCFIGQSQGKLCYLSAYDTVPWNLSVWVLEDYSKDEWTLKHKLTTEQLSEKINCKCKTDFDKAWYYHVVAVHPECNLIYYIAGEDTLVSYDMGHKQSCVIENLGPGNNSGYLPYVPLYSEILSHGR >ORGLA05G0044500.1 pep chromosome:AGI1.1:5:3425665:3427807:-1 gene:ORGLA05G0044500 transcript:ORGLA05G0044500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKEEEEGSSATRNPAAELTDDLIVDILSRLPVKSVCRCKCVSRRWRGLISDPDHRKKLPQTLAGFFYSSENESSFPDEALHLGGXAEAEQQQCILRRNRRTLGCSQTSSQEGESGESIICEDEYFAAAXYGHMASCXTEGCGGRQGEGYLLV >ORGLA05G0044400.1 pep chromosome:AGI1.1:5:3418115:3422036:1 gene:ORGLA05G0044400 transcript:ORGLA05G0044400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTAAVAAAKGSRGSGLPLASLNHISIVCRSLQESLTFYTDVLGFFPVRRPGSFDFDGAWLFNYGIGIHLLQAEDPDSLPGKTEINPKDNHISFQCESMVAVERRLKELGIPYIQRCVEEGGIYVDQIFFHDPDGFMIEICNCDNLPVVPLGADQPLVMAACKRAAVIKQQQASSSPATAAAAQCAVPSSTKAIHVNEEAHISCA >ORGLA05G0044300.1 pep chromosome:AGI1.1:5:3412652:3413445:1 gene:ORGLA05G0044300 transcript:ORGLA05G0044300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYTASASSRRMGKPALPLIQCPQCELKTIVRRKAKMSENYGCIFYTCPSHQRDGTGCDFWYWEEYYEQYLIKRGYLQACSRSRGKRQVIDLHGEGEGVGGRQVAEQIEDKQLLTESQKNELTESHHNSEITTGDRTYITR >ORGLA05G0044200.1 pep chromosome:AGI1.1:5:3400921:3401995:-1 gene:ORGLA05G0044200 transcript:ORGLA05G0044200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEAGAFQIRSAGDRQWQRRCGRAPLCLDDGRDNDSGSGRECGGAVGGCEGSRTSVGDGMTATVAMFVRRNLLGDVGVESSSFLGDYLGENCPAPGTGDGDTLGVVTSLEVSFEGPFPLISNLDDLSSYAGAFGMAMLAGLRRGEWMHPSLSPSPSPT >ORGLA05G0044100.1 pep chromosome:AGI1.1:5:3386768:3389538:1 gene:ORGLA05G0044100 transcript:ORGLA05G0044100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSCGGGARLQCAAADWGGCFLAMPPAAAAAPSGGDTDGGFNLAWTLHQSFHPASGLFASVGVGFPATSSSSPSPPPDAPGDPYAKYVSPEIEHHALPGQSVEVELMEKGNKKNKKKDKAFKLKIKVGNPHLKRLISGGIAGAVSRTAVAPLETIRTHLMVGSNGNSTTEVFHSIMKHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTANKFLTPKSGEQKKVPLPPSLVAGAFAGVSSTLCTYPLELIKTRLTIQRGVYDNFLHALVKIVREEGPTELYRGLTPSLIGVVPYAATNYFAYDTLKKAYKKMFKTNEIGNVPTLLIGSAAGAISSTATFPLEVARKHMQVGAVGGRKVYKNMLHALLSILEDEGVGGLYRGLGPSCMKLVPAAGISFMCYEACKKVLTEEEDD >ORGLA05G0044000.1 pep chromosome:AGI1.1:5:3382267:3382910:1 gene:ORGLA05G0044000 transcript:ORGLA05G0044000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMAPSSFAAAARHLPLLLLLLLLAAAVLLLHPCHAATEDAAARRECTYTIRVKTSCGSPARTSDAVSVAFGDAYRNEAYGARLPAGGASGALERCAVDTFRVGGQCGYGVCYLYLRRAGRDGWAPEWVQVFEPGAAAGEKPSTFYFGSPLPDGVWYGHNRCPKASPAMAARRTNTSASPLG >ORGLA05G0043900.1 pep chromosome:AGI1.1:5:3374343:3377971:-1 gene:ORGLA05G0043900 transcript:ORGLA05G0043900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:I1PSU1] MAKILLHGTMHVTIFEAESLSNPSRPSSQAPQFLRKLVEGIEDTVGVGKGTSKVYATIGLDKARVGRTRTLADDTAAPRWYESFHVYCAHLATHVAFTLKAKNPIGASLLGVGYLPVRDVLAGDEVERWLPLCDDTDARTPIGDGDGKVHVKLQYFDISKDRSWGRGVRSGKYPGVPYTFFSQRQGCKVTLYQDAHVPDGFIPRIPLDGGRSYEPHRCWEDIFDAINGARHFVYVTGWSVYTEIALIRDADRPKPGGGVTLGELLKKKAGEGVRVLMLVWDDRTSVGMLKKDGLMATHDEETMNYFQGTEVNCVLCPRNPDDSGSIVQDLQISTMFTHHQKIVVVDHDMPSSRHGGGGGRRRVVSFVGGLDLCDGRYDTPFHSLFRTLGTAHHDDFHQPNFATATVAKGGPREPWHDIHCRLEGPVAWDVLYNFEQRWRKQGGKDLLVQLRDLAETVIPPSPAMFPEDAESWNVQLFRSIDGGAAFGFPDTPEDAARAGLVSGKDQIIDRSIQDAYIAAIRRARSFIYIENQYFLGSSYCWKPNDGVKPEDVGALHLIPKELSMKVVSKIEAGERFTVYVVVPMWPEGIPESGSVQAILDWQRRTMEMMYTDIAHAIQAKGIDADPKDYLTFFCLGNREAKSAGEYEPPEQAEPDTGYFHAQQNRRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPHHLAAAGRPARGQVHGFRMALWYEHLGTVDDAFQRPESLDCVRKVNAMADRCWDLYAGDGPERDLPGHLLTYPVGVAGDGTITQLPGVEFFPDTQARILGAKSDYLPPILTT >ORGLA05G0043800.1 pep chromosome:AGI1.1:5:3370718:3372997:1 gene:ORGLA05G0043800 transcript:ORGLA05G0043800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAAATSRAWPASPRRRRHVASCSSPPPTTTTATTTTSSLNRCPVAGAGAPVLPLGIHGGRMLLASPLLWNSGAAARKTAVATAAAASPPAEGGGKADGGAVAGGISRTVQLGAMILVWYLLNIYFNIFNKLVLKSVPFPYTITTFQFASGSFFITLMWLLNLHPKPRLSLGQYAKILPLALVHTMGNVFTNMSLGKVAVSFTHTIKAMEPFFSVLLSVLFLGETPSFLVLGSLVPIVGGVVLASMTEVSFNWIGFWSAMASNLTNQSRNVFSKKLLADKEETLDDINLFSIMTVMSFLLSAPLMLSVEGIKFSPSYLQSNGVNLQELCMKAALAGTCFHFYQQVSYSLLARVSPVTHSVANCVKRVVVIVSSVLFFRTPISPINALGTGVALAGVFLYSRFKKAKPKAKTA >ORGLA05G0043700.1 pep chromosome:AGI1.1:5:3368085:3369917:-1 gene:ORGLA05G0043700 transcript:ORGLA05G0043700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:I1PST9] MGGCVGKGRRHIEEDKLDFKGGNVHVITSKEDWDRKIEEANKDGKIVVANFSASWCGPCRVIAPIYAEMSKTYPQLMFLTIDVDDLMDFSSSWDLRATPTFFFIKNEKQVDKLVGANKPELEKKVQALADGS >ORGLA05G0043600.1 pep chromosome:AGI1.1:5:3362065:3364116:-1 gene:ORGLA05G0043600 transcript:ORGLA05G0043600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMQKTQSRKSSSWWWDSHISQKSSKWLSDNLEVMETQIKETLELIEEGETSAEKAGVLITHVQNFQQMYRVLAERYGNVTGELRKNIPSSLQSSVSFGISESDSEAQSPSSPERDLQEKMSQKQKPRSDCFDVSIGSGMSSDISKKGSDGSSSSSESDLELDEAKEENGNSIFYALSQKIIELEDELHEVRGKFDASEEKNMRCHCNFGANSELSEHEEKQQVSDVETSSLQKDLDEVKSAKEALEAVVLVNKDEIDRLKESMVSAAKQFEVELAHRDTEIDKYKQELEVLSEKYLHDISALEAEIGKLQGVIKNFEDDIAKISQEKLLLESRVEELEQSVNSSNYSVSEMVKLQELMKDTQAELEQVSQEKEVLRERVLEFEQLFRDFENSGMEVAKLPETIKNLGAQIEGTLQEKSVLQDRIKELEQVVHDSLQNHSLEKSSLSAELSKLSEANASLEAKLASVEAELKQVYDEKANESLNSEKEISRLNQELANVKTDLELLLSEKPLVDNKLTTLLTDITTRDETMKQMDDQLNQLQLEHSKLMAQANLARKSLSELHARVCELEKEVEMQKLVISESAEGKREAIRQLCFSLEHYRSGYQELRQLLHDQKRPLVMAT >ORGLA05G0043500.1 pep chromosome:AGI1.1:5:3350329:3353053:-1 gene:ORGLA05G0043500 transcript:ORGLA05G0043500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALWMKFFEHKPFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRSIQLSLSVLLFGVGVATVTDLQLNAVGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQSLTLFLIGPFLDGFLTNQNVFAFDYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVVGMVLYSYFCTLEGQQKNAEVSPQQAKEGDSAPLISDSLSKVENGGGVVDDEPLKVPMWSSKYSRA >ORGLA05G0043400.1 pep chromosome:AGI1.1:5:3339166:3341136:-1 gene:ORGLA05G0043400 transcript:ORGLA05G0043400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:I1PST6] MLAKMQSRRWILAYLLVVLVSLHGGANGFYLPGTFMHTYTPNEVISAKVNSLTSIETELPFSYYSLPYCKPPEGVKKSAENLGEILMGDQIDNSPYRFRVNVNESVYLCTTDPLTKEQAELLKKRARDLYQVNMVLDNLPVMRFTEQNGVTIQWTGFPVGYNPMGSNEDYIINHLRFKVLVHQYQAQGDVVITSEDGVAMVESDRKSGFQIVGFEVVPCSVRRDPEAMSKLKMYDKVDSVKCPLELEKSQAIRENERITFTYDVEYVKSNIKWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVIFLRTVRRDLTRYEEMDKEAQAQMNEELSGWKLVVGDVFREPCCSKLLCVMVADGIQITGMAVVTIVFAALGFLSPASRGMLLTGMIILYLFLGIIAGYVGVRVWRTIKGTSEGWKSVAWLTSCFFPGIVFVILTVLNSILWGKKSTGALPISLFFTLLALWFCISVPLTLIGGLLGTRAASIEYPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLVIVCGEVSLVLTYMHLCVEDWKWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID >ORGLA05G0043300.1 pep chromosome:AGI1.1:5:3334484:3337153:1 gene:ORGLA05G0043300 transcript:ORGLA05G0043300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSPAAAHHHRLLLLLLYSSALLIPLASAYRPGDIVPMLRSGQYHGSRSVWFDVVGRHCPSFAVNHEVMMPIPKPTGFTGADPYKITFQIGHEKFHLPWLYVINRKSSEVPMIDFHLKYSGNDLLGVTAKVVDMPHIYVEHHPDIRKNFWDQQNWPKYVLVRYTWEEQSEIDVPGGFYVLFGSGLVLSFILAIYVLQSSQEKLTRFVREAVNDSSLPEGGFAKVE >ORGLA05G0043200.1 pep chromosome:AGI1.1:5:3328244:3331962:-1 gene:ORGLA05G0043200 transcript:ORGLA05G0043200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10970) TAIR;Acc:AT3G10970] MESCSFRTAASPSPFPSLPSSSRQAPLCSSLRFPRPRNGRMVGVRRRASGFEAFPPLPGKVFVEEEIGAEYGQGFETFRMDGPLKVDVDYLNEKLQECFLQRIRHAMKPDEACGLIFSWDNVIADTDSLKLDAWRQLALEEGKDIPNAGHLQKSILHGAADHVLRKVLYWAKDESQMERLKARLIELYYENLFKLDTPVNGLREWLDAVQTAGIPCAVASSLDRRCMIEALDRMELSKYFKAIVTDEDDMESIAHRFLSAAMKLDRKPSKCVVFEDDPRGVTAAHNCTMMAVALIGAHPAYELVQADLAIAKYSELSVINLRRLFAHKGLSFMDLQKQIIERSPPKRKLTVDTIF >ORGLA05G0043100.1 pep chromosome:AGI1.1:5:3325016:3325890:-1 gene:ORGLA05G0043100 transcript:ORGLA05G0043100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRIRRDVEIESVADDSANSVQNIRLVIDIGDRTIQVPLNGHTVAQNIGRQAAAAVAGDSSAGGVSEKAGGGGEEWLQEQDGLLCMRGWLMAVATLFAAMAFQAALQPPGWMPRPRDWFAALLAADPAAAAVTRDQAGKAMLYLIVNTCTFATSLAVLLMLLAVGGGGGGCASRRVTARLIANMMTAVALFAAATFALCAADDYRLMAFVGTVVAVYAAVTVVFVRCNLALPFRRGGGHGCYSWVLRL >ORGLA05G0043000.1 pep chromosome:AGI1.1:5:3282515:3284680:-1 gene:ORGLA05G0043000 transcript:ORGLA05G0043000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TASSRSASSSQATVSKSGNIISPNWDKPVTEHQLNLTQLKLSRDGNLVISNNTTGSILWSTDIVNRTSSATTMNNTTSVVLSNDGNLVVGSSSDVLWQSFDNPSDVLLPGAKFGWNKLTGFTRQIISKKNLIDLGLGLYRVELGNISGQVEINIWSQLTQSLQKVYAQPADPCIAFGTCGPFTICNGISRPFCDCMESFSRKSPQDWELDDRTAGCMRNTQLNCGNMTSSTDVFHAIARVRLPYNPQSVDNATTQSKCVEACLSHCSCNAYSYERSRCSIWHGDLLSVNMNDGIDNNSEDILYLRLAAKDLPGSAKNRTKLNVGVVTAATITSFGLLGGGGFGSVFKGVLNDSTTVAVKKLDGASQGEKQFRAESSETVLNWTTRYNIVIGVARGLSYLHQSCHNCIIHCDIKPENILLNASFVPKIVDFGMATFVGRDFSRVLTTFRGTIGYLALEWISGVAITPKVDVYSFGMVLLEILSGRRNTSRVYIANSNLVSYFPVHAITKLHEGDVRSLVDPKLHGDFSLEEAERVCKVACWCIQDNE >ORGLA05G0042900.1 pep chromosome:AGI1.1:5:3271597:3272052:-1 gene:ORGLA05G0042900 transcript:ORGLA05G0042900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGWCGLSTGTGGGRRGGRCTPLGGGASFMRKRLRRTWGEMSPATSGGVVADSGRACGGGVGELGASGAGVSSTAAAGWQGEPVLGGMSSTAGADDVLHKRGGKLVELEQLPQLLAARALRPAGAGAFPFPSSFPFPSMALLPPPASPPP >ORGLA05G0042800.1 pep chromosome:AGI1.1:5:3267278:3269692:-1 gene:ORGLA05G0042800 transcript:ORGLA05G0042800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PST0] MTPHQLYIFLGLLLFSLHGAPPCSAAVNDTLTAGESLAVRDKLVSRNGKFTLGFFQPSFVTNYGNITSPNWYVGIWFSNISAFTTVWVANRDNPVTDLQLNQTRLELSKDSDLVISSNASIIWSSATVANTTTATTMNTTSVILANNGNLMIIGSSPTSNVSWQSFDHPADVMLPGAKFGWNKVTGATIKYVSKKNLIDPGLGLYYFQLDNTGIVLARSNPAKTYWSWSSQQSSKAISLLNQMMSINPQTRGRINMTYVDNNEEEYYAYILSDESLYVYGVLDISGQLIINVWSQDTRTWQQVYTQPVSPCTAYATCGPFTICKGLANPVCSCMESFSQKSPQDWEVGNRTAGCFRNTPLDCGNTTSSTDVFQAIARVQLPSNTPQSVDNATTQSKCAQSCLSYCSCNAYSYENNRCSIWHGDLLSVNSNDGIDNSSEDVLYLRLSTKDVPSSRKNNRKTIVGVIVAACIVCFLVMLMLILLILKKKLLHASQLGGGIVAFRYSDLCHATKNFSEKLGGGGFGSVFKGVLSDSTIIAVKKLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCKGDKRLLVYEHMENGSLDAHLFQSKAIVLNWTTRYNLATGVARGLSYLHHSCKECIIHCDIKPENILLDASFTPKIADFGMAAFVGRNFSRVLTTFRGTIGYLAPEWISGVAITPKVDVYSFGMVLLEILSGKRNSHEVCTDDNNSNQVAFFPVTVISKLLVGDVQSLVDPELNGDFSLEEAERLCKVACWCIQDNEVNRPTMSEVVRVLEGLHNFDMPPMPRLLAALAV >ORGLA05G0042700.1 pep chromosome:AGI1.1:5:3263774:3263899:-1 gene:ORGLA05G0042700 transcript:ORGLA05G0042700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVGKGRGKAAAVGMGAERRRWGMGAERQRWGRSAVRRRR >ORGLA05G0042600.1 pep chromosome:AGI1.1:5:3257272:3259683:-1 gene:ORGLA05G0042600 transcript:ORGLA05G0042600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PSS8] MIPQIYIFLGLLLFSLHGAPPCSAAAVNDTLLAGESIAVSDKLMSRNGKFTLGFFQPSVVSMSGNITSPNWYVGIWFSNISEFTTVWVANRDNPVTDLQLNQTRLKLSKNGNLVISSNASTIWSSATVANTTTATTMNTTSVVLANNGNLMIIGSSSTSNVSWQSFEHPADVMLPGAKFGWNKATGATIKYFSKKNLIDPGLGLYFFQLDNTGIVLARSNPAKTYWSWSSQQSSKAISLLNQLMSINPQTRGRINMTYVDNNEEEYYAYILLDESLNVYGVLDISGQLIINVWSQDTRSWQQVYTQPISPCTAYATCGPFTICNSLAHPVCNCMESFSQTSPEDWEVGNRTAGCSRNTPLDCGNMTSSTDVFQAIARVQLPSNTPQRVDNATTQSKCAQACLSYCSCNAYSYENNICSIWHGDLLSVNSNDGIDNSSEEVLYLRLSAKDVPSSRKNNRKTIVGVIIATCIASFLVMLMLILLILRKKCLHTSQLVGGIVAFRYSDLCHATKNFSEKLGGGGFGSVSKGVLSDSTIIAVKKLDGAHQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDKRLLVYEHMVNGSLDAHLFQSKATILNWTTRYNLAIGVARGLSYLHQSCKECIIHCDIKPENILLDASFTPKIADFGMAAFVGRNFSRVLTTFRGTVGYLAPEWISGVAITPKVDVYSFGMVLLEMLSGKRNSQKVCIDDNSNQVALFPVTAISKLLEGDVRSLVDPKLNGDFSLEEAERLCKVACWCIQDNEVNRPTMSEVVLVLKGLHNLDMPPMPRLLAALAL >ORGLA05G0042500.1 pep chromosome:AGI1.1:5:3254893:3255579:-1 gene:ORGLA05G0042500 transcript:ORGLA05G0042500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAMREPTSCVVARLEALAMGGAMPMRVTKSGTRGVCLECVELHRRAGRLAGTFDLVILFRSIGRILGKGRRRTINKFTQRNNPLQSASWSAVNQQERKCRTQAHEHD >ORGLA05G0042400.1 pep chromosome:AGI1.1:5:3247265:3249709:-1 gene:ORGLA05G0042400 transcript:ORGLA05G0042400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PSS6] MTTLLYISLGFLLFSLHVTPPCSAATNDTLAAGEVLAVGDKLVSRNGRFTLGFFQPSVVVKSGNITSPNWYVGIWFSNISVFTTVWVANRDSPVTELQLNQTQLKLSKDGNLVISSNASIIWSSTVNRTSATTMNSNTSVVLSNDGNLVIGSSPNVLWQSFDYPSDVLLPGAKFGWNKVTGFTRRFTSKKNLIDPGLGLYYVELDNTGIDLSRSNPPNMYWSWSSEKSSRALISLLNQLININPETKSRINMTYVNNNEEEYYEYILLDESYYAYVLLDISGQIEINVWSQDTQSWKQVYAQPADPCTAYATCGPFTICNGIAHPFCDCMESFSQKSPRDWELDNRTAGCSRNTLLDCSNTTSSTDVFHTIARVRLPYNPQIVDNATTQSKCAQACLSYCSCNAYSYENSKCSIWHGDLLSVNRNDGIDNSSEDVLYLRLAAKDVPSSRKNKIKPIVAVVAASIVSLLVMLMLILLVLRKKLRFCGAQLDDSQCSGGIVAFRYNDLCHATKNFSEKLGGGGFGSVFKGVLSDSTIIAVKKLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDERLLVYEHMVNGSLDAQLFQSKATVLNWTTRYNLAIGVARGLSYLHQSCNECIIHCDIKPENILLDASFAPKIADFGMAAFVGRNFSRVLTTFRGTVGYLAPEWISGVAITPKVDVYSFGMVLLEILSGRRNSYKVHTDDNSDQVAFFPVQAISKLHEGDVQSLVDPQLNGDFSLVEVEKVCKVACWCIQENEIDRPTMNEVVRVLEGLQELDMPPMPRLLAALAECTVVAHCDDDS >ORGLA05G0042300.1 pep chromosome:AGI1.1:5:3232447:3233133:-1 gene:ORGLA05G0042300 transcript:ORGLA05G0042300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNGKEEVYVKEGSKLYSRMMSKEAAAAAAPLAVPSFRVYYGVASAGSVPFLWESQPGTPKSSPSTAVLPPLTPPPSYYASAGKKGGGGGGASSGSRRRGWSAGGARGVLGAMFRRPWRRTSPCSTSSSSSWSYSSPSSVSMSPVFTVHQASPMAVARSHHTRACSAGAAYDDAAAARCFGMERECERGLVKGCGVAVAVRNALSSVVGHKSGGHGGAPPAAAAAY >ORGLA05G0042200.1 pep chromosome:AGI1.1:5:3217182:3219328:-1 gene:ORGLA05G0042200 transcript:ORGLA05G0042200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASDWQDHHDQVGRKIKRESSAADAADGRTTMMARRSCSMPAAGAGPGSAAAAVNTITPCAACKLLRRRCAQECPFSPYFSPLEPHKFASVHKVFGASNVSKMLLEVHESQRGDAANSLVYEANLRLRDPVYGCMGAILTLQQQVQALEAELAAVRADIVRHKYRLPSAAAVLPSSHASHLLAAAAAARQLPVAGTMGVVTTATLPASASSSSTTAVYAAAAAASSSTDYSSITNENVPYFS >ORGLA05G0042100.1 pep chromosome:AGI1.1:5:3214187:3216266:1 gene:ORGLA05G0042100 transcript:ORGLA05G0042100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylinositolglycan-related [Source:Projected from Arabidopsis thaliana (AT4G35530) TAIR;Acc:AT4G35530] MPGRQQAASETMEQERKGEVSIGMYTYNHNSDSGFDVHEIYVKRSRFRVLLSYIGMVFLLASVCQLYLSKEGLSTGSVWSIAFGILVAKCLQYKPVKKESVVIMPTFGVQLEIHFWSGRVHRRFVPIGKILKPLLNECVTPVTCYWSLALLLRDEEELRLVFQVKFICSNLLLRDQRKIQSPIELNLQKSHPPVKMLVPVWKALCKFLNSGCQTSSAVSEPNRSET >ORGLA05G0042000.1 pep chromosome:AGI1.1:5:3206457:3206969:1 gene:ORGLA05G0042000 transcript:ORGLA05G0042000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGRVEEYGRPVAAGEILAANPNHVLSKPCCSQGGAVAVRRTILIVSPDSELERGEIYFLIPASSVPDKKKKSGGGAAAATPAASGRHGKSKQAAPSSDHGGNGRRHVRDVSSEKRSSSLHRRRMSAGSRTAAWRPHLECIVEDT >ORGLA05G0041900.1 pep chromosome:AGI1.1:5:3192691:3194504:-1 gene:ORGLA05G0041900 transcript:ORGLA05G0041900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSVGPTCQMPRHLSFPSSLPSSDGTHLSGVSSTSFPAPTPHRRPAGPATCDSLRARSGCPSWATSTCSRRCRTRHSTASPRATARSSTSASVPSARTARIFQESLWKWRPPPPPPSTGRPRSPSRRRRTTTRYSGGGGGGAPLQLHLDSFHASTSLPPSYHRYAHTSTPLFPASGGYGWLSSKEHCLTLGGAADLSLDKPADQHHHDTTSATTTEKPLRRFLDEWPRSDDGRTPWDGTQLSISIPTAAASSPDLAIAGAASRYHNNVTHGAVGQSGEDAWRRLTSIPGNFSTPPHLQDGQPERARREALAAGKEVEETPDKWAPSEEGREEGKRD >ORGLA05G0041800.1 pep chromosome:AGI1.1:5:3178134:3180442:-1 gene:ORGLA05G0041800 transcript:ORGLA05G0041800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGCFPVGEMAAVARVCRDGAAAARLKTGSLLAILVASAVGICLPVALTGAFRGKAGYARGLLLVKCYAAGVILSTSLVHVLPDAHAALADCAVATRRPWRDFPFAGLFSLVGALLALLVDLSASSHLEAHGHHQHAEEGESPPPTHQPYAPIPTTKKSPVFELSGEMSPKKRAHSDDTDRDDVALFGAKSAARSDEVVVAPRVGCHGHDVVEVGEEGGGGGGGGGEEEAEDGVQGARDWDRIPLGHHRGHHGDVSGCLRHPAARRRALLPPGVRRNGPRRLHCAGWFWDCNGGLHVRNVLGDNTIGNTSWNGNIPYDWL >ORGLA05G0041700.1 pep chromosome:AGI1.1:5:3163405:3169658:-1 gene:ORGLA05G0041700 transcript:ORGLA05G0041700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPLGASGGPGQLPHPPPRQRLGMEYYHSSDSEQGLRLGISATTRPTMDSSALPRSTVAHAADVATQDRKGMRKTKTKKKRRKKRKESALASLPRDQLASKTTPLSPLAMGEGSTTAAAEKDAADSSSEYSSPLRRPMVYYNSDVAAVDLYHRRFFKYQEKKARLEQLPTLKQSDYYQNIADWHNLNQKRAVLGVAKSVLSLSSTHDEKEIYRCTGIIIEWDEVSKSATLVTSSQILCNEESQDNSIYYPNTKMIAHLLDGTTSEMELLYFSKHYEIVFFKVNGALDLQVALLDTELEFGSEACVLARDKNLDLICRRTTIVAMDPCEHQKNHFIHXCFGLXGLQWRSTDKFXXEYCGYGIICFTQCCFYSKLSYSEVLRIMEKVQETWSTSFGFEAKDCEFSGYITFRESVSCLWYXFWPDCSKGIQWFSCXEKWNXNGRCHISLSARVHFNHSSVXRCSARCMXEALXEGNQLELQSRCXARCLXLTQAFQENRQFVRRVIRWHGGLWDDXDCKLLXGNCYFV >ORGLA05G0041600.1 pep chromosome:AGI1.1:5:3151479:3152012:1 gene:ORGLA05G0041600 transcript:ORGLA05G0041600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSPVAYDANVLLAAVTALSAAIAFVAALHLYARCLLRRRVAGAAGNPHALRRPVTPGGNYELEVISVAACALEGGGLDAKQLGALPVFTWGSSSPATAAAAAADAAVQCAVCLGEMEDGELGRLLPACRHVFHAECIDTWLAVSSTCPVCRAAVGAAEDDEPAAAPVAGVSPAS >ORGLA05G0041500.1 pep chromosome:AGI1.1:5:3142131:3150153:1 gene:ORGLA05G0041500 transcript:ORGLA05G0041500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fructose-2,6-bisphosphatase [Source:Projected from Arabidopsis thaliana (AT1G07110) TAIR;Acc:AT1G07110] MGTSGSKGIDGVRGVGGGAAGLGGGEAGDGGGGVGGAASRSWHGGAQLYVSLKMENARITGDLVPHVYGSEPIIGSWDPARALAMERELASMWALSFVVPPDHETLDFKFLLKPKDADTPCIIEEGPTRHLTGGMLEGDVRIAWFKMNGDHETLEFRVFNKADIVSPLDLAASWRVFKENFQPSKVRGIPDVSINVAPAHGTEDTSTESLELDLEHYVIPTPSAATSEYAANLAANPASLIQTGALWTNDMLLSDGIQSPSSATADFEDHNNCNKDNVALPSDSFKKLQVSGIVESKSVDTLTTLQKQDGQKGLFVDRGVGSTKFGKSSSACSLASGLNFGTGKAMPEAAGAVAAAAVADRLHGSKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETRHFNVGKYRRLKHGANQSADFFRDDNPEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTRKRRYMLMKMAEGNCKIIFLETICNDPNIIERNVRLKIQQSPDYADQPDYETGVRDFKERLANYEKVYEPVQEGSYIKMIDMVKGQGGQLQVNNISGYLPGRIVFFLVNSHLTPRPILLTRHGESLHNVRGRVGGDTVLSEDGELYSKKLANFIEKRLKSEKTASIWTSTLQRTILTASPIVGFPKIQWRALDEINSGVCDGMTYEEIKKVMPEEFESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLREVPDIEMPLHTIIEIQMGVTGVEEKRYKLMD >ORGLA05G0041400.1 pep chromosome:AGI1.1:5:3135758:3138600:1 gene:ORGLA05G0041400 transcript:ORGLA05G0041400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACQQQIWQEGKQQQHLHHGGYDDLSSVYRGTVVLPRRQGGLAPEPPPPPPSSSSGRSAAAQATAMRIHSEAERRRRERINAHLATLRRILPDAKQMDKATLLASVVNQVKHLKTRATEATTPSTAATIPPEANEVTVQCYAGGEHTAAARTYVRATVSCDDRPGLLADIAATFRRLRLRPLSADMSCLGGRTRHAFVLCREEEEEDAAAEARPLKEAVRQALAKVALPETVYGGGGRSKRQRLMMESRYSTAVVHTHADPLQYCXYNSR >ORGLA05G0041300.1 pep chromosome:AGI1.1:5:3114792:3119059:-1 gene:ORGLA05G0041300 transcript:ORGLA05G0041300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAGGGGGGKVGLPALDVALAFPQATTASQFPPAVSDYYQFDDLLTDEEKTLRKKVRGIMEREIAPIMTEYWEKAEFPFHAIPKLATLGLAGGTTKGYGCPGLSLTASAISVAEVARVDASCSTFILVHSSLAMSTIALCGSEAQKQKYLPSLTQFRTVGCWALTEPDYGSDASSLRTAATKVPGGWHLDGQKRWIGNGTFADVLIILARNSDTNQLNGFIVKKGAPGLKCTKIENKIGLRMVQNADIVLNKVFVPDEDRLTGINSFQDINKVLAMSRIMVAWQPIGISMGVFDMCHRYLKERKQFGVPLAAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGHASLGKAWTSKKAREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYDINSLVTGREITGIASFKPAALTKSRL >ORGLA05G0041200.1 pep chromosome:AGI1.1:5:3110520:3112933:-1 gene:ORGLA05G0041200 transcript:ORGLA05G0041200.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVTGLNRVIVSKKSLADPAAGLYCLELDPTGANQYVLEFCNSSIVYWSTGEWNGQFFNSIPEMSGRTLFDFKFINNNQEKYFVFNLLEKDLITVCFLDISGQMKQLLWLENKQEWATIYTLPKDLCDIYATCGPFTVCNSNALQVCDCIKGFSVRSPKDWELEDRAGGCIRNTPLDCGTKNQSRTATTDKFYSLPGIGLPTEANIIEAARTADQCALACQNNCSCTAYSYATVIWRYKRKQFTAPTNNVQGGNGIVSFKYSVLQHATKNFSEKLGEGGFGAVFKGFLGGSTPIAVKKLGGDRQSEKQFRAENHTTILNWSTRYQIALGVARGLAYLHESCRDCIIHCDIKPENILLDGSFVPKIADFGMAKFVGRDFSRRNSCKQDTSDDDHAAYFPVQVANELLEGDVRSLLDNKLLDDVNLDEAERISKVACWCVQENESNRPTMGEVVQIVEGSIENFTVSNQNSTEAKSEPFRPRSVTFVQTTASPAPTSSMIVPSQSRFGQGFEIGLAFPARCHQKIFPLGGVQSENQCASKTERHLTPG >ORGLA05G0041100.1 pep chromosome:AGI1.1:5:3102597:3104903:-1 gene:ORGLA05G0041100 transcript:ORGLA05G0041100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQYNNSQMSRMDHMDRLNNEPPPFGQKLFMHRRSDPANGAGSSGYVGNTMRSNDLPSSSYAGQAYGQQNRAPIHASYSGHAPAGSSSGSYAPYNTQHMPASNYPHGSEDNFIPSSHVDGRRVALKRRNPIIHPTDGFGVGNYYAGSSSNTQFSRPMPPNPIPPPESCVRMPSHLGSNHWNDHRYVNHEGSQRNVRGRHDHSTIHLEQSPAAACPSSSINVPPYHPNANGPFGSTPVQHDRAPLSVHPRILPPGPDGSSIAFRERPYYPAPQSTNISAPVPTLPISCDSAPFAHGGYAPRSAHRNNLRTYPPPAFASSSNPGAVSHEPAIPSYPPAAPSYPPATSAASSSVQPFHAEAAAHLRHPRHVSVGGSGSARSRRMRDSYHGFHHLMIEDNNLGRSAAERFMMLDQLVIHESREAFDPHWDMRLDIDDMSYEVERINFLFNTTAALECYLNKCNFFYTQELLALEERIGHVNTGLADEKISGCVMEVACCSSSHLQDDQDNERCVICLEEYKHEDTLGRLKCGHGFHCNCIKKWLQVKNTCPVCKAAAADEGS >ORGLA05G0041000.1 pep chromosome:AGI1.1:5:3100122:3100934:-1 gene:ORGLA05G0041000 transcript:ORGLA05G0041000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAKKSLASMAASATTTILVAAMLVVMAVESPVANGQAPAPAPAAPKTITAILTKAGQFTKFLQLLQSTQAGEQINNQIKGKASSSGGLTVFAPPDNAFTALPTGTLNKLSDQQKTSLVQFHVVSALLPMAQFDTVSNPLRTQAGETAAGKYPLNVTAEGSRVNISTGVVNATVDNTLYSGDRLVVYQVDKVLLPWALYGPPVPAPAPSPADKAKKKTGPVAVADAPAADTAAGTTTTAATASEAAARGTVRRGLVGVAVAVXXXXXXXX >ORGLA05G0040900.1 pep chromosome:AGI1.1:5:3087346:3097285:-1 gene:ORGLA05G0040900 transcript:ORGLA05G0040900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXGKPLTPAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDSAVHEWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSAIKVDRRERRTIEEDDDEDFCLPDGVEPLLKGTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDVWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPTMPNEFLTYADTKIETRHPIRLYSRYVDKVHIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSFTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRESTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDSGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNTPWNFNFMGVKHDPLMKYNMKLGTPRDFYHEDHRPTHFLEFSNIDEGEVAEGDREDTFT >ORGLA05G0040800.1 pep chromosome:AGI1.1:5:3080534:3084809:-1 gene:ORGLA05G0040800 transcript:ORGLA05G0040800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYRRDGRGGGGGGSAPRSVEDIFKDFRARRTAILRALTHDVEDFYAQCDPEKENLCLYGYANEAWQVALPAEEVPTELPEPALGINFARDGMNRRDWLALVAVHSDSWLVSVAFYYAARLNRNDRKRLFGMMNDLPTVYEVVSGSRQSKERDRSGMDNSSRNKISSKHTSDVARVENNIKEADEGYDEDDGDHSETLCGTCGGIYSADEFWIGCDVCERWYHGKCVKITPAKADSIKQYKCPSCSSKRPRQ >ORGLA05G0040700.1 pep chromosome:AGI1.1:5:3072956:3079693:1 gene:ORGLA05G0040700 transcript:ORGLA05G0040700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDETSTSIATQSQEPAAVGAGSVKQQLSKLVIASLRTTVPEVEVDPMVEVCTAKFGDYQCNNAMGLWSRIKGSGTSFKNPNAIGQAIAKNLPPSDIIESTSVAGPGFVNIVLSNSWVAKRIQDMLVNGIKTWAPILPVKRAVLDFSSPNIAKEMHVGHLRSTIIGDTLARMFEFSNVEVLRRNHVGDWGTQFGMLIQYLFEKFPNWEEIGSQAIGDLQTFYKASKNRFDGDAEFKDRAQQAVVRLQGGEERYRAAWNKICEISRNEFDMVYKLLNVKLEEKGESFYNPFIPQVLEELNNKGLIKESEGAKVIFIEGHQIPLIVVKRDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQQHFDMFFNAARMAGWLPDPKEKKFPKTNHVGFGLVLGSDGKRFRTRSTEVVRLIELLDEAKSRSKSELLQRLTENGKIVDWTEEELEKTSEAVGYGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKSNKDVEELKMSGAISLDHPDERVLGLYLIRFAEVVEEACTNLLPNVLCEYLYNLSEMFTRFYTNCQVVGSPEETSRLLLCQATAVVMRQCFELLGITPVYKL >ORGLA05G0040600.1 pep chromosome:AGI1.1:5:3068752:3069420:-1 gene:ORGLA05G0040600 transcript:ORGLA05G0040600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDNDFVKVLAVLAGLDTCEELGYEDVELWSDSQRACGVLSGSETINMDDRNRMECMLLMFKSTRFKRLVCVQKPREIKDWSLCEILFMVDDILIEKIHSRYLLRNLLKKWSPYLRGQSIYSITRTKLTRYIIRTKLTRDIIRKFGMSCSQNMFYLFFCIVFCLELFGTLFYRLRT >ORGLA05G0040500.1 pep chromosome:AGI1.1:5:3053504:3055154:-1 gene:ORGLA05G0040500 transcript:ORGLA05G0040500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAAAGTKKKTRKPYTITRPRERWSGEEHERFLDALILFGRDWKRIEAFVATKTAIQVGHRSIDLSLCKIINGGFRIQDRVRFLDFFXFHFCLQALIDLPWSIIQIRSHAQKHFLKARKFGLAGGLPPPLHPRRATLLRANAAAADMMPPPWLPSASGGSIGCSAPPSGVQQSMAGWSPACYSTDEASFRPLIHSNDNDCSFIETPSCIGSGGESWIGDDAFFMQDETIRLPISPDDLGFAQVYKFVGDMFGSGERRPVEAHLRRLQGMDPAISETILLMLKNLEANLFA >ORGLA05G0040400.1 pep chromosome:AGI1.1:5:3048463:3051316:-1 gene:ORGLA05G0040400 transcript:ORGLA05G0040400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVDPNDRYEPRGRNSRLYVGHISLRTRAEDLENLFSRYGRVRFVDLKNEYGFVEFSDPRDANDARLDLDGRKYDGSDIIVQFAKGVERGLGGSRGYKARPAHGSDHCFNCGMEGHWHRNCTAGDWTNRCYGCGERGHILRECKNSPKDLKQERGYSRSRSPRRRRSPSYGKSGPPSHLGSHGADREERLHSRRDGRGYSRSPRCHDSPSNQRNHSPRRYALPSNERYDGTRRYASPSYGRDRSPGGNALPANGRSHNLTSDGMNPSPRERDDQNGRHRRGDNDYLPSKRDDQNGSYRRGDSDYLSRERDDYLSRERDDQNGSHRRGDSDYLSRDH >ORGLA05G0040300.1 pep chromosome:AGI1.1:5:3045050:3047575:1 gene:ORGLA05G0040300 transcript:ORGLA05G0040300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTFRKLKLVLALLGIIIWIIGTCNAKFTPADNYLVNCGSTVDATVGQRVFAADNSQSIVLTTPQSQSIAARTTLNSVSGFDNAELYQTARIFTTPSSYSFKMKSSGRHFVRLYFFSFLYQSYDLASSKFKVSTEDVVLLDNFQPSNSIPVVMEYSLNITRDMLILTFVPEGNSTSFVNAIEVVSVPDDLITDSAQLLGVGQYLGLAAQPLQTFHRINVGGPKVTAENDTLARTWFTDQSFFRNPTVAQAVTYQERLNYKDGSATQDDAPDSVYNTARRLVGQRNASSSPNMTWEFNVDGRSSYLIRFHFCDIVSKAAFQLYFDVYVYNFSAAKDLDLSAREFGTLAAPFYMDIVLPSSDPSGNLTVSIGPSSLPNATPDGILNGLEIMKMNFSSGSVYVVKPPSAAKQQLPIILGSVLGGIGAVIIVVVLCVVFRRKKKMKKPQTPLTSRPSSSWTPLSLNALSFLSTGTRTTSRTTYTSGTNSDTSYRIPFVVLQEATNHFDEQMVIGVGGFGKVYKAVLQDSTKVAVKRGNQKSHQGIREFRTEIELLSGLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKGHLYGGDQPPLSWKKRLEICIGAARGLHYLHTGFAKSIIHRDVKSANILLDENLMAKVSDFGLSKTGPEFDQTHVSTAVKGSFGYLDPEYYRRQKLTDKSDVYSFGVVLLEVICARPVIDPTLPRDMINLAEWAIKWQKRGELDQIVDKRIAGTIRPESLRKYGETVEKCLAEYGVERPTMGDVLWNLEFVLQLQEAGPDMSNIDSMNQISELPSNAQRISSLEISTADESRTAMDYSQMSTSNAFSQLINTEGR >ORGLA05G0040200.1 pep chromosome:AGI1.1:5:3026639:3036966:-1 gene:ORGLA05G0040200 transcript:ORGLA05G0040200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSRAGRSGVARAVRGYADAVAHHAGQAVADILQDRTDYKSFKKTVARLEEAAVSCRGGERVELLRRWLGAIQDIEAELSGSDLKDPEDRDPSSETDISKAPLALFYDADIEGGPMNFRDVFLYSQALEGITLSMVLEAPSEEEVSLLLEIFGLCLTGGKEVNKKIMDTVQDLAKALSNYKDEVLVKREELLEYTQSVISGLKRNADIMRIDAETLELWKKLDEKEKSRAQITEDQDKSSGNISVENIEGLKEALIEVRLCSRVEELVLKKKSISPGDSLEIHSQKIDKLKILADSLANSSSKAEQRILENRRQKEDALNFRVKKENEVSTVEKEVLDEIAELEKQRDELEAQLKKVNISLNAAAGRLKKTREERDQFDEANNQIIFKLKTKEDDLTKSIASCNVEANVVKTWINFLEDTWQLQSTYNEQKEKKTCDELERCVSSFLRLTKHHLSVFKEVLSPSIDSIRTYVDNLVVLNSREETKQDEDDEASEKTNPRISLEEEYLETEKKIIIALSIADHIKKLFYSEQGANSRRDDPEVKNLIAEIEKLRGEFESIERPMLSIEANKSKPLPEERSELSPSPIQAPATPKAAHVDSPKSPMRPEQHLNPDNELANLGAELGSEDKDFSGEEINGWEFDELEEDLKN >ORGLA05G0040100.1 pep chromosome:AGI1.1:5:3019998:3021844:-1 gene:ORGLA05G0040100 transcript:ORGLA05G0040100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PSQ3] MSSPAMKLAVVAALISVSAAAVGACLDVGFYDTTCPTAETLIQQVVAAAFRNDSGVAPAMIRMHFHDCFVRGCDGSVLIDTVSGSTTRAEKDAAPNNPSLRFFDVIDRAKSAVEAACPGVVSCADVVAFMARDGVVLSGGLGYQVPAGRRDGRTSLEDDALNFLPPPTSTAADLVANFTAKNLTAEDMVVLSGAHTIGVSHCDSFTNRIYNFPNTTDGIDPSLSKAYAFLLKGICPPNSNQTFPTTTTFMDILTPTKFDNRYYVGLTNNLGLFQSDAALLTDATLKATVNSFVRSEATFRLKFARAMIKMGQIDVLSGTQGEIRLNCRVINPVNVTATAADDHHLTSSSSSSSDEVAAS >ORGLA05G0040000.1 pep chromosome:AGI1.1:5:3006551:3008439:1 gene:ORGLA05G0040000 transcript:ORGLA05G0040000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGVNETVAVAVAIDAPGVGHDHGAAGEVRRPSTRRLAPAGSGGRLMAELLGVFNGLTERMGEDVATSSSSRLLFRALKLALPALRDGGGDGGGGQSVSRALVVAASLADLQMDAEVISAGMVRGALDAGALAMADVEAQLGASAAGLVEESLKVKRAPSEVDVADEEAASALRKRCLSSYDIRAVILELAVKLDAMKHLDVLPKHQQRTTSLEVLKVFAPLAHAVGAGELSLELEDLSFQRLYPQAYVHIDQWLSSQEDDCKRVIAASKEELLRALTADDELRRTVTGVDVMGRYKSRFSTMKKLVKDGRRPEDVNDILGMRVILDPRPGAGGDRACLRTHEVIKAMWKDVPARTKDYITRPKGNGYRSLHVAVDMSEPAPEGKKRPLMEIQVRTREMDMAAVGGQASHALYKGGLTDPEEAKRLKAIMLAAAEVAAQHLRDEPAGDDGQTAAAAAAAAAGVGNVERAFQLLDKNGDGRISMEELTEIMEDLGAGGHDAEELMRLLDANSDGSLSSDEFALFQKRVELKAKLEDKDDEYKEILEQKLQKVDDTGLIHVYRKNLSDKLVLA >ORGLA05G0039900.1 pep chromosome:AGI1.1:5:2996792:2999838:1 gene:ORGLA05G0039900 transcript:ORGLA05G0039900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGNVDVLVVEPAAADIEHHVSAPRLTPAGSGGQLMAELLGVFNGLTERMGDDVATSSSWTLLFRALKLALPALRDAAGGRSLSRALIVAASLADLQMDAEVISAGIVRQAMDAGAVAMADAEAQLGPGAAALLRESLDVKNAPSSQVDVADEEAASAVRNRILSGYDVRAVILELAIRLDAMKHLDGVPKHQQRTTSLEVLKVFAPLAHAVGAGALSKELEDLSFWRLYPQAYAQVDQWLSGQEDDCKRVLATCKDDLLQALAADDELRHAVAGFDVKARYKSRFSAMKKLVKDGRRPEDVHDILGMRVILDHRAGCGDGDGHRACVRTHEVIKGMWKDVPARTKDYIARPKGDGYRSLHIAVDMSEPGPEGKKRPLMEVQIRTKEMNDAAVFGHALYKGCLADPEEAKRLKDIMLAAAEVAAQHLRDEPATGDQTGVPAAAAAAASAGNIERAFRLLDKNGDGRISMEELTELMEDLGAGGKDAEELMRLLDDNNDGSLSSDEFALFQKRVELKAKLEDKDDEYKEILKQKLQKVDDTGLIHVYRKNLSDKLVSG >ORGLA05G0039800.1 pep chromosome:AGI1.1:5:2984412:2988208:1 gene:ORGLA05G0039800 transcript:ORGLA05G0039800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERLSKIPLPPGTVIPCTRRRKPKDIYTARDVASTPDKLMIRKAARSVVAIETTYSDGKIIAVFSGIVVSWNETTRSATIVTCSEAVCDDGALIDPKPKVLVHLPNKTILDGQLLFFNDHYRIMLLEVVSDTPLQPANFGSTPKFGQDVFALSRDYESSMHARRGTVLWQEPPNVLEYMYYCLSLSCQLAPWGSGGSVIDQHGDVVGMAIGAPPNPDILPISIVQTCIEMWTKFSRIARPVLNMELRAFELIEVSHQEEIELDHNINDGFIIAVVYDDSTAVRLGISQGDIILSYNGLHDFTLHKLEEFLLSLGWELLASGDPSWNVGLEFVVYDAVRHATRSITYPLEFSDASERVLPP >ORGLA05G0039700.1 pep chromosome:AGI1.1:5:2976340:2979913:1 gene:ORGLA05G0039700 transcript:ORGLA05G0039700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-activated RelA/spot homolog [Source:Projected from Arabidopsis thaliana (AT3G17470) TAIR;Acc:AT3G17470] MATAATTSAAAIPTGGGGRRQHPHPRRPSLRPRRLHRLRLPAQAAAAAAASSPSTSSSSSSSSSTPAEGGGRLVAELVGAFNELTGRMGEGLATSSSSRLLFRALKLALPALRDGDGGRALARALAIAASLADLQMDAEVISAGILREALDAGAISMRDVKSEIGISTAHLLHESLRLKHAPSKLDVLDDESASALRKFCLSYYDIRAVILELALKLDMMRHLDCLPRYLQRIKSLEVLKIYAPLAHAVGAGNLSLELEDLSFRYLFPHSYDHIDQWLRSQETENKLLIDSYKEQLLQALKDDDELSQIVQDISIQGRYKSRFSTMKKLVKDGRKPEEVNDILALRVILEPRCDGSSLDWGPRACHRTHEIIQAMWKEVPGRTKDYVTRPKENGYQSLHVAIDVSEPGKMRPLMEIQIRTKEMHKFAVGGEASHSLYKGGLTDPGEAKRLKAIMLAAAELAAMRLRDLPASDQGDSNCTNRAFCQLDKNGDGRISIEELTEVMEDLGAGGKDAKELMHLLDANSDGSLSSDEFEAFQRQIELMRSLDDKDDRYRKILKEKLQTIDSAGLIQVYRKQLGDKLLVS >ORGLA05G0039600.1 pep chromosome:AGI1.1:5:2967197:2971800:1 gene:ORGLA05G0039600 transcript:ORGLA05G0039600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit [Source:UniProtKB/TrEMBL;Acc:I1PSP8] MAAPSAATRRKLQRKFKLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEADEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTSRLAIHGEAGDKAALYRDRYQVLLQRLARDIYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVPIDLSNAKITSGFFVENTVIVAEGELLSNGIFQVNTCGFPPLEDREASLSMLMGLDFFGGGVIPTEETVRLSTLEKKAMNDMFVILSDVWLDNYETMEKLGVVLDGYDSLEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWSYDHCLRLYPTPHTIVLGDKSDQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES >ORGLA05G0039500.1 pep chromosome:AGI1.1:5:2947411:2957883:-1 gene:ORGLA05G0039500 transcript:ORGLA05G0039500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRSGTMKATAAAAVGAAGTAGASPVGGGPAGDASAAAGRRVTRLRSRELGLEATTGAGGDVASDDPGPARKKGKVQPATKGAKGKAARADPPTPPPRPRLPPFPKSGDPMDFLKWDKEFRRICALPEPAGTVIPCTVRRKPTGPRTVSVTGVASVADNSMIRKASRSVVGISSRIPDGKEIMQCSGIVVDWNKTSRLATIVTCSAAVCFDGALVHPNPKDEEKSSKINHLIGTCTKQNENDXXGLGACFFFSPQGPLWVWSVGEVGCANGKHXWGAGPRYQHRXSGTMKTNPNVENXRXRGATYCKLYSINCYSGYXLYRKKGWLAYYDSELRVYLHRKHERGXYICLFRVLIIFFXIVXFCLFILIVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPLARDKKSSFFARSGTVLLQDPPFFLKYKYWLSLSSAIELCGTGGPAIDGRGDVAGMTFGRLPNPDVLSISILQTCIDMWRRFSRVARPFLDMDLIAFETLDITHQEEIESEHGITDGFIVDLVCDDSTAGRLGISRGDVIVSYNGLRDFTLHTFEEYLLNLGWGFLESTDPSWTINLELEIYDPVRGTISGVTFPLGFSDICEDVLVLP >ORGLA05G0039400.1 pep chromosome:AGI1.1:5:2944673:2945550:1 gene:ORGLA05G0039400 transcript:ORGLA05G0039400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLKSRYMYLNGMMKTIWHGTSXSLEFFRLIGYQNHIIAGLFVTLYNLWSVRNIDSRYAVAPENPTNVAKRIAYQLEEWANLHERKTNAVRVCL >ORGLA05G0039300.1 pep chromosome:AGI1.1:5:2913368:2914666:-1 gene:ORGLA05G0039300 transcript:ORGLA05G0039300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGRLVILVAVAVVVVVAGGGAAEGAGECGRASADRVALRLAPCVSAADDPQSAPSSSCCSAVHTIGQSPSCLCAVMLSNTARVAGIKPEVAITIPKRCNMADRPVGYKCGDYTLP >ORGLA05G0039200.1 pep chromosome:AGI1.1:5:2907983:2908450:1 gene:ORGLA05G0039200 transcript:ORGLA05G0039200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAVLQFYKVDDATGKVTRLRKECPNNDCGAGTFMANHFDRHYCGKCGLTYVYNQKA >ORGLA05G0039100.1 pep chromosome:AGI1.1:5:2904060:2907236:1 gene:ORGLA05G0039100 transcript:ORGLA05G0039100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH/CRA/RING-U-box domains-containing protein [Source:Projected from Arabidopsis thaliana (AT3G55070) TAIR;Acc:AT3G55070] MEMAIDTPSPSPSASSAAAGRQTRAAESVRLEHQLLRVPLEALKSTVRTNHRLAEKEIAAVLSSASAAAAAPGGGGGGSGDAAAVDHLTSLVSRLHGLKRKMEEGARAEELQVQRCRARLNRLASASSGDDAEWEELRLKRILVDYMLRMSYYDTAAKLAETSGIQDLVDVDVFLDAKRVIDSLQNKEIAPALAWCAENRSRLKKSKSKLEFFLRLQEFVELVKAKNFMHAIAYARKYLSPWGATHMKELQRVTATLVFRSSTNCAPYKVLFEQNQWDSLVDQFKQEFCKLYGMTLEPLLNIYMQAGLTALKTPFCFDGNCPKEDPLSLPGFRKLAEPLPFSKQHHSKLVCYITKELMDTENPPLVFPNGYVYSTKALDEMAKKNGGKVTCPRTGDVCNYTDLVKAYIS >ORGLA05G0039000.1 pep chromosome:AGI1.1:5:2899397:2902574:1 gene:ORGLA05G0039000 transcript:ORGLA05G0039000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PSP2] MAMASLARRRAAEAALLRRAPAAAWASAWRGYAAAAVGEESDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKASFAHHGIKFSNLEIDLPAMMSQKDKAVAGLTKGIEGLFKKNKVEYVKGFGKFVSPSEVSVDLLDGGSTTVKGKNIIIATGSDVKSLPGVTIDEKKIVSSTGALALSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFASDIVPSMDGEIRKQFQRMLEKQKMKFMLKTKVVGVDTSGSGVKLTVEPAAGGEQSVLECDIVLVSAGRVPYTSGLGLDALGVETDKGGRILVDKRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKASGVAYQVGKFPLLANSRAKAIDDAEGLVKVVAEKETDRILGVHIMAPNAGEIIHEAVLALQYGASSEDIARTCHAHPTVSEALKEACLQTYLKAIHI >ORGLA05G0038900.1 pep chromosome:AGI1.1:5:2861596:2862811:-1 gene:ORGLA05G0038900 transcript:ORGLA05G0038900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGHNDLMGVLHLPYDEILQKLPPIVAEIRKAIETLHKNGAKKFWIHGTGALGCLPQKLATRGEIDRDLDEHGCITRINNVAKRFNKLLSETCDDLRLQFASSTIVFVDMFAIKYDLVANHTKHYNSCTGIEKPLMTCCGHGGPPYNYDPKKSCTANDKDLCKLGEKFISWDGVHFTDAANEIVASKVISGEFSIPRIKLTASVVRPKKAKNSRL >ORGLA05G0038800.1 pep chromosome:AGI1.1:5:2855498:2859152:-1 gene:ORGLA05G0038800 transcript:ORGLA05G0038800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASPTNGGGGGGNKVISLRLQYYCVLAAVVVAVMVLSLAFVSPSTMGAVRQNLGSVVAATAAAGEGADDSAATAGAGAAATTAAEGEREQAAGGVVLFNFGDSNSDTGGVAAAGGIRIMPPEGRTYFHHPTGRLSDGRVIIDFICESLNTRELNPYLKSIGSDYSNGVNFAMAGSTVSHGISPYSLNVQVDQFVYFKHRSLELFERGQKGPVSKEGFENALYMMDIGHNDVAGVMHTPSDNWDKKFSKIVSEIKDAIRILYDNGARKFWIHGTGALGCLPALVVQEKGEHDAHGCLANYNKAARQFNKKLSHLCDEMRLHLKNATIVYTDMFAIKYDFVANHTKYGIKWPLMVCCGNGGPPYNFKPGKFGCDDLCEPGSKVLSWDGVHFTDFGSGLAAKLAMSGEYSKPKVKLASLVNAGSNKSSDS >ORGLA05G0038700.1 pep chromosome:AGI1.1:5:2850353:2852977:1 gene:ORGLA05G0038700 transcript:ORGLA05G0038700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi apparatus membrane protein TVP23 [Source:UniProtKB/TrEMBL;Acc:I1PSN9] MDRPQVVTENYANPVTCLFHVLFKAGALVFYILFSLFVKSFVIIFVITVFLAALDFWVVKNVSGRILVGMRWWNEIDDEGNSVWKFECLDGEALARMNKKDSWLFWWTLYLTAAAWIILGIFSLIRLEADYLLVVGVCLTLSLANIVGFTRCNKDAKKNIRGYFEGHAQNAITSRITSTLQSAFGVI >ORGLA05G0038600.1 pep chromosome:AGI1.1:5:2844529:2845266:1 gene:ORGLA05G0038600 transcript:ORGLA05G0038600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTTSYSTTLAEGEIQPNQIGTIIVIDNLVYRDHPSLKLRGVPRDVRSHDIERVRNKEQLSTQVGCGQVHRIIENDLDKCRVVVPNPPTKTDDLSHSTSHGWTTREKIVNG >ORGLA05G0038500.1 pep chromosome:AGI1.1:5:2830224:2842144:1 gene:ORGLA05G0038500 transcript:ORGLA05G0038500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin protein ligase 6 [Source:Projected from Arabidopsis thaliana (AT3G17205) TAIR;Acc:AT3G17205] MFFSGDPTARKRVDLGGRSSKERDRQVLLEQTREERRRRLGLRLQNSSATKIQKCFRGKRDLELARSEVRGNFCSTFGEHCQRVDWSSFGANSDFLRQFLFFFNAKKDSDIAILCQVCNLLLDYVKRGGDVLRLFGGTNYSSLEPLVIHRVKKLALICVQTVHQKRHDWGSQLLMPPESTPKPCGSLLKTVACLINPKLAWNCKVVGYLQRKKIYCLFRGIIISIPQTARNLEHNNNTSALEQVLMLIASHIGDDPCCCPVVDPRWSFSSQLLSVPFLWHRLSHFKKVFSANGLSKYYIHQIACFLPSCADVLPNDISSNHPGYACVLANVLEAATWILSEPKFASETAADIIAVCTSLLDELPTITSPTGRADDDDDTPMDDVINGLDADLEKQITAAIDSRLLQHLVNALFRGTISTDYSYPTGPSDIEVEAVGSICAFLHVTFNTLPAERIMTGLAYRTEIVPALWNFIKRCNENQSWPQFSKFVSSPPADAVGWLLPVSVFCPIYKHMLKIIDNGEFYEQEKPLSLKDLKSLVLILKKALWELLWVIPSHASPAKKVLPNPSGFKKLSIENVKIGARIGLSELLAQLQDWNNRLPFTSSNDFYSQQATSENFVSQALLGNTRASEIIKLAPFLAPFTSRVKIFTSQLANSRQLTTSHSALTRHRFKIRRNRLLEDAFDQLSMLSEEDLKGPIRVVFVNEHGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGLVHEQHLQYFHFLGSLLGKAIYEGILVDLPFATFFLSKLKHKYNFLNDLPSLDPELYRHLLSLKHYDGDISQLELYFVIVNNEYGEQSEEELLPGGRDMRVTSENVITYIHLVANHRLNYQIRAQSTHFLRGFQQLIPNEWINMFNEHEFQVLISGSLESLDIDDLRSNTNYSGGYHPDHELIDIFWEVLKSFSSHNQKKFLKFVTGCSRGPLLGFQYLEPKFCIQRAGVPGMEEEDEDRLPTSATCMNLLKLPPYRNKTQLESKLLYAINAEAGFDLS >ORGLA05G0038400.1 pep chromosome:AGI1.1:5:2818660:2824604:1 gene:ORGLA05G0038400 transcript:ORGLA05G0038400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARLDAATAAFFAMAPAEKQRAGPASPLGYGCRSIGFNGDVGELEYLLLHANPAAVAHRARTIDAMDPSRFSAIVNEYIEAMKKLACEILDLLGEGLGLKDPRYFSKLTTNADSDCLLRINHYPPSCNIHKLDHDDQCNIKSLVSTKASNGGNLMAGGRIGFGEHSDPQILSLLRANDVEGLQVFVPDHEGKEMWVQVPSDPSAIFVNVGDVLQALTNGRLISIRHRVIATACRPRLSTIYFASPPLHARISALPETITASSPRRYRSFTWAEYKTTMYSLRLSHSRLELFKIDDDDSDNASEGKA >ORGLA05G0038300.1 pep chromosome:AGI1.1:5:2798154:2802265:-1 gene:ORGLA05G0038300 transcript:ORGLA05G0038300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1PSN5] HGLAMAAAARRRDVSCLLLLLCFSSSMAAGGGGGGGGEQEADRVARLPGQPASPAVSQFAGYVGVDERHGRALFYWFFEAQASPAPEKKPLLLWLNGGPGCSSIGYGAASELGPLRVARQGAALEFNQYGWNKEANLLFLESPVGVGFSYTNTSSDLSNLNDDFVAEDAYSFLVNWFKRFPQYKDNEFYISGESYAGHYVPQLADLVYERNKDKRASTYINLKGFIVGNPLTDDYYDSKGLAEYAWSHAVVSDQVYERIKKTCNFKNSNWTDDCNAAMNIIFSQYNQIDIYNIYAPKCLLNSTSASSPDRAFFANNQEQFRWRVKMFSGYDPCYSSYAEDYFNKHDVQEAFHANASGLLPGKWQVCSDQILNSYNFSVLSILPIYSKLIKAGLRVWLYSGDADGRVPVISSRYCVEALGLPIKTDWQSWYLDKQVAGRFVEYHGMTMVTVRGAGHLVPLNKPAEGLMLINAFLHGEKLPTSR >ORGLA05G0038200.1 pep chromosome:AGI1.1:5:2792829:2796650:1 gene:ORGLA05G0038200 transcript:ORGLA05G0038200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSSPAMSARSKSTPDSDLPSHDGNPNPGSWSGRLTRSRAKQIGLVLPIQAVESPGISSNNNKKKRRIEEETAGESPATVIPTTTTGDPGTLARRPIHPPQPHSTAPRIGRESSWIAQRKVDRLRKATLRDAEDEPSTAAATPTPSDKKMVLGMSRSIVRVSSPPTEGKSISPLTGTVISWDGATKRAMILTIYSTDFKSKPHEPQPEGRLIFVNHHYSLAILEITSDLPLQVPTFGSAAKYGQEILALSRDENMSLVARRGAITWSDGSLMWRNHYMFLDCDVPEGGEGGPVVDYCGSIIAVVYRDGPCAAIISISIIHALYEMWEQFSYVARPVFDMDLKSVELASFSLREELSLKHNIEGGFIVEHIANDSAPENLSVRRGDVIFFEDKCGTTLPEIEDYLLHLGRRYLGEKRSMVLKLEVHDIEGPCKETITLPLEFKVSSGKVA >ORGLA05G0038100.1 pep chromosome:AGI1.1:5:2786049:2789272:1 gene:ORGLA05G0038100 transcript:ORGLA05G0038100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKRRKSVAASSGGPKSSPAARSTRSKSKPDSDLAEHDDGPRSSSGRLTRSRAKVLKESAGVSSSKKKRRIEEESPATTTATATATTGVMHREGHGALASRPLPPIHPPTTPRVRKSSRIVRLEVDRLQKLTLRRDKKDDPSTAAALPTPSDKNMVLGMSRSIVRVSSPPSSEGKLISPRTGFVISWDRATKRAMIVTLSTYFKKKPHEPQPELQVHLPDKSIVQGRLIFMNRHYNLSILEITSDLPLQVPAFGSASKYGQKILALSRDENMSLVARRGAITWSDGSFMWRNHYMFVDCDVPEGGVGGPVVDSCGSSIAMVHRAGPGAVIISISIICTFFEMWKQFSCVARPVFDVDLRSVELAGVSLREELSVKHNINGGFMVKRIADDSALEHLGVRRGDVIFFEDECGTSLPEIEDYLLSLGWRYLQGMKSMVLKLLVHDIEGPCKETITLPLEFSVDSGKVARWRMA >ORGLA05G0038000.1 pep chromosome:AGI1.1:5:2780386:2780753:1 gene:ORGLA05G0038000 transcript:ORGLA05G0038000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHLICSCVARPVFEVDLKSVELAGVSFREELSLKHNINGGFIVERIADDSALEHLGVRRGDVIFFEDECGTTLPEIEDYLLSLGWRYLQGMKSMVLK >ORGLA05G0037900.1 pep chromosome:AGI1.1:5:2775806:2776081:1 gene:ORGLA05G0037900 transcript:ORGLA05G0037900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATARSNHRAACKNSGSNKEMRRRRMRRCVEVRRKMEALRRLVPGGGGGGGEDAGGEELLFRAADYIARLQVQVKVMQLMVDVLEQTKD >ORGLA05G0037800.1 pep chromosome:AGI1.1:5:2769787:2773193:-1 gene:ORGLA05G0037800 transcript:ORGLA05G0037800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPPPRLLLASHAAVRAAASARRGRLAGDHHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGIDVAASIVPSVQSPWVMVSVNDDCRDLHFRKAEFDPEDCPPDCSKPCEKVCPADAISLERVMIEGKHSQSDPSSGKLEGGVITERCYGCGRCLSVCPYDRIRAMSYVRDPTKTAELLKRNDVDAIEIHTTGKGTDMFNTLWSNLGDSINNVKLIAVSLPDVGDSTVNFMNAIYTTMQSHLQGYNLWQLDGRPMSGDIGRGATRETVSFAVHLSSMSNRPPGFYQLAGGTNSYTIESLKKAGLFQSTTFAATSGVTDCQQAFIGGIAYGGYARKIVGRVLRKIPAQFGHARIEDHPDYLLEALQEALSLVGPVKGYPTLPSL >ORGLA05G0037700.1 pep chromosome:AGI1.1:5:2765941:2767754:-1 gene:ORGLA05G0037700 transcript:ORGLA05G0037700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17020) TAIR;Acc:AT3G17020] MAGEAASAAAERWVGAAVDFSEGSRGALRWAADNLLRAGDHLILLHVLKDPDYEQGETLLWEATGSPLIPLSDFSEPTIAKKYGAKPDAETLDMLNTVARQKEVVVVFKVLWGDPREKLCQAINEIPMSCLVIGSRGLGKLKRVLLGSVSDYVVNNATCPVTVVKTADG >ORGLA05G0037600.1 pep chromosome:AGI1.1:5:2764389:2764916:1 gene:ORGLA05G0037600 transcript:ORGLA05G0037600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLKVEMSLNVLISPSQLSPQGLLLRKAVIVRLLEDIANRKASKDHGYYIAVSELKAISEGKVRELTGDVLFPVTFTCITQKPMKGEVLVGSVDKILKHGIFLKSGPIESIFLSEKTMSDFKYIGGENAVFMNEHSKLEKDTVVRFKVMGFRWMEADRQFQLLATLAGDYLGPL >ORGLA05G0037500.1 pep chromosome:AGI1.1:5:2755958:2760322:-1 gene:ORGLA05G0037500 transcript:ORGLA05G0037500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGTVAAEVLIPLAAVIGILFAVLQWYVVSRVAVPPHDGVGGAGKGDRENDGGGDVDDDEEDGVDYRGVEARCAEIQHAISVGATSFLMTEYKYLGAFMAAFAAVIFVSLGSVGRFSTSPEPCPYDAARRCRPALANAAFTAAAFLLGATTSVVSGYLGMRVATFANARTALEARRGIGRAFAVAFRSGAAMGFLLASSALLVLFAAVNAFGLYYGDDWGGLYEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGADHDFAAMMYPLLVSAAGIVACAATTLVATDAGELGAADEVAPALKRQILISTVLMTAAVAAVTFLSLPRSFTLFDFGERMLVKNWHLFICVSAGLWAGLVIGYVTEYFTSNAYGPVQTVAQSCRTGAATNVIFGLAVGYKSVIVPIFAIAGAIYASFRLAAMYGIALAALGMLSTIATGLTIDAYGPISDNAGGIAEMAGMPRRVRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVSRAGIRTVNVVSPRVFVGLLAGAMLPYWFSAMTMRSVGSAALRMVEEVRRQFDEIPGLAEGLAAPDYATCVRISTDASLREMVAPGALVMASPLVAGTLFGVEALAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGATEEARSLGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILVKLMAVEALVFAPFFAAHGGIVFNHL >ORGLA05G0037400.1 pep chromosome:AGI1.1:5:2753146:2754108:1 gene:ORGLA05G0037400 transcript:ORGLA05G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LMESGETTQSISLVDMRKKPEERGLDKEEEDYFNKGSDEEDSDKQTSCAQKESLDKLPKGSDIRHIPARSKSGGLVDYDDDDEGYNPPPKRAVKADEDDEALVIKRNPVDDKQADGRSPKKPKMEPRFICSKIVAAASVAGRRSNSADKQGPHPPSSSTKTSEGNGDVGEEGPDSSQNLQHDPGSLDSTHQNGDDCTKDAGNSPSEMTVNTSKATDSEPYSVR >ORGLA05G0037300.1 pep chromosome:AGI1.1:5:2749200:2752887:1 gene:ORGLA05G0037300 transcript:ORGLA05G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSNISAESKRELVLFLLEFCTLTKSLQAVQQLRLSRDLASEGVFDIMSDVLQSQDKVLVSAGTDILVYFLNQDPNLLRSYIARQENSQEGNSLLGLLVQGMVTDFGEGMHCQFLEILKILMDEFATNMPTNYRGAIDVFHEKHLDKLIDVIALASSPMDITQSTSSPVGVGTRVENHSVKTEILSNICELLCFCVVHHPYKIKVNFLRSNSVEKILTLTHRREKVLVVAAVRFMRTVIARNDELLLSHVIKFNSLKPIIEVFVENGDRYNMLHSVVLELLEYIRKVT >ORGLA05G0037200.1 pep chromosome:AGI1.1:5:2733989:2739862:-1 gene:ORGLA05G0037200 transcript:ORGLA05G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PSM4] MNSAAAAAACASAPAWVVGGGAGGGARSARGPCGIRVCGLGGEAVALRSLRISQGAAVRRAAVAARAAAENGVAGSGGFDYDLVIIGAGVGGHGAALHAVEEGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELHDEHHMKSLGLQVSSPGYDRQAVADHANNLASKIRSNLTNSMKALGVDILSGFGAIVGKQKVRYGKVGFPDKEITARNIIIATGSVPFVPKGIEVDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRVLINPRNIDYHTGVFASKITPAKDGKPVQIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFIPVDERMRVMDADGNVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGRDHILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEISVVKTSFKANTKALAENEGDGLAKLIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRLQDIKFAVHAHPTLSEVLDELFKAAKVNSGVSHSVNEPVAA >ORGLA05G0037100.1 pep chromosome:AGI1.1:5:2728880:2732442:-1 gene:ORGLA05G0037100 transcript:ORGLA05G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin gamma chain [Source:UniProtKB/TrEMBL;Acc:I1PSM3] MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHYIPRALLVDLEPRVINGIQNSEYRNLYNHENIFVAEHGGGAGNNWASGYHQGEQVVDDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLANPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARNKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTTHRVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFEDNDLSEFDESREIIESLVDEYKACESPDYIKWGMEDAGEANVAAALDSKLVV >ORGLA05G0037000.1 pep chromosome:AGI1.1:5:2723501:2727399:1 gene:ORGLA05G0037000 transcript:ORGLA05G0037000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT3G62600) TAIR;Acc:AT3G62600] MAAPRWIWPLLLLLLHFVAAVAGKSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPNNEEANKRFAEINNAYEILTDQEKRKIYDRYGEEGLKQFQAQGGRGGGGGMNIQDIFSSFFGGGGGGMEEEEEQIIKGDDVIVELDASLEDLYMGGSLKVWREKNVIKPAPGKRRCNCRNEVYHRQIGPGMYQQMTEQVCDQCANVKYVREGDFLTVDIEKGMQDGQEVSFFEEGEPKIDGEPGDLKFRIRTAPHERFRREGNDLHTTVTISLLQALVGFEKTIKHLDNHMVEIGTKGITKPKEVRKFKGEGMPLYQSNKKGDLYVTFEVLFPKTLTDDQKSKLKSILT >ORGLA05G0036900.1 pep chromosome:AGI1.1:5:2715562:2718470:-1 gene:ORGLA05G0036900 transcript:ORGLA05G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thioredoxin family protein [Source:Projected from Arabidopsis thaliana (AT2G47470) TAIR;Acc:AT2G47470] MATPQISRKALASLLLLVAAAAAVSTASADDVLALTESTFEKEVGQDRAALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEYVNSEAATNVKIAAVPSSVVVLTPETFDSVVLDETKDVLVEFYAPWCGHCKHLAPIYEKLASVYKQDEGVVIANLDADKHTALAEKYGVSGFPTLKFFPKGNKAGEDYDGGRELDDFVKFINEKCGTSRDSKGQLTSEAGIVESLAPLVKEFLGAANDKRKEALSKMEEDVAKLTGPAANRYGKIYVNSAKKIMEKGSEYTKKESERLQRMLEKSISPSKADEFVIKKNILSTFSS >ORGLA05G0036800.1 pep chromosome:AGI1.1:5:2711600:2714256:1 gene:ORGLA05G0036800 transcript:ORGLA05G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: 3'-5' exonuclease domain-containing protein / K homology domain-containing protein / KH domain-containing protein (TAIR:AT2G25910.2); Has 131 Blast hits to 125 proteins in 54 species: Archae - 0; Bacteria /.../Metazoa - 12; Fungi - 12; Plants - 41; Viruses - 0; Other Eukaryotes - 16 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G25920) TAIR;Acc:AT2G25920] MVGGGGGGEAAMSPPSGGGKRGRDPEEDVYVDNLHSHKRYLSEIMASSLNGLSVGDSLVDNIMESPARSENTSYFRDEIISQYSPMSEDSDDYRCYDTQLPNGSQTDAMVSPSTSPMSSPHRFQKPQSGLLSANPYPLPSCSLSSVVCSNPRRGSENEGRFPSSLNDMCHGGDLRKTALLRSVQMRVQGPHAYELSFCGRQEQEHAHDHEDEHQHEHLEGLEGAERSSSHRETISDGVGYQMPENSYGRPEHDIDYIEDCTPHGCLSDLKFKQEDKDCSKLTSMDKNR >ORGLA05G0036700.1 pep chromosome:AGI1.1:5:2709796:2710125:1 gene:ORGLA05G0036700 transcript:ORGLA05G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VWCRGCGVALASARQWRWCEQVASADVVTAATELMDGGTMRRARTRELAVRARATTVMWVQGGIAGATPVGIGVVPSPPFPVIVVRVAWGVGCRGGVPEAMSSSDPMLR >ORGLA05G0036600.1 pep chromosome:AGI1.1:5:2706763:2706987:-1 gene:ORGLA05G0036600 transcript:ORGLA05G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSAAPGTPRLDAYSGRSFTIPSTSTARRTVSSRALCDLSRSAATHGCRSNTSAPPNLHQPHIPLADILSVDTG >ORGLA05G0036500.1 pep chromosome:AGI1.1:5:2701827:2703227:1 gene:ORGLA05G0036500 transcript:ORGLA05G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTMSSVRRGAAELVAPARATPHEFKPLSDIDDQEGLRFYRSGLFLYRRRAAMDGVDPAAVLRAALSEALVHYYPLAGRIVEASPGRKLLVECTGEGAVFVAAESGVAMDELGEVTGPPVPRHEELLCAADGAYADGGVVGRPLLYFQVTRMRCGGFVWGLQICHCLADAAGVAQFMTAVGEFARGVPGAPTVKPVWARELLSARRPPLPRDVAAPRHPEYEAVPDAGRDKVSHSDALVHRPFFFGRREIAALRALAPPSLASRSSRFDLIAAFTWRCRANALQYDAADAVRVQFVVNARGGGRGRRSNAPPLLPDGYYGNAFAFAVAESPAGELRRRPFAHALRLVVDAKARAMEEGHLQSVADLMAARGRPRFAVARTYVVSDLTRSGLDGVDVGWGAPAYGGPATATLATFHVAGRRSGDGEEGVEVPIRLPAPAMERMVVEVARELGGVDAHTEACLAARL >ORGLA05G0036400.1 pep chromosome:AGI1.1:5:2689518:2694580:1 gene:ORGLA05G0036400 transcript:ORGLA05G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVVAIAGDGDADAGHRRPLLASADDDDEIRPYPASSPSPQHPAGAERKPRRVASLDVFRGLTVAMMILVDDAGGAWPGMNHSPWLGVTVADFVMPAFLFIIGVSAALVFKHLTIVDDAQQKTPNKTVATKKAAIRAIKLFILGVILQGGYIHGRHNLTYGIDLDHIRWLGVLQRIAIGYFLAAISEIWLVNNISVDSAISFVKKYFMEWIVAVMISALYVGLLLGLYVSNWEFKVQTSNSILTIPTPGNEIGMKMIQCGVRGSLGPPCNAVGFVDRVLLGENHLYKNPVYKRTKECSVNSPDYGPLPPNAPDWCLAPFDPEGLLSTLMAAVTCFVGLHFGHVLVHCKDHSPRMLLWLLASTVLTVSGFLLQLLGMPFSKPLYTVSYMLLTGGVSGFLLLLLYYIVDVINIKKPFILFQWMGMNALIVYVLAACEIFPTLVQGFYWRSPENNLVDLTESLLQTIFHSKRWGTLAFVVLEIIFWCLAACFLHMKGIYLKL >ORGLA05G0036300.1 pep chromosome:AGI1.1:5:2683977:2687821:-1 gene:ORGLA05G0036300 transcript:ORGLA05G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1PSL5] MSLRPSERAEVRRSRYKVAVDADEGRRRREDNMVEIRKSRREESLLKKRRDGLPAAAAAAAAASPLLAHSSALQQKLEGLPAMVQAVQSDDSAVQLEATTQFRKLLSIERSPPIEEVINTGVVPRFIAFLQREDYPQLQFEAAWALTNIASGTSDNTKVVVESGAVPIFVKLLSSPSEDVREQAVWALGNVAGDSPKCRDLVLASGGLYPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFEQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDMQTQCVIDHQALPCLLNLLTNNHKKSIKKEACWTISNITAGNREQIQAVINANIIAPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKYLVAQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNAYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPSGDNAQNGFNFGNQQPNVPSGGFNFG >ORGLA05G0036200.1 pep chromosome:AGI1.1:5:2677106:2679425:-1 gene:ORGLA05G0036200 transcript:ORGLA05G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDQKVIGIAAAAAAAAAAEEEEAGRRCCVECGATTTPMWRGGPTGPRSLCNACGIRYRKKRRQELGLDKKQQQEHHPHHHQQQQQQYQRQQQQQQQEDHSDAASSVKDSSSSSSNKSSSLQVIYEIVISRSESDCEGAMEGNCVPLKRLVQQVDFLLSSTGITESCQCVAVSCANQMGLQKAANVLLFLVPIRVLTMENNSCDILHIIRIIGRGCGIESKTRIIDR >ORGLA05G0036100.1 pep chromosome:AGI1.1:5:2673555:2676627:1 gene:ORGLA05G0036100 transcript:ORGLA05G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVAALASQLLRRQLLTRRPPPRFLSSAAAAASGPLDRLRSPPFARTAARHGSPALSPWSRFGGQKRGMFVQTQSTPNPQSLMFYPGKPVMEVGSSDFPNARTAMTSPLAKALFAIDGVTRVFFGSDFVTVTKSEETSWDYLKPEVFAAIMDFYSSGQSLFLDSSTAASMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPETGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQELDGDEEAELTGQLE >ORGLA05G0036000.1 pep chromosome:AGI1.1:5:2665597:2668250:-1 gene:ORGLA05G0036000 transcript:ORGLA05G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSCDCIEPLWQADDLLVKYQYISDFFIALAYFSIPLELIYFVKKSAFFPYRWVLIQFGAFIVLCGATHLINLWTFAIYTKTIAVVLTVAKAATAVVSCITALMLVHIIPDLLNVKLRERFLKDKADELDREMGIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVELGRTLALAECALWMPTRSGSALQLSHTIYNSAAIGSVVPINLPIVSKVFNSNRVVKIPHTSPLASITADKSRYVPPEVVAIRVPLLHLANFQINDWPELSAKSFAVMVLMLPPDSAREWRPHERELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALDAARREAEMAICARNDFLAVMNHEMRTPMRAIVSLSSLLLETNLSAEQRLMVETILKSSDLLATLTNDVLDVSKLENGSLELEIAPFNLHSTFTDVVNLIKPVAACKRLSVMVTLAPELPLHAIGDQKRLMQIILNVAGNSIKFTKEGHVSITASMARPDALRGPHEPDYHPVVSDGFFYLAVQVKDTGCGISPQDMPHTFGKFAHPENAGKWNSGSGLGLALSRRFVSLMEGNIWLESEGVGKGCTAMFFVKLGMPEKPNANLRRMAPHPLQPNQGAGGPDALSISIMDSNPRVPRVRYQSSV >ORGLA05G0035900.1 pep chromosome:AGI1.1:5:2662225:2664403:1 gene:ORGLA05G0035900 transcript:ORGLA05G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPRSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPLSLRRLAKFMEGKEENNIAVIVGTVTDDKRIQEIPKMKVTALRFTETARARIVNAGGECLTFDQLALRAPLGENTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >ORGLA05G0035800.1 pep chromosome:AGI1.1:5:2653410:2659700:-1 gene:ORGLA05G0035800 transcript:ORGLA05G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGRTEMEVRPGGVALITISNPPVNALSIHVLYSLKDHYEEALRRNDVKAIVVTGKGGVFSGGLDINTFGAIQRNKAEQLKVDYVSIDVMTNTLEAAGKPSVAAINGPALGGGLEISMVCQARISIPTAQLGLPELQLGVIPAFGGTQRLPRLVGLTKALEMMLMSKPIKAEEAHQLALIDAIVSPNDLLNTACRWALDISESRRPWVHTLSRTDKLESPDEAREILKFARAQVQKQAANLRHPLDVIEEGIVSGPQAGLRKEAIAFQDLVFSDTCKSLVHVFFSQRATSKVPGITDLGLMPRKVSKVAIVGGGLMGSGIATALMLSNYPVVLKEVNDKFLDAGIDRIKANLQSRVRKGKMTKEIYEKTLSLLTGVVDYERFKDVDLVIEEIVENVKVKQQVFADLERYCPSHCVLATNTSTIDLDLIGEKTNSQDRIAGAHFFSPAHVMPLLEIVRSNHTSPQVVVDLLDVGKKIKKTPVVVGNCTGFAVNRMFSPYTSIALLLVDRGMDVYKIDQVCTEFGMPMGPFRLLDLVGFGVALASGMQYLENSPGSVDKSMLIPLMFEDKRTGEASQKGFYKYEGNRKAIPDPDIFKYVEKSRRMAGTVPDLELLKLDDKEIVEMVFFPVINEACQVLSEGIANKASDLDIASIFGMGFPPYRGGIVYWADSIGAKRIHARLSEWEMKHGQLFRPCSYLSERAAEGVPLSSTAKNNAKARM >ORGLA05G0035700.1 pep chromosome:AGI1.1:5:2647168:2648864:-1 gene:ORGLA05G0035700 transcript:ORGLA05G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPSQSPAQTPPQAAQQQQQGPGESARDDMMACVAALEAALLPCLPARELQAVDRSLQSSHQIDVERHARDFMEAAKKLQSYFISLQREDRPSTEEMLRKDIAIMEEELKTKSELIDKHKKLIEGWQKELKDQLGKHVTELERV >ORGLA05G0035600.1 pep chromosome:AGI1.1:5:2635891:2646423:1 gene:ORGLA05G0035600 transcript:ORGLA05G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGDAVMARWLQSAGLQHLAASSTSSSSVSTAGGGVDPRGGGGVGVGALGGGAGGGSLLPSLLMQGYGPQSIEEKQRLYMLLRSLNFNGETAPPSISEPYTPTAQSFGGGNSLEGFYSPELRGELGAGLLDLHAMDDTELLSEDVASEPFEPSPFIPKEMDEDDDDMLPGSQPGPSDNYNAVANEKESTARENNVAKIKVVVRKRPLNRKEVSRKEEDIITVHDSSSLTVYEPKLKVDLTAYVEKHEFCFDAVLDEQVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPVYRNQNFKLWLSYFEIYGGKLFDLLSDRRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAIKKHIIVTDTRRQRDRDANESKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGSNTRKEQPTGPTIPSSKDSSSAPSYPMPIETEEIANQIQEKRPVETSRKAAENFTSNSSMEPDRNPVSMIPSYSNRGKEENGSSGLNDRERVDLNSSRISYNSKPQSVQSSANLQEEEKVTKVSPPRRKAYRDDKPERQSNYAKKDSGPETSRPGYKVQQAKQLQQQQRPTSASASQNSSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIESTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSHAWLGLFCQFFHCMMRHVTTVFADMDGPNTKGEVERGEREREGRESSRARAARREEMSGEVAAAVGGGAPEENGAPPNVTIYINNLNEKIKLEELKKSLRAVFSQFGKILDVLAFKTLKHKGQAWVVFEDVASATEALKSMQDFPFHNKPMRIQYAKTKSDIIAKADGTFVPRERRKRNDEKPEKKQKREQHHDVSQVGLGVNAYPGVYGAPPLSQLPFAGAQKVMMPEIIVPNNILFVQNLPHETTPMMLQMLFCQYPGFKEVRMVEAKPGIAFVEYGDEGQATAAMNHLQGFKITKDNQMLISYAKK >ORGLA05G0035500.1 pep chromosome:AGI1.1:5:2623168:2628639:-1 gene:ORGLA05G0035500 transcript:ORGLA05G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAREEVREEEGEEGEGVGGKEEEKAAAAAAAVSCSICLDAVVAAGGERSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWLYANGSRPTQDVNMDEWAHDEDLYDVSYSEMPFRFHWCPFGRLAQLPSFFEEGESSPPVTFHDFMGQHMFTEHVAAVSAAPGAAHPCPYVAYLHPLPSLASSSSSHVPERTMDGPAYHDPWHPLAGPSDGRPLQSVQPADFHHNHWAHVPNSYPQPNNNNGVAEQQGVPFGTTRAARVDGDTQRRGSSISPSYFSNGSGSRSRAPNVPPMVPQFMRAHGSISEQYQQSSSSSLFAGAHRSGGMRTAPPPPLPENPAFCLFPPGSSGHNSMETDDAGGNRFYAWERDRFAPYPLMPVDCETNWWSSQQSHGTSEPAPAPRRLFGQWIGVGRSSPENRSPEGSSYRQMHTPRM >ORGLA05G0035400.1 pep chromosome:AGI1.1:5:2615054:2621102:-1 gene:ORGLA05G0035400 transcript:ORGLA05G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAMMA-TUBULIN COMPLEX PROTEIN 4 [Source:Projected from Arabidopsis thaliana (AT3G53760) TAIR;Acc:AT3G53760] MLHELLLALLGFTGDFVLDAASSPSATRRRRPVPPEAAGGGDVGPASFRLAPDLTFLQPSERSAIERLISLGFYYRELNRFATESRDLSWINSPIDVSPTHDEKTVKAKVRKGSAYRRAIANGIAEILSVYRSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLHELVMEIEQKDIKGGQLLNLLHKRCHCGVPELQSCIQRLLWHGHQVMFNQLTSWMVYGILQDQYNEFFIRRQEDRDEENDSSQVDVADKFTQKSAKETSLTSWHTGFHVSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQESMNQSQNVKGSYRMQSLIGGSGALKELPNFPNISAEELLPQAEADKIDAMLKELKHSSEFHKRLFESAVGSIRTMAANHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMVPFQLAALKTIGDEDKYFARVSLRMSSFGIKASTSQKDLQKSSTPEISSQGKATSELALDGWDSIALEYSVDWPLQLFFTPDVVSKYRKVFQYLIRLKRTQMELEKSWAAVMHQDHADFSDYCKDRKNGDATQLRRQRSKPFWRVREHMAFLIRNLQFYIQVDVIESQWNVLQAHVQDSHDFTELVTFHQEYLSALISQSFLDIGSVSRILDSIMKLCLQFCWSIEQYENRRNMLEIDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNYNSFFETTARGVMNSAGGRLRPSTAGTQL >ORGLA05G0035300.1 pep chromosome:AGI1.1:5:2612027:2614102:1 gene:ORGLA05G0035300 transcript:ORGLA05G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDQAVAVAAAPRANKRAKVAAEEEEYDEPCRSPPAPPAKKKVARLTVWCKSLVFHGEGYAVFDDADGRMVFRVDSYGAGRRRVALMDHAGRVLLTVVRRHRRHCRVLLMSLTPETWEVYKGDVGDGDGAATCSQDEPPRLVMRATKDLGNPSCTVSMLAAGAGAGNGDEAACGHGVYRMSWSRREEWSRVHCSNGCINSLVAEACMKFLQLLDHPPRRDQPPPHLCLGSDRARTHPSSLSSLFTYNTTKLTALPLSSSTSPILTTPMSSPTSRSGVGREKVGGDALDVRDDDXGVEKVEEIKYYSNSAQNDAPQPLQRXEGREAGRGRRRCWGRTCCHXRCSQGWTRPSPWPCSXSPTPTADXSTNWL >ORGLA05G0035200.1 pep chromosome:AGI1.1:5:2589476:2590093:-1 gene:ORGLA05G0035200 transcript:ORGLA05G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPSTSTTTVCLATSLHSALTLWRYRCHTTVSCPSHRSSSKIVFSLSAVNANIIGPIPEFVGDHFKYLGTLKLQGNSLFGHIPTSFASTRIRSVQLQDQRGLDKLSGPIFMLNATNIVYLDLHGNQFTGPIPNLASNIEMEYIDLSKNALTGDVPQSLMQLHHLRVLNLSDNSLCGQLPKFIKKYDCKD >ORGLA05G0035100.1 pep chromosome:AGI1.1:5:2536063:2536918:1 gene:ORGLA05G0035100 transcript:ORGLA05G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLLSIGHTSVFSVLRHCLQDNHLSQEHGAAPSYPVRTGLEYCNARSCHNAPGSGKSIAISLLQRFYDPNVGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNDTIRANIAYGKQGEVIESDIVCAAQLVNAHKFISSLHQVKFKFSSPSIIETWCSCFPTENVSPEETKCRDMEPWSGSVELSYHINLRLCMLDGVGSKSLAQAKRCDSLVHD >ORGLA05G0035000.1 pep chromosome:AGI1.1:5:2526340:2528442:-1 gene:ORGLA05G0035000 transcript:ORGLA05G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFRGTGNEDDAKCGTGNEDDAKCGKDCSTDSYMDNSSNTNDDDYAECSLRDHNKSKPNDPSSSHNVFHSVEEDNVALDEYWNIVQMNFXTEDECYNFYNSYAKRKGFSVRKDIVRREKRVGAIEYRRFVCSKEGIRDPSLVKPEDREFALXEDFEYGVYDDQTNMVEQIIQLEIRRSSGRMSLNGIKKLNLLRASKQIHATEHTWLIICRQDLALIESLKIVVILYDINLHCLETLRVKDEELQNLANNIRARDATIKEIADKLTQTAQAAEAAASTTHTMDEHRRLLCSEIERLRHYKQWKDKWNNPCSR >ORGLA05G0034900.1 pep chromosome:AGI1.1:5:2525802:2526242:1 gene:ORGLA05G0034900 transcript:ORGLA05G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTDTIGFXSGTTTAEXQIAMCIRVIGAASNQRYARIGDVIVAVIKDAVPQMPLERSEVIRAVIVRTCKEFKCEDGIIIRYDDNAALSLAQCATYEPPEVQHLFPSAIDPSLCSI >ORGLA05G0034800.1 pep chromosome:AGI1.1:5:2521125:2524540:1 gene:ORGLA05G0034800 transcript:ORGLA05G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMGNYKVPTGIRTLHRGYREGPDLGARSLLDEWFALCQKLGIAAEHGYFVRWNKAAEWESSYPNHDFEWKHNIEPVMQVYTETTDGSSIEPKEIALLWHYLDADHDFSSCQAKELLGHLERVLSNEPVVVKCGHYIVEVKPQGVSKGLAVGQKPSKAKYYVDDAGEVVRLLKNVAGISSHREAVSHGRVIFRDVMDYVD >ORGLA05G0034700.1 pep chromosome:AGI1.1:5:2516940:2518463:1 gene:ORGLA05G0034700 transcript:ORGLA05G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGLPWQSPAGERWDATSLAGALKAAAGVRSATHVMPLYAVLLKLGLSASAILATSLAHLALRCGLPGYARRVFDEMPHRDVVSWTSLITGHAHQGLYQDSLALLRRMVISGVVPNGYSLSGALLACAGIGPGALAAGKEIHARVVKMSLHGSVDAVVENGVLDMYTRCGKIDYARKLFGVMLVRDIVAWNSMMAGCLRSGQAEEALGLFSSMVSSGVDADGFSFAISVDACGELALLKQGMQAHARVIRGGFDSDVVVRNSLVDMYAKCGCVDSAGLVFRDALSSDAVLWTTMISAYGKFGRVHDAICMFDRMSQLGIKRDGVAYLAVLSACSHSGLVKEGWNYFKLMFHGQNSVKMQPEHYGCMADLLCRSGYLEEALDFITNMPFESSIAAWSALLNSCRIHGNAKLGQLAASRLVQLDPENHSNWVALSNVHASESDWHETWMIRESMSIECVKKEPGCSWVELHDGVHVFLMADQSQPELVDVLQTLDSLKEDIYWLMPT >ORGLA05G0034600.1 pep chromosome:AGI1.1:5:2503050:2507485:-1 gene:ORGLA05G0034600 transcript:ORGLA05G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGGGGAAAAANAKKKKKMGEEKLIIMSEKVRFIDILSLLLLRRPITSYHFVDAGDATAAAAGELGSTPGEWLVALTEIIQKALAAAYYPAKYLGAAVEFFLNFVSLNGGVIGILWNIVRFKLVIPLNREAPNFRSMIAMIDGRTELKPMKPAATAGVEDDDLESGGCAAAGVPLIRRHLVDGEHLLAEQYSISEVTVMASKIAYENAAYIENVVNNVWKFNFVGFYSCWNKFIGSETTQAFVMTERATDAAAIVVAFRGTEPFNMQDWSTDVNLSWLGMAAMGHVHVGFLKALGLQEVDAKDAARAFPREPPAAAALVGRSFAYYKLRDVLRDQLRRHPNARVVVTGHSLGGALAAAFPALLAFHGEADVVSRIAAVHTYGQPRVGDATFAGFLAANAATPVAFQRVVYRYDIVPRVPFDVPPVADFRHGGTCVYYDGWYAGRTLAAGEDAPNKNYFNPKYIVSMYGNAWGDLFKAMFLWAKEGKDYREGPVSIVYRAAGLLFPGLASHSPRDYVNAIRLGHVAPKEA >ORGLA05G0034500.1 pep chromosome:AGI1.1:5:2497081:2500330:1 gene:ORGLA05G0034500 transcript:ORGLA05G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTSDEDPELEAYGSDTYALLEAGDIKVMNDKNMYQCPFCSDEKNDYNAHGLLQHALAVGSADDRPAKEKANHRALVLHLKDDTAESSRPLSQPPQHSKNDLFVWPWMGIIVNMPAEYVGKSANRLKEHFSCYHPSKVYSVYSKGRPSGNAIIEFGKDWSSFRNALQFESEFEKKGCGKKAWLDSGRGGPEPFGWIARADDYNSSGPIGEHLKRNGDLKTVSDVENEGTVKTDKLMASLACQVKEKEIHLEKLQSVYDERYLSLGKMMESRENMLKSCNTEIQKMQQLAYEQAQRTVDENQKLRLNLQSMMHELDANCKRLEELTAQADSDKRNLEVLMQKNAKKADHLRLAELEQQKADGNVLRLVEEHNREKEATLNSNMRLHEQLDRKQKLELEIAHLKGKLQVTKHTQGTEDSDLEKTIEALTNELEEKIEDMNYMDNMNQTLILKEKRSKDELQEARKLVIDSLQRLPTDIRSQAQIGIKRIGELDLKVFSDACRRRFSEDFAEVESSVLCSKWQNEIKDPEWHPFKSVWVNGKESKVIREDDEKLQQLKEEYGQEVYDAVTNALFELDMHSIGGRDPFLELWNYEEGRKAGTREVIQQVIKLYKATKRRR >ORGLA05G0034400.1 pep chromosome:AGI1.1:5:2491001:2495018:1 gene:ORGLA05G0034400 transcript:ORGLA05G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEAATAAAAAQLHHLDLVRFEAAEGDEKRHGDHGLVVYTAPKTTVMCVDGAVVDKTDAAALVIVDRSSLHPGMEVTSASDPAGQIGVVTAVSTAVDLVEHRADGDYGDAEAAPAARGLSPSGLRRVTEFSLGDYVVCSGGGDQWLGRVVEVCVAVDVVFDDGAACRITGDRAQDRVIEVEVAANTYRRRGMNGAFYPGQRVTGHHVLASPSIAFKDARWLRGYWKLTRLEGTVAKVAMTGVLVYWIASAQLGTSKSLINASSPPAFQDPGDLTLFCSDDECPWAFGDRCFIATPPRHRRRRRQPRVPTDDDKQEASPAAATTSSSTTTTNQDAAAAAPPVEKKENTYRNQLRKFFYKRDLRATRWGARARAVDKVMLVSGTRTTADVLWQDGTLRRGVPSLELVPFDILNDHEFFPGQHVVVDTMAAAEATTAAARRVGVVRSVDPKDQTVRVSWLDGGDGGGEETVASAYDLRKYSRHDVFYGDVVVRLLPPPPESADAAGEGAAPPAQGTKAAAADLSWVGRVVDVRDGHVQVRWGNGETSTAVHSEVRGVDMRSFWALEHEVGPWLAEGRDRAAAAVAQPPPPPPPPPPPPPAAGNNNNNVANAGAAGNTAGPAAAAPAPSPTLIVRVSAAVRKVFDVASQLVALGKSYLVTVSSSSSISAAAATATGNAEAPPPAGPTAGGDVNVEPAPAVPAAVALNGVAGEDAAAPAPDAAALPSSSDAGGGDGDGGDSAGDGGGKEKVEDDSLGVAHFDVVQCPPDHHFLDCKLEGAAHGNKWVKRVQKEWQILGNDNLPGTIYVRAFEDRMDLLRAAMVGAAGSPYHDGLFLFDLHLPATYPAAPPEVYYHSFGLRVNPNLYPSGTVCLSLLNTFDGEGVEVWSPARSTLLQVLVSIQGLVLTADPYYNEAGYDAYAGTPGGRRNAASYAENACLLTLRSALHLLRRPPRGFEGVVGAHFRRRGAHVLAACESYLRGTRVAGDGGDGGGGERTCSAGFRLALRNVVPVLAAAFAEIGVEGCERFGDGELGQCSLTAFDDSAASADASD >ORGLA05G0034300.1 pep chromosome:AGI1.1:5:2484314:2489900:1 gene:ORGLA05G0034300 transcript:ORGLA05G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:villin-like 1 [Source:Projected from Arabidopsis thaliana (AT2G29890) TAIR;Acc:AT2G29890] MKGVDDAFLGVGDKPGLDIWCIMGSNLIAIEKSLHGKFYTGNTYIILSTVELKSGVRQHNVHYWVGEEAKEEDCLTASDKAIELDVALGSNTVQYRETQGEESDKFLSYFKPCIIPIQGSLSSHMRISGDKSKDTTMFRCEGEHVARVTEVPFSRSSLDHKAVFVVDTESKIFLFSGCNSSMQTRAKALDVVKHLKENRHCGRCEIATIEDGKLVGDSDAGDFWNLFGGYAPIPRDVQDTVMTELMTTSSKKLFWINKRNLVPVETNLLEREMLNSDRNYILDCGTEVFLWMGMTTLVSERRTSVTALEDYVRCEGRQSNARSVILTEGHETVEFKMHFQHWPKNAVPKLYEAGREKVAAIFKHQGYDVTEIPEDKPRHFISCNGSLKVWLVDNGSVTLLCTEEQEQLYNGDCYIIRYSYIEDGKDYHLFFAWSGLNSINEDRVAAASLMSGMIDSVKGHAVVAQVFEGREPEMFFLVFKSLIIFKGGRSMAYKNFVSQRSDANGWYQKNGVALFRVQGLKHDCIRAIQVDLAASSLNSSHCYILQAGGSFFTWLGSLSSPSDHNLLDRMMDNLCPLKQSLLVREGSEPDRFWEALGGRSEYSKEKQVKDWPADPHLYTCHFEQGLFKAKEVFSFSQDDLVTEEILILDCVEELHIWVGHQSGVLSKEQALDIGKMFLQAGIHQDGRRPIDTTMYIVTEGDEPRFFTSFFNWDYSKQTMLGNSFERKLAILKGISQKLETPERSLRKSSSSSLPRRSPGTSSSEPTTPEQRAAARTFASASTGKLLRERSPAALSPSLSTPSPSPRSRSSASSSPASWNSTPSTVARRLFPPSLHASAEAVATGTPRRR >ORGLA05G0034200.1 pep chromosome:AGI1.1:5:2478784:2480170:1 gene:ORGLA05G0034200 transcript:ORGLA05G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDHEGDTMARKKHRVYVAIEEIESHGHEEDDDGGEEVEEEDEQSHGEADGDGDDAAAAMEESDGHDEEGDNGGDEPDQSPDGDDMEEEEERGGGGVHGGEAEVETFRHSKQASSARPVVAVAGVTVEDADALECSVCCLPLRPPIFQCEVGHVVCAPCRDKLAPAGRCHVCRAAVAGGEYRRCHALERLVDAIRVACPHAXXXXXXXXXXHALDAHRRACPHAPCRCPGESCGFAGSTAALLDHFAAAHAWPCVADVRAGETHRLHDGFNFHRVEHRGGGDHRLIMLNMTREPLGRAISVLCIHPHAAPAAEMQCELRLHVSRPADDAGGGLCISHYQKSVFHIGYSDLADGVPDRRRRFQFVVPRHVVGGDNEDGVQIRVRIKY >ORGLA05G0034100.1 pep chromosome:AGI1.1:5:2471849:2472865:1 gene:ORGLA05G0034100 transcript:ORGLA05G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:I1PSJ3] MASTAPVGEACQRRLALGRTERVRMPPPPPPPPPPPPRPFSRKPSEPAAPSTRAVVAVAGVTVEDADALECGVCFLPLRPPIFQCEVGHVVCSPCRDKLAPAGRCHVCRVAVAGGEYRRCYALERLVDAIRVACPHAAHGCGATPAYHALDAHRRACPHAPCHCPGERCGLVGSTAALLDHIAATHSWPCTTNVRAGETVSVHLRDGLAFLRVHHHRRRGSATYSDHLIMLNVTREPYGRVVSVLCIRPHAAAEHQVSSPPPPAMQCEFLLVSRFGYDGDGGHCRSHYQKSEFLIGCSDLADGLPDREQSFQFMVPRCVVGDDDEGGIQIHVRIIVIN >ORGLA05G0034000.1 pep chromosome:AGI1.1:5:2469415:2470545:1 gene:ORGLA05G0034000 transcript:ORGLA05G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:I1PSJ2] MAASSSSSKRRAMGMAASDQGDTSAHAMKKPRVRVAPDSSASEDDTDEDHGHDEGDGGEEEEEEEEPDGDGEEESQSYQDPLESDGDGVDEEASAGDMAASEPAAPSTRAAVAGVTVEDADALECGVCFLPLRPPIFQCEVGHVVCAPCRDTLAPAGRCYVCRVAVAGGEYRRCYALERLVDAIRVACPHAAHGCAARPAYHDVEAHRLACPHGPCHCPGERCGFVGSTAALLDHFAATHNWPCTTNVKAREVFDVRLHDGFNFLVVGGASRHHLVMMNMTREPLGRAITVLRIHPHATGRIQCELSLSHHVVLGDSWGLYRSHYQKSVFDVGCSDLADGLPDAKQCFQFVVPRCVAGDDDEGGTGVRINVLITVD >ORGLA05G0033900.1 pep chromosome:AGI1.1:5:2464242:2466823:1 gene:ORGLA05G0033900 transcript:ORGLA05G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G71070) TAIR;Acc:AT1G71070] MVSVFFLLSLLLLLFSSSPPRLRLPGPAAAAPSLADDLRCGHGAPPCLAYLLVGARGDGARLLRLLLAVYHPRNRYVLHLSADASDSERRDLAAWVAAATPAVGAFRNVAVVGAPTAGTPVGSSSLAGTLRAAAVLLRLHPDWDWFITLNAADYPVVTQDDLIYVLSNVSRQFNFVDHTSDIGQKESEKVQSMIVDAGIYLAGRTNFFRASEKRPTPDAFKFFTGISCCSPWVILNRQFIEYCILGWENLPRILLMYFNNIMLPQEGYFHSVICNSLEFRNFTVNNDLRYKAWDNPPQTEPVFLDMTHYDKMVDSGAPFARRFRENESLLDKIDGNVLGRWGHGPVPGAWCSGRKSWFSDPCSQWSDVNIVRPGPQGIKLRQYINRALEEGEFGSKSCRSKFISDSSMTLKAELRASSNRSL >ORGLA05G0033800.1 pep chromosome:AGI1.1:5:2450858:2451332:1 gene:ORGLA05G0033800 transcript:ORGLA05G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSISSSIVPRCTTELLLGKCPLCGTQLEARTSRTPMNPNNKFVKCPNLEHTPYAYRFFVGRSVCAIFGQWPCRSGTSDRTWTFNVEAMSSRGIEGLELKGFAAVGRMLVYLTVVQALLLLLILVVVISK >ORGLA05G0033700.1 pep chromosome:AGI1.1:5:2446352:2446762:1 gene:ORGLA05G0033700 transcript:ORGLA05G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFKHTGDAAEVGTTTGCAAAAVAGPRRVKLPMPQATIGFILAWRKGPSPNLEEMDDSEFLSPEHRRQREELHAYLDKLDLEFDEFQDEVRREVQETGGYLQTFDEAAHADMEEFIAQAMEEWVGIDWAALHRL >ORGLA05G0033600.1 pep chromosome:AGI1.1:5:2434182:2438188:1 gene:ORGLA05G0033600 transcript:ORGLA05G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKFGDGPFSHARMKFGRQLHATRLLRRPGDRLLLIRLLLLALRRRRRVQDRRVVGVGIGIHVLGDVDEVMRIVEGRDCGAENTLKPGDVIQCRECGYRILYKKRTRRTYGLTSKVHYAQIENAIAKPSSTSSVTTTDCLFNMKRAEDMGTSCDISVSKAMCDDRILVKLTDFNSLHFLVASSPGHCCSTSSAFKVMPVVLFCTLP >ORGLA05G0033500.1 pep chromosome:AGI1.1:5:2432077:2432451:1 gene:ORGLA05G0033500 transcript:ORGLA05G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVAGERRRRLFPCLFCEKKFVKSQALGGHQNARRKERGAAAAAGCLNPYVFYGAGGGAAAAPATLSLLLQVDNSYTTTSYIDEHGRAAAPPPPNSDHICWTTAGAASRGGAGAGGEVDLELRLF >ORGLA05G0033400.1 pep chromosome:AGI1.1:5:2422536:2426546:-1 gene:ORGLA05G0033400 transcript:ORGLA05G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTDADAAPVAPVLEEKPPTPPPDGDEVPSAPAAAAAEQPKVVEEEEVRLEGKGGGFGGQEVEVAGDGEDGGEVEVAEAKDEGGGGEFAGGDAKAASSLSAAAAAEEEEEEEASNGELGEEDAYPASSDAALGEEKGELGEEPEEKAPALAPEANGAAESDVEEKPEEDNEGEEVATGGGDDGELGMEKEVDVSAGAAEAPQPEDKVAPEAEANGDLGDKAEEEASASAAVEVVEESNAPEELLEKAVVSEANGVAAAVKLAVEEKLEDNKGEEEETEAKPEPVSGVIPVVVDDTSSETIAPVSAESAVEESTEKEQTVDDTSSEMIAHVSAESAVEESTEKEQTVESQASESVEIVGVEKLTEDESNVDGGASSVVSRELAPEETKENNVGQEDEGVAEVIDREEDADDDEEIVLAAADDEDDGTNEADDDEDGVSSDRGPARVAIIESSEAAKQIMKELGEGSASVSPVSGLSSSREYTNSMDGQIVLDDSEEDGDDDDNEDDDEKGFDSAALAALLKAATGASADGNVTVSSQDGSRIFSMDRPAGLGSSAPSLRPTAPRPVARSNLFSPSELAVTAEPTEEMTEEEKKLHDKVELIRVKFLRLVYRLGATPEETVAAQVLYRLSLAEGIRHGRQTNRAFSLDNARKKAMLLEAEGKEELNFSCNILVLGKIGVGKSATINSIFGEEKSKTDAFSSATNSVREIVGNVDGVQIRIIDTPGLRPNVMDQGSNRKILASVKKYTKRCPPDIVLYVDRLDSLSRDLNDLPLLKTITSVLGSSIWFNAIVALTHAASAPPEGLNGAPMTYEVLMAQRSHIIQQSIRQAAGDMRLMNPVALVENHPSCRRNREGQKVLPNGQSWRHQMLLLCYSSKILSEANSLLKLQDPNPGKLFGFRFRSPPLPFLLSSLLQSRAHPKLSPDQGGNEGDSDIDLDDYSDIEQDEDEEEYDQLPPFKPLTKSQLARLTKEQKNAYFDEYDYRVKLLQKKQWKDEIRRLKEMKKRGKTDMDAYGYANIAGENDLDPPPENVSVPLPDMVLPPSFDCDNPTYRYRFLEPTSTVLARPVLDAHGWDHDCGYDGVSVEETLALLNKFPANMAVQVTKDKKEFSIHLDSSISAKLGEDASSLAGFDIQTVGRQLAYILRGETKFKNIKKNKTTGGFSVTFLGDIVATGLKVEDQLSLGKRLALVASTGAMRAQGDTAYGANLEARLKDKDYPIGQSLSTLGLSLMKWRRDLALGANLQSQFSIGRGSKMAVRLGLNNKLSGQITVRTSTSEQVQIALLGLIPVAASIYRSFRPSEPSFAY >ORGLA05G0033300.1 pep chromosome:AGI1.1:5:2417380:2418470:1 gene:ORGLA05G0033300 transcript:ORGLA05G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARRAVAVAVLHLLLLTASQLAAPALSAMAPPAAPLPGSADPRCHASPPRRGAVAVYPSDMAHIQFLLNAKFVEAEWFLHGALGRGIDFIDGALSGGGPPPTGARKATLDFRATEVAAELGYQEVGHIRAITQSMGGFPRPAIDLSDAVFAAVMDDAMATRLDPPFDPYASSVNFLLASYILPHITASAALQASMLAVEAGQDAVIRMMLYERSDEVVAPYRGRTVAEFTRRISEWRNAASRCGAKDEGVKVLDRRQGAERRTVSNILGAGDDSLGFARTPAEVLRILYGSGNEQVPGGFLPRGGNGTIARGFFQLA >ORGLA05G0033200.1 pep chromosome:AGI1.1:5:2402333:2409857:-1 gene:ORGLA05G0033200 transcript:ORGLA05G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:I1PSI4] MDAARFPYSPAEVAKVEAVQFGVLSPDEIRQMSVVHIEHAETMEKGKPKPGGLSDPRMGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDDIKFKQALKIRNPKNKLKRIYDACKNRKICAGGDNLDVQEQQGTDDPVKKRGGCGAQQPNITVDGMKMVAEYKAPKKKNDDQEQLPEPVDRKQILSAERVLNVLKHISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKQINLIRFSGWHSEAETGFITPGDTMVRIEKGELLSGTLCKKTLGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMENINETISKAKNDVKKLIKQFRDNQLEAEAGRTTMESFENRVNEVLNKARDVAGSSAEKSLSESNNLKAMATAGSKGTFINISQMTACVGQQNVEGKRIPFGFTNRTLPHFTKNDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAIWIESQKLDSLKMKKAEFDNVFRYELDDENWKPNYLSTQHAEDLKIISEIRNVFEAEVQKLEADRFQLGTEIATTGDNTWPMPVNLKRLIWNAQKTFKIDLRRPSDMHPMEIVDAIDKLQERLKVVPGDDDISIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKNIKTPSLSVHLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDAEFVQSYYEMPDEDIDPDKISPWLLRIELNREIMVDKKLSMADIAEKINHEFDDDLSCIFNDDNADKLILRVRITNDEAQKGEIPDEYGEDDVFLKKIESNMLTEMALRGIPGINKVFIKEGNVNKFEDNDGFKTEKGWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAVLCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDPLRGVSENIMLGQLAPIGTGGCDLYLNDQMLKQAIELQLPSYVEGLDFGMMTPACSPISGTPYHQGMMSPSYLLSPDIRASPTAADAQFSPYVGGMAFSPVSSPGNYTPSSGGGYSPSPPVCTPGPGSFTSSSPYNPVSPFYSPASPLSCPLTSPSYVPTSLPHSPTSPIYSATSPIYSPSSPIYSPTSLSYSPTSPVYSPTSPVYNPTSSAYSPTSPSYNPTSPSYSYSPTSPSYSPTSPSYSYSPTSPSYSPTSPSYSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPSSPSYSPSSPSYTPSSVKYTPSHAYSPSSPNYYRY >ORGLA05G0033100.1 pep chromosome:AGI1.1:5:2393553:2397404:-1 gene:ORGLA05G0033100 transcript:ORGLA05G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aaRS and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02760) TAIR;Acc:AT3G02760] MAPPAAAAAAVTLGGKGAALTPAAVYALSHGLADPAIDPSALQRLSTRGPSPQDTPASLRGLALSPPESRAAAAVLLNKLLVTAGDSSALVTAATATGLAGSLDLXXXXXXXXXXXXXXXXASAPVAVALAAAIDCCASPLVRVADAVAALSCEAARGDVAAFDVPTSGDGLSAKDEADVAADVKMLLFGSKLVGAAGGADAASFTKVPTVNGIFREAVRALHARVRIELNAPVKLGKRDAVQTGEGKEEALVALATQLARPVQAMLKLSVARARLCVARIDDAELRKKLTDGVEIDDLKGMLGKVTIDSDSVSVLRGVYNSLLKFRDILAWEAAVAMAVIEMDSSIEKPQAGGENEAGSSTENPQASGEKPKGDKKSKKKKTLGKGTSAVLMLLRDHVTNGKEVLSVNSALLAEWGTELSLLFDPKCPRLVSLVDKVKEIVETNEVRRLPKIPKGTRDFGKEQMAIREHAFSIITGVFKMHGAVSLDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNNISSLKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYETMEPDFEVIKVLTELLDQLDIGTYEIKLNHRKLLDGMLEICGVPPEKFRTVCSSIDKLDKQTFEQVKKELVDEKGISNETADKIGDLVKTRGPPLEVLLELRKEGSKFMGNAGSVTALNELEILFKALDKANAIGKIVFDLSLARGLDYYTGVIYEAVFKGTTQVGSIAAGGRYDNLVGMFSGKQVPAVGVSLGIERVFAIMEQQEMEKNQIRATETEVLVSIIGKDLILAAELVSELWNAGIKAEFKLTTRIQNHLKYATQSGIPWMVLVGESEISSGKVKLKNLAASQEEEVDRTEFAQVLKQKLRNP >ORGLA05G0033000.1 pep chromosome:AGI1.1:5:2391095:2393160:1 gene:ORGLA05G0033000 transcript:ORGLA05G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G12290) TAIR;Acc:AT3G12290] MAQIIDGKAVAADIRREVAADVAALSSAHNLVPGLAVVIVGSRKDSQTYVQMKRKACAEVGIRSVDVDLAEDISEAALVAEVHRLNADPAVHGILVQLPLPKHINEEKILNEISLEKDVDGFHPLNIGKLAMKGRDPLFLPCTPKGCMELLTRSGVTINGKRAVVVGRSNIVGLPVSLLLLKADATVSIVHSRTPNPESIVREADIVIAAAGQAMMIKGDWIKPGAAVIDVGTNSISDPTRKSGYRLVGDVDFAEVSKVAGHLTPVPGGVGPMTVAMLLKNTVDGAKRGIVQ >ORGLA05G0032900.1 pep chromosome:AGI1.1:5:2382409:2383218:1 gene:ORGLA05G0032900 transcript:ORGLA05G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYKTDIDVQHQKVVVIGNVSVDTLVKKLVKTGKHAEPWPEPAPPPPAAAAAANSPGGGGGGKKKKKNKNKNKNPANGQPGEPAPPAATAEVAAGGGGGGGSVPPENDDHGGSCDEASDDEGNKQGGGGGSPKTMVAGDGQGGGAGAVAPPFAMTPHGKQPVAPPGNGNGGGGGGGGGGKKKGKKGGGGGGGGNGNGNGNAAAAAANATGDGAGAVVEASPQDAPTKPSPGNAGPLAVVDGGVFYPPATPMSYPASYYGGGGGGTCRRTS >ORGLA05G0032800.1 pep chromosome:AGI1.1:5:2371900:2378804:-1 gene:ORGLA05G0032800 transcript:ORGLA05G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) TAIR;Acc:AT5G27680] MEDGAAVGDVSADRVIAELLDMGFEFDEISRAIGAVGTRRADVLEFMLGGSGNGKMKKASRETRSRSCVSSNAEARPSDDGNKLVNPRKRLKQSSITDHLASNTGCGRGSCGEKASTSYPCLAASDDPRAPVAVSADVCSALKPESQFLLANSRSESDLGHKISAVLRKHFGFSCVKGFQKEALDAWFAHKDSLVLAATGSGKSLCFQIPALLTAKVVVVISPLISLMHDQCLKLAKHGISACFLGSGQPDSRVEGKAMSGMYKIIYVCPETVLRLMEPLKKLAEKPGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSASKLKFLEHDIPLMALTATATIPVRADIIKSLKMSEDTVVVLTSFFRPNLRFRVKHSRTSVSAYKKDFRELVVTYNDSRNFRGKGQKALREINPDSESSSYESPDDAMSDDEDANVDSMSHEDNSFGKTKVGMPLINENAENELDVYPGVDDFDVTCGEFLECSWPENSASSSQCNKTGSSSSEIADQGPTIIYVPTRKQTVELANYLCKTGLKAAAYNAKMPKSHLRQVHQQFHCNALEVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLADCTLYCNLLRAPTLLPNKRSKEQTKVAYRMLRDCFHYALNTSICRAKILVKYFGEEFGTDGCRMCDICINGPPQMHDFKEDAVMFMSVLQAQNGQATEDMGCSNIPRYISGRQRFGEVPNFRGVVSYIREKFPRFATTDKVWWEGLARILEGRGYIQEAAEIPRVLIQYPEVTEAGLNFLSMQSEEEGLYEYLNAAMLLVMNDPKPISTSSEWGRGWADPAIRRQRLAGKKMGRRKGKRHSRSHSTGFTTARQRLAEILSKRRR >ORGLA05G0032700.1 pep chromosome:AGI1.1:5:2357416:2363436:-1 gene:ORGLA05G0032700 transcript:ORGLA05G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRFPSVRAAEVKGKPHFADFGLVPPAWGAAAAPWIAAAADGWPLLEELSFKRMVVTDECLEMIAASFRNFQVLRLVSCDGFSTAGLAAIAAGCRHLRELDLQENEIEDCSIHWLSLFPESFTSLVTLNFSCLEGEVNITVLERLVTRCHNLKTLKLNNAIPLDKLASLLHKAPQLVELGTGKFSADYHSDLFAKLEAAFGGCKSLRRLSGAWDAVPDYLPAFYCVCEGLTSLNLSYATVRGPELIKFISRCRNLQQLWVMDLIEDHGLAVVASSCNKLQELRVFPSDPFGAGFLTERGLVDVSASCPMLESVLYFCRRMTNEALITIAKNRPNFTCFRLCILEPHTPDYITREPLDAGFSAIVESCRGLRRLSISGLLTDLVFKSIGAHADRLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGDKPLLANAAKLETMRSLWMSSCLLTLGACRQLARKMPRLSVEIMNDPGRSCPLDSLPDETPVEKLYVYRTIAGPRCRMKIHSLFQVLITVGG >ORGLA05G0032600.1 pep chromosome:AGI1.1:5:2349861:2353097:-1 gene:ORGLA05G0032600 transcript:ORGLA05G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKATVNFNGETFESPAFCSTLRLAEHAAAEVALNELSKRGPSSSLAAKVLDETGIYKNLLQETAHRAGLKLPVYTTIRSGPGHTPVFTCTVELAGMTFTGNPGKTKKQAQKNAAMAAWSELKQLPQVGEPSSSSCPPDHDDDDQEQIIVARTLASLNQTNGGKTPQQKEKQQSSNRPSSRRPSYPKSNASFYGRLHLQKHAYPSVPPEQAMYHMWHQVQATQQKPHFPMVPTMGSTGFPPPPTVLHMYPPPRGQFTMPSSQDGLGLIPCYPEASPVLPRYFSPYPASFVPRRPLPVNVHKIHEKRLVGADMVELPDAAVFSRYTAPDFCGTSENAVQDNKKEEYTESSPASEQESKSHTASSSATRSPSQQLESNQDIEIMGGLRLESKKPAEQPPESSPSRVNPVLLSETGQRHHYSSVRHGDPVHRNSPQISVATSPSPICRGDPAHINIPQISVATPPECRSPRAQAPPRFGTRMPVNLPSSLYQQRPPWLAASVTIRTTVPVCSARPNVVNSSAGAAQPAVQILSASPRKEEPEARTNTSDTSNAATASSELNKLHI >ORGLA05G0032500.1 pep chromosome:AGI1.1:5:2339279:2348894:1 gene:ORGLA05G0032500 transcript:ORGLA05G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGKYGDGDDDDSEEEQLSPSSSAGEEEEEEVEEEEGEEQQEEQGEEEEGFSGDEEEQEVEGEADGEQVEEEEEEESSGGEEEAEAEGEEEEEEVEEEQGAGEEEEEEVDEEEIEAVTTGAGEDDDDEEVGDDGGAEEESQSTEDDEVAAGKDGGGEDGDELEDATGNAEIGKRERAKLREMQKLKKHKIQEILDAQNKAIDADMNNKGKGRLKYLLQQTEIFAHFAKGNQSTEKKSRGRGRHASKMTEEEEDEEYLKEEEDALDGAGGTRLVSQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIQRFCPVLRAIKFLGNPEERNHIRENLLVPGKFDVCVTSFEMAIKEKTALKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDDWFQISGENDQHEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSEMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYKGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAQLDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKLDFKKLVTDNWIEPTSRRERKRNYSESDYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNTQRLNELYEKEVKYLVQANQKKDTVGEGDDEDQLEPLTVEEQEEKEQLLEEGFSTWTRRDFNTFIRACEKYGRNDIKNISSEMEGKTEEEVQRYAKVFQERYKELNDYDRVIKNIEKGEARIYRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCETLIRLVEKENQEYDERERLARKDKKNMSPAKRSSSRSLDTPPQSSSKRRRQSYTEANAGSGRRRRG >ORGLA05G0032400.1 pep chromosome:AGI1.1:5:2337482:2338442:1 gene:ORGLA05G0032400 transcript:ORGLA05G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGVEAQHLSAIFHGVNVNGLDIDMVGRSAKAYGAKCSGGVETCLMVDSTVVQMKGKMEGNPSFSDARNRQEIACGGIRMRCLDLTKLEKLSTARREK >ORGLA05G0032300.1 pep chromosome:AGI1.1:5:2327583:2330429:-1 gene:ORGLA05G0032300 transcript:ORGLA05G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAAEGAAAALRSVLSRAQQAAARSGRAPESVRVVAVSKTKPVGVIRGVYDAGHRCFGENYVQELIDKAPQLPEDIEWHFIGNLQSNKARALLAGVPNLDMVESVDDQKIANRLDRVVADLGRKPLKVLVQVNTSGEESKFGVDPSGCVELAKHVKLGCPNLVFSGLMTIGMLDYSSTPENFKALANCRKEVCKELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN >ORGLA05G0032200.1 pep chromosome:AGI1.1:5:2324169:2324513:-1 gene:ORGLA05G0032200 transcript:ORGLA05G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTRLASQKAVVVFSKSSCGMSHAVTRLLRELGVDARVVELDEEPAGADMENALAGMLLAGTAANGGGRGRGVVVPTVFIGGRLVGSTDRVMSLHVAGGLVPLLRDAGALWV >ORGLA05G0032100.1 pep chromosome:AGI1.1:5:2317688:2323529:1 gene:ORGLA05G0032100 transcript:ORGLA05G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARESMAALVDAGLYDCAQTLGCFLVSSSPASSEAGMSMKVESLVLHGDALHGEKEFRRALSAYKQAMQYSKNIPRQATSNTRSSVTATGRSPSPNSSSLAPLNENEVKFKIALCYSALREHREALQEMEGIPSKARTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYVFEAITALAEMGLSSKEFSLIFSQAPNRGGKAPGDSLDAQRWWNRYVEAQCCIASHDYKGGLDIYLDLMQRFPNNVHILLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLLLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNKDAVLLLERYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDAELL >ORGLA05G0032000.1 pep chromosome:AGI1.1:5:2310389:2315982:1 gene:ORGLA05G0032000 transcript:ORGLA05G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASGDGGDGGGGGDGASSAAGGGGRRIPPASSMPWVRNLRRFVGTGAGLGSEALMELETKRILLEIFKERQRKSMEAGAIPSFYKKKPEDGSISNRVQKLAKYRFLKKQSELLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPSAFVQMYCRIAARKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDTNGTLSKQELKEYADGTLTDIFIERVFDEHVRRSKVGGGNSREMDFESFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRIALTDLLSCKQGGTIASMLIDVRGFWAHDNRENLLQEEEEQVEEA >ORGLA05G0031900.1 pep chromosome:AGI1.1:5:2299186:2305229:-1 gene:ORGLA05G0031900 transcript:ORGLA05G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQERRTIDLEEGWAFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSMVLPSLRDKHDEFMLRELVKRWSNHKIMVRWLSRFFFYLDRYFISRRSLIPLEQVGLTCFRDLIYQEIKGQVKGAVIALIDKEREGEQIDRALLKNVLGIFVEIGLGSMECYENDFEDFLLKDTTDYYSLKAQSWILEDSCPDYMIKAEECLKKEKERVGHYLHISSEQKLLEKVQNELLAQYATPLLEKEHSGCFALLRDDKEEDLSRMYRLFSKINRGLEPIANMFKTHVTNEGTALVKQAEDSASNKKPEKKDMVGMQEQVFVWKIIELHDKYVAYVTECFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVAAHQELNPGIDLAVTVLTTGFWPSYKTFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGSDRLTYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPANRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMGHQQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLEREKDNANVYRYLA >ORGLA05G0031800.1 pep chromosome:AGI1.1:5:2295579:2298491:1 gene:ORGLA05G0031800 transcript:ORGLA05G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYAAEAGAAAGAGRGRAARHPPLTSLVVSTIAAFSAVVVFAILRSVHDAAVSRTTTLLGHNLEPTPWHLFKHDKGRPPARAAFRCAPSLTCRPPVAQPAPGTTNASLAPWRRGGGGVTRALLDAAQRRASMRVAITGGGRWLHVELYYACVQSRALFTAWSLLQLMRRYPGRVPDVELMFDCMDRPAINRTDYGGGGDGDHGSPPPPLFRYCTTRNHFDIPFPDWSFWGWPETNIEPWSKEFRDIKEGAKAIKWQERVATAYWKGNPDVASPLRVALLNCNDTNMWHAEIMRQNWDEEVKSGYHNSKLSSQCTHRYKIYAEGFAWSVSLKYILSCGSMALVIDPQYEDFFSRGLRPEVNFWPVHIDVAAGGMCESIRDAVEWGEAHPAEAEAVGRRGQRLMEELDMDAVYDYMLHLLTEYARLMRFRPAEAPPPRPPAQEVCEASVLCLAGEKQRRFLEASAASPAVSEPCVMPPDAGE >ORGLA05G0031700.1 pep chromosome:AGI1.1:5:2289843:2290010:1 gene:ORGLA05G0031700 transcript:ORGLA05G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGTAAERAETMAQIDNGCEEWGFFQVAIHNQIMCLLSCCRDRKL >ORGLA05G0031600.1 pep chromosome:AGI1.1:5:2274775:2282361:1 gene:ORGLA05G0031600 transcript:ORGLA05G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPAPAAAASTATATAAAGSGAIVHPGGGGAWPRGVRFGDMVWGKVKSHPWWPGHVYSITLTSDGEVRRGYRDGLVLVAFFGDSSYGWFEPHELLPFEEHFREKFAQPGGRNFPTAIDEAADEVARRAALAFLCPCHRPNAARPHELDPRYLLVDVPGFDTDAEYHPEQVAAEREKIDPRALLDYLKGAAVEQLDAAELIGKPKRHIPAVQMSSMLEAYRLSRYALKDPTYAQAFGMDYDEAQAAKTALEKKAREGKRRVWWDRKTQEEPQDDQGESSNTTPAGRPAKGRKKAAENPGGRRRKGSAASTAARLMEKIMPSAAAMKPRAKKKDQYLLKRRDDARAPPPPSMPDAFPAAPMAPALDDVPPGFPSDPPTPPLPSSTLAADEEFLLQRRTPPPLLSAPPTPLALPPAAAGQXXXXXAPTDAATAAAAAAAPKKATKPKKAAARKREREEPADAAAAAAAGGVAGEPKKKKKKKLAELNGVAVAAAGKPGLLPAKVDHDLKQVISELQSLPLVASYVAGRRSISDEARSFLLAFRSKSFKKSHENDPPEDNKASKPNAATAADGQKPPAKKKPAARPGDTTAASAKVAGVKRAPSDRQEELATKKKAKLNKIKTLATDKKAAGLELAAAAPAAARKNDAIAARKKEKEPALAPAIKTPSPTALMMKFPPKTTLPSVASLKARFARFGPLDVDGIRVYWKSHMCRVIYRFKSDADVALKYARANTTMFGQVAPNYYLRGVESDGDLAADAAPPPPQQRSELRLMETAPFRPGTSGGNGAPLPLSRAVPARAVVGQQPKSILKKTVTDDGAPSPAALRDAPRVKFMLDAGDSKLEPPPPAAPASGGGDAAAPLAKSATKSVGFAPPPLQPPARPAQHPHLQPPARPAQQPPRPPVTQPLPPPPPLHQHQPYQPRHTDALPLPLPPPPPPPFSVQQQQLPPPPPYHLRHSIDGMHHQLPGPPLPPSYQHRAAAGVVFPGQHQQQPYRPNNDTQLGLPGAGAAAGDVTPAWKRGGREFDEELMRVMRGIAKMVEPLTDKNGNFPYHLFTSA >ORGLA05G0031500.1 pep chromosome:AGI1.1:5:2271564:2272403:-1 gene:ORGLA05G0031500 transcript:ORGLA05G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVLEVTLVSAKNLKKVTMFSKMRVYAVASISGGDPRVPTHRTHADREGGRSPMWHAPLRFPIPDAGADMRAIALHVLLRAERVFGDSDVGEVFVPVKDLVAAAPEGGEHRHLSYHVRRPVSGRKCGVLHISYQITDEAAEPASAAGARGASSTRHVSGKGLQLGAITAYPSAGRHSGGRRGAAQSPTLAYHHGSPYGGGGGGAHAQHHHHHHHHYGYGYGPAPYGHGAASHGGGGGMGMGAGLGMGVVGGAVAGMVLADMLADGEMDAPLDAGMSC >ORGLA05G0031400.1 pep chromosome:AGI1.1:5:2269579:2270130:1 gene:ORGLA05G0031400 transcript:ORGLA05G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSLAAVAAAVLVAAACSGRAPVAEATIESTCGAAAKGDRRVDVGFCARQFASYHGAAEADAWGLAKIAALIGVNLADDAVFDIGAGKIRPSPGGGAKGDKAMDACAKAYDAVGVAFAEAADELGSRRYAAARQELARVAALVQRCDGGLSRAGARSPLPRYSADCQQVAIIGIAFTSLLK >ORGLA05G0031300.1 pep chromosome:AGI1.1:5:2267975:2269033:1 gene:ORGLA05G0031300 transcript:ORGLA05G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKVYGVAASPYVATVLVCLEEAGASYELVAVDMAAGENRSRHHLARSPFGKIPAFEDGEVTLFESRAIQRYVLRKYNKPDLLREGNLEESAMVDMWMEVEAHHYDPGISHIIRECVIKPMIGGGARDQAIVDENVEKLRKVLEVYERRLSESEYLAGDFVSVADLNHFPYTYYLMTTEYATLVESCTNVKAWWERLLARPAVRKVAALFPPVTSA >ORGLA05G0031200.1 pep chromosome:AGI1.1:5:2260013:2265955:1 gene:ORGLA05G0031200 transcript:ORGLA05G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRVTGPAGSPLVAAVLASLEEAAAGGGYELVGMAAARERSTRPHLARNPFGKIAAFAEDGELALVDAVGGHVDGSRSHARVTS >ORGLA05G0031100.1 pep chromosome:AGI1.1:5:2253315:2257053:1 gene:ORGLA05G0031100 transcript:ORGLA05G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIPGTVAASGVYYNDQYRMPCKLKGIHCMALNCIPQKAKVRKCMNGYQSTFRFCVNEKNGQTTGQSNGSLIQQGQNFRCHSYGSHNSSETKECSLEDGTDSYRDFEEHSRGASQFSDNQVAAKKKSVKSSQGLAEACKFVYNDAKFVNERAQNDILLLSRGITRLNKRACQDVAVLGSGFLKLDARARKDTKKIDHSVKERAARLTHFARILKEQAQSDLKKAADQHWSDGALEADLRRADSVVRRRAMEDAFMALKFVRDIHDMMANRLQEQFAKDGSSSPANSRSFITLEKNGNTFELFPHEVSTDQITAIEQAYWSMASALSEADGIDYTDPEELELLVATLIDLDAMDGKKSVSLLAECSSSPDVNTRKALANALAAAPSMWILGNAGMGALQRLAQDSNYAVARAATRAINELTKQWELEEGDSLRFVLNQNMVSKETADDSAAADDTR >ORGLA05G0031000.1 pep chromosome:AGI1.1:5:2243902:2249214:-1 gene:ORGLA05G0031000 transcript:ORGLA05G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGALVLKSGGLSVSDYDSIVAINIFVALLCSCIVIGHLLEGNRWVNESITALVMGLITGGVILLVSGGKNSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIILFGAVGTLISFVIISLGAMTLFKKLDVGPLQLGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIEDIDIANFDSLVLLAFIGNFLYLFFTSTLLGVVAGLLSAYIIKKLCFARHSTDREVAIMILMAYLSYMLSMLLDLSGILTVFFSGIVMSHYTWHNVTESSRITTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSATILGLVMVGRAAFVFPLSFLSNLSKKETRPKISFKQQVIIWWAGLMRGAVSIALAYHKFTASGHTELRINAIMITSTVIVVLFSTMVFGFFTKPLLNLLIPPRPDIAADLSSQSIIDPLLGSLLGSDFDVGQPSPQNNLQLLLTIQTRSIHRVWRKFDDRFMRPMFGGRGFVPFVPGSPVERSIHGSQLGTVTEAEHS >ORGLA05G0030900.1 pep chromosome:AGI1.1:5:2232159:2241807:-1 gene:ORGLA05G0030900 transcript:ORGLA05G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPAGGYARRGSGGGYGYHYYGGGGGGSAQTSPPGGSPTASPVHRHARTGSLGGAGAASTVGRRGRAHNTAARAAAQRLARVMASTDGAGVGGGGGGVGGGGDGGSGSDDDEYELSGPPIELSSTPRRTGTRSPSPSAPVSRPPSLTNRYTPGKSVPMIPSIKQQNRPATSGPGSESPAINRREQRRSVDLGSSLRARRTSSSLHDEINSLQVETEGMYEKFNLAEERSEEGDAKSMHMAAVIADAIEPEANLISRKDAALQQRKASLRSASRRSNSASCDEIAALRSEAKVANSVSSVSQRLKSTGSIVRSLHGATNRMVLSQEEMRFYKYDAKVKIGSKSIHCDIAEEKQEYWSSFAPLSLEVVLSIGQKARDGALSDHADMETKSKMSDASHVNDMSGDGNIESMLLVERGLRELSSLKRTQSCLLYQNIDASDLSQVASPKCPSSEGQNPPESLELSEEEQDDVRFKQSWLTYFWRRAKSHDIEEDIADERLQFWIEQGNHPVSTIDIIEALQIANCKFAIHSPWVYAMSTDQPPAPPASARRRQCVAAAAAATAACLAPLAVLLAVLVLAPSLLPRLLLRPHHVVPVVASAELRLLAFDAAAPAVAYNLSATLRFDNPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGWYVVKVDVATVQNGAESDFACVLSFPAAALARNGSDAAVFDGGRCVDAVHGEI >ORGLA05G0030800.1 pep chromosome:AGI1.1:5:2231028:2231372:-1 gene:ORGLA05G0030800 transcript:ORGLA05G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKKSPPPWKTKGSQQPRGGGAAAAAAAGSKKAKKKKKETPLPPLLPPGTAVEVLRNGAWVGGGTVTIRNDRTYMVRLAGGMTVLATRRRVRPAPPPVTADVSPEPDRVAGD >ORGLA05G0030700.1 pep chromosome:AGI1.1:5:2229143:2230429:1 gene:ORGLA05G0030700 transcript:ORGLA05G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGVGGLRQLLAAAVTTGVAEARAAIFGHALNPTGKRAATKLLRKKMVGEQLAQWYPYDIKRDDPLVMAREEKARLSKLEMLKRRGKGPPKKGQGRRAVKRSK >ORGLA05G0030600.1 pep chromosome:AGI1.1:5:2219807:2224596:-1 gene:ORGLA05G0030600 transcript:ORGLA05G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAAEEDDVPRLQEPLRTVRGRGNEQDSKGRSHCSRSPPASPSSERAQGMKRRRKMTEADHSCEDGEEKVPEKMNEGEEEEEEVSSALSSPLHMPLLPFKFSGYDSDGQEILEPPDMDIVDAYQQRREEFQEKRGDSHIPTADGASTSVPLDQVGPDSREEISTQEPLATTGK >ORGLA05G0030500.1 pep chromosome:AGI1.1:5:2207947:2209128:-1 gene:ORGLA05G0030500 transcript:ORGLA05G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAAAAVVAALSDDLLREVFLLLPTAADLVRASLACKPFLRAARNAGFLRRFRRRHGPLLLGCLLHHADLPAPVFVPSSPAAAAAAARADGDFSLSFLPHGGWLGGGGGAPWRFLDCRNGRVLLKNRGTQELAVADPLAWSCVSLPPPPAARAVGYGLVADDGDSSVFRVFCIAQDSGGDGGVSSETRAMVLSPGELSWADVAVHPHRLNLAAASRAMQANGSLYWTLEGGASVVALNTATNEFSVLELPPPLRQLSFDVVEKGEDEDGGGGGGPLYLLTMRGFCVEVWAGAEDGGAGELTWARVEKSVRFHKAMAMLQHDSVEMYHHGLDVVGVVAGVLFLRHWNCLLSIDLETMKLRKLSDEDCSSASIYPYAMPWPPSFLNPAEHGA >ORGLA05G0030400.1 pep chromosome:AGI1.1:5:2203271:2205052:1 gene:ORGLA05G0030400 transcript:ORGLA05G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05340) TAIR;Acc:AT3G05340] MRDASSGLLNYARFSGLLASCGREGDLRLGAALHAAVVKNPAHFRLCASRPWLRHVLVAWNALVSMYARCGRREDAARVFDEMRVRDAVSWNSLIAASRGAGDALALFRRMLRSDARACDRATFTTVLSACARAGAASLPACAMVHGLVVSCGFEVEVPVGNALVTAYFECGSPASAERVFHGMAEKNVITWTAMISGMARAELYKESFSLFGQMIRTVDANNATYSCALLACARSLAAREGQQVHGLAVKAGFEADLHVESGLMDVYSKCGLMEDALTVFRSCREPDEVFLTVILVGFAQNGLEEKAFELFAEMVGAGNEIDENTVSAVLGAFGASAPFALGKQIHALVIKRCFGVNTYVCNGLVNMYSKCGELRESVQVFDEMPSKNSVSWNSIIAAFARHGHGSEVYQLFESMKADGAKPTDVTFLSLLHACSHVGSAKKGLEILNSMSSQYEVLPRMEHYACVVDMLGRAGLLDDAKSFIEDGPFTDSALLWQALMGACSFHGNSEVGKHAAEKLLLLDPSCTAAYVLLSNIYSSEGRWDDRAKVMKRMSEMGLRKDTGKSWIELEKEVHSFVVRSTSHPNSAAAVRCV >ORGLA05G0030300.1 pep chromosome:AGI1.1:5:2194846:2202345:1 gene:ORGLA05G0030300 transcript:ORGLA05G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP protease 2 [Source:Projected from Arabidopsis thaliana (AT2G47940) TAIR;Acc:AT2G47940] MAGVAALFASPAFPFPSTSSVSSCSCRFRPAVARAPRHQPPGRRVTRRFDEVEGVSKRRRGIGGGGGGGSQASSSSSRKDRGLAVDFKESQVSDFEDLEEDKFLNAVVKVYCTHIAPDYGLPWQKQRQHASTGSAFMIGDGKLLTNAHCVEHDTQVKVKRRGDDKKYIAKVLARGIECDLALLSVENEEFWRGTEPLQLGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEVTPYAHGTSDLLGIQIDAAINPGNSGGPAFNDHGECIGVAFQVFRSDEAENIGYVIPTTVVSHFLNDYQKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEGVLVRRVEPTAPASKVLRKGDVITSFDGVAVGCEGTVPFRSTERIAFRYLTSQKYAGDVAQLGIIRAGNTMKVQTVLQPRKHLVPFHVEGGQPSYLIVAGLVFTPLTEPFIEEECEETLGLKLLAKARYSLSTFEGEQIVIVSQVLAHEVNIGYEHMGNQQVIKLNGTVVKNIHHLAHLVDNCKDKFLTFEFEDDFLVVLDREEATTASSDILKEHAIPSVRSSDLSEPYVDTEQEIQKPNDDFGDSPVTNYEMGVDCLLWA >ORGLA05G0030200.1 pep chromosome:AGI1.1:5:2187746:2192350:-1 gene:ORGLA05G0030200 transcript:ORGLA05G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDTSGDGTTSTVLFIGELMKQSERCIDEGTHPRFLVDGFDVAKRATLEFLEKFKTSVVMGDEPDREILKMIARTTLRTKLYEGLADQLTDIVVNAVLCIRKPDEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNAEQREKMVAAERRQVDERVKRIIELKNKVCAGNDKNFVVINQKGIDPPSLDLLARAGIIGLRRAKRRNMERLVLACGGEAVDSVDDLTEDCLGWAGLVYEHTLGEEKYTFIENVKNPRSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTVEDEAVVLGAGAFEVAAKKHLIDNVKKTVKGRAQLGVEAFADALLVIPKTLAENSGLDTQDVIVSLQNEHDRGLVVGLNHHSGEPIDPQMEGIFDNYSVKRQIINSGPIIASQLLLVDEVIRAGRNMRKPT >ORGLA05G0030100.1 pep chromosome:AGI1.1:5:2185697:2186911:-1 gene:ORGLA05G0030100 transcript:ORGLA05G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT3G24110) TAIR;Acc:AT3G24110] MGCVESKLVATIKYRRVEKDLDKKVAEALKERTKSKKKTFRSVNSITMGLPRFKEGLRNIRDVFDQYDEDSNGTIDNEELRNCLNKLQVQMSEEEIDNIHRYCDIDNRKGIQFPEFVVFLCLMYLLFGSDVTYRVSEFESARLNYVFDELIDAFLFFDKDGNGKMKRKDVTQRMNEATHQERTPSHITSQLFKEMDLNRNGHVNLKEFLLSIIRWAGIETDDDEARNETSP >ORGLA05G0030000.1 pep chromosome:AGI1.1:5:2181526:2185211:1 gene:ORGLA05G0030000 transcript:ORGLA05G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain-containing protein / MA3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G17930) TAIR;Acc:AT5G17930] MAGKEEKKSRKEKRKEARSEKQKLRFLSWVQHQGGKSKSKKPVEPSAESSPVEEKKPKKEPTNVKKRRRDTEAKPKSKSKFQEYLEMERGGAVSREEDLETERRLAKKLKVKKGKLGGPDDGMDSLFADLGFEGDFGSDDEAKEFDWNTVDDTKVDKKKGKKKKKKVKNDATEELYDGGVGEENDEAVQQSENEEPNVVELPMASKAKYVPPSLRATSNSESEEIAQIRRRVRGVTLNSFWLLNRLSESNVESITQEIAALFSSVPRSTGCQVIGDEVLASCSRGPRGNEQYAAVFASFVAGMASLVGIDFSAKILASLAKSFEDEYSKEDGLSLRNLTLLLCYLCIFDVISSDLVYDLLSVLSKRLTELDVSTILTILQCCGMKLRGDDPGAMKDFVLSIQNSVNQLKLKAHPAGQDNGQAEMHSKRMEFMLETICDIKNNKKRPKEDPAHHTRIKKWLQKLKAEGVLLRGLTWSKLLDPDKKGQWWLSGDVSSTVGNIEEVAAVISKEVVEAQKLVQLAAAQRMNTDIRRAIFCIIMSAEDYVDAFEKLLRLDLSGKQDREIIRVIVDCCLQEKMFNKYYAVLASKLCSHDKNHKFSLQYCIWDHFKELDNMELNRSMNLAKLVAEMLANFTLSLATLKVVKNLAVEMIPKRIIHFRMLFETLLQKDDAVVWNAFTRIAGLPELEILRDGIVLFIKQHVIAKDTGKDLASKFKIAKKALDNTAGVLM >ORGLA05G0029900.1 pep chromosome:AGI1.1:5:2174966:2180734:-1 gene:ORGLA05G0029900 transcript:ORGLA05G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAGDGGGDGETQALEGGATPPLGSPVSGNGDATPSEDGVLYGETQALDDAETQMVDDGLGEEEDGVAVDWGETQLVEDSEEEEEERGGGVDDQEDTQLVEDSEDDEGEGDDAGGACALAKGGAAECGNNAGDLVRTQLVEEHKEGEDCGNEGAGDGLETQFAEECPEEEEKVNNCRDDEDTGELGKTQLVEDSDEERDDDGDDELSVDTQVLSDDEGLSNDEREVIFDMGGSNTRVNGALEHHTKNLVDSDASTDEEGDTVCEASERKCDLAAACEALTVNSLQQGKEQDSIHGAHLLRKVVDNSTSFSTSLGDHPDCGIDSDSHGYVQNHDKDGTKSRSRCSTAKKLFADSTVKESENNGRCFAGLSYIESQEPGDLSQANALELVDRLISIGGMSSQEPTPQKLDSAKPHVSNKRGTLMLAEKVDCNRSSNGIAEIFAWVDSREDDGGGEFFSKNKDILLHKSAGRGKSKSHFPRAKKCPTKIEPGEIEIGDCKKGKNANLSEKFEALPLSDSRMLSSDVKGKRASANRTKKNLLKDLDLDDLSNGKYLEVQQEKESVALQDVGPDTQIALEAMEALAQCSPAKNLSAKDKPPLVNRKSKKAKGHSKNSPRKRTSSIQEGVTTRSKRRKLTEMTPEPEKQMYKGSILQGNPGDLKAKTKDKEAKSVPAKSNVLKISRDGDECHGAPVARRTRHFSRNNPDEHTELCSNKHSKRVMNLRGGVSKVGKVQNDHIANEPGQPMISERTAKSASIYVEKESAEHTSATDAQNLQLHRDGSSEHTNEHTALDREPCRSKPTIEDACRESSSHFPKQRRTQTTIVQPKDPAVPQSATNHETPQDEPRPSKKRRVFIRSVSDYVRYAKREPSNGRSTSLLSTIIKKSSAASHILNSSLSADSKTSGFSSSGNKHKGSHIEDASKSPRSNSDIHSSALKTPSKSANELSPTFSPLNPSKSSNRSLSKPSVARELLKLDPENNPSNCQHKDSRRKKNMTNFSIVFSHHLHGDVIKHQKKILARLGVCEALSVPDATHFVADSFFRTKKMLEAIALGKPVVTSMWLENCGQAGCFIDEKKYILRDAKKEREIGFSMPTSLAAACKHPLLLGKRVYVTSNVKPSREVVIGLVLASSGQPLERIGRSMTKEVPDDLLVISCEEDYETCSPLLKRGASIFESELILNGIVIQKLEYERHRLFLDRVKQTRSTRWLKDTSHGRFVPVSKCT >ORGLA05G0029800.1 pep chromosome:AGI1.1:5:2170095:2171341:-1 gene:ORGLA05G0029800 transcript:ORGLA05G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLALIHPRPGLLIYLXFTIXLFVGMLFXGMGXGWGXXSCRNVCSRALLGPARLLPCTGCCFIRTNLQVLXFSLCQSEEGSPPDGCTNHFLKSVEKWIFHKTKESVFLVDFVPKKDADGKIEEILNRLETVPEDKRQKLTFEDRCCTGFVVDDKSQELKILCSAHCLDHLFTSENPISAQEIGDLYDINIICDHYECSFRKDKTPDKIRYYSRANIVQIDCDKDLILLNVSKKNVLAYGKNGRACRHSYPALVPSKRHLEPMEKVLMVSWPPFRPRTVASGKVSHCDREYADTSKTNLVGYTMTLVEVNIQSEPGGSGAPLLDADANFTGVLHGGADGCSWFISLPDICQALTSWGILTQ >ORGLA05G0029700.1 pep chromosome:AGI1.1:5:2159266:2167177:-1 gene:ORGLA05G0029700 transcript:ORGLA05G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTAGAEEASGSVKTRSSARRRRSVNRDGGGVAASLASQSAARAERSKRRSAKKSATLADQSSEGERAAKKMDLGVHEEEEGVKVAPPSASASVAEEQQGGKGGEDDGFGGSAGGGEGGALALPSASGVEEGIPVEDEASSCCSSPLRKPYIPRVVIGHNAMGREIYKPIGGEDFSALDPWEAKYQAKRDCQMKLPTLLPHVPDTCLTDRNLLHIRESSTKTVLHAAKFVVGLSSTIDGKSLSKSSGFMIDWDEKSKTGTVLTSALLICKQSPSLDDWKSANQYASNAKVVVRFVDGTTVEGHFLYCQEHYNLAFYKIVVDXSIHLPSFNEGVKWAEEVFILGRDENSYLRTSYGRVQYLNPHMNERRHYVYIDGFRAPPEYYNGGPVIDLRGDVVGMSIRSTRGSFIHSNIILKCLQMWRKFQCIRRPHLQMKFWGMKFLNPAHLEVISCKCNIDKGLIVKEVSEGSIAEKLGVRVGDVIKFFNGKHISSTVELELLLLQISEDHFYNGNGLDSKIDIVIGVFHTRSGVWRSRKLTVHVSDKGEVIIRGEFPVTRETIYARCPLDQADSDPDNSQISLTDRTSSSSSSSSSDSGR >ORGLA05G0029600.1 pep chromosome:AGI1.1:5:2150961:2155012:-1 gene:ORGLA05G0029600 transcript:ORGLA05G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVETRSRAGRGRPAGSRSSPVRRRDGGVAASPGSQSAASTERRKKNRSSNRNSSDGRGEDGRPAKKIHLEEEDEEERMPLEEEASACSSPLCEPYIPRVVIGCNAKGKEIHKPIECEELRALDLWEAKYQAKRDRQMNLCTLKPCIPPTCLVDPKLLHIRESSTETVLRAAKFVMDLSSSVDGNPLSQCSGFIVDWDDKSKTGIIMTSALLICKKSSHTDDWKYASQYATDAQVVVHFVDGTTVEGQFLYCQEHYKIAFYKIVLDKPTHLPSFNKGVKWAEEVFILGRDGSSHLRISHGRVQYLNAHVNERHHYMYIHGVDAASEYYNGGPVIDFRGDVVGMYNLSTRGSFIPSNILLKCLQLWKKFHYIPRPHLQLKLWGIKFLEPAHIEIISCKCNIDDGLIVEEVSIGSCAERLGVRVGDIIECFNGKCISSTVELENMLLQILEDHFDEGNSLDSTIDIEIGVFHTRKGVRSTLNLTTNVSDKGEVVAIYPSPEKRFIQVVQLTKQIQTILKSLSRVEHHHHQDHLTMKI >ORGLA05G0029500.1 pep chromosome:AGI1.1:5:2143643:2149197:-1 gene:ORGLA05G0029500 transcript:ORGLA05G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35850) TAIR;Acc:AT4G35850] MSLLSNSLLLQGRLRHAAASGVAAAAMGRRGVATSTEEYNRRNYANNVSEYNTVFGSLTAQRRHYLLRDAYDDMMLDGVQPVRDTFHNLIVGAMKGSRLQDAFYFRDQMKEMGLQPDVNLYNFLISTCGKSKNSDAAIMLLEEMKAHGVKLKGETYICLLNALAATGRTDQVYAIVRDMTAAGLGLNKFCYAGLITAFKNKAPTTEETMTKIVEFVQQSKGWKNVERVSKDSSENIMMNVSEEELYNLPTAEYVHRRAFVYKQMTIYHVAINACADLKSKETLEVLLDMLTKDGFTYDAFIAMQAMRCYLQCGDIDSAVKIFEEYTSSKSPPAELYVTLAEGAMIGHTPRGMQLAQETIEKMTSRNFFLNARMGTDLLLAASGEKTGGYTIANYVWDLMQNRRITPSLPAVEAYYKGLKEREIPSDDPRLMNVSRVLDNLSIRFGPRRNSNAQA >ORGLA05G0029400.1 pep chromosome:AGI1.1:5:2137592:2140232:-1 gene:ORGLA05G0029400 transcript:ORGLA05G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKATPVKASKNLQLKKQSPTNLKETNGHVCKKEVVNGECPVRDVKCISTWICKNLACKAVVTSEDSFCKRCSCCICHQFDDNKDPSLWLVCASENDDKNCCGSSCHIECALQHKRVGCFNLGNIIQLDGSYSCASCGKVSGILGYWKRQLVIAKDARRVDMLCHRIYLSYRLLGGTSRFKELHGIIEDAKAKLESEVGPLDGMSAKMARGIVSRLPAGSDLQKLCSLAIERADELLSSPDLHLRDSLPAACRFRFVEITSSSVVIILKETPLPSSDTIRGYKLWYWKSREEPSMEEPVVLSKDQRKVLVFNLAPCTQYSFRIISFTDDGILGHSESKCYTGSKELLFKRTTQNVVGADAHTHRREKTQAFKSTGFKIRDVGKILRQAWTEEGRFEGFCQDMHEASCDRSVTDVEQTENSEQGQLLFGACRKLQFSTFSVPDLNVEAPVPMDISPDKCYNSKIVPVRSNDSGGSETCAVGRSAEAEPPAVESRPEGCEQDGASTICREKQLARPRELDEDFEYCVQKIRMLECKGHINNDFRMKFLTWFSLRSTENDRRVVTTFIKTLINEPSGLAEQLVDSFGEAINCKRQRNGFCNELWHDDKGQ >ORGLA05G0029300.1 pep chromosome:AGI1.1:5:2133965:2136524:1 gene:ORGLA05G0029300 transcript:ORGLA05G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT3G27550) TAIR;Acc:AT3G27550] MWAVRSLRRNLLRAASSPLHPRCPLPPGSFAAGCGGEAAAAAAASARSASLPRMMSTTKGRSMRSKVEKRMARETGRTQRELRRAVKLRKKLMTDDERLIYSLRRAKKKVALLLQKLKKYELPDLPAPRHDPELLTAEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMAAMLTRLSGGIVINIHNTKTIIMFRGRNYRQPKNLIPLNTLTKRKALFKARFEQALESQKLNIKKIETQLRRKGINPEDPVAMASIQRVASTFFRAIDEQQGTPYVFRGDTQPTAGTTEIKEPHDQQSEDSDQEELDRFIAEIETAAEQQWEEEEAAEKEESSRLRYWDREEGSERRGFNRSYDNPGNEYRDQGRYTRDNNRRTADSRRWDDDSEIDVSGEEWDSDDDRDNVVGFDNDVDAPDEHPRRFESMRSEKSRSDRSRNYTSRGSRSSIEKPRNSATASGRSVFRESEDDELDTEDDELWGPDLKEERNLRAPKVNFANYHSGTSSEEDTDDNWKQDSRTGNTRKNTDESWDSD >ORGLA05G0029200.1 pep chromosome:AGI1.1:5:2128552:2129094:-1 gene:ORGLA05G0029200 transcript:ORGLA05G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLTSRPLAIISMDHADDDHRRRRGHVPPPPPPAAAEAEERTGDSEYDDAAAAVSSSSSLTTGEGSSVADDDDDDAESCSGGGGGGYGRKNGNGQYVVGGGEEEEGVESAVDEKAGVMMTPVPWWCWAAEKAPMTAAKAKATDGGARRAPAAAAAVEDAGGHTAESNRLFWEACIAHGY >ORGLA05G0029100.1 pep chromosome:AGI1.1:5:2120993:2126744:-1 gene:ORGLA05G0029100 transcript:ORGLA05G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUGAR-INSENSITIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G47990) TAIR;Acc:AT3G47990] MAMRGVDFKWYDGFFLSMLATSLIIVSINWKRYRLCAHPLHIWIVVDYTTVFIFRLLMFVDNGLAAGMGLDLGWQQRYARFCGRIVVLSVLVLLLYPFLWVWTVIGTLWFSTARGCLPEEGQKWGFLIWLLFSYCGLACIACVAVGKWLSRRHALQQRAQQGIPVSEYGVLVDMIRVPDWAFEAVGLEMRGMGQDTAYHPGLYLTAAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNNLRPSSEPDRPSASEVTAATMARYVRSSQPAGQSYLLRLQGLLLRQVVVRHGGSDDMASAENGALHVAAAVTVPATTGGVESELPSIVVDGGHQLPDR >ORGLA05G0029000.1 pep chromosome:AGI1.1:5:2117144:2119740:1 gene:ORGLA05G0029000 transcript:ORGLA05G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLDGSARLMIVSDLDQTMIDHNDPKNLSLLRFQALWESEFSQDSLLVFSTGRSPISYRGLRTQKPLITPDITIMSVGTVIAYGEEMIHDVGWAEFLSNKWDRDIVVEETAKFPKLKPQPERSQGPHKVSFFVDKEGAREVMDSLPETLNRRGLDVKIIFSSGEALDVLPQGAGKGQALLYLLKKFNSDGKPPNSTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEENARGNPMMIHATERCAAGIMQAIGHFNLGPNVSPRDLEFPYPKLDAIKPADVVVKFYVLYEKWRRGEVQKAPSIIQYLKRITHPNGTTIHPSGRECSLHASIDALSSCYADKQGKKFQLWVDRIVASSIGTINWLVRFDKWEMEGNVRYCCLTILLLTMKPETEDGFEITHIHKTWLEGYSAGNEHACIL >ORGLA05G0028900.1 pep chromosome:AGI1.1:5:2101434:2106318:-1 gene:ORGLA05G0028900 transcript:ORGLA05G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein [Source:Projected from Arabidopsis thaliana (AT1G03190) TAIR;Acc:AT1G03190] MKFDLEGLTVHFPYAAIYPEQHAYMGELKRALDARGHALLEMPTGTGKTAALISLITSYSLASPSRPLRLIYCTRTVHEMEKTLAELRLLFAHLPAAASRSLLALGLSSRKNLCVHPQASAAAARDSVDTACRRLTASWVRDRAASDPDSTPLCDFFESFDRAAAAGDLASYMPPGVYTLADLRALGRDRGICPYFLARQMVKYANVVVYSYQYLIDPKVASIVSREMQKECVVVFDEAHNIDNVCIEALSVSIRKQTLEGAERNLRRISQEIDRFKATDANRLRAEYNRLVDGLAQRGNLPISDAWLANPALPEDILKEAVPGNIRRAEHFLAVLRRLVRFLDGRLETENVENEMPVAFVASIHSQAGIDQKMLRFCYDRLHSLMLTLEITDTDEFMHIQTICDFATLIGTYTRGFSIIIEPYDERMPDIRDPVIQLSCHDASLAIQPVFERFQTVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMASAVPDGIVCFFVSYSYMDGIVNSWNEMGILQDIMQHKLVFIETPDVVETTLALDNYRKSCDCGRGAIFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHIAREFLRRMAQPYDKTGSGGNKTLLTEEDLQNMAQDAMEM >ORGLA05G0028800.1 pep chromosome:AGI1.1:5:2080713:2088774:1 gene:ORGLA05G0028800 transcript:ORGLA05G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:I1PSE0] MGTAGKGAWVVPAPAYREVEGWEGAGDDSPGFRCGHSLTVVAPTKGHGPRLILFGGATAIEAGASSGMPGIRLAGVTNSVHSYDVDTRRWTRLHPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPDGDRPSARMYATASARTDGMLLLCGGRDASGMPLSDAYGLLMHTSGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSHDHDASSDLLRRCRHAAASVGTQIYIYGGLRGDILLDDFLVADNAPIQSEFTSSMYDRVPRAENQNRNHNFNSDSPTTNNRHEIASGFSTDKKSIDMLTEASAAEAEAVSAVWRAAQEASHASSEDSLSEGIGSESPLSETSPMPEDLDDGGSLEPDVKLHSRAVVVSKEAVGDLGCLVRQLSLDQFENESRRMHPSSNDQSYPAKKALNRQRSPQGLHKKVISFLLKPRNWRAPAERAFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTIEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNRLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERAMDATWMQELNIQRPPTPTRGRPQSASDRNSLAYI >ORGLA05G0028700.1 pep chromosome:AGI1.1:5:2065183:2075459:-1 gene:ORGLA05G0028700 transcript:ORGLA05G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCLGGGGGGGGGGGGPARLRGRXRGRRRRRRRRGSEQARTLIGALNLLSRNLPLPPAVLHAVSSIYHGGDAWEGEGEEGGEEEVAAAAAAAVGDGCGESGEGEEDRADASPGADEGVTLIQELEDAVLKNQGPYMSYSELTALKEDRFNTSIQHRLTELEGLPSTRGEDLQMKCLLELYGLKLLDLQKKVRTDISAEYWLHKKCAHPDRQLFDWGMMRIRYPFTMYGIGDSFSMDADDINRKKRFSERISRLEEEEKNQAEIRKRKFFSEILNAAREYQLQVPASYKRKKQRNDGVLAWHVRARQRINRMEKSRLQVLKAGDQEAYLRMVEESKNERLKLLLGKTNELLEGIGKAVQRQKDAEHVSRPDGSELPKGSESDDCSQISGLKVESPDEESPSDVDADHHSSADHSKFNAGHRLDSTVHSIEEKVTEQPSALEGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTIALIAYLLEKKEVTGPHLIIAPKAVLPNWSNEFKTWAPSIGTILYDGRPDDRKALREKNFGQRQFNVLLTHYDLILKDLKFLKKVHWHYLIVDEGHRLKNHECALARTLVSRYQIRRRLLLTGTPIQNSLQELWSLLNFILPNIFNSSQNFEEWFNAPFACEVSLNDEEQLLIIHRLHQVLRPFLLRRKKDEVEKYLPVKTQVILKCDMSAWQKAYYEQVTSNGRVSLGSGLKSKALQNLSMQLRKCCNHPYLFVEHYNMYQRQEIVRSSGKFELLDRLLPKLQRAGHRVLLFSQMTKLLDILEVYLQMYQFKYMRLDGSTKTEERGRLLADFNKKDSEYFLFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILDRAKQKMGIDAKVXXXXXXXXXXXXQDRRALLQEILRRGTSSLGTDIPSEREINRLAARNDEEFWLFEKMDEERRQRENYKPRLMEGIEVPDWVFANDTLTEKIPADEPQNVLLTTKRRRKEVVYSDSFGDQWMKADDVVEETPRMAPRAKRSAYSSDVQEVDFSERRKRHKSLVNIADDASIPMWTPEKVRAGVSSYSKDENEDDAEDESTTSGLQGGSFTWKTLRRKRSSHFSNSSDSKGRSAF >ORGLA05G0028600.1 pep chromosome:AGI1.1:5:2058013:2062126:-1 gene:ORGLA05G0028600 transcript:ORGLA05G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: P60-like (InterPro:IPR011687), Tumour suppressor protein Gltscr2 (InterPro:IPR011211); Has 709 Blast hits to 643 proteins in 201 species: Archae - 0; Bacteria - 32; Metazoa - 224; Fungi - 154; Plants - 45; Viruses - 0; Ot /.../karyotes - 254 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G40430) TAIR;Acc:AT2G40430] MGKASKGSRRGKKAWRANISTDDIEDFFEKQTRDAHAGAAAIPSLPSDSLFFVDKPASAASTSSAADAPAADAAPKDIPVKRKIEKKREKVLYHESVLKRNPYVQPVPSSLTTKKDKKKSKKKESKETQEAKIVPMEDDSGDKILDIWGEDVKGDHKAKKRSTASVIPAVEVEAPGCSFNPPFEAHQDSLAQAVADEMRKIYTKELGPKPVPLIVPGEAITEEDKFFLDADDGDEAVEEGDEDQAADALAAQWKTKTKRVTRVELNKRARRKERLRAEAEAKKMENLSKEIDSLPDIINEIAKEDEEKEKRHIRRTVAKQERLKSAPPRLGRHKFEPAPVQVLLTEEISGSLRKLKGCCNLARDRYKSIEKRGILAPSRKIRKQR >ORGLA05G0028500.1 pep chromosome:AGI1.1:5:2053307:2056680:1 gene:ORGLA05G0028500 transcript:ORGLA05G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRRAREADPVPEPFTIDDEVSHLTRIRSEPSQRTLGAFYAGRKRGISTFGLLSGRESGRSGAGGFSRADCAYAARKHLPTKGPWCVDDMTSEAYVSQFSSDGSLLVAGFRGSRIRIYDADNGWKVHKDISCRSLQWTVSDIALSPDQQLLAYSSLSPTVHIVNVQSAGKESQANVTEIHDGLEFSNDDDDEYSFGIFSVKFSKDGQEIVVGNSDRSINVYDLRANKVSVRIRAHAADVNAVTFADESGNLLYSGSDDNLCKVWDRRCLAREKPAGVLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDVRKMSASIKGRQPRFFDWDYRWMSFPLEARHCKHPNDQSLATYRGHSVLRTLIRCYFSPVYSTGQRYIYTGSSDEYVYIYDVVTGDIVEKLSWHGSIIRDCTWHPYNPTIVSSSWDGYLARWEASGDEDDLSVLTENEQRTSPYRQSYTRHLLL >ORGLA05G0028400.1 pep chromosome:AGI1.1:5:2045521:2052201:-1 gene:ORGLA05G0028400 transcript:ORGLA05G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT (membrane bound O-acyl transferase) family protein [Source:Projected from Arabidopsis thaliana (AT1G57600) TAIR;Acc:AT1G57600] MSWRRLELAALCAYALGFYLVVIRKSLRLSHDYSGRLYGLRAGSLAGHLNDLSDAQWRNFRGNLPVLTIVMGAFLMLANMLRYCYSLKGRGASLVWLLLSVTYLCYLHGACVSFILLIALINYSIVKLFSRYKYCVGLIWSFNLAVLILNRVYEGYSFSLFGQQLAFLDNHRGTFRWHICFNFVVLRMISFGCDYCWSLRSSQFDHKKHMQRCQVCYSGKTCYFALQERALIGDKYTLLTYLCYLTYAPLYIAGPIVSYNAFAAQLDAPQKNYSVAQISWYGVRWILSFLLMEAMTHFFHYNAFVVSRLWQQLSPFEIFIISYGVLNFMWLKFFLIWRYFRFWSLVGGVETPENMPRCINNCHDLESFWKSWHASFNRWLVRYLYIPLGGAQRKLLSIWVVFTFVAVWHDLEWKLISWAWLTCLFFVPEILVKSLSNKFQPRSALGLFIHRELSAIAGAVTISCLMVANLVGYVVGPSGIKLLMSRMLGKDALPVLAFIFISFYVGVKLMFHIRDAHKNQG >ORGLA05G0028300.1 pep chromosome:AGI1.1:5:2043225:2043665:-1 gene:ORGLA05G0028300 transcript:ORGLA05G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVACANLGSRAAWTAKRRCRHPQEKQPQRIVAPRRCARTSVATSVRSAAEVIDSEERQQQPTPLWPAGATRRRRLQETIAANSACCGTAPVCKNIDLPRRGFQLRGTLSAATAADRPACASNHPAEDAELPLNRKRTFEIHSHHHE >ORGLA05G0028200.1 pep chromosome:AGI1.1:5:2039450:2041416:-1 gene:ORGLA05G0028200 transcript:ORGLA05G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAADELALRRLDDATRDARRLQLETLRAILAENAGAAYLRRYIPSDGGAHHLLRSTDLAAAADEFRRLVPVTSYDDYAESIRRVADGDAAPDELSPRPLLCFFLSSGTSSLRPKLIPYLDSPGARAATAAVMQANSALVRRLFPPRLAVSKALWFLYAGEVRKTKGGYEAMAATAWGIRSSGIRGASPVMSACVSPAEVILGADHQQQMYCHLLCGLRRWDAVDCIRAPYAAALARALRLLQSKWRQLCDDLECGTVCADVVTDAAMRGAVQDGVLAGPCPELAGRVRRICERDDWRGVLRQLWPDARYISCVTTGTMEQYFPAIKHFAGEALPVLGTDYLASECAIGINLERTSPPEETTYVLLPRAAYFEFIPFDMDAAGRGAAAAEPVDIAGVEAGKTYELVATTFRGLYRYKVGDVVKIAGFHHSSPRLQFVTRAPPPQEHGEVLTERDVMAAMDTFQLMLKDGGEVIEFAAFIIDGDGGQRRRRRATIAVEVSNGSKLLDHERSAAFLRRCIAPLEGCLGGAYRLSRATGDVAPLEVAVVRPGTFDRLAEAAIRGGAPANQYKPPKIVRHRHLVDVLQSSVVCSCSSITEPAAH >ORGLA05G0028100.1 pep chromosome:AGI1.1:5:2037100:2037564:1 gene:ORGLA05G0028100 transcript:ORGLA05G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLAKIGPWGGDGGDPQDIALGAGAGAAPPGEHRGQERRRHRRAVLHLRRHRRREARRRAVGRLRRGEAQGEARRGGARHGGLRDARAVGPPRVRRQVAGVRHQRREDARAVRRGGRRRGVPRAGEGRRPRRRLLRAVWVAARRRRRLRAPVT >ORGLA05G0028000.1 pep chromosome:AGI1.1:5:2029416:2034057:1 gene:ORGLA05G0028000 transcript:ORGLA05G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PSD2] MDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGDKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYIATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPETISRIRNEKARRYLNSMRRKKPIPFTQKFPNADPLAMRLLERMLAFDPKDRPSAEEALADPYFKNIANVDREPSAQPITKLEFEFERRRITKEDIRELIYREILEYHPKMLREFLEGTESTGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPCVVYSDNRPQSTASVTEDLSRCLIRDNNLKSQDSASVGASRIPQGAAARPGKAVGSVLRYGNCSTSAAEQQYEQRRVVRNPAIAPNSSVPLGSSYPRRNQTCKSETGDVERIDSSQTGPPKPYVANKLPATVDGRSGHW >ORGLA05G0027900.1 pep chromosome:AGI1.1:5:2023064:2025375:1 gene:ORGLA05G0027900 transcript:ORGLA05G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication protein-related [Source:Projected from Arabidopsis thaliana (AT5G49010) TAIR;Acc:AT5G49010] MSSWEDDDSAAAAAAAAAASTDVELLKRAWRNEKASPEILRFDSPLVSRVREQIQLLEETLDDFGESGVDDLVVSLYQMDLDRTLFLLRSYLRLRLQKIEKYMMHISKSEDLLSRLSQQEQRFAKSCTEMMEKHLEQSVLSKLPYGYDSVTRQSLSSTEDDMVPEPQLDTFVFCKTKSDVGAFQLDDIGEEVVDLVADDLYVLRYKSIKGLVESGQIDLI >ORGLA05G0027800.1 pep chromosome:AGI1.1:5:2016769:2019735:-1 gene:ORGLA05G0027800 transcript:ORGLA05G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLSSLLAAASSASPVVLAPSKELHGVHLPMPLQGKRPPLQPQDAAVHIEAAAAFQGQVMVPGHALAGAPAAFQAFAVPDMAALIDVQADSHPDSVQLSLGIAEQCSRQEKILKFLRSGSDVKELDVSLLTELTGHQTLPINLGTQPYIPDDKLSAFPISMASQPYIPDDKLSIYEFELDEPQQYLPENQLVIPDPLVEFFQSHGSALTIDQNGRILFNGNGDDMRYLLSIVLAFNMSKRETSSCKTAYLVPYFERKRRSRASSHASNSKLASTAVDASKSTANGKSKSSSKKKQKSKNIKERDLYPKNYFHASEAFLSMLLDKDNSSSTIHSLKKAGPEISELLTKCSIGIAGTGLAVLLSVVCKMAIGMKSPIAATRLVNTGVGLGLFWLSWAVNGLRDTITSIFRSPGDMNLKDEEVAVRIQKSMNDILFRAITILAITAFKFA >ORGLA05G0027700.1 pep chromosome:AGI1.1:5:2012564:2016064:1 gene:ORGLA05G0027700 transcript:ORGLA05G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27400) TAIR;Acc:AT5G27400] MSSKSNNSSLNRTEKIYKEISFISPTNDNKRVSLVARLSCSINMLEGDTGCSLWPSGLFLSEFILSYPKIFSRKCCFELGSGVGLVGVCLNYVSASKVILTDGDASTLENMKGNMEMNNLCVEQEGSQLPEENKNKVQCKYLSWEETSESDLWDCRPDLVLGADIIYDPVCVPHLIRVLSMLLRRGNNRGTNNVANKEETSNEFSSAATEVPVAYIATVIRNAETFNCFDKAAADAKLSVVNITNSEAPLSLLPYMLSYDRSSVQLLKITLLS >ORGLA05G0027600.1 pep chromosome:AGI1.1:5:2008486:2008734:-1 gene:ORGLA05G0027600 transcript:ORGLA05G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAAGSVGVVAGDGQAAAVCVDKVAKIGRRRGDVDGVGGGDDAAVAVAMDRVEEIGGNGVDIWAQIFIDQTRRXIRSXTS >ORGLA05G0027500.1 pep chromosome:AGI1.1:5:2002872:2003102:-1 gene:ORGLA05G0027500 transcript:ORGLA05G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVQEISVHRVAKIEPTTPTTVANAVKTPAPPAGGGIDAAAAGYIAKMKRRWAAESAAAAAASSSSSTRAAATER >ORGLA05G0027400.1 pep chromosome:AGI1.1:5:1998682:1998927:-1 gene:ORGLA05G0027400 transcript:ORGLA05G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEISVLRVDRIEPAKKTKTPPPPPTAVNSVQTPRMGGGAPAPAATSTAGRSSIDAEAEGFINRVRRQWAAESSSAATRR >ORGLA05G0027300.1 pep chromosome:AGI1.1:5:1996397:1996818:-1 gene:ORGLA05G0027300 transcript:ORGLA05G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEASDQAKPEHIYRNNNMSRVERTKEIKISRVERIDDEPETKTKVIINAAQTPRTAGGGGAPPERAAAAGRNIDKASQAYIDRLKQKWAAEEAADHSS >ORGLA05G0027200.1 pep chromosome:AGI1.1:5:1993230:1993451:-1 gene:ORGLA05G0027200 transcript:ORGLA05G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGDGGVPDGEVHVQRVDKIVVVMNGVAPTASEKKAGDGLRNIDEIAADFIRRKKEAFQQGNNKPAGQVD >ORGLA05G0027100.1 pep chromosome:AGI1.1:5:1990114:1990350:-1 gene:ORGLA05G0027100 transcript:ORGLA05G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAGAKNMSSDLPVEGVVRVRKVEKIQAYNLVTKPSATTSRISPITGQAESMAVTVVRVGAVAGKPDDIVPVARMNN >ORGLA05G0027000.1 pep chromosome:AGI1.1:5:1984771:1985073:-1 gene:ORGLA05G0027000 transcript:ORGLA05G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKNSSSGNGEVDVQKVEKIAPVYNLVTKPSVYGANRRATTVKARPATTAVAAGFAGSSNSRQPAPAPASWPHGSVVTKEFISKYIEDKKRQFNQGDE >ORGLA05G0026900.1 pep chromosome:AGI1.1:5:1981457:1981762:-1 gene:ORGLA05G0026900 transcript:ORGLA05G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRAAMAAAAPSDSEVRVQKVDKLDLVFNILTKPPVYGAGRGNNPPKAPAPRRPAATGGDHGSGGAVAGRKQPGVVSIEDINKRSENYIRDRKRMFFGQN >ORGLA05G0026800.1 pep chromosome:AGI1.1:5:1968784:1969104:-1 gene:ORGLA05G0026800 transcript:ORGLA05G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWQSQKIIRKGGAGGGGTAAPATAAAAGGIGGGGAGEVAVQKVYHNLAPKPTFRKIDSIKEDINKKADRFIKMTRARLFNQTKSFRQPAGSPPATAAGRDGKLF >ORGLA05G0026700.1 pep chromosome:AGI1.1:5:1960099:1961178:-1 gene:ORGLA05G0026700 transcript:ORGLA05G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme [Source:UniProtKB/TrEMBL;Acc:I1PSB9] MASCGFEGFEKRLELVFELPASGGEVARHGLRLLPAEALREVLDAAQCAVVSAAGNAAADAYVLSESSMFVYPGRVVLKTCGTTPLLRAVPVLLRAAAALRLRLRSCRYSRGEYLFPEAQPFPHAHFADEVAYLDAALPRELLRFRRSAVMPSSSSSSPGASHRWHVYSASSSASSSDADGAARLTAEVCMTELDRGMAARFYQRPGDGRTSHAIGDEMTAASGIGGVVDENDPRSLVCAYAFAPCGYSMNALDGARYATVHVTPEDGHCYASFECATDDAAAALAAIRRAVTAFRPGTVSVSISHTSSAASSLCTPVAEALEPLGLACHCRAAEVFPGAGTVTYQTFTAPATKHEHDN >ORGLA05G0026600.1 pep chromosome:AGI1.1:5:1954763:1955837:1 gene:ORGLA05G0026600 transcript:ORGLA05G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTPTGAAADDLAAANANAKECVFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYTTTPDYRIPLTPLGVDQARAAGKGILDVVSSAANWKVYFYVSPYERTRATLREIGAAFPRHRVIGAREECRVREQDFGNFQVEERMRAVKETRDRFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLEQDASCETNLVIVSHGLTSRVFLMKWFKWTVDQFERLNNFDNCEFRVMQLGPAGEYSLLIHHTKEELQRWGLSPEMIADQQWRASANRRSWADECSSFLATFFDHWNEDDNDDDDDGKEENGKTNKLLE >ORGLA05G0026500.1 pep chromosome:AGI1.1:5:1936384:1940346:-1 gene:ORGLA05G0026500 transcript:ORGLA05G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLAAHPPLGPAAAAQEKAASRNKRKYRAEPPSAELGSFGLEYPLTADCVGFEFMSPEKAAIAAAAAAAAVAAEGVNLDLIPGSCDCKDIHPTAEELLECQRYVNWNDPNEALLEEILLKGLDATFDNAVGVIIAMGYSEPTARAAVLRAATQYNWRESLAGFGEAAVEVLKTEGDMLSEGASEEDMRKIEQAVLGGMIALVNQAQPFYTTGDVMFCLLISDMNVANACAMDYNPASLPAVDTQVIAQPVVGNYEPNNPSSDLSVSITNPQTGVTFRGKLTPVPPNSYNTAKADSSATPANLNVPSSKPSVSGKAQSEIPNLKPKENSNPVPDHSEEQPFVAAATQPVKDDKPIPSKRGSSKRDSLHRQKLMSFDKSSRALGSKGSLRSSKHSSSGSAVLDRKCRSFSDSTTISLKASSKVGKGFSASMKGPEVPPDLSFTGAALPSNPSFDAKLSSNLNPLPAASTDLSLSLPLPSSNDSPAPSSNHDANTEGMDSSSKINLSYDEDQKVWIPQDKKDEMVLILVQRQKELQAHMRDWTDWAQQKVMQVTRRLAKEKEELHSLRKEKEEADRLQEERHNLEESTRKKLLEMESAISRANTQLEKAESSARRREAENEQLRIQMEAAKRHALVSATNILELSKKDENSHKRSQHWESERALLQEDLAAQRNKLSQVHQQLHHAKEQKDQIQARWRQEEAGKIEAIARVSLEKKERDQIETSLRSEENFLHLKAENDTQRYKSQIRALEQQISQLKVSLDSLKVGAPPKWGADNRTNALRLSEGRKNGSAQILANIAAVPQDFDFDDIQRDRECVMCLSEEMSVVFLPCAHQVVCAKCNDLHDKQGMKECPSCRTPIQRRVCARLAGC >ORGLA05G0026400.1 pep chromosome:AGI1.1:5:1932824:1935566:-1 gene:ORGLA05G0026400 transcript:ORGLA05G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase (SpoU) family protein [Source:Projected from Arabidopsis thaliana (AT4G15520) TAIR;Acc:AT4G15520] AAMAAAAAVESVVVVHNVAKRHNVGTLARSATAFGVAEVVVVGRRDVSAFGSHGATSHLRFRHFASLPLARAYLKDERGCDICGVEITHDALPVTAHPFRRSTAFLFGNEGTGLSQKECEICDFFVYIPQYGGGTASLNVTVAASIVLHHFGVWAGFPERGREGNKFVVADKPKGQSRGLYCTDSIEEVIEERKMRRENACDIFEENGSSEHQESNVLGTMLSD >ORGLA05G0026300.1 pep chromosome:AGI1.1:5:1928870:1930204:-1 gene:ORGLA05G0026300 transcript:ORGLA05G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKQQLRFNVRRREPELVGPAAPTPRETKRLSDLDDHETLRVQVKFAFFYRAGGEHDAAGVVRRALGEALVPYYPLAGRVREVEERKLVVDCTGEGVLFVEADADVRLEELEEDGDGGGELRPPFPSMDQLLLDVEGSGGGVLGSPLLLVQVTRLLCGGFVLAVRVNHTMCDAIGAAQFLLAVGELARGLPAPTVRPAWCRELLDARSPPAPSFPHREFDVVPPPPPPGDLVTRTFTFTAADVAAIREGLPPRLRGTATTFEALTAFLWRARTAALELPDGEDARLVVIANLRGVAELNLPGGYYGNACVAPTAITTGEALLRRGSLGDAAEMVREAKAAVTAEYARSAADMLVLRGRPLLALSNVFVVSDHRHAGFHRLDLGWGEPAYGGGADVVFGLAFLVAVKNGGGGGESAVGALVSLPPPAMERFASEMEKLYTRPN >ORGLA05G0026200.1 pep chromosome:AGI1.1:5:1918136:1925751:-1 gene:ORGLA05G0026200 transcript:ORGLA05G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLPMPARVIERNYTMDESTSALDGDEKRRSRKESPLPEEKFNIFLLCTAFVYRVMNGLGTLATIWATVVLLGGFSTLVKKQDFWYVTVIAFVQSIGILGGYEDPAHQIFLHAPEALIKNREAEAWERRKSWWRRRGTQQQQQQQPRGRPKRRKQEEEKARKWCDDIYGFQAFLGIAIYVAMWLGKVAAVATCIALSSKRLEKQDYLESGDDEKGDHQNIRWSLNIFYSLVLAQGIIFICMLLNPLTVYFVLKVRRKYKLFEPSGLKIIYRYKKYNYLGFIAGNVRATLNMHLVTFAKNLAVSNTIDDQLAGVRAMDRILMSVEFRSLALRRLRASMEPDDLGKLIDMLGFVSTMEEEQNIIRGHAARVVLKFSPDLMLQSYPQILYLISSSLLSTSNKRVCKCNMDSDLVWFGLHILDKLTDNPENCRKAKDDDSDLLLPTIIDLANLCGHGHGNSVRSNTTISDSWIEQEIIPLLQKEDDIPLPFINKIDQEIIVGMALNILSKLVAVPGEAGEKLRKETSKDLHFLTNTGMIMEHVEATRVISCLAVDKEARQDIGKLPEIIKKLKDCLLSKTPYVNMTKVAAKLLLMEYTSEELLKPCSAFHRGKSYCRRSKLFTTNFSLHRRTLS >ORGLA05G0026100.1 pep chromosome:AGI1.1:5:1912659:1913132:-1 gene:ORGLA05G0026100 transcript:ORGLA05G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAADGETKEESKVKMLLPEDFLNTVLLCTAFLYKAMNTIGTLATIWATVVLLGGFSTLIKKKDFWYVTVIAFVQSIGILVKQ >ORGLA05G0026000.1 pep chromosome:AGI1.1:5:1904326:1907257:-1 gene:ORGLA05G0026000 transcript:ORGLA05G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTAVQFIDRFLGGYEDPAQQIFLRAPEALMKYKRTSTLKGLSWWRRRGTQQQQQEPTRRTRGGRRHKEEKIWYLCSIYGCQALLGMTILAAVWLARVAAVVTVLALSWKRLKKQDYLNPGDIMNDQNQNITWSLNIFYSLVFAQGIMFVTILLNPLSYYFLFNAGIKYKLFDPSGLKIILNYKRCNYLEFIAGNVKATLQTHLVTFAKNLAVSNMADDKLLGVGAMDRILRSMEFRSLALRKLRAFMEPDELGKLVNMLGYDKSHKNKTTEEEDIRGHTARVVLKLSPDLLVQSYPQILYAISSSLLSTSKSNNKRVCKCNMDSDLVWFGLRILDKLTDNPENCRKATNDESGGDLLSTIIDLTIPCCHGHGHGRSMRSNTSTISDSWIEQEIIPLLQTENEIPLPFIDKLDQEIIVGMALNILSKLVAAPDEAGEKLRKETSKHVHFLTNTGMILDHVQATRVISCLAVDKEGREYIGKFPEIIKKLKDCLLSKTPYVNITKVAAKLLVLECTGDEQLLNQIQLFIEENRTVEDQSFSLPISAFIEELDFDQLHQPWIWNFVQSLDVEDALFAPRVNHSDAAAKALILLTTECASNVEAFLQGINKEELNKIVNALSSQDGDKEKRRALAQFEGRRNLDPETLRRVKKIILAEGEEQATEFDEYMKLIDAALPKVLKAVVDAVATLEDPSSAENLDHVKDDMWIKQGKVLESFIGLAVQICRSPNKRSDFSTALKYANLTADTLIKKLKRILEVYMSPSTDFPCIRVSTLELITWMVEENNSYWEILLQCGVYEELNEVARTARKLESFKLFHCGIGIPTERTTECISSLATKLQEKLKKIPDFERRYCFCPFSQNVSSNIL >ORGLA05G0025900.1 pep chromosome:AGI1.1:5:1898353:1899526:-1 gene:ORGLA05G0025900 transcript:ORGLA05G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSLARASSPPPLLLHLRRALAPASSSSPAPVLLLRPAVSCSSLFVSDRAAAAAARRSSGSSRRSMASQQFPPQKQETQPGKEHAMDPRPEAIIQSYKPANKLKDKVAIVTGGDSGIGRAVCLCFALEGATVAFTYVKGQEEKDAEETLRALRDIRARTGAKDPMAIPADLGYDDNCRKVVDEVAGAYGGAIDILVNNAAEQYERPSITDITEDDLERVFRTNIFSYFFMSKHAVKRMRDRRGGAGAGGCSIINTSSINAYKGNKTLLDYTATKGAIVAFTRALALQLAEEGIRVNGVAPGPIWTPLIPASFAEEKVRQFGSQVPMGRAGQPSEVAPSFVFLASDDASYMSGQMLHVNGGVIVNG >ORGLA05G0025800.1 pep chromosome:AGI1.1:5:1888100:1891666:-1 gene:ORGLA05G0025800 transcript:ORGLA05G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLILPFKKGDFAESKSFIDGYKCAWFHCKISSIDGSPFSIIEHIAELKTSHLISFQINDMRVTETGSFQYLLEYLDYPDEEKTWTEVFEKNPAYGKRNSNVVRERECMLRPSYPELYWGDQVPEQFPKSNVIVSVCDTPKVGDLVEWLSEGSYWTAKVTKLLSEDMVKVQLLKPPIGEGGSYTAYCKDIRPALDWCLEKGWTVPLSQANGRCWHAARLIHHKSDTEMSGSDEESTSDDDEEEAQKSLKRASNSSQEAPGSNLEITSDTTSSSRINSQTATIATTKGISRSSPVSISTGP >ORGLA05G0025700.1 pep chromosome:AGI1.1:5:1885479:1886751:1 gene:ORGLA05G0025700 transcript:ORGLA05G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIEGWIVLVTGVHEEAQEDDLHNIFRDFGQVKNLHLNLDRRTGFVKGYALIEYETFEEAQAAIKALDGTELLTQIISVDWAFSNGPVKRRNIRKRSPRRSRSPPRRRY >ORGLA05G0025600.1 pep chromosome:AGI1.1:5:1878881:1880749:1 gene:ORGLA05G0025600 transcript:ORGLA05G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTESLLPIGRVEAAAAEEEVVLGAGDDDSDVESGGESSFSREVRKRVSRLSVEGGGGGVRDRRGSSGGGRRVLPPPHAWLAVEETAARKSYGSDPEEQWMRVLQGGAYGGGGVAAAAAAQRQVQRRSSFSVVRRERAAREAWLDRAWEMKRSWHERNGGAPDADTPVVVVVGKGPPSSPTSHAAGSVGGGGVAMDMEEVRACRDLGLELPSDCTVEIQCYGLSATSSPTHTNSGSCSSGAASPSAAAACSLPSPGADDPMDVKARLKVWAQAVALASTTHLGS >ORGLA05G0025500.1 pep chromosome:AGI1.1:5:1867055:1868574:1 gene:ORGLA05G0025500 transcript:ORGLA05G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLTEADRRGAAPTVSTERTSGSSDVNPSSAGALGNLSHLLSETAQSSMLLPVYDKNHPETASLPRPKVPPKELFLDQLTAGHESPSSCRSSGPTLYFPFQQPLGYSNECGTGDGASMNSLWFNQNDFNCSTISTVMPPVSPSALSTSMGLNLPPENPRHRGTGIGNTPFYWDGSNPSSSGSTGSSGSNSMGFEPQSTTSILENSVFPWTDIGQEKDTRVHLVEELKWPDLLHGTFAEATTAMQNQSQSLYDDVIKAESQFNMEGICASWFQNQQPQQQLQAASDMYDKDLQRLPLSFEHI >ORGLA05G0025400.1 pep chromosome:AGI1.1:5:1848311:1848520:1 gene:ORGLA05G0025400 transcript:ORGLA05G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLTAEDEEESVAGALGAAAWRVAEKGEREEEGGGLTGVGEVRAKGGTWERDAMATQQVSRGKRRWDRV >ORGLA05G0025300.1 pep chromosome:AGI1.1:5:1821681:1822615:-1 gene:ORGLA05G0025300 transcript:ORGLA05G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELERATKKRRGGIDDLPDDLIVDILSRLPAKSVCRFKCVSWRWRRLIYHRDHRKKLPHTLSGFISRYCGPLNDDELVSIPHFDSIDGGEEDEEEHRLVPDPSLSFLLGYMSISPKDCCNGLLLCLCCKNSPRDESDYVVCNPATQRWIILPEIDDYDQLATILLCFDPALSPYFHVFAILEDADGCITGVEIFSSETGRWSHRENGWVDQDDHMLPMVLQVQH >ORGLA05G0025200.1 pep chromosome:AGI1.1:5:1816628:1816966:-1 gene:ORGLA05G0025200 transcript:ORGLA05G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNRIPPQKSSSFLPKRLGRNLSLGAIKISEHGPAERVKEECKEGHGNINNAGAGEGEDAAGEEAAPPKEAAEPDLAMLSAKVDAFLAGREADAPTSFSEVTLDKFASAIE >ORGLA05G0025100.1 pep chromosome:AGI1.1:5:1814599:1815798:-1 gene:ORGLA05G0025100 transcript:ORGLA05G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELERATKKRCGGIDGDNPAADLTDDLIVEILSRLPAKSVCRFKCVSWRWRRLISHRDHRKKLPHTLAGFISHYSVPLHDGIVLMPHFDSIDGGEEDEEEHRLVPDPSLSFLPGYRTIFPKDCCNGLLLCLCCKNSPRDESDYVVCNPATQRWIILPEIDRADPVSTVRLGFDPALSPYLHVFAILEHVDGCVSGVEIFSLETGAWSHRENGWGDEDDHMVHPDAKSAFVDGMVNFISYNSAIIAVDTEGKKWKTIPFLEEMTCECISNGILAFIGQSQGHLYYINFRDRDSSILSVWTLDDYCSCGWSFKYNISTSQLFGWTNMKLEREYSLIAIHPECNMIFYVFRDEGQNTLLSYDMDRGKVNSICNMRDPFWKTWDPCLPYVPVFMESLPDHA >ORGLA05G0025000.1 pep chromosome:AGI1.1:5:1809925:1811685:1 gene:ORGLA05G0025000 transcript:ORGLA05G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGNDTGSDLAELLWDNGAPAPLRPPPPPPFQPFTCSAAATTSPPAHDYLFIKNLMRGGGAANHHHHDDDDDDDDDVPWLHYHPVVDDDDDADADTAPLPPDYCAALLSGLSDHLPPPAAAASRVDPDPCSSSHGAVVPSTSAAAAKQARTSGGGGGGVMNFTFFSRPLQQRPSGGETASASASAAATSTVPVESTVVQAATNRLRSTPLFSDQRMAWLHPPKPSPRAAAPPPPPPLAPTTRHRLDTAAATATVAQRLPPSEARAPDAPPPAATATATTSSVCSGNGDRRQLNWRDSHNNQSAEWSASQDELDLDDELAGVHRRSAARSSKRSRTAEVHNLSERVSTHTMHHPQHPRRVMTSSHLAIELLSSSQRRRDRINEKMRALQELIPNCNKIDKASMLEEAIEYLKTLQLQVQMMSMGTGMFVPPMMLPAAAAAMQHHHMQMQQMAGPMAAAAHFPHLGAAAAMGLAGFGMPAAAQFPCPMFPAAPPMSMFAPPPPPPFPHAAATAVEQTPSPPGAADAGDAPAVKQA >ORGLA05G0024900.1 pep chromosome:AGI1.1:5:1795799:1796011:1 gene:ORGLA05G0024900 transcript:ORGLA05G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGWDGLVAWLRGEFAVANAIIDLLAHIRDNVDPAAAPPGFDAVAAAVQRRRHHWAPVLHLQQDDEVI >ORGLA05G0024800.1 pep chromosome:AGI1.1:5:1792022:1794954:1 gene:ORGLA05G0024800 transcript:ORGLA05G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNESYFDCREYRRKAVFFLRCYALSTSCKLFRWKTKVAEQRRASATEWRAWSASGRGQVRLRSGAEGQRRRFRAILRATSRRRKRAPDVKSFWHELNMHGLLLHRLQQQKPRVCVNSPEEFIGAIRTKFIRLKEEVDAELGVFARDLVGALDKADGGEERVALEDLLVVAQRCAEMSPEEPRRRMHAPPSAARPPTAPLRLRPAARRCRSPFALIAD >ORGLA05G0024700.1 pep chromosome:AGI1.1:5:1789324:1789885:-1 gene:ORGLA05G0024700 transcript:ORGLA05G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTANCIDILIAIILPPLGVFLKFGCGHEFWICLLLTFLGYIPGIIYAIYAITKDGLQTASSIFSIAVCLLEFGNDICIQNSVLSAPILVI >ORGLA05G0024600.1 pep chromosome:AGI1.1:5:1786999:1787886:1 gene:ORGLA05G0024600 transcript:ORGLA05G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRRPFAAPVINYLLLALWLAASSSFAVAVAVAGHGRGRRSHVSSIVTEEMYNKSLFIHKDDAACPARNFYTYAAFLRAADQYPSFGGAGGRDTRRREVAAFLAQVSHETTGGWATAPDGPYTWGLCFKEELKPASNYCDAAVAARWPCFPGKSYHGRGPIQLSWNFNYGPAGEAVGFDGLREPEVVAGDAVVAFKTALWFWMTPRPPSKPYSCHDVMTGRYRPSRADAAANRTAAGFGLTTNIINGGLECNNRTGGDPRVEDRIGFFRRYCGALGVDVGDNLDCAHQLPYS >ORGLA05G0024500.1 pep chromosome:AGI1.1:5:1782273:1782632:1 gene:ORGLA05G0024500 transcript:ORGLA05G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVRTITSPFRKARTILNQQATNTSGSIDEHHHRHRAKKPRRHSSSSSAAGTPSSSSSSSTTTATRTGGDVEEEVVMRRSSQLYGDVMACAYEDVQVMWSMLDNKSRLCAAAAAATS >ORGLA05G0024400.1 pep chromosome:AGI1.1:5:1776073:1776255:1 gene:ORGLA05G0024400 transcript:ORGLA05G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASAASWSRRRIAAGDPNTSLRYDCECVDVKEAGELMQRVARLHHQVRFRCNKRVSAQ >ORGLA05G0024300.1 pep chromosome:AGI1.1:5:1768674:1770131:-1 gene:ORGLA05G0024300 transcript:ORGLA05G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEDGKRESSWPWPPEYGPPDNALHSLGMEFTTITAGEVVGRLLVTATCCQPFKVLGGGVSALMAEAAASIGGYIASGYRRVAGVQLSINHIRPAHLGETVQAKAKPIQLGRTIQVWEVQIWRIDPSTSECKHLVSTARVTLLCNLPTPEDLKHYEQGFIKKHAKL >ORGLA05G0024200.1 pep chromosome:AGI1.1:5:1767095:1768412:1 gene:ORGLA05G0024200 transcript:ORGLA05G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLASSRPPLVSAPATATATARLPPHRHRRRRLYLSATSCASTTSYSSSVLSHGGTSAPRSLVAAELQSQSRPPPLAAGVSVRGDAATGLAFLLFVLAVVMSSFLSLAIFSFPTWRALKRLEIAVHKLSKVVAEEVPGTLSSLKLSCLEINDLTSQLKNFRQRLMINIFGNNSSVDARSQTGWPKRGKI >ORGLA05G0024100.1 pep chromosome:AGI1.1:5:1749256:1754614:-1 gene:ORGLA05G0024100 transcript:ORGLA05G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMVQKQRHEEGEEERGGLCAREIKELDFFSAAGAGAGRRDDDDVLRADGISSSHAGFMVSTALDLLTAVNDGDHHEEKKGQSNIHQSKQMDAAATTVEGELRQAGEENRRLRRRLEELTSSYGALYHQLVQAQQLHTKHQQQAPIAGVQLLDALAAASPASHRRRAAAAVDGDRTADSDGGEGDENVSPSLGSKRPAAAATLTRLTPESGSGGENNGGGEQAPAAEMAPCRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMASQCPVRKQVQRCAEDKSILITTYEGTHSHPLPPAAAAMAKTTSAAAAMLLSGPAVSRDALFAAHHHVVAPPPFFHHPYAGSTMATLSASAPFPTITLDLTQPPPTTTTTAAAAMLQLHRPHAFSSLPFSMYGAGGGSHRPPVVLPPPSSVVETMTAAITRDPNFTTAVAAALSSIMAGGGAQARTPPRGGSDAAGDINGGGGADHATAGARAAAAATQPCGTSPT >ORGLA05G0024000.1 pep chromosome:AGI1.1:5:1745567:1748567:1 gene:ORGLA05G0024000 transcript:ORGLA05G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMHLLLVTTCLWALSCALLLHASSDGFLRVNLNKKRLDKEDLTAAKLAQQGNRLLKTGSSDSDPVPLVDYLNTQYYGVIGLGSPPQNFTVIFDTGSSNLWVPSAKCYFSIACYLHSRYNSKKSSSYKADGETCKITYGSGAISGFFSKDNVLVGDLVVKNQKFIEATRETSVTFIIGKFDGILGLGYPEISVGKAPPIWQSMQEQELLADDVFSFWLNRDPDASSGGELVFGGMDPKHYKGDHTYVPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIVDSGTSLLAGPTAIVAQVNHAIGAEGIISTECKEVVSEYGEMILNLLIAQTDPQKVCSQVGLCMFDGKRSVSNGIESVVDKENLGSDAMCSVCEMAVVWIENQLRENKTKELILNYANQLCERLPSPNGESTVSCHQISKMPNLAFTIANKTFILTPEQYIVKLEQGGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGKDRIGFAKSA >ORGLA05G0023900.1 pep chromosome:AGI1.1:5:1742226:1743946:1 gene:ORGLA05G0023900 transcript:ORGLA05G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGAAIRGSLATAASPVVLRGRGRRCAARVSCVGRGGGGFGDEGHLRYYEAPPRKAVEAVARDLAKLRAMGIAAGDAAKEKVLSEATELLLEELNRMRDEEGELKKKMKEDKDAMKALKKQQKEAMKAATTMKCDDDDSSESSESSESECEEQMMTMNCVATVTVPQIGEGVAISTTVPQVAASDVATAPAMEYDKAAMKAMKKREKEEKKAAKMAMKMKKKEKKMATLTLCKDEDSTSCSSESSDSECKEVVRMSRCATITTPQTQPSSTVFPIILPQIPESVTLEPCQDAQISSEPANTMLSTTATIAVVEKPMTNRIEVCMGGKCKKSGSLAVLQEFEKKVGTDGAVVGCKCLGKCGLGPNVRLRSEGAAQKKNPLLIGVGLEDVGTIASGLFGGGDDLGMVNN >ORGLA05G0023800.1 pep chromosome:AGI1.1:5:1735429:1741117:1 gene:ORGLA05G0023800 transcript:ORGLA05G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRAFGVNASSSSSGGGGGEAVAGTTGKNGGGGSVAFHRLFAFADGTDAALMLLGTLGAVANGAALPFMTVLFGGLIDAFGGAAGGDVVARVSEVSLQFIYLAVASAAASFIQVACWMITGERQAARIRSLYLRTILRQEVAFFDKHTNTGEVVGRMSGDTVLIQDAMGEKVGKFVQLLVTFLGGFGVAFAQGWLLTLVMLATIPPLVLSGAVMSNVVARMASLGQAAYADASVVVEQTIGSIRTVASFTGEKQAVAKYSRSLKRTYSSGVREGLAAGVGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAQVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINREPEIDAYSATGRKLDDIQGDIEFRNVYFSYPTRPDEQIFRGFSLAIQSGTTVALVGQSGSGKSTVISLIERFYDPQLGEVLIDGVNLKELQLRWIRSKIGLVSQEPVLFAASIRDNIAYGRDNATNQEIRAAAELANASKFIDKMPQGFATLVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRVMSNRTTVIVAHRLTTVRNADTIAVIHQGSIVEKGSHHELISDPDGAYSQLIRLQENSHDSEDANYQNKSGKKSDSGIRSGKQSFSYQSTPQRSSRDNSNNHSFSVSATPLEIDVQGGSPKKIAEETPQEVPLSRLAALNKPEIPVLLLGSVASAVSGVIFPIFAILLSNVIKAFYEPPQVLKKDAEFWSSMFLVFGAVYFLSLPIGSYLFSVAGCRLIRRIRLMTFEKVVNMEIEWFDHPENSSGSIGARLSADAAKIRGLVGDALQLVVQNLATLVAGLLIAFISNWELSLIILALIPLIGVNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVASFSAEEKVMDLYKMKCEGPLRTGIRTAIISGIGFGVSIFLLFGVYAASFYAGARLVEDRKTTFPNVFRVFLALTMAAIGVSHTSNLTSDSSKAKSAVSSIFAIVDRKSRIDPSDDAGVSLEPLRGDIEFQHVSFRYPTRPDVQIFEDLCLTIQSGKTVALVGESGSGKSTAISLLQRFYDPDAGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNDTIRANIAYGKEGDATESDIVSSAQLANAHKFISSLHQGYETMVGERGAQLSGGQKQRIAIARAIVKDPKILLLDEATSALDAESERVVQDALDRVMMNRTTVIVAHRLSTIQGADMIAVVKNGMIIEKGKHDALIGIKDGAYASLVALHVSAAAIS >ORGLA05G0023700.1 pep chromosome:AGI1.1:5:1722998:1724099:1 gene:ORGLA05G0023700 transcript:ORGLA05G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PS89] MAAGSRDGGARRRSCSVLVAAAAIFFGYAATAAGLQVGYYNNSCPGAEDLIQTIVHGAVRNDAGNGPGLIRLFFHDCFVRGCDASVLLDADPASNGTVEKMAPPNFPSLRGFGVIDRAKRVVERRCPGVVSCADIVAFAARDASRIMGGIKFAMPAGRLDGRVSSASEALANLPPGSFNLTQLVARFATKNLTADDMVTLSGAHSIGRSHCSSFSSRLYPQIDPAMNATLGVRSRAKCAAAPGRLDRVVQLDFKTPLQLDNQYYQNVLTHEVVFTSDQSLIDRPDTAALVAQYAGSRKLWSQKFAAAMVKMGNLDVLTGPPGEIRQYCNKVN >ORGLA05G0023600.1 pep chromosome:AGI1.1:5:1714185:1718280:-1 gene:ORGLA05G0023600 transcript:ORGLA05G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNITLVFLLMMCLSAAAAESTSGVAGGNGLLHTIPSKNSLAHCPSTCGDIGFSYPFGIGHGCFRQGFELICDNATHPPTLLLANTTTQVIGQSGRTLEVIEIPAIAFNIAMNNSSIIDYIRYWVSPANGFTIVNESTLFVIGCGIEACLFDLDTNETMGSCITMCSDNLGIMEMHDGDCTGIGCCHIIVRRELRRFWLKLDHPDDERTTPRSYRVLSRAQVFILPTINSYQFNTNDLVSSSWMNTSSIGGTLLRGAIMDQETCPGASASKATYACTTNTNCFNATNGGYYCSCHDDVTDGNPYVNLGCSGDPGMTIGIGCGLGSIILALGAIVLINKWKRGVQKRIRRAHFKKNQGLLLEQLILDEKAEDKTKIFSLEELEKATNYFDATRVLGSGGHGTVYKGILSNQCIVAIKMSKIVEQTEIDQFINEVAILSQVIHRNVVKLFGCCLEAEVPLLVYEFISNGTLYDILHSDVSVKCLLSWDDRIRIAVEAAGALAYLHSAAAIPIYHRDVKSSNILLDDNFTTKVSDFGASRTMSLDQTHVMTNIQGTFGYLDPEYYYTGQLTAKSDVYSFGVILVELLVRKKSIFINDQGTKQGLAHYFVEGHQQGVVMEILDSQVMEEANQEEIDDIVSIAESCLKTKGEERPTMKEVEMRLQFVRTTRQRKCQQFPVPEGEIEHFPFPKTSSSSDGRFSHSTGLTCDSVSGSYSLEKEFSSSINLPR >ORGLA05G0023500.1 pep chromosome:AGI1.1:5:1711105:1712509:1 gene:ORGLA05G0023500 transcript:ORGLA05G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PS87] MAMATAACRRPAVMLMAFAMAAAVMMSTVPPASGTTTLQYDFYSSSCPKAEDTVRNVVEPMIFNDPTMGAAFIRLFFHDCFVRGCDASILLDPTSSNTQPEKTAIPLRGYDAVNKIKAAVEAVCPGKVSCADILAFAARDSAVVNGNFAFAMPSGRRDGTASSASDVARFIPSPAFHLQDLVDSFAAKGLTADDLVILSGAHSFGLTHCAFVTGRLYPTVDPTMNATFAAALKKLCPPPASGGGGRAVSNNQVTDPNVLSNQYFKNVAAGEVMFTSDQTLTSRDDTKAMVDDNAANPVAWMARFAAAMVKMGGVEVLTGNAGEVRKVCFATNTAS >ORGLA05G0023400.1 pep chromosome:AGI1.1:5:1706070:1707247:1 gene:ORGLA05G0023400 transcript:ORGLA05G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PS86] MVKLVCFVVVVFMAAAAAMAGADRELKVGYYEKTCKDVEKIVNSIVVNSIKSNRGKGAGLVRLLFHDCFVRGCDASVLLEKSEMNMHPEKESQANIGIRGMDVIDAIKAALEARCPNTVSCADIIAYAARDASRYLSRGGVDFPVPGGRLDGVVSRSRDADAFLPDSAANLTGLVRNFRRKNFTVEELVILSGAHSIGVTHCTSFAGRLTAPDAQINPGYRNLLVSKCGGVSPTATNNHVVVNNVRDEDGAAVARAMPGFAARVRKARDYLDNSYYHNNLAMAVTFHADWALLTGKEARGHVVEYAKNATLWNVDFGDALVKLSKLAMPAGSKGEIRTKCSAVNSYHH >ORGLA05G0023300.1 pep chromosome:AGI1.1:5:1701186:1703218:1 gene:ORGLA05G0023300 transcript:ORGLA05G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PS85] MAMVKLNAAAAVVLSLLVVVAVHPAAADAGGDWYGKKSIEETVRKEVEKAIKHNPGVGAALVRLVFHDCWVNGCDGSVLLDKTPYSSSTEKAAANNIGLDGFDVIDAIKSKLGAAVSCADIVVLAGRDASAILSGGRITYDVGTGRKDGVVSSAAAADAVLPESTFDFAQLKDNFASKGLTQGELVILSGAHSIGVAHFSSFHDRLAAATATPIDNTYASALAADVERQKGVQHTDNPAEKNNIRDMGAAFQSAAGYDAAGVDTAAVGALDNSYYHNNLQNRVLFKSDWVLRTDGDAAADLAEYRDNATKWDVDFAAAMAKLSKLPAEGTHFEIRKTCRCTNQNYY >ORGLA05G0023200.1 pep chromosome:AGI1.1:5:1688792:1693568:1 gene:ORGLA05G0023200 transcript:ORGLA05G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PS84] MTMRLAAAVVVMVVVAAAAMSVEAELSVDYYKKSCPGVENVVRYHVAKALKANRKEGAALVRLIFHDCFVRVVQGCDASVLLDPTPENPHTEKTAPINIGLAAFELIDEIKAAVEERCPGVVSCADIVIYAARDASILLSNGHVHFDVLAGRLDGRVSRAADAQRDLPDSTFTISELIRNFRRKNFTIEELVILSGAHAVGVGHCSSLRARLTAPPEQILPGYRSLLAGKCAAGEDPIVPNNVRDEDPAAVAATIPSFLPKLRKFEFLDNSYYHNNLARIVTFNSDWQLLTEKEARGHVHEYADNGTLWDEDFSDALVKLSKLPLPPKAKGEIRHHCRRVNTHHY >ORGLA05G0023100.1 pep chromosome:AGI1.1:5:1676956:1681001:-1 gene:ORGLA05G0023100 transcript:ORGLA05G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTATDAGARMKPTTVASAVHRVQMALYDGAAASREPLLRAAASLLSGPDYADVVTERSIADACGYPACPNPLPSEDARGKAAPRFRISLREHRVYDLEEARKFCSERCLVASAAFGASLPPDRPFGVSPDRLDALVALFEGGGGGGGDGGLALGFGASGDGKEVEEGRKVEIMEKEAAGTGEVTLQEWIGPSDAIEGYVPRRDRVVGGPKKEAKQNDACSAEQSSNINVDSRNASSGESGMVLTENTKAKKKEATKTPLKMFKQDEDNDMLSSCISDSIAKQLEDVVLEEKKDKKKNKAAKGTSRVGKSKPAKRPVGRDGHEVDFTSTIIMGDHGSEMMDHGALGQYNFSSSILANEQPSSSQYAAIGSVQAYTEELDELFSNAVNIAKDETSDDSGRCTLRSSLKAVGSKNARRSVKWADENGSVLETSRAFVSHSSKSQESMDSSVRRESAEACAAALIEAAEAISSGTSEVEDAVSKAGIIILPDMVNQQQYNNDYDNDKDAGENEIFEIDRGVVKWPKKTVLLDTDMFDVDDSWHDTPPEGFSLTLSSFATMWAALFGWVSRSSLAYVYGLDESSMEDLLIAGGRECPQKRVLNDGHSSEIRRALDTCVCNALPVLVSNLRMQIPVSKLEITLGYLLDTMSFVDALPSLRSRQWQLMVLVLLDALSLHRLPALAPIMSDSKLLQKLLNSAQVSREEYDSMIDLLLPFGRSTQSQASLPS >ORGLA05G0023000.1 pep chromosome:AGI1.1:5:1672640:1674817:1 gene:ORGLA05G0023000 transcript:ORGLA05G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT3G62260) TAIR;Acc:AT3G62260] MVAEAEVMHQPVPVLEVPYHRCVAKGVEEVAAAAAVAPPPVVEVEVAVQVPHMGLESAAGAPSISVDALQFVPSIRSGSFADIGPRRYMEDEHIRIDDLSAHLGSLLVCPLPSAFYGVFDGHGGLDAAAYMKRHAMRFLFEDSEFPQASQVDETYVQSVENSVRRAFLQADLALADDLDISRSSGTTALTALVFGRQLLVANAGDCRAVLCRRGVAMEMSRDHRANYAEECERVAASGGYIEDGYLNGVLSVTRALGDWDMKMPDGSISPLIAEPEFRQTMLTEDDEFLIMGCDGIWDVMTSQHAVSIVRRGLRQHDDPERCARELVMEAKRLETADNLTVIVVCFVSELGSPRREQVGGQAGVARPRSCKSLSAEALCNLRSWLETDR >ORGLA05G0022900.1 pep chromosome:AGI1.1:5:1666899:1667120:-1 gene:ORGLA05G0022900 transcript:ORGLA05G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDRDSIWHTMELLCAYGMRSQIWKESKFGTIGYVKFLSCTRGFPNVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA05G0022800.1 pep chromosome:AGI1.1:5:1661151:1661372:-1 gene:ORGLA05G0022800 transcript:ORGLA05G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVNGDSIWHTMELLCAYGMRSQIWKESKFGTIGYVKFLSCTRGFPKMFRTLSMSLVRGFRLPTSCINRGVA >ORGLA05G0022700.1 pep chromosome:AGI1.1:5:1653961:1656302:-1 gene:ORGLA05G0022700 transcript:ORGLA05G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVVRSSLGFQNETSTSGDADRLPNEMSNMSIRDDNKDIDDIVVNGNGTEPGHIIVTSIDGRNGQAKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVSLKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYNKMNQRMPLIYAKLYMYQICRALAYIHNTIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPYLRSTASEALIHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGMPMEFLVKLIPEHARKQCAFVGW >ORGLA05G0022600.1 pep chromosome:AGI1.1:5:1646840:1653023:1 gene:ORGLA05G0022600 transcript:ORGLA05G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17310) TAIR;Acc:AT3G17310] MVKVEDDVEGSGINASVGDLGDAAVNPQPALLRATVKEEEGQPSSSSSHVRSQFIGMGFSPMLVDRVLQKHGDRDSDTILEALLSQSALQKSGSESGSLGDLFDSDNEENSSHFAPRKEVIQDIKVEADSSSEKRSYLLSTMNFSQREVDLALNQLGEEASLEQLVDFIVTGQVSGCSGGNENGDASNEVKDESLFGVMDKTLHLLQMGFTEEEVSSVIDKAGPEATVLELADTIFARRIASSIEQKEVKVEPDFLDETETSYSAYHPSNSGLRYYDDDHDNIRIKRAKHMFIDDSAGSSSRAGNQPNLDPWLKDHRATTSDGSVKEEFDAMTPGIRRNVRSDVTNPPYFLYGNVVEIPKATWRQLSEFLYNVEPEFVNSQFFSALSRKEGYIHNLPTEGRRNLVPRSPMTIEEAFPFTRQCWPSWDTRKQLNSVATEVAGIEQLCERLGKMVRDSGGYLSQEKKTHIMHQCKLANLIWVGPDRLSPLDPQQVERILGYPRKHTNLFGLNPQDRIEAMRYSFQTDTLGYLLSVLKDLYPDGLRVLSIYSGIGGAAIALHRLGIPLQCVVSVDQSDTNRKILRRWWSNTEQKGQLRQINTIWKLKINVLEDLVKEFGGFDIIIGGNFSSCKGGTTVNSTMGMDSNQFFEYVRVVQRVKHIMGRLQVRAHESARHRHRSPSN >ORGLA05G0022500.1 pep chromosome:AGI1.1:5:1642269:1644339:1 gene:ORGLA05G0022500 transcript:ORGLA05G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIXTSLSPAAITEASSNSATEIYIDLDTPQVREFRTRYKLPVTITDELGSLDAVAFSFVAEDLLELDAAQASQNMKKDPADHPTTLNNAIGKTKIFAIGMNTDTSSKFPISYVLKKSFTIEPTMSIPMLTDGEPLKNKEVLQLPPPAPHTDNPSTTIHNTGASSKSTPPEISLADKTPTEKTSSTTKRAIDFTKDSIEETRSKKLXHTEGKADFPEDSIEGTKGXTHA >ORGLA05G0022400.1 pep chromosome:AGI1.1:5:1635471:1637274:1 gene:ORGLA05G0022400 transcript:ORGLA05G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRGSRIALLLLMLLQCGGLPGIAVGRCVVFNFGDSNSDTGSLPAAFGFYLGPPAGRRFFHRQTGRWSDGRLYIDFIAEKLKISYLSPYMESSGSDFTSGVNFAVAGAAVTQKSAIPLGLDTQVNQFLHFKNRTRELRPRGAGSMIAESEFRDAVYAIDIGQNDITLAFLANLTLPEVERELAASAAMVADAVRALRASGARKFWVYNTGPIGCLPQTLALRQKPGDELDAAGCLAEYNAAARSFNAELAAACRRLAAELGGGEDGATVVCTDMYAIKYELFANHSRYGFERPLMACCGHGGPPYNYANLKTCGQPTATACPEGERHVIWDGVHYTEDANAIVARKILSGDFSSPRTKLKALCK >ORGLA05G0022300.1 pep chromosome:AGI1.1:5:1628010:1630696:-1 gene:ORGLA05G0022300 transcript:ORGLA05G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLNB1 homolog [Source:Projected from Arabidopsis thaliana (AT4G01900) TAIR;Acc:AT4G01900] MSSPATAAASCGVLRHHHPPASPRPPPTTTTTSRLLLASRSRGLQRPLRVNHAPPRRLPPTAARAQSAAAAGYQPESEFYKVEAILRPWRVPYVSSGLLQMGIRGVTVSDVRGFGAQGGSTERHEGSEFAEDTFIDKVKMEIVVSKDQVEAVVDKIIEKARTGEIGDGKIFLIPVSDVIRIRTGERGERAERMAGGLADKLSSAMPIS >ORGLA05G0022200.1 pep chromosome:AGI1.1:5:1612721:1614140:-1 gene:ORGLA05G0022200 transcript:ORGLA05G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMGMAMSPAMSSATAAAASEDEGDLRRGPWTVEEDMLLVDYIANHGEGRWNSLARCAGLRRTGKSCRLRWLNYLRPDVRRGNITADEQLLILDLHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLRCDVNSKEFRDVVRHVWMPRLVERIQADAAAAGEVAAPAPVSAAATRSMSSPAGAMYLHHQQIPLAAGAMVVAPAASSEAYHHHGGGDTSCSEPSQAAVTMSPDDASSTLRSSAAAAAAEDEVIHGDVLSGSWSELLATTTTTIAATGGRDGAATAGLPDFDELGDFEDNLWSLEDIWLHQQC >ORGLA05G0022100.1 pep chromosome:AGI1.1:5:1604455:1606266:-1 gene:ORGLA05G0022100 transcript:ORGLA05G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLGDGRFILVDIKKEMHEVHNEMENDVRGPYKVKKKALQVLQNPKPFDGKQVDEHTISSEL >ORGLA05G0022000.1 pep chromosome:AGI1.1:5:1595815:1600085:-1 gene:ORGLA05G0022000 transcript:ORGLA05G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03810) TAIR;Acc:AT3G03810] MLLKSKFKLATAIGIVLSMLSLLVHLFLANYSAGGITRYSLHMDDVLPFGPRPRPRRLWGSLSTLDHLHPYAKPRKIYPAPDYHNGFIYAKIYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRAKGISSKFKSFSYLYDEDHFISALSSDVAIVRGLPKDLREARKKIKFPTVSPKNSATPEYYVTEVLPKLSKSKVIGIIINGGKCLQSILPATLEEFQRLRCRVAFHALKFRPEIRALGNQIVSRLRVSGRPYLAYHPGLLRDTLAFHGCAELFQDIHTELIQYRRNQMIKRGTVKEQLSVDSVSRKINGSCPLMPEEVGLLLQALGYPSTTIIYLAGSETFGGQRILIPLRAMYANLVDRTSVCSQRELSDLVGPEAPLASDMAHPPPPKTEKELVDEWKRAGPRPRPLPPPPARPYYAHEKVGWYGWIGENDTEPDPSPVEFRRQAHRLLWDALDYFVSVEADAFFPGFHNDGSGWPDYSSLIMGHRLYQTPSGITYRPDRKTISALFENVSDHQYHPPRNWTLAARQHLNNSASVEGIVKSAMLSKPVSFLAHPLPECSCRTPKSPGVQSTKDSHGRLLFGGEEECPDWMVRSLAMVSTKNNEPQNEDYDGDLPEDDSSQDTQQESDRSDMNKSSEQDEEMDPDD >ORGLA05G0021900.1 pep chromosome:AGI1.1:5:1590537:1594711:-1 gene:ORGLA05G0021900 transcript:ORGLA05G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRLRRNPPPATAAAAAPAPDQFRPGDLVEVLPDEPGYHGAHFPASVTASHANPRGYTVAYDGSGCPLGEVVAASQLRPRPPDAPRGVPPAEHAVVDAFKGGAWWVGVALGGGRAAAGGRVAVCFPETREVVKFDAADVRPHLEWVAGEWLSPENMGISKTMPYKKGTQVEVAKLEGNSVVAWFSAAVEKAIWKSSLLVDYNCSKNDGSVLPKEIVDLKHIRPRPQHASAIIFCINDEVEGFQGNGWWLGVITDVHPEFRYTFKAAHSGKEIQLDQKALRLRYDWTHDQWQQVTQMIPGLFYMLLPTFHNNVTKKFAKGSKVEVTSNDDGFHGAWFQGTALKYVNNKILVEYDALKADDEITPLTEAIEVQHVRPCPPDIPVTSGFNLLDEVDACWNDGWWVGVISKVNSGDRNFGALLTVGPANFHALNRAVLLCYPGPPYLE >ORGLA05G0021800.1 pep chromosome:AGI1.1:5:1587972:1588166:1 gene:ORGLA05G0021800 transcript:ORGLA05G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYNTPSHAVNLAAHARHHRLRRARRPALVALAVMADPLASLARAMEVVPDSGMEVAPVSSSE >ORGLA05G0021700.1 pep chromosome:AGI1.1:5:1571959:1577435:-1 gene:ORGLA05G0021700 transcript:ORGLA05G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT2G47240) TAIR;Acc:AT2G47240] MEGGTKKVFTVQVEDGKPRKDGRPAVGPVFRSALSKDGFPPLEPDMKTSWDVFRVAAGKYPDNRMLGWRPVKDGVIGPYIWKSYREVYEEVLQVGSALQQLGVKPGSRIGIYGSNCPQWIVAMQACNGYSLICVPLYDTLGAGAVDFIIDHAEIDVIFVQDKKIKEILSANCKSAKRVKGLVAFTSGTSEEITSADQIGMKLYSWKDFLKMGKENPAQPCAPKPNDTCTIMYTSGTSGEPKGVMLSHESHAIYVKGVDLFMEQFDDKMTTDDVFLSFLPLAHILDRMIEEFFFHKGASVGYYHGDLNALRDDLMELKPTLLVGVPRVYEKIYEGILKALSELRPLRRLIFNALYNRKLANMKAGCTHKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSNEIEEFLRVTSCAYFIQGYGLTETLGPSTVCYPDDMSLVGTVGVAATYTELRLEEVPEMGYNPLGTPSRGEICVRGNFFTGYYKNPELTNEVMADGWFHTGDIGEMNSDGILKVIDRKKNIFKLSQGEYVAVEYLEKVYVFPPTVEDVWVYGDSFRSMLVAVVNPHEENTMKWAESNGCKGSFAEICKSEGLKEHILKELQSVAAKNKLRGFEYVKGVILDPIPFDLERDLVTATMKKKRNNMLKYYKPEIEKVYQKLEEQRVAAKSK >ORGLA05G0021600.1 pep chromosome:AGI1.1:5:1569372:1571285:1 gene:ORGLA05G0021600 transcript:ORGLA05G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48810) TAIR;Acc:AT3G48810] MCSTKCRLRLLLPLPRRHLSTAPATSHRNKIPADPSRTERPCPDPGSGDSARHHEATVRRLAAAGDVDGVQYALQEMRLRGVACTEDALVAAIGAFARAGSADRALKTFYRASDLGCRDPGVRVYNHLLDALLRENMVGAVVPVYDNMRKAGVDPNVYTYNLLIRALCQNDRVDAARKMLDEMSRKGCHPDEVSHGTIVSGMCKLGRVEEARGFLAETVPVQASYNAIVHALCGEFRMWEVFSVVNEMVQRGLQPNVVTYTTIVDAFCKARELRMACAILARMVSMGCTPNVLTFTALVKGFFEDGKVHDALSMWHWMVDEGWAPSTISYNVLIRGLCCIGDLKGALDFFNSMKRNALLPNATTYSTLVDGFSNAGDLDGAMLIWNEMKSSGCKPNVVVYTNMIDVLCKKMMFDQAESLIDKMLMDNCPPNTVTFNTLIGRLCDCGRVGRALNVFHGMRRNGCHPNDRTYNELLHGLFREGNHKDAFAMVIEMLNNGFELSLVTYNTVINCLCQMCMRKHAMLLLGRMMVQGIQPDAFTFNAIIHAYCKEGKVSIAACLLGQMNAVNCPRNVVAYTILISELCNQGKLSNAMVYLLKMLYEGICPNEATWNVLVRAIFTNIGTIGPIHLFKYIVEDL >ORGLA05G0021500.1 pep chromosome:AGI1.1:5:1565841:1567401:1 gene:ORGLA05G0021500 transcript:ORGLA05G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKGVNDRDALIDLESGNNIVVGEHNNGADANFAVAQERTAPNGAWNGAMGTNGCLKDDVNHQHMCCCPSSPDAAAKNGDDRKSDGEEKLGLLDTSGGEKTKKKRSKKPPRPPRPPTPTPLDVSDQKLLNELSELAILKRARIERMKALKKMKNAKHGSSSGNLFPLIITIIFCLVILWQGFFSRTGSAVSFHGSPESSVRAHSSLISIRFYKNNNSNSRSREAISAAPKNAETPSRLEIHSKARKIAR >ORGLA05G0021400.1 pep chromosome:AGI1.1:5:1518615:1521286:-1 gene:ORGLA05G0021400 transcript:ORGLA05G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASMSMSKLLSPPPPTSPPALARSSGRRVAPPPVPPFPFPLPSGNSRRRLTSRRLFATSCSSSDSDHALSTSSTALAGAGDDLSAGVLPFVQLSSGIVLRTEEQSLLGDDAPAPAPASTASSFALPDELNGGCREDDHLGETPAYPAAMNALYAACLAGNATEQLWNFTWPAAVALLHPASLLPVAVLGFFTKLVVFAAGPLVGEFISSLPRIPAYRSLAAIQTAAHLVSAATITYAFAVHRASAAEAATESLLLRPWFAVLVASTAVDRLACVALGIIAERDFVVQLAGAGRPVALAKANATLSRVDLLCETVGASIFALLLSKNDPLTCIKQSCVISLCQLPLLIFLCGEMNRLADRIFDHSENTTSHAEFTSSFSIRKTVEEAVATVRNGWSEYMRQPVLPASLAYVLVCFNVALAPGALMTTFLIHQGVRPSVIGAFGGSSAVVGILATFATARLVKELGILKAGAAGLIAQSALLGAAVVVYLTGAVSRRAGALFAFLGLIVASRAGHMAYSAIGLQVVQTGNPASKAKLIGATEIAVASLAELAMMAVAVVASDASHFGALAALSAAAVAAATGMYCRWLSNPSDQLRRIFPS >ORGLA05G0021300.1 pep chromosome:AGI1.1:5:1515573:1518108:-1 gene:ORGLA05G0021300 transcript:ORGLA05G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPSPPCLLRALPLVASSSSRRLRRRPTTSLLRCSSPSADAASPSGEGGREYEPSFADDFLLAFFRAKMVEEVGWDSEKPGYNGLIEVANRLMVKGKSALETEQSAVRVLRSLFPPLLLVLFKALLAPIANGQLASMMVARATALSCQWLMGPCSVNSVTLSNGKSLSSGVFVEKCKYLEESKCLGVCINTCKLPTQTFFKDYMGVDLYMEPNFEDYSCQFNFGVSPPPLDTDKALKEPCLDICTNARRRKEIGTGSSTDGLQCPQV >ORGLA05G0021200.1 pep chromosome:AGI1.1:5:1513089:1514654:-1 gene:ORGLA05G0021200 transcript:ORGLA05G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFDGVIARRRAMARGGGGDGITVHVEQMARGLMQRQEAAASDEQHRIMASSHRVSRVPAHLRDANADAYTPRFVAVGPLHRGDARRLGAGERLKMAYLHSLISRGHSDQARQLAVIEEYIRAIAAREREARAFYSEDVDMYAEEFIMMLVLDGCFIIEHLVNVAIGRDEPSLHATPFAPVQLSVDLILAENQIPFFVLVDMVRITDLPEFASTGHPPPVLIVKLVLYYLAGEKGRDMVGDALPPAEGVSHILHLLHAMIVAARTKWEPPPRIQDGAVLGTAQDGARLLRRLPLLLLVPLLYPILPEESKWRASYGREDVPSASDLKRMWVRFKKPRGGGAAAVTGIASVMGPVPLAVKLAHEDKLRLPQLRVELRTAPLLLNLMAFEQSAAKAEARDVSAYVSFMAKMVQSAEDAGALAAAEVVAVVHGNGGGEGKEEVVRLFRQVGAASGEVELERSYLGGMVVELRERSRHPLFMMWADVKRNYFTVPWAVVAEFVAFVTFVSTIVQMYSSFKQKGG >ORGLA05G0021100.1 pep chromosome:AGI1.1:5:1505061:1507960:-1 gene:ORGLA05G0021100 transcript:ORGLA05G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAEQQTPAPPSPPPASSWPKEVRVDVIDGGGASSASKQPSSSFRLWRVPKHVRDINKEAYGPKFVCIGPYHRRRRRRGGGDRHADEEEEEEEERRLRVEKLKERYLDELLTDVVGPPHVDDHRAKRDEILLLCTCRLGEMLDSVRRFYAEDQEYLRGMTDEEMVRMLLLDGCFIIKHIYNFAIGYDDPELYATRWSPAQLRIDLGLLENQIPFFVLEEIFYHLTPQTFQRKITRRVAKDDDKTMRRRKRHKLIVMAMWYMLQDWFQLPTRESDDLYKLIAEEEVHHLLHLVHIAHLAKVDSAGPKSPPCEWQLCWQWPWHALQLLLCILPLFLLSLPLHMCRCCAGGGGEKPDPKANIASASQLRGLGVKIRMAKRDRGGILDVRLRKSLEIRLIPPELEVPALSVEEATAVLLQNLVAYEQQGTPARQGQDQQTQGRKGKDYFTTYAFLMYNLVSSTADIAVLQELGVLLNNFGSHETVSNPF >ORGLA05G0021000.1 pep chromosome:AGI1.1:5:1499120:1499666:1 gene:ORGLA05G0021000 transcript:ORGLA05G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMEKSRRAHFLFDDDDQTPPKQNGPQNDDQHNNDDGSGCLCVSCYGGVAKPKAPKSSSPAKDVVAGGRPAAGSNCT >ORGLA05G0020900.1 pep chromosome:AGI1.1:5:1488826:1494379:-1 gene:ORGLA05G0020900 transcript:ORGLA05G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QHAAYNKIHIMRNGDDDTTTEMIFLDEAGQQRLPEVRVNIIHDDNGGGDLSPPSLSFRWKTKKYRLWRVPEQVRAINKEEAYAPKFVSVGPYHRRHAGAGDRNRLRGEKLKKRYLHELLRDVEPDDHKHGGILQRCKSSLQEIVDDVRWFYAEEEYVREMKDEEMVTMLLHDGCFIIKHLYNFVLGYNEEELYATRWAPAQLRIDLGMLENQIPFFVLEEIFYHLTPQTFQRKITRRVADRDDDKTMMRQRKRHKLIVMAMWYMLKDWFQLPTRESDKLYKLIAQEEVHHLLHLLHLAHAQLVNVDDDKGAVLGLRRRDGSATNQQQQGHDKDDDDDDDAKAKANIVSAAQLRGLGVKISKAPTKRGGILDVRLRNGLLSPVLEVPALTVDQGTVQLLQNLVAYEQQGTPPPSNDDEHPRDYFTTYAFLMYNLVSSTDDIAVLQEQGVLLNNFGSHETIIEYFKNLCRGNQRSGTEEKTHIGKVLQGLRDCSQNKAYRYWAEAKKYMDSPVKILALIVSTLLAISTVLQTTVAFYPK >ORGLA05G0020800.1 pep chromosome:AGI1.1:5:1485432:1485680:1 gene:ORGLA05G0020800 transcript:ORGLA05G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYMQDVMEKSRRANFLFDDDDQTPPRQNGRRNVDQHNSDDGDVDSVRVSCYGGVAKPKAKSSSTAKDVVVDGRPAAGSNRT >ORGLA05G0020700.1 pep chromosome:AGI1.1:5:1466949:1468833:1 gene:ORGLA05G0020700 transcript:ORGLA05G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSDSRHIIIVVIASVFCALAVIGIALVWAKMRNRRNDHHDDMDGSIEIIRTIAASQLSFKYEELRKATDDFNQINKLGQGGYGSVYKGVLLDGREIAVKRLFFNTREWADQFFNEVRLVSQVQHKNLVKLLGCSIEGPESLLVYEYLCNTSLDHYLFDAFKKTALDWERRFEIILGTAEGLSYLHNASEIRIIHRDIKASNVLLDERFRPKIADFGLARNFMEDQSHLSTGLAGTFGYMAPEYIVHGQLTEKADIYSYGVLVLEIITGRKSLNSVASSAEGHSLMSLIWKHYNEGTLMELLDPNLQEQCTEEGALKVFHVGLLCAQASPNLRPPMWKVVEMLGSRNNELPRPTQPPFINIKGSNAKSDSSGSSSLKSSSDKSPFSLNQLSVSGVQAR >ORGLA05G0020600.1 pep chromosome:AGI1.1:5:1465873:1466711:1 gene:ORGLA05G0020600 transcript:ORGLA05G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMSSMKADGSVPKRRRQDVQKVVVSLKDHKVEQGPPADSWSWRKYGQKPIKGSPHPRYHHLALIPHTYTAPNVLVISQSFACALILYITDNFTRIRLSDQLLVRFFSLYQSINFALFVDHAVSRGYYKCSSYRGCPARKQVDKCRNDASLLIITYTSDHNHDNYAATTTNSVQEQAHNPDTSDPLSNGMSLAEVVTVASSKLSGEEESCDFFDELEELPVSASPLPSLSFMVQECSFSDARTLL >ORGLA05G0020500.1 pep chromosome:AGI1.1:5:1447186:1452665:-1 gene:ORGLA05G0020500 transcript:ORGLA05G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRGGGGGGGGAASEASGVAGGGSSPPHPPPPATTAAAADLTELMKAQIAGHPSYPSLLSAYIECRKVGAPPEVTTLLEEIGREGRGGGGGATAGGEIGLDPELDEFMETYCRVLERYKEELTRPFDEAASFLTGIHTQLASLCGGAPPPTDNSDEMVGSSEDEPCSGDADAADFGQEHSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALMDWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVTGGSSSGTTLYFDTGTIGP >ORGLA05G0020400.1 pep chromosome:AGI1.1:5:1445437:1445738:-1 gene:ORGLA05G0020400 transcript:ORGLA05G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMMEVCNAQGFVYGIIPEKGKPVSSASDNLRSWWKEKVRFDRNSPAAIAKAALPAQAAVHLVALGAHAALRPAEAPLSA >ORGLA05G0020300.1 pep chromosome:AGI1.1:5:1427519:1427974:1 gene:ORGLA05G0020300 transcript:ORGLA05G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSRRSSSPESNTSGGGGGGYAADERKRKRMLSNRESARRSRARKQQRLEELIAEAARLQAENARVEAQIGAYAGELSKVDGENAVLRARHGELAGRLQALGGVLEILQVAGAPVDIPEIPDDPLLRPWQPPFAAQPIVATAMADAFQF >ORGLA05G0020200.1 pep chromosome:AGI1.1:5:1412509:1414709:1 gene:ORGLA05G0020200 transcript:ORGLA05G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPW1-1-interacting 1 [Source:Projected from Arabidopsis thaliana (AT1G80600) TAIR;Acc:AT1G80600] MNSLQSFLALNPPAAAALGIGGTRLRPSRVTACLATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAARRELSAASRAVVEDEARYIVGTYNRSRVVLVAGRGCKLYDADGREYLDMAAGIAVNALGHADPDWVAAVSAQAATLVHASNVQYTVPQVALAKRLVEASFADRVFFANTGTEANEAAIKFARKYQRVARPDGDAPTEFMSFTNCFHGRTMGSLALTSKVQYREPFAPVMPGATFAEYGNLEEAKKVIQSGKIAAVFVEPVQGEGGIHSATKEFLQGLRDACDEAGALLVFDETQVQCGLGRTGYLWAYEAYGVLPDIMTLAKPLAGGLPIGVVLVTEKVASAINYGDHGTTFGGGPLVCQAALTTLDKIQKPGFLAEVAKKGENFKQLLSTKLSGNAHVKEIRGIGLIVGIELDVPAGPLVDACLDRGVIVLTAGKGNVVRLVPPLIISEKELEQAAEVIRDCLPALDASTS >ORGLA05G0020100.1 pep chromosome:AGI1.1:5:1404245:1408027:1 gene:ORGLA05G0020100 transcript:ORGLA05G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVAPDGGAAGRRRRRGRPVVAVPTAGRGQGGAVAASPPTEEAVQMTEPLTKEDLVAYLVSGCKPKENRRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMAGKLSQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVGFLREVDAVISSGVTPAERLLNLYETKWQRSVDPVFQELLY >ORGLA05G0020000.1 pep chromosome:AGI1.1:5:1400193:1401264:1 gene:ORGLA05G0020000 transcript:ORGLA05G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHGTERLGTAQPAADGKEFGGGALHRVRPRRLPRSQPPLASKAKVLGRLAFPALLAEASDYIAALEMQVWCCRRRPLATRHGSDRRRPGYDGKCRGTRGGERGLAEWSSDSCPARRGLHAGRRGGPLQQRLQASSPRTPSAATTSATASRHPMSLFLYRLDHWAYPDRVCVHLRGVDIAARETHPPTRPSASPTPTPTSAFRRTPVPALLPPPRAHLWPPRSPAGLPPCFPTATVAAGRSYGATLLLSRLAPAASTPAAGRLAQKREEKRREEKS >ORGLA05G0019900.1 pep chromosome:AGI1.1:5:1385358:1386614:-1 gene:ORGLA05G0019900 transcript:ORGLA05G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHNSAPPQIAEVRMDISSSTSVAAGNKVCRGAACDFSDSSNSSKDARERMASMRKLIIAVILCIIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINESGEVQGSLMFAVSAFGLFVNIIMAVLLGHDHGHGHGHGHGHGHSHDHDHGGSDHDHHHHEDQEHGHVHHHEDGHGNSITVNLHHHPGTGHHHHDAEEPLLKSDAGCDSTQSGAKDAKKARRNINVHSAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLIFSVIVLFTTIKMLRNILEVLMESTPREIDATSLENGLRDMDGVVAVHELHIWAITVGKVLLACHVTITQDADADQMLDKVIGYIKSEYNISHVTIQIERE >ORGLA05G0019800.1 pep chromosome:AGI1.1:5:1376067:1378039:-1 gene:ORGLA05G0019800 transcript:ORGLA05G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLALDFLRRLLCAHAAAGNAGGGDDDGAVVVQVQVQQEEGRSPCVVARLMGLDAMPPPPPETECQPLRRQRRRRRSRSVSSAEGWPPPPAPYLKEEGDEFIVLSFSPDAASRHDNGEPDGKSGHVGAKKQSGGCPRRKLHYGGDDDDDEVQHPGHGRRRAAATERGMPSSSPVSVLHAQHSSSSSSSSSTTTTTTTTTTSSCSSEEVGPSSPSPTSEEIRLANNQQSSRRKLQPDFNDDLDNPLSPETSSCHVSKCSESGMRNRSVMNKSEVFIPGVSGTLQFICRLVEEDLNSVIWLTSDSENIAADMVSEILDQLTSETADELMQTGSETVHSSPGRLISMKHPSFRVDRNMQAIRSN >ORGLA05G0019700.1 pep chromosome:AGI1.1:5:1371453:1373261:1 gene:ORGLA05G0019700 transcript:ORGLA05G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGPRKPSTPPLPQQQKEATVMAASLLLELAAADDVAAVRRVVEEEKVSLGVAGLWYGPSASGVARLGMERRTAAMVAALYGSTGVLGYVVAAAPAEAARASETDGATPLHMAAAGGAANAVAATRLLLAAGASVDALSGSGLRAGDLLPRATAAEKAIRLLLKSPAVSPSSSPKKSASPPSPPPPQEAKKEYPPDLTLPDLKSGLFSTDEFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPRRYSYSCVPCPEFRKGGSCRKGDACEYAHGVFECWLHPAQYRTRLCKDEVGCARRICFFAHKPDELRAVNPSAVSVGMQPTVSSPRSSAPNGLDMAAAAAAAMMSPAWPSSPASRLKTALGARELDFDLEMLALDQYQQKLFDKVSGAPSPRASWGAAANGLATASPARAVPDYTDLLGSVDPAMLSQLHALSLKQAGDMPAYSSMADTTQMHMPTSPMVGGANTAFGLDHSMAKAIMSSRASAFAKRSQSFIDRGGRAPAARSLMSPATTGAPSMLSDWGSPDGKLDWGVQGDELHKLRKSASFAFRGQSAMPVATHAAAAEPDVSWVNSLVKDGHATGDIFAQWPEQEQMVA >ORGLA05G0019600.1 pep chromosome:AGI1.1:5:1361396:1362975:1 gene:ORGLA05G0019600 transcript:ORGLA05G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAALTTTTGAQYSCCFGLAHGGAAQRRRRTAAGFGAARWSGRRLRALPPQVSEFLSPEQLVPGSPADTGDVSSLIPISALMLFFYFVSNWVVPELIMKDLQEPKKAEESEEVASSPAATMSVAGDGQPEAKIRLKVKKTKKNKKAAMKV >ORGLA05G0019500.1 pep chromosome:AGI1.1:5:1319257:1325215:-1 gene:ORGLA05G0019500 transcript:ORGLA05G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGDGGWPEPVVRVQALSESGAATIPDRYVRPETERPSSSSEANAVANINIPVVDMSSSPGTAAAAVAEACREWGFFQAVNHGVPAALLRRARGVWRGFFQQPMEVKQRYGNSPATYEGYGSRLGVDKGAILDWGDYYFLHVRPPHLLSPHKWPHLPPDLRETTTEYSEEVRRLCERLMAVMAVGLGVEEGRLQEAFGGGEGAGVCVRVNYYPRCPQPDLTLGLSSHSDPGGMTVLLVDDRVKGLQVRHTGAWVTVDPVPGAFIVNVGDQIQVVTNALYRSVEHRVVVNAAEERLSIATFYNPRSDLPVAPLPELVSPERPPLYSPMTFDDYRLYIRRNGPRGKSQVDRLAAAAATIPNSTTTTQ >ORGLA05G0019400.1 pep chromosome:AGI1.1:5:1309930:1313361:1 gene:ORGLA05G0019400 transcript:ORGLA05G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYKVLGVGRGATDEELKRSYRRLAMKHHPDKNRSPHADDSLFKQVSEAYDVLSDPQKRAIYDQFGEEGLKAGAAPPPTTSSSSSHGGGGGFRFSPRSAEEIFSEMFGGAFGGAGPRAPGAGFPGFGGSPRAGETSATKAPAIERQLACSLEDLYRGATKKMKISRDVLDATGKPTNLEEILTIDIKPGWKKGTKVTFPKKGNEKPNIIPSDLVFIIEERSHARFKRDKDDLIYTHRISLVEALTGCTVQLTTLDGRNLTVPVKSVINPTSEEVVKGEGMPITKEPSKKGDLKIRFQIKFPTNLTSDQKSGIQQLLPKP >ORGLA05G0019300.1 pep chromosome:AGI1.1:5:1303788:1306172:1 gene:ORGLA05G0019300 transcript:ORGLA05G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRSSSSSSSPLLLLAPASASTVAIAAGPTACAVAEGNSTVYCASATNSSSSAAVAPFVSFSQVSGGGGAFVCGLQVGGRALFCWPAAAPGQLRRVYNGPGQLSQLAVGGGHVAAYDAAARVIRWWRGGDRFPLWFGGGFASLVSGDDFTCAVETSTSAVRCWGPRGGAVEAGFLNASVSALAAGGSRACGVRRNDGGVLCSGGGVLAPREDLYVDGLAVGDSHACGLLRPNHTAACWSLGGATTTLYYPAVGTAFELLVAGGNLTCGLVSANFSLLCWSRDGLVAAEVNLPPILPGVCVSDNSSCKCGPLPDSGRFCKVSGDVICRRFCDTSPPPSPRTPSPPATPSSRRGVSKGWIAFAVVGAVGCFAGLCSIVYCLLFGFCSHKKVHNSVQPNIASNNNGGGGGGAAAAVGSGAPSPYGSPNGSLGRLRRQLSRVMTRQRSGPSSFKDPAEEFTFAQLAAATKDFAAEAKIGEGSFGTVYRGKLPDGREVAIKRGESGPRARKFQEKETAFRSELAFLSRLHHKHLVGFVGYCEESDERLLVYEYMKNGALYDHLHPKPNGSSSPSASPVATSWKLRIKILLDASRGIDYLHSYAVPPIIHRDIKSSNILLDGSWVARVSDFGLSLMGPETEEVKHLSMKAAGTVGYMDPEYYGLHHLTVKSDVYGFGVVMLEALTGKRAIFKEAEGGSPVSVVDYAVPSIVAGELSKVLDARAPEPNAHEAEAVELVAYTAVHCVRLEGKDRPAMADIVANLETAVALCEDSATGGGAAGHGNSSSSASLSITSMELSRMD >ORGLA05G0019200.1 pep chromosome:AGI1.1:5:1291165:1297577:1 gene:ORGLA05G0019200 transcript:ORGLA05G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:I1PS44] MTTYRVCCFLRRFRAASNEPSEELGDVFQAYADGGGGVMGEEALRRFLREVQGEAAGGGDDELEATAREVMAFAAEQRLLRKGGAAAAGGGLTVEGFHRWLCSDANAALDPQKRVYQDMGLPLSHYFIYTGHNSYLTGNQLSSGCSEVPIVKALHDGVRVIELDLWPNAAKDAVEVLHGRTLTSPVGLMKCLEAIREHAFVASPYPVILTLEDHLTPDLQSKVAKFSLAQMIKETFGDMLYVSETENMAEFPSPDELKGKIIVSTKPPKEYLQTKNDADADEAGVWGEEITDDKVAATAMTTEEKCAAAEEAVAAAAVDEEMQEAETDKKTQHGVDNEYRRLIAIPLTRRKHDMDQDLKVDPDMVTRLSLGEKAYEKAIVTHGAHIIRFTQWNLLRIFPRSTRITSSNYNPLMGWRYGVQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDILMNNDPDKLFDPTSKLPVKTRLKVTVYMGDGWRFDFRKTHFDKCSPPDFYARQVGIAGVEADTRMEQTKVKMDTWIPAWDHEFEFPLSVPELALLRVEVHESDNHQKDDFGGQTCLPVWELRRGIRSVRLCDHRGEPLRSVKLLMRFDFT >ORGLA05G0019100.1 pep chromosome:AGI1.1:5:1282572:1283121:-1 gene:ORGLA05G0019100 transcript:ORGLA05G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKPDQPALDLPSSLSTVSYCCGACGYDLKLSSSARDTAGMVVAGGGGGYRRRRGVVRFGAIDDARFGHVDEFRCVDVRARRLFTRRTRLLCRKCGAHVGFAYDDRAARSPPRPRYDIKIRALHPIEAPQPSDL >ORGLA05G0019000.1 pep chromosome:AGI1.1:5:1280490:1280900:1 gene:ORGLA05G0019000 transcript:ORGLA05G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAWRRTSKFFISQRDFARKRKYLCRPMPPYGTLEYRTVTCSMIKVPNPHTVVTHSKDSIASASLLATSPLFLQDDDDDDGENVIGDLVSAEDGSCRCKAHSVQPLRHCVLYIKYLWFPVSSACGVSREDKYAVQ >ORGLA05G0018900.1 pep chromosome:AGI1.1:5:1261990:1268335:-1 gene:ORGLA05G0018900 transcript:ORGLA05G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTTATPSHRQPPRRRDGYYRQGGGSYDRSYPDESLGYTPSRSDRYWLDDDGGGGGGGYKGFSRYGGGGGGGSRRDGRDMRGSYRRSPFRGYGSDFSRNHQEHPPPPLRRSPLRSVAVPMSYDPPGDRADRGDRDHHHRVTPWRPLRRRESRSDAADAAGAGPVPVGQAAAAAASEKDVSARSSAVAAPQVSEEEAPRKKPRLGWGQGLAKYEKQKVQGPAESAEAVAEGSPTATEQKGITHTPAPAPCVSPVAAPSPAPCASPVAAPSPAPPCKSPGMQPILTLFSMLKHSFPEDKSCELTANTVTESNKNIPGPDVQACNNEVPTKLDQLEGDPIDSLAKVLSELVQHEDSCSGDSKRLSNVSKLLLLKESISKELEKTELEIDSLEGELKSVNVEARNRTLKDPPTAVTYAQNPSPSPVKEQGELTPSPKISMEQDADVKGSELMEVETAQAHNAKAVSSEESVACPGVALGQVPAAADVIPSDPCGKTGSGIDVNIEQREENPCQENFNAMKADGSSDLATRPCSYREVKYNLMDQIIAANRSEAKKNSQLLFKPVPADRSNLDLLASSYLSSQMKNDVIIKKKHAILKNRQRFKEQILTFKFRVLRHLWKEDVRLLSVRKQRSKSHKRTDQSNRSSQSGSQRQRSSNRSRLAVPAGNLSTFPITEMSGVARKLFSEFQLKRCRNYLKMPALIVDEKEKAGAKFVSKNGLVEDPVSVEKERALINPWIQEEKEIFMEKLATFGKDFSKISSFLQHKTTADCIEFYYKHHKSDSFREVKKLLDLRQQQQPASNYLGAVSGKKWNPEANVASLDMLGVATEVAAQGLEYVNEVKKNSAKSILRTVCGVDNSTKGSEKDCVGDVSLHERESVAADVLAGICGTLSPEGMGSCITSSADPGQKIGIISRMEHLLTPEADKNFDDDGTLSDQECEVDIVDWNDDEKSSFIEAMNRYGKDFARISSYVKSKSFEQCKVFFSKARKSLGLDLIHQGAADAGFPTGDANGGRSGTDGACIAEMDSAICSAQSCPKVEIDACPVSDGEIQGHNPLSDIASRQPEADKSNMPDVVDINVEEGESKAEKDCSILVDHKQLREDINQTSCARIDINCPESTDKLQDIEDVTPVNMHGDDLMATSVEQVAAAHVESRSSLHSEGIGIDVSRIEGCSHESTIGKGGKSTPSVCLPAENGVSKENIIHFKNMDGASSISPAFTSNYQQSKLADPIQSKPKPLTPKDLMPVQFSSSLPDPTSICFEGIAAITTPNFEDHGNRASIASGAKDVNMFPTFKDQSSNRHDALFSNVDGYMQQRRNNHFGTEVCGLSESTGISQSDQFTVSKFQNGRPSSLGLSNGNLGVLSTGRREEAREGLFRPCSVKTSAGNEEQQKRPGDVKLFGQILSHQSSLQSSGSSVHGSKSKPPSPKVDKPASSRLLSNPRERLVYSSRPPIIANLGLEERAMRSFDHMDGRTIQPEPMVMVAKCQRPSAGVPVYSTKNGALSVFAEFQQPSMPPHTSDHKLLENFADLHKRNGIELLSGFQQPGRLGGAGVLVSGVSDPVAALKAQYGSGSKMLSSSNDVDTWKDIGSR >ORGLA05G0018800.1 pep chromosome:AGI1.1:5:1258720:1260137:-1 gene:ORGLA05G0018800 transcript:ORGLA05G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRALRRALPPLSSAAAGVLLRGATRHAAPLPLRPPPLLRLLDPIGLRPFSAAAATASQAPSMGAGLFSGLMDTRFPKRRPGFKSRRKRASLRPKGPYYWVKCKPGEPIPANQPNEGSVQGRKEKKRIKQRKAFIMDEKKKRKAQYSAAVKRKEAERTERKMAAVARERAWTERLADLKRIEEEMKPATA >ORGLA05G0018700.1 pep chromosome:AGI1.1:5:1254490:1256819:1 gene:ORGLA05G0018700 transcript:ORGLA05G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYRFSNVMIGYLNLATLLASIPVIGAGLWMAKGSTATCSSMLQTPLLVIGFVVLLVSLAGFVGACFHVAWALWLYLLAMMLLIAFLLGLTAFGFAVTAGGGGTQVPGRPYREYHTSDYSSWLQKHIQDAKYWRPALACVVGSKACPKIANWSPMDYLQHDLTPIQMINCIVSIIVGVLQAADGVRVQRRGGRRSAGRGLLPVEQRGGDTVLRVRVVQGRGDGEGEGGLAQDLGAQRHGAGGAHLHLRLRLLRLQERPPLRLRVPLRGKPHAQDPPPMGLLLVAMVA >ORGLA05G0018600.1 pep chromosome:AGI1.1:5:1250433:1251365:1 gene:ORGLA05G0018600 transcript:ORGLA05G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLRRFVNLLVESSGGLYSLRRIDLSRHPLFYPTPAAAAAAGPRDLRVQREEEYEFQWPKLNDYDERRRKKKMKRHEAVETLRQLPSIVSMAPSPPTPAGGFGFDCLPLAESESKVVFADHAGRAFLYDADGNRFTGMPSLHAPKGDSPVAVSIAAQGEEESKLYVMDNTLRPESSGGGGGGSLFQFEVFDHRKPEPTSPPWEKYWHCNPLPPPPFVFDSGGMVESYAVIGHVIVVSVSDVGTYCFDTASRSWSRAGEWALPFAGKAEYVPELKLWFGIAAKGECSVRRRPLPRREGRAAVAGVHLGGP >ORGLA05G0018500.1 pep chromosome:AGI1.1:5:1245623:1245856:1 gene:ORGLA05G0018500 transcript:ORGLA05G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQAPGQDCISTTSRTSPSRCSPDWRCCLRLRLRRPAMAADQAIIDRKEGLRMIKHKSRRYAELDDGGTRSVKSVL >ORGLA05G0018400.1 pep chromosome:AGI1.1:5:1240577:1245191:-1 gene:ORGLA05G0018400 transcript:ORGLA05G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEVGIWADDNEAAVLAPALSCGELEGGIPSRRRRRCAWIRRWRRRGGWFRPPPVRIRRGRPSSDPRRRGGGVRRPWRSREEAGAAIRSQWRHTAVRRRWRDRAEAAAVTRLRGGNGEGELWLWRRPSRLLSKWRRGRSWAPEKDRGVSPATAVVCRNRLWQFVELFGYRNNLLKMEKGKVPKAHWDAYASKVFCEICRDEVLAGNRPTAALSPLGYKNLEEKFFAQTGRQYDRTKLKNRWDTLKTQHKM >ORGLA05G0018300.1 pep chromosome:AGI1.1:5:1238594:1239760:1 gene:ORGLA05G0018300 transcript:ORGLA05G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGCLLTASSQPVSTPIVLLINRGVSCPRSASLGEEGYVYYPFKGYGESSKQVFEVESIRLPSPTVSLLPTPSSTDKMCKFDCFSVSESKIICTDQAARTFLYDLDEHCVTSMPNLHAPKYSPFSVSVAIQGEKGEGESSSSSSNSRLYVMEEVLLPERGTGSSYQLEAFDYRKPGPEYLVKAWHCDPLPPPPFVRDPRYVPSPVSSYAVVGNFICISTKGIGTYCFDTVSCSWSHAGDWMLPFCGKGEYVPELKLWFGVSAKNNYAPCASDLSPVVRAEPPKPGCIWEDLNLPEDWRPCRMSDLVNLGSGRFCIIRFFQPSGNRDYMKDQIDQTIAVFSGVEVLPDDNKAAGNGKGKGKQNAKGLRMIKHKSRKCTFIEQINNVESVL >ORGLA05G0018200.1 pep chromosome:AGI1.1:5:1229033:1232647:-1 gene:ORGLA05G0018200 transcript:ORGLA05G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isovaleryl-CoA-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT3G45300) TAIR;Acc:AT3G45300] MAAAQRWLPGIIRRGDGLARRLYSSASSLLFDDTQEQFKESVHKFAQETIAPHAAAIDASNHFPKDVNLWKLMGDFNLHGLTAPEEYGGMGLGYMYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRHGSPAQKLKYLPKLISGEHVGALAMSEPNSGSDVVSMKCKAEKVDGGYVINGNKMWCTNGPSAQTLVVYAKTDIAAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPHENVLGEEGKGVYVMMSGLDLERLVLAAGPIGLMQACLDVAVPYVRQREQFGRPIGEFQFIQGKLADMYTSLQSSRSFVYSVARDCDNGKVDRKDCAGVILFAAERATQVALQAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKEE >ORGLA05G0018100.1 pep chromosome:AGI1.1:5:1225621:1227785:1 gene:ORGLA05G0018100 transcript:ORGLA05G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCFKPEKKMKSKGMEAREVTVVKKHGASLKNSESEKLPCVSSDHKQSSEAAVNTEPHNGSPVTARTGKKFTFRELATATNNFRSDRLLGEGGFGRVYKGQLENGQLVAVKRLDLSGFQGNKEFLVEVMMLSLLNHPNLVSLVGYCSDGDQRLLVYEYMAHGSLADHLLENTPDQVPLSWHIRMKIAHGTAKGLEYLHEKANPPVIYRDLKSPNILLDNEYNPKLSDFGLAKLGPVGGKAHISTRVMGTYGYCAPEYIKTRQLTTKTDVYSFGVFLLELITGRRAVDSSRPECDQILVKWAKPMLKNPSRHHELVDPLLRGDYPRGDLNQAVAVAAMCLQEEASVRPYMSDTVVALGFLAEVPSGYKEKINTVPQNKQDKDPSFTGSTKQDQRSFDRQRAVTEAIEWGATRQKQKAQIQEKTSHLQGIVAPTETNRL >ORGLA05G0018000.1 pep chromosome:AGI1.1:5:1220478:1222897:1 gene:ORGLA05G0018000 transcript:ORGLA05G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSCFKPDKKMLSKRMEEMPFTVVKKASSQHGSSLKNSESDKSPRGHSNNKKSSVAAKNTEPPKRIPITAKAERSFTFRELATATNNFHPDCIVGEGGFGRVYKGQLEDGQVVAVKQMERNGFQGNREFLIEVMILGHLNHPNLVNLVGYCSDGDQRLLAYEYMALGSLADHLLDITPDQEPLSWRTRMKIAHGTAKGLEHLHEKMSPPVIYRDLKSPNILLDKDYNPKLSDFGLAKLGPFEGDKHVSTRVMGTFGYCAPEYVRTGMLSTKTDVYSFGVFLLELITGRRAVDTCRPVCEQILAYWAKPMLHDRRRYHELVDPLLRGDYPDKDFNQAAAVAAICIEDEASVRPYMSDIVVALGFLAEVPAGCEERINAEPQNRKDEDPSVTGNTKKDQSTFDRQRAVAEAIEWGSVRQKQMAQIKEKKAQPQGIVAPKTNKL >ORGLA05G0017900.1 pep chromosome:AGI1.1:5:1214128:1216443:1 gene:ORGLA05G0017900 transcript:ORGLA05G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMAPPPPPPPPPPILLLLLLLLAAVAADVSTALRFDYATLTLGSLKLLGDAHLKNGTIRLSRDLPVPNSGAGRALYATPVALRGGFSTQFAFTVATLNADSVGGGLAFVLASDGVTLGDAGPYIGVSAASDVAAVEFDTLMDVQFEDVNGNHVGLDLGSMVSAVVADLDGVGVELTSGRTVNAWIEYSPKSGMEVFVSYSPKRPAEPVLSAPLDLGEYVKGDAFVGFSASTQGSTEMHAVEWWTFSTPTSSSSSPSKPSPRMATPSSPPPEAPVSSAAPPPASLNPMLPSPPQLPGVSTTTPSPPASTVSMPPTSSVAVASAPANSTAGISNAGSPHPPAHAAVAGAATAGAFVAVSFAGFALWALARRARARRRGTTALAAVADKRDSLASAAALARSPREFTYKELSAATRGFDASRVIGNGAFGTVYKGIIPDTGAMVAVKRCTNASADGAQARSEFLSELSIIAGLRHRNLLRLQGWCHEKGEILLVYDYMRNGSLDKALFDASSPVLPWSHRREILAGVASALAYLHHECERRVIHRDVKSSNVMLDDAYRARLGDFGLARQAEHGESPDATAAAGTMGYLAPEYLLTGRATEATDVFSFGALVLEVACGRRPIGATEGRCNNLVEWVWSLHGAGQVLDAVDARLRGEYDEAEMRRAMLVGLACSSPEPALRPGMRAVVQMLGGEADPPFVPAARPSMSFSANHQLLLSLQDSVSDYNALGLNDLSDDSSSDSLSSSSLTSTLRKGGHDIAAFSSAAAGDAAR >ORGLA05G0017800.1 pep chromosome:AGI1.1:5:1194946:1196302:-1 gene:ORGLA05G0017800 transcript:ORGLA05G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAASPGRAEKQLPAPPGLARLLLSKSRRGGGGGRSXXXXXXSPMFVSRGRGGAGRAAAAAADGEPSSPKVTCIGQVRMRKGKKGKKAAAASKVAAPEKGRGYCRCLKKAFLCGGLFDFDRRRRPKAPSPEVVERSRRSPWVFSSRDVAVAAAPKQPDPRGEHAEEDEEMEASAGGVFRSLEGEEAERLGINGGGDDKEEDEEEEEEEAELVSSATTTPPKNALLLMRCRSAPQNRSSPLTSRFPVAAAPSPTKDAAAAAEIAAPSPRKSQKASAAAEAQHEERQEEMASVQEQEEVREEEEEDVDDDDDDYDEEDMRCSSARPLVLPRCKSEPATTAAAKMAGGTAADATTAGCFWANGGSSGRRRHAPAAAAAATPVALAGH >ORGLA05G0017700.1 pep chromosome:AGI1.1:5:1180599:1190870:1 gene:ORGLA05G0017700 transcript:ORGLA05G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSSCKDKLAYFRIKELKDILNQLGLPKQGKKQDLIDRVLALLTDEQGQRHHGWGRKNSLTKEAVAKIVDDTYSRKMQIQCAPDLATRSHSGSDFSFRPKEEAYDSFQPEAKVRCICSSTMVNDSMIQCEDQRCQVWQHLNCVLIPDKPGESAEVPPVFYCELCRLSRADPFWVTAGNPLLPVKFVSSGVTNDGTSVPQSVEKSFQLSRSDRETVQRQEYDLQVWCMLLNDKVQFRMQWPQYAELHVNGISVRVVTRPGSQLLGINGRDDGPLITTCSREGINKICLSRVDARTFCFGVRIAKRRTVAQVLNLVPKEAEGESFEHALARVRRCLGGGDTAENADSDSDLEVVAESVTVNLRCPNSGSRMRIAGRFKPCIHMGCFDLETFVELNQRSRKWQCPICLKNYSLESLMIDPYFNRITSLLRNCNEDVNEVDVKPDGSWRVKGDAASRELSQWHMPDGTLCNPKEDVKPAMQNGNEQMMEGTSDGQKSLKIGIKRNPNGIWEVSSKADDKKPSVVGNHMQNNSGFRALNNIMHMSNSPTSSYRDGEDPSVNQESNRHVDLSLNNGNNEFDSFSLNFGQACNTDDRPQQQHNATDVIVLSDSDEENDAMVCPPAVYDNTTTANGSGFPFTTNGTGYTERYQEDAGVGTSGLGLLSNNVDDFEMNNWQMHSSYQQPEQGFQFFGNDTDVHNTFVGSHNSFGLAPNDYSLDCNVGVEEASVTPALSVCRNSNEMHGSLVDNPLALVGDDPSLQIFLPSQPSSVPLQEELSERANAPNGVQSDDWISLTLAAGGGGNEEPAPADVNSQPQIPSTETGIEPLTDAASAFLSTNIERRSGADLNPRRIENIFSHPRQPRSVRPRLCLSIDTDSE >ORGLA05G0017600.1 pep chromosome:AGI1.1:5:1175458:1177230:1 gene:ORGLA05G0017600 transcript:ORGLA05G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKRQEGRGRPMGDGVVATGAGCAATQQPGNKGAHPRGRTACRRRARGQGNGDGESRWLGLRAAGIAMAAWMASAEGAKAAAVVPARQASNGAVLGGDGGGLPQIRASWPDLEGGRRWCGYGGGSRDCGHRRHDGLGRPAEGMGDGYIWPVLHRLVEGSKTGLAQTMAVEAGSAREAQAAEMEAGLAREARPMEGGRIGARGAADGGRSDWRERRGRWREVGLAREARLVEEASFGVRGAAGGGGGDLGVRRSCWWVWRDLWRSKAGRRETPVQGSHRLAELMWWWGIGASAVDLQVVSGG >ORGLA05G0017500.1 pep chromosome:AGI1.1:5:1172088:1174605:-1 gene:ORGLA05G0017500 transcript:ORGLA05G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDLPANSKPKKTKKNGGMKTDLHEDNGQVVDVTTKTVLDPWNPYFPLWPPEIPPNLGLNGAICFIEDWKMKRMAYLASYRSTCVINADYTPKAKNIEFYGMTYRLLEPILEKDSRCCFLRLFVKRMHRLISGFIITPETLNCVIIKNAMRCAKVILEGQAPEFGGFRANPNCMNQYGYFPLHEAAESFSVDMIKLLICHGALANLRTSGKNVKEGLLPLHVAVENTCLRKYLEKICFLIRSIQITARKISTKLSIFCAYLRCCPYTLPMPNKEVPDKSGSSVASKATTDVPYLLAVKKKVRHNSYIPTSVGSCFM >ORGLA05G0017400.1 pep chromosome:AGI1.1:5:1154740:1159483:-1 gene:ORGLA05G0017400 transcript:ORGLA05G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQTLDKTNAVKAVHSEDNGERTDISESSDAVEWDPWDPPDPPRPPFPPTADFTSKVQLIKQYGFQVRAALAASRSTNIIAPDRTPQEVLFKFCQISSELGLILKRDSVRSFLRLYGENLDCMAWGLIITPQTFDFIVAHNALRCAKVVLEGKAPKLYEMRANPNCMSNYGYFPLHQAAETFSVDMIKLLLRYGASANLRTSGQKVIEGLLPLHVAIENTCMHKYLEDNLLTDQKHKQVDFDFIYKLVHLLCLPEMKIFLDTTRLLADYTDNVLDELWNYIKEGKLVHAAILLLAAQRKIRTCASSKRNTNHNLSGFDIIKDRITGSIISIETEGPGLTGGKNSKARKQQDDMRMFFRNALVLNSMISEAGEALDEYIQTHSENISSILKDHGVAPTGKGINIGDLKCCPYDCEESDSVLKCKHEDSGMTKASGESPNRNVEAKNAVGNKLPSRQELEQTRNMFFPYWRSVLTSRFTVKLFPSYAPMDDCQPSLPKLYMAGGSGNKLKDKGSFPVDVGLLGKTPQLTSIHQSRRLFGTAAFTLLKMLKKRA >ORGLA05G0017300.1 pep chromosome:AGI1.1:5:1154141:1154482:-1 gene:ORGLA05G0017300 transcript:ORGLA05G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFKTRTATTCSLVATSRRRCGELSERRWCNASFQTPADENTLADWWLATRRCFRTSYRTDFDSAFMLICWLIWKERNARIFQHVSKTLDQPAEDIKEEIAVWGVAGIFSQFRE >ORGLA05G0017200.1 pep chromosome:AGI1.1:5:1153045:1153398:1 gene:ORGLA05G0017200 transcript:ORGLA05G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLLLPATAAAAAARLPSRLAVGAAPPLRVLPFFLYPLPPSPVISKGRDVEDATVGCPVCGVFMQDADPNLPGFFKNPSRLSDDEMGEDWSPLAAELDGFIGNDEGNDLPSESDL >ORGLA05G0017100.1 pep chromosome:AGI1.1:5:1135676:1139385:-1 gene:ORGLA05G0017100 transcript:ORGLA05G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQTTDKTDAVKSMLSGENSEGSDGSESSDTVEWDPWDPPHPPCPTLPPTASLMSQVEMVKQHHFQVLAVVAASRATNIIAPDRTPQEVRRKFSEICSELADILEKDSVRRFLNLYRRNMRIMGWGLVITSQTMDFIVSYNALRCAKVVLEGKAPKLCQIRANPNYMTSYGYFPLHQAAEKFSVDMIKLLLCYGASANLRTSGQKVIEGLLPLHVAIENTCMHKYLEDNLLTDRKLKQVDLDFIYKLIHLLCLPEMKIFLDTTRLLADNTDNIVDELWNYIKEGRLVHAAILLLAAQRKIRTCASSNRNISCNLNGFDIIRDRVTGSIVSIEREGRGLTSGKNSKAHKQLEEKRKFFHNSLMLIFVISKTGEALDEYIQSHSEVSHQEVLEGVSSILDDHGFAPTGKGISIGDLECRPYDCKASNSVPKCNYEDSGTTKAVGESPNLNVKAKKAVGKQIQSRRELEQTRKMFFPHWRSVLTSRYTVKVFPFYAPMMEREDLSNLHMAGGTENGLKDKWCSPVGVSLLGKPPQLTSTSYQSRTRRLFGTAALTLLKTLKRA >ORGLA05G0017000.1 pep chromosome:AGI1.1:5:1127370:1127582:-1 gene:ORGLA05G0017000 transcript:ORGLA05G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGADPTVSSPHARGFGGGGDGRGGSDSLSPSCADLATSPPLSLQQQQRWASRGADVVVGEAADRQPRHG >ORGLA05G0016900.1 pep chromosome:AGI1.1:5:1111229:1112726:-1 gene:ORGLA05G0016900 transcript:ORGLA05G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTRSSLLADDEDRPRWLKKRRCDLHQWSDLPSELTEDIASRLLGYDVAEYLRFRAVCKAWRECTPHPRELDSRFRPLRWILLSSTSGDMRCRFLNIATGACIQVDLPEELAAGGQIQIECRTEGLLVLRDKVTDAIRLLNPLTKAVTDLPPITAAMANVIPAEKRVHAEIPWSLIAYAGISDETSPPTVAIFLRDMRLNIAYAKPGDRHWKLLDDKAWSTFPSSISRSDGQQLRYVNYLSVVTLRGRIYLVTYQGNILKLSIRPRPQLIPIVKDQTKHSMWHGRVLCSNVVSYLVPPNDDDHRMLMVRYYGDLTHLTDHEQRCIKRRKKNDLIKLPSKSPCRYNWRILQVFEVDIVRKKLVRVDGIADDRAVFIGDVACVSLSIDRFPSILGNTVYLGMNSCCAVGFGLCHLKDRTVEPRLEHVLESGRKAPLLVDISLFHLGRIVPFARPCTLEEYLVFSVGFKNGIKD >ORGLA05G0016800.1 pep chromosome:AGI1.1:5:1106499:1109173:-1 gene:ORGLA05G0016800 transcript:ORGLA05G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; CONTAINS InterPro DOMAIN/s: Defects-in-morphology protein 1-like, mitochondrial (InterPro:IPR019190); BEST Arabidopsis thaliana protein match /.../nknown protein (TAIR:AT3G57110.1); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G60370) TAIR;Acc:AT5G60370] MALIEAALSAAAAASRPVLSSAAARRAVQLSSCAAYSAASGGDIEDSPPLPTPRRGSLLARFRDRRALAVTDITATEWCDKQQEFVLEHGKPEMTQAMKAGSERHAQLEQEVIERVEVTIRSAEESWAVKFMNFIVGANQLMLEGITREIPVIGVVEGSWMIGVIDELRMPPDGISVHPILVDTKTRYKPTVPSEAQKRNGRLQVMCYKYLWDNLISEKFPAENFFSYFDLDPGYLLSDDIKQYIRLLGLNAKTLEDVLKYFKVTCHTLPRSQEQLLLRYELQADNSLLEEYQFSYDARWLKDQFQEVLSFWQGAREPKFVSEEERWKCSFCKFANNCPINASTSRCC >ORGLA05G0016700.1 pep chromosome:AGI1.1:5:1102620:1105745:1 gene:ORGLA05G0016700 transcript:ORGLA05G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFGAVKKVFSPESKEKKEERLRRKLAASNPNPPDLTPSASLEVNVSVPPPPPPPPVQQIEEVKVPEVEQEQSKHVTVEAVPEAVPVPAQTSSLPPGVSREEQAAIKIQTAFRGYLARRALRALRGLVRLKSLVEGNSVKRQAASTLRCMQTLARVQSQIRSRRLKMSEENQALQRQLLLKQELESLRMGEQWDDSTQSKEQIEASLISRQEAAVRRERALAYAFSHQWKSTSRSVNPMFVDPNNPQWGWSWLERWMAAKPWEGRAGTDKESNLDRASVKSASLNLGEGEITKAFNRRGSKPDKSSPTTPKLTRPASRQSPSTPSAKVSPIFAKKKSATPKNGLSQVDDDAKSVFSVQSERPRRHSIATSTVRDDESLASSPSVPSYMAPTKSARAKLRLQGSAVTDGAETPPEKVASVGSVKKKLSFQAGMAPPSPMRRHSGPPKVEVVKDIAEPPQPEALVINGGSK >ORGLA05G0016600.1 pep chromosome:AGI1.1:5:1090260:1095922:1 gene:ORGLA05G0016600 transcript:ORGLA05G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:I1PS18] MGTAAVAAAERPKQRRSSHLWKKALLHFSLCFVMGFFTGFAPSSSSSWRAGSGGGGGVQPRHQLATSHVAVNQQVSLVPDAAAAEAAGVGNGAVVDVGDDEGGEGARRMLIVVTTTRGERRRRRGELLRLAHTLRLVRPPVVWVVVEPAADAAATAEVLRGTGVMYRHLAFRPEENFTTADAEAHAQRNAALAHVEKHRLSGVVHFADAAGVYDAHFFDEIRQIEAFGTWPVATMSAGEKKVVVEGPLCSDSKVVGWFSRDFNDGTTRAVTYNTEADLNPAGAAGTRAHTIDVSGFAFNSSILWDPERWGRPTSLPDTSQDSIKFVQEVVLEDRTKLKGIPSDCSQIMVWQYTMPMQVHAQTSTPKTHNRR >ORGLA05G0016500.1 pep chromosome:AGI1.1:5:1077380:1078112:-1 gene:ORGLA05G0016500 transcript:ORGLA05G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSDTACTVATAPPSPTPTSPPPQLAATTVVLSPCAACKILRRRCVDRCVLAPYFPPTEPHKFTTAHRVFGASNIIKLLQMASSPLMSPSWLQELPEEQRADAVSSMVYEANARIRDPVYGCAGAICQLQKQVNDLKAQLARAHAELAGARAQHAHLVALLCMEMAAAAPPQHPTTTAAAAAYCAAVGGAASPAVPVDALYVVDGAGAAAMQASPIGWVDEPLWT >ORGLA05G0016400.1 pep chromosome:AGI1.1:5:1070286:1072653:-1 gene:ORGLA05G0016400 transcript:ORGLA05G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGHGGGTTYKGYTIPHNKRWHTVAGKGLCAVMWFWVFYRAKQDGAVLLGMRHPWDGHDDHSHGHGHEHEGSSSTSSSH >ORGLA05G0016300.1 pep chromosome:AGI1.1:5:1068746:1069102:1 gene:ORGLA05G0016300 transcript:ORGLA05G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQSGCCKPPTSCAYNYVNETFWTANPGVPTVVNDVDCSKWSNDQQTLCFQCDSCKAGVLAGIKKSWRKVAILNIVVLIILVIVYVAGCAAFRNARRIENDEPFGMARMTKTQPSRFQF >ORGLA05G0016200.1 pep chromosome:AGI1.1:5:1065232:1065765:1 gene:ORGLA05G0016200 transcript:ORGLA05G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGTSLLGIVNFVTFLISIPILGGGIWLASRANSTDCIRFLQWPIIAIGLAVMVVSLMGFAGACYRQTWLLRLYLFAMFFIVVALLFFIVFAFAVTDRGDGQVVMNRRFLEYQLSDYNGWLRDRVADPAYWATISACLRDGRACAAMRRFARDPNTGMLVPETPSMFYARDLSPIQ >ORGLA05G0016100.1 pep chromosome:AGI1.1:5:1057152:1057388:-1 gene:ORGLA05G0016100 transcript:ORGLA05G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low temperature and salt responsive protein family [Source:Projected from Arabidopsis thaliana (AT2G38905) TAIR;Acc:AT2G38905] MGSETFLEILLAILLPPLGVFLRYGIGMEFWIALLLTILGYLPGIIYAVYVLVA >ORGLA05G0016000.1 pep chromosome:AGI1.1:5:1052545:1055530:1 gene:ORGLA05G0016000 transcript:ORGLA05G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSKTRNGPSDAPQKASPATPRSSRVAKTGGNETDSAGITPTRTTPERSPKVTERRSPRSPITEKRPSRLSELESRVSQLQDELKKAKEQLSLSESRRRHTQQEAEEAKKQEQAATSKLEDLQRQLAEFSAAEESRLQELRKVSQERDRAWESELEAVKKQQSVDSAALSSALSEIQRLKQQLEATTESDAARAKQCEFAESELEGLKQEMELRLATIEGLKVNVGESDKAVAEANAVAAETKKQLEMAQATIDSLLAEGARLQECLRSKDAELGQSMARLATLEEDLKKAHNKDDVDGSFGSPDHGEAVEKVVVTIPNGNGSCGGSGAEIEQLRTALEVAEIRYQEEQTRTTIETKTAYEMLENAKSEYDRRVRDLELELKSKNDELTEAKATLAVRCDGKSDVMQPELEAKLMKSITDATELKASLMDKETALQSVMEENETLKSEAGKKAAEVQRRYEAAVAELELAKAAEQDVRMRLGYVTEEADKSSRRAARASEQLDAAQAASAEMEAELRRLRVQSDQWRKAAEAAAAALGGGGGGIGRNVERTGSLEPAEYTNSMIGGKLASSPFSDEPEEESPKRRNSGVLRRMSGLWKKSPK >ORGLA05G0015900.1 pep chromosome:AGI1.1:5:1041661:1043142:-1 gene:ORGLA05G0015900 transcript:ORGLA05G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEKGAAAHGAVAKNGGEGEVSAVRRPGRPRVVHPDVAEFLLNFRRLRREKKKRATPRPAVVVVPGERARYDCAFEDEGEVGRRGGFAPGRLVWGKVRCHPWWPGQVFDPADASEQALEERRKHGATLVAFFWDKTFAWVDADELLPFRGDGGDFALLAGQSAHAMPALTASVDAALGEVARRVAAGLSCCCCCDGAAVAKKQVIENAGIREGAHGATVDAAFTRGALRGEAFVGYVSALAVAPLAGADRLDLAIATAQLKAFDRWRGAAARSLPEYTCHHGIEANAMAPRRKRGRATKNTITGNVDDDASELENFEPTPQPLSHQMSTKIGKLMSRAAQQMSRSPAVIHRDTTTTTTNGDAPPPPPPAISLTMGRCTRSADEKKKNSDIREDPFLAGLVLNFICPSAVLPLSELVNIFSKFGPIMEAKTENAYAMVMFKRRADAEAAFSGTTKINALSSSLISFRLNYSMSASPIDSPECSLNTAMDRLLF >ORGLA05G0015800.1 pep chromosome:AGI1.1:5:1034685:1040321:1 gene:ORGLA05G0015800 transcript:ORGLA05G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPKECLSHGVPAAVLQFFDFFSMHKQKLVLKIVANVLGDFSAKDAAKAMEAAPVLCNLLQSTDKTILDSAVSCLVLVSDGACDSAQHMEKLYELNAVQATMRLMENDGWKSLSDETLSGILGLLKDLASLSARAVKSLFELNICDLLKQMITYYTSSHSDHNKVQTLVELIYYLMPPLEMCDHRTELIIAKKNVITEQSGYIQQLASILTFIIQVAKSAALSSICYSCVVVIRNIVELSTPSSLVEVQKTVNLSSLLAGWLARKNRHIIFQTLNVSKTLLRKDQKFFFETFIREGLKHAIDAILTQEKGKSRLPESCLCFDLDLETSTDDACRINNGAILKLAEEIKKNFLVKVAKSPHKFGCAFKSIKEFFSRLNCHATAPPAKDQDLCKQLSDFSRQLLSDELPSTSTFEFVQSGSIKHLAGYLSNGTYFNSNLRNCQDLIWELKEVKIRLQKFTHLALSVDNESSVKPLEILVEKLIDALHVWYDSFPVILADEQCTRESTMIPLRDSGTEEPMSLYIKFSRSAREEELEDYGGVLPVDLSSTPESIEEVLLPEICKRTGNETSYKENTQEANGSRKSVGLRNGDGHKSSRLKFSYKGTQLQSSTPLFESILRSMHEGETDLQIDPSFWDKEHKIVYRRRNKSKKISSHSSYNIQLCRVHEKLEMSLLKDPFFSTILTGKLPGDLDESDPSYNFLFMLKVLEGLNRFSYHLSMDDKLCKFAEGCLQELDDLKVAICPIPRDQFVSSLLTNKLEQQMQDSLFGDGLIPSWCIYLVETCPFLLSFEARWKYFCLTAHHSFMTDEASSSTETKKYSVTRSKILEDASSMLNKHGSDTKFIEVEFDGEVGTGRGPTFEFYTTVSHELQRVGLGMWRGDDTSQECEAGFVHAPFGLFPQPWSSANTSSQGISLSNVVQNFKLLGHLVARAVLDGRVLDIPLSKAFYKIMLEQDLDIYDIPSFDPKLGKTVMEFQALVKRKKFLEERASNPAADLSYKNVRLEDLCLDFTLPGNPEYELVPGGSEKMVTLDNLEEYVSSIVDATLKSGISNQIEAFKAGINKVFALKTLRLFSEDEMERILCGEQDSWASNKLEDHINFDYGYDANSASVISFLEILREFGREDQRAFLHFTTGAPQLPLGGLASLDPKLTVVRKQCDGKVDNELPSVNTCRHFFKLPPYSSKEIMRQKLKYAIKEGLGSFQLS >ORGLA05G0015700.1 pep chromosome:AGI1.1:5:1027191:1028547:-1 gene:ORGLA05G0015700 transcript:ORGLA05G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQQQENLTLLPMSSSAADAAAASSPAASAGRLFTAGLVASWYASNIGVLLLNKFLLSTYGFRYPVFLTACHMSACALLSYAAAAASAAAPRAARPRRSRGQLARVALLGAVFCASVVAGNVSLRYLPVSFNQAVGATTPFFTAVLAYAVAARREACATYAALIPVVAGVVIATGGEPSFHLFGFIMCIGATAARALKTVLQGILLSSEEEKLNPMELLGYMAPVAVVLLIPATFIMERNVLTMVTALAREDPSFIWILLCNSSLAYFVNLTNFLVTKHTSPLTLQVLGNAKGAVAVVVSILIFRNPVTFMGMLGYGITVAGVVLYGEAKKRSK >ORGLA05G0015600.1 pep chromosome:AGI1.1:5:1022760:1026486:1 gene:ORGLA05G0015600 transcript:ORGLA05G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLIDVAAEDDLLLDLTSPPPLHPDPPHAGCDEVMAAEASRLDPAGDSPEMRRVVDPDGATEEAPEQSESPKRRKAKAGVNLRKSLAWDSAFFTSEGVLDTEELAVVNSTFRKAQGSRLPGIAEEMRRSGESTTSTLESESWVMENLETELFDNVRASIQRSHGNPGKAPGVTAVISKPPKSKANVPRIAARKGVDLMPQSKIRAPISTSQGAAGGKQRIQATLKEPTTARVPISGSTEVKPSLKPPRALPRVATMRAPTNTAVASGIPDKRSSTGGVVNRQTVGKSVNNSVSAHSRPGGVTKSTSTSKSGALPSSLSSSAAATAASIGNMLGQKPKSSTLSNKSRIAQRIPVRSTSRTDVNKANPARASRNNIPTGGKSNRVSPSISPSSSVDSLSSVVSGASTASTVGKMSHTSESFSTRSSSLSPSLRNSNDHAPTRADADTQGKGSKPSGLRMPTPKIGYFDASIDQQIGAHMQVQPMKIQCSPQLSSAQMGNPASSILSQPESRLAASPHEKKSSVQSKASPLLPFEVVQIELEPSQAMEHEVCTPQPCPVVAAAADTAKENIPALHQNIQPNDGAGSLAVDLICQRLSTISLGDATDLAS >ORGLA05G0015500.1 pep chromosome:AGI1.1:5:1013814:1018042:-1 gene:ORGLA05G0015500 transcript:ORGLA05G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein [Source:Projected from Arabidopsis thaliana (AT2G28560) TAIR;Acc:AT2G28560] MANKLVSEMRLPPHLAHILAARRLNTAKDVLSLPEVELMGVLDAGIHTARAAVAHVSEIACPPYQTALALLEVFRARGDGRLATTLRGLDEALHGGIPAGKLTEVVGPSGIGKTQFCLKLALLATLPECYGGLNGRVLYIDTESKFSSRRMIEIGEKSFPQIFRQEGLAQKMAGRILVLRPTSLSEFTKSLEQMKVTLLQHDVKLLVVDSMAALMSSEIEKSATGLRQHPLRWALSFLKSIAEFSQIPVVVTNQVRSQSNDDGYRYSFEVEKKYDSNNAEGFESHLVAALGIQWAHAVTIRLVFEAHSGHRYIKVAKSPMTPAVAFPFTVESSGIILLSDEGIDVPSPEITSIRCQGENVLAQ >ORGLA05G0015400.1 pep chromosome:AGI1.1:5:984818:988239:-1 gene:ORGLA05G0015400 transcript:ORGLA05G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLNNVAEGVVEKHETAARSDSGTSESSVLNGEASGAAAAPAEEGSSSTLPPPPAAVLEFSILRSSASASGENDADDDEEEEATPSPPPHHQHQQLLVTRELFPSAAPSPRPAPQHWAELGFLRPDPPRPHPDIRILAHAPPPAPPPPPPPPQPQPQAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNLSDYEEDMRQMKSLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYDGELPTDAAAQGADVDLNLSISQPAASQLSPKRDSGSLGLQIHHGSFEGSEFKRAKNDAAPSELASHPHRFPLLTEHPPIWTAQPHPLFSNNEDASRSSDQKRKPSEGVAVPSWAWKQVSHHHPAPPHTLPLPFFSSSSSSPSSSSAAASSGFSKAATTAAAAQHTATLRFNPTAPSSSSSSRHHHHH >ORGLA05G0015300.1 pep chromosome:AGI1.1:5:975550:976125:-1 gene:ORGLA05G0015300 transcript:ORGLA05G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVRAVGSTLPSIRAAAELARQELLRRELVECQLVAGIWCHGFTVSQLRSIRASLPPTARLVVAKNSDVAAAVAGTRWEAVRPFARGMNAWLFVRSDEIPPALRPYRDFQKEWKLQLNDFTGAVFEGRLYSPDDFAQLESMPTRVQSYQYLLGCLQMPAVSVLAALRARQEAMAQPPPADEPAPTPPADK >ORGLA05G0015200.1 pep chromosome:AGI1.1:5:971307:974494:1 gene:ORGLA05G0015200 transcript:ORGLA05G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVELGAEEREVAGGEGGSKGAAPPARDIRRYKCDFCSVVRSKKGLIRAHVLEHHKDEVDDLDDYLGRGGGETRKEMDHDCKVCGASFKKPAHLRQHMQSHSLERPFSCHVDGCPFSYSRKDHLNRHLLTHQGKLFACPMEGCNRKFTIKGNIQRHVQEMHKDGSPCESKKEFICPEENCGKTFKYASKLQKHEESHVKLDYSEVICCEPGCMKAFTNLECLKAHNKSCHRHVVCDVCGTKQLKKNFKRHQRMHEGSCVTERVRCHLKDCKLSFSKKSNLDKHVKAVHEQKRPFVCGFSGCGKSFSYKHVRDNHEKSSAHVYVQANFEEIDRERPRQAGGRKRKAIPVESLMRKRVAAPDDDAPACDDGTEYLRWLLSG >ORGLA05G0015100.1 pep chromosome:AGI1.1:5:956846:961077:-1 gene:ORGLA05G0015100 transcript:ORGLA05G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:integral membrane TerC family protein [Source:Projected from Arabidopsis thaliana (AT5G12130) TAIR;Acc:AT5G12130] MASASTAVASATAGTTRSFEKLSAPWMIGRGHVALPPSPGRYPAVTVAAASRRTGEGGRRRRRARGADQEDGLSLSSEIEAPSSTPPVRTTDKESTKPASETGITKKGSAIRRVTLVVLAAVFFGVSIALRDGVGKASEYFAGYLLEQSLSVDNLFVFVLVFKYFKVPQEYQNRVLSYGIAGAVIFRTVMITLGAATIQRFEAVNLLLALILLFTSYKLFAEEDEESDLSDNFIVKTCQRFIPVTDYYDGDRFFTTQEGLWKATPLLLTVAVIELSDIAFAIDSIPAVFGVTRDPLIVLSSNIFAISGLRSLYVLISESMSELDYLQPAIGIVLGFIGTKMVFDFFGYHIPTEASLAIVTTCLSGGVILSLRKASTEEKDK >ORGLA05G0015000.1 pep chromosome:AGI1.1:5:951980:952759:1 gene:ORGLA05G0015000 transcript:ORGLA05G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQMAKKAELEEQGRSVARYIRKLYIQQCKKLNIEFEEDEEPEGVLHPSDAGPFQHTDKEYATPNPNDVGHLSEIPSQQEQAEMPRLPW >ORGLA05G0014900.1 pep chromosome:AGI1.1:5:940663:941943:1 gene:ORGLA05G0014900 transcript:ORGLA05G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT4G31130) TAIR;Acc:AT4G31130] MALTSAQRIALTVSFFGLLSFLLGVIAENKKPPYGTPIKGKDVVICKFPSDPTIAMGSLSVVSLVLTTIVGHAAIFYPYKSKSVPRGALFRSASLSAFFVIAELVSALAFAMLLWATVTEGLHRSNNIHHDLDTQCPTAKTGLFGGAAFLALDAALFWLVCQMLALNARSDYLDEDDKGDYGQVFASDVDGTKV >ORGLA05G0014800.1 pep chromosome:AGI1.1:5:933363:934235:-1 gene:ORGLA05G0014800 transcript:ORGLA05G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPTMVIQEDYIDMDLTPTTTPLPPSSPRLCGGGGFREFEFHSSGAVVSKAFASPADELFYKGNLLPLHLPPRLQLVQKLLQEQQVQVQGIKKEVEDDDMVDMSKVCAAKKYSWSKRLKLMKRWTSREYIKSLFLATAKPTGIAVVGGGNGGGVMDQEELCGHRKSFSGIIRRVRLVATKAASAPGTSPLCSTSSSSSSTPSCGNASRFFPPAPALKRSSSAGSSEEGAIQGAIAHCKRSQHQHLQQQRRSVSDVVFYSVTNTPRVSSVAAAAAGEVAQGERQEMCRG >ORGLA05G0014700.1 pep chromosome:AGI1.1:5:927748:929301:1 gene:ORGLA05G0014700 transcript:ORGLA05G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHVRWAAASSSSSPPPPSSPEEEEMEFLHECAASTPVEDVAAALAGVAALQARLLSLCRSLRERGAAAAAAAGEVERAVAEAESYASKEQVRYNRFLSPRALREHIKNIEKTAVTALQESPEALCLQQKSSGDKLENVQLWWAGKELAMGKKLCDYIGVNDKTKIIIRLKHVPQSS >ORGLA05G0014600.1 pep chromosome:AGI1.1:5:916836:921488:1 gene:ORGLA05G0014600 transcript:ORGLA05G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGQDAPPPPEKGVEVEVFPAWARGVEECEARLGVSASRGLSSREAAARLRAHGPNELAEHPGPTLLQLVAQQFDDTLVRILLAAAAVSFALALSSSAGAVTLSAFVEPLVIFLILVVNAAVGVWQETNAEKALEALREIQSDHAAVLRDGDWLPSLPARDLVPGDIVQLRVGDKVPADMRVLRLVTSTLRVEQGSLTGETASVNKTAHQVPHDDADIQAKECMVFAGTTVVNGSAICLVVHTGMATEIGKIHAQIHEAAQEDDDTPLKKKLNEFGEALTKIIGLICALVWLINVKYFLTFELDGWMPRNIRFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAKLVAIGDAEGKVRSFKVDGTTYDPRDGRIHDWPAGRMDANLQTIAKISAVCNDASVAHSSHQYTATGMPTEAALKVLVEKMGIPEGMNGLSLDPSETLGCCQWWSNVAKRIATLEFDRTRKSMGVIVKSKSGRNALLVKGAVENLLERSSHIQLQDGSVVPLDEKSRKAILENLHEMSIKALRCLGFAYKEDLAEFASYDGENHPAHKLLLDPVNYAAIETNLIFTGLAGLRDPPREEVFDAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSHDEDITLKSLTGKEFMALEDKKTLLRRKGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRKSDDSLITPWILFRYLVIGLYVGIATVGIFVIWYTHGSFMGIDLTGDGHTLVSYSQLSNWGQCSTWNNFTVTPFTAGARTFTFDDNPCEYFHGGKVKATTLSLSVLVAIEMFNSLNALSEDTSLLRMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLLVALPVVLIDEVLKFVGRCTSSSGPKRRTRKQKGE >ORGLA05G0014500.1 pep chromosome:AGI1.1:5:896912:897583:1 gene:ORGLA05G0014500 transcript:ORGLA05G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCKAAIGCVDARVPVRASYVSLYKWPESDAEFVRSVAMARRHGGEAAAAAPPPESPAVPHHYYYNGSGSARRGGGEGWYYCSPRVVDSYSCRQIYLRSYTFSKKKETVPERTMACLGRVRDRGAAVFPLFIPHRAAGGGSGGSDAGSVNSASSITRETASTAGDRKRIRRRRRRRSSKGCAVARRLQEASCGAVRALFHRLLACTTSVEVADAGEPTSSR >ORGLA05G0014400.1 pep chromosome:AGI1.1:5:886031:888133:1 gene:ORGLA05G0014400 transcript:ORGLA05G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAHTVLDVDSGGGAATAAAGPPVPYLLSFTDLSYSVRKGGGGVLSCVPSRRRRRRHSNRLASADAPAPPDAPTKALLDGISGEARDGELFAVMGASGSGKSTLVDALAGRIARESLRGAVELNGEPLHGRRLRAISAYVMQDDLLYPMLTVRETLLFAAEFRLPRALSPDKKRARVDALIDQLGLARAADTIIGDEAHRGVSGGERRRVSIGTDIVHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVVIMTIHQPSARILNILDRLLLLSRGRTVYAGTPAGLKPFFSEFGDPIPDNENPAEFALDTIRELEHQPDGAAPLADFNVKWQSMHAALPAADSKDSKRCTMPLELAITESVSRGKLVAGSGSGTASSTSVPTFANPLSVEVWVLMKRSFTNTGRMPELFVMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRLSYVFANAVVAFPPLVFLSLAFAVTTFFAVGLAGGGGSFLFFVLIILASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPSYWIWFHYLSLVKYPYQAVLQNEFRDATRCFSRGVEMFDGTPIGAMSRAVKLKVLDAISKTLGTNMTANTCVTTGADVLAQQAVTDIGKWKCLLVTVAWGFFFRALFYVVLLVGSKNKRR >ORGLA05G0014300.1 pep chromosome:AGI1.1:5:881412:883528:-1 gene:ORGLA05G0014300 transcript:ORGLA05G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGSTRLYVGRLSSRTRSRDLEYHFSRYGRIREVELKRDYAFIEFSDPRDAEEARYNLDGRDVDGSRILVEFAKGVCCSSVPRGPGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRNLRRERSYSRSPSPRRGRGRSRSYSRSRSRSRSYSRSRSRSLSGSPRARRELERSRSLSYSRSPRRSISPAANEKKRSPTPDGSRSPRSPQDQVSPPPKDNAERNGSDHGDSPRGRENSRSTSDGYRSPAAANGRSPSPRNNGSPSPMDNGSRSPRDGNGDGGSRGGSRSPRPSESPEA >ORGLA05G0014200.1 pep chromosome:AGI1.1:5:877364:879406:-1 gene:ORGLA05G0014200 transcript:ORGLA05G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPGDCVVLDIDAAAAQSTPVPYALTFTDLSYTVGSRRAGLLPPLPTDAPPAKALLDGISGEARDGEVLAVMGASGSGKSTLLDALAGRIARGSLRGRVELNGEALHGRRVRAISAYVMQDDLLYPMLTVRETLMFAAEFRLPRALSPDKKRARVDALIGQLGLARAADTIVGDEAHRGVSGGERRRVSIGTDIVHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVVVMTIHQPSARILNILDRLLLLSRGRTVYAGSPSGLKPFFSVFGYPIPDNENLVEFALDTIRELEHQPDGAAMLVKFSSRWQSSLGALLDTKDDKDCSQLRTMPLELAIAESVSRGKLVAGSGSGTLSSTSVPTYANPWYVEVWVLMKRAFTNTRRMPELFVMRLGTIMVTGVILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRLSYVVANTAVAFPPLVVLSLAFAATTFFAVGLSGGGASFAFFALTVLASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPSYWIWFHYLSLVKYPYQAVLQNEFRDAARCFSRGVEMFDGTPIGAMSKAVKLKVLDAIGATLGAPLTAETCVVTGADVLAQQAVTDIGRWKCLLVTVAFGFFFRFLFYIVLHFGSKNKRR >ORGLA05G0014100.1 pep chromosome:AGI1.1:5:863255:866110:-1 gene:ORGLA05G0014100 transcript:ORGLA05G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AINGGDIDRSGPNESPISRGRPSTPSSNHRPSTPSSIHRPSTPGATRRSIGGTPSTPRSRNNGVGGGGGGPFKSEPNSPPSATARPRLSFDRSPRSVDSKPVVERRVPKIGTPPDKQPRKEAELQARLESAQEDLKKAKDQLAFAVGERDRLVGELNEAKRVADETHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQKREEEWQREIECVRGQHAADLETLVTTTEELERFRRELAMANEAKKAALGHADDAMKIAEVNAEKVEILSSEVVRLKGLLDSTAESEESKNRETEELVKNLESEVSVLKGKLEEARIIEERLAETEKLIEELKSEVADAKKAESEARQLFEEWKHKAGSLEMELEAVTLSDKFKGESLASTTEELGKIQSALQDRESEIEVLKGKTTALEIEVARLLADVNESNEQFDASQQEVFGLQTTIDVLRNKLEAAEEAASEALNNEKAANVKIEGLTEENVKLISELNETRDREEKEKRAVEDLTAALSEESDKAKEAHERYLSKEDDHEHALMQIGDLKMALKSTKESYEVMLDEANYDITCLRKNVDKLEAEVNKYREECESKETDIVRLNKQSEEEIGALQLEVDKAVESLQDAEHQLQVANEEKEKLQERLVYTESACAEASKALHEAKTEKESLEEKLIYTEAAVAEANKSVQEATYENSQLKERLLDKENALQSLTQENDEFRLREADAMKKIDELSALLAEAMIKKHPEEEEKLVVVDEAHSSVHEEVTDSVVENGDAESENDKNPKLELDVLNRSSNGDMNHEEEKGETKVEQEEVKTECTTQESNKIIEKQPHPDRKQETVSSKDELEPKEDTNTEHPNGTVSEDTSKVAMSPTKPQQQQKKNKPLLKKFGSLLKKKNSK >ORGLA05G0014000.1 pep chromosome:AGI1.1:5:859634:860818:1 gene:ORGLA05G0014000 transcript:ORGLA05G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAMEDAAAQSEREWDGGGGGGGADAVLGLAGASLSLCYHEAFGPQDELILLEAADDLLPDLLQGRVTVRGRPEEEAVLCTPSATYAMKFVGNSNSVFLIPPGESAAPTSRPNATDGDDNVASATDAVASIIKVASGNIELVRTAPRLDKLRKLLNERPYVLDEDLGSDVQHKGLYTWQDLCELVQASDGELTEQLSSISAVEIDGFWRMVDDSSANTILDMILHNSVLH >ORGLA05G0013900.1 pep chromosome:AGI1.1:5:853730:856864:-1 gene:ORGLA05G0013900 transcript:ORGLA05G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRVKFILFLLGEEADSFAKRAEMYYKRRPEVISSVEEAYRAYRALAERYDHISGELHKANHTIATAFPDQVQYSMLEEDDDNLPKAFTAVDPRKIHKSTVEGLMKKKKGEKSGLKDGGKNSGDKINKENAQEEISRLQKEILVLQTQKEFLKSSYESGMAKYWDLEKQINDMQEEVCYFQEEFNESAMLEDDEARALMTATALKSCQETIIKLQKQLKLSFSEAMVESERVRSSRDKLKNIMKVHGKSLPDLGKFLEKTDPVKFANENVTNDGSNTVDGMYSINQEKIELQATVDKIKEYFQKDSEVSVVEMADKIDELVNKVVDLELMVSSQTAQLNRLCLKNTELEKSLHELEEEKPALNNGPGEPYSKFKQAEEDLIKVQNLESSFHAEGTIVHSNFTETITRFRDISDMLLSPLLEHHQDGSALMLSDETTPSIDMETSSEHDRTNSEKGPQSDESAGKHEVDELPEHSEELEPADLCDDNHSSSGYPETKAENCYRGDGSEDLWCCALEDKSSFAAASVNEEESGNADNDSSGDHNNRGEDHAPEIASDDGSSKQQYTVQSHEKPTLERLHHISSNDPGDHNAKKEENEQDLSISDESISEGNSEQKINKAGNSCITADTAPISRKVDEVGDQEENMIKLQQLLMNGLQDKEKVLLTEYTSILRNYKNAKRKLTEVETKNQERLNEMSAMIGELRSANAMKDEKIRSLELLNAVIDKDISGNGHQMNPTTSFSSISRTFRGHRRTPSFSPGHQRKQSVSSISRIILESPKEGDALHDMVTDQESLILEDIKLIDVVKTENASPLEEKFRQDIDALLEENLEFWMKFSTSFQQIQGFQTKYEQLQPEIGKLTNKDKLNTNNGRADDPSAKGDSNAIEKRLRELKIELQVWLEQNAMLKGELQYRFASLCSIQEEIEVTMEIGADPEEGAHFTSYQAAKFQGEVMNMKQENNKVADELQSGLDHIKGLQAEIEKVIEKIVKGTSLSEAKGSSTWKNAPSRTRVPLRLFLFPAKKKKPSLLACVNPALQKQHSDMVFFTK >ORGLA05G0013800.1 pep chromosome:AGI1.1:5:850167:851318:-1 gene:ORGLA05G0013800 transcript:ORGLA05G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDESDKGLFSNMMHGVAGGHGYPPHQGYPPQGYPPPPGAYPPPPGAYPPPPGAYPPPPGAYPPQHGYPQPGGYPPPGGYPQHGGYPPAGYPGSSGHQGGHGSSGGGHMGAMLAGGAAAAAAAYGAHKISSHGHGGHMGYGGHGGFGGYGHGYGGHHGGKFKHGKHGHHGKFKHGKHGHGMFGGGKFKKWK >ORGLA05G0013700.1 pep chromosome:AGI1.1:5:847149:848439:-1 gene:ORGLA05G0013700 transcript:ORGLA05G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKDEQQDRGLFSNLMHGVAGGGGGHGYPYPPQQGYYPPPPTAYPPPPPQAGYGGGYGYPPAGYPGSSAPFQHGNHGGGNMGMLAAGAAAAAAAYGAHKLSHGHGHGGYGYGGHHGGLFGGHHGHHGGLFGGHHGHHGGGLFGGHHGHHGGLFGGHHGFGGHHGHHGHHGHH >ORGLA05G0013600.1 pep chromosome:AGI1.1:5:840703:843450:-1 gene:ORGLA05G0013600 transcript:ORGLA05G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRTLLSRATTLRRPPHILLRLAMLRHTRVMEVAMVEEGTWLRCLERVQPSPPRHTVHTSFLPMAAMEATVTVTAVTMAVAASLAAITMATAAITANTMEAITDTTTTMAASMAVITDTTATTAASMAVTTAVIMVATMATINTALSFSPCLLNNDTQGSPAVGMSGSLPAERHRSGVAEAMCRLASGVRMVVLDWETYHGGRVVRVPSESLAQCFIGPTVATVSGVWKKLLRSDEESTLMVLTQRMKEMVARLKPTRTGVG >ORGLA05G0013500.1 pep chromosome:AGI1.1:5:838999:839853:1 gene:ORGLA05G0013500 transcript:ORGLA05G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aluminum sensitive 3 [Source:Projected from Arabidopsis thaliana (AT2G37330) TAIR;Acc:AT2G37330] MASMAALLQRLLVVVNQVDPGAPGFWREFLVGMLKPVAATAVVAMAVALSFTQRLGLEGEMLYAMARAFLQLSVIGFVLQFIFTQKSAAWILLAYLFMVTIAGYTAGQRARHVPRGKHIAAVSILAGTSVTMALLVALRVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLREDVGMQRGVVETALALGATPRQATARQVRRSLVIALSPVIDNAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMLMGASTVSSILSTYLCWPAFFTGAFQLNDAVFAAD >ORGLA05G0013400.1 pep chromosome:AGI1.1:5:833214:836737:1 gene:ORGLA05G0013400 transcript:ORGLA05G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 9 [Source:Projected from Arabidopsis thaliana (AT3G46200) TAIR;Acc:AT3G46200] VSVKFDQSFDRIPHPDAALEESISVIWNQRLKQNPSSYSGTKFRYGGHAVHYKDEPNKEYCVSLHLGLTDYSTFVGTNLNPLWEKFLVPSEDDSVHCQHMSNPLGNGAIVQTSDEKIIVLQRSYNVGEFPGYFVFPGGHSEPQEIGILAHQTDEKDLAVLNERVSQEMFDGIIREVVEETGVPSNSLTEPVFIGISRREMNVRPTAFFFTKCNIDSGGVHELYSRAQDGFESTKMYAVSEEELLGMTDRMPGCHRGGFALYEMMKTAAKKS >ORGLA05G0013300.1 pep chromosome:AGI1.1:5:821996:829222:1 gene:ORGLA05G0013300 transcript:ORGLA05G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQRQLEAAQSARSSVRLSERNVVELVQKLQERGIIDFELLHTTSGKEYITSDHLKHEIKMEIKKRGRASLVDLSDILGVDLYHVERQSQKVVADDPSLMLINGEIMSQSYWDTVTEEINEKLQERSQIALAEIAAQLHIGSELVVNILEPRLGTIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNSLQLQLQEMHGASGVSVEGSFFQSIFNGLLKEGVVLGSVRAGVQWTPAVFAHAQKESVDAFFSQNSYIGYEVLQKLAIPQPKQYLEVMEAVNVPLARYPDGIALEAVFVHPSVVDMLDAAVGDTIENGQWIDALSVLPSYITGPDATKILSLCPSLQKAIKSSKAVVFGESCVFSNAFIKGIFDRLEKEMDSFGIKHSAGQGKPSNMSSEHRIGSDGKDLGDNDTSSIGASSDKGPKKKRGKVSGSAKGAAVEKDDDNEESIPVKGKKAHRKSKDAGSSGDAKHGGKKALEKTKEDNTNIFPDDLIEQKVLTVAPELEELGGSDDLNGPLKLLSSHLRPMLMDAWMKKRNTMLSENAERRRRLLDNLQKQLDEAVLDMQLYEKSLDVFEDDPATSAILHKHLLRTMGAPVVDKILLTLHKDNKLKNGMDVEDSEENVQLSTADRTSLAKDLPGSLSVKAQALAETLEGKRFDSFMDALRDTAEESGLLFKKLDKRLERSMLHSYRKDLTAQVSSENDPISFLPKVVALLFLQAYNKALQAPGRAVGAVIALLKDKIPAPTYKVLADYHSTTVKVLALQAAATEDGEDCATDRMLERKEDLEERLMPELKSLVLGTSKE >ORGLA05G0013200.1 pep chromosome:AGI1.1:5:819439:819942:1 gene:ORGLA05G0013200 transcript:ORGLA05G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVERNNVAAAAAALLALLPMMMLSSTATAARPVHGGPPGELPPIIITTPPTAISGESDFSVLRKVPTGPDPITSDPPPPPPPSTPTQFSVLRKVPTGPDPITSDPPPPPPLSEFPVLREVPSGPDPITSDPPPPPPPLTEFPILRKVPRGPDPITPGPPPLLGV >ORGLA05G0013100.1 pep chromosome:AGI1.1:5:815745:816095:1 gene:ORGLA05G0013100 transcript:ORGLA05G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERNVTAAAAMASLVLLMLSTTATARRARHGPPGELPPIRTPPPPSVISGPRVSPVLRSVPTGPNPITSDPPPPPPNHERFQADEDKGVLFPSKPRGHVPPSGPSKPPPSYHLS >ORGLA05G0013000.1 pep chromosome:AGI1.1:5:812052:813164:1 gene:ORGLA05G0013000 transcript:ORGLA05G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIWRRNTSPALLLLLLLAVTAAAAEARRAAVGMRQLKSASSSSQHDDPATSDDEGPVLFPSLTGTLPPSHLSGGSSGRASPLPVAGLAGGDEEVSFPAKPRGEALTLMEKEWQEKELLLPRRSDDDDYTSTNTGMKEGWWS >ORGLA05G0012900.1 pep chromosome:AGI1.1:5:805832:807063:-1 gene:ORGLA05G0012900 transcript:ORGLA05G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIYAFRPPTPPNAALELGLAKTLAVYREWAGELADGGDAVLLNDRGGGAVRGGHAHAVRLRLAGDRVHVAPPRRLRAGRRELPRGVGPRVAAAARGAAPRLRPRHAVPAAPPAARPVPAPRHGVLRAQEEEEEPRRRRRRRRGRRRRARHGGARQDQARSRAWSATSGARPRRRRGHDAPRLRERPDAHAAGRPARLLRQPRPLGVPAVRRRRAGVPPRAARRRADPRAVARADDAYFRSFVDFASSGAVEAEGLAATADESQAVLCPDVEVDSWLGIDFYELDFGGGGGGGGPFYFTPSYLPMEGTVFLVLSFAGDGGIDAYVALFETHLDEFKKICYTY >ORGLA05G0012800.1 pep chromosome:AGI1.1:5:801295:805190:1 gene:ORGLA05G0012800 transcript:ORGLA05G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGGTTGGSRPAAKHHRRSRPPSSPAPSSRRAARTATTSPESKGATALQDPVVSSMEETSFTFEFKRGSKRAKKTMLPEESHKGKDDSTKGFSNKRNLVPAKTPSAKERPEQVEFTHCSPGIVARLMGLDTVPRPKKALDRCQSDIQCNMQRVLSGGDQVYDASSEDQPCSSSADDLPELKDVFEVTEMENKETCIGLQSGNEEPCPRSDKDDLEFVRQKFLDAKRLSTDESHRNSKEFAEALEILYSKKDAFLEILQENSGAFPGFSGHIFGHNGLQYSKLFEQENCSRMGVERDEFFNVPKELENPIPSSRLNEAYGVPLDSLPPKGSKSKGSSRRSQIVVLKPNLQRKSFTPVLSSETSHFGEMSTHNCSRPQHHCMHRGRVTHSAPLNNDQVLQPKRDTPGLRGAIETPKIGSRRKSSERECQLAIGSGRATDTPSSFEDNLPIYPPNHSVGSSVCRKAKKHLSERWQMAYQSDEEISMPKDTITLGEMLEMTDRDATKVITHKISSETNYNHDNVQKAPACPVGISSKDGWKTGIYCKDNSRSGTSRNFSRSKSLPTSATNNAKLPCRKQSAPTCNLPILKDLLNAPTDESGSEHVRNRSSFRKTKQRSGRAIIHAGKENMLPVKEIHVTSEKARHSICISDLSRASNTHSEHPDGVMSNEDHQTSGSTALDDDLQSSKERMGWTELKLTPPLPVTKEDTSIHNQDNIVLKDQEGRNQQVEIDIAEAESQAIDSSHIISLENHKCSNSTASLQQICGHDTAYSGIFKGVSDGIQELRMQLKMLKMGDQDDTCGDIYMLSSDECSDTDNLTYQLMEEQLPVFKDEYDRDFSYTNDILGSASDFLVYPEDWQVSTDVFVWLEDKYSKLLLWSKSDRRLLFDLINSILADMTAPGNSLCSNIMVKCWSEMDPRKLAENVWQTLLNRRNYEPFSLDCVEALPLDHHSEVEAIGAEIVKMLHDDILEESVAEFISQ >ORGLA05G0012700.1 pep chromosome:AGI1.1:5:797453:798880:-1 gene:ORGLA05G0012700 transcript:ORGLA05G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDSSPPDAKRLRRSPPTSTSPDHLLVDEILTRLPIATAVRLRAVSRDWNAALTSDHFILAHRARAAAARHPELLFFAPPDHRNTTTFYACSLRGGEPPAAARELLTIDYFSAKHAVMSPTPCRGLTLVSDGRAPRYYLLNLSTGDHVALPPCQPAAKAHPDPLAWLPRGTTSYLPSMTPWRPFELSTTGLGFDTATGEHKVVRLFKRRNGEHACEVYTLGKPGGWRPCAGRVPASAASILPAMPPVFVNGYLYWLLQPAAPGDEQIRRILLFSIGAEQFGSVYVPPRLSSRMCHLANLDGSLCAVFDYRGAGGVYGLFTCSEPSASPSPSWSVRCSIYLNRLPREVSDELMEERVIVPLCTAGGRILLATGRHEVFAYDAGRNAVERVFRMQEFVDVPNDCREARLLLSVGLHDECIADLHPGAGGERMLFVNTGRRGNTVVKREVPVEYHDDSDRRFNVFFKDLATMAAQI >ORGLA05G0012600.1 pep chromosome:AGI1.1:5:795170:795782:-1 gene:ORGLA05G0012600 transcript:ORGLA05G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRHRWPATRGEAPSPEGPPPPPLSSPAPSRRGFL >ORGLA05G0012500.1 pep chromosome:AGI1.1:5:794470:794775:-1 gene:ORGLA05G0012500 transcript:ORGLA05G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVFPQMKPIGILRSLVRHLSYCTPKRMFSLKSFRRTVVHFQDSQGTSLVTVDCSTPNCLSKTTALAWVLNVTGCLMYQRSQRCSKSNHPQDFIRNKLQS >ORGLA05G0012400.1 pep chromosome:AGI1.1:5:791920:793824:1 gene:ORGLA05G0012400 transcript:ORGLA05G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEPSFPHAADGDDDEVYSGSTTMSPLSLTSPRAGSGSGGAHDDSSSPTTWHGLSDADAIAPPTSTHLLDAGGSLLGSPVSDRWFMFGDARQARCPALLAAACAVVLDATRPDGFAMVGPEGDVFAVSSDIGGAGSDPAGGAREISWRVVLFIDPNAAGVLPLPDGPYLVHSTRRGGRWCVFVRTSPDEASGVRVYVVGTRSREDLADSLHIAVDTTDDGGEDGLARTWRVMVESGLDGDTGSWRTTVHTGGRATATASHEHVSRPISGVIRSSSSSSHSRSRSSEGSSSGQHQRQSRWDQPPPPPCCSGCGTTHRVEWIMTCCHRLLCVGCAEVNPCGCPEWQNRRGFAVPILPQLALEEECVVEGAIVPQLPRWQIFYARHTGSEVYHAFFRVQDVIHDRGSVLCQLLFYEMDGDSRRWHQVRFKIVELPSRYTWMRFPPMTEEDTLAFEFLVIQYRHRRRQ >ORGLA05G0012300.1 pep chromosome:AGI1.1:5:787346:788314:-1 gene:ORGLA05G0012300 transcript:ORGLA05G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDSSPPDAKRLRRSPPSPSSSSPDHLLVDEILTRLPIAAAVRLPAVSRDWNAALTSDYFILAHRARAAAARRHPELLFFAPPEPRKTSSTTTFYACSLRGGEAPAAARELLTIDYFSAKHAVTSPTPCRGLTLVSDGREPRYHLLNLSTGDHVTLPPCQPAAELHSEPIARVLPGGITSYLPSMSPWDPFELSTTGLGFDAATGEHKVVRLFKERNGEHVCEVYTLGKPGGWRPCTGRVPASAANFLPGLPPVFVNGYLYWLLQPAAPSDWQIRRILSFSVGAEQFGSVYMPPRLSSRMRHLTNLDGSLCAVFDNRVEG >ORGLA05G0012200.1 pep chromosome:AGI1.1:5:785448:786899:1 gene:ORGLA05G0012200 transcript:ORGLA05G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDSSPPDAKRLRRSPPTSTSPDHLLVDEILTRLPIAAAVRLCAVSRDWNAALTSDHFILAHRARAAAAARRHPELLFFAPPDHRNTTTFYACSLRGGEAPAAARELLTIDYFSAKHAVTSPTPCRGLTLVSDGRAPRYHLLNLSTGDHLALPPCQPAAKAHPDRLAWLPRGTTTYLPSMTPWRPFELSTTGLGFNTATGEHKVVRLFKRRNGEHACEVYTLGKPGGWRPCAGRVPASAASILPAMLPVFVNGYLYWLLQPAAPGDEQIRRILSFSIGAEQFGSVYVPPRLSSRMCHLANLDGSLCAVFDNRVEGDVYGLFTCSEPSASPSPSPSWSVRCSIYLNRLPREVSDELMEERVIVPLCTAGGKILLATGHDKVFAYDAERNTVERVFRMQEFVDLLHDYIKAPLLTNICLHDECIADVHNGDGGGERMLRVNMGRRDNMVVKQEVAVEYHDASNRQFNVLLKDLKRIAACFRRT >ORGLA05G0012100.1 pep chromosome:AGI1.1:5:779725:782654:-1 gene:ORGLA05G0012100 transcript:ORGLA05G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVCVKAAVGHPDTLGDCPFSQRVLLTLEEKKVPYEMKLIDVQNKPDWFLKISPEGKVPVFNGGDGKWIPDSDVITQVIEEKYPTPSLVTPPEYASVGSKIFSCFITFLKSKDPNDGSEKALLTELQALEEHLKAHGPFINGQNISAADLSLAPKLYHLQVALEHFKGWKIPEDLTNVHAYTEALFSRESFIKTKAAKEHLIAGWAPKVNA >ORGLA05G0012000.1 pep chromosome:AGI1.1:5:775837:778151:-1 gene:ORGLA05G0012000 transcript:ORGLA05G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDLTPRQARKAYGGDGGTYYEWSPADLPMLELANIGGAKLSLNAGGLALPSFSDSGKVAYVLQGKGTCGIVLPEASKEKVIAVKEGDSLALPFGVVTWWHNLPESPIELVILFLGDTSKAHKAGQFTNMQLTGATGIFTGFSTEFVGRAWDLAESDAVKLVSSQPASGIVKIKSGQKLPEPSAADREGMALNCLEAPLDVDIKNGGRVVVLNTANLPMVKEVGLGADLVRIDGHSMCSPGFSCDSAYQVTYFIRGSGRVQVVGADGKRVLDTHVEGGNLFIVPRFCVVSKIADASGLQWFSIITAPNPIFSHLAGKTSVWKAISPEVLEASFNATPEMEKLFRSKRLDSEIFFAPN >ORGLA05G0011900.1 pep chromosome:AGI1.1:5:766819:772323:-1 gene:ORGLA05G0011900 transcript:ORGLA05G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-hexosaminidase 1 [Source:Projected from Arabidopsis thaliana (AT3G55260) TAIR;Acc:AT3G55260] MPPKLLTYLILALLAASAAAARRHPSPASSAAAGEPVYLWPLPRNFTSGSRTLLVDPDLALDGQGPGGAAAAVAEAFERYRSLVFSPWAHAARNASGGYDVGKLTVVVASADEKLELGVDESYTIYVAAAGGVNSIVGGATIEANTIYGAIRGLETFSQLCVFNYDTKNVEVRHAPWYIEDEPRFSFRGLLLDTSRHFLPVDVIKQVIDSMSFSKLNVLHWHIIDEQSFPLEVPSYPKLWKGSYSKLERYTVEDARDIVSYARKRGIHVMAEIDVPGHAESWGKGYPKLWPSPKCREPLDVTSNFTFEVISGILSDMRKIFPFGLFHLGGDEVYTGCWNATPHVKQWLHERNMTTKDAYKYFVLKAQEIAINLNWIPVNWEETFNSFKENLNPLTVVHNWLGPGVCPKVVEKGFRCIMSNQGVWYLDHLDVPWQDFYTSEPLAGINNTAQQKLVLGGEVCMWGETADTSDVQQTIWPRAAAAAERMWSQLEAISAQDLETTVLARLHYFRCLLNHRGIAAAPVTNSYARRPPIGPGSCFIQ >ORGLA05G0011800.1 pep chromosome:AGI1.1:5:760151:764046:-1 gene:ORGLA05G0011800 transcript:ORGLA05G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT3G55270) TAIR;Acc:AT3G55270] MATPDDGGGGIGGGAGKKFWRSASWSASRDTPPDAADGLRGRAAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGQARRIPPPPPLTPRGGKGRSCLPPLQPLNITRRSLDEWPRAGSDDVGEWPNPTTPGASKVEGAGSAKPGEGLRLDLSSLRSQGRKDQIAFFDKECSKVADHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQLVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYAPLHLVPKMLNEPSPAALDSRGAFIVHVLSSIYVWVGMKCDQVMEKDARAAAFQVVRYEKVQGHIKVVREGLELPEFWDAFSSAPVNSDSNTKISKDQIDSASKTGPGNRRVESYDADFELVYKAITGGVVPAFSSSGAGDETHLPARESTWSSLRRKFISRSLARVYSDSALIRDLDPRVDRVQHLAAEASTSPPFLSPSSLSSDSSISSKSPLHQSSNEEPSKSGLGSIRSPSKTSSIAERRGGFSSLKLPSFQKDLVLPPRVPTSLRREEEVTDKSNNNSVKQLTGVCCPEKCTGNTSTVHTKTGITECTDSISEACGNLQLLVYRWPSKEKLTTFTRKDLDPKSVLIFVTPEDSRSEAVKTVHIWVGGEYESSKCVDTVDWQQVAGDFFHLKELGNTLPVKVFKEHETENLLEVLNAR >ORGLA05G0011700.1 pep chromosome:AGI1.1:5:753210:759012:1 gene:ORGLA05G0011700 transcript:ORGLA05G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAASASAAVAGALPVAAAAAGKDKEDRRRLVGRCGFAVVGIMSTLLIYGLLQEKIMRVPYGAEKEFFRYSLFLVFCNRITTSTVSALVLTASKKSLDPVAPLQKYCVVSVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMRKKYGGKDYFFAVVVTVGCSLFILYPASMDASPFNRGRENTIWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTMCSCVLSLSGLILQNQMIPAVDFMFRHPDCFYDVIILSSVATASQFFISYTIRTFGALTFATIMTTRQLVSILLSCVWFVHPLSWMQWVGAAIVFGALYTKSFLRSKPQKPAAANPSNSANNS >ORGLA05G0011600.1 pep chromosome:AGI1.1:5:750492:751472:1 gene:ORGLA05G0011600 transcript:ORGLA05G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSKISLKLLVDSKSKKVLFAEAGKEFVDFVFSLLTLPVGAVVKLISAGTMQGSIGRLYQSVEHINASYLLPNKDRADLLQPKVLHPDARELLLLQPESGGARGSPLARFKLYTCAGHCTTAAMEAKAACPQCKQAMATEVALVLPSASSPAXSSAAASGGDGESSGYVKGXRXRPCRDVHGDGRAGGDAHVGHLQHHSHQQVQRRQRRRARREVRQHRHGRGAGHSEGGAALXHGALRRPPRQEEV >ORGLA05G0011500.1 pep chromosome:AGI1.1:5:747909:748506:1 gene:ORGLA05G0011500 transcript:ORGLA05G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLTGCSDHSNRTPFHDPLMHRTNPPVESDLSRVIRYVRADNAGRYGEQEWAPVRVNTNNLTHLRLTMAERLGQNCDAGQITLCVRAGRYAHLSPLLVDLPMGNNPIHIVVLNHGTPGD >ORGLA05G0011400.1 pep chromosome:AGI1.1:5:742384:743053:1 gene:ORGLA05G0011400 transcript:ORGLA05G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQVAHFVRLRSSVRSSRYLAAADDGTSVFLCGRRGVHNAVWAVEPVIGVIPGASAGPYVRLRGAYGRYLVATNYGAGRGPSDGVVAEQRDLGVRPTPPGYLWQAFRRRDSFVLRNGAGRYLRANGRFRRWHKDVSVAGDNASTMMQWRVEVVPPMASRPSLVDLPAQEWK >ORGLA05G0011300.1 pep chromosome:AGI1.1:5:736622:738377:1 gene:ORGLA05G0011300 transcript:ORGLA05G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPLPLGFGDGLDATLLSSLWAFQDDLQPQESMEELKQSLMATTLELDAAKEELKKKEQSIAKLADLVRHVAKERDDARDQLQRLLAAAAAKPPPPPLVTSSVTDSDGGSLVSSPAADNPFFDPVTSSDKRCCAIASPPPAAKQQHAAAAGADAVLDMLAMKKPLPQRGRLLQSVMEAGPLLQNLLVAGLLPRWRNPPPVALDTLPVGVRAGGAVYPGASLSPGITSSAAVIGYGGGANACGKRPMAAAAAAVAASCSPAAFSAKRHRLH >ORGLA05G0011200.1 pep chromosome:AGI1.1:5:702710:703393:1 gene:ORGLA05G0011200 transcript:ORGLA05G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVVSPFRFEAHRQELPSPVGELPPPRPQARPHHRRRGAPHPPPPLSVGQPLVPHRPQPPRPHRQRDQELLANPHAQDRPPRQEEDQFTIAGADDLLRFLVLLAHHGDDDDGNGCGAARKQQLRRRGRGRRPAGGGGHHAGEPAADDGLHHGPALERHRGGGNRYELLRRGGDGLAAVAGLGILHRLLAVEDRRRGVLQEDARCLAIVLVKLQTSNALIQLLLLA >ORGLA05G0011100.1 pep chromosome:AGI1.1:5:701756:702034:1 gene:ORGLA05G0011100 transcript:ORGLA05G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKAATMAEAEAEEEMMMRMMCGSGGNEMMKTKMKKKNREGEEEEVSGGGRMRKGPWTEQEDVQLVWFVRLFGERRWDFLAKVSGLRGGG >ORGLA05G0011000.1 pep chromosome:AGI1.1:5:692280:694667:1 gene:ORGLA05G0011000 transcript:ORGLA05G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAAEAAARVYPWREKLAKYKGELTKGVWGYWELGAWKPLGLSARKRARLRKEVLLAGEDWTYDPPRGEMRTKRKGHKCDRISAEKRANTVELMKKMPQMLLDYKKRRWEKKMKEEESGKS >ORGLA05G0010900.1 pep chromosome:AGI1.1:5:689216:692062:-1 gene:ORGLA05G0010900 transcript:ORGLA05G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phragmoplastin interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT3G55340) TAIR;Acc:AT3G55340] MVLARKKLKQKLREVVPNPGGDAEGEVEAEEGVVSSSRSKRVRPKRPKKVMTPMEAVERRKLKREEQFRREVELRREERRKVKKEKRRIRRLMEAEAAGEVGEAAAEEEEHEAETEGEEEAAAEEQVPAKVVEKRKPKSEPKVKNQKQLQVADHAVGSDDPVLSEESEKNAKKVYVGGIPYYSSEDDIRSFFETCGSITSVDCMTFPESGKFRGIAILTFKTDAAAQRALALDGADMGGFFLKIQPYKSVREKEDFAPKMIEGYNRVYVGNLAWDITEDDLKKFFSDCKISSIRFGTDKETGDFKGYVHVDFSEGTSVAVAMKLDQKVIKGRPVRIRCAVPKKDNQKLNGNANPDSSNNKIRICYECGTPGHISSSCPNKKASEAISDEKKANVDSVTASSKKRRTCYECGIPGHLSSACPNKKAGDAVSSDKGPDDETKSAPSITPEDNKIGEESNSAPSKKRRKCYECGIPGHLSSACPNKVAAVNSDMEKSYGGSSTIPSATSDGNKASDDTNPVPAKKKKRRTCYECGIAGHLSSECPNKTT >ORGLA05G0010800.1 pep chromosome:AGI1.1:5:683749:684345:-1 gene:ORGLA05G0010800 transcript:ORGLA05G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFAFEDSDMARVLMLMSSHGQQEQALALPVPVQLPLAAARGDRAPERAFVCKTCNRVFPSFQALGGHRASHKKPRLDGDGDLSLSKPKLHGCSICGLEFAIGQALGGHMRRHRAMTGGMPRAIVVDKKPDVVDVHVHGHDDDGGIKRGGLWLDLNHPPCDDAGDDDAECGHNAAGAGITFHQFLDTGAMAVDCVGY >ORGLA05G0010700.1 pep chromosome:AGI1.1:5:659276:663884:-1 gene:ORGLA05G0010700 transcript:ORGLA05G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37440) TAIR;Acc:AT2G37440] MRKGNARFPKSSSWPRTKTVVKKWLNLKNEEFHSDCINESFGQGRQERRKSCSDKDGSLLTGRDLSGGWLVESSENLRPPARMFVGTWNVGGRAPDQGLDISSWLLDQQPASSPAHIYVLGFQEIVPLNAGNVLGAEDKGPTYKWLDLIRRALNPSSSEISHSFPSNYPYATEASPERPKNDRVSFSDLLAMEDRLSMISELDDDSEPSTSNPESSSEEETTDVATRYPRSAGQGYRLAASKQMVGIFLCVWVLADLMPCITSLRVSCVGRGIMGYMGNKGSISISLTVQGSTTMCFVCTHLASGEKDGDEVRRNSDVVEILKRTRFTRRRRLSAPAAAVPSPETILEHDKIIWLGDLNYRLTGSGSGDTQELLDKNDWQALLQKDQLRVEQRAGRVFGGWEEGQISFPPTYKYLADSDTYAAAAAAFTSSASKKRTPVXPHPVARFGDGAGAVRARGVAVLRPPPRQLPLLRAARRRRXQRQARPPPPPAPPPTGRRTXSSSWHRPPLIQIL >ORGLA05G0010600.1 pep chromosome:AGI1.1:5:651360:653152:-1 gene:ORGLA05G0010600 transcript:ORGLA05G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVEAVEKKTGSAPVKAPALNERILSSISRRSIAAHPWHDLEIGPGAPTIFNCVIEIPRGSKVKYELDKKTGLIVVDRVLYSSVVYPHNYGFIPRTLCEDSDPLDVLVIMQEPVIPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASAAYEAIKHSMDLYATYIVEGLRR >ORGLA05G0010500.1 pep chromosome:AGI1.1:5:649704:650253:1 gene:ORGLA05G0010500 transcript:ORGLA05G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:I1PRV7] MDVVVGGKAAKKAVGRKLGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKADKPAKASKDKAAKSPKKQARS >ORGLA05G0010400.1 pep chromosome:AGI1.1:5:630324:632156:-1 gene:ORGLA05G0010400 transcript:ORGLA05G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHSCGDLGRVSEVISDGTSSGSADGGKSLPEKHSALADATSGVAAENADNLSQAGKYMDFSSTTSLGVKKGFQKCMTFPPSSGEAQQQQGSCCDADKNPKDVPTYERSVSLPPTLKIISAMKGSRQKNGMASPTESRHVKWAPDVYDPPVTSVCHSVNNSYQRRSKSRKKDKNKQKQKQKQKGRSKKNHQNAIQSSAVLQAPGEFDRLKDAETTSSNSAPDDLNKHETDILDYGISSQDAKCGSSFLRESAAKMHFSTAEAS >ORGLA05G0010300.1 pep chromosome:AGI1.1:5:627249:629542:1 gene:ORGLA05G0010300 transcript:ORGLA05G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVDDECKLKFLELKAKRTYRFIIYKIDEKKKMVVVEKVGEPVLNYDDFAASLPANECRYAIFDYDFVTEENCQKSKIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEVGLDVIRGRAN >ORGLA05G0010200.1 pep chromosome:AGI1.1:5:622526:626613:1 gene:ORGLA05G0010200 transcript:ORGLA05G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation/H+ exchanger 20 [Source:Projected from Arabidopsis thaliana (AT3G53720) TAIR;Acc:AT3G53720] MGMSSPVTEAEMATVKTSSNGVWQGDDPLHFAFPLLILQALLILLLSRLLALLLRPLRQPKVIAEIVAGILLGPSALGRNKAYLRALFPPWSAPVLESVASLGLLFFLFLVGLELDLRSVRRSGRRAFAIAAAGISLPFACGVGVAFVLRGELPGAARAGYAPFLVFMGVALSITAFPVLARILAELKLLTTPIGETALAAAAFNDVAAWVLLALAVAISGSGDHRSPIVSLWVLLSGAAFVTIWMVFVKPAMAWVARRSDGQGGGEVWVAATLAGVLASGLATDMIGIHAIFGAFVFGLTVPKEGEFAGRVTERVEDLVSELLLPLYFASSGLKTDVATIRGGGAWGMLALVIGTACAGKIVGTFAVAMACGMSAREALVLGVVMNTKGLVELIVLNIGRERKVLDEETFAILVLMALVTTFITTPTVMAIYKPARNAGRRRLHHRKLHGPSAPSSPSAAATAGAKELRVLACIHGGHDVPALINLIETIRGHTQPRRLVKLYILRMVELTERTSSILMARAARRNGVPFLRPRRGAGDQVDVAFDTYAQLGHVHVRPMTAVSALHTIHDDVAAVAEDKRVSLVVLPFHKRHPGHGHGDDLGPEWRAVNRRILREAPCSVAVLVDRGFGGGEQVSSEQVAHGVCVVFFGGPDDREALELAGRMAEHPGVQVTVVRFVDGKEGSQEHAEVTLRPSNTKNADKSYTFSTAIVDTHKEKELDEAAVAEFRQRMGAMVRYEERVVVGNVIEEVVSIGKSREYGLVVVGKGRLPSAMVAELAVRAAEHPELGPIGDALASAGHGVTSSVLVVQQHDMSNADELPVSVVVDGHAHDDGELGGNKDMAEP >ORGLA05G0010100.1 pep chromosome:AGI1.1:5:614302:617052:1 gene:ORGLA05G0010100 transcript:ORGLA05G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G39570) TAIR;Acc:AT2G39570] MPPVGEVAAAEARSGMVLGGGAAAAAVGGGEDAVVMQVAGAEGQDSVITINCPDQAGLGCDLCRTILEFGLRITRGADVSTDGQWCFVVFWVVPRTPSIKVRWANLKNRLMSMCPSNYPMTFYPEITQPGPSQFYLLKLFSADRKGLLHDVTHILSELELIIHRVKVSTTPDGRVIDLFFITDGMELLHTKERQEETCSMLIATLGPSISCEILLAEGFQQGFSSLPPTISEELFRLELADGDNCSRSICAEMKRMQKATINFDNTLSPAHTLLQINCADQKGLLYDILRTMKDCSIQVTYGRFWSDKKGFREVDLFIKQADGKKIIDPEKQDVLSSRLRSEMLHPLRVMIVNRGPDVELLVANPVELSGKGRPRVFYDATFALKALGICIFSVRITEYSERVQNEFVFTLLLMHFRLKLGGRQHQSVNGRSTDSSWMIAVSSHCQTALSIGTVLSTG >ORGLA05G0010000.1 pep chromosome:AGI1.1:5:607136:609121:1 gene:ORGLA05G0010000 transcript:ORGLA05G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSCIKRLPRFALRRGVYDAFLRPLLRTAFLLLRRRRRLSTAESTHPPRRGRFRRDPVSDESKLVSLARQRGAAAARAVFDGMPRRDDAVVYAAMVGIHLRDRDLPRAEALYRAAPPDCRGIHLDTVMLDGYVKAGQVDRARRLFDGMAVKNVVAWTCMVSGYCRAGHVEEARRLFDLMPYRNVFSWTTMVQGYAHNGMLREAREMFNKMPERNVVAWTVMVKAYVDNGCIQEALELFNRMPQMNSYSWNAMATGLMSAGKVDDAVQLFDKMPHKNVVSWTIMVTGLAQNGFVSRAREFFDRMPKKDIPAWNSMITAYTNDGQVNDAQRLFDLMPSKNLVTWNIIIDGYSMNNLKDKALRLFLLMLRSAVSPDSTTLISILVVSESTMEVRQIHGLSTKLGYQSETNLGNTLVTMYSRSGDLSSAWLAFRRLNEKDAITWTSMIQALANHGCTPCALQGFAQMIRRGYKPSSTTFTAVLSACNHVGLVEKGRKIFKSIRHVYGLEPTIEHYSCLVDLLGRAGYVREAKEVVDGMQRDMCDEAILGTLLGACMTHNEVEVARAVGEDLVKIDPSGSGGYTLLANVFASGGMWNEVESVWKIMKGSKVKKTPGFSQIEVNARNHVFYSRDQMHSQRTEIYEMLNSRLVPQMKGSSSLGTDST >ORGLA05G0009900.1 pep chromosome:AGI1.1:5:603663:604481:-1 gene:ORGLA05G0009900 transcript:ORGLA05G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSCSPLVAVLVALLLVAATAPAPCAAVDPVNTYCARNLSGAPAQASVAQVLSELVPRASAGYYATATAGRGGDGSAIWGLAQCRGDIPAPDCALCASAAARQLAGACRGRADARVWYDYCFARYDDADFVGLPDTGYALILLNTQNATDPEAFEKAQRKVMARVAADAGDAGGGGLARETARFKDGVTIYGLGWCTRDITAADCGLCVAQAVAEMPNYCRFRRGCRVLYSSCMARYETYPFFFPLDGGQSADASASAAGDYDRVVLNP >ORGLA05G0009800.1 pep chromosome:AGI1.1:5:600918:601421:-1 gene:ORGLA05G0009800 transcript:ORGLA05G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2735 [Source:Projected from Arabidopsis thaliana (AT5G06240) TAIR;Acc:AT5G06240] MGMKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLTWKDKWCILKEATRLYGASWVRDIGPDLRPNDYKKVKEEPDIKTEEARTEPTTVEDLLGALKGGAEKAKPALQRMYMARASNYTDALKNYVESYKEGLKEHLEEEAMGKGHRQGNGATKPPQSPSS >ORGLA05G0009700.1 pep chromosome:AGI1.1:5:598584:599836:1 gene:ORGLA05G0009700 transcript:ORGLA05G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDGGGDEWSGLEPFFYDEAAARADGERALERQREKERKEAEHQAWREACDAARDKILEYDPKHGCRTYTRLWFCSSILFNLDEESPIGPLCRTVDRGQPPLHRAGDSRLRMSLNVLAVNIVSSDVGYPVLVYGTVIARDDETLVLTGPTRSIEVSDSVFFEVNLKLKEEEDDGDIVVDDREFSKGLIEFRSLSMPRGVEDVVVGSCSTLDSRLSTVELSYAYIGGAVEAAVDVTLRLPAAAGAGRHRRCFHGQITACSSSIPDASIVLYDSSKVNATSNSSAGGAAGDVAVDLARRVMAVRAADELVLTLIAAAGDADCHHCRTNVEFTPRICGSESLEVSICGIKLLIKVSWSAF >ORGLA05G0009600.1 pep chromosome:AGI1.1:5:585933:586226:-1 gene:ORGLA05G0009600 transcript:ORGLA05G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWRLDLELGRAERYSMEIGDCRTGEVEMVPPLAGAGLPCARAAVLVQQFVAAAATEGEGTRSREEYANMNLILFPTGCNPKNCVFTILPLPLLCHT >ORGLA05G0009500.1 pep chromosome:AGI1.1:5:557068:558505:-1 gene:ORGLA05G0009500 transcript:ORGLA05G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPPAFLDSSSWNDNNNNNHNQQQQQQHAHGHHQHHQVATGCGGGGGGGDGNNSHELLQQQSMIPGTLADGGGGGGAVGPAKPMSMSERARLARIPLPEPGLKCPRCDSTNTKFCYFNNYSLSQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRAKPKPASAAGSASAATTTAGSTPAGSTTTTTSSTCATPNAPALPAMLGGNLSILPPLLRLADFDAMSLGSTFSGMAAAAGKPPPVDAAGCYSVGAATGLEQWRLQQMQSFPFFHAMDHQAAMAAPPPAMAMPGMFQLGLDGDGHGGGGGEDGGELHHAMPSSKREGYPRGMYGDHHLAGGYTSYSSATTGNHLL >ORGLA05G0009400.1 pep chromosome:AGI1.1:5:547924:549858:-1 gene:ORGLA05G0009400 transcript:ORGLA05G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKALGAVKDQTSIGIAKVSAAIAPELDVAIVRATSHEDAPAEDRHVREVVTLTAHSRPYTAACAASLSRRLSRTRDYVVAAKCLALAHRLVADGDPHFRHELVRPAGRLGAPMLALLAEFRDEAHSASWDHSAFVRAYALYLDHRARFLISLLPAPRTVRFADETGSPPRGSTAATVQEMDTEALLGRALQLRQLLDRFLACRPSGGARQSRVVLATLYPVVKESTQLCSDVAVVLAVLLDRFFDMDYPDCVKVFEAHVSTAKQTDDLLAFYGWCDDVRLARPADFADVKRIDDKLLETLEQFVRERGRAGHSSPPPWQQQQQQTAQSDELDMNGIKALPAPEHHAAEPSRSAPEKVAPEQMAPPPPPQTGDLVDLREPVVEDEQENKLALALFSGTENGGWVAFPSDDAAEVTSAWQTPAAEAGKAEWELALVETASKLSRQKASMGGGLDPLLLHGMYDQGAVRQQVGAHAAATGSASSVAAPRRAPVLXXXXXDGTARTTGGDPFAASLGVPPPAYVQMAEMERKQQLLVQEQQMWAQYRQGGMQGQVAMDRLAAGAMPVQYGMPMASAYGGYY >ORGLA05G0009300.1 pep chromosome:AGI1.1:5:538956:541293:-1 gene:ORGLA05G0009300 transcript:ORGLA05G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGVSCARTGDEHDFFRAAQLGDLDALAALLAADPSLARRATLYDRLSVLHIAAANGRIEVLSMFLDRGAPPDAVNRHKQTPLMLAAMHGKIDCVLKLLQADANILMFDSVHARTCLHHAAYYGHVDCLQAILAAAQTTPVADSWGFARFVNVRDDHGATPLHLAARQGRPGCVQVLLENGAIVSALTGSYGFPGSTSLHLAARSGNLDCIRKLLAWGADRLQRDSAGRIPYSVALKRNHGACAALLNPTSAEPMVWPSPLKFISELEPEAKALLEAALMEANREREKKILNGTKYSLPSPSPGDDSADDDACSEVSDTELCCICFDQACTIEVQDCGHQMCAPCTLALCCHNKPNPTTLTPPSPACPFCRGSISRLVVAQTRSACDPDKPSSPQLTRKRSRRSHNLSEGSSSFKGLSSAMGSFSKLGRGSSRMADSDSSNLDKPEHDL >ORGLA05G0009200.1 pep chromosome:AGI1.1:5:531896:536589:1 gene:ORGLA05G0009200 transcript:ORGLA05G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:I1PRU4] MASPTSSASVPASHHLRLWWRRRGRGGAVGATFTVALLAAALLLALSLYASSLPRAPTTPSSSSNLVGLTLVRRAKEKGAVCLDGSAPGYHLQRGSGTGSQNWLLHLEGGGWCRNLRSCASRQKSVLGSSQYMERQIEFAGILSNDKFQNPDFYNWNKVKIRYCDGASFSGNVKNELQNGTKFFFRGQRIWEAVMSELLLKGLRHAKQAFLTGCSAGGLATFIHCDNFRTLLPKDSRVKCLADGGFFLDVEDISGQRTMRAFYNDVVRLQDLRGRFPHCGPNMDLGQCFFPSEVVKDIITPVFVLNPAYDAWQVQHVLSPVASDPQHSWLECRLDISKCDSNQLEILQGFRKKLHDTISELKHKKDWGFFIDSCFIHCQSLNSLTWHSPSSLRVNNKTIAEAVGDWFFDRREVKEIDCEYPCNPTCHNLVFAKPFKA >ORGLA05G0009100.1 pep chromosome:AGI1.1:5:527727:529862:1 gene:ORGLA05G0009100 transcript:ORGLA05G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLASLATPCFADAAAGGGRGRGHHAAGDDAVAFDDDDAAGGCNSIGHILSFDGRDAPAFAIHGVLLPSNPSTMASTGGGGGGGASVLNDGALSIGSSSFDSSNSFSFRTLQPRQYSGPLEYCTTSPSTSGASSSRQLGPRTDKQILNDIYANRQRRRCQGSKGPPLLGRLRKAVASLLRAGPCGFPEQEEPAAMINGVGVVRNGEESISRNVDAAAADDGAERVQWARGKAGEDRVHVVVSEEHGWMFVGIYDGFNGPDATDYLADNLYAAVCRELNGVLSEDEPDPPEAAAAAGRCNGCGGAARHREVLDAMARALRRTEEGYFAEAEARAAECPELAMMGSCVLVVLMKGADVYAMNVGDSRAVLAHQAEPDLSHVVLPRGSHHDGDGDLAGVKEAIKRQFDECEMGELAALQLTMDHSTNVYKEVRRIRSEHLDDPGCITNGRVKGCLKVTRAFGAGYLKEPRWNKALLEVFQVDYVGSSPYISCRPYIRHHRLGAQDKFLILSSDGLYDYFTKEEVVAQVEAFTASYPDEDPAKYLSHQILLRAANQ >ORGLA05G0009000.1 pep chromosome:AGI1.1:5:525836:526126:1 gene:ORGLA05G0009000 transcript:ORGLA05G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLHRPGRGVAGGGDGGGCGGDEVGNEGGGLRRVPRRRPSSRTSPPRGRRVARQLYAVPVTPSSMAPATRTARCTFRSAPLGRSGRLAAGDGGG >ORGLA05G0008900.1 pep chromosome:AGI1.1:5:519241:519719:1 gene:ORGLA05G0008900 transcript:ORGLA05G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCQCGGGCGGCKMFPDVEATATTKTFVLAAPSNKASSGGMEMAVESGENGGCGCNTCKCGTSCSGCSCCSCN >ORGLA05G0008800.1 pep chromosome:AGI1.1:5:513646:516254:1 gene:ORGLA05G0008800 transcript:ORGLA05G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:outer plastid envelope protein 16-1 [Source:Projected from Arabidopsis thaliana (AT2G28900) TAIR;Acc:AT2G28900] MPRGGFSGSISSPRIDVAIDMGNPFLNRTVDGFLKIGAVGACKVAAEDTFDCLHRGDVSKHKLEHMLKKMCKEGAYWGTVAGVYVGMEYGVERIRGHHDWKNAMIGGALSGALISAASNNHKDKIIKDAITGGAVATAVEFINYLT >ORGLA05G0008700.1 pep chromosome:AGI1.1:5:512590:512940:-1 gene:ORGLA05G0008700 transcript:ORGLA05G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger protein [Source:Projected from Arabidopsis thaliana (AT3G46560) TAIR;Acc:AT3G46560] MAAGGGEEEEDKARMEAVADKLQTRDAIRLYNWVSHRCFSDCVTTFYRRTLGKKEEDCVRSCVRKFLLLSSASAARFAHLADPSSAFDD >ORGLA05G0008600.1 pep chromosome:AGI1.1:5:506694:510852:1 gene:ORGLA05G0008600 transcript:ORGLA05G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQLTPGAVQAIAEHPDGTGTIQPVLQVVDVRPVTTKNAPPTPKPAERFRMMLSDGVNTQQSMLATALNPLVKDATLRPGTVVQLTDFMCNTIQGKRIIIVVKLDVLQNDCIVIGNPKHYEPKSLTKEQDPNLQASVAQTNNGTYSGGASMLGPSVAPRAEQAASNSSYGGPYNSAQGMLGSSIGRTVEPGPANVSAVGSYGAISAQNTTNANMMQPTSQLNIMNANTMQPTSQLNTMNANTMQPTSQLSSLNPNQNQRFAAPASGGVFGPPGNVYGQPSRPSYQQPPPVYMNRGPASRNDSATRIIPITALNPYQPKWTIKARVTAKSDIRHWSNARSSGTVFSFDLLDAQGGEIRAQCWKESADKFFGQIEVGRVYLISRGSLKPAQKKYNTLNHDYEITLDIGLSTVEVCSDDDNSIPRLQYNFRQISELENMANETIVDLLGVVTSVSPSATIMRKIGTETRKRSIQLKDLSGRSIEVTLWGNFCDAEGQQLQLQCDSGSNPIIAFKGARVGDFNGKSVSTIGSTQLIINPDFPEVERLRQWYMTEGKTAPCISLSREMLNMGRTDARKTIAQIKDENLGRLEKPDWITVKAAISHVTTESFCYPACPKLLPVGRQCNKKAINNGDGMWHCDRCDESFQNPAYRYMLRFQIQDHTGSTYASAFDEAGEQIFGRKAEELFSIRNVDQDDAQFAEIIEGVRWHLYLFKLKVKEETYNDEQSLKCTAVKVEKLDPSKESNVLLGAIDNLLLDPKGQSDLAPNAGFTDPVGGHGAPTSSNAYAMNTGGVNQFGQQASISAGMSTPLAATRNLQTCSICGANGHSAQNCHVGADMDMQETSAGGSSMGNYNSIAGNGSSECYKCKQPGHYARDCPGQSTGGLECFKCKQPGHFSRDCPVQSTGGSECFKCKQPGHFARDCPGQSTGAQHQTYGNNVAASRGYNRQSFVGGY >ORGLA05G0008500.1 pep chromosome:AGI1.1:5:503665:505184:1 gene:ORGLA05G0008500 transcript:ORGLA05G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMEQGLRPVLQVTDVRPAAGRYLVALFDGTKSGQGVLVASMAHLVRARAIRAGTIIRVLDYLCIDTSLTPIAALSPYKCKWTIKARVTAKSGLQHLSNDRGEAKFFDFDLLDEQGGEMLAKCFSSAAEKFYGLIEVDKVYLISRGLVKPAQEPFNSDYELALDASASVEGSSPVHAASGANQRLSRAISAH >ORGLA05G0008400.1 pep chromosome:AGI1.1:5:491387:493771:-1 gene:ORGLA05G0008400 transcript:ORGLA05G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKISSESPCRSASSPSGGTSKYASNSSVSAASVPPTPRSEGEILEAANVKAFAFNELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTKPGTGMVIAVKKLNQEGHQGHREWLAEVNYLGQLSHPYLVRLVGYCVEDEQRLLVYEFMPRGSLENHLFRRSTHFQPLSWNLRMKIALGAAKGLAFLHSDKVKVIYRDFKTSNVLLDANYDAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRALDKNRPTGEHNLVEWARPYLMSKRRIFRILDARLGGQYSLAKAQKAATLALQCISVEAKNRPNMEQVVAVLEQLQDSKETGANPQLQKKSSSKNAGSNGSKPSSKGKPANARLV >ORGLA05G0008300.1 pep chromosome:AGI1.1:5:488823:490530:1 gene:ORGLA05G0008300 transcript:ORGLA05G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; BEST Arabidopsis thaliana protein match is: PGR5-like B (TAIR:AT4G1196 /.../Has 97 Blast hits to 97 proteins in 19 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 97; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G59400) TAIR;Acc:AT5G59400] MATAAPPAASGARRLGRPRAGLRVGAVAAAEGPSCLYVGPIETASQEMLEALYHQARDSYYSGQPLIVDDMFDKVELKLRLYGSPSVVKYPRCSLKRQSTYADAEEDKSMFMALSSIWMLLLLFGTSAFLVPSLCILSLTFGDAFGARYLLYGAKSFDVITRVNDMVLIGLGYLIGYPISSASVGALQGLLTNNLVALKGSCPNCGEQVFAFVKTDNSIKAPHRAECHVCSCPLEYRTKVEKSLSGTRRTWVYGRVYLVKQGHPRKRKWLKD >ORGLA05G0008200.1 pep chromosome:AGI1.1:5:483139:486428:-1 gene:ORGLA05G0008200 transcript:ORGLA05G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGTAAGTRGGGGGAELCRQMAAQLAAYQRGMAVERERQASTSANYRAALLSSRSIARQTISRQEELSGLNLHLRNLEDGLAEALSVKAGKESKYQLTKETISSTAAINEKLEGMVTDQRNKRDHHAAVISNHLEAVEALEAKFIEDETRMKKIEEAVIWYSKFLGFQVVGGEGVKFIFNKIHLQSPDKEYSVTLKLAKDRYNLLQCDPSIKDSEELMKDLNLTNDLFKFVRIVRERFQAEAATVNGGLLMSSVVCPDASSIPVSPPMLMPLDSRTKNVPDKSLSQSKNKGRNLPSKRGAAALSAASPGSAVSIVRRSPRFVGIR >ORGLA05G0008100.1 pep chromosome:AGI1.1:5:480821:482187:-1 gene:ORGLA05G0008100 transcript:ORGLA05G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTKPSKQSRAQAQQNGGAGHSKLARYFDPEASWDKDQLLDAVHWIRQVLGLACGLLWGAVPLVGAIWIALFVTISTGLVYWYYAYLLKIDEEDFGGHGALLQEGMFASFTLFLLSWTLIYSLVHF >ORGLA05G0008000.1 pep chromosome:AGI1.1:5:476995:480215:1 gene:ORGLA05G0008000 transcript:ORGLA05G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40700) TAIR;Acc:AT2G40700] MAKKLGKSPVAKEEDKEGLFASCSFTDLGLHPTLCAHLQDKMGFQAPTRIQAQAIPVAMSGQHMLVKAATGTGKTLAYLAPIVHLLQMREPRVERTDGTFALVLVPTRELCLQVYGIAQQLVHRFHWLVPGYIMGGENRAKEKARLRKGISILIATPGRLLDHLQHTSSFVYPNMRWIVFDEADSILELGFGKALEDILEHLGSRNDASNQNKNKMEPMKRQNLLLSATLNEKVNRLAKISLKNPVMIGLDEQNSSAHGKNHTSLLSDDEEEILEKHNVTVEQAVDDFKLPAQLVQRYVKVSCGSRLAILLTILKSLFERQLSHKVVVFLSTCDSVDFHHTVLSQLEWSPGLQLDTDKKQKFISCKVFRLHGNMDQDDRKKSFLGFSSEKSAILVSTDVAARGLDFPKVKCIIQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPIETDYLRDLELHGASLTEYPLQKVLDSFPVNGQRLHKRKQISLDMHPWIMSLQRALESFVTSEDTTKKLARDAFCSWVRAYTAHRGELKKIFMVKKLHLGHVARSFGLKEQPSLVGRSHQVQLKKRKKEQKRERPAKRRKIPAKR >ORGLA05G0007900.1 pep chromosome:AGI1.1:5:468741:475317:-1 gene:ORGLA05G0007900 transcript:ORGLA05G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMAHAPLPSLLCLKEPIYDVVAELELLISKQQLSLYNYSPSDPQLFSQPTTGSRTYLSGAVTIPGICNDKSNLGQQQFQIDAALSLEYQRIRSSDALCYISRVLMEDVDERVDLHQGEAALQAAEKPFYDILGQVYPPSLNRLALHSANEPDTPDESSSRNNYHSRYHSSHSSFNMLQPLPTPLSPYSYGRSLFLPNQHLVSTAWTSTFGIPGFQIRRGAEEEKRFVPIIDKLVIDLDTDRGLSISKMTTKAKVGDKKRYAIFELTDQRHSPYTTDLDILEGRNSKRYAITYCEIIRNDMFDRVLLCYGVENFAEASNLRKIMTKQARKNSLNGQTRGSAQRKLRGMKQLKKDVVDLRNLLIHCAQAVAADDRISASELVKKIRQHSSPDGDSNQRLAFYLVDGLEARLAGIGSQVYRKLMASRTSAESLLKAYSLYLSACPFERASFAYANQTILDASKGQQPRKVHIVHFGICTGFQWPSLIQRLANEEGGPPKLRITGIDMPQPGFHPCEIIEETGKRLADYANLFKVPFQYQGIASRWETVQIEDLNIDKDEVLIVNCMFRMKNLGDEMVSMNSARDRVLKIMRMMNPRVFILGIVNGSYSSPFFITRFKEVLFHYSSLFDMIDANVPRDNEARKMIERGLFGQEALNIIACEGAERTERPESYKQWQARCLKAGFKQLPVDPATLKEIINMKKGIYHEDFVADEDGGWLLQGWKGRKSVKKKVTRNNPNINQPDVNKKITNFCFRSLKQCRSSIMDSPEYCQTNSNITLDYINRILMEEDIDEKISIKKGQDALQATEKPFYDILGKAYPSSSKETAINGDSQADYPDNGSSSYHEQACSGSFVSDLLGSQDAHSITTDWSSEFDRLALQFRRGVEEAKRFIPNIEKLVDDPEKNGLYACKQTTETTEQKGKHENKIRNHPHDPHVEHIELMEARNSKHMAISTSETIRDEMFDSILLCNRQLPGEVANLRGMMAKEASDNPKKFQSKGYGKGQRKPHSSKKKQKEAIDLSVLLIQCAQAIASNNHPFASELLRKIRHHALPDGDGSQRLANCFADGLEARLAGTGSQMYEKLMAKQTSTRDMLKAYHLYFVACPFEMVTYYFSNKTIIDALEGKTTLHIVDFGILFGFQWPCLIQRLAKREGGPPKLRITGVDVPQPGFRPHERIEETGKRLAEYANMFNVPFQYHGIASRWETICIEDLSIDKDEVLIINCMSRMRKLGDETENIDSARDRVLHMMKRMNPQVFILGVVNGLYSSPFFLTRFREVLFHYSSLFDMLDNNVPRNHEARILVEKDLFGNDALNAVACEGAERIERPESYKQWQMRILRAGFKQRPVNQAILNRSVHYKELYHEDFVIDEDSGWLLQGWKGRIIQALSTWKVET >ORGLA05G0007800.1 pep chromosome:AGI1.1:5:466136:468182:1 gene:ORGLA05G0007800 transcript:ORGLA05G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37660) TAIR;Acc:AT2G37660] MTTIAARMPFAGPRLRPPCNLTASRPGPRRAGLAVVSAAAGGPLPTVLVTGAGGRTGQIVYKKLKERADQFVGRGLVRTEESKAKIGGAADVFVGDIRDPASIAPAIDGIDALIILTSAVPKMKPGFDPSKGGRPEFYFEDGSYPEQVDWIGQKNQIDAAKSIGVKQVVLVGSMGGTDVNHPLNKLGNANILVWKRKAEQYLADSGLPYTIIRAGGLQDKDGGVRELLVGKDDEILKTETKTIARADVAEVCLQALLFEEARFKAFDLASKPEGEGVPTTDFRALFSQVNSRF >ORGLA05G0007700.1 pep chromosome:AGI1.1:5:462794:463105:-1 gene:ORGLA05G0007700 transcript:ORGLA05G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGGAREVHVMKKTCKNLKTKEAAAGGNVAVRKEQVMKTTKGLKKSPPPSSSPEQVKMPCRSYSAENIKHRLTKTVKEHRARFYIIRRCIQMLICWRDEY >ORGLA05G0007600.1 pep chromosome:AGI1.1:5:451671:452075:1 gene:ORGLA05G0007600 transcript:ORGLA05G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPASTAAAVVNAPAATGGLLLPRGRSSWSWSCCNNNNSSMGRRRRRWRAAAVGEVAAEGNTYLIAGAVAVALVGTAFPILFSRKDTCPECDGAGFVRKGGATLRANAARKDLPQIVCPNCNGLGKLGQIDK >ORGLA05G0007500.1 pep chromosome:AGI1.1:5:446723:448722:1 gene:ORGLA05G0007500 transcript:ORGLA05G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLLPPLPPPPPPPSAAGASSYWCYSCDRFVRAPAPHDDSAVACPDCGGGFLEEMSAPPPXXXXXXXXXXXXXXXXXXXXXXXXAAAAAAGDRSPFNPVIVLRRSPAAAGDDDSLAAATSFELFYDDGAGSGLRPLPETMSDFLMGSGFERLLDQLTQIEAGGLARARENPPASKASVESMPTVTIAASHVGADSHCAVCKEPFELGDEAREMPCSHIYHQDCILPWLALRNSCPVCRHEMPTDAARPRPSNAGTEEETVGLTIWRLPGGGFAVGRFAGGRRPEERELPVVYTEMDGGFNNGGAPRRISWGSRQSRSTERSAIRRIFRNVFSCFGRSHSSNSQASSSHSRPELNDASDRSAVFSHGSRSRSTSWRLEDGHADAMVQR >ORGLA05G0007400.1 pep chromosome:AGI1.1:5:438472:438687:-1 gene:ORGLA05G0007400 transcript:ORGLA05G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVRSAAAKRSWPEVVGMTMEEAKAAILKDKPDADIVVLPVGAPMTRDLRPNRVRIFGSATVAETPRVG >ORGLA05G0007300.1 pep chromosome:AGI1.1:5:426937:431974:-1 gene:ORGLA05G0007300 transcript:ORGLA05G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEMATRGEAAAAAAAADRDMELFRSGSAPPTVEGAMASAAAAAGDVFLDDELRADPVYQSYYYSNAHLNPRLPPPLLSKEDWRSAQHRLRSSALGGIGDGRRQPAAAAQGDGLVGLPGIDLDRQGSFSSIFQESSYQLDMGKQGADRNSSDFLDSSRPQYALHRETSRAMGGLQSDSNIQSLAEVQNNDSSAHTYASLLGSSLSRSASPDPELVRRVPSPCLPPIGVKVSADDKKNNGGSSSFRRSSSAIGESDNLIAALSGMNLSSSGAASGQQTVTQSELYQDVDNVRKFLFDRQGDQSNGNQQHSYMKHPEQGHFKAPDGYSANSPNSSMIRNQINAASFTSFDNLSAGSGFASPRIGSRSPGGTLSSRQNLVGGSNFLNYNGIGSPNAATSLQTAIDPSYIQYLQAAEIAAQLAASCDDPLMASGHLGGSYMDLLGPQKAYASPLLQSQKNCGYYGNLGFGLGYSGSPLMSPVLPSSPAAPGSPLRHGERSMRMQSGIRNFGGSFGSWNPDLGGKMNINMMPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSPTQIKELADQLIGRVLALSLQMYGCRVIQKAIEVVGLDQQTKMVAELDGHVMRCVRDQNGNHVIQKCIECIPQHAIQFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPTTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQILIGEMLGSTNESEHLEVMMKDQFANYVVQKVLETCDDQQREAILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPACTSAA >ORGLA05G0007200.1 pep chromosome:AGI1.1:5:423739:425667:1 gene:ORGLA05G0007200 transcript:ORGLA05G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEKKEFGSVAQFHHLALDTQYGPMRFTDSIIREDHRLTGSLNVLSLKVKSSDVGYPINLYGTVIVRDGLDFNCNFIFRRNRNNCQVIQSENENIILTGPTRGIVFHDIFFEINLKIKENEECNDKEFSKGLLEMKFHTRKSKIVSETFESRLSEVELVSACVKEALEGTVEITILSGPKVFHGKISACTTDVPNYIVLYDSNVCGATSVGDDRVMQLLRRVVAVSANEILILNIHAHNIHQNDNVSSRTLRFTPLARGADEEVINCDLYKMQVKVVWSVFKG >ORGLA05G0007100.1 pep chromosome:AGI1.1:5:418380:419027:-1 gene:ORGLA05G0007100 transcript:ORGLA05G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVAWVWMTEDAVASDELRRDRPRRAPGITDTGDELQRAPAPPPTIHGAAVNTHLQLHSRGRPVHPPPAPQLRREPSPVAAFVAGRRSRCESGPSSYPSAASGEQRDKTGCKELVDLVMVGYGVW >ORGLA05G0007000.1 pep chromosome:AGI1.1:5:416635:416973:1 gene:ORGLA05G0007000 transcript:ORGLA05G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHGHGMGRHYPCPSRPIAIPRDGGDGSRLGHLLLTKAASVSTSPRHRCLRLLSHRPKWGGAANKEDAASCSGLSFLLPTHQPRSLPPAASSTPLPCHHPAGATAGWCNSTLV >ORGLA05G0006900.1 pep chromosome:AGI1.1:5:415313:415704:-1 gene:ORGLA05G0006900 transcript:ORGLA05G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDQQQVEEEDFDLPFFVEEEEAEVEAAKQKRREESLKPQRERQMTSTEAKERWARRKELSSKLFEYDPKTGTSFYTRAWFLDLTTFDLDKESGIAVS >ORGLA05G0006800.1 pep chromosome:AGI1.1:5:406936:408148:-1 gene:ORGLA05G0006800 transcript:ORGLA05G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFADSIIKEDHQFTSSLNVLSVKILSSDVGYPINLYGTVIVRDILDFNCITIFRRNRDNCQVIRSENEDLILTGPSRGIVFWGEIFFEINLKIREDEECIDREFSKGLVDMKIYFIESQPKIVSETLESRLSEVELVFNCVKKALEGTVEIKILSDAQVFHGKITACTTNVPNHAVLLYDSDVVGCSTTVGDDRVIQLLRRVVVVSVNEMLILNIHAQNDNVSSGCSLELSPFTRGSDEEISCGLCKMRVKVVWSTLE >ORGLA05G0006700.1 pep chromosome:AGI1.1:5:402604:404087:1 gene:ORGLA05G0006700 transcript:ORGLA05G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDNKKVFTLEEVAKHNSKDDCWLIIGGKVYNVSKFLEDHPGGDDVLLSSTGKDATDDFEDVGHSTTARAMMDEYYVGDIDTSTIPARTKYVPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAIRIYTKSESA >ORGLA05G0006600.1 pep chromosome:AGI1.1:5:396150:398121:1 gene:ORGLA05G0006600 transcript:ORGLA05G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKVTVGVVLLLLCLCGGAACAAGRSGGEFSIVGYSEEDLASHDRLIELFEKWVAKYRKAYASFEEKVRRFEVFKDNLNHIDDINKKVTSYWLGLNEFADLTHDEFKATYLGLTPPPTRSNSKHYSSEEFRYGKMSDGEVPKEMDWRKKNAVTEVKNQGQCGSCWAFSTVAAVEGINAIVTGNLTSLSEQELIDCSTDGNNGCNGGLMDYAFSYIASTGGLRTEEAYPYAMEEGDCDEGKGAAVVTISGYEDVPANDEQALVKALAHQPVSVAIEASGRHFQFYSGGVFDGPCGEQLDHGVTAVGYGTSKGQDYIIVKNSWGPHWGEKGYIRMKRGTGKGEGLCGINKMASYPTKDN >ORGLA05G0006500.1 pep chromosome:AGI1.1:5:394174:394464:1 gene:ORGLA05G0006500 transcript:ORGLA05G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAIKGLFISCDVPMAQFIVNLNASMPASDKFILHMLDPTHMFVQPHVADMIRSKISEFRDQNSYEKPS >ORGLA05G0006400.1 pep chromosome:AGI1.1:5:388249:389169:1 gene:ORGLA05G0006400 transcript:ORGLA05G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHVVVQQRSGDQPAPSCDIAADEIPVNGHKPGRAVTASVYRAKIAGHSRVLTVSWSRDMLSHSFAVSVTGVDGASAECRVDLRPWQFWRRAGSRRVELAGTAPATVRVMWDLRRARFGAGLPEPRSGYYVAVEAAGEVVLVVGDMRKDALRRASPRAAPAACDAVPVARREHVFGKRRFAAKARFHDQGTVHDIAIECGGGGEGGDADMEMTIAIDGEEAVQVKHLQWKFRGNQSVTFSRAKVEIYWDVHDWLFSAGMRPALFIFRPIVLSSASAPAAAMLLDGSPPPPPATGFCLYLYAWKLD >ORGLA05G0006300.1 pep chromosome:AGI1.1:5:381755:385979:1 gene:ORGLA05G0006300 transcript:ORGLA05G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:with no lysine (K) kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G48260) TAIR;Acc:AT3G48260] MPPTPPPELDLLDTEPEFAEVDPTARYGRYTEVLGKGAFKTVYKAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPSSLAKIEDPEVRFFIEKCIAKASQRLSAQELLMDPFLRDDGEKIFYPLQSNTKASDGAGSSNSSMGYKYDRDASSMAIREHTGSFAEEHPSDRYIHSTMDPQAAAGRIITVESQMKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEESVENQGDESAHSETDSSEADDETSELRNEPNATHNGFVQEHLPSGHKYWSDSPRRNIEMSHSAVKPHIGGNMPNGILKKNDTDDTVSNLGTSVDLPNPSMIDRKSGVASVSTSPQSFDDEHIEADVSERLVNLLAQQQEELNVLRRKHKADIEVILKGVPEEHREETLTRCRLKADERNRSDKP >ORGLA05G0006200.1 pep chromosome:AGI1.1:5:358012:361968:-1 gene:ORGLA05G0006200 transcript:ORGLA05G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G14460) TAIR;Acc:AT5G14460] MLRTGGGARATAAAQLLSSSSSPPPLRLPRRFLSLTATPYPLYYDLLLYRPSYPNPPKSSAADDRHTRPTDDHLDRAKRRYLRKRRSRLLPDPDATTAKPSSSSSSEFVELKPEVVDFPRLHAREEALYFHDAFALPWEKDKHYRMLYRLEKKYFPDQSLDNAFVPADASPPSSDAADKGLVFFDDDDNNKEAEVKKKKDGEDRGEVLERKVEDFFRSLKKGPGHGEANVMKAKKKEPPQVRHEADEWPQPHLASRRTELPPRWNGPTGTVVLIDKPKGWTSFTVCGKLRRLVNVQKVGHAGTLDPMATGLLIVCVGKATKVVDRYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIRKVAASFRGEIWQVPPMFSAIKVGGEKMYDKARKGETVELSPRRISIHQFDIERSLEDRQNLIFRVICSKGTYIRSLCADLGKALGSCAHLTALRRDSIGDFSVNDAWSFAELEEQITKGYL >ORGLA05G0006100.1 pep chromosome:AGI1.1:5:355300:357350:1 gene:ORGLA05G0006100 transcript:ORGLA05G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAHHGFLPPAAGRQHLYGHPHYQLSGDDEWWEYIPCPFCYIEVEVPFLCDHLQEEHCFDMKNAVCPICADNLDKDTDEHFRVQHSHLLKRRKSSSFSCKPSSAAADKGSYEEDSYFEAPSHCMGRPAPDSSPDPLLSQFICCSLAPPVDSPRRSEADAEGHGSSSSDDQKRREQGVMDDASKEELEERLQIIEFVKQMLMTTIAY >ORGLA05G0006000.1 pep chromosome:AGI1.1:5:346002:351744:1 gene:ORGLA05G0006000 transcript:ORGLA05G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIA subunit 2 [Source:UniProtKB/TrEMBL;Acc:I1PRR2] MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTEALENQVKSKVSIKGHLHTYRFCDNVWTFILTEASFKNEETTEQVGKVKIVACDSKLLSQ >ORGLA05G0005900.1 pep chromosome:AGI1.1:5:330327:341133:-1 gene:ORGLA05G0005900 transcript:ORGLA05G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTERGRGLLASRRKTLAVVSGALLAGGALAYARSSQGQRRRRSEGDDATTALARNGDRMGQNGVDGRLAGTKRRKGGLRSLHFLAAILLKKIGPNGTRYLLGLTLTAVLRTAVGHRLARVQGYLFRAAFLRRVPTFTRLIIENLILCFLQSAVYQTSKYLTGSLSLRFKKILTDLVHADYFQNMVYYKISHVDHRISNPEQRIASDIPKFCSELSELVQDDLAAVAEGLIYTWRLCSYASPKYMLWIVGYILVAGGAIRNFSPAFGKLKSTEQQLEGDYRQLHSRLRTHAESVAFYGGENREAYYIMQRFQALIGHLNRVLHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGILSISTRRLNILSGYADRIRELLDVSRELSGVRDKSLNHNSSAGNYISEANHIEFSGVKVVTPASNVLVDDLTLRVERGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTADQEIEPLSYDGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTIDMEERFCKKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWDVQHRRDDSSFSTEESDYTLLETDRKSDALTVQRAFMGRAKVPKLLDKQGGQLLAVALLVFSRTWISDRIASLNGTTVKFVLEQDKAAFIRLIGISVLQSSANSFVAPSLRTLTGRLALGWRIRLTNHLLQYYLKRNAFYKVFNMSGKSIDADQRLTLDVDKLTTDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGVAILYAYMLLGLGFLRAVSPDFGHLAGQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAIVEAKFMKLLDHSKILLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILDLHKKFLELSGGINRIFELEELLRVSQRDTFVPSDATSAEETISFHEVDIVTPSQKLLASKLSCNVVQGKSLLLTGPNGSGKSSIFRVLRDLWPVCSGRVTKPSDGMFHVPQRPYTSLGTLRDQIIYPLSREEAEMKICSLYNDGNGSSASNLLDDHLKTILVNVRLVYLLEREGWDSTSNWEDVLSLGEQQRLGMARLFFHHPKFGILDECTNATSVDVEEHLYKLATSMGITVITSSQRPALIPFHSLELKLIDGEGNWELCEIHQ >ORGLA05G0005800.1 pep chromosome:AGI1.1:5:319570:327827:1 gene:ORGLA05G0005800 transcript:ORGLA05G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLRDPSAGSGGEAAPTPTPPPPLAAPFAQYLSLEPLGWVEPKHSRHGELRRALQHQADDKPQELRRIRASVADSSSKSSREKVRSMQEAVQKVDRCRNVLNRKRQRSEPAAAAAAGAEKPSGSGALRIGAQNSNSSAVMSKRVRSSLADGRLEGRGNISTRQSPLVNNEKSSLVEKEKSCGRTSGLSEDKLQGLSTGGEGWEKKLKRKRSIGTVLNRGNDADRDVKSGGQHRPANEANPRPSDGLSHRHGASAMEYAGSRMDGSSQQNSNSSRILCKTDTDHATLPNERRERYVGIEKERGMVKGNRAQASEDMQTGSISPLPKAKACRAPRTGSHGMGSASSFQRSTGGSDEWEEIPYSNKASLLGGMTNRKRSIHSNASSPPIAWVGQRPQKMSRTRRANVVSPVSNFDEVLSEGSPLDTAIAAKPTSTESCGVVLTKKGTSSNTQMANTMNDIPSPVGLSESEGSAVKERKVKEKATNNGEVENEAANLVRNSAGSIVSSNKNTIPLKEELQDGGVRRQGRSGRGTMHVKEYSSSSISKEKLDAAETRKPNKGGRPGSEKNESKVGRPTMKKGSDQNDLSCFPQALNCEHTDDREELLAAVNAARGAIVGAYCGPFWKKMEPMLTFISSENLSFLKKQIDIVEELDLGMSCVPDGEYVLAPTNYSRQQTTEFSCQELVPSNSSILPEQSETNGVGLKGTIDCFSPSEENQHHVPQKIEADKWFHEMVPMEHRLLSAIVMEEDISEPNVVQRDILFEFSNSHVPCAASRFLGNELQASAISSNFGLSVDFMNSNNSSVVHQSLSNGFTSSSSFISSSSQSSVHNDNLSDEVNFIYPENGPFDNLIPQTSSLRQKPGKNFSSSPHEYQYGQMSVNDKIFIELQSIGIFPEAVPKLDDGEDNNINKMISELRKRLHDQVKQKKCKLSKLEKAIQDTKSIEERSLEQHAMNKLVERAYRKLKGGRTGSSHKAGASKSASKAAKQLALDFAKRTLLRCQKFEETTKSCFSEPSLWSVLSAPLPSSGTKSTEGVERLKHQKQDRSTLNHGGTKWNKSDREREHSRDASAKGSGMKSGRHSSGSGRSGERKNKTKPKQKIVQLLSTSGNVLARAVESVPTPAMQEPPRPSVPLGAKITQQPRNHPENAASRLPEAPLTNLPGLFDIFAGTEGLGEQGNDISSWLTDDLDVPQDFDLSGALEIPLDDIAELGFM >ORGLA05G0005700.1 pep chromosome:AGI1.1:5:317705:318694:1 gene:ORGLA05G0005700 transcript:ORGLA05G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLVVCAAALASAATPPKPSSMVVITPTKQGGVGKRNSAFTCEDTRRRRPKCMATCPDRCRTKCLVLCPTCKTFCLCDFYPGVSCGDPRFTGGDGNNFYFHGKKDRDFCLLSDAALHVNAHFIGKRNAAMSRDFTWIQALGVLFGARHHRLALAAARAARWDPAADHLELTFDDDRVDLPRHDGARWSPPGAPALSVTRTAPANGVVVELRGVFRIVASAVPITREESRAHNYGVADDDCLVHLDLGFKFEALTDDVHGVLGQTYRSDYVNTLNVTSNMPVMGGAANFLTTGLFATDCAVARFAKPATVAGTGAGISMVTDAKYV >ORGLA05G0005600.1 pep chromosome:AGI1.1:5:313731:315489:-1 gene:ORGLA05G0005600 transcript:ORGLA05G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51320) TAIR;Acc:AT3G51320] MASRPDPGELQAFLRGLRTHHAVLCAHAFLLRRGLLLGHRTTAGILLSAATSASRSAHAHAHAHLLRLLLHHLPPPLPLFSLDNALRALAPRLPFSALLSLFAALLRSHHPAFPARFSFPTLLSKASSSSSPRLHLPSALALHAQLLRRGLLFSPPLHAANALLHFYAAATLLPCARNLFDEMPFRDVASYNTMMTAYAGAVDGIDAARHLFDGMLLRNVVSWNIMINGYVKVKRPEQALEVVRWMAEIGVRGTAVAMVGAATACARLGRLGAGKEVHCAFLRRFEERNLLFSTALVDMYGKCRNVDAARKVFDRLSFRNVVCWNAMIIGHCVYGEPGDGIRLFHDMIGQDDQHGLLPDEVTFIGVLCACTRLALLDDGKAYFEQMSTMYNIKPTFAHYWCMANLYASVGLLEEAEGLLTSMPEELKAHALGGLLGLCRFRGEWELGERIVLRLIELEPSNSVHYALLCNVYASAGRWEDVHRVKAIIKERDEKLSPGHRLVNLNEILHQFRERQPENQEIYGILDGLVSRLKLTSRVNGQIESGVK >ORGLA05G0005500.1 pep chromosome:AGI1.1:5:311535:312326:-1 gene:ORGLA05G0005500 transcript:ORGLA05G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATPNNNAHQVIDELVSNDDDDDRLSTLPDEILIDILQRLPLRTSAQTTILARRWTHLFPSMTHLKIDINEFVPHILTRHNVARSMAMSWYTQALRTLLAPTIDPDRTIRTMHLRFYPTDSYLLSIARMVDDAVQSASASKIEVLDFAILNEVSEVHCTEKQMSRYGRRFMSFFQACPNGFRCLTSLSLWALRFRDSDIPSLLGSCHQLQHLLLRALQ >ORGLA05G0005400.1 pep chromosome:AGI1.1:5:310484:311239:-1 gene:ORGLA05G0005400 transcript:ORGLA05G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWIMPEEPKKLFPIFRNLKDVYLYNISNDSGLDWTLFVLEGAPSLKSFHVKISHHICGGDGFEHNAGSSNVVWEASSDIIKHKNLRLLDIIGFDTEENLIKYIRLAIQRAIALQRIHLHEKEPCEDCDDIYLNTPSLSRTRFPNNEQEKDLLREQLLQGFSSSIEIIIGCY >ORGLA05G0005300.1 pep chromosome:AGI1.1:5:305694:308135:-1 gene:ORGLA05G0005300 transcript:ORGLA05G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNAQCQVVDDRLSAFPDEILIDILQRLQLPTAARTTTLARRWTHLLQSMNHLEIDVADFIPRRSAPSLKRNTMTRVKVAMSRYTQLCFYLTDPYLHSVGRMLEDAVQSAGGRASKIEVLSFSILTEVPELLCTEKHLARYGRRFMSFFQAYPNAFRRLTSLSLWALRFGDSDIPNLLASCLQLQHLTLQDCDNGKRSVLRIDAPNSQLSTLTMAFCSYIKVELINAPKLKCVDCDTWVGANPPVCFGCVPMLDRIRFSSTCHKMQLPFKLSDWLSTVPTLTSLHLDFQDEMVWILPEEPKKLFPIFRKLRNVYLCSISLDCGLDWTLFVLEGAPFLERFHVKISIHIYDENGFKNRADRSNVVWEASSESIKHKNLRLLDINGFETTENLIKYIRLVIQRAVGIQRIHLHDKEPCEDCDDIYLNTPSLSRTRFPNNEAEKDLLRQQLLQGFSSSIEITIG >ORGLA05G0005200.1 pep chromosome:AGI1.1:5:300897:304360:1 gene:ORGLA05G0005200 transcript:ORGLA05G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRGASSDVLRASISSAPSTSSHGSAQDDCDSLGDVYVWGEVFCENSVRVGSDTIIRSTEKTDFLLPKPLESRLVLDVYHVDCGVRHAALVTRNGDVFTWGEDSGGRLGHGTREDSVHPRLVESLAACNVDFVACGEFHTCAVTTTGELYTWGDGTHNVGLLGHGTDAGHWIPKRISGALDGLPVAYVSCGTWHTALITSMGQLFTFGDGSFGVLGHGNLTSISCPKEVESLSGLKTIAVACGVWHTAAIVEVIVTHSSSSVSAGKLFTWGDGDKHRLGHGDKESRLKPTCVASLIDYDFYRVACGHSLTVCLTTSGKVLSMGNSVYGQLGNPNSDGRLPCLVEDRIAGEHVLQVACGSYHVAVLTGRSEVFTWGKGANGRLGHGDIEDRKVPTQVEALKDRAVRHIACGANFTAAICLHKWVSGADQSQCSSCQQPFGFTRKRHNCYNCGLVHCNACTSRKALRAALAPNPGKPYRVCDSCFLKLKNASDSDSFNKRKDIVSHLAGESNGDTKASKTILSSNMDIIRSLDSKAARQGKKTDALSFLRTPQVSSLLQLRDIALSGSADMNRSVPRAVRTSAVRSVTTSRAVSPFSRKSSPPRSTTPVPTTHGLSFSKSATDNLAKTNELLNQEIDRLHAQVDNLRHRCEHQEVELHKSAKKVQEAMTLVAEESAKSKAAKEVIKSLTAQLKDMAERIPPEQGTYDVSEAKPVHVPNGIDSHIAIYSSINVAHQPRNELLNASNAQSLNSGRSLHPNGISSQHRLLGNATEASEGSAQSHRITSPCKLDVPHRRAHSNSDDMLTASHRGDDNVSIDAMSLQNGEDGYKPRGTVSSISSSQVQAEWIEQYEPGVYITLTTLLDGTRDLKRVRFSRRRFGEHQAEKWWNENREKVYERYNVRSSERVSSSSAASTRSAY >ORGLA05G0005100.1 pep chromosome:AGI1.1:5:292728:294408:-1 gene:ORGLA05G0005100 transcript:ORGLA05G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >ORGLA05G0005000.1 pep chromosome:AGI1.1:5:289978:292020:1 gene:ORGLA05G0005000 transcript:ORGLA05G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAARTWTWRWRWRCFHSTAAALSKPTPHIRFAVREKRADAKAALKNILLNGGPCQEGSNKQKRQQKGSGKSKLTNSSYGKNPHRKGKSAQKWKNFDEDDCSDTPYGNFGGKRSFTWYWPGEDDESGSPSGFQWRDESQSNKSRERVWNESDVDEEEPCYDNLRSHRISLGLPPLGPLELDHIKSAFRASALKWHPDKHQGASQAEAEERFKRCVEAYKALSGAFKPSD >ORGLA05G0004900.1 pep chromosome:AGI1.1:5:283309:285294:1 gene:ORGLA05G0004900 transcript:ORGLA05G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1PRQ1] MEEKKPYVIGMLIQVIYAGMFVVTKAAFDEGVNTFVFIFYRQAAATLLLLPLALLLERKNARSMSLMLLIKLFFCAFIGNTFSLNLYNVSMKFTSATVASAASNSLPVITFFLALITRMECVKVRSSSGIAKLAGVALCFAGVMVLALYKGPALNPAAVLREFPNKMLVTAAQCVFSTVQTAVVAAAAEREMARWKLRLDISLLAVLYTGLVVTGVSYYLQAWCVELKGPVFLAMSNPLCLLLTLIEFMDSIIGGILLVGGLYSVLWGKSAEMTMNDNGDEQQQQQQSHHKISTEMVVVEKTMISGSQEKDQNNNEQSTPTKSPLQQV >ORGLA05G0004800.1 pep chromosome:AGI1.1:5:276880:278528:1 gene:ORGLA05G0004800 transcript:ORGLA05G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1PRQ0] MDGKKPYVIAIIIQVIYTGLYVVSKAAFDQGMNTFVFIFYRQAAATLLLLPLAIILERRNAPAMSLRLFTKLFMYALLGNTITMNMYNVSLKYTSATVASATSNSVPVVTFFLAVLLRLEVIRLRTLSGVAKAAGVALCLAGVLVIALYAGPAISPLNHHRALSGGVHGAESSVGTGTRARWMKGTFLMLLSNTTWSLWIVLQASLLKEYPNKLLATLIQCALSTLQSLLLAAAVVRADPAAWRLRLDAGLLAVAYTGFVVTGVSFYLQAWCIEKKGPVFLAMSNPLCFVFTIFCSSFFLAEIVHLGSIVGGVLLVAGLYSVLWGKSKEHNINMQLTLAAAASTEQVKQRQEEDHKMEIAGGDEQEEIKQQQQGKMAASPEQQV >ORGLA05G0004700.1 pep chromosome:AGI1.1:5:264190:265820:-1 gene:ORGLA05G0004700 transcript:ORGLA05G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:I1PRP9] MSSSTFSGDETAPFFGFLGAASALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >ORGLA05G0004600.1 pep chromosome:AGI1.1:5:258671:261546:-1 gene:ORGLA05G0004600 transcript:ORGLA05G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAAPAGAGAGAGGYYYYPPSQQHKPRRPPRPAARWVKHWIPQDLATSSGKCALYKWVREDVYKNLKDGKAVPEPEAVKPEPTTEILFLCSYENCGKTFVDVAALRKHAHVHNERQYICQEPGCGKKFVDSSKLKRHHLIHTGQKDFICPHPGCGKAFSLDFNLRSHLKTHALENYHVCPFPACGKRFTSDSKLKSHVKGHEKTGTPITAQYVPSSDKPQSSSKPATPATTKPTTPAATKTTTPASTKPTTPAPTSFAERPYVCPYDGCGKAYIHSYKLNLHLKTQHPEHGQEENGRIAAHASEHAVNDRANQYNYAEIVDLAPNPKRSKTNSGHKTPSSNKAYNVKISSVLPADISGVKNQWPGKYEDDSEETEEDQGNNIEDGWRYGNQNADDEETEYED >ORGLA05G0004500.1 pep chromosome:AGI1.1:5:253944:256919:1 gene:ORGLA05G0004500 transcript:ORGLA05G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XKSKSKRKKPNPNPNPSITASHRLRLRLRRWPPSPRRRSPSLSSSSSTSYSRSPASPSSFSSSPSLSRSPPRPARGARSPSPPPPPPPPRKASPPPESTVLHVDHLSRNVNEDHLKEIFENYGEVVNVELSMDRVVNLPRGYGYVEFKNRADAEKALLYLDGGQIDGNVVKVRFALPPQQQKAAASPKALHSSPKRDVVDHNKVGPSAEKATKQQPRKPASPQRKPAPSPRRRSPPSRRVESPRRRPDSPPIRRRTAPSPIRRGGTPSKRPGSAIRRRSPSPPPRRLRSPRRLSPRRDRGSPIRRRSPLPRRRLTPPRRMWSPPRRPQSLRHRSRSPIHRPIRSPSRSISPRRGRGPPVRRGRSSSSYSDSPTPPRRGPRRVLRSRSPRRPLRGRSASSYSRSSSSSGSPIRN >ORGLA05G0004400.1 pep chromosome:AGI1.1:5:250135:250404:1 gene:ORGLA05G0004400 transcript:ORGLA05G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAHKVFDEMCRREEEWLRGEDELGGSWGLVMAAKRVXGRREMGGGIYRVWEEWLNGRRDGQRTGLEDDMMARGARGRHDGARGSRAT >ORGLA05G0004300.1 pep chromosome:AGI1.1:5:243655:244328:1 gene:ORGLA05G0004300 transcript:ORGLA05G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLITFTATGAAAAFTSPKIKRRMRMAPTMASKPGAKVKVSAGCKTCRGKGAVECPGCKGTGRNKKNGNIFERWKCFDCQGFGLKSCPSCGKGGLTPEQRGER >ORGLA05G0004200.1 pep chromosome:AGI1.1:5:235347:240445:-1 gene:ORGLA05G0004200 transcript:ORGLA05G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEFDWPTLVLSTSPETENVTSSSVRSTTKNDVVVQQGSISSYWPWDVMKLENDTHFLSHSSGDGMKCTKADHFLSHANRGSLNLDYQEQPSKSNFATDLGSHAYSKEARTLGRVYHGQQPYFSPSSCSWEKSSALKVVPNSPDASGHIVGEMRTKTNILSARSNYLSYPTDVPHIRYRDEVKVGLSQDDNSKQYRRPDQFTAFSNFNGQSSEHLETAKGANYMDEITPISRQWCFDNRGPSLPRGLDYGDEIPSLSSKKCNGNVASSYSRIWDYSAETSSVRQCYGDKIPSLSHHWHYRDKIPLHSGQWCHDAEARPLSNYQQGASYGNGRLRDNISRGGRNEQVNIISTRRTMTKPRVANKVVSSTDHYKINKDNLWRNSEDIRDQVRGPRANKLNNSSTSSIKNNAIPPLVCRDQINRPEFTVQYEHAKFFMIKSYSEDDVHKGIKYNVWASTPNGNNKLDAAFHEAQILMKEQGKRCPIFLFFSVNTSGQFVGLAEMLGPVDFKKTMDFWQQDKWNGFFPVMWHIIKDIPNRFFKHITLENNEGKVVTFSRDTQEIGLPQGLEMLKIFKAYHQGTSILDDFYFYEEKENMRHAQKGRNLETTNQDLFSDDFRSISVENLEASMQSLSFYETWE >ORGLA05G0004100.1 pep chromosome:AGI1.1:5:232318:234382:1 gene:ORGLA05G0004100 transcript:ORGLA05G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTRIGVWPWIQNKVVDPLMQVVRRGAEPKQLAFSAALGVTLGIFPICGTTVILCGVAIAMLGSRCNAVTVMVLNFVVTPLELSLIVPFLRFGEVITGSGHFPLTSDAFKKVITGQASKDVMLSIVHAMLGWLIAAPFALAALYMVFIPCFKLMVDRFGGVPSSPRTPIKLV >ORGLA05G0004000.1 pep chromosome:AGI1.1:5:222563:228005:1 gene:ORGLA05G0004000 transcript:ORGLA05G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin folding cofactor E / Pfifferling (PFI) [Source:Projected from Arabidopsis thaliana (AT1G71440) TAIR;Acc:AT1G71440] MAAVAFRLGQRVHAAGDPARVGTVRYLGPVDGHPGDWLGVDWDAGAGGRHDGSLAGRRYFVAAGERSASFARPTALSAGITLPDAIRNRYRVEEFTKEEQDEMYVFSTSQKRVSVELVGKNKVEEKLKNLNDLTSASVSYMGVSSIGPGDELKNLVPNLRQLDLTGNLLSQWQDIFSLCEALASLEILDLTNNTMENDFTDSSSVKNIRVLVLNYCGVTWELVEKIKVSFSCLSELHLMTNRIKAMMTPVGNIVQGFNTLRLLNLEDNHIDSWDEIVKLSYLRSLEQLHLNKNMLKHVTYPSNPPPLGSLGDAAVLPFEKLRVLLLGSNQIDEVLSVDSLNLFPSLTLWLSTPILISTFLKDVRLSDNPVADPAKGGVPRFVLIARLGKVKILNGSEISPRERREAEIRYVRLVMGKAESYDPEEIKRLHPRFAELKAFHGIEDEKPSSRTSGPQKMASGLISITLKCVGPSMGEKQPLTKKLPPATTVGKLKSLCESFFKLKDVKLRLYLEEEGCPLPQFFEEDTVSLMELGIGTGSTIIVDEES >ORGLA05G0003900.1 pep chromosome:AGI1.1:5:218774:221742:-1 gene:ORGLA05G0003900 transcript:ORGLA05G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEYDYLFKLLLIGDSSVGKSCLLLRFADDSYIDTYISTIGVDFKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDMESFNNVKQWLSEIDRYASDSVCKLLVGNKCDLVDSKVVDTEEAKAFAESLGISFLETSAKESINVEEAFLTMSSDIKKRMATQPAMERKPTVHIHMRGQPIQQQNSSSSCCSS >ORGLA05G0003800.1 pep chromosome:AGI1.1:5:216247:218215:1 gene:ORGLA05G0003800 transcript:ORGLA05G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRRAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLHVEGKIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVSKPTTFDNISRWLKELRDHADANIRIMLVGNKTDLKHLWAVATDDAHKFAEAEGLSYIETSALEATNVEEAFQLILGDIYRAISKKPVASDEGGAGAAGGVKEGKTINVAAGDANSEKKQCCST >ORGLA05G0003700.1 pep chromosome:AGI1.1:5:200101:209742:-1 gene:ORGLA05G0003700 transcript:ORGLA05G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine S-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G49810) TAIR;Acc:AT5G49810] MGSAGVEDAAAVAAFLERCAPSGDAAYGELKAVLGRLHEPTTRRAARAFLTALRPFCSGGDSLARHGFRIHDLSLLHCAHDHQFSGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVAELGCGNGWISIALAEKWSPSKVYGLDINPRAVKIAWINLYLNALDDDGLPIYDGEGKTLLDRVEFYESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIVTENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPMGIMIFNMGGRPGQGVCERLFRRRGFRITKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPVCARTAWAYMKSGGRISHALSVYSCQLRQPNQVKKIFEFLKDGFHEVSSSLDLSFDDDSVADEKIPFLAYLASFLKENKYNPCEPPAGCLNFRNLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALRLFSPALAIVDEHLTRHLPKQWLTSLAIEGKAKDTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFENLLSVTKDVGSRLFIDISEHLELSSLPSSNGVLKYLAGKTLPSHAAILCGLVKNQVYSDLEVAFAISEDAAVYRALSQTIELLEGHTSQISQHYYGCLFHELLAFQIADRHPQQERLPAEVIPQKMIGFSSSAMSTLKEAEFFIPDSKESSVIHMDLDRSFLPVPSAVNASIFESFVRQNITESETDVRSSIQQLVKDSYGFPADGCSEILYGNTCLALFNKLVLCCIQDQGTLLFPLGANGHYVSAAKFVNANTLTIPTKLESGFKIEPRVLADTLETVSRPWVYISGPTINPTGFLYSDSDIQELLSVCAKYGARVVIDTSFSGLEFQTDGWSRWNLERCLSAVNCPKPSFSVALLGELSFELTAAGHDFGFLILNDSSLVDTFHSFPSLSRPHSTLKYTFKKLLGLKNQKDEHFSNLIMEQKDTLKSRADHLIKTLEGCGWDVAGSHGGISMLAKPTAYIGKTIKVDGFDGKLDGCNIKEAILRSTGLCINSSSWTGIPDHCRFSFALESSEFERAMGCIVRFKELVLGSKASHQINGN >ORGLA05G0003600.1 pep chromosome:AGI1.1:5:195896:198162:-1 gene:ORGLA05G0003600 transcript:ORGLA05G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADGVAVARQAELRRIEGNSCFNKARLGAAIDCYTEAIALCPDVAVYWLNRGLCHFKRKEWAKVEEDSRRALALDDTLVKGHYLLGCAMLEKEQCALAIKEFNKALDLLKSSNLGDKMAEDIWQVLAKAKYQDWEIHSTKRVWKMQSLKEACENALQEHHFLSGTLVGDGSTNEYTDQLKLLSEVFTKATIDDTPTDVPDYLCCQITFEIFRDPVITPSGITYERSIILEHLCKVGNFDPVTREPLKEHQLVPNLAIKEAVQAYLKNHSWAYKLN >ORGLA05G0003500.1 pep chromosome:AGI1.1:5:192193:194104:-1 gene:ORGLA05G0003500 transcript:ORGLA05G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 2 [Source:Projected from Arabidopsis thaliana (AT2G39990) TAIR;Acc:AT2G39990] MAAAEGPVLLFPSTSSTSARVEAVVVFNICDSYVRRPDQAERVIGTLLGSVLPDGTVHVRNSYVVPHNESPDQVALDIEYHHNMYASHHKVNPKEVIVGWFSTGFGVSGGSTLIHDFYSREVQSPIHLTVDTGFTRGDASIKAYISSNLSLGDRHLAAQFQEIPLDLRMLEAGKVGFDILKSTIVEKLPNDLEGMESSMEKLYVLIDEIYKYVDDVVEGRVAPDNKIGRFISDAVASMPKLSPAAFDKLFNDRIQDNLALVYLSSITRTQISIAEKLNTAAQIL >ORGLA05G0003400.1 pep chromosome:AGI1.1:5:189006:190211:1 gene:ORGLA05G0003400 transcript:ORGLA05G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLIVLFKAVGAKLLPSEQLANLITPSPSTYIYPHTHIVQDKLRQNRSEVEMVRAIGPLLLLAHVLVFVVSIAAAAAPVRRPRCDAGDRAALLAVKAAFNNASYFQSWTPDIACCHWYGVDCGGDDYDYDPTDGDRVLSLAIIRDDNVTGGIPGDAIARLTRLQELMFFKVPGVTGPIPAALATLTALRELTISRTALSGSIPSFIGDKFTALQSLDLSFNSLTGAIPASLAKPPKLISIDLSRNQLTGSIPRLLLSKAGQQAFLTLSHNNLSGRIPAAFGAVNFVQIDLSRNQLTGDASMLFGSGKKELGSVYLSRNALSFDMSELRLPERLSFLDVSHNAIRGGIPAQVANLSNLQLLNVSYNRMCGEVPTGGNMARFDAYCFQHNKCLCGAPLAACH >ORGLA05G0003300.1 pep chromosome:AGI1.1:5:183397:187727:1 gene:ORGLA05G0003300 transcript:ORGLA05G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGEMSVSNPLRFQGFPCNLGKRNGFIAARSSGLRRSQQCFHRHLCWPGVRRASVPNVRLLPTPGALVSRGLDSSLVHKSDNASEAGVIQLYRIPYLQDSETIELLRQVQAKVSSNIVGIKTEQCFNIQLDNALASEKLATLQWLLAETYEPDKLQAQSFLEEEVARNPYSVIVEVGPRMTFSTAFSTNAVSICKSLSLMEVTRLERSRRYLLCLDPGYGPLDESQLNDFTALVHDRMTECVYPKKLTSFHSDVVPEPVRIVPVIERGREALEEINVKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPRTLFQLVKSPLKANPDNNSVIGFNDNSSAIKGYPANQLRPTVPGSTSPLSVMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRIEGAYAPWEDPSFSYPSNLASPLQILIDASDGASDYGNKFGEPLIQGFTRNFGTRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGESNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCERERVSMAVIGTINGCGKIVLIDSAAVEHAKLNGLPPPTPVEDLELEKVLGDMPQKTFEFKRVSVVSEPLDIARGVTIMDALKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPTKGLLNPKAMARLAIGEALTNLVWAKVSSLSDVKASGNWMYAAKLDGEGADMYDAAVALADCMIQLGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGKDGVLLHIDLSKGKRRLGGSALAQAFDQIGNDCPDIDDVLYLKKAFEAVQELLGERLISAGHDISDGGLIVSVLEMAFAGNCGVKLNIDSEDSSLLQALFAEELGLLLEVHLKDLSVVKQKLQAGGISANVIGKVTASPDIELVVDGRLHLKEKTSDLRDIWEETSFQLEGLQRLKSCVRLEKEGLKHRTSPSWSLSFTPKFTDEKLLTASSKPKVAILREEGSNGDREMAAAFYAAGFEPWDITMSDLLAGKSSLEDYRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQNFYNRPDTFSLGVCNGCQLMALLGWVPGSDVGGSLGSGGDMSQPRFIHNESGRFECRFTSVSIGASPAIMFKGMEGSTMGIWSAHGEGRAFFPDENVLASVVKSNLAPVRYCDDANNITEVYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWSPKDWQLEKSGPSPWLRMFQNAREWCS >ORGLA05G0003200.1 pep chromosome:AGI1.1:5:180324:181346:1 gene:ORGLA05G0003200 transcript:ORGLA05G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVVLLLLAVAALSCTSAAASGPSCHADDSAALLAVKAAFNNASFFEYWTPEFPCCDWYGVDCGDDYLPSDDRVISLAITRDDNITGTIPGDAIAGLTRLRDITFFKVPGITGPIPAALANISGLRVLTISHTAVSGPIPSFIGDKFTDLGILDLSFNSLTGAIPASLAKPPKLNSIDLSRNRLTGSIPRLLLSKAGQQAFLTLSHNNLTGRIPAEFGAVNFVQIDLSRNQLTGDASMLFGSGKKELVSAYLSRNALSFNMSQLQLPEELNFLDVSHNSIYGSIPAQMANMTDIQLLNVSYNRLCGEVPTGGNMPSFDAYCFQHNKCLCGAPLSPCTH >ORGLA05G0003100.1 pep chromosome:AGI1.1:5:171127:172056:1 gene:ORGLA05G0003100 transcript:ORGLA05G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMVVVLVLAAAGAAAATTKKKECNAGDKAALLAIKKALGDPYHFASWTPDNLCCEWYDVTCDDTTDRVVGLSVFQDANLTGTIPDAVAGLTHLRTLTWHHLPQISGPIPPAIAKLNRLSLLIISWTAVSGPVPSFLGGLKSLTLLDLSFNSLTGAIPPSLAALPFLSGIDISRNRLTGPLPPALFSKLNTTQQGGAYLRLSRNNLTGGIPAEYGGVAFEVMDLSRNALSFDMTGLRLQEGVSSLDLSHNMLYGGVPAQVAGLSSLQDFNVSYNHLCGELPAGAARFDQYSFFHNKCLCGPPLPTPCN >ORGLA05G0003000.1 pep chromosome:AGI1.1:5:167333:168361:1 gene:ORGLA05G0003000 transcript:ORGLA05G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLLLLLLLSVLLLSAASMAKDDDEQQQKVQCHEDDEAALLAIDDALGNPYNLASWTRNTSCCDWYDVDCDQDSGRVVSLSVFQDTNLTGAIPDAIANLTHLRTLLLHHLPSLSGPIPDSLAALTDLTHLTISWTAVSGPVPSFLANLTSLTMLDLSFNSLTGLIPPSLAALTNLSAINLSRNRLSGPIPPGLFSNLQLQDDDDEVYLRLSHNNLSGSVPADLLAAANLALVDLSRNALTGDASAVFRRARYVDLSRNGFVFNMSGVEFAEETYYVDVSHNAIRGGIPAQVANLTNLQTFNVSYNKMCGAVPAMPRFDAYCYQHNKCLCGAPLATACRRR >ORGLA05G0002900.1 pep chromosome:AGI1.1:5:159433:166206:1 gene:ORGLA05G0002900 transcript:ORGLA05G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT1G22860) TAIR;Acc:AT1G22860] MGSNTPPSRAALEPFATLDPAALAGLPASSPLTVRSAAISSPYLYLGTGGGKLLLFTLDSPSPDFLRLLPIGPTRPVSAILPLPAVARLLLLADGLLLLADPLLSRPVRRLGSVRNVAAVAARASESDDDRPSCSLAVSVGKKLLLVDLTLHDADELEVRTRDIALVDGVKALAWVGDSVFVGTASGYSLFSTTNAQGGDIFTLPESSRPPRVKPLSGGDEVMLLVDNVGVVVDRSGHPVGSSFVFNTTPDCIAEVYPYVVVAGDSKVDVYRRKNGAHLQAIPFARPGTGGLIVASEDAGIGSDVVVIATAYKVFCYRKVSAVEQIKALLRRKSYAEAISLLQEFEADGEISNDMISFVHAQLGFLLFFDLRFEDAVNHFLLSETMQPAEIFPFIMRDPNRWSDLVPRKRYWGLHAPPKPLEEVIDDGLVTLQQALFLKKAGVDTVVDDDFLSNPPSRADLLEVAIRNIIRYLCASREKDLSSSEMEGVDTLLMYLYRALNLVDDMEKLASSQNSCVVEELESLLDDSGHLRTLAFLYGSKGMCSKSLGIWRILARNYSTGLWKDHAILPETDSLETSVGKMSGEEIAAVEASKILQASSDQDLVLEHLGWVADIDQELATAILTSEMREKQLSPEKVVAAIDPEKVGIHQRYLQWLIEEQECDEPHYHTSYALSLSRSAMDAVCLGSNNEERNNKEFDSDMQFIYLLRERLQFFLQSSDLYDPEEVLDVISESELWLEKAILYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLDLYLDPKNGKGPMFTAAVRLLHNHGESLDPIQVLERLSADMPLQLASDTILRMLRARVHHHRQGQIVHNLSRATNLDARLTRLEERSRHVQLTDESICDSCRARLGTKLFVMYPDDSVVCYRCYRNQGDSASPHGRNFRKGGIFKQSWLVSR >ORGLA05G0002800.1 pep chromosome:AGI1.1:5:156435:156779:1 gene:ORGLA05G0002800 transcript:ORGLA05G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLNTIWVRFALLIPAVWELTWFNLCLLDIGNAHGLGVEALRMLMQMVLTNEEELKLKYFKDDLSTKLCPVEAFLKAVLDVPFAFKRMDAMLYVANFYLEVNQLRMSYATLEV >ORGLA05G0002700.1 pep chromosome:AGI1.1:5:154141:155475:1 gene:ORGLA05G0002700 transcript:ORGLA05G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMPSSSPPLFFSLFNLMLLLLLLAPYCSAVSVPNNNTHHRSSSPTQTTLQQLHSPDSPPPPLPSPTVTTPTPPPPPPAPRPPRRHHRIPPPPPPLLPTPPPPPASISPTPAPPLPPPPAPAPPPTPTPKFPSSANPSPPDAYPFTNYPFFPNFAAPPPPTQQQQQQPSGDGGLPTFPANISTLVHPTQRPPRRFPVLQALLLSFLSLCLLLLSALLSLHLFRRLRHRHHSHSHPNARSPSSRSGATNHHHDDDGDGDEEGRRLKPPPMPTSSSNPSTEFLYLGTLAAPPQQPPPTTSHLRPGSPELRPLPPLPRVGPPSGEFASRSSASDPSTAPPAAAEASSSSLSPSSPSASSPTLGSSPVHLRPPSIPQPRARAPNPSPPKRRPQPPEPMAAHAWNPFVPMPPQAPPSEEEEEHSPSEKSMRKSRPLHSDKLKPGSLQ >ORGLA05G0002600.1 pep chromosome:AGI1.1:5:148152:148346:-1 gene:ORGLA05G0002600 transcript:ORGLA05G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGSLAPKTKNFVVAGGLSAFVLGVYYYTMRAVGGTDELQVAIDKFEDMKKKDAGNSSTAGS >ORGLA05G0002500.1 pep chromosome:AGI1.1:5:142664:145870:-1 gene:ORGLA05G0002500 transcript:ORGLA05G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGNVAILVGSGILGSVLVGGDAKLPSAGEVLSGAAKFVKKHGNEGKDTSSNTDTHTAQLLSQVNHLRQEIQSLGSRPVTVVTNAARSGPGTFTITAVVVAGAVGYAYIKWKGWKLSDLMFVTKRGLSDACNVVGSQLDKVSDDVTSARKHLTGRIDRVDISLDETQEIIEGTRDEVTVIHGDLSAFQEDLQSVNLVVRSLESKLVSLEYTQDHTANGISDLVEFTQKATIRQVPAASVPPAIGSSERVVRRVSSLPQSTALPALPTTTPAAEPSPRAEVPQEEQWGFVSKTSSSREGLGRLQQQRSVVTRTSSMREGPPESSNGASSSTGASTGRNTSTGTNTSTGRFGGLRLPGLGFLASSTS >ORGLA05G0002400.1 pep chromosome:AGI1.1:5:138292:141777:-1 gene:ORGLA05G0002400 transcript:ORGLA05G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPAALSARSKVQAFLEAARAGDLDSLKKLAAALDEEGKGVAAVAAAVKDANKRTALHFAAREGRTHVCHFLISDLALPVDPKDDDGETPLIHATRQAHLQTVTYLLHHGADPSVASSLGATPLHHAAGIGNLDLMKLFLSKGVDVESESDAGTPLIWAAGHGQQEAVKLLLQHDAKPNTENDDGITPLLSAVAAGSLPCLDILIQAGADPNIRAGGATPLHIAADGGNMEIINCLLKAGSDPNACDDDGLKPIQVAALRNNREVVELLLPLTSPIPGVLSWSIDGIIENTKSVEEKAQVKEATTQKADRLQIPQLVEVSSEAKERSLEAKSRGDDAFRNKDYLVAVDAYTQAIELNPNDATLHSNRSLCWLRAGQAERALEDARACRALRPDWAKACYREGAALRLLQRFEEAANAFYEGVQLEPENGELVSAFREAVEAGRKFHGTDKKQKADAST >ORGLA05G0002300.1 pep chromosome:AGI1.1:5:136285:136827:-1 gene:ORGLA05G0002300 transcript:ORGLA05G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:copper ion binding [Source:Projected from Arabidopsis thaliana (AT4G12340) TAIR;Acc:AT4G12340] MGDYTIQISTKLIDQLARDDEKIKKKTRKPKPKKTVKQHQQEPQDNSRELPASEPKAPPGWPLQPPMYLPVTPAPPPPPPAFSELEAIRAVLEESEKVQEKLDKQHAGMRDELIKKSKDLRDKEFKLPYQNPTPCTEERSNCRQCYVSNAQDPLKCAEAVKRFEACVRLARQRGNTKVAQ >ORGLA05G0002200.1 pep chromosome:AGI1.1:5:133829:134038:1 gene:ORGLA05G0002200 transcript:ORGLA05G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVIAVVLFVLLSPGLLIQLPGRHHFVEFGNLHTSAMSIVVHSIIYFALITLFVIVIGVHITTD >ORGLA05G0002100.1 pep chromosome:AGI1.1:5:130280:130498:1 gene:ORGLA05G0002100 transcript:ORGLA05G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWGPVLISWVLFILLSPGLLFQIPGKCRLIEFGKFQTSVVSILVHTILFFALDAIFLVAIGVQINLGSSP >ORGLA05G0002000.1 pep chromosome:AGI1.1:5:126153:128599:1 gene:ORGLA05G0002000 transcript:ORGLA05G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:I1PRM2] MAAATSFATLAIARPAAERALLASKTPSPLLSIRTGTGTARLPSSAVFGGFTPALSAAHSRARFVSSATADPKEVDLQSKITNKVYFDISIGNPVGKNVGRVVIGLYGDDVPQTAENFRALCTGEKGFGYKGSSFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDGRHVVFGQVIEGMDIVKMIESQETDRGDRPKKKVVISECGELPVV >ORGLA05G0001900.1 pep chromosome:AGI1.1:5:123025:125107:-1 gene:ORGLA05G0001900 transcript:ORGLA05G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQWRSLLFLLLVPFAAAAGQDAPFVVAQKKVALSRPGPGVERLAVTLNLYNQGSATAYDVSLNDDSWPQEAFQLISGTTSKIVEKLDPGATASHNFILETKVQGKFQGSPAIITYRVPTKAALQEAYSTPMFPLDILAERPPQQKFELAKRLVGKYGSLVSVVSFVGVFIYLVASPSKSTAAKGSKKRR >ORGLA05G0001800.1 pep chromosome:AGI1.1:5:119813:121896:-1 gene:ORGLA05G0001800 transcript:ORGLA05G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCGQLEHEHEQQLHQSSSSSLLPYCFLSAPEPLPVPGFPAGCSNLEEKAAAAMAAYEYESSSCSSLDPTSMPMVYSPIVLQPQECPLSFVFDNAAAAAGDNKWVPGIQGSCPCSLGSTQDMDASWGKSRKHKRSNVGLKGLEEKKARRVVLHQHDDDVKKKAKEAAGGEPPAGYIHVRARRGQATDSHSLAERVRREKISERMKMLQSLVPGCDKVTGKALMLDEIISYVQSLQNQVEFLSMKLASLSPMMYEFGPGIDMHPDVLRQLAKMPHEMVQCMGQMGSTGISLQGLGGGPTGFAQDGSSHMNMVVMQVGEQGQQQGSLHQVEMSSHCFFH >ORGLA05G0001700.1 pep chromosome:AGI1.1:5:115013:117723:1 gene:ORGLA05G0001700 transcript:ORGLA05G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKNQEPPPSIQDATDRISKRGDSVDDKIKKLDAELARYKDQIKKTRPGPAQEAIKARAMRVLKQRRMYEGQRDMLYNQTYNLDQVAFASEGLKDAQQTMTAMKAANKELKGMMKTVKLEDIDSLQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESNSVPSYLQPDKESDLDSELNLPAAPTGHTPAPPNRQQEDELGLPTVPQASIRS >ORGLA05G0001600.1 pep chromosome:AGI1.1:5:101165:106728:-1 gene:ORGLA05G0001600 transcript:ORGLA05G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGAIDRRHLSPFTPTSDDSSSSFFSQDLVPTERQVGFWNSESMVDHKGSKSVFASPLEKIQPNGANHAGGPETPGGQAFKGLDILSLSNLMRQENASGSPSLSWGEILTNPISRLGLSTRETAFVDPTTADQHVPGYGKGLSSSSLSEVFSGKSREIVSGVLCQSTGTHTSIYDGDEPLESMEAIEAQTIGDLLPDDDDDLISGIADGFEFTGMSTNQDDADEDIFCTGGGMELENNDSIKGDKVQDGSFKSQISSGHSINKQPSRTLVVRNITANIEDSDLTVLFQQYGDIRMLYTSFKHHGFVTVSYYDIRAAQNAMRALHSKPLGLMKLDVQFSFPKENVPGKDIDKGMLVVSNIDSSISNDDLLQMLSVYGDVKEISSSPISCTKKFVEFYDVRAAEEALHDLNKGGISGPKFKVELSQHGEAGSCLRQQHSREWKQDSLPHQPKNSSPGTIGKLGTKCQDNRTVHNLFSPVNQQLESPTQCISTTGPQILSSPIRIKSTLQHNNQASVGDLSGPLGQGNFGRGIQTLHPRSLPEHHNRICNNSKSMTVSGRNASSRQDGVDHNIQKVGPAGFCGHSFDQNNEAFGFTEIGSCPLHGYHYTWNHTNVFPQSPSAPILWSNLQHPMHVHSYPGVPPHMLNTGSYPMDQHHLGSAPDNGGSFGNVHSFHPGSLGSIGLHGSPQLYPSELSAFASSRGNFREAMFSPVGGGFQSLQQMCNAINGRNPMIHVSTSYDATNDRMRSRRHDGNPAQSENKRQFELDIDRIAKGEDSRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTDPQHIIPFYKTFNGKKWEKFNSEKVASLAYARIQGRSALITHFQNSSLMNEDKWCRPMLFHKDGPNAGDQEPFPVGNNVRSRAGRNRSLISLDTKDASPSSSPDQESNSVGTANSTCRTTLEQT >ORGLA05G0001500.1 pep chromosome:AGI1.1:5:95409:99932:1 gene:ORGLA05G0001500 transcript:ORGLA05G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPCDGDGVCMVCRVASPPEVDLLRCSTCATPWHSPCLSKPPALADAAQWSCPDCSGDSTDAPPPAPAPLAPGSAGQLVAAIRAIECDATLSDQEKARRRQELLGGAAPAGADADDDEGDDVLEVIGKNFSCAFCMKLPERPVTTPCGHNFCLKCFQKWIHSGKRTCGKCRAQIPAKMAEQPRINSALVSVIRMAKVSKNANSAVSAAAYHYIRNDDRPDKAFTTERAKRAGKANASSGQIFVTIPPDHFGPILAENDPKRSIGVLVGDTWEDRLECRQWGAHFPHVAGIAGQSTHGAQSVALSGGYVDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSSDQKFEKLNAALRISCLKGYPVRVVRSHKEKRSSYAPEAGVRYDGVYRIEKCWRKISVQGKFKVCRYLFVRCDNEPAPWTSDIYGDRPRPLPKVDELKGATDISERKGTPSWDFDEKEGWKWVKPPPISRKPNLSGDPATDKEIRRVARRAQMSVAERLLKEFGCSICKQVMKEPLTTPCAHNFCKLCLVGTYGSQSSMRERSRGGRTLRAQKIVKKCPSCPTDICDFLENPQINREMMDLIESLQRKAVEEGDTKTSSDISNGAESSGDDGNNEALEKGEDDSSLKDDGSLKDDGKVVKAVVVIKEEDLQPKKSKGEDEKEQGDKKMDSADVVDIAVEKKQATKRASEKAEKKQARKRKGDAVATNDGKRMKTGGDAMETAAEEDAPLSGGTPVKRNSRKSSEVDAKGGGGSPVVSSPRRVTRSSAKASGEADGSPATRTRRATRAEA >ORGLA05G0001400.1 pep chromosome:AGI1.1:5:89967:90993:-1 gene:ORGLA05G0001400 transcript:ORGLA05G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYSTKFSNGGGRLVDPMPDRRSRFWQMDSQPTELICPMPRRPSPRPPFLSDSINRTLPVYRADSASDVLDLILSKNDPDVDTDSSTQVGFFCGSPPVRANNPIVHDPQFGKNIPSFSPLGSSFGKKSAGRAEVGSPSCGASSPKVRIEGFACGNKEPHCAVTFA >ORGLA05G0001300.1 pep chromosome:AGI1.1:5:88231:89010:1 gene:ORGLA05G0001300 transcript:ORGLA05G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39810) TAIR;Acc:AT4G39810] MNNMRTTMRRPEMVFFDVETTAASADEGQRSVLEFGAIVVCPRRLVEVDSYHTVIRPGDMSAVSKRFAAMVDVDVASAPSFDQVAERIFGVLDGRVWAGHNIQRFDCHRIREAFAAIGRAAPEPVAIVDSLNVLAHDFGRRAGDLKMATLASYFGIGKQSHRSLDDARMNLEVLKRCATLLLLESTLPPGMLHSSAAGAITRKRSNHQEEPSSSSLVNVTPSKRKQRQGSGGKIRPKAATTTPKPCFHMILRHSRTILR >ORGLA05G0001200.1 pep chromosome:AGI1.1:5:84975:86943:1 gene:ORGLA05G0001200 transcript:ORGLA05G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELARMIILHEYPLSIMEHYGFQRFVRALQPLFQLVPNSSLKKDVISIYETERVRTREILWEAKGRIAITADIWTSDDQNRGYVAVRAHFIDQSWTFQCRLLSFIHVPWPHTAQAISETLSSCLNEWNLGRRVSMVMADDCSTDDTVTGLLKQRLDTEQLLLGGSLLNMRCCAYVLSRIANSCLGVMGGAVDRIRASVDFWTATTERDVVFADVARGLNVNLDKKLTLDCNNRWYSTLSMLNVAISYKQVFDHLRQIEEQYICAPDDDDWKLAKEVCSKLKLFSDIAELISEAKYVTANMYFPKICGIRMAMRRWPGCEDELIGRMRNEIAELFEKYCVEVHDLMAVATVLDPRYKLELLQFYFPSIFGDEAYNEINRVRQLCYDLIKQYQGPQSKVGAMQPCGGEEEGPDQLSSFDLYIAFKFSSADVKSELDKYLDEPVIPRAVDFDIIDWWKIMGKRFPTLQMLARDILAVPVSRVVSESAFSIAGRVLSPQRSKLLPDTLEALMCSQDWLRAENRGAGSSGLLSCLTCLEDADVTTKE >ORGLA05G0001100.1 pep chromosome:AGI1.1:5:81274:82359:-1 gene:ORGLA05G0001100 transcript:ORGLA05G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQMNKGGKLQQYRPVHKEKNQTEEKIATSAVTPQTATQQPTPPPNSRTGMQTNPQRIFCHRCKGFGHMARDCSNVVFCINCAKPTHRTEDCIYDKQPRPMAKLVGYGAPGLGCILIQNTKPDPPKEHNNPLAMISTVFGGELTEAQLEQGFTQQFKWNWVWKAKAMPNGSFQMRFPNKIKFDELANFDYFNVKGTDVQVNVKEWTQESEAVGKLHIVWVKITGIPEEMKGYQALYEIGSNLGPVLEVDMATFRASNVIRAKVGMMELGVLPLKLVLTSPKGLLFQAHFSLEEVVELGWFSDQLDDTFEKIEIQENTLEGSSQREGKQVVVVDPMTEDHHVSKTGNGLLKFVRSKSWCQN >ORGLA05G0001000.1 pep chromosome:AGI1.1:5:57797:58918:-1 gene:ORGLA05G0001000 transcript:ORGLA05G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:jasmonic acid carboxyl methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G19640) TAIR;Acc:AT1G19640] MMHSAAGAMELLAASQEAGGVVVVGMNSGDAGELSYANNSDMQRTIAAATRKERQEMAAAVRRGRRQARAIAIADLGCATGPNALLMAGDAVEAMLGDAERQQEAAPAEFHVFLNDLPSNDFNSVFRQKQKLVVPSNNANSSRCLVSAWPGSFYGRVFPADSLDYVVSSSSLHFLSRAPADAAPNEGRMYVSASSSSSSSSRVLHAYRAQFQADFRLFLSCRAEEVRRGGVLLLTFVARREAVPSPHDCHLWDLLAEAAADDRRLVDSFDAPFYGPCPEELREAIREEGSFQVTRMELFEVSRSRSCQFQADLDQLAAQTSSTIRAVVEPMLGPHFGWDAMDALFRRYTHLLHNYYRHNNDQLTNVFLALHKI >ORGLA05G0000900.1 pep chromosome:AGI1.1:5:48509:50791:-1 gene:ORGLA05G0000900 transcript:ORGLA05G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 722, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G19630) TAIR;Acc:AT1G19630] MNMESLAAGAWWVVVLLLLVLTIVASWYRSWWKTTEAGGPLLPPPAAGAGPWWVWVWQWRETAAFLASHGSGRGFYHFVQERYKLYKGEGEGEATCCFRTALMGRVHVFVSASHPAASQLLTAEPPHLPKRYARTAADLLGPHSILCSTSHAHHRHARRALATTLFATPSTAAFAAAFDRLVIRHWTTLLPPHNQNQVVVVLDAALHISYRAICEMLLGAGGGKLRPLQSDVFAVTQAMLALPLRWLPGTRFRRGLHARKRIMAALREEMAARNHHHHHHHHDLLSVLMQRRQLGHPDALTEDQILDNMLTLIIAGQVTTATAITWMVKYLSDNRLIQDKLRAEAFRLELKGDYSLTMQHLNAMDYAYKAVKESLRMATIVSWFPRVALKDCQVAGFHIKKDWIVNIDARSLHYDPDVFDNPTVFDPSRFDEEGEGDDAKLGRAQQQKRRLLVFGAGGRTCLGMNHAKIMMLIFLHRLLTNFRWEMADDDPSLEKWAMFPRLKNGCPILLTPIHNS >ORGLA05G0000800.1 pep chromosome:AGI1.1:5:44557:46592:-1 gene:ORGLA05G0000800 transcript:ORGLA05G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L28 family [Source:Projected from Arabidopsis thaliana (AT2G33450) TAIR;Acc:AT2G33450] MATMLCSFTPATRAPLLRTSSSSSSLGFATSQLAGLSLGLSAAATTAPSAAGPKLHPILARRICPFTDKKTNRANKVSFSNHKTKKQQFVNLQYKKLWWEEGKRFVKLRLSTKALKTIEKHGLDAVAKKAGIDLNKK >ORGLA05G0000700.1 pep chromosome:AGI1.1:5:42959:43692:-1 gene:ORGLA05G0000700 transcript:ORGLA05G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEISMEKNLEKRSRKRSRYLSPPYTFPFTTVTVQDDVSVSDSDQSEDLTNVAVADMLSALHAAALLDMDAANVHLLRRFFTLHKTTSPSSSSTRINAQAEFNPSSSRQKEEETTSKTKKKKKKEAAAAASTPTTTIRLPLTDVRNNLQKMISSLLGRSPTATATASHDHGAKLALAGEMRGLLAKVDKMLSATSPANRH >ORGLA05G0000600.1 pep chromosome:AGI1.1:5:38707:42367:1 gene:ORGLA05G0000600 transcript:ORGLA05G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDPNPTTTPPSQQLQGVVAGADPQPQSQQDAAANATPAAVAVREDYVQNAVKFLSHPKVRGSPVLYRRSFLEKKGLTKDEIDEAFRRVPDPQPSATATAAASPSPSQHPNNQNHSSTVVQPYAPRQPATPAGSIIVATQPKFSWYRAFVAAGLLLGFGVSAAVFVKKLLLPRLKSWIRKVVAEGDENEGRQIKSKIDEETAEAVKASASAVSAIAKTNQELLASKDEEKKILVTLTQALDSQAKELKSLCESLNHSRDSINITREDRFSQYRALEEHAPSAARNGPVNTPWRASQQTNMYGVPNSDFGSGRPSFASTHNEATPGSFSRSYVETSAAHRGDNRSSGSKPWEMQQYSQQRIGYGSNSQLSDDGCPETQDNYGGGPSYSYHQNQNQNGKAPAPDIQAEEARPSVYISGAEERSPPPPPQRRWVPPQPPGVVMPEAVAAIRQPKSLAKQPSSEASQEAASETHANGASSSSPLPEEALVNGSDAGRSEIEEQAEAI >ORGLA05G0000500.1 pep chromosome:AGI1.1:5:34292:34792:-1 gene:ORGLA05G0000500 transcript:ORGLA05G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLEQVARAVAEAVVRMTAEVSASSLAAVVVVLLVASGYLEIKRRCGALPAAADRAAAPPQDDDTMSMMTREEAAAQEDSNCSASALAQCNSICCSLSASTFRSGGSRNDDDNHSDVSTSESDDSSSSDSAISSPAADLGRSPSLSDWLAPSSPLATSSSSADL >ORGLA05G0000400.1 pep chromosome:AGI1.1:5:23378:31142:-1 gene:ORGLA05G0000400 transcript:ORGLA05G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADELGRRLAAVAVSDSDSSNNNLFQVMRAVEDAEATIRQQLEENSRLKDELMLKTRELDRFRSEATKPTSLADTFSTSPHGTSTCFGTTSSSLNSRTALADQHNGLFHHTDQMLHDAIKLKYLDTDQSNGMPRKLSGEQSAPESRVPSHLSTPSSRSLSPTRHRKEGEYDPKFNLPGQCLLPASEANSTIMWKQDLLAKVKEHEEEIAQLRRHLADYSVKEAQILNEKHVLEKRIAYMRMAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAHQERSTFVSSLLPLLTEYNLQPSVLDAQSIVSNLKVLFKHLQEKLAITEEKLKESQYQLTPWRAESSNSTNIPVQAPSHPPGNAIVTTSKANLDIVPQQAYSHVQSPMSSPVRARRDWDLLGNENHQAIPSEVTAVNTEHENVGTTSPSSSNQIKKDVVAQGTEHDSRAVRFNFESKNQNPSFKDLVRNDVPENLEGAETHISQEPPAQWGLEGSPNLASGVDDANPPYPYLPTVLEEPSSSFSEAADDDPLPAIEGLRITGEAFPGRELQASGYSINGTTSCNFEWVRHLEDGSVNYIEGAKQPSYLVTADDVDSLLAIEVQPLDDRKRKGEIVKVYANEQKKITCDPETKELIKKILSIGHVSYEVLLPVRFLDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQQATAINIPYGRPTEFSILAADGAEYNLKPAENAPSRDTIVLILRLFRMKAVEKSKGRRKGIFFK >ORGLA05G0000300.1 pep chromosome:AGI1.1:5:18901:20438:-1 gene:ORGLA05G0000300 transcript:ORGLA05G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT1G10590) TAIR;Acc:AT1G10590] MADSGARRQPSFTKVDQLRPGTHGHNLLLKVVDSKMVLQRGGGPQGRHMRIAECLVGDETGIIVFTARNDQVDVMKAGASVDLRNAKIDMFKGSMRLAVDKWGIVKAAESPADFTVKEDNNMSLIEFELVTVVE >ORGLA05G0000200.1 pep chromosome:AGI1.1:5:13816:16415:-1 gene:ORGLA05G0000200 transcript:ORGLA05G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSPLSSHHPSSGSGASANVGSSLDPSFKTNYSAGSPKLKACMSDISMGNSRFFTYQELYQITDAFSAHNLLGEGGFGSVYKGHLPDGKQVAVKQLKDGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISNNQRLLVYDFVPNNTLHYHLHGHGRPVLDWSARVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAHVADFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASRPLGDESLVEWARPLLTQAIETGNLEELVDPRLERNFNEAEMFRMIEAAAACVRYSASRRPRMSQVVRALDSLADIDLTNGVQPGQSELFNVANTAEVRMFQRMVLGNHDDSSDMSQYGWSSSRQ >ORGLA05G0000100.1 pep chromosome:AGI1.1:5:8651:12892:1 gene:ORGLA05G0000100 transcript:ORGLA05G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:I1PRK3] MRLSRLYSFACGRRPTAVDDESSSRIGGPGFTRVVNANGGGGIPEYGYRSNSVSTTKYNVVTFVPKSLLEQFRRVANIYFLISACLTYTNLAPYTSASAVAPLVLVLLATMVKEAIEDWRRKQQDTEVNNRKTKVLQDGAFHSTKWMNLQVGDIVKVEKDEFFPADLILLSSSYEDAICYVETMNLDGETNLKLKQSLEASSGLQEDDSFNSFRAVIRCEDPNPHLYSFVGNIEIEEQQYPLSPQQILLRDSKLRNTEYVYGVVIFTGHDTKVMQNAMKAPSKRSKIERKMDRIIYLLLSVLVLISVIGSVFFGIATRDDLQDGRPKRWYLRPDDSTIYFKPTKAAISAILHFFTAMMLYGNFIPISLYISIEIVKLLQALFINQDIHMYHEETDTPAHARTSNLNEELGQVDTILTDKTGTLTCNSMEFIKCSIAGIAYGRGITEVERAMAKRKGSPLIADMASNTQGSQAAIKGFNFTDERVMNGNWVSQPHSGVIQMFFRLLAVCHTCIPEVDEESGTISYEAESPDEAAFVVAARELGFTFYQRTQTGVFLHELDPSSGKQVDRSYKLLHVLEFNSARKRMSVIVRNEEGKIFLFSKGADSVMFERLSSSDCASREVTQDHINEYADAGLRTLVLAYRQLDEAEYANFDRKFTAAKNSVSADRDEMIEEAADLLERKLILLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMTQITITLEQPDIIALEKGGGDKAAVAKASKENVVKQINEGKKRIDGSVVGEAFALIIDGKSLTYALEEDAKGALMDLAVGCKSVICCRSSPKQKALVTRLVKESTGKVSLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDVSIAQFRFLERLLLVHGHWCYSRISAMICYFFYKNITFGVTLFLYEAYTSFSGQTFYNDWALSTYNVFFTSLPVIAMGVFDQDVSARFCLRYPMLYQEGPQNLLFRWSRLLGWMAYGVASGVIIFFLTSAALQHQAFRRGGEVVDLAILSGTAYTCVVWAVNAQMTVTANYFTLVQHACIWGSVALWYVFLLAYGAITPAFSTNYFMLFTDGLAAAPSYWVVTLLVPAAALLPYFTYSAAKTRFFPDYHNKIQWLQHRGSNADDPEFGHALRQFSVRSTGVGVSARRDARDLHLPPPSQSHSHSQTTST >ORGLA04G0271500.1 pep chromosome:AGI1.1:4:26286738:26288964:1 gene:ORGLA04G0271500 transcript:ORGLA04G0271500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAMVSVQLTVAARPLRSRRALSVFTCAAPPRQRPPPGPTKHRRLRHDADAQPPRKRGHPPPPPPRRTRTRGPPARPQQSYTDDDEEEDDQDEEEGSFGGGTRAAAMPKPPAGFVLDDQGRCIAAASKRIVTIIDDTNNRPLECIIRRVFRSTLDHDCMLLCPVDMPVQVLKSANFSGWIAVDDDQIKEIIPSVAYALARVHMHFVESGFCYTARGGFCFPEDAIQEFHDSGDGGDSVPFEGVEICCFNLDGAHYMIYTPVDPLLFVAVKDKDGVLRIAEDELMDDPAVVGAIDEETEFTALVEEEEALLESVLGER >ORGLA04G0271400.1 pep chromosome:AGI1.1:4:26283086:26286455:-1 gene:ORGLA04G0271400 transcript:ORGLA04G0271400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin folding cofactor B [Source:Projected from Arabidopsis thaliana (AT3G10220) TAIR;Acc:AT3G10220] MSSSSSKLHLPADDSVLLLLTHSNLSTFSSDIRVSKQTSVEALKDKLWRKTGTSVAFMRLQLRDDTGAMIADLDHDDATLASYSPYDGYRLHIIDLDPSSITSGGWLEDTSLVEKYTISDEAYNNLDTNFRKFKEKMAFKNPTSDDKQQQSDKHMEELCANIKVGDRCEVEPGAKRGTVKFVGRAEALGRGFWVGVQYDEPLGKHDGMVKGIRFFECPQGHGAIVRPEKVKVGDYPERDPFEEEEI >ORGLA04G0271300.1 pep chromosome:AGI1.1:4:26279368:26281387:-1 gene:ORGLA04G0271300 transcript:ORGLA04G0271300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGEAGTGGGLGNLRALLAILQWWGFNVTVIIINKWIFQKLDFKFPLTVSCVHFICSSIGAYIAIHVLKAKPLIQVEPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKHFEWRIWASLVPIVGGILLTSITELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPAVLLEGGGVVTWFYTHDSIASALVIIIGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISPMNAIGCAITLVGCTFYGYVRHLISQQQAVAPGTGSPTTSQTNSPRSRMEMLPLVGDKQEKV >ORGLA04G0271200.1 pep chromosome:AGI1.1:4:26273676:26278788:1 gene:ORGLA04G0271200 transcript:ORGLA04G0271200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVVEIMAISYPPEDGRYYCSSVAAGDDSPAAHHTNAHDDWDWDWVPPPPADAAAAAAADDDDDDGDPTPAGSPGSRGEDEEERQRAQMVSAMNGQLNMLASRFLASAGVEEEWLEVVTALSWEAALLIQTHACTAGNDMDPASHVKIKCVASGRRRQSQVVRGLVFRKNAAHKHMPTKCHRPTLLLLHGALGLDSHLGFSSFDSMEQDKLILRASISHIIHTCSPNVVMVEKTVSRDIQELLLHHGVTLLLDMKLHRLQRIARCSGAPLLSFSQLLHDCPNHLKHCDYFHIDKFFEDHNTTTTTSAAALNKPSKTLMFLEGFSNPLGCTILLRGASTQELKKIKQVLHYTIFAAYHLVVETSFFEDQRVFLNDTNVDGTPQITHQTSIVSNRSLPTDYDVTCTSRGSLLEYHDGDHKATVPFTNKPDSYTQDEGTAIHCEAPPSENLLSSVSGSLRRFIDIFRYQNIYLPVTSSQDTTGHQNEQDTETSQETASDTLTKDHSCEYMDQLSDLQEQVFAKTNQKMSQPDPFGTEKHQQNVEQYRAGENINSDTDEADDVMDSQSILILLSSQCVTKQVVCEESHLYRINYYGNFDVSLGRYLQDILQNQNLSCSSCGEPPDAHMYSYTHRNGNLTINVRRLLPQHHLPGESEGKIWMWTRCLRCEHERGISKSSRRVLISTEARNLSFGKFLELSFSSHSAARRLSVCGHLVNRDCLRFFGLGSKVAKFQYSSVEIYTACKPQRTLEFHNPDMREWFEQEGRNVLARGVKLFSEVSSLIQHMKIFSEVAINCGDSLPVKEVSQLEEMLIEEKAQFVDSLVKAVDESGMSSSSVNEILGVNCLYQDLLILLYVWDRRFHQIVECKSGRMANCVGKKEAAEFAGEPAATGESAVPFENGYIKEMQYSSETLTDENSRREEQHITKVPSFRVLEGTDTQLINPECGDNRETWIWSPLHELRESYRHELQAGYLERFELVNNYSPSHLSPLHKQSSAEFIVGPGGNVLCISEDEISSIISRALAISEERRHLLLDALMVEGEAAYSRGSESSKMEKSYSSLSETSSASSSWSSIGSSDSDASFSSDDLFSSYDSSLLSSLLHPEVSVNGKSSLKGKYSVICVHANQFYTLRKKCCPSELAYITSLSRCMKWDAQGGKSKAFFAKTLDDRFIIKQIKKTEFESFIEFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKKIRHGKEVKLDLMVMENLLFGHKLSRIYDLKGVVFSRHVSDSNDHGTVYLDQNFVDDMRVSPIYVGGRTKHLLQRAIWNDTAFLTSINVMDYSLLVGVDKEKHEFVFGIIDYLRQYTWDKQLETWVKTSLVVPKNASPTVISPKEYKKRFRKFMAKYFLTVPDDWST >ORGLA04G0271100.1 pep chromosome:AGI1.1:4:26254395:26259671:-1 gene:ORGLA04G0271100 transcript:ORGLA04G0271100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKNASSISFEDIEKSISNWKIPKVNIKEIYHVDNFYEPSISDFNINVIELDVGFVIALEEEEFEIDKELLRREIRLPKNRTKTKRYLEEVDKSFRMKIREVWHNEMREQRRNIFFFDWYENSQIIYFEEFFKTQKNGKGDIRGKNCFPESTYEKSIENNFLGYLEEQQKTQDLSLEQQDSKTIPMEPIILRSHEKPSSHSQFKVSIPQSETYLLPYSYPITTILIPTTTPKDKVAKFFGIPNNFPNTNDQISNSLTRIQASSYGKLMLQKEVSSFYNNIDSSVNRNLESYITRIINTSFYNIINDPKGITRSKFRLFHNVLFSKIYIQPNPNKTLCYHSQTKNSFERKSQNQISAEALCTNNEILVHKQDLFGGIFVISDTNQFGTFNPEEERTERKLKINDLFQEQNYTKKELRDLKGKIRSLELQNNSCMGQDEEVVNSINSYVKQKWYAEVRYKFNDGFQFSYKTLIDSGADVNCIREDLAKDRKILTERLKKKPPAWTAKHTQAVKKIKGNIIPRTPTVQEKYGNNSSYILNIEEVILPLEFGDSDLNIIKIMGKYFPQHXYFIPEYPGKDQNYYETILCETRSAQIFHTRNGDELGFTKLLIQKIISIDDWDKSSNPYVARTIYSTSCANKRYNYWDYQKAWERVLLVQNSQMKHSWFIRFKEGCEEIPLWFFSNWWLKAGAIPEILPQEIIKVITQESKKDLKEYLFILMQFCAETGMPWILKWDLNIQRMEFPATLKRNYYARWWDKFAITPVIEGRKFRAKNKKSHVAQLKEDITRELLKARPELTKGELQLQVYETMFKRLEESPKSSSTCRSLDEDMIQCSQIKPSSPIPHYYSIKQDNDSDEGISDFNPKHI >ORGLA04G0271000.1 pep chromosome:AGI1.1:4:26246739:26249804:1 gene:ORGLA04G0271000 transcript:ORGLA04G0271000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G22610) TAIR;Acc:AT1G22610] MKVGVEILDASELAPKDGAGACNAFVEVEFDGQKQYTPTKPADRSPQWNHTLVFDVRDPSRLPSLPVDVSVHHDRSLTDHHATRPHTFLGRVRISAASLAPSPQDALLQRYPLEKRSLFSRVSGDIALRLYLIANDSPDPPPAPAVHHHQHQPPQSVSAEQPDSRPPPAFPHGEAQAQAQPPPPESESKGKTTHDHEPPRVFRSVPAQAPAPAASQPRRATLHAVAAPPPPPGQTVVMPRPPGPAPGPPPSAFGLVETKPPLPAKMGPRAAVAAAAKIASTYDMVEPMSYLYVSVVKARDLPTMDITGALDPYVEVRLGNFKGVTRHLEKNPNPVWRQVFAFSRDHLQSSQLEVVVKDKDVLKDDFVGRVVFDMTDIPNRVPPDSPLAPQWYRLADRSGEKIRHGEIMLAVWNGTQADEAFPEAWHSDAHSVSLDSLASTRSKVYYSPKLIYLKVVAIAAQDLIPAEKGRPLAPSIVKIQLGGQTRRTRPQGSANPMWNEEFLFVAAEPFDEPLVVTVEERVAAGRDEPVGRVIIPVAAPYVPRNDLAKSIEAKWFSLSRALTADEAAAAEATKLKSSFASKIHLRLSLETAYHVLDESTHYSSDLQPAAKKLRKSPIGILELGILGARNLAGGKSPYCVAKYGAKWVRTRTLVGTAAPRWNEQYTWEVFDLCTVVTVAVFDNCHLTGGGDAKDQRIGKVRVRLSTLETERVYTHFYPLMTLTPGGLKKTGELHLAVRFTCTAWANMLAMYGKPLLPKMHYTHPISVLQMDYLRFQAMQMVAARLGRAEPPLHREVVEYMLDVDSHMFSLRRSKANFKRMTSLFSGAVAVARWMDGICKWKNPVTTILVHVLFLILVCYPELILPTVFLYLFVIGVWNYRRRPRKPAHMDTALSHAEAEQVHPDELDEEFDTFPTSKPGDVVRMRYDRLRSVAGRVQTVVGDLATQGERAQALLSWRDPRATSIFVLLSLIIAVVLYVTPFQVVAVVVGLYLLRHPRFRSKQPSVPFNFYKRLPAKSDVLL >ORGLA04G0270900.1 pep chromosome:AGI1.1:4:26233699:26238223:-1 gene:ORGLA04G0270900 transcript:ORGLA04G0270900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENDDTCGFCGDGGELLCCDNCPSTYHQTCLSDQELPEGSWYCHNCTCRSCGNPLSEKEVSTFSAILKCLQCGDSYHDTCIDQEMLPCGDKQSNIWFCGRYCKEIFIGLHNHVGIENFLDNELSWSILKCNTDGRKLHSSKKIAHMTECNTKLAVALTILEECFVRMVDPRTGVDMIPHVLYNKGSNFARLDYQGFYTVILEKGDEILCVASIRVHGTKAAELPFVATSVDYRRQGMCRRLMDTIEMMLRSFHVETLVLSAIPELVNTWVSGFGFKPIEDNEKKQLRNVNLMLFPGTSLLTKRLDGITAAKSEEDKDAYNVSGLPNGKCLPSRKGNGHLELHDLDLLEAELNTEDATNASFRALKHECGPATWFNHAKLAVGEV >ORGLA04G0270800.1 pep chromosome:AGI1.1:4:26217036:26229902:1 gene:ORGLA04G0270800 transcript:ORGLA04G0270800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALWQPQEEGLREICTLLDAHISPNSDQARIWQQLQHYSQFPDFNNYLVFLLARGEGKSFEARQAAGLLLKNNLRATFSSMPPASQQYVKSELLPCIGATNKAIRSTVGTVISVLFQIVRVAGWIELFQALHQCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLPERPINVFMPRLLQFFQSTHAILRKLALGCINQYIVVMPAALYMSMDQYLQGLFNLAKDPSADVRKLVCSAWVQLIEVRPSILEIFQLLISCVMLDLTLDSKLQPHLKNVTELMLQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPCLIPTLLSNMSYSDDDESLADAEVEDESFPDRDQDLKPRFHASRLHGSETGEDDDDDDAVNVWNLRKCSAAGLDVLSNVFGDDILPTLMPLIQQNLARTDDDAWKEREAAVLSIGAIAEGCITGLYPHLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLEHPNGREQFDKILLGLLRRVLDTNKRVQEAACSAFATLEEEAAEELVPHLGIILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLITKWQQLANSDKDLFPLLECFTSIAQALGPGFSQFAEPVFQRCINLIQSQHLAKVDPAAAGALYDKEFIVCALDLLSGLAEGLGAGIESLVSQSSLRDILLQCCMDEAADVRQSALALLGDLSRVCPIHLHPRLQEFLNVAAKQLNPQCVKEAVSVANNACWAIGELAIKIGKEISPVVITVVSCLVPILKSPEGLNKSLLENSAITLGRLCWVCPDIVAPHMDHFMQAWCNALCMIRDDFEKEDAFHGLCAMVAANPTGAVGSLTFICQACASWNEIKSEGLLSESIMCVVLSTSECSQPIMLGCPTGNLIPYPSIRLSFFLSFHGWRGSQGYDSKEERALKMLLTTPFVSSPVRVQGNGGSGASPWAGAATALRIQAAKQLTGRVVTTKADKTVGVEVVRLAPHPKYKRRERIKKKYQAHDPDNQFKVGDVVELRRSRPISKTKHFLAVPLPPRDTRRKSQLLPPLQSQSQSQDQDQPPTPPPSSD >ORGLA04G0270700.1 pep chromosome:AGI1.1:4:26207782:26210876:1 gene:ORGLA04G0270700 transcript:ORGLA04G0270700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRPLLPNEEEEEALPGVSDFRGRPVYRATSGGWRSALFVAVLELAGSFAYFGVSANLITYLTGPMGQSNASAAAAVNAWSGAACMLPLLGAFLADSFLGRYPSILLACTLYLLGYGMLTVASSVVASKSQVGILYVSLYLVALAQGFDKPCGLALGAEQFDPEHPRESASRSSLFNWWYFSMATGITVSIATVSYIQENVSWGVGFAIPFAVVSCAFLLFLLATPTYRLSSAAASPLLSLRHYKQSSEEARRMLRLLPIWATCLAYGVAYAQIMTLFNKQGRTLDRHIGHAGLELPPAALQTLGPVTIMVSVPIYDRAVVPMLRRMTGNPRGLTTLQRTGTGMALSLAAVAVAAAVEGRRLETVREQRPAMSWAWLVPQYVAMGVADVLAVVGMQEFFHGEMPEGMRSLGLALYYSVMGIGGFISSALISALDGITRRDGGDGWFADDLNRGHLDYFYWLLAGVSAAELAMFLCFARSYAYRNANKGPLLLVVPSNTPVSPCTNHHHA >ORGLA04G0270600.1 pep chromosome:AGI1.1:4:26206100:26206966:-1 gene:ORGLA04G0270600 transcript:ORGLA04G0270600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vascular related NAC-domain protein 7 [Source:Projected from Arabidopsis thaliana (AT1G71930) TAIR;Acc:AT1G71930] MEEEWCCVAPGFRFHPTEEELVGYYLARKVVGQPDDGIIQEVDLNSIEPWDLLQAQQHDEEYYCYFFSYKDRKYPSARGTGTRTNRATAAGFWKATGRDKPVLSSSRSSSSPAVIGMRKTLVFYRGRAPNGCKTDWIIHEYRLVAHHQQPDGSCWVVCRAFHKPTTTTLQHQLHLHRPPPLLHHHGYYDDQYLYPPPAAAGGGGLLCSPALDMELEDEEDESKMMILSNDNIPLVVSPTAVHTQGTGGDIINDATTAPAAAAADHRRHPELLAAGPIDTLLHHHHFTC >ORGLA04G0270500.1 pep chromosome:AGI1.1:4:26194649:26203168:-1 gene:ORGLA04G0270500 transcript:ORGLA04G0270500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDB1-CUL4 associated factor 1 [Source:Projected from Arabidopsis thaliana (AT4G31160) TAIR;Acc:AT4G31160] MAAAAAAAAAAPAPATEEDEEALLTRVQTIILRVVELEDNPNPRLLHTLATICEAHEARYAQECANSPSYNNTNARNSHTIGKLANLLRENDDFYELVFCKFLSDNSYSAAVRSAAARLLLSCYSAWTPQYPHAFEDAIVENIKKWVTEDGGASNECESKHLGKNNKPTDADMLRTYAIGLLAMALCGGGQLVEDVLTMGVSAKLMHFLRVRVHGDVACAQKDSNIPLDTKHPRSRDENRSKSRLVQDSSRLDGMRSGDGISIDPTSENCDNVMGMRHAHGERWIDDAASLQPERADSSLDLFDAMEAGATNDRTYSASICDTKSRVGERLSALRPGRDEEMNENTRDDLLKRKLSRTGSRLRGKSKAGESLPESERTPLSPTSGLRIGTRTSREKNMVRIEDANKAIDVNNSSPGIEPFNAISKEEYEDRFKDCIIGLKDISDIVLKAVRAAEAEARSANAPDEAVKAAGDAAAELVKSAASEVWKTGNNGDAVVLAAEKAAATVVDAAMSTSVSRSNQVGEEHVVEEPVQISEDHELEDFVITDHGQLLQLREKYSIQCLQILGEYVEALGPVLHEKGVDVCLALLQRSIKDQGGHGHFTLLPDVLRLICALAAHRKFAALFVDRGGIQKILSVPRIAQTYTALSACLFTFGSLQSTMERICALSSDTLNNVVELALQLLECPQDSARKNAAIFFAAAFVFKAILDSFDAKDGMQKVLGILHGAASVRSGGNSGALGSSNVNQGNDRSPAEVLTASEKQVAYHSCVALRQYFRAHLLQLVDSIRPSKSIRSIARNTSSARAGYKPFDIGNEAMDAVFRQIQRDRKLGPALVRARWPVLDKFLASNGHITMLELCQAPPTDRYLHDLTQYAFGVLHITTLVPYCRKLIVHATLSNNRVGMSVLLDAANSFGYVDPEVICPALNVLVNLVCPPPSISNKSSSTGNQQPAATQAVGGAFSENRDRNAEKCTTDRNLTANQGESRERCGDGNTSQQGNTVQISTPVVPSGVVGDRRISLGVGAGGPGLAAQLEQGYRQAREVVRANNGIKILLQLLSSRMVTPPVAIDPIRALACRVLLGLARDDAIAHILTKLQVGKKLSELIRDTSGQSIGGDNSRWQNELTQVAIELIAVLTNSGKETTLAATDAAAPALRRIERAGIAAATPVSYHSRELMQLIHEHLLGSGFTATAAMLQKEADLAPLPSTAAVTPVHQVAALETSSAQQQWPSGRVQGFVPDTTKVTTDQTGQRSDSVLPSSKKKSLSFSSSFSKRTQPSHLFSGNRASNSLKSPVPIGNVDNMICAASTVNTGDAETSHKTPLSLPQKRKLVDMKDLSSASAAKRPAMVDQACQSPVFQTPAPTRRGLSVAVDSPTASFHSGRPNFNNIYTENLDDSQGTPGATITTPHHSASDQQPVNLECMTLDSLVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPEPSRSLSAPANIAARMGSREIRRQFSGIQIPRRDRQFIYSRFKLCRVCRDESSLLTCMTFLGDASRVAAGNHTGELRVFDCNTANILETQTCHQQLVTIVESASSGGNELILTSSLNEVKVWDAFSVSGGPLHTFEGCKAARFSHSGTSFAALSTDTTRREVLLYDVQTYNLDLRLPDNSGYSGGRGYVQPIIHFSPSDTMLLWNGVLWDRRSPNPVHQFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFKLLRSVPSLDQTVIKFNGRGDVIYAILRRNLDDVTSSIHTRRVRHPLFPAFRTIDAVTYSDIATVQIDRGVLDLATEPNDSLLGVVAMDDPDEMFSSARLFEVGRKRPTDDDSDPEDAGDTDDEDDDDNDDSEDGIIPLTDIGDSDSDISNSSDDGGDDEDIDSGDENDDDDDDAEFIDEGDFEGGGGLLEIMGEEDGDESDIMGSFSSGDEEGWIM >ORGLA04G0270400.1 pep chromosome:AGI1.1:4:26192026:26193377:1 gene:ORGLA04G0270400 transcript:ORGLA04G0270400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEPVREIGAGNFGVAKLMRNKETRELVAMKFIERGNRIDENVFREIVNHRSLRHPNIIRFKEVVVTGRHLAIVMEYAAGGELFERICEAGRFHEDEARYFFQQLVCGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDPKDPKNFRKTISRIMSVQYKIPEYVHVSQPCRHLLSRIFVANPYKRISMGEIKSHPWFLKNLPRELKEEAQAVYYNRRGADHAAASASSAAAAAAFSPQSVEDIMRIVQEAQTVPKPDKPVSGYGWGTDDDDDDQQPAEEEDEEDDYDRTVREVHASVDLDMSNLQIS >ORGLA04G0270300.1 pep chromosome:AGI1.1:4:26187553:26188173:1 gene:ORGLA04G0270300 transcript:ORGLA04G0270300.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRQPPSLRRRRAHLLPRRLGRRRRQRHVQGRLRWFPGHPASDNGATLSAAEGYDGEDRVSALPDDLLVARLPSRTRLAPPRSPPAGGASGAPRRSSSAASPLCAGVEGPRGGEVDDGRRPRRGPRGGGGGQRVEEARRRRRWSPISSSPPPRPWALQPSAAPSPVTQTPSASCTGPSSPGPQPSACLQASRSRSTGRERERRNRGPA >ORGLA04G0270200.1 pep chromosome:AGI1.1:4:26186579:26186812:1 gene:ORGLA04G0270200 transcript:ORGLA04G0270200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLVRYKVLVLVLVLQFLYSRQASVAAPKSGPLPGCGARGCWHTRCAAAVDLALDTLEVCVRVILSWETWLQCCTV >ORGLA04G0270100.1 pep chromosome:AGI1.1:4:26184556:26185320:1 gene:ORGLA04G0270100 transcript:ORGLA04G0270100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQSMAMPMMVVSGLGTAPRSSPMVQLQRMKKHLVVVAAFKSRTKASPKVDKSNKNKSIVEDGIFGTSGGIGFTKENELFVGRVAMLGFAASLLGEAVTGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDDATGLERAVIPPGKGFRAALGLSEGGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGVPINEIEPLLLFNILFFFFAAINPGTGKFVTDDNDDQ >ORGLA04G0270000.1 pep chromosome:AGI1.1:4:26177965:26180316:-1 gene:ORGLA04G0270000 transcript:ORGLA04G0270000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1PR81] MARPPAATAPPPPPPPPPPPPIDRLVWLACAAPLSRIPVVGTQVSYFPEGHAEQCPAPLPDPLPSAHRFFLCTITAVDLSADTTTGEPYATISLLPLRHDAPAPAPAAAELAEAESQEFRYYAKQLTQSDANNGGGFSVPRLCADHIFPALNLDDDPPVQSLTMGDLQGDSWEFRHIYRGTPRRHLLTTGWSKFVNAKQLVAGDTVVFMWCGAPAPERKLLVGVRRAARYSGESACNARGRVQPQEVMEAVRLAAEQAAFRVTYYPRHGAGEFVVPRVEVDKGLTTPWRCGMQVRAQVMEAEDTRRLAWLNGTLTNLRHQQIWRTLEVEWDASAASSSMKNRFVNPWQVQPVDFPPLPMGLKISNNNISAPVCNGDSLLVPPILMHPQPQPPADIQGARHNNGHAYADIPSSSTPSMVRTQQLFPRDLQILVPHTDIVTPQNGSPPDNPVNTPLSASDGMKTIQLFGVTITSPVQGDTNGAFASAQVNQVPEGVDDEPATEEASDTSLPDSLTNGHNQDGARL >ORGLA04G0269900.1 pep chromosome:AGI1.1:4:26174517:26175309:1 gene:ORGLA04G0269900 transcript:ORGLA04G0269900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGVAGGGGERPCMCYFHPREEVVGVCSQCLRERLLLLLASKTSPTAAHLLADRPLHRKNSSISLPKVFALGSSFLQRLDSSRHHLRPAPHDSDANSDADTASIASLDDSFISIKFEDNGKATWDSQKAAAGEKKTDTTTTAVVEHVKRGGVTRWRKQVVGRLLQLARWKRSGNGKAAACHQLGIDGKKTAERSSSKGTTVRGRGRGRSWIRTLTITRRPPAMPLS >ORGLA04G0269800.1 pep chromosome:AGI1.1:4:26165114:26166670:1 gene:ORGLA04G0269800 transcript:ORGLA04G0269800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYSQNDRRVSSDSRLDRRRRSRSRSRSRSRSRSRSRTRTRSYNYGSRRDSDWRASGADLDHSKVPEPGIVRDGDADVGYGDADDVPRDLKAPPRSVVVMETKESASQAAANEDTAEVESEIIEVDQAQDIYGDDDDDGDDAVAAFNYPSVAEINVTQHKLSNSNEDVVHPSQSDEEPLHRQSQFSDAEEGMEGPISPRDSCLVEPVAEEVRDGMEAPQSEVETDNADLSKDEQDLPAWYGIFDLNVVESQENCEMVEISNDSPLDNGRDSVPDQVGQMSQGANCVTSGTQGQDEHAFDNHQSEDEQVPLNQRNGTDDFNNEQGVGNQTGDEHGQDNHQLEDDQMHINHVMDVHTLDNGLMNGEEMLLKRCADEHTDHGHQVETEEMLLNQGQSTSVQVLENYNMNGEQVQLNHDADEHSGDDRPIKNEQMLLNHVMGVHDLDNYDQNSEQMLLNNGAGKQAADSAQLQEDQMLLDQAADGQATLHGQSIGQMIPVINLEDDYEEQSDTIEFSESK >ORGLA04G0269700.1 pep chromosome:AGI1.1:4:26161453:26162476:1 gene:ORGLA04G0269700 transcript:ORGLA04G0269700.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTYAPQSQASHGQFPGQSSSGVPFTFFPPGNSPTPEFFANIINRVSDISQQSSEHSININLNDIFNHANVNGNSQGTPQTETSSNHTPPPSFPTNTAVPPPFSFTGSTEGNRPQQTSSGHEGEHGQPGMHRDAGIQINLAGPEQAADAMRAVMEMLAPHMSQREGAPGSARGPG >ORGLA04G0269600.1 pep chromosome:AGI1.1:4:26158684:26161223:1 gene:ORGLA04G0269600 transcript:ORGLA04G0269600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEGQNEDTFDLDHSGDELFAKFYTSLDEINFFKTSSAGAEDPGQLSKATQFFDDALLGMRKSGRKRASLGDLAEFFKSKGNEFMRSKQHLKAVELYTCAIALSRNNAIYYCNRAAAYTLLNMFNEAVEDCLKSIEIDPNYSKAYSRLGSAYFALGKYHDALYKGYLKASELDPSNENVRQNIEVTKKKLAEQRGPPEEQVL >ORGLA04G0269500.1 pep chromosome:AGI1.1:4:26153144:26155452:1 gene:ORGLA04G0269500 transcript:ORGLA04G0269500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPGRARETKVTASASSSAGSDAAVMKKKKKKKKKLVVASRKVDEKDKKQVDYKCSRSSGRDECCKVESRHQQCTEPESPSYRLALRSLFSCRNSSSSSHAGHHHHRAADGKKLGCNSASICKVKQDNPMQQHMRRAEDELKVKDKPPVAEPYCKRRASVSACNISSERSVKKSVKQQQQEASSSLQSSASISASSCSSTAGGGGSFRGMQQLSLRRLSGCYECHMVVDPISGVFRDSSSMRATICSCPDCGEIFVRPDSLHLHQSIRHAVSELGAEDTSRNIISIIFQSSWLKKQSPVCAIDRILKVHNAARTLARFDDYRAAVKAKAMAHRHPRCTADGNELLRFHCATLSCDLGLHGATHLCDHLSCAACAIIRHGFRPPAPGAGIRTMATSGRAHDAVVSSGSEGDRRAMLVCRVIAGRVRREEAAAAEEEEEEEEEYDSVAGTTPGLYSNLDELDVFNSTAILPCFVVVYRA >ORGLA04G0269400.1 pep chromosome:AGI1.1:4:26142492:26143410:1 gene:ORGLA04G0269400 transcript:ORGLA04G0269400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77700) TAIR;Acc:AT1G77700] MARGGVVWLAVALLCCCFVRRCESARVFTIINQCKTMVWPAVTPGESFGGGGFALKPGQSMVFTAPVGWSGRIWGRTECDFDQAGNGSCATGSCGSELKCGGSGATPATLAEFTLATKDFYDVSLVDGFNLPMVVRPLNGEGNCSVAGCDGDLRDSCPSELSKKVNGRTVACRSACDVFDTDQYCCRGMYGNPSTCQPTFYSKKFKAACPTAYSYAYDDPTSIFTCSNADYTITFCSTRKLPVCTYHNNRLICSASTRSWPSVISALLFTFLALQFSL >ORGLA04G0269300.1 pep chromosome:AGI1.1:4:26139222:26139506:-1 gene:ORGLA04G0269300 transcript:ORGLA04G0269300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQETMSRPAGGEPIEERPRGGELDVREVELDEPIADGXEVVEAELGRLCVHGRCGLAVVGDQGLDKGPEAKRRQWRSGELLACALLRAAVVGL >ORGLA04G0269200.1 pep chromosome:AGI1.1:4:26130210:26135725:1 gene:ORGLA04G0269200 transcript:ORGLA04G0269200.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKEYEEEGRSGEGKAPPAKSRRIDGQDDEESMNNNVLEEEEEEDEDEDEEEEEEEEEEESRCAELVFGEVEELDPVQMEEKLRQVKLEMKGKYTEVDEEEQIRNYRTSWDSSLSSRYGPFQRTTSVPAMRYTHGPIARYACCDSTLQIYSIQVVRDSFLELTGPSRAILIVDPVEFDVELKVKGRRESDDQILSFQLFRQNGFFYVKQSVTLVRRFHPVMLGWYSKLKFTYAVLNGAVEATICSVKVVRGSWTKENRGRIVCTTSSICHEDFVLLDSQDAETMPIGSDDVIKLSRRVVTVELSGELTVSVTATHVGKRTRDDDGGIAQNDEAPFTTDKVRFRPKKSGESCDTCKLGFCEVEITVAWSLLNCDNIE >ORGLA04G0269100.1 pep chromosome:AGI1.1:4:26098507:26099556:1 gene:ORGLA04G0269100 transcript:ORGLA04G0269100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSDEDAKKAYADFEKKVKRTIYIDHLSPQVTSSVIKAALAQCANVVDVEFIVNYTVPYDIPSAALVELDDEIQAKAALDLMNDFPFIIGGMPRPVRAICAKPEMFRERPPHPGIRKEFRWVKQEDGTEYQGMKKLRILAKRQEVENMALIKNQLEEEKELAKQQQELLDGNYNKYDMLENVVQNGNMKSLAQHYGVSLADEF >ORGLA04G0269000.1 pep chromosome:AGI1.1:4:26095341:26096375:1 gene:ORGLA04G0269000 transcript:ORGLA04G0269000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLAPSSPPRSPTSRGGRPVYYVQSPSRDSHDGEKTATSVHSTPALSPMGSPRHSVGRDSSSSRFSGHPKRKGDKSSSGRKGAPAGKGWQEIGVIEEEGLLDDEDERRGIPKRCKYFLIFVLGFVVLFSFFALVLWGASRSQKPQIVIKSITFENFIIQAGTDASLVPTDMATTNSTVKLTYRNTGTFFGIHVTADPFTLSYSQLTLASGDLNKFYQARSSRRTVSVGVMGNKVPLYGGGPTLTAGKGSGSMAPVPMILRTTVHSRAYVLGALVKPKFTRAIECKVLMNPAKLNKPISLDKSCIYL >ORGLA04G0268900.1 pep chromosome:AGI1.1:4:26088580:26093701:1 gene:ORGLA04G0268900 transcript:ORGLA04G0268900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRGGLVSVVLLLLASPFFFSANPAATAVGDCPLDFSWANFTLASAACSDPTQRAACCRYINAFVAISMARYANATGRLGVPPAFSEICLSSVSETFKLRGIPTDADVFCGLGPKIRVSYQCAGRDTVLEMLQSPNFNDVVGSCRGPLSLDITCKTCLNYGIVYLHRLIGSDDNVGLSVCRNAVFVTLATQQGIFSYDDIVKCFFGVQGITIFPGPSSVTSTPASSPNVTTPVDAPAPKTKTVLQKHHQPYRITVIPGIGIAVILLAVLLQLVLVILIRRKNRELKNADLHAQNPENAFCQSQSWRCPEGQSPMFQRYSYKETMKATNNFSTVIGKGGFGTVYKAQFSDGSIAAVKRMDKVSRQAEEEFCREMELLARLHHRHLVTLKGFCIERKERFLVYEYMANGSLKDHLHSSGRKALSWQSRLQIAMDVANALEYLHFFCNPPLCHRDIKSSNILLDENFVAKVADFGLAHASRTGAISFEAVNTDIRGTPGYMDPEYVITQELTEKSDIYSYGVLLLELVTGRRAIQDSRNLVEWAQGHLSSGKITPEFVDPTIRGLVDMDQLHLVVSIVQWCTQREGRERPSIRQVLRMLSERLDPGNGSFGEGMEDAEGGFYPRNSKCGVHRNELIPHSGDMRSLHSSSSTTRSYCSRSMLLEGGQAHSPPETL >ORGLA04G0268800.1 pep chromosome:AGI1.1:4:26084838:26087660:-1 gene:ORGLA04G0268800 transcript:ORGLA04G0268800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTFPLALLLAIVAACCVAGGEGGALVGDTCTASSCGAGMRCATCSPLPGMGPPVCSRTTPLDPKAHGTDLAFNRYTWLTTHNSFAIVGSPSRTGTPIIAPPNQEDTVTAQLKNGVRGLMLDAYDFQNEVWLCHSFGGKCYNFAAYQRAMDVLKEIGAFLDANPSEVITVFVEDYAGPGSLGKVVGGSGLSKYLFPPAKMPKGGGDWPLLKDMIAQNHRLLMFTSKRGKDGSDGLAYEWDYVLETQYGNDGLVGGSCPKRAESMAMDSTKQSLILMNFFSTNPSQSWACGNNSAPLVAKLKACYDASAKRWPNFIAVDYYMRSKGGGAPLATDVANGRQQCGCDSIASCKPGSAFGSCSLSSPPAAPPKMAAASPPAAAPAPAPEMAPSSPPAEPPETATEAAGSAVRPASIKLEKDDTTGTSPADTAKSSSSNRSPHPSSCSFLFGLLLPSLLLHLFISD >ORGLA04G0268700.1 pep chromosome:AGI1.1:4:26082564:26083695:-1 gene:ORGLA04G0268700 transcript:ORGLA04G0268700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTGEGVGAAGSRILPPPPSGAAEVETKAAGAPLSDRATVAATLFQVIDNELGFVTDYYHSVLPVMLASPFFLLVNYIVFPSSCSASACPAATATSRGTTTPCRSACSGGVGAWESPSSSPTGSPSPWWSVSVCSPEKKLVVPESVCGRPLGLQFHHASGDLYVADAYLGLLRVPARGGLAKVVTTEAIDVPFNFLNRKILHYTIAHNKSKHTQALRHHTKEPFLFFLNGFDVDQRTGDVYLTDSSSTYRRRYPK >ORGLA04G0268600.1 pep chromosome:AGI1.1:4:26077355:26078585:-1 gene:ORGLA04G0268600 transcript:ORGLA04G0268600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWKAKLEEEIWLTGERREVYSCFHGAAGGCSGSGGGGGGSGQTASAWRWRGQSSAVPSCNAVASACGDDDGTGTAXGHEHEEHPEEXQMEPYQERSGRIGEVSVFFYLIENCENLXYVRDTYRSISDTYPYPCEYPIPIRDFCAVSGNTGCWCGAEVEAEAGAWSNKDKIRVIEMHRWSEYEIMPCHIVMYKPLAYC >ORGLA04G0268500.1 pep chromosome:AGI1.1:4:26075770:26076860:-1 gene:ORGLA04G0268500 transcript:ORGLA04G0268500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PR66] MGAASRRLAVLELVSIVAVLLISSPAAAAELSVDFHAASCPQLESIVRSSVQAALQQEIALAAGLLRIFFHDCFPQGCDASVYLRGGSNSEQGMGPNLTLQPRALQLVEDIRAKVHAACGPTVSCADISALATRDAVVVSGGPSYAVPLGQKDSLAPASLDLVGDLPGPGTSRVQDLIDLFASRGLRDAADLVALSGGHTVGRTRCAFFDDRARRQDDTFSKKLALNCTKDPNRLQNLDVITPDAFDNAYYIALTHNQGVFTSDMALIKDRITAPIVRQFATDKAAFFTQFAKSMVKLSNVPRTDRNVGEIRRSCFRTNSQSLVDFATSDEEGFAASA >ORGLA04G0268400.1 pep chromosome:AGI1.1:4:26072487:26073584:-1 gene:ORGLA04G0268400 transcript:ORGLA04G0268400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PR65] MAAARRLPVLELVSFVAVLLISSPTAAAAELSVDFHAASCPPLEAIVRSSVQAALQQEIALAAGLLRIFFHDCLPQGCDASVYLRGGSNSEQGMGPNLTLQPRALQLVDDIRAKVHAACGPTVSCADISALATRDAVVVSGGPSYAVSLGQKDSLAPAPVRLVNQLPGPGTSSVQALLDKFGSKGLREAADLVALSGAHTVGRAHCDFFRDRAARQDDTFSKKLAVNCTKDPNRLQNLDVVTPDAFDNAYYVALTRKQGVFTSDMALIKDRITAPIVRQFAADKAAFFRQFAKSMVKLSQVPRTDRNVGEIRRSCFRTNGPRLVDLATGDEAASP >ORGLA04G0268300.1 pep chromosome:AGI1.1:4:26066860:26067141:1 gene:ORGLA04G0268300 transcript:ORGLA04G0268300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIQREEDSRGVDSDCRAAPTSDGRHDFMRTPIWACKYFMESLSSLLSNGSSHMSISVLERPQLSFYSRLFSVHGAASPLLAQWAVYPSWAH >ORGLA04G0268200.1 pep chromosome:AGI1.1:4:26063790:26065115:-1 gene:ORGLA04G0268200 transcript:ORGLA04G0268200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSRSGRAVAVLQLVSTVVVLLSPPAAAASREISVDFHAASCPQLETIVRSAVQAALQREIALAAGLLRIFFHDCFPQGCDASVYLNATNPNSEQGMGPNLTLQPRALQLVEDIRAKVHAACGPTVSCADISALATRAAVVVSGGPSYNVTLGQFDSLSPAPENLVNQLPSPFEGKVDEHLKKFQKQGAGRSRRPGGALRRPHRGEGAVRLPQARGRPLLQEVGRQLHRQPHPAAGA >ORGLA04G0268100.1 pep chromosome:AGI1.1:4:26058167:26059364:-1 gene:ORGLA04G0268100 transcript:ORGLA04G0268100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PR62] MAATAGRTAGALAVLQLASIVAAAVLLSSPPAAAAEPSVDFIDVVACSQSQVDSIVRSAVQAALQREIALAAGLIRIFFHDCFPQGCDASVYLSGANSEQGMPPNANSLQPRALQLVEDIRAKVHAACGPTVSCTDISALATRAAVVLSGGPTYPVPLGQLDSLAPAPLRLVNQLPGPGTSSVQALIDLFGSRGMGDAADLVALSGGHTVGKSKCAFVRPVDDAFSRKMAANCSANPNTKQDLDVVTPITFDNGYYIALTRKQGVFTSDMALILDPQTAAIVRRFAQDKAAFFTQFVTSIVKLSKVPRPGGNKGEIRRNCFKTNSGARLVDVVEAAASVVEGFAASA >ORGLA04G0268000.1 pep chromosome:AGI1.1:4:26054876:26056096:-1 gene:ORGLA04G0268000 transcript:ORGLA04G0268000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PR61] MALRRMGIILALLAIATLLSPAVSARFISLPTDHLPITTPPLADGLAFDLYSDSCPQLETTVRSAVQAALQQEIALAAGLLRIFFHDCFPQGCDASLLLTGANSEQQLSPNLTLQPRALQLIEDIRAQVHAACGPTVSCADITALATRDAIVASGGLPYDVPLGRLDSFAPAPSDAVFQLPQPTSDVSTLLSAFQTRNLDNVDLVALSGGHSIGRARCSSFSNRFREDDDFARRLAANCSNDGSRLQELDVTTPDVFDNKYYSNLVAGQGVFTSDQGLTGDWRTSWVVNGFAGNHWWFYGQFGSSMVKLGQLQGPSGNVGEIRRNSCFVPNSQTILAAAGDDGFTASA >ORGLA04G0267900.1 pep chromosome:AGI1.1:4:26050083:26051381:-1 gene:ORGLA04G0267900 transcript:ORGLA04G0267900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PR60] MASKLGMVVLLISGLFAARCAAVVTTGEPVVAGLSWGFYDTSCPSVEGIVRWHVTEALRRDIGIAAGLVRIFFHDCFPQGCDASVLLTGSQSELGEIPNQTLRPSALKLIEDIRAAVHSACGAKVSCADITTLATRDAIVASGGPYFDVPLGRRDGLAPASSDKVGLLPAPFFDVPTLIQAFKDRNLDKTDLVALSGAHTIGLGHCGSFNDRFDGSKPIMDPVLVKKLQAKCAKDVPVNSVTQELDVRTPNAFDNKYYFDLIAKQGIFKSDQGLIEDAQTNRTAVRFALNQAAFFDQFARSMVKMSQMDVLTGNAGEIRNNCAAPNRRSSDLLNAADDDQGFAADA >ORGLA04G0267800.1 pep chromosome:AGI1.1:4:26044549:26045832:1 gene:ORGLA04G0267800 transcript:ORGLA04G0267800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDSSSAAAPLTSFGRSFLSHRRDQIPPPPPDHHSHSHTQHPSSSDLEIDAFHRHAADLLHDLLSDSNSDPSAPDLLSLAWTRRLLDSFLICLEEFRAILFALADSQPLSRPPLDRLLLDFLDRAVKALDLCNALRDGLDLIRQWRKHLAIAAAALAPAPAPAAQRGEAQIRRARKALTDLTILMLDDKDAGGVVGQRNRSFGRAGTTRDSLPHGHGHHRRSSSGGSSGSGSGSHLRSLSWSVSRTWSAARQLQAIGGGLTVPRANDIAATGGLASAVYAMGAVLFVVTWALVAAIPCQDRGLQAHLTAVPRTFPWAGPLITLFDRILDESKKKDRKHSCGLLKEIHQIERCSRQLMEVTDAAEFPLADDKDSEVQEATQELVQVCGSLKDGLDPLERQVREMFHRVVRTRTEILDYLSRPHNAG >ORGLA04G0267700.1 pep chromosome:AGI1.1:4:26039122:26041962:1 gene:ORGLA04G0267700 transcript:ORGLA04G0267700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:I1PR58] MSFRSIVRDFRDSFGTLSKRSFEVKISGFSGRHRGKSIGPSSELDDTPVVAQQSKWAGLPPELLRDVMKRLEEDDSNWPSRKDVVACASVCTTWRDMCKDIVRNPEFCGKLTFPVSLKQPGPRDGVIQCFIKRDKSKLTYHLYLCLSSAVLDETGKFLLSAKRSRRTTHTDYIISMDSKNISRSSSGYIGKLRSNFLGTKFIIYDTQPPYNARTLCSQERTSRRFSSRKVSPKVPTGCYPIMQVNYELNVLGTRGPRRMQCAMHSIPASAVEPGGIVPGQPKELLPRLFEESFRSMATSFSKYSITDHSTDFSSSRFSEFGGGALQGQEQEQDGDDVNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAPQPSSGAASEPSQAGQAAQQQTQPSQPSSSSSSSSSNHDTVILQFGKVAKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >ORGLA04G0267600.1 pep chromosome:AGI1.1:4:26030310:26033161:1 gene:ORGLA04G0267600 transcript:ORGLA04G0267600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1PR57] MGAGEVVQGLKPVAAMVVVQVVFAGVNIFYKLAVVCDGMDMRVLVAYRYLFASAVLAPLAYFVERKNRTKMTWRVLMLSFVCGLSGGSLAQNLYISGMKLTSATFATAMTNLIPAVTFVLAVLCRYERLAIRTVAGQAKVAGTLLGVGGAMLLTLYKGAELNPWHTHLDLVAALEARHPAAAAAAAATGNNDRVIMGSMLVVGSCVFYAVWLILQAKLSREYPFHYTSTALMCVMSGAQSAAFALLVDREPARWRLGLDIRLLSVVYSGVLASGVMLVVLSWCVKRRGPLFASVFNPLMLVVVAVLGSLLLDEKMHVGTLLGAALIVVGLYAVLWGKGRETALEAAKVGDDNDNHHIHVVVVVPPEQSQPQPHQQAEADADATTTACEQPSDSDRANIASSLRHSHRYFHRSCGF >ORGLA04G0267500.1 pep chromosome:AGI1.1:4:26018024:26021490:-1 gene:ORGLA04G0267500 transcript:ORGLA04G0267500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAPWRAVLRTTHLLVDPTRLAASSFHSTPVSSAKWKDKFDCKHEHGARKLSKNYERYVVRQKRAEGKKALKDYLLFGKSSPHLQGGSTGSFANSHDIPRFKTFRKGSQSHGSTKSRQGVHHHRKCKKDRERFYNFFREEYYVHPDKIFEDMFGENHRFTWSHISWESFSFGDSSSRFRRTGESKRERVCSDSDDESEDETTKIGSHAHRAILGLPACGPLTLDAVKTAFRASALRWHPDKHPGSSQAVAEEKFKLCVNAYNSLCNVLKAA >ORGLA04G0267400.1 pep chromosome:AGI1.1:4:26016346:26017054:1 gene:ORGLA04G0267400 transcript:ORGLA04G0267400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQHHEQQQQRMVEMRMGMAMGEAYVGGGEVVAREAAAQALGAVVQLHFDKTLEKKRAADAQKQELWRLFLAFFLFLAILLSAVAQSPPSRLQCRHLWAPAGLLSLAHLAFYAAVAHHLRCLNGFRYQRRCHKLTLALAADRLRMLKSGGDVVAAADVEVPYQEPPESYLAKFKRSWAIHFAFLITTFAFSVAAAVAILCF >ORGLA04G0267300.1 pep chromosome:AGI1.1:4:26009998:26012596:-1 gene:ORGLA04G0267300 transcript:ORGLA04G0267300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDTNAAAGQPRRAPKLNERILSSLSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIKRFFEDYKKNENKEVAVDAFLPANTARDAIQYSMDLYAQYILQSLRQ >ORGLA04G0267200.1 pep chromosome:AGI1.1:4:26003541:26004902:-1 gene:ORGLA04G0267200 transcript:ORGLA04G0267200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVVTVQRSVPVPPGTKILAVGSGPIQRLVEFGTGRYQFCPCAVPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEFATAAACFNAAAAGKDEFDAAAAACFNIRVLDETIRRLEQLFRFTQANNPGPAVLLRIIGRINRANARRSTLFAQLHTYIRRRRRRHHQQQAPPSPPAGGNIASNGNGAAINNGNSNNAAAGAPPADA >ORGLA04G0267100.1 pep chromosome:AGI1.1:4:25999940:26000539:1 gene:ORGLA04G0267100 transcript:ORGLA04G0267100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMNNERSSSNKLQVDAENPAAVGDELDLAARANWLRAAVLGANDGLVSTASLMLGVGAVKAEARAMVISGFAGLLAGACSMAIGEFVSVCSQRDVELAQLERDGKRGGEEEKALPSPAQAAAASAMAFSVGAVVPLLAAGFIVNYRLRIAVVVAVASVALAAFGCVGAVLGRAAVARSSARVVLGGWAAMGITFGLM >ORGLA04G0267000.1 pep chromosome:AGI1.1:4:25993482:25994720:-1 gene:ORGLA04G0267000 transcript:ORGLA04G0267000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G76920) TAIR;Acc:AT1G76920] MAASDSDSDADAAAASLLPAPIHLLPPDALHNVLLRLPLRDAVVCRPVSRLFHETLSHNFLALLPSLRLLLLRHPRPDGGGCLHAFDPDRRYWLRLPFSAFLPNQSFSPVASSPSLLYLWLESPSPSLPSLPSSSSSTASTAHPPKSLAVCNPFAGTYSFLPPLGSAWARHGTVLAGPGGVVLVLTELAALSYTPSGSGKWMKHPLSLPSKPRSPILASGAAAVFALCDVGTPWRSQWKLFSCPLSMLTGGWAPVERSAWGDVFEILKRPRLLAGAGGRRVLMIGGLRSSFAIDAPCSTVLILRLDLATMEWDEAGRMPPNMYRCFTGLCEAAAQGNAMPTAVAGGNNKVKVFGGDGKVWFAGKRVRGKLAMWEEDELGNSGGKWDWVDGVPGYSDGVYRGFVFDGGFTAMP >ORGLA04G0266900.1 pep chromosome:AGI1.1:4:25980134:25985946:-1 gene:ORGLA04G0266900 transcript:ORGLA04G0266900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDIEEGVAESSGPSPRAAAAAAGLGSGGGGGGGSVHGSPDIRNVIYERLVAIRNEEAISNPSSFRVELDRHFLRLPESYLIDLDVSKAEQVLLHRRILADCADPEKRPIFHARYIGVDSGSTPTENGNGGGFLPINLRDDEFTESEPYERMMEDLSLERGKGVDDFEASSARRDSKFVRVHEIIFSSIDKPKLLSKLSAILSDIGLNIREAHVFSTSDGFCLDVFVVDGWDTEETDGLLQKLIEAEASSHGSLSNPTNLSQSEKVLELQEKIGDSEFDRSLLQIGEKIASGSSGDLYRGTYLGVDVAVKFLRSEHVNDSSKVEFLQEIMILKSVDHENVVQFYGACTKHRKYLIVTEYMPGGNLYDFLHKQNNTLELPVVLRIAIGISKGMDYLHQNNIIHRDLKTANLLIGSGQVVKIADFGVSRLRSQGGEMTAETGTYRWMAPEVINHKPYDHKADVFSFAIVLWELVTTKIPYENLTPLQAALGVRQGMRMEIPPKVHPRLSKLIERCWDENPHVRPLFSEITVELEDILRHVLVSKTGSRHPKAKIQKKSAR >ORGLA04G0266800.1 pep chromosome:AGI1.1:4:25977715:25979351:-1 gene:ORGLA04G0266800 transcript:ORGLA04G0266800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPEPETTVGSYELRERLGGRPPSTVVWRAVERSSGSPVVVKQVRLTGLPSTLRDSLDCEVRFLAAVTHPNIIRLLDLIQTQSNLYLVLELCEGGDLAAYIQRNGRVEERVASNFMRQIGAGLQVLRRHHIVHRDLKPEMPQNILLSSPDSNAILKISDFGLSRVLRPGEYTDTNCGTCLYMAPEVMLFQKYDGGVDLWSIGAILFELLNGYPPFRGRSNVQLLQCINRTMSLPFSEVVISKLRPDSIDICTRLLCSNPVKRLSFQEFFSHSFLRP >ORGLA04G0266700.1 pep chromosome:AGI1.1:4:25972610:25977136:-1 gene:ORGLA04G0266700 transcript:ORGLA04G0266700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15130) TAIR;Acc:AT3G15130] MERRRMIADLLRASARGSSLRGGVQLHAALMKLGFGSDTMLNNNLIDMYAKCGKLHMAGEVFDGMPERNVVSWTALMVGFLHHGEARECLRLFGEMRGSGTSPNEFTLSATLKACGGGTRAGVQIHGVCVRTGFEGHDVVANSLVVMYSKGRWTGDARRVFDVIPSRNLATWNSMISGYAHAGQGRDSLLVFREMQRRHDEQPDEFTFASLLKACSGLGAAREGAQVHAAMAVRGVSPASNAILAGALLDVYVKCHRLPVAMQVFDGLERRNAIQWTTVIVGHAQEGQVKEAMCLFRRFWSSGVRADGHVLSSVVAVFADFALVEQGKQVHCYTAKTPAGLDVSVANSLVDMYLKCGLTGEAGRRFREMPARNVVSWTAMINGVGKHGHGREAIDLFEEMQAEGVEADEVAYLALLSACSHSGLVDECRRYFSRICQDRRMRPKAEHYACMVDLLGRAGELREAKELILSMPMEPTVGVWQTLLSACRVHKDVAVGREVGDVLLAVDGDNPVNYVMLSNILAEAGEWRECQGIRGAMRRKGLRKQGGCSWTEVDKEVHFFYGGGDDAHPQAGDIRRALREVEARMRERLGYSGDARCALHDVDEESRVESLREHSERLAVGLWLLRDGTGDGGGGGGEVVRVYKNLRVCGDCHEFLKGLSAVVRRVVVVRDANRFHRTPRVFFLDCLLPATLAVAPSLMDHPAKVMMGWALIAKYHLPQPSSSDQAQTGPYRLVFALYVSTARPDCRQSPSDMAPGKQRGKAKGAPPPPAAPNAAAAGGFPACLRLMPPSTVAISIHAKPGSKLATITEIGDEAVGVQIDAPARDGEANAALVDFISSVLGVKKREVSIGSGSKSREKVVLVQDATLQGVFDALKKACASA >ORGLA04G0266600.1 pep chromosome:AGI1.1:4:25956399:25963014:-1 gene:ORGLA04G0266600 transcript:ORGLA04G0266600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVDIDKALIALKKGTQLLKYGRKGKPKFTPFRLSNDESTLIWVSNNKEKSLKLSSVSRVLSGQRTLVFQRFLLPEKDHLSFSLIYNDGKRSLDLICKDKVEAEVWFAGLNVLISPGQHGSQHQHIDGIRNGALSFECGRDSSLSSSSAYTTDSFENKLSSANSAKDRSSGEFTYSERTDVSDMQVKGASSDIRISVSSALSTSSHGSGDDSESFGDVYVWGEVMCDTTCRQGSDSNAYSATAATDILVPKPLESNVMLDVSYVACGVKHAALVTRQAEVFTWGEECSGRLGHGAGTSIFQPRLVESLSICNVETIACGEFHTCAITATGDLYTWGDGTHNAGLLGHGSNVSHWIPKRVSGPLEGLQVSAVSCGTWHTALITSSGKLYTFGDGTFGVLGHGNRETVSYPKEVESLKGLRTISVSCGVWHTAAVVEVIMAQSNTSSGKLFTWGDGDKYRLGHGDRSSKLKPTCVPSLIDYNFHKAVCGHTLTIGLTTSGHIFTAGSSVYGQLGNPNNDGRYPRLVEEKLGGGGVVEVACGAYHVAVLTQSGEVYTWGKGANGRLGHGDIADRKTPTFVEALRDRSVKRIACGSGFTAAICQHKSVSGMEQSQCSSCRQPFGFTRKRHNCYNCGLVHCHSCSSKKALRAALSPNPGKPYRVCDSCYLKLSKVLDSGIGHNKNNTPRISGDSKADKMDSKGNRVASANSSDMIKNLDVKAAKQTKKYDYPPQFPAILQLKDIPFIGAADQQPNDSTYSSPLLRLPNLNSSSSLSSESFDILRDANELLKQEVQKLKEEVNSLRQQREQQDADLQKSEAKAHEAMTLASEEASKSKAAKDVIKSLTAQLKEMAERLPPASCDMKQTRQPYLPGGAVSPDTGRENQKRYEPGSFQYPQTPTSVASARFNGFLAQAHQISEPNGNTMVPHDSRHENNGNTKEFPVAQQMTNGGMTGYRPRTEDHDRRETERFQINLHGFNMRGSSSPSNQVEAEWIEQYEPGVYLTLVSLRDGTKELKRVRFSRRRFGEHQAESWWNDNREKVYDKYNVRGTDRISSVMTA >ORGLA04G0266500.1 pep chromosome:AGI1.1:4:25952790:25953059:-1 gene:ORGLA04G0266500 transcript:ORGLA04G0266500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRRCIAIALLFLLAATFVASCVDGARTMQASYINRTPSTAPTAMMRSGRLFGYLPRAKLIPPSGPSERHNAIGPENGDGDELISKP >ORGLA04G0266400.1 pep chromosome:AGI1.1:4:25943447:25944688:-1 gene:ORGLA04G0266400 transcript:ORGLA04G0266400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1PR45] MEEQQQVEVEVEVPSYFVCPISLQIMRDPVTLPTGITYDRDGIERWLLTAGTCPLTKQPVPPDCDPTPNHTLRRLIQSWCALHADHGVDLVPTPKPPADRARVADLVSRLRGATSSAALLDALRELRDVAAESERNRKLLAAVPGAVDVLAAVVVASCRDAKAACDEALEIVCSLELSERCLARLVERNEELVDALVATLQRTNTTSRAHAALLLEAVTAVMPSNRLVSLPEEVFGEAVQLLRDRVSSPATRAALHVLVGTTSWGRNRVKAVDAGAVAVLVDMLLDGPVERRGCELALAALDRMCGCAEGRAALVSHGAGVAVVGRKVLRVSEVASEKAVRVLRSVARHAATAAVVQEMAQTGAVEKLCVVAQSEQCGERTRERARETLRLHARAWRNSPCLQPHLQALYPSC >ORGLA04G0266300.1 pep chromosome:AGI1.1:4:25929084:25932378:-1 gene:ORGLA04G0266300 transcript:ORGLA04G0266300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFLLLLHLLLHVSHAAINPGDLSVLQDLRRSLTNADALLGWGDPNAADPCAQWPHISCDRAGRVNNIDLKNAGLAGTLPSTFAALDALQDLSLQNNNLSGDLPSFRGMASLRHAFLNNNSFRSIPADFFSGLTSLLVISLDQNPLNVSSGGWTIPADVAAAQQLQSLSLNGCNLTGAIPDFLGAMNSLQELKLAYNALSGPIPSTFNASGLQTLWLNNQHGVPKLSGTLDLIATMPNLEQAWLHGNDFSGPIPDSIADCKRLSDLCLNSNQLVGLVPPALESMAGLKSVQLDNNNLLGPVPAIKAPKYTYSQNGFCADKPGVACSPQVMALLHFLAEVDYPKRLVASWSGNNSCVDWLGISCVAGNVTMLNLPEYGLNGTISDSLGNLSELSDINLIGNNLTGHVPDSLTSLRLLQKLDLSGNDLTGPLPTFSPSVKVNVTGNLNFNGTAPGSAPSKDTPGSSSSRAPTLPGQGVLPENKKKRSAVVLATTIPVAVSVVALASVCAVLIFRKKRGSVPPNAASVVVHPHENSDPDNLVKIVMVDNDGNGSSTQGNTLSGSSSRASDVHMIDTGNFVIAVQVLRGATKNFTQDNVLGRGGFGVVYKGELHDGTMIAVKRMEAAVISNKALDEFQAEIAILTKVRHRNLVSILGYSIEGNERLLVYEYMSNGALSKHLFQWKQFELEPLSWKKRLNIALDVARGMEYLHNLAHQCYIHRDLKSANILLGDDFRAKVSDFGLVKHAPDGNFSVATRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELITGMTAIDESRLEEETRYLASWFCQIRKDEDRLRAAIDPTLDQSDETFESISVIAELAGHCTSREPTQRPDMGHAVNVLVPMVEKWKPVNDETEDYMGIDLHQPLLQMVKGWQDAEASMTDGSILSLEDSKGSIPARPAGFAESFTSADGR >ORGLA04G0266200.1 pep chromosome:AGI1.1:4:25923704:25926395:1 gene:ORGLA04G0266200 transcript:ORGLA04G0266200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSVARLPPILVSPIRFRTTATRRRLLPSSALRLTRPLSSSCSASPLAVVASMETPPENYRTNVGICLADPSLTKIFTASRIDIANTWQMPQGGIDAGEDPREAAFRELREETGVTSAEMVAEVPVWLTYDFPVDVKEKLNARWGGTNWKGQAQKWFLFRFTGKEDEVNLNGDGSERPEFCEWTWMTPQQVIEKAVEFKKPVYEAALKHFAPYLQSDPATTTSS >ORGLA04G0266100.1 pep chromosome:AGI1.1:4:25911287:25916802:-1 gene:ORGLA04G0266100 transcript:ORGLA04G0266100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAQRAALLRESLQKSQSVTDAVVSILGSFDSRLSALDAAMRPIQVRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPHENLQGFLDAVDRLRSIERFFSSNRSYRSSDGVLNHVNALLSKALVKMEDEFQKQLTQRSKPIEPDRLFDCLPSTLRPSSESHPEGGKNQSNSENQQNSEAAVYSPPALIEPRFIPFLAKLAQQLVQAGCQQQCSEIYSEARASALESSLKSLGVEKLSKDEVQKMPWEILESKIGNWIHFMRIAVKLLFAAERQLCDQVFECSQSLRDKCFAQITRNSLATLLSFGEAIAMSKRSPEKLFVLLDMYEIMCELQADIDTIFVGESCSQMRESALSLTKCLAQTAQKTFSDFEEAVEKDATKNIHIDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKREDGTGSELATVTMSIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANHYRRIAWSKVLQCLSGQGLTSSGGSGQVGSEGGNSSGASRAAVKERFRSFNVLFEEIYQKQCGWSVPDTELRESLRLAVAEILLPAYRSFLKRFGPLIENSKAPGKYVKHTPEQVELLLANLFEGKQERT >ORGLA04G0266000.1 pep chromosome:AGI1.1:4:25905520:25909745:-1 gene:ORGLA04G0266000 transcript:ORGLA04G0266000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAADEEAAAEALRAKIELLRQAMQSSEAMQKEAAVIGTRLNNHMVAIDEAMRPAHKRTYNACRVHDNIRRSLTAAGAIVRHLDLVREAEHVILLDRPNEDLNAYLEAVDKLTSVEYFFTSKIRCRVGNDVHERVNELLSKAIHGLENEFHRLLTKCSKPVDLENIFNCLPSLNRQLSSEDLIGPSAVISDYLFEQVDAIFEGNACSGNRKSALTLTKSLAQTAKKTIGDFMEYILNHSVTSTTVDGAVHYMTSYVTDYIKFLFDYQSSIKQIFGDPCVEDEKDTDVVSQIVGAIHALETNLAMKAKQYKDLALGHLFLMNNIHYIVKYIGRSELKDLLGADWIERQRRIVQQHATRYRRVAWLKVLECLSTQGLTSSVGSSIDVTQGSFRNIKNSTTSRSVIKERLKCFNMRFEEICQKQMNWGVPDRDLRDSLILMIAEILLPAYRSFLKHFGPLVENSHSALKYMKYTPESLEQALGNLFAKKLRSDQVFSLHLFAACIVHVCIGLTYSFSKPGGSDQV >ORGLA04G0265900.1 pep chromosome:AGI1.1:4:25900265:25900927:-1 gene:ORGLA04G0265900 transcript:ORGLA04G0265900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIKDCGGHKGCECEKEKVHRRLCWALVALVLLTLFIVLVVWLALRPHKPRFYLQDLSVLCLNVTPPASAYLFTTMQATVAARNDNGRVGVYYDKVDVYAQYKDVAITVPTRLPVEYQGHYDQSVWSPFLQSLDHVVLPPNLAVALAQDETAGYVLVDIRLDGWVRWKVGTWISGHYHLRVNCPALLTVNDGKGSYGVNYGGGDGYFRFQQAAACAVDV >ORGLA04G0265800.1 pep chromosome:AGI1.1:4:25890159:25890776:-1 gene:ORGLA04G0265800 transcript:ORGLA04G0265800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASRAVSCLCCPCKCLACGLFSCLCSILISLLVTLGVLALIFYLIFRPHMIAATVDSAALTQFTLSTNSALAYSLTVDLTVRNPNKRVGLYYDNVESLALFDGQRFGYAPLDSFYQSTEASTKLSPAFKGQQPLQGDITAANFRSQQSAGKFDIEVKLNAKLRVKVWAFKVPGPKAKISCPITVPASAPNAPAFQRTDCKVWF >ORGLA04G0265700.1 pep chromosome:AGI1.1:4:25885936:25887411:1 gene:ORGLA04G0265700 transcript:ORGLA04G0265700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT3G52500) TAIR;Acc:AT3G52500] MANPPPLVVLLQLLLLPLLSTAITLPLYRNLPPVPADTDHPHHHPLSRLAAASLARAAHLARPRPRSRQGTAPPPSVRASLYPHSYGGYAFTVSLGTPPQPLPVLLDTGSHLSWVPCTSSYQCRNCSSLSAASPLHVFHPKNSSSSRLIGCRNPSCLWIHSPDHLSDCRAASSCPGANCTPRNANANNVCPPYLVVYGSGSTAGLLISDTLRTPGRAVRNFVIGCSLASVHQPPSGLAGFGRGAPSVPSQLGLTKFSYCLLSRRFDDNAAVSGELILGGAGGKDGGVGMQYAPLARSASARPPYSVYYYLALTAITVGGKSVQLPERAFVAGGAGGGAIVDSGTTFSYFDRTVFEPVAAAVVAAVGGRYSRSKVVEEGLGLSPCFAMPPGTKTMELPEMSLHFKGGSVMNLPVENYFVVAGPAPSGGAPAMAEAICLAVVSDVPTSSGGAGVSSGGPAIILGSFQQQNYYIEYDLEKERLGFRRQQCASSS >ORGLA04G0265600.1 pep chromosome:AGI1.1:4:25881075:25883469:-1 gene:ORGLA04G0265600 transcript:ORGLA04G0265600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosome biogenesis regulatory protein (RRS1) family protein [Source:Projected from Arabidopsis thaliana (AT2G37990) TAIR;Acc:AT2G37990] MAEAAAAPPAAAATNYEVDLGNLMAYDPSHHLPAASSSSREELREECLRKGTELAQAVADALFALPATEDRDGPMVRLPPPTTRFPRGKHLPRPKPPTKWEQFAKMKGITKHKKNKREWDEQTQTWKRTYGYDRVNDDKDIPIIEAKATDEPGVDPFAQRREDKKKRVEKQEKNRLENLKKAAKVGALPSHIQLAATALPITGTKADIPKKSRKKDLEDVAGMASSATASGGKFDEKLPGEKPPKNPGKHRKFLPVVEGKGMGNQEKQQNDKILNALLAKSSEDQMDVGRAITMYKVKKEKQRRKDKEKSSSSNKLKPQKKTLKKSSKKKA >ORGLA04G0265500.1 pep chromosome:AGI1.1:4:25877831:25878565:1 gene:ORGLA04G0265500 transcript:ORGLA04G0265500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLVASLFLGVGGGGGNDACSLSSSSSTASSWQWPSCTQARTLSFARHDAPASADDDDSKRRRQQEEEEDDYCVYKTSVMNPAFFLDDHSTCRSYSSAASAVNDVVDDDDEVIIRGLRSSNRRLFFEPESTSSIVVKGRAVDADAAAFDGATAMSIDSADPYGDFRRSMEEMVMSHMSGGGHDWGWLEEMLGWYLRANGKKTHGFIVGAFVDLVVALASSPSSAAASSSAFQLPLQKGSQIN >ORGLA04G0265400.1 pep chromosome:AGI1.1:4:25869414:25870403:1 gene:ORGLA04G0265400 transcript:ORGLA04G0265400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEFVAARKKPPPELLFAAGRKKQQPPPPGMAFVPSEFAAAGVGRKRQPAPPVEIRRVWAHNVEEEFRIIRNAIDHFPYVSMDTEFPGVIHRPTKHPALLTASDRYDLLRRNVDALHLIQVGITLAASPTSAPALAFEINLSDFDQRVHRHAAESVQLLAEHGVDLAAHRRHGVRASALAPLLMSSGLVCSHGAVKWVTFHSAYDFAYLVKLLMGRKLPRSMAEFLNLVRVFFGDEVYDVKHMMRHCGGELYGGLERVAAALQVKRAAGRCHQAASDSLLTWDVFRRMRELYFLKHGVEAYQGVLFGLELDMDMPNNKTSSLPLVAAR >ORGLA04G0265300.1 pep chromosome:AGI1.1:4:25864370:25866052:1 gene:ORGLA04G0265300 transcript:ORGLA04G0265300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGGASSSVVVVPRNFRLLEELERGEKGIGDGTVSYGMDDDGDDIFMRSWTGTIIGPLNSVHEGRIYQLKLFCDKDYPDKPPTVRFHSRINMPCVNPDTGLVESKKFHMLANWQREYTMENILTQLKKEMAAPHSRKLVQPPEGTFF >ORGLA04G0265200.1 pep chromosome:AGI1.1:4:25860636:25860881:1 gene:ORGLA04G0265200 transcript:ORGLA04G0265200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAESPASSCVSSDAEEEAAVAKPMVVAGCPQCLMYVMLSEEEQQPKCPRCKSPVLLHFLHGAGAGAGAAASSKPPSKT >ORGLA04G0265100.1 pep chromosome:AGI1.1:4:25848835:25856225:1 gene:ORGLA04G0265100 transcript:ORGLA04G0265100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G04810) TAIR;Acc:AT5G04810] MQFLSLSAAAASSPAAAVLPPKPFKPLSSAAPFRRPSPPPPPPPPPSPVPSPAPPPPPHRPSPSPPPNPLASKLWLSSKLSPPPPETLEQPEPSTTTTTTTPPPPEPEAEVEARRQEEFRHKGKVFVGNLPLWARKAEIAEFFRQFGPLEKVELVRGHDDPERNVGFCFLYYGGDDADAAAERAVEVDGVDFRGRSLTVRLDDGRKGRARAEQRARWVNDGRRREPRSPWHEGRDEACREFRRVVESRPDNWQAVVSAFERIPKPSRREFGLMIVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCVEEMKSEGLELTIVTYSILISGFAKINDSQSADNLFKEAKTKLSSLNGIIYSNIIHAHCQSGNMERAEELVREMEEDGIDAPIDVYHSMMHGYTIIQNENKCLVVFERLKECGFKPSIISYGCLLNLYVKIGKVAKALSISKEMESCGIKHNNKTYSMLINGFIHLHDFANAFAIFEEMLRSGLQPDRAIYNLLIEAFCKMGNMDRAICILEKMQKERMQPSNRAFRPIIEGYAVAGDMKSALDTLDLMRRSGCVPTVMTYNALIHGLVRKHKVQRAVSVLDKMSIAGITPNEHTYTIIMRGYAASGDIGKAFEYFTKIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAEDLMKQMKEDGVPPNIHTYTSYINACCKAGDMQRAEKVIEEMVDVGLKPNVKTYTTLIKGWARVSLPDRALKCFEEMKLAGLKPDEASYHCLVTSLLSRATVMEGSTYTGIISVCREMSENDLTVDLRTAVHWSRWLHKIERTGGALTEALQRIFPPDWNSLEFLGEPSSSISMGESDDYSDSDFSGDEDEDHNIDDS >ORGLA04G0265000.1 pep chromosome:AGI1.1:4:25846636:25848224:1 gene:ORGLA04G0265000 transcript:ORGLA04G0265000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSGVIVRLFLLLGGGGGSWLLPSRALGDGVSSWLLPRPRPCDGCQRRHPRRRRRSVTAPAPTVLVTTVAARPASVAISVVPSCRVQACRDSRVLLFLTAFDSLSPFHIRNRYWVDPLTFIPTSIDLIRAKSNGRRLSGMGRHQPTETIPGWARRDTGTPNRGGAGDATCGSGDGCPPPGSVEEVKMQPSLP >ORGLA04G0264900.1 pep chromosome:AGI1.1:4:25840258:25841126:1 gene:ORGLA04G0264900 transcript:ORGLA04G0264900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1PR30] MSSGEPAAVSIPIHDHHGKAPATSSAVPAAAAAAPAAAPAVAPRKVGIPFFRRGDHHRGSRCLAFLDFILRIAAFGPALAAAISTGTSDETLSVFTEFYQFRARFDDFPAFLFFLVANAIVAGYLVLSLPFSAVLVIRPQTIGLRLLLLVCDMIMAAMLTAAASAAAAIVDLAHNGNLRANWVAICMQFHGFCQRTSGSVVASFLTVVILMFLVILAACSIRKR >ORGLA04G0264800.1 pep chromosome:AGI1.1:4:25833061:25838414:1 gene:ORGLA04G0264800 transcript:ORGLA04G0264800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVSKAVACCCCRSQHHGVVVESSEKTAEEDHGESYELPAFQEFSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDPRQFLEEAKSVGQLRSKRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWEAQAMKWPMRLRVVLYLAEALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLEGQFSNEEGTELVRLASRCLHYEPRERPNVRSLVQALAPLQKDLETPSYELMDIPRGGATSVQSLLLSPLAEACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLNSKKKGDNAFRQKDFSSAIDCYSQFIEVGTMVSPTIYARRCLSYLMNDKAEQALSDAMQALVISPTWPTAFYLQAAALLSLGMENEAQEAIKDGCAHETSSSSGH >ORGLA04G0264700.1 pep chromosome:AGI1.1:4:25828925:25829320:1 gene:ORGLA04G0264700 transcript:ORGLA04G0264700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGDAIIECSSPQQRAGRVDRVHSATGDRVTERHHCRPAFRRTLGAHAPSVPRQATSRRHQTSHGAVAGRGLRRNRNTSMFAPPAHGEIRGAHAASKRKRKSNAADSHFRRARGGGGEIEVGWAFPFSC >ORGLA04G0264600.1 pep chromosome:AGI1.1:4:25820197:25820358:-1 gene:ORGLA04G0264600 transcript:ORGLA04G0264600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESAYLAVQEGLLTDRVKHIESRVPTSSVYDDGINQRNMVRTAESLNHSEI >ORGLA04G0264500.1 pep chromosome:AGI1.1:4:25812171:25814424:-1 gene:ORGLA04G0264500 transcript:ORGLA04G0264500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKELLSPSELSYYAHQQHQHQHQQHQQQHRMLGGGGGGGGHSASPLAGMHGGPSVIRPMPNMGMSPTAILQSIGPGPLAGMQFQMDAAPPPPPLMHNSMASVSASAGAGSPTVPPSATPMEPVKRKRGRPRKYGPDGTMKVSTAAAAQHQQQMLSAPPRMGSVSGADMVGGGSGMDDSAQKKRRGRPPGTGKKQQLSSPVKLSGGNAFSGSAGTSFTPHIITASPSEDVAGKIVAFANHSSRAVCVLSATGSVSRVVLRHPADGAMSRVHASSHYKNPAIYEGLYEILSMSGCYNLMNEGQSDGLSVTLCSPERHIIGGVLGGALVAASTVQVVLGSFVQGGSKPKSKKAGKQQQQQAAAAAFSSDSLTGGGQDASPSSGHNQNLTPPPPVTTTGGWPSSGIFDTRSSNIDINSSRG >ORGLA04G0264400.1 pep chromosome:AGI1.1:4:25811195:25811772:1 gene:ORGLA04G0264400 transcript:ORGLA04G0264400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSVDSLQXPQLLCISPFSCAIRIGDIGVPMQSICRTGATEPGSNEQVVEFACTRFKAEYPILGKVDVNGGNAAPLYKFLKSERGGLFGERIKWNFTKFLVDKEGHVVNRYAPTSSPLSIENDIKNLLGA >ORGLA04G0264300.1 pep chromosome:AGI1.1:4:25806388:25809423:1 gene:ORGLA04G0264300 transcript:ORGLA04G0264300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYKLGVEVASAHDLMPKDGQGSASACVELTFDGQRFRTAIKDKDLNPVWNERFYFNVSDPSNLPELALEAYVYNINRSIDGSRSFLGKVRIAGTSFVPFPDAVVMHYPLEKRGMFSRVKGELGLKVYITNDPSIKASNPLPAMDPVSNNPPPTPAEQIAADITGTNLSTTHEHRAEVKTLHTIAKEVQHQHHGHGHLPASYADQPSKYAVDQMKPEPQQPKIVRMYSAASQQPMDYALKETSPFLGGGQVVGGRVIRAEKHASTYDLVERMQYLFVRVVKARDLPDMDVTGSLDPYVEVRVGNYRGITRHFEKQKNPEWNAVFAFSRDRMQATILEVVVKDKDLLKDDFVGLVRFDLNDVPMRVPPDSPLAPEWYRLVHKTGDKSRGELMLAVWIGTQADEAFPDAWHSDAATLEDPSAVTHMKSKVYHAPRLWYLRVNIIEAQDIAITDKTRYPDVFVRAQVGHQHGRTKPVQARNFNPFWNEDLMFVAAEPFEDHLILSLEDRVAPNKDEVLGRVIIPLTMIDRRADDRIVHGKWFNLEKPVLIDVDQLKKEKFSTRLHLRLCLDGGYHVLDESTNYSSDLRPTAKQLWKPSIGLLELGILGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTIVNNPGPKFNEQYTWEVYDPATVLTVGVFDNGQLGEKGGEKTSSSKDAKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSTSLVNMMYLYSRPLLPKMHYVRPIPVLQVDMLRHQAVQIVSARLSRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVSKWFNGVCSWRNPITTVLVHILFIMLVCFPELILPTVFLYMFLIGVWNYRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRSPDVIRMRYDRLRSVAGRIQTVVGDIATQGERVQALLSWRDPRATAIFVLFCLIAAIVLYVTPLQVLAALAGFYVMRHPRFRYRLPSTPVNFFRRLPARTDSML >ORGLA04G0264200.1 pep chromosome:AGI1.1:4:25797874:25799517:-1 gene:ORGLA04G0264200 transcript:ORGLA04G0264200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT3G48990) TAIR;Acc:AT3G48990] METPTLTTLLKAAVATFPSRRALAVPGKVDLSHAALDALVDAAAARLAADAGVLPGHVVALAFPNTVELVIMFLAVIRARAVAAPLNPAYTQEEFEFYLSDSGARLLITNPEGNVAAQAAASKLGLAHTTASLKDAAGQVHLAGFPASAAAAAKDFANDPSDVALFLHTSGTTSRPKGVPLTQRNLAASVQNIRAVYRLTEADVTVIVLPLFHVHGLLCGLLASLASGASVTLPAAGRFSASTFWADMRGAGATWYTAVPTIHQIIIDRHTSKPEAEYPALRFIRSCSASLAPAIMEKLEAAFGAPVVEAYAMTEASHLMTSNPLPEDGARKAGSVGRAVGQEMAILDEEGRRVEAGKSGEVCVRGANVTSGYKGNPEANEAAFRFGWFHTGDIGVVDEEGYLRLVGRIKELINRGGEKISPIEVDSVLLGHPAIAQAVAFGVPDAKYGEEINCAVIPREGVSLGEEEVLAYCRRNLAAFKVPKKVYIADELPKTATGKIQRRIVAQHFVVPVLPTKA >ORGLA04G0264100.1 pep chromosome:AGI1.1:4:25791075:25796105:1 gene:ORGLA04G0264100 transcript:ORGLA04G0264100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCWGGASSVLRLLLALQCGVVVVVLQCSANALGSDVSALIAFKRAIIEDPRSALADWSDADGNACDWHGVICSSPQGSVISLKLSNSSLKGFIAPELGQLSFLQELYLDRNMLFGTIPKQLGSLRNLRVLDLGVNRLTGPIPPELAGLSSVSVINFHSNGLTGNIPSELGKLQNLVQLRLDRNRLKGSIPGSNGSGFSPTANSGSTAHNGLCPSPRLNVGDFSYNFLVGKIPPCLKYLPRSSFQGNCLQDEYSVRQRAFQICISGSPAGQRGGVKGFKHPTSDHKHERSPQPTWLLVLEISTGILLLVFVITGAITASRSCKLKPSIRISSWNRSKSWSDEITVLIDSDMLKSLPKLSRQELEVACEDFSNIIGSSPETVVYKGTMKDGPEVSVISLCAFEGHWTSQHELFYQNKVIDLARLNHENIAKFLGYCRESDPFSRMLVFEYASNGTLFEHLHYGEGAQLSWLRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFTKQKHEKAPGRINNKSSFPGHLDSSEDKQADIQGNTFAFGVILLEIISGRLPYCKDKGYLIDWAIKYLQQSEEIGKLVDPELTNVRTEDLEVICSVVSRCIDPDPSKRPSMQIITGVLENGIDLSAAAILKESSLAWAELALAL >ORGLA04G0264000.1 pep chromosome:AGI1.1:4:25781732:25784464:1 gene:ORGLA04G0264000 transcript:ORGLA04G0264000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenosine deaminases;RNA binding;RNA binding;adenosine deaminases [Source:Projected from Arabidopsis thaliana (AT1G01760) TAIR;Acc:AT1G01760] MLPSSAASSPPAPPPSPWDDVSWAESTSSTVLQHYNSLPKKGKPQGRESTVLAAFLLSTPQQDPRNLTVLSLATGTKCLGAARLNHHGDLVHDAHAEVVARRALLRLIYTEIGRSGASDWLVASGEKWKLRDGYHLHLYITQIPCGVMPVPPSPSELLREQLDSVNGCDDVGFVQRKPGRGDTTLSMSCFDKITRWSVVGIQGALLSHILEPLYLSTITIGQSPTGASDGFSVENNIKKVLDARLSSLSSKLLLPFKLNKPLFYEAPIPPKEFQQTSGDLQPLTCGYSICWNKSGFHEVVLGTTGRKQGTSSKAACSPSTESLLCKRRLLEAFVLIEHPLVKKFHREEMSYCQIKDMAHEYQQTLELLRKAPFFSRWSAKPASLDSFTVSRKCMLFSRCSSELLYKLSL >ORGLA04G0263900.1 pep chromosome:AGI1.1:4:25780547:25780924:-1 gene:ORGLA04G0263900 transcript:ORGLA04G0263900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQARPYSAMFAGGVSARTGPHALPLARIKKIMKRSAGDSSVVDGGGGGGGGTRMISGEAPVVFSKACELFIAELTRRAWAATLEGKRRTVHKEDVAAAVQNTDLFDFLVDVVTADLGDDHTDYK >ORGLA04G0263800.1 pep chromosome:AGI1.1:4:25766637:25769771:-1 gene:ORGLA04G0263800 transcript:ORGLA04G0263800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage/polyadenylation specificity factor, 25kDa subunit [Source:Projected from Arabidopsis thaliana (AT4G25550) TAIR;Acc:AT4G25550] MVSSSSPVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLCSKLAVNSPSFPPNWQVGECVAVWWRPNFETVMYPYCPPHITKPKECKKLFIVHLSEREYFAVPRNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMVSS >ORGLA04G0263700.1 pep chromosome:AGI1.1:4:25760441:25765414:-1 gene:ORGLA04G0263700 transcript:ORGLA04G0263700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) TAIR;Acc:AT4G25540] MGKPKQQVLSRFFSPKPRPSAPATADDPPPPPRPPAEPPVAAVVSFSPAKRARALSVSPKTTAKRAKPSPPPSDYVRRRLLEPPRPPLPAALNPSGKGYTPLEQQVVDLKARHPDVLLMVEVGYRFRFFGEDAAVAASVLGIIAHPDHSFLTASIPTFRLGFHVRRLVAAGHKVGVVRQTETAAIKAAHGGGAAGTPFARGLSAVYTRATIEAAAGELEGGGAPDEGSRYLVCVVDKEVDAMGTEGFEVKIGVVAIEVSTGEVVHGEFMDGVSRNGLEAVLLGLAPVEVILGTPISFATEKLMVAYAGPTSNVRVERTSRLCFSEGGALAELLSLFEKSGVDAPTVENGRHLMEMNEENNNPRGIEGIMAMPELVIHALALSVRYLKGFGMDRIICFGSSFQPFTANTEMSLSANTLQQLEVLKNHSDGSLDGSLFQTMNNTCTAFGSRLFRHWLTHPLCDRNQICTRHDAVSEISESIGSQQYSTNNLQDEEDMSCSSSVRSDLSTILSSVLRMLAGTLDIQRGITRIFHCKATAKEFVGVVQAILTAGKQLQKLVLEDTDTMSSQHRTVHSPLLRRLINTASSCTVLANAATLVSCLNKDAADQGDMLNLFIASVDQFPEVAEGHATVEMAKQKLELLITEYRKQLGVRNLEFKTVAGTTHLIELPVDRKVPSSWMKVNSTKKTIRYHTPEVSKNLENLLLAKEKLAVICRTTWNNFLMDFGRYYAQFQATVKSLATLDCLYSLATLAKQNKYVRPNFVRENEASQIHIKDGRHPVANMKIIFYCATDTISNTTGRSHTVMHTSCEAFSLC >ORGLA04G0263600.1 pep chromosome:AGI1.1:4:25749270:25757410:-1 gene:ORGLA04G0263600 transcript:ORGLA04G0263600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRFTAPRPSLQIGAAGNGFRACSLRRLRHRGCGGNPMGASALGGCGSRSLFYLAPNHGSPLALRTRGRALRCQGNDSLAYVDGPLEGTNGSVVDNTEDDANSSGLDEEKGDDDAENLRDLLQKARKELEVARLNSTMFEEKAQRISESAIALKDRADKAQSDVSSAVTTIQEIISKEADAKEAVRTATMALSMAEARLQLASEALDAKRGSVGPMEVSIDDVEEEALASAQEEIKECQESLSKCEEELRRIQEKKMELQKEVDRLTELAERALLDASKAEEDVANIMVLAEQAVALEMEAAQRANDAELALQKAEKAISSVDAVVELPAPAEEQVSDEEDNVSEVYDYSSDAIDDIPERDEVSNVERLIVGDLAVEGIEQLESSREMSDDESTDKLLVEPQKEAEPDIDKSKQGKKQEIERKESQPSNAPKASLKRSSRFFPASFFSSKADGEFTPTSVFKGLMKSTRKHAPKLVVGIVLLGAGAFFLNRAEKSSQLFQQQEITTSIEEVTSTAKPIVREMRKIPQRVKKLIELLPHQEVNEEEASLFDILYLLLASVVFVPLFQKIPGGSPVLGYLAAGVLIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATTAAVGMIAHRFAVLPGPAAIVIGSGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEAMGMAAVKAIAAITAIIAGGRLLLRPIYKQIAENRNAEIFSANTLLVIFGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPAISVILGLLIIGKTMLVTFIGRVFGISTIAAVRVGLLLAPGGEFAFVAFGEAVNQGLLSPQLSSLLFLVVGISMALTPWLAAGGQFLASKFEQHDVRSLLPVESETDDLQDHIIILGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRAVWALSKYFPNVKTFVRAHDVDHGVNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIAATVNEFRNRHLSELTELCATSGSSLGYGYSRVMSISKSKTVTSDDESETVDGALAI >ORGLA04G0263500.1 pep chromosome:AGI1.1:4:25734214:25737824:1 gene:ORGLA04G0263500 transcript:ORGLA04G0263500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00590) TAIR;Acc:AT4G00590] MAGGDGGGGGGDESEFVGVGGGGGGGGEGEGNQSPPNRRFFVAVHVGAGFHAPANEKAYRRAMKRACLAAAAVLREGNGTSLDAVAAAIQVLEDDPITNAGRGSNLTESGHVECDASIMDGSTTTFGAVGAVQGVKNPIQIALHLAREQMVGPSLLGRIPPMFLVGEGACQWAKSKGLNLPEATSEGNSWLVTESAKAQWGKYRSLLASAKESVNHSTGSGSESSSVQLEAPGAEAEDITGVKKMKMITRSIMEDDQDCVMDTVGAVCVDAYGNIASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIVGCCATGAGEHLIRGFAARECCISSSLIQSGPASACTKVLRQAVQSSSEMSHDTGAGLLLIQADVLKRGEVSTLGAAELVAAYSSPSFGVGYLGSNMNSPKVAMLRSSKAAPNTINHFATRVNFDAQSDQ >ORGLA04G0263400.1 pep chromosome:AGI1.1:4:25727072:25731995:-1 gene:ORGLA04G0263400 transcript:ORGLA04G0263400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHFLMLLLLSIHPQFSRTIQTHTHTHRGRGRRRVTTNHHHHLHLHHLLSSRDCYCSTHCLALLSPSSNFPLSFYCYSPPGSNPARCNKPGILNLFFVNQLQAQLRGGKVTLAAQQEGFSDKMNSGAEYEDGSEEEEPYERVFYDDEDDGNDDSICGYPCDDSVPKEEVHRKLMHEAEPYDDLVAGEEESVENSALQAFKKERNEQELKQAQKMGYSIKQKEIPVDKEMGMKPFKKRLVKFADDVSCYTYSTESFAAAKLEKRKAQFDDQDKHLHKKQEHTPPSFPQDGGKLKEVDNTNLYVGNLPASVGSHKLIELFLPFGRIVRSRVVDDCFTGLSQGYGFVKYSDPCCASEAIKRMNGRLVEGRALEVRVAGFPSSEDNSQQPSKETDMAKLYVCNLSLSMNTDRLIHLFLPFGEVTNAKVAKDHTTGLSKGYGFVQYSSPHHAAEAVIHLNGRLVDGRKIEVRVSGIPSTLPNSAVESPSTTRNSAVESPSTTRTVKEIDMSNLYVCNMPSSIDTKKLVELFLPFGKITHARVVADPDTFSAKGYGFIKFTDSESATKAIAAMNGALVGGEMIIVRVAGLSPSASISAVQTTQDINKSRLYITNLPRSMTADKMVNLFAPFGQITKVLMNLEYSLVWYADAPSATKAVQHMDGYMVEGKRLVVKRSELCTTNASQAGGKPIKEIDMANLYVGRVPSSLTEDQFIDLFRPFGRVVQARMFRFQRYGMVRFDNPSCAAAAIDHLDGYQIGGSILAVRVAGLPAESNAAKGALTSQMSSNEQGQIDMTNLYVSHLPSYVNNERLIDLFLPCGQIIQAKVVVERYTGVSKGFGFVKFADAYSAAVALTHMNGYPLDGHVLEVRIAGVHPDAMSSYMAHFYSHFTMHDPAKAAVGIPTSYWPHYYDESAYNTTAENLGQVTTTSATDASAAQTSQKERLPGSKSVDLVAEKDCSSASNKVANCSESQPTAWAGPPGFEPHAISKKCTAGSNASQACSKDHFAQSGGGHKRRSIV >ORGLA04G0263300.1 pep chromosome:AGI1.1:4:25723233:25725668:1 gene:ORGLA04G0263300 transcript:ORGLA04G0263300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphomannomutase [Source:Projected from Arabidopsis thaliana (AT2G45790) TAIR;Acc:AT2G45790] MAARKNAGVLALFDVDGTLTAPRKVVTPEMLQFMKQLREHVTVGVVGGSDLVKISEQLGKSVTTDYDYCFSENGLVAHKNGELIGTQSLKSFLGDDQLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDYEIFESDRTIGHTVTSPDDTAEQCRSLFMSK >ORGLA04G0263200.1 pep chromosome:AGI1.1:4:25719206:25720760:1 gene:ORGLA04G0263200 transcript:ORGLA04G0263200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGKLEVLLVCAKGLEDTDFLNDMDPYVILTCRTQEQKSSVAKGAGSEPEWNETFVFTVSDDVPQLNLKIMDSDAFSADDFVGEANIPLEPVFLEGSLPPAVHRVVKEEKYCGEIKVALTFTPAAETRHHHNHENEGEGYSSWN >ORGLA04G0263100.1 pep chromosome:AGI1.1:4:25715588:25717569:-1 gene:ORGLA04G0263100 transcript:ORGLA04G0263100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:I1PR12] MAATAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFDDASVGSVLAVIKKVENLGDLFITEISRVLKAGGMVLIQSSPSDQDPNNSIQRKLLLGGFVDVQASAASSQDSEHSVTIKAKKVSWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKKGTSATAADRNWLL >ORGLA04G0263000.1 pep chromosome:AGI1.1:4:25711593:25714054:-1 gene:ORGLA04G0263000 transcript:ORGLA04G0263000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease [Source:UniProtKB/TrEMBL;Acc:I1PR11] MTSLPDRGVSSSSSDPLCEGNIAPCSSSSEQKEDCSLKQSKTSILSCVFNSPFNIFEVHQDSSANKSPKSSSGSYDWSRVLRRIVCSGSMWRFLGTSKVLTSSDVWFLGKCYKLSSEESSSDSDSESGHATFLEDFSSRIWITYRRGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRRPSEKPYNPEYIGILHMFGDSEACAFSIHNLLQAGNSYGLAAGSWVGPYAMCRAWQTLVRTNREQHEVVDGNESFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFKGQSTWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQMAVDIAADNIEADTSSYHCSTVRDLALDLIDPSLAIGFYCRDKDDFDDFCSRATELVDKANGAPLFTVVQSVQPSKQMYNQDDVLGISGDGNINVEDLDASGETGEEEWQIL >ORGLA04G0262900.1 pep chromosome:AGI1.1:4:25705564:25708050:-1 gene:ORGLA04G0262900 transcript:ORGLA04G0262900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDWQELAQAAVIGLLFAFLVAKLISTVIAFKEDNLRITRSTPTSPSAADTPAAPAPPPASLDGGHGDTSDGSGSDSDWEGVESTELDEEFSAASAFVAASAASGTSVPEQAQLQLYGLYKIATEGPCTAPQPSALKLKARAKWNAWHKLGAMPTEEAMQKYITVVDELFPNWSMGSSTKRKDEDTTVSASSSKGPMGPVFSSLMYEEEDQGNDSELGDIHVSAREGAIDDIAKHLAAGVEVNMRDSEGRTPLHWAVDRGHLNSVEILVNANADVNAQDNEGQTALHYAVLCEREDIAELLVKHHADVQIKDEDGNTVRELCPSSWSFMNLAN >ORGLA04G0262800.1 pep chromosome:AGI1.1:4:25703139:25703944:1 gene:ORGLA04G0262800 transcript:ORGLA04G0262800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGVSAAENLGNPQRPCRSRAAMRDGERAEVGAAGVKRKEASASSDSEPAGKKAKKKILPKWRANAIPCEGGEVLRRKKEAVAASMLWRTPDVPGASDVWDSIEVPAEMLELWLERQKAKAEAAAAKKKRKVFKCRVPNSLVEVMITQPYKCVDHNRSQEELAELAVPHRQIYILRKFIDEKKMNYEQTLIDQYATQGYAEDEEEVTDDDDDEDPATLT >ORGLA04G0262700.1 pep chromosome:AGI1.1:4:25700354:25700947:1 gene:ORGLA04G0262700 transcript:ORGLA04G0262700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGERAEVGAAGKKRKEVSASSDSEPAAAAGKKTKKEKILPAWRANAIPCEGGEVLRRKKEAVAAGKLWSTPDVPGAMGSHLWDPIEVPAEMLELWLERQKANAEAAAAKKKKRKVFKCRVPNSLVEVMIARPYKCVDHDRSQEELAELTVSHRQGYILRKFIDEKKMKYEQTLIDQYVKQGYAEDEEEVTDDDDD >ORGLA04G0262600.1 pep chromosome:AGI1.1:4:25689255:25698414:-1 gene:ORGLA04G0262600 transcript:ORGLA04G0262600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRHPAAAGGGGEDELGGGGGGGDGGGIIRHNRRCRDLAFLVLFAAFWVAMIVNSSFGFNQGNPLRLTYELDYKGNICGSRHGDPDLHELDVRYWMNPNQVYQSGVKDNKINLADAKAICLMECPIPAADGLNFVCDYPEGDIRLSIDDWINRDYDYFEFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGINIDENILIDKTIHKAINSKSSVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLLIRYFVAAMPWITVVLFNALVISVTMFFYIKAGWLGNDPLTVVIGESDPYVHITGREISHLHAATVVMTVVMIIAFLSSIAIIRRILIATPVLKVAAKVIGEVQALIIFPVVPYFILAIFYMFWFSATLHLFSSGQVLRNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEISHDIPFVTVVSSLKRLLRYSLGSVAIGSLVVSAVEWVRFILECLRRKLKLVDSARESCFGKMTSSSSQCCLGCIDWTLKSVNRNAYIMIAITGKGFFKASVLATGLIMNNILHIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLVPVVVSWALGFIVAKLFFQVVEMSIDTIILSFCQDSEEHQGNAQYAPPLLVETLDEQSELHRLTQGP >ORGLA04G0262500.1 pep chromosome:AGI1.1:4:25687966:25688569:-1 gene:ORGLA04G0262500 transcript:ORGLA04G0262500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVFSSLMYEEEDXGNDSELGDIHVSARGGVIDYIAKHLAAGVDVNMRGRCQKDVGVLVKGDISCTAYTVYTV >ORGLA04G0262400.1 pep chromosome:AGI1.1:4:25684637:25687264:1 gene:ORGLA04G0262400 transcript:ORGLA04G0262400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAKALLLSTSVLLVLCLLPVDPAAPPVAAIPHRRSGRHYVPFGRHAAFGPFATEVELLLHGGGAVPDIRTFRDTLDRLPDWSHFDAELGPLERYFGSDGELNVKERLLYLFPMLDRAPKDGGVSCGELEAWLRRQAADRLDAVARRELKRHDKDGDGVVTLREYLAVDHDQHIDWTDTEHGEPGWWLHKFISADRDHSGAMDYIELNDFLHPEDSSQEKVKLWLLKDKLSGMDHDRDGKLSLDEFISQFHMIDHNSIVEHSADDDTSCAEAEKKFRELDSNNDGYLTVEEARPVIQSLISGEFSYAKSHAKLLMKADDNKDNKLSLEEMLNHYLSFYNIVYMDDHYDYDDIGNNIHDELR >ORGLA04G0262300.1 pep chromosome:AGI1.1:4:25677896:25682138:-1 gene:ORGLA04G0262300 transcript:ORGLA04G0262300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRHPAAAGGGGGDELGGVGGGGGGDGIIRHNRRCRDLAFLVLFAAFWVAMIVNSSFGFNQGNPLRLTYELDYKGNICGSRHGDPDLHELDVRYWMNPNQVYQSGVKDNKINLADAKAICLMECPMPAADGLNFVCDYPEGDIRLSVDDWIDRDYDYFEFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGINIDENILIDKTIHKAINSKSSVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLLIRYFVVAMPWITVVVFNALVISVTMFFYIKAGWLGNDPLTVVIGESDPYVHITGREISHLHAATVVMTVVMIIAFLTSIAIIRRILIATPVLKVAAKVIGEVQALIIFPVVPYFILAIFYMFWFSATLHLFSSGQVLQNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEISHDIPFVTVVSSMKRLLRYSLGSVAIGSLVVSAVEWVRFILECLRRKLKLVDSARESCFGKMTSSSSQCCLGCIDWTLKSVNRNAYIMIAITGKGFFKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLVPVVVSWALGFIVAKLFFQVVEMSIDTIILSFCQDAEEHQGNAQYAPPLLMETLDEQSELQRLTQGP >ORGLA04G0262200.1 pep chromosome:AGI1.1:4:25655272:25660303:1 gene:ORGLA04G0262200 transcript:ORGLA04G0262200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:I1PR03] MAGGEGGGAAAVPEEGRSLALTPTWSVAIVLTLLVAGSLLIERSIHRLSYWLKKTHRNPLHKAMEKMKEEMMLLGFISLLLAATSRIISGICIDSKYYNSNFSPCTREEVEESIKIKHAVSSARKHLIEVILHHAARRNLKARYHHNQSCAEGYESFVSHEGLEQLHRFIFVMAVTHVTYSCLTMLLAILKIHSWRKWEDEAFRDNHESFSQIAYISATRRQPALGRSYSFRSWSENNAIKCVFCFLAQFGQSVVRADYLILRKGFIMTHNLAPTYDFHDYMVRSMEEEFEKIVGVSGLLWGFVVAFMLFNINGSNLYFWIAILPVTLVLLVGAKLQYVIATLTAEGAKMNAYGPRIKPRDDLFWFKKPEFLLWLIHFILFQNSFELASFFWFWWQFGYDSCFIKNHLIVYCRLILGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPRRIRETMHGWGKDARRRRKKHRGGDDSTIRTETSTVCSLDDDDDGDDEHGQFVETTPSRPYLKIQLQPLRSGGGSARPGTPCHPGVVGLPPLHSASTQGSSHPMLQRQPSSLSAPSSPSPRGGGMTRSMSMPGFASLTRTPGGSCPGTGAGTPTRLSDARN >ORGLA04G0262100.1 pep chromosome:AGI1.1:4:25648518:25652503:1 gene:ORGLA04G0262100 transcript:ORGLA04G0262100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, type 2 [Source:Projected from Arabidopsis thaliana (AT1G65070) TAIR;Acc:AT1G65070] TAMAAAAAAAKPNLLLPISVAIPSSRVSLPTGLVCSARSPPPRLRAAAAAAAASQALTSPVAAETPEAKQMRVETEAALEWGGVCARLAGFASTAAGRAACGEGRVPVGRSREESERLLEQTAAAALLPAPLDFGGVEDVSAAIAAAAGARLLAVREICGVGRSIRAARRVFDQLKTLSEETPDGRSYTPLLDIMQDCDFLTELVQRIEFCLDYTLSVVLDRASDKLATIRKERRKNIDMLESLLRDTSTKIFQGGGIDSPVVTKRRSRMCVGVKASHKHLVPGGIVLSSSGSGATYFMEPRDAIRLNNMEVKLSGDERAEELAILGLLTSSIADSEMKIRHLMGKILELDLACARGSYALWINAVRPAFTDRDSDTQLNPNSECSVFIEGIQHPLLLEQSLSMVKESTGVGKGQLSDEHLVSPMPIPLDMQVRNDTRIIVISGPNTGGKTATMKTLGLASLMSKAGMFFPAKGTPRLPWFDQVLADIGDHQSLEHSLSTFSGHISRLRKIVQVVSKDSLVLIDEIGSGTDPSEGVALSTSILKYLASRLNLAIVTTHYADLSRLKAVDDRFENAAMEFCLETLQPTYRILWGSTGNSNALSIAKSIGFDQKVLARAQEWVEKLLPDKQKERQGLLYGSLLDERKLLESQANEAASVLSDVERLYNEIRSEADDLDSRVAALRATESEKVQQELKFVKSQMDQIIKDFESQLKNSELEQHNSLMRKAEAATASLAATHQPTDFTFGDEENESSYVPEIGDKVYVEGLGGGSMASVVETLGEDGSCMVQYGKIKVRVKGNEIKLVQRGTKDTSASSPVKAKGRTPKRSAAEANQDGNVSFGPVVQTSKNTVDLRGMRVAEASHELQMAIDGCRSYQVLFVVHGMGTGAVKECALGILRNHPRVAKFEDESPLNYGCTVAYIE >ORGLA04G0262000.1 pep chromosome:AGI1.1:4:25640365:25646673:-1 gene:ORGLA04G0262000 transcript:ORGLA04G0262000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFDEKFALLRSIAEECICEDELRVLLKKKPNPICYVWFEPTSMMGIEQGIMKTIYVNKMVRAGCTVKILIADWFLQRNPRFTCNLNKIQAIIRYNIMMWKAVGMHHDKVEIIWFSDELNHHAVDYWPLAMDVSRKYTMKRMASYCKYVEPYGPGILPAAQIIYPCMLVSAVLCHKICINFSAHCIPYVDDIEEKISCAFCPSRVVACNPCLEYIKSVVLPWFGKFEVVQKEGNGSNKTYSSMKELIADYESGDLDSFDVKLALGKAINGILELVSEFFRSNEEAQAQIVPHRLQDEIGADIQKIQLQNEEMSGHVASTCQGISEKKKITFPSLSPMTEVATGGAADEKGAAHVRGCRPTRGEERRAYTGGGRRG >ORGLA04G0261900.1 pep chromosome:AGI1.1:4:25634967:25639192:1 gene:ORGLA04G0261900 transcript:ORGLA04G0261900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:allantoinase [Source:Projected from Arabidopsis thaliana (AT4G04955) TAIR;Acc:AT4G04955] MAMAAAKGRVLPLLAVAAALAAALLYRAPFSKSLGGEGCSLLPHDHFWIASERVVTLGRVGPAAVEVKGGLINAIAVGDYRSFLLRRPVVDYGDAVIMPGLIDVHAHLDEPGRAEWEGFSTGTRAAAAGGITTLVDMPLNSYPSTVSEETLKLKLDAAKDKLHVDVGFWGGLVPENALNPSALESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYKRPLLIHAERIPDVQNEDGIDGELDPKAYTTYLKSRPPAWEEAAIKDLQRAMKDTEIGGRSEGAHIHIVHLSDAKTSLGLLKDAKQNGARVSVETCPHYLAFSAEEVPDGDTRFKCAPPIRDSTNRDNLWEALLDGHIDMLSSDHSPSAPDLKLMEEGNFLRAWGGISSLQFVLPVTWSHGKKYGISLNQLASWWSERPAMLAGLKKKGAVLPGYRADIVVWKPEAQFHLDDSHPVYHKHRNISAYLGKQLSGKILSTFVGGNLVFAEDKHAKAACGAPILAK >ORGLA04G0261800.1 pep chromosome:AGI1.1:4:25630892:25632860:-1 gene:ORGLA04G0261800 transcript:ORGLA04G0261800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGEWTPPCGSCCTKKYASLVQIPWRVFCKKGCDADGDTWDECISKCTEICYKDPVLEDHQWSAYIDRSPGQDSYSLECFNACVSGCGYRFDIPAEKVEQIKPNRPSKPPPPPPPAVERATNSEPAVKGEDVPCTSA >ORGLA04G0261700.1 pep chromosome:AGI1.1:4:25629682:25630212:1 gene:ORGLA04G0261700 transcript:ORGLA04G0261700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRFKIPRKRFRLLVRTPGGTMSMQDGERLKTTPLGREVWLRWHLLIFDQTIYAVDGIRTWAAYARHLPDIAAATAAIAAVLRAYRERRVELGLFHLRPLRKLLVFRLMSPLLVMPLPDALEKWRSRRRRRRHAMLLLQSKSSGDSSIYGKCCTTGFIYPVYLLFVAILACVIIF >ORGLA04G0261600.1 pep chromosome:AGI1.1:4:25623468:25628419:1 gene:ORGLA04G0261600 transcript:ORGLA04G0261600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor-related [Source:Projected from Arabidopsis thaliana (AT4G17020) TAIR;Acc:AT4G17020] MPQVMVVARNFMDMVAALPAAKLDMLYDSAFICEAVLRSLPPLAKKYALQMLYVSAPVAAAAMEEWVLDEYAAKHRVAIDRLLQLRVFVEVRDRRKEVSYKMNQKFQGNMQKYLVDGGSLPREPIPSSVTARLPTLAELESFALEQWECFLLQLINSSQVERGTSFSSSMMRTFQRGLLSSRDGEAPRLTENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHTLGEAYSLNTLTDVQRNAIRDLAELGLVKLQQGRKDSWFIPTKLATNLSASLSDSSSNKEGFVVVETNFRMYAYSTSRLHCEILRLFSRVEYQLPNLIVGSITKESLYGAFENGITAEQIISFLQQNAHPRVADKIPAVPENVTDQIRLWETDRNRVDMILSHLYEDFPSKDMFDQCCDYARDHGCLLWEDAKKMRLIVRVEFHSEMREFLRRLR >ORGLA04G0261500.1 pep chromosome:AGI1.1:4:25622320:25622784:-1 gene:ORGLA04G0261500 transcript:ORGLA04G0261500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGPTCHPLSLLPPLFLPSLFLSLSLLSPSAGRGEHGLERRRAAAAAAVEADAAAARLEVRDSLLCGLYASLLCLLHRGAPGAGGSRSFETVDSLNIFRAKDYDATIELYWAPMLAESNSDGAAVLDDRLIRSAPMNKHSTFWKGADVLVFNSHR >ORGLA04G0261400.1 pep chromosome:AGI1.1:4:25615679:25619022:-1 gene:ORGLA04G0261400 transcript:ORGLA04G0261400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin family protein [Source:Projected from Arabidopsis thaliana (AT2G20740) TAIR;Acc:AT2G20740] MAGRVVRSCVQTGLKAVNSVLGLAGMAVILYALWMLRAWYRDVADLHYRLPVPWFIYTFIGLGVFMCLLTCSGHIAAETANSHCLSCYMIFVFIIIILEGAITTDVFLNSNWEEDFPDDPSGKFEEFKDFIRSNFEICEWIGLSVVTAQVLSIVLGMVLRALGPDRESDYDSDDDPSVPARLPLLRNQYQHGINYSEHTLPQSSDSWSLRILDKANK >ORGLA04G0261300.1 pep chromosome:AGI1.1:4:25612515:25614460:1 gene:ORGLA04G0261300 transcript:ORGLA04G0261300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCSMRKSFKDSLKVLEADIQHANTLASDFSRDYDGACLQMRMSYSPAAQFFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTLSTHERKASIREFYAVIFPSLMQLHKGISDIDDRRQKAICTERYRRRDEDESKRHVSEIDVEREEECGICMEMNNKVVLPNCSHAMCMKCYRQWRSRSQSCPFCRDSLKRVNSGDLWMFTDDRDVVDMATITRENLRRLFMYIEKLPLVAPDNIFYAYDSHVK >ORGLA04G0261200.1 pep chromosome:AGI1.1:4:25605303:25606559:-1 gene:ORGLA04G0261200 transcript:ORGLA04G0261200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQSHDVSDLCIGKPPVRWLPPSSTVADAIAEIEAGGGRGPDAAVAVWDGRKGEVDGRVCMADVHLFLCGGDGEAASLASPAAALQATLSDLLAAGAPPVRRIEPHASVVEAVDAFLDGAHCLVVPIRERWRRAAAGEMCMCWLTVEDVVRFFVGSIGLFAPTASLSVSQLGIVRSATLAVADGDRALSAVPLLRAALATHSSVAVITGAGIVPRLAGEVSPSTLCSCDVSVAAAIAALSAGDLTAFLHRSDLRCRRNLPGMVDLLYAGDPSSWPPSPSSSSSSSSSSSLSSFSSSSDDEAEDGYKHYASAPCARRGNNRQTIACHPGSSLVAVMAQAVAHRVTQVWVVDADDGELVGVVRFLDVLWVLREHLNQPPPDLPIEFLVNYSQY >ORGLA04G0261100.1 pep chromosome:AGI1.1:4:25599422:25600510:-1 gene:ORGLA04G0261100 transcript:ORGLA04G0261100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKQVAHAPAELNSPRSSAAKPKNPDEILRDFHALHPIEAFSTSFGGGAALACVAGHARNGLSGYERMFCGLDDIYCVFMGRLDNLSSLIRQYGLCSRSTNEALLVIEAYRTLRDRGPYPADQVVKDLSGSFAFVVFDNKSGAVFAALSTDGEVPLYWGIAADGSVVICDEREIVKGGCGKSYAPFPVGCMFHSEGGLKSFEHPMNRLKAMPRVDSEGVMCGATFKVDTFTKINSMPRVGSATNWAATWDDAAA >ORGLA04G0261000.1 pep chromosome:AGI1.1:4:25595041:25596821:1 gene:ORGLA04G0261000 transcript:ORGLA04G0261000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHSMCPFCAQEEEITNHILIYCVFARTCEESLDAEALACIQALKLANDMGMGHIIVETDAQALKAALLDETHDRSVNAVIIREAKFLLAMNFNVHQVMYCPRECNRAAHELAKIGASLGPRSQFVWLEGFPDVVCNLVASDSAGQPA >ORGLA04G0260900.1 pep chromosome:AGI1.1:4:25586321:25588332:-1 gene:ORGLA04G0260900 transcript:ORGLA04G0260900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWCCIPKSKKGENPYSHGVSGIPPEKNIRLFSYSELRSATENFNRSNKIGRGGFGTVYKGTIRNGRDVAVKVLSAESRQGVREFLTEIDVITNVKHPNLVELIGCCVEGNNRILVYEYLENSSLDRALLGSNSEPANFTWSIRSAICIGIAKGLAYLHEEIASPIVHRDIKASNILLDKLYNPKIGDFGLAKLFPDNITHISTRVAGTTGYLAPEYAWHGQLTKRADIYSFGVLVLEIVSGKSSSRSLLADDKILLEKAWELHEVGKLKELVDSEMGDYPEEEVLRYIKTALFCTQAAAARRPSMPQVVTMLSKPIRINERELTAPGYIHDYNGTVSKATNSSNSRFKHSASDTSDMFSTVVPPTVSEISPR >ORGLA04G0260800.1 pep chromosome:AGI1.1:4:25582300:25585671:1 gene:ORGLA04G0260800 transcript:ORGLA04G0260800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain [Source:UniProtKB/TrEMBL;Acc:I1PQY9] MASFFADDGADELPRTASHPFDADDDAAPDASGGAAADDTGYGGYASFVDGGVEDVEEEEEIAVESEGVPIGHVSGGFSPSPFSPDPELDGGDGPILPPPAQMGAEEGILLREWRRQNAIVLEEKERKEKELRAQILAEAEEFKKAFYEKRIQNCETNKVHNREREKIFVAGQEKFHAEADKQYWKSISELIPHEIATIEKRGKKDKDKKPSITVIQGPKPGKPTDLSRMRQILVKLKHAPPPHMMQPPPAPAAKDGAKDGAKDGTPAPANGTKKPAESKEKPANGSPAEAEKEQPAASE >ORGLA04G0260700.1 pep chromosome:AGI1.1:4:25577498:25579492:1 gene:ORGLA04G0260700 transcript:ORGLA04G0260700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRATEAATGNGGGMRSLPDFLGRKSKYVRMDDVLPDEQGDGGGGGGVVRVRGGGGSGRYVFACSVFASLNHVLLGYDVGVMSGCIIFIQKDLHISEVQQEVLVGCLSFISLLGSLAAGRTSDAVGRKWTIGLAAAVFQAGAAVMTLAPSFAVLMMGRLLAGIGIGIGIMVAPVYISEITPATLRGSYASFPEIFISLGILLGYVSNLAFSGLPDHINWRVMLAAGIVPSISVAFVLLVIPESPRWLVMQGRAAEARAVLLKVTDGEDEAQERLAEIEEAARVTATGNGKAVWRELLRPSPVIRRMLVTGIGVQLFQQITGIDALVYYSPTIFRDAGITTESQLLAATVGVGLSKTVFIVIAIVLVDRVGRKPLLYVSTAGMTACLAALAASLSLLAHGALPRAAAIGAAILTVCGFVAFFSVGIGPINMVLSSEIYPLRLRAQAVALGFAVNRLTSGAVAMSFLSICGAVSVAGAFAAFAAISALSVVFVHVFVPETSGKSLEQIESLFGGGAGAGEVELGDAEHLVQGKEMS >ORGLA04G0260600.1 pep chromosome:AGI1.1:4:25574296:25576550:1 gene:ORGLA04G0260600 transcript:ORGLA04G0260600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGAEPPAASGGGLPGFFGGKSKYVRMDDVLPQEQEEDGVGGGGGGVRVRRSHSSRRYVFACSVFASLNSVLLGYDVGVMSGCILFIQRDLHINEVQQEVLVGCLSFISLLGSLAGGRTSDAVGRKWTIGLAAIVFQAGAAVMTLAPSFEVLMVGRLLAGVGIGFGVMIAPVYIAEISPAASRGSFTSFPEIFINLGILLGYISNYAFSGLPDHVSWRVMLAVGILPSVSIAFALLVIPESPRWLVMQNRADEAREVLLKVTDSEDEAKERLAEIEAAAAVASAGKYGDKTVWQELTRPSPVIRRMLITGLGIQCFQQITGIDALVYYSPTIFRDAGITTESKLLVATVAVGFFKTAFIALAIVLIDRVGRKPLLYVSTVGMTACLVVLAATLAALAHGSASRSAGIAVAILTVCGDVAFFSVGIGPICWVMSSEIFPLRLRSQAAALGAVMNRVTSGAVAMSFLSVCRAISVAGAFSVFAVISALSVVFVYRYVPETSGKTLEEIELLFGGGGGGDGEAARGEVELGDGEHLVHKG >ORGLA04G0260500.1 pep chromosome:AGI1.1:4:25570012:25573138:1 gene:ORGLA04G0260500 transcript:ORGLA04G0260500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIWMLMAAGAVLLWAVSLGRVLSSSSPACLPANSTFLSPPRGDRMSRNVLLVLAHPDDESMFFAPTILFLKSKGHSIHILCLSQGNADGLGNIRKEELYLACVTLKIPAEQVKVLDHSELQDGFHKNWDHGLIAELTLAQSQLWNIDTIVTFDSQGVSGHPNHCDVHHGICKLLRDNGQGHIEAWELVSLNIFRKYSGPVDIWLSSTLSSSSKQPTYTLVNNSPSRSFEAMASHKSQWVWFRRLFVLFSSYTYINVLQKI >ORGLA04G0260400.1 pep chromosome:AGI1.1:4:25568023:25569307:1 gene:ORGLA04G0260400 transcript:ORGLA04G0260400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQAALLPSALSVPKKGNLSAVVKEPGFLSVSQKAKKPSLVVRAVATPAAPVASPGAGTSKADGKKTLRQGVVVITGASSGLGLAAAKALAETGKWHVVMACRDFLKAATAAKAAGMAAGSYTVMHLDLASLDSVRQFVDNFRRSGMPLDALVCNAAIYRPTARQPTFTADGYEMSVGVNHLGHFLLARLMLDDLKKSDYPSRRLIILGSITGNTNTLAGNVPPKAGLGDLRGLAGGLRGQNGSAMIDGAESFDGAKAYKDSKICNMLTMQEFHRRFHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQRFVTKGFVSEAESGKRLAQVVGDPSLTKSGVYWSWNKDSASFENQLSQEASDPEKARKLWDLSEKLVGLA >ORGLA04G0260300.1 pep chromosome:AGI1.1:4:25560443:25561391:-1 gene:ORGLA04G0260300 transcript:ORGLA04G0260300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVASSPSLVLSAAAATASNKRPADSDASPPHQGDRTGQQEKKQQQLECPRCRSTNTKFCYYNNYSTSQPRHFCRACRRYWTHGGTLRDVPVGGASRRGGGGKRRRVSADADPSSASPPPPTTSTTDAYADLPAGFPFLSDGAFLPQFGLAGVAPAAFSWASAVPDLYNCGIAPWDDGTAVTGAAWDNFADIAGLDLSWPPLGN >ORGLA04G0260200.1 pep chromosome:AGI1.1:4:25554480:25559707:1 gene:ORGLA04G0260200 transcript:ORGLA04G0260200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAAAAAGHMDPVGDEAAERREMEEKEEEEEEDEEFYESLDRILSSSCSSTSASDDDDQQHRRRRRHHPQPQQLSSSATFSAYEVWISEPTSVEERRRVLLRRLGLAHDSEPLPHPSPRVSSSSPRSPTPSPPSSSPPRPAPVVAAAEEPRSSGHGKPPLARNPSGGAEQCRIRNLDDGTEFEVGEVHDEVVREVGTGRQLTFEEFELCIGRSPIVQELMRRATTAASSSTSDHAAPASKPRRKPGGWLRGIRHLAGSVAYGRSSTDERDKEKEKEKKEREARRLSSATDDSLDGNGSRNAGRVRVRQYGKACKELTGLFMTQELAAHSGSIWCINFSLDGRYLASAGEDRVIHVWEVSEGERKGELLGEGTVARENGGGCSPFLAAVGNGSPELATLSLSCADGGFVEKKRRPRMQSSRKSVGSDHLVVPECVFGFRDKPVCSLLGHAADVLDLSWSKSQYLLSSSMDKTVKLWDITTSTCLKTFSHTDYVTCIQFNPVDDNFFISGSLDEKVRIWNVHDRKIEDWNDLHEMVTAACYSPDGQVALVGSHKGSCHLFDTTEKKLQYKSQIELRIRKKKSGQKKITGFQFAPGSSSEVLITSADSRIRVVNGDELVHKFKGFRNTSSQISASVAPNGKYVVCASEDSHVYVWRHDNTSHPSRSRSAVDVTNSYEHFHCHDVTVAITWPGAESRGSFGSRSSRNSDSDDVVMNTGRDAPVENSEHDLNGTVNRCTKRPVCEGVASTSNPPADGVSTSWPDEKQSSAKSSPGHCSSDLCIGALDVQRRSAWGLVIVTAGRGGEIRVFQNFGFPVQV >ORGLA04G0260100.1 pep chromosome:AGI1.1:4:25544504:25549000:1 gene:ORGLA04G0260100 transcript:ORGLA04G0260100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASIIILAVVFVLDVLAFVLAIGAEKRRNTAAYVNVDQNARPYCVYGSDAATGYGIGALVLLAAGQAVIMVATRCFCCGRALSPGRWRAFAGFCFITCWFTFVIAELCLLAGSVRNAYHTKYSTLVISGPPRCAMLRKGVFAAGAAFTFLTALFAELHYLFFAKARHAAAVPPPIVGGIGMTRM >ORGLA04G0260000.1 pep chromosome:AGI1.1:4:25539041:25543074:-1 gene:ORGLA04G0260000 transcript:ORGLA04G0260000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIPELDNRSDPQEPSRNPGIWQCTICEHGNDAKKISCEQCGVLRYFSLYFNNALEVDGRAKRRDKHYAVSVLARTLFSPSSAKSKDVVLSGGFKASRNATGSTRATLDALHKTYMTRKERHINIVPFKFDTPSPDDVVATGLKSSRSFRKVDTDAPHVTEKRVMDNDSSTPEKDTTADSNLPVKSNEFGESSESVSVGSQNETLCLDHELQHLSLERKSQKSKANIKKPVSSSLYKPEPWMLQHEDEGIPRQLNLAIVGHVDSGKSTLCGRLLHALGRISKKQMHKYEKEAKEKGKGSFAYAWAMDESADERERGITMTVGVAYFDTKNYHVVLLDSPGHKDFVPNMISGATQSDAAILVIDASIGSFEAGMGINGIGQTKEHSQLVRSFGVDNLIVVVNKMDSVEYSKERFNFIKSQLGAFLRSCGYKDSAVAWVPISAMENENLMTTASDTRLSSWYDGNCLLKAIDTLPPPSRDVSKPLRLPICDVFSSHKLGQVAIGGKVEVGATRSGSKILVMPFGELAVVKTIERNSSSCNLARAGDNVAIGLQGIDPSHVMPGGVICHPDYPVSVASCLELKILVLDITVPILVGLQFELHIHHAKVSASMVKILSLLEQKTGKASKKIPRFLTSRQTAVIEVKLEKEVCVEEFSNLKALGRVFLRSQGNTIAVGIVSRVREQA >ORGLA04G0259900.1 pep chromosome:AGI1.1:4:25526510:25534845:-1 gene:ORGLA04G0259900 transcript:ORGLA04G0259900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:I1PQY0] MTTNTKRAYKLQEFVAHSSNVNCLKIGRKTSRVLVTGGDDHKVNLWAIGKPNSILSLSGHTSAVESVNFDSTEVFVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCMSVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNVVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSSDKTVKFWDLETFELIGSTGPETTGVRSMTFNPDGRSLLCGLHESLKVFSWEPIRCHDTVDVGWSRLSDLNVHEGKLLGCSFNQSCVGIWVVDLTRLEPYATGTSTKLNGHSELKSSSSSTMPLQNDSGSRANIGRLSVLQNSENNIKSSTGRLSVSQNSDSALKETKSTTSSGLVPVTPQRAGNGSSTKTVGNSTFASSGTNLKRGSLKSNNSSSLQNFSKIDVVPVIIPRTSSGPELATDSRSDAADVGPVLSKSGRRIEIANDSRKESSDVAAAVVPRTNSRTEMASDSAPVVGPRANLRMEVSADSAPIVPKSGRRLESSVESRKESTDVASAAAPKTSSRMEVAPDSAPLLSKAGRRVESATDSRKESADVAPVVPRTTSRMEMAPDSRREISAGRMSPFRVQSRYSELRKLNNAKADADKVDAGSKNSEADDFTCQIYLPRRNGVVQSGISEETREDAKPGVIDRMGFPSSAEPNTHRSENYVSRMRKPRDNCYIEVSRAGRTRPTASNWESRDQSPGNEEPTTSNSSSMAPTGRLYSSRGSSQAAETPTIASDEDVLSVLMEQHELFLSSTRSRLTKLQIVHQMWQRNDIRGIIAAMEKMSDHAVSADVASVLMEKSETITLDLCTVILPVLTDLLESKTDRHLGVSLELLVKLVRTFGSVIHSTVSAGPSSVGVDLQAEQRRERCNLCFIELEKVKNKLPFLSRRKGAVANTAQELSLVFQEVM >ORGLA04G0259800.1 pep chromosome:AGI1.1:4:25524842:25525441:1 gene:ORGLA04G0259800 transcript:ORGLA04G0259800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSCSFSFAVVSVLLLMLAVVTASAATTAAEEPAHEEATHNERILLADSDADTDTDAHQLLHNVEEELAAATTIAAGQAAAAGVRVASAAEDQKKDGGGDAGAAAMPVALERQEAKTKTAGRLIATQGDDKSGGGGGNEHGKEGGGGGGKEAEKSKSCVTKEECHKKRLMCGKTCTMSAHTKCAAKCSKSCIPTCG >ORGLA04G0259700.1 pep chromosome:AGI1.1:4:25517805:25520791:-1 gene:ORGLA04G0259700 transcript:ORGLA04G0259700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:I1PQX8] MANIDMGKILAGLENDDARVPKTKLVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLRQAMHNTGVLCAVMLDTKGPEIRTGFLKDGKPIKLTKGQELTVTTDYEIKGDENMITMSYKKLPVDVKPGNVILCADGTISLTVLSCDPKAGTVRCRCENTAMLGERKNCNLPGIVVDLPTLTEKDKEDILGWGVPNDIDMIALSFVRKGSDLVTVRQLLGQHAKRIKLMSKVENQEGVVNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEVAVKIMARICVEAESSLDNEAVFKEMIRSAPLPMSPLESLASSAVRTANKAKAALIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTISSEGPARHSLIYRGLVPLLAEGSAKATDSESTEVILDAALKSAVQKQLCKPGDAVVALHRIGVASVIKICIVK >ORGLA04G0259600.1 pep chromosome:AGI1.1:4:25513491:25516842:-1 gene:ORGLA04G0259600 transcript:ORGLA04G0259600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGLLEDLLLAPRVDGGGGGDGEAMAAPDYAIPPLSPTAASVVHRCARIAGVEVEQLLRRFEPEKGDQPLAYARSVVEYCSYIALRVETKRHDYLSDSEFHSLTYDMMIAWEAPDDETDAALQKMSFSFVDGKDDDDCGSMFCLSPTQMAIQVDGRRTVSPEAFAKIIPACPAMAHAITVRNLFDALTNSTGGRLHFLIYHKYLKCLDKVLRFAKRISGGHKAPALQLSDGEVILDIYGAATTKPILQHIGTSTWPGRLTLTTHALYFEPVSVDFSYNEAVVYDLSRDLKQSIKRESTGPWGAQLFDKAVMYKSSSTREPVFFEFPQFKGHTRRDYWFAIIKEVLHAHKFIRKYRMINLHKAEALSVATLGILRYRTVKEGFHILPAHFKTTLAFNLAEKLPKGDKILEALYGQMKDYSSRFRVDEDSVQSSSDDLTLADPFPLSAYTLVNMGLLTLKEEDNPEEWDLTVRDVQTGGTSSVQMALERSVGYSGRVEAARATLDQVKVEDIDTNVAVLKELLFPLIEIGKRLLFLAEWEDPLKSYVFLFCFLFIVYRGWIWYIFPVFLLGSTIFMLWQRHTGNGQMIGAFEVTTPPRRRTVEQLLALQQAISQLEAQVQAGNIFLLKLRSLLLAAFPQSTNKVAATMLVASAIFAYLPLRSILVLIVLEAYTRHMPARKKSSEKLVRRLREWWLRIPAAPVQLLRPQDTRRWRSRLRSR >ORGLA04G0259500.1 pep chromosome:AGI1.1:4:25509942:25510709:-1 gene:ORGLA04G0259500 transcript:ORGLA04G0259500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHRIHPVGVGSPAPAPDSQQQVGKGRSTASYGEKEQLPITAPRPYAPAPLPPPPPRRRSRGRRCCRCVCWTLLAVLVLAVALGATAGILYAVFKPKIPDFHVDRLTVTRFDVNATAATVSDAFEVEVTSTNPNRRIGIYYDGGEVTASFNGTELCRGGFPALYQGHRSTVRPVILLAGETRLDSAVALQLARQQQAGFVPLTVWARVPIRIKFGAIKLWKMTGKATCNLVVDNLVAGRQIRIRSNSCSFKLKV >ORGLA04G0259400.1 pep chromosome:AGI1.1:4:25506425:25508029:1 gene:ORGLA04G0259400 transcript:ORGLA04G0259400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT5G23400) TAIR;Acc:AT5G23400] MAMQVSKKSRLAAPSALLLLLVVAALLPPPRAEAGHDGAGGDDEPPPTPCSPADRAALLGFKAGVTVDTTGILATWDGGDDCCGAWEGVSCDAATGRVVALQLEAPPLPPPRRSYMEGALSASLGGLEFLETLVIRDMARIGGAIPASLSRLSRLKQLYLEGSMLAGGVPGSVLSGMASLQYLSLAGNRFEGKLPPELGSLPGLVQINLSGNRLSGEVPPSFKNLSRLAYLDLSNNLLSGAIPAFFGQQLKSLAMLDLSNNGFSGEIPASLCGLRNLTDLSLRHNKLTGVIPSQIGSISSLNSLSIDSNLLVGSIPESLFGLQKLWNLNLSRNGLSGSLPPGIRHGLPSLVSMDLSHNHLVGGIDHFFRSISPARRLTKNASSDMSVIFLPRQLQHLDLSKNSITGALPEFGAGASLRWLDVSGNAIGGQIPSSVWRLVGLQRLDISRNKIRGTIPASMAAMASLRWLDISGNALVGRIPDSFARMARLRHASFRGNKLCGKIPQARPFNLLPAAAYAGNLCLCGKPLPPCRQI >ORGLA04G0259300.1 pep chromosome:AGI1.1:4:25497666:25499087:-1 gene:ORGLA04G0259300 transcript:ORGLA04G0259300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT3G20015) TAIR;Acc:AT3G20015] MEFVANGKVILFLLFVSTSVLIVSPASPPRFHYINPHNFTTPASSSSSASASAVHRSRNNNNPSLSLVHRDAISGATYPSRRHQVVGLVARDNARVEHLEKRLVASTSPYLPEDLVSEVVPGVDDGSGEYFVRVGVGSPPTDQYLVVDSGSDVIWVQCRPCEQCYAQTDPLFDPAASSSFSGVSCGSAICRTLSGTGCGGGGDAGKCDYSVTYGDGSYTKGELALETLTLGGTAVQGVAIGCGHRNSGLFVGAAGLLGLGWGAMSLVGQLGGAAGGVFSYCLASRGAGGAGSLVLGRTEAVPVGAVWVPLVRNNQASSFYYVGLTGIGVGGERLPLQDGLFQLTEDGAGGVVMDTGTAVTRLPREAYAALRGAFDGAMGALPRSPAVSLLDTCYDLSGYASVRVPTVSFYFDQGAVLTLPARNLLVEVGGAVFCLAFAPSSSGISILGNIQQEGIQITVDSANGYVGFGPNTC >ORGLA04G0259200.1 pep chromosome:AGI1.1:4:25492063:25496035:1 gene:ORGLA04G0259200 transcript:ORGLA04G0259200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein arginine methyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G20020) TAIR;Acc:AT3G20020] MLPSHLNGHSPLARRRPRLSAASPPATGDSDAAAAAADAPLAEHDRIYFQSYSHIGIHEAMIKDRVRTDAYRSAIMHHQKFIEGKVVMDVGCGTGILSVFCARAGAKRVYAVEASEMATQAREIVKANNLDDKVVVVHGRVEDVEVEDKVDVIISEWMGYMLLYESMLPSVLFARDKWLKPGGLILPSHATLFMAPITNSERYEGSVDFWSDVYGINMSALVPLAKKFTSEEPSIEIIGGENVLSWPFVVKHIDCYTFKAEELKSITTKYKVSSMMLAPIHGFGLWFEVEFNGPSNPTDKSPSDLNPLDVIRKKRRRGSEDPVVLSTAPEDEPTHWHQTILYFPDPIEVKQDQIIEGSVKVSQSEENPRFLNIQLDCTTGGQTSVKDYAMR >ORGLA04G0259100.1 pep chromosome:AGI1.1:4:25489870:25490223:-1 gene:ORGLA04G0259100 transcript:ORGLA04G0259100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGRAIARARQVEEAAVLRPVVGNTLRPRRTSNFGGLDSLMEFSVRARTGQSPTSSPARGDAVARLRPVENAAPFPVPARCLRKCPQGCVVLYCEMPLPLLCGSVLCGSQVLYRGN >ORGLA04G0259000.1 pep chromosome:AGI1.1:4:25484064:25485047:1 gene:ORGLA04G0259000 transcript:ORGLA04G0259000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQLPSPIFNFQASSVAHHWEIGCFPLRGREVLCIDQNGCCFLFDGDTRNAVTMPGLCQPKRFPLSLFVPSIGVDNDSGSLFIMESVVKPESTSSGLRSDQFEAFVYRTPSPRRHLSSTCQSLPPPPFVRDPKFSNIRTKITSYAVVSGGSEMCISVEGAGTYCMDTVKHTWRHVGEWILPFNGKVEYVPELKLWFGLSAKTNHLAAADLSAMDDDCFQQPELLKTWMELSPPKNWWDLSNSHLVSLGSGRFCIARFFYTRHLMGYYYDQIVDNCFVVLIGVDVVPCVHDTSSGIANGGTGDLRMIKYESKLHVPNGSGEIERVF >ORGLA04G0258900.1 pep chromosome:AGI1.1:4:25476118:25477293:-1 gene:ORGLA04G0258900 transcript:ORGLA04G0258900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRFLNLIVDSRFPESKSLRCINLTLHNFFNATPPNRNGPESKVVAADACIQKQNNNKEEAATATVSSMDRIQLPSPIFNFQASRSGFDDYWKIDCLPLFDRRVPKEIPISIFVPCLEEASDFDGGNLYIMDKRSKTGELGSNQFEAFIYYHYRGSSTLKSWTRQILPPPPCIYDRAYLGRYLEISSYALLGDGSNICISVKGVGTYCMDMRSFTWSHLGKWMLPFTGKVEYVPELKLWVGISADTQDLAAADLSSMNSQPQLLATCKEFDQPEEWKRCKDSQLVNLGSGKFCIARFFHNKTPQGDSDELIGKNITVLTGVEVVPSVYHANGNDNSRKGELQMIPHKSRLYAGSDTIWAVL >ORGLA04G0258800.1 pep chromosome:AGI1.1:4:25474367:25475105:1 gene:ORGLA04G0258800 transcript:ORGLA04G0258800.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGCCLSNMPEISVRLSGMREDNASSLVREKKREENAIEESNRSQIRASGAQPSDDSAQMCKCIWQPANRSAYYFARKAAWTASSMGGAAMLGSGKTATASPAGGSNTASQGSGKTAVASHSSESSTASQGLRKTAGGGGFARIRQDGGRRRLRGSSAASLLESTSRGRFG >ORGLA04G0258700.1 pep chromosome:AGI1.1:4:25466184:25470179:-1 gene:ORGLA04G0258700 transcript:ORGLA04G0258700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRVCIRCLSPSSPRRKPGPTRHRAPPSQPASQPLSRXNKISPASPRLASPRGNIHHTPPPPRPPLRGRSPPPPPPPSPALPLSLLGGNPNLFLPRKPAAFLKRIKSPSLIRRRNPSPQNLAAPRAVLGFELMAVEEASSSSGGGRGGGGGGGGEEGLSGCGGGWTREQEKAFENALATVGDDEEEGDGLWEKLAEAVEGKTADEVRRHYELLVEDVDGIEAGRVPLLVYAGDGGVEEGSAGGGKKGGGGGGGGGGGGHGEKGSAKSAEQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRERRRSSIHDITSVNNGDTSAAQGPITGQPNGPSANPGKSSKQSPQPANAPPGVDAYGTTIGQPVGGPLVSAVGTPVTLPVPAAPHIAYGMHAPVPGAVVPGAPVNMPPMPYPMPPPTSHG >ORGLA04G0258600.1 pep chromosome:AGI1.1:4:25457092:25463659:-1 gene:ORGLA04G0258600 transcript:ORGLA04G0258600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARGSSSSSGDGGGGEGKGGAGHGDFVGGGQHNWYHGILGAVPPPNVGRQNIVHHQYPAASLIQQHHQSPTMPLPMAQLPYVPQYTVLPTPAVLPSHHHHHGQSQISQENFQDWVPSNNVAAPHVPSAFQDWRQMCNGSAFMPFGQTAANSNGFYQNLTFNSWTSNNMPRNPVYTSFHPAAIEDHHAPLFHSNNHDIDPEFLGNRHLHGVDIAGAPPAKATSPATAGGLLTNTAPATMSQIHARCRRRQIYRCCGLLPRAPPPSDPCAFARAAITAAGSARGLLSHLYGGLVFWLVGKRKLGEKRERKRKVVAGMDPPIVFRRSDMESEKNDDNPDQIPVSEPPSMNQNGENLIIRFNCREYRVILRKELTNSDVGNIGRIVMPKRDAEAHLPALHQREGVTLKMDDFKFETTWNFKYRFWPNNKSRMYVLESTGGFVKHHGLQTGDIFIIYKSSESGKFVSSVPFTSTTYGESDGEDYSGDRSSPGAGEVADEDFQKA >ORGLA04G0258500.1 pep chromosome:AGI1.1:4:25452138:25456154:-1 gene:ORGLA04G0258500 transcript:ORGLA04G0258500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRGSTSSGGGDDRGREGHDDFAGGGQYNRYHRILEAVPSPLVRRENVVHHQYPTGLIHHPSSTMPVAPCSYVPRYTMVPTSAMLPLQHHHRQLQISQENFQDRVPSNNVAAPHLPSNFQDLRLMCNGPPFMSYGQTASNRNVLYQNLTPYSFNAWASNNMPRNPVYTSYHPTAIEDPHATPFHINNHDTDQGFFTVSTSFRVDQSFVHAPSPFPPVSSSSRSFSSAQISNGPTHAKKAKKSDIKDQPIVLRRSDTESEKNDELDQTPASEPSSMSHNSANLTIRFNCREYRVILRKELTNSDVGNIGRIVMPKRDAEAHLPALHQREGVMLKMDDFKLETTWNFKYRFWPNNKSRMYVLESTGGFVKQHGLQTGDIFIIYKSSESEKLVVRGEKAIKPNVIMPIVDCSCKNDLNNSEECGFAISLLTKKT >ORGLA04G0258400.1 pep chromosome:AGI1.1:4:25448098:25449034:1 gene:ORGLA04G0258400 transcript:ORGLA04G0258400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATTNPTTPIPQDATQILIVIATSDTLIIPGSNTCAMDKSLLKTKVDVTLDGTTNGTLFIETIIRQYEKGNAQEKSGWVMRLRV >ORGLA04G0258300.1 pep chromosome:AGI1.1:4:25445067:25445417:1 gene:ORGLA04G0258300 transcript:ORGLA04G0258300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASGCSSGRGGGCAIACCQAQGGVQRRGGRVRRRRRRHAGARSLPGDLPGLRRRRVLRDHGVRRGRVHRRVVHLRREAEPCAAAAGTLGSRAGVLAAAPGRRQRQGRRRRRRR >ORGLA04G0258200.1 pep chromosome:AGI1.1:4:25430491:25435742:1 gene:ORGLA04G0258200 transcript:ORGLA04G0258200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroorotate dehydrogenase (quinone), mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1PQW3] MWRTGPRRGTKPQFWAVQPIFHARPDSLILSSLKPSSSCFCLLPTPTQSRRRPRRRHRPPHPRALRRRRRPSPLSPAGPPRAAPMSSSAAALAWRRSLRDALLRGSAWRGAPAANSAAARLASTASASEAAAGPKKVPPPPRKGRLLTGAMIGLAIAGGAYVSTADEAKFCGWLFKSTQLVNPLFALLDAEFAHRLAVTAASHGFVPREKRPDPSVLGLEIWGRKFTNPIGLAAGFDKNAEAVEGLLGMGFGFVEVGSVTPLPQEGNPKPRIFRLREHGAVINRCGFNSEGIVVVAKRLGAQHGKRKMEETSSSTSPTTSDVKQGGKAGPGILGVNLGKNKISEDATADYVQGVHTLSQYADYLVINVSSPNTPGLRKLQGRKQLKDLVKKVQAARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALALRLDGLIISNTTISRPSPADTHPLAQEAGGLSGKPLFDLSTNVLREMYILTRGKIPLIGCGGVSSGEDAYKKIRSGATLVQLYTAFAYGGPALIPRIKKAWKAAGSTGFFETASSKADLMGVSPRAIAAETNLLKVLSKAQASSNKQLKRFFAASNGANAQATMVDESGA >ORGLA04G0258100.1 pep chromosome:AGI1.1:4:25427040:25428590:-1 gene:ORGLA04G0258100 transcript:ORGLA04G0258100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLVPRPRLLASTTLRAFHAAAHQRHQDPIIPGIYSYASLLRRSAATSDPRLAVSLHAALLKRGFLLASSHIFLCNHLLIACFKSRLHRHGLRLLDEMPRRNAVSWTTAIAGLTQGGQPREALALFKRLRRAGLPPNEFTLVSALNASSFVGGAGVGRARQLFALAVRLGFDSNVFLTNAFLAAMVRHGQLADAVRLFDNANAWDIVSWNTLLTAFAHRSSLRLCTLWRRMAIEGVSADGFSFSTVLSGLSGSANVAATGLQVHAQLVKSGFVDDVCVGNSLLEMYMKNKQLESGIRAFTEMRHRDVVSWTELAAGLLHCGEPAESLRVVSDMILDGIRPNNYTIVAAANACANLANLNQGRKIHGYVIKLGGDSNVGVNNALIDMYAKCRSVTGAHKVFQSMQRQSVVSWTAMIMAFAQNGQAREAVKVFDDMLLEGMAPNHAAFYSVLYACSQGGFVDEGWIYFDAMADKFGVEPGEDHFACMVDLLTKAGRFEEAEELISRMPFRPGVVA >ORGLA04G0258000.1 pep chromosome:AGI1.1:4:25424717:25426129:1 gene:ORGLA04G0258000 transcript:ORGLA04G0258000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSTTASGLAPPPFSSARGARLLPGALLRLPPPPASVGSFRVVGPAAAPPGGRRIASARVRCGAAVRFIGQSEFEAEVLQSDLPVLVDFVADWCGPCRLIAPVVDWAAEEYEGRLKIVKIDHDANPQLIEEYKVYGLPSLILFKDGKEVPGSRREGAITKAKFKEYLEPLLSTSTVA >ORGLA04G0257900.1 pep chromosome:AGI1.1:4:25416889:25424218:-1 gene:ORGLA04G0257900 transcript:ORGLA04G0257900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTVAAAAAVVPGVACGSSTRRVGVGNGNASAHAGGGCLAGGRRGAAAWVARARVAEAPPVAAEGSRQEAPAAPMVEIPVTCYQILGVTEKAEKDEIVKAAMELKNAEIEDGYMAEVSTCRQALLVDVRDKLLFEQEYAGSIKEKLPPRSSLHIPWSWLPAALCVLQEVGEEKLVLEIGQAALRRPDSRPYVHDVLLAMALAECSIAKASFEKSKVSLGFEALARAQYLLRRKPSLEKLPLLEQIEESLEELAPACTLELLSLPQTPENAERRQGAIAALCELLRQGLDVESSCRVHDWPCFLGQAMNKLLATEIVDLLSWDTLATTRKNKKSLESQSQRAVVDFNCFHVAMLAHFALGFSTRQADLISKAKTICDCLVASENTDLKFEESFCLYLLGEESGTTVFEKLQQLQSNGNSNSRNYGLPKKKDGNDKVTVCQSLELWLKDVALSRFADTRDCSPSLANFFGAPKRILSTSKQKLGATRMVRLSSQPSSSVSPCNRALGEQTPRLNSTSHLGEAVKQLAPNNLGVHSSMDRPANGSTTTSVPLKRNLVSHPARTLESWGLTGDIVGKLAYSALIGFALFGTLKLLRFQFGHMKPASASRGSAATQSLNEESTLEGSFITSSVRKHFEKLPKMLWLNNRLYSRSEESDLSSVANAVAATVCKQSMALQEAETLVKQWQDIKSEALGPDYQIDMLPEILDGSMLSKWQELALSAKDQSCYWRFVLLNLSVVRAEILLDESGTGEVAEIGAVLEEAAELVDESQPKKPSYYSMYEVQYILRRQSDGSWKICEASVQDLTXLLSKETTYSSADSSQGAVNFIRFDMAGSVNFTRFDTAGLIRHXINLRYLAMACDARAAHGGHRIPYMTKXLLIAXYATFVQTLTKPDITGFYLFPDMVXICGTFFSRALFXLCERPSASKLWRHCSKNNVNXSAPVSVWLCSTVKFMLRYSSLLNSYIKISARSMLKKLVKLRDXINRANCPXPXNILVATGELNGKSTHAGFRNSDCLQVQFLFXNPXSCRYFSKDLVAVANKXRYKDKCIVKKMEIFLVQPHQSYSXXRYNEETLCQDLDSTSMAMAQGLRIYYKGXCGPASAQWNGGSTYGNXVSVAXXARRPYXXMGELCNCIKNKGIGCXFIRSGXGLIFHRFDTXQLHTDNQRTTIXFASTASWPQLWFILAVSRAYDRVSAAACXFQRISEPQDSXLSRYEHYRXRCSAYAIQMQSSGVFGDFIFEMVTSIRMLHPLDRLKHLVVDICPNLQEIELNCSPTTLKYSGTMVPLIFASTSRLTNISIVFINYQSALSYIITGFPSTLPRLETLTLHCGERERTIVPEGPFKFTYLRNLRLELALCGHGNIRKTDALDYAYILKIAPFMETLELSGGTSKQPKFFFF >ORGLA04G0257800.1 pep chromosome:AGI1.1:4:25412756:25413139:-1 gene:ORGLA04G0257800 transcript:ORGLA04G0257800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLNCRHQPYREEDGELRIVGPPHQHAHLKRVRISGFFGHKDQVELALHILRSSMALEQMVITPKLEIGNDLAFSDPCADEYEKKHYVNGHRVATEFVCKPDHRNVVTVERVVPEPADGEVERKRRR >ORGLA04G0257700.1 pep chromosome:AGI1.1:4:25409981:25411603:-1 gene:ORGLA04G0257700 transcript:ORGLA04G0257700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGAWPAAAAAATSAPLSAAVRAAMRTLGHLLSRPQPAAAAAAAFSSSPHTLHDYNRLLDAFARDGDGDAALRVLRRMRHSSPACAPTAASYTSAMSALAKAGRPADAAALFDDMLANGVAPDRCAFSFLLHVYSSHLHLPSAAHSVLVWMSRLGLPPTPIDYADLVFSFCRAGRLPDALQLLDEMRALNYPLTLHSYTPILQVYCANADMQSADALISSMRSTGCHPDVVFYNIYVNGLCKVGDFDAVQRTIDESGRNGWVPDAVTYSTYIAGLCWFGYVEEALRQLEIMVTMGLQPTVVGLNILLDYVAQDLDMWAGKEVLERCQELGFVVDVVTYNTVMDHFCKKRKWLRVLKLFTDLLKKPITPNVQTCNIFISCLCRAGKFQFAKFVFSSKGFMADTVTCNILIHAFYEAGKEDELGFLFADVNAGKIAPDTITYNTLVDCLFRSGRRAEAVNLIRHIDDGYPVEPVARLAYWLVRSGNVREALRLFDDMLEKGLLLDSRIFANVIKAFCRKGPGECTEMLQLCSVLDRMLGIG >ORGLA04G0257600.1 pep chromosome:AGI1.1:4:25403737:25407507:-1 gene:ORGLA04G0257600 transcript:ORGLA04G0257600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATALDSLPAPLRSLRLKTKQQELLLRVSALALIYVLAFVVRLFSVLRYESMIHEFDPYFNYRTTLFLSDHGFSEFWNWFDFESWYPLGRVVGGTLFPGLMVTAALLHRLLRALSLAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGLVAAALIAVCPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWSLASAFGYFYMVSAWGGYVFIINLLPLYVLVLLVTGRYSQRLYVAYNSTYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKYLLNDAKLFKSFLRITLTCVITVGTLALGIGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAASATIKNLTTLIRTKSKSPQTVSGKSSGSKAAAKGAVDQSLPFQQNVAIALLLGAFYLLSRYAVHCTWVTSEAYSSPSIVLAARGHNGGRVIFDDYREAYYWLRQNTPSDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAFTTSNWIVRIYKVKPPKNRS >ORGLA04G0257500.1 pep chromosome:AGI1.1:4:25401152:25402963:1 gene:ORGLA04G0257500 transcript:ORGLA04G0257500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLMNTGAVSMPFPTTRTAARRRTSRSRCQASSSGGSSNEGGGESYRGRSGRGGSTTWVTEYDLYGLLGVERSSPQSEIKAAYRSLQKRCHPDVAGAKGHDMAIVLNEVYSLLSDPAARLAYDQEQAKQSEFVGYTGKPLYSAWFGGEAEQRAVFVDEVRCVGCLKCALYANKTFAVESVYGRARVVAQWADAEDKILDAIQTCPVDCISMVERSDLAALEFLMSKQPRGRVRVSEGNTVGARAPDIFNEVSKFQKRFQEMKQKSATRESEESEAARQSRSSAVQTIRSISNWWYWQPFRAPATTVLASLHLPAPPPSPSMPADPVTDRLQEAAARRKAGGATAARTVASYARRDDYWTPQLNLPSLASPPERPHRRQSASPPRSQTRRATPTGDGGVTLGSIDLTAPLLMAIISAGFVGYNREEVAGVGGGGIQEHVGGAAALGLVNSFELKIVLASVTWFIIGAAIAGFIQFLARSEVNFRK >ORGLA04G0257400.1 pep chromosome:AGI1.1:4:25380056:25399002:1 gene:ORGLA04G0257400 transcript:ORGLA04G0257400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C3HC4 type (RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT5G23110) TAIR;Acc:AT5G23110] MDPGGMLLEDFGQRVDLTRRIREVLANYPEGTTALRELIQNADDAGASRVRLCLDRRAHGAGSLLAPALAQWQGPALLAYNDAVFTDEDFASISRIGDSRKVSQVWKTGRFGVGFNSVYHLTDLPSFVSGKYIVLFDPQGAYLPNVSAANPGKRIDFVSSSAITLYNDQLSPYRAFGCDMKAPFQGTLFRFPLRTAEQASLSRLSRQVYTEDDILSLFAQLYEEAVYNLLFLKNVLSLEMYVWESGMSEPKIVYSCSIGSQHDNLRWHRQALVRFSGTAAESSEQKIDSFSMDFVSKSFLGEKFEKKSYTYFIVQGMASALSEIGIFATTAAKDYDLHLLPWASVAACISNVGPEEVILRQGRAFCFLPLPVKTGLSVHVNGYFEVSSNRRDIWYGADMDRGGKLRSDWNMLLLEDVVAPLFRELLLQLRTVLDSKISYYSLWPTGLYEEPWSILVEQICKFIYTSPVFHSEIKGGTWITPAESLLHDEGFSRSDDLSEALVMLGMPVVRLPGAIADMFPKFHSKYMLKIVTPATVRHFLKDFENLGTLEKSQKLILLEYCLADLDSGNIGKCMNGLPLIPLANKQFGIFSGISQENQYYVCDSIEYELLSAVSDRIIDRSIPPVILDKLYQIASSSQVNISLIEGRTFLQFFPWLFPPGWKCRNQVPWDPESGGSSPTAAWFKLFWQYIGDCSYDLDLFSDWPILPSTSGHLYRASTVSKLINTGSLSNLMKELLTKLGCKILDTKYLSTCQHLSNYVYDGDASGVLHSIFGVASLEGVDLQALFQRITPAEKNELYQFVLDAKWYLGPHLSDMSINLCKKLPIFRVFDGGSPSSYGFSDLSTSRKYLPPLGVAEQLLNDDFVFCISPSDEDIIMRYYGIERMPKSNFYQRYVLNRLDELQTEFRDSVLVTILQDLPQLSLENPRFKEALKVLRFVPTTNGVLKSPQSLYDPRVEELYALLQESDCFPHGLFQNPEVLDMLLCLGLRTSVSIDTIIQSARHIDSLVHKDHHKAHSRGKVLLSYLEVHAHKWYVHKPFDGRKKVNMLAKVTTVLRSRDTSWEADLEKFWSDLRMICWCPVLVTAPSPALPWPSVSSMVAPPKQVRMQDDMWIVSASSRILDGECTSSALSYSLGWLSPPSGSVIAAQLLELGKNNEIVTDQVLRQELASVMPKIYSLLSNLIGSDEMDIVKVVLEGCRWIWVGDGFAKTDEVVLTGHLHLAPYIRVIPIDLAVFKDLFLELGIKEQLDPVDYASILTRMATRKASTSLQAEELRTAVLVVQHLAEFRFQDHQTQIYLPDSSARLCLSSELVFNDAPWLLDFDEDITGNAPSIAFNSKKYVHNFVHGNISNDVAERLGVRSLRRLLLAESSDSMNLSLSGVAEAFGQHEDLTTRLKHIVEMYADGPGILFELVQNAEDAKASEVVFLLDKTHYGTSSILSPEMAEWQGPALYCFNDSIFSPQDLYAISRIGQDSKLEKPFAIGRFGLGFNCVYHFTDIPGFVSGENIVMFDPHASYLPGISPSHPGLRIKFVGRRILEQFPDQFTPFLHFGCNLQQPFPGTLFRFPLRNEAAASRSQIKREQYTPQDVEMLFSSFSEVVSEALLFLRNVKNITLYVKESDSQEMKLVHRVSKHNSYEMAKEPHALNTMLAFINGNQPSGMDRNQFFNKLNKTKDSDLPWSSQKVSIFEQSPAACLVHSWILTESIGGGHARKLSTASGSKSHLFVPWASVAAYLHSVTVDNTKELSGEAEVNLDDLVLKQLSLGSSKDRKFFEGRAFCFLPLPINTSMPVHVNAYFELSSNRRDIWIGNDMAGGGRVRSEWNLALLEDVAAPAYGHLLAAIAQELGPSDLFLSFWPTAVGVEPWSSMVRKLYVSIAELGLHVLYTKARGGHWVSTRQAIFPDFSFSKAIELAEVLSEAGLPVVSVSKPIVDSFLNAYPSVHLLNPHLLRNLLIRRKRGFRNREEAILVLEYCLSDMGDPSFPDKLQGLALLPLANGSFTTFTNRGEGERVFFSSQMEFELLKDSIPHLVVDNSLPDAILKKLYDIACSARSNIYLFTCNFLLELLPRILPPEWQHAKQLFWSPGHQGQPSVEWMVSLWNFLRHSCEDLSIFAKWPILPLVDGKLMQLGNASNVIIDDGWSENMYSLLQKLGCFFLRSDLQIEHPQLANFVQEATAVGVLNAVQSVASNFQDIKELFMGISLAETHELRSFIFQSKWFSGNHMNSSHMNTIRNLPIFESYKSRELVSLTSPRKWLKPEGVHEDLLNESFIRTESAKEKSILVSYFAIREPQKAEFYKDHVLPRISEFLSQPAVVSAILRDVKLLAENDTSVRAALHETPFVLAASGAWVHPTRLYDPRVPELHKLLHKETFFPSEKFMTTEVIELLASFGLKSKLGFSTLLDIARSVSLQQDDALEHGKRLLTYLNFLEFKASNMEDKKTFHGDDNQEASKTDGSFEAENDGDGYDPEETILSLFSNFDHDLPEDEFWSELKNISWCPVHVAPLLKGLPWFISEDHVAPPITTRPKSQMWLVSSKMRILSADSCSMYLQRKLGWLDPPNANILSSQLVEISKSYDELKMFSEDSTNDAVPQKEIQLIYSKLQDIIDTADTNILKRNLDGHPWVYIGDRFVPPQALAFDSPVKYHPYLYAVPSELSEYKRLLSVLGVKQTFDAADYLNVLQCLQSDAKGEPLSTEQLSFVHRVLEAFVDCYPDNQAPDMMVNSLLIPDSFGVLTPARNLVYNDAPWMNADPTSKSFVHLSIGNDLANRLGVRSLRGASLLDDELMTDLPCMEYAKISELLALYGESDFLLFDLIELADHCNAKKVHLIYDKRDHPKQSLLQQSLGDFQGSSLTVVFEGTIMSREEVCSLQLPPPWKLKGNILNYGLGLLSSYFVCDTLSILSGGYFYIFDPLGLTGGTTSTATSSARFFSLIGNDLVERFHDQFTPMRVTQEASLSSAISTVIRMPLSSKCLKELEAGCNRVKHIFDRFTQNPSSTLLFLRSIIQVSLSTWEGGASQPTLNYSVLVDPSVATLRNPFSEKKWRKFQLSRIFASTSAAIKMQAIDVHVIDNGCNYIDKWFVALCLGSGQTRNMALDRRYLAYNLTPVAGVAAHIARNGVSTNIHASSCILSPLPLSGSISMPVTTLGHFIVRHNGGRYIFGSSHDKSLSDLEMHKNKLVEAWNKELMLCVRDSYVEMVLEFQKLRKDPLSSAIESRSAHSVSTILQAYGDRVYSFWPRSKQHPASLTGYGSTVTNVNSPRASKADWQSLVEQVIRPFYVRLADLPVWQLYGGNLVKVDEGMFLSHSGSGDDDNLPSASVCSFIKEHYPVFSVPWELVREIQAVEVNVREIRPKMVRDLLKASSSILLRSIETYMDVLEYCFSDMDPYRFSDLHIHEESRVSNQQSEIMNSSISNSMPSSSSSVSYHRNTQRQGASGGDALEIVTYFGKALYDFGRGVVEDISKTGGSASHRTQAAENNVLSSIITELKGVPFPTSTKCLTRLGSTELWIAREEQQLLMRPFLHHFIHHQCLQKPFLELLLTTQVIHRPLKLRSFSPHLLSGHLKHIFDERWVHLAVEKKSPWIPWDNNANSSTAGPSPEWIRLFWKIFSSMSGDLSLLSDWPLIPAYLDRPVLCRVKECHLIFVPPADDSNPDSGDSAARVVDTSAHPGDETGEAEQNSILDTAFQSMNSAFPWLPALLYKLNIPVFDLSFPECGTICNLFPSRDRTLGQIIASKLVAIKNGGHLPLPLSLSSEDCDKLFALFVSEFRLSSNHLYQREELDVLRELPMYKTVIGTYTSLSGSDHCILSPTAFFHPADSRCLSSTANADLFLQALGVEQLSDQEILVRFALPGFGNKSAQEQEDILAYLYSNWKDLQLNSSVVNTLKETNFLTSANEFCTELFKPRELLDPSDALLTSVFSGERHKFPAERFLSDGWLVILRKAGLRTSTEADMIVQCATKIESMGNDIVSSSEDPSDFEADFSGSKNEIPFELWSLAESVVNVILANFATLYDSSFCEKIGKIAFIPAEKGFPSIGGKRGGRRVLASYSESILSKDWPLAWSSAPILTNQAIIPPEYSWGAFRLRSPPAFTTVLKHLQQSVGRGNGEDTLAHWPTSSGIMTVEDAFLRILQYLDKIWGTISSSEKNELQTLAFIPVANGTRLVTVKSLFARLTINMSPFAFELPSLYLPFVTILREIGMQETLTNTYARELLLDIQKACGYQRLNPNELRAVMEILDFMCSGVNQATDGSEDIFDSVIPDDGCRLVSAVSCVYIDPYGSHLLSNIDTSRIRFAHPDLPQNICNTLGIKKLSDVIVEELDGKEELKMVNSICSVTLDKIKEKLLSKLLQDALRIVMIGVSNHFPSFEALNLAQIESVLKDISQNLQFVQRLHTRFLLLPMLQDVTRSSQRPPFPEWSSNGKHRSVCFVNKSTGQILVAEPPNFLTIHDAIAIVVSYRLGAPVILPIASVFACPDGTEKEVLKILRLGTDIGVSKREGRYNGSLGAELLSQDARQVQFLPLRPFYSGEIVAWKTGKEGEKLRYGRVPEDVRPSAGQALYRFPVETSAGETCMLLSSQVYSFKSVSMADLSSAPLQLDSGRVAGGQQGFSPINTGTEAADDVATGLEYGKVSSTELVQAVHDMLSAAGVRMDATKETLLQTTLSLQDQLKESQVALLVEQEKAEAAVREADVAKSAWSCRVCLNAEVNMTIIPCGHVLCNRCSSSVSRCPFCRTQVSRMMKIFRP >ORGLA04G0257300.1 pep chromosome:AGI1.1:4:25368684:25371479:-1 gene:ORGLA04G0257300 transcript:ORGLA04G0257300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEHICGVEHGQSGKQSKISSRPSNSCTQVASKDSTKDFIHPIKVRTWTPHEVTLNDYMIGANMNHLQDPKQNRRGKPHKYSRLSEVANGGFIEEKSACTAKMLPGITHSTETGVGQIASSSASDVTVREISEEICTPIGPVQKGGLQILLREENVVGTGSLDVLNHVSSVESEEQKKVDNAVMSRSHGMEGHHLQSQDSGSQFPGCTTDYWKTSRPTESGLEVGYHGVSAFEGRCNMNQQRSVSSKLQLGEMIKAANDACKVQGASDVHLISGHPLADFETFIYSASPVIAKTSCMRNGNCLQDPQAGSSPYQYQISDVSLRNVWEWYEEPGSYGLEVEIHRSLNSTRSACGVSEFCAYFLPSLSAIQLFEQCKNNLDHKFDSDDDFLLSQPNGVYLPKPSLSVQDHGEPLFEYFESEHPSSRPPLFEKIKQLTSGENLSTCQIFGDPKMLENLKLRDLHPASWFCVAWYPICRIPQGNCRAAFLTYHSLGKVVPQIHSPDKADEPTHLVCPVVGFWSYNDKGEQWFQLRNPEIKPMSLDVGPKTDRAEVLKQRLKTLRHGASVMSSMVIPKANGEKSINRHPDYEFFLSRSN >ORGLA04G0257200.1 pep chromosome:AGI1.1:4:25361782:25365196:-1 gene:ORGLA04G0257200 transcript:ORGLA04G0257200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:I1PI33] MAATMNKTPATTFLLIPAAASLVLLLAAAASVEASAFDYAGAFDKCLLFFEAQRSGKLPADRLVRWRGDSALTDGFSQGVDLVGGYYDSGDHVKFGLPMAYAVTMLSWGVVEFEKEMVDGNKLHRVLDAIRWGTNYFVKAHTQHNALWVQVGDGDSDHLCWERAEDMSTPRTAFKIDINNPGSEVAGETAAALAAAAKAFKPYDRMYSDLLLLHSKQLFTFADTFRGKYDDSLQSAKKFYPSASGYQDELLWAAAWLYEATGDEQYLRYVSQNAEAFGGTGWAVTEFSWDNKYAGLQVLLSKVLFEQGGSAAGYADTLKQYQAKAEFFLCACLQKNNGHNVKMTPGGLMYVSDWSNMQYVSSSAFLLTVYADYLAESRGTLRCPDGEVKPAEILRFARSQVDYVLGKNPKGMSYMVGYGSYYPTHVHHRGASIPSIYAMNATVGCMEGFDKYYNSKNADPNVLHGALVGGPDANDAYDDDRCNYQHAEPTLAGNAPMSGVFARLAASPADNTPEYTPAPNAPSPSNGGSPLEFVHTVTNTWKANGVDYYRHVVTAKNTCGHAITYLKLQIKELSGEIYGVSRTNAKDMYEFPSWMTRLDAGAQLTIVYIQGGPAAKIAVVEYKTA >ORGLA04G0257100.1 pep chromosome:AGI1.1:4:25355027:25356789:-1 gene:ORGLA04G0257100 transcript:ORGLA04G0257100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLGANPANSCALTPLGFLERAATVFGDCPSVVYHDTVFTWSQTHRRCLRLASALVSSLGISRGDVVSVLLPNVPAMYEMHFAVPMSGAVLNSINTRLDARTVSVLLRHSGSKLIFVDPALLPVLRDALRLLPAGHTAPRVVLVEDPHEKEFPPAPAAALTYERLVEKGDPEFAWVRPASEWDPMILNYTSGTTSAPKGVVHCHRGIFLITVDSLVDWAVPPRPTYLWTLPMFHANGWSFPWGMAVVGGTNVCLRRVDAAEVFDTIARRGVNHLCGAPVVLNMLANAPEGVRKPLPGKVRILTAGAPPPAAVLYRTEAIGFEVSHGYGLTETAGLVLSCAWKGEWDKLPASERARLKARQGVRTPGMAEVDVVDGETGRSVPRDGSTMGEIVLRGGCITLGYLNDEAATKAAIRDNGWFYTGDVGVMHPDGYVEIRDRSKDVIISGGENISSVEVESVLYGHPAVNEAAVVARPDEFWGETPCAFVSLKQGGGAVTAADVVAWSRERMPRYMVPKTVIFRDELPKTSTGKIQKYVLRNIAKEMGPTTGTNTNRNSKM >ORGLA04G0257000.1 pep chromosome:AGI1.1:4:25350649:25354089:-1 gene:ORGLA04G0257000 transcript:ORGLA04G0257000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGKERRDQEEEEAAVESVERVFEGRVVPGWKEQVTLRALAVSALLGAMFSVIVMKLNLTTGIIPSLNVSAGLLGFFLLTSWTKLLDKAGVASVRPFTRQENTVVQTCVVACSGIAFSGGFGSYIFAMSDRISDQSGEARDEHNIKNPSLGWMIGFLFIVSFLGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKMQVKMLGKFFVMSFSWGFFQWFYTGGDGCGFMSFPTLGLEAYRNKFFFDFSATYVGVGMICPYLVNISVLLGGVMSWGIMWPLIEHKKGDWYPADLKPSSLRGIVGYRVFISISLILGDGLYNFLKVMTRTTTALVMQVRAMMSEPTLPVSGGGGQTPEETFDDKRRTELFLKDQIPNWLALSAYVVIAVVSIATVPRIFHQLRWYHVAVSYVVAPVLAFCNAYGCGLTDWSLATTYGKLAIFTVGAWADASDGGIIAGLAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPSVFWLFYKAFHDIGIPGSEYPSPNALVYRNMAILGVQGLGSLPKHCLDLCIGFFVAAIAVNLARDLAAPKVARFLPLPMAMAIPFYLGPYFGIDMCIGSLIRFVWDRLDGARAKAFAPPVASGLICGDGIWTLPQSVLALAGVKPPICMKFLSRTTNIKVDAFIAKLPSS >ORGLA04G0256900.1 pep chromosome:AGI1.1:4:25347912:25348271:1 gene:ORGLA04G0256900 transcript:ORGLA04G0256900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKNYFCIRIPNFKMKPLQPTRLNFKIHHSQFSLDLSVICQNPSPDSSYLATRPEATDTWVSNSKTGTKLAYHASSLLITAAFTTKALFQSSPQPSLTSLTKKQTERRATLAFAACPH >ORGLA04G0256800.1 pep chromosome:AGI1.1:4:25339406:25340435:1 gene:ORGLA04G0256800 transcript:ORGLA04G0256800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PQU9] MLFVICGGVWAVYPVVFSISTFCGIFHCILTGVLAVFTITSYCLASFKSAGAPADMRWGSYPMVGKNDLENYTFCTYCSKPKPPRAHHCRSCKMCVLDMDHHCPFIGNCVGASNHRAFVFFLISVVISCSYAAGMTIYSSYRIWPPLDFENLASTRRSMGYIKMLIEIIGTLASSAFFLSARGLVTVYIAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLSSLSVMHGERGLQNLIRFFGCPYSVSRVLSGYSNTGKLQDNSSSKLL >ORGLA04G0256700.1 pep chromosome:AGI1.1:4:25333886:25335804:1 gene:ORGLA04G0256700 transcript:ORGLA04G0256700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:I1PQU8] MAAATAAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFADASVGSVLAVIKKVENLGDQFITEISRVLKAGGMVLVQSSPSDQDPNNSIERKLLLGGFVDVQASAASSQDNEHSVTIKAKKASWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKIALPGNFLAADM >ORGLA04G0256600.1 pep chromosome:AGI1.1:4:25332879:25333300:-1 gene:ORGLA04G0256600 transcript:ORGLA04G0256600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRREGVRAAHITPAAWRWTDRPGWGGGRRIRDLVYAGTRGDLQNPVVPIAIPLQADLVYLVRERKADKNVALRASVQKQPQIVYKVQILLLDRQL >ORGLA04G0256500.1 pep chromosome:AGI1.1:4:25326146:25330159:-1 gene:ORGLA04G0256500 transcript:ORGLA04G0256500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELCDLKVHINGHHTLLLHQSVMCAFSGRLRTMVTQEKKKRKTSRAESLSVKLADFPGGGEGFELVARFCYNNGRVPLCPSNLPLLHCAAVFLEMTEEVCTCNLLTQAEAFVDGLYYWTWADVLAAVKSCEPFAAAADASGLLERLISALFSKITANPETPVAAGAVGTPNRSSSSCSSSPDTLGFGRSSSTKTPESMKPCFAREWWFDDMTSLCPQTIEKAMRVLGCYGIENKNLILTRFLLHYLRAATRRPALCREGGGGLAGLADTAVHGVALVGGTAFSCRGLFWVLRIVSAVGLSKECRHKLERLMGLMLDQATLDDLLVSGDDGDVYDVNLVMRLVRVFVGSEEEADLPSQRMRKVGRLVDKYLGEISPDHGLRVSKFLAVAESLPDSARECYDGVYRALDIYLESHPTLTIEERTTLCRCLNYEKLTLEACKDLAKNRRIPPGIAVQALASQQSKLQIRPAAAAAKGTGGGRPDPSQTPRKIIVSGSRARSVDLDAMDEKELLKLNLQKMQNRVVELERACKEMRGQMSKMAKGGRPSSSFGAAACHQTGGRGLPRLC >ORGLA04G0256400.1 pep chromosome:AGI1.1:4:25323967:25325205:1 gene:ORGLA04G0256400 transcript:ORGLA04G0256400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis protein COQ4 homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1PQU5] MQGARVNLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFQRVLQRMRNSAEGRDVLLERPRVISTQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFNEKQRTLFFQHYFPWASKAGLKCTDLMSVYYEKHFHEDLDEVRRNWGIIPCPDPKRRSV >ORGLA04G0256300.1 pep chromosome:AGI1.1:4:25320566:25323017:-1 gene:ORGLA04G0256300 transcript:ORGLA04G0256300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G17670) TAIR;Acc:AT3G17670] MAAAAATAFSCSFASPRHRRSPTTARASGAAVEIRVCTNRTCARQGGREVLAALEGLAPPRVDVASCGCLGRCGAGPNFGASVSGGGAAVFGHVGTAARAAQLLEHLLGDSGFDAAAGLAALAAREKAEAALEKGGAAEAEALLTEAIEMNAGGGLHLAYRSRSRARLSMGNITGALADAEEATKIAPKFPQAHLSRGDALFAMEEYRAAEDAYADALDLDPSIRRTKSFRARVQKLREKVANADVSSSS >ORGLA04G0256200.1 pep chromosome:AGI1.1:4:25310275:25311240:-1 gene:ORGLA04G0256200 transcript:ORGLA04G0256200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTDKYHALLPFHATGGGVKDHRRRPGKAVPGAAGGGPGSARFGAALSARLGSLLPLPASPLAALARLADLLALALADAVPALAGEGDAAAVAAHLDAGVALLDACNGITARLDQLRRRRLLSRFALHLLSSSSPPLPSSVRRARAALADHDERAPASPPPPLPSLPFDQPRDRVSGAATVLLAVDAVSSLAAAAASAVLCGDALHQIVFPLVSGEFPWTEAFNAVSIQLAALATKPSEVDAVDEAVGKLKSVLDNGDGDLDEAALWAAAQEVERRTEELTAPLDRLSDAVNGVFRAALYLRNAELGSIMVGPAEKTPCK >ORGLA04G0256100.1 pep chromosome:AGI1.1:4:25307240:25308025:-1 gene:ORGLA04G0256100 transcript:ORGLA04G0256100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNHLVSPALLLLLALLGASVRRAGATTFEVGGEHGWAVPPAKDAGVYNDWASKNRFLVGDSVHFKYAKDSVMVVTEDDYNKCKAEHPIFFSNNGDTEVGLDRQGLFYFISGVAGHCERGQRMVIKVIGHDAPPPASPPPPPSNAPPTPPHPSGAASALGAGGLAVAAMLLPVFVYGV >ORGLA04G0256000.1 pep chromosome:AGI1.1:4:25303605:25304728:1 gene:ORGLA04G0256000 transcript:ORGLA04G0256000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMVSITKAADSAKKMYIALELPRSPLVPSPSLNGLPASCPAGRRGGFKLADEGGPRRAQPSGGTEDSGRFFMISGEERIVEWIRGRDGG >ORGLA04G0255900.1 pep chromosome:AGI1.1:4:25292546:25297639:-1 gene:ORGLA04G0255900 transcript:ORGLA04G0255900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uracil dna glycosylase [Source:Projected from Arabidopsis thaliana (AT3G18630) TAIR;Acc:AT3G18630] MAPPLPPTAPKTIADYLIRPSKRLRPTSPAPAAAASAPLSSSSLSPEQRRRADTNLALARARRHLRLAESKVLASGGTAKLEELLVEETWLEALPGELHKPYALELCRFVAHERLHSPVPVYPPPHLVFHALHATPFHRVKAVIIGQDPYHGPGQAMGLSFSVPEGIKIPSSLANIFKELQKDLGCTVPSHGNLERWAVQGVLMLNTVLTVREHQANSHAKKGWEQFTDAVIKTISLKKSGIVFILWGNSAQAKTRLIDETKHHILKSAHPSGLSASRGFFGCSTCISGLPYMRRHNIYLMLDNPPMAGTFLKRIRSWRGWDYLPLIGNSRPFEDIMWSVCLTTTSALKWAPLQWKHRKWPILEKIGTHLDGSLAAKQPVSCTVRRSF >ORGLA04G0255800.1 pep chromosome:AGI1.1:4:25285965:25286581:1 gene:ORGLA04G0255800 transcript:ORGLA04G0255800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQAPAAAKVVVATSPRAGGGGGGGGDRKVVPVVVAAAAGDEAQSEMHVLAVDDSSVDRAVIAKILRSSKYRVTTVESATRALELLCLGLVPNVNMIITDYWMPGMTGYELLKRVKESSQLKEIPVVIMSSENVPNRISRCLEEGAEDFLLKPVRPSDVSRLCSRIR >ORGLA04G0255700.1 pep chromosome:AGI1.1:4:25256244:25261005:-1 gene:ORGLA04G0255700 transcript:ORGLA04G0255700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGDGAGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNASVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQCQVPKEEVPVVDSATQHLLEENNHLLNQIATNIGTFKTGENTDLFFRTNNNFKNILSRMSETLGIMGQMPQLPVQVNEDHLSSLLQLDRMVPSYGVTQTSHMKQEPRS >ORGLA04G0255600.1 pep chromosome:AGI1.1:4:25251922:25254319:1 gene:ORGLA04G0255600 transcript:ORGLA04G0255600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDPPYAASAAASAASPRTPAPPETPSTQKRRQRGLVSRVWKGIFGRREDVEKLLQALSKEEEAVRSRLRRRARASRQSAHNVLAIAAALEIVAVGYAIMTTRSPDLSWQMRATRVLPMFLIPALAALIYSTITSVTKMLDNRDQHTLENLRAERQAKIDELKERTNYYTTQQLIQRYDLDPAAKAAAATVLASKLGADSGLRVFLGDESNRDATSSKSNDAQTTGPRQRKPGHLSNSTGRTYGPESLGGSYAYDGNEGVTTPNQRTVDHFRGPAGNDGGWLARAAALLVGEDPTQCYALICGNCHMHNGLARKEDFAFITYYCPHCNALNGSRQHDEHEMVSPGKETPISHSDGSIGHAGANLANSGAGSPIVKDLPTVEELPAESSVATNLPSAEELPAESPIANNLPAVEELAAESPVASSTPAIEELPAEGTVEKASIDHPAS >ORGLA04G0255500.1 pep chromosome:AGI1.1:4:25247662:25250448:1 gene:ORGLA04G0255500 transcript:ORGLA04G0255500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAADQDAVSLVRRVSRALNRRLTDLVGLLFHHKSAGSLGAVAGFAIAVVFAWKFLRSSPARPRRPPGPKRPLVGPAAPDSAARDAAEPANPGKLMTRQIVVKRLGGCRKVTCQLLGVIFEEITPQELQTHATVRPSVVELLLEIAKYCDLYLMETVLDDKSEENALLALESAGLFKTGGLMKEKVLFCSSEVGRTSFVRQLEADFHIDTSLDIISQLSRFIRCQLFVSSIEGGQLAGNVFNSPSLEQFFS >ORGLA04G0255400.1 pep chromosome:AGI1.1:4:25243493:25245652:-1 gene:ORGLA04G0255400 transcript:ORGLA04G0255400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G50420) TAIR;Acc:AT3G50420] MPPDWSRLGLEEALAAAALLLRSCSPRRAVLRRSRAVHALLVVSSTPSSPTPTTFVANQLLSLYARLSAVTDALALLRSTPRPSVVSYNTVLSALCRAPRHAPEGLRLFRRLHASGLRPTAPSLCALLRAAGELRDRRAGAAAHSQAATLGFLASDIVPTALLQMYSQCGAPRDANQVFDEMATRDGVAWNCVMHCNVRYGYLDRALGQFCRMVRGGLPPTESTLSSVLSGCGRAGDCRRGRALHGWVVKLDELDPDMPLQNALLDMYSSCGDLDTALRVFERIETPDLVSWNTLIAGFSGVGDGCSAMHAFVQLKAVQFDERVVPDEYTLAAVVSASATLPAMFGGKPLHAEVIKAGLENSVFVGNTLLNMYFTNDDPHSARILFDSITQKDVIMWTEMVAGHSSLGEGELALRYFFSMLQEGYKVDSFSLSSALNSTAKLAGLKQGEMLHAQVVKSGYEGNICASGSLVDMYAKNGALPGAYLVFCNIQRPDLKCWNSIIGGYGNHGNSEMAFKLFGEMIRDGLQPDHVTYISILSACSHCGLVDKGKFYWFCMMTDGIVPGFKHYTSMVSLLGRADLLDEAVDLMMKSPFAKKCPELWRILLSSCITFRNLSIGVHAAEQALEQDPDDISTHILLSNLYASLGKWDFVAEIRKRMRGLMADKEPGLSWVEMKNVVHVFSADDECHSQIDDCHSELLRLKGNMELLDTCENEFMSSG >ORGLA04G0255300.1 pep chromosome:AGI1.1:4:25231216:25232772:-1 gene:ORGLA04G0255300 transcript:ORGLA04G0255300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMDKAANVVLDIEGLPQQPDKCCTGSPKMTRALSRKGSNRMERRSGEEQEQDDLVKKLIIKVVPSQLEQLKMPLVQNKALVTPQSQCAACAPILTDSGEGRNKKFNRLTSVHPRKILLFFATLSSVGTMILIYFTLAINGGKAEA >ORGLA04G0255200.1 pep chromosome:AGI1.1:4:25224308:25228183:-1 gene:ORGLA04G0255200 transcript:ORGLA04G0255200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:I1PQT3] MASPQESNSLCLKRKLVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKSLIEEFRSNKSSVVAVMDDEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEVAKVGGDFEGATQGIMLDKVANSPPEVVKQVVLESSPPVPQKPATADILRKKIASVERGSEGFLFAQDLFLSGMGPFATPNNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGNNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSDIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSGNAEGPTSPWISFRVLFAMIQENISSVARELLFHHYEELKENKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSAGKIASSDPARTAAEDRSLDQTGNCSLIVSVAHGDSHAPNAVAENSTSLCTKGCDTPATGMISKGYDSLAPKGVPETSTSVGPVHGASPSVEPKVRDSPIQTVLSGNIATDCAKRQDPLVSRVAPVAHNGLLRMPSGKSASLAAQVCNSVRPSTGPSGRASTEPNNASKSCGIFAPGIRPKGGESLVPSLALGNSKYAGIEGLNSAPRATPPGIRPKGGESLVHGLALGNSKCAGAEGINSAPRVTPPGIRPKGGESFVPSLALGNSKCAGAEGLNSAPRVTPKDKEFLSLSISSQQSPVLNSGKGHDGTSGAARPVHAPGLPSSNCRSSVALQGLFLXTKCRXSMLSLPCRAWKSXSFGYXGPWFSISEYCTECPXPSSIKXGAXGRCISNSRHGLRKPALSSTXCSHQGVXCSHTHT >ORGLA04G0255100.1 pep chromosome:AGI1.1:4:25211737:25212123:-1 gene:ORGLA04G0255100 transcript:ORGLA04G0255100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYYWFFHFLVVSVLLHVHGGRSESQTCDPTDLAALLAFSDGLDTKAAGMVGWAPATPPAARGRACPAISGGWWRWISPTGASPGTRFAAARRWRGSAGCRACGASTSARTASPARSRRAASRRSRW >ORGLA04G0255000.1 pep chromosome:AGI1.1:4:25204617:25206610:-1 gene:ORGLA04G0255000 transcript:ORGLA04G0255000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPLGPATRTHSASGKRKPIAATPAADPHLDHVGTTFGRLIINHEEPTPTNATAXSNHVHVREGKERDTRRERKRKMPRAQIRCWFSSMQQLFSEAIAVDPQFVIVPLHGDAMLLAHCILSSQAQKLSSAIPSRIKDAYMHAR >ORGLA04G0254900.1 pep chromosome:AGI1.1:4:25189476:25195613:-1 gene:ORGLA04G0254900 transcript:ORGLA04G0254900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin response factor 8 [Source:Projected from Arabidopsis thaliana (AT5G37020) TAIR;Acc:AT5G37020] MSSSSAASIGPPQPPPPPAPPEEGGWLEKKCLNSELWHACAGPLVCLPTVGTRVVYFPQGHSEQVRLAYVKVAASTNKEVEGHIPNYPNLPAQLICQLHDVTMHADVETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLDFTQQPPAQELIARDIHDIEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITEVSDADPVRWPSSYWRSVKVGWDESTAGERPPRVSLWEIEPLTTFPMYPSLFPLRVKHPWYSGVASLHDDSNALMWLRGVAGEGGFQSLNFQSPGIGSWGQQRLHPSLLSSDHDQYQAVVAAAAASHSGGYLKQQFLHLQQPMQSPQEHCNLNPLLQQQILQQASQQQIINPDAQNIQTMLSPSAIQQQLQQLQQMQQVQNDQKQKIQPDQSYQVPTSAVLPSPTSLPSHLREKFGFSDPNANSSSFITSSSSDNMLDSSFLQGSSKAVDLSRFNQPVASEQQQQQQQQQAWKQKFMGSQSVSFGGSVLHNSPTSKDGSVENKIGRDVQNQSLFSPQVDSSSLLYNMVPNLTSNVSDGNLSTIPSGSTYLQNAMYGCLDDSSGLLQNTGENDPATRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIKGQLDDPDRSGWQLVFVDRENDVLLLGDDPWESFVNSVWYIKILSPEDVHKMGKQGNDPRYLS >ORGLA04G0254800.1 pep chromosome:AGI1.1:4:25182484:25185850:-1 gene:ORGLA04G0254800 transcript:ORGLA04G0254800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGAAAAAAAGEASTEAGARPLAPEEEALRRNTDCVYFLASPLTCKKGNECDFRHSDNARMNPRDCWYWLNSNCLNPKCPFRHPPIDGMFGAPTTGMPAVSSHYAPFNSGKQLVPCYYFKKGNCLKGDRCAFYHGPQSVGNNPSEQVVKVSSLPLEQLQTQKNDLLGIKDSVQSTNSIQHGAPITNERGKTAVDRSTVNSARTATVAIPVASNAMSCPKSEKVKNSTPAALKESFTTSSGGDHPECYQNHFPMDSDPVRDWNQSYEMPPADDLPQNSREADELLGESSPGFDVLVDNDADGAAYLHDEDFGGDMYPVEDYEYAPADFNVCAHHEREQFNGMDEQDQMGHMYDGYERKRRRSSERSMERPFHSERRFLQRDRDRVEMDGSDLRHRLRRRRINESSLAISPECNGEQRRRDERYRERAHGHRSHRDHHQSSRGSTLSSRLQGRIKLPGRSPDRVDTRSEKERDRRRLRDRLSPVRRTEFQGTRHREAGQHEEQTQRRSSELALGSRNADGQHLTKDVPDSHNFPHRKNLRDSSKANGSVEPEASLDFEGPKPLSVILQRKREAAWANGTSACSPKQDKSAEVSHRQASLAEAEKEGDNIISSDEYKSGSGDEEFRDEGHIPVEGHGQSSSHGDKLEAEDIIEVDPVENQDADNYDQREGESYYEPIEGQDYKSDDENAYEDDDEEYDDDDDFARKVGVVFS >ORGLA04G0254700.1 pep chromosome:AGI1.1:4:25177586:25180268:-1 gene:ORGLA04G0254700 transcript:ORGLA04G0254700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02720) TAIR;Acc:AT3G02720] MAPKKVLLLCGDYMEDYEAMVPFQALQAYGVSVDAACPGKKAGDSCRTAVHQGIGHQTYAESRGHNFALNASFDEVNINEYDGLVIPGGRAPEYLAMDEKVLDLVRKFSDAKKPIASVCHGQLILAAAGVVQNRKCTAYPAVKPVLVAAGAKWEEADTMDKCTVDGNLVTAVAYDAHPEFISLFVKALGGSVTGSNKRILFLCGDYMEDYEVMVPFQSLQALGCHVDAVCPDKGAGEKCPTAIHDFEGDQTYSEKPGHDFALTASFDNVDASSYDALVIPGGRAPEYLALNDKVISLVKGFMDKAKPVASICHGQQILSAAGVLQGRKCTAYPAVKLNVVLGGATWLEPNPIDRCFTDGNLVTGAAWPGHPEFISQLMALLGIKVSF >ORGLA04G0254600.1 pep chromosome:AGI1.1:4:25164191:25167595:-1 gene:ORGLA04G0254600 transcript:ORGLA04G0254600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPSNGFAAGGLFLRHIDGQNASPPSVIVIGGGISGIAAARALSNASFKVTLLESRDRLGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIRLLGLRLYRTSGDNSVLYDHDLESYALFDKDGRQVPQEIVTKVGETFEKILKETVKVRAEHEDDMPLIQAISIVLDRNPHLKLDGLQYEVLQWCICRLEAWFATDVDNISLKNWDQEHVLTGGHGLMVHGYDPVIKALARDLDIHLNHRVTKIIQRYNKTIVCVEDGTSFVADAAIITVPLGVLKANIIKFEPELPDWKLSSITDLGIGIENKIALRFNSVFWPNVEVLGRVAPTSNACGYFLNLHKATGHPVLVCMVAGRFAYEFEKLSDEESVNFVMSQLKKMLPGATEPVQYLVSRWGTDPNSLGSYSCDLVGKPADLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIVAAEDCQRHLSTQLGISDLFQVGKIIMREEMTEVMVPLQISRL >ORGLA04G0254500.1 pep chromosome:AGI1.1:4:25159824:25162466:-1 gene:ORGLA04G0254500 transcript:ORGLA04G0254500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSLKTGGLLLPTIERQCASPPSVIVIGGGISGVAAARALSNASFEVTVLESRDRVGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIGYLGLKLYRTSGDNSVLYDHDLESYALFDKAGHQVSKETVAKVEETFERILDETVKVRDEQEHDMPLLQAISLVLERHPHLKLQGIDDQVLQWCVCRLEAWFAADADEISLKNWDQEHVLTGGHGLMVNGYYPIIQALAQGLDIRLNQRVTKIARQFNGVTVTTEDGTSYSADACIITVPLGVLKANIIKFEPELPSWKSSAIADLGVGIENKIAMHFDTVFWPNVEVLGMVGPTPKACGYFLNLHKATGNPVLVYMAAGRFAQEVEKLSDKEAVDLVMSHLKKMLPDATEPTKYLVSRWGSDPNSLGSYSCDLVGKPADVSARFAAPVENLYFAGEAASADHSGSVHGAYSSGINAADECRKRILMQKGIPDLVQVKAYEEMAGVIAPLQICRT >ORGLA04G0254400.1 pep chromosome:AGI1.1:4:25156445:25158534:-1 gene:ORGLA04G0254400 transcript:ORGLA04G0254400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISRAIRACAAAGSRRSMASSAKEVAAAGARAAAAVARRGREREREEDGRRVQWVFLGCPGVGKGTYASRLSQMLRVPHIATGDLVRDALASPGPFSEQLAEIVNNGKLVSDEIIINLLSKRLEEGAEKGELGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALLAKCLGRRMCSQCGGNFNVASIDMEGENGGPRMYMPPLLPPPQCESKLITRPDDTEEVVKERLRVYHDLCEPVEDFYRARGKLLEFNLPGGIPESWPKLLQALNLDPGNERSAAA >ORGLA04G0254300.1 pep chromosome:AGI1.1:4:25150788:25152622:-1 gene:ORGLA04G0254300 transcript:ORGLA04G0254300.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYRKGNWTLHETLILITAKRLDDDRRAGVGGGAAAGGGGAGSPPTPRSAEQRWKWVENYCWKNGCLRSQNQCNDKWDNLLRDYKKVRDYESRVAAAAVTGGAAAANSAPLPSYWTMERHERKDCNLPTNLAPEVYDALSEVLSRRAARRGGATIAPTPPPPPLALPPPPPPPSPPKPLVAQQHHHHHGHHHHPPPPQPPPSSLQLPPAVVAPPPASVSAEEEMSGSSESGEEEEGSGGEPEAKRRRLSRLGSSVVRSATVVARTLVACEEKRERRHRELLQLEERRLRLEEERTEVRRQGFAGLIAAVNSLSSAIHALVSDHRSGDSSGR >ORGLA04G0254200.1 pep chromosome:AGI1.1:4:25135692:25141805:1 gene:ORGLA04G0254200 transcript:ORGLA04G0254200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein [Source:UniProtKB/TrEMBL;Acc:I1PQS3] MAGAPPTEAEKESLVTSFLEIAAGQTPETATQFLQMTSWHLEEALQLFYIDGEAALAAHPAPSPAAAAEAAAAAVAAAAEVEDAMRFAPPPAAALGDGMLQGLGEEEDVRAPLPTKRETLYGDAPMVVVRPNSTVAFRNFEEESRQSAVWDSEQNAASSSRDNLAALYRPPFALMFNGPFDKAKLEASVLDKWLLINLQSTEEFSSHMLNRDTWANEAVAQTIRSNFIFWQVYHDTSEGRKVCTYYNLVSVPAILLIDPITGQKMRGWNGMIHPDRLLEDLMPYLDKGPKEHHAAQPQKRPRKVDQETSIGKQGKTPVPVVATEDEDEELARAVAASLEESKGSDTSEEKIEPEVENETSLSAKLNYPPLPEEPKGSRELLCRVAIRLPDGRRIQRNFLHTDPIKLLWSFCYPQVEDGDKKAFHFVQPIPRASTNLEYESDKTFKEAGLANSMINLLWD >ORGLA04G0254100.1 pep chromosome:AGI1.1:4:25130584:25134489:-1 gene:ORGLA04G0254100 transcript:ORGLA04G0254100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT1G34270) TAIR;Acc:AT1G34270] MARLALLAVPVALLLSLSFLLLRPASPPLPFSRQQAASGPDRRRLLRVYVAELPRALNHGLLDLYWSLPAADSRIPASSDPDHPPPRPRAHSPYPDSPLIKQYSAEYWLLASLQPGSSSAPAVRVVADWRDADVVFVPFFATLSAEMELGWGAKGAFRRKEGNEDYRRQREVVDRVTAHPAWRRSGGRDHVFVLTDPVAMWHVRKEIAPAILLVVDFGGWYKLDSNSASSNVSHMIQHTQVSLLKDVIVPYTHLLPTMHLSENKDRPTLLYFKGAKHRHRGGLVREKLWDLMVNEPDVVMEEGYPNATGREQSIKGMRTSEFCLHPAGDTPTSCRLFDAVASLCIPVIVSDEIELPFEGMIDYTEFAIFVSVNNAMRPKWLTNYLRNVPRQQKDEFRRNMAHVQPIFEYDSIYPGRMASAAQDGAVNHIWKKIHQKLPMIQEAVTREKRKPDGTSIPLRCHCT >ORGLA04G0254000.1 pep chromosome:AGI1.1:4:25127559:25130138:1 gene:ORGLA04G0254000 transcript:ORGLA04G0254000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vitamin E pathway gene 5 [Source:Projected from Arabidopsis thaliana (AT5G04490) TAIR;Acc:AT5G04490] MAAAARPVDVVRHFPCSSSAAASSSLLLSRSKSRLASPAAAAASSMRRRLVLGXXXXXXXXXXXXXXXXXXXXXXXXXXTLLITAGAYSLVRAFDGLTARRLIEQNLSRKIVHVLSGVLFMSSWPLFSNSTEARFFAAIVPLLNCIRLLTYGLRLSTDEALVKSVTREGKPEELLRGPLYYVIVLLVSVLVFWRQSPIGIVSLSMMSGGDGFADIVGRRYGSAKLPFNENKSWIGSISMFISGFLLSALMLFYFSCLGYFTVCWDLALGKLALVALAATVVECIPVNDVVDDNISVPLATMLAAYLLFGYSSCC >ORGLA04G0253900.1 pep chromosome:AGI1.1:4:25124337:25126017:1 gene:ORGLA04G0253900 transcript:ORGLA04G0253900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKSVAAALAMACFLLILAAFAPPAAAAPPDIMSIIRYNAEHGVRGLERTEAEARAAYDLWLARHRRGGGGGGSRNGFIGEHERRFRVFWDNLKFVDAHNARADERGGFRLGMNRFADLTNGEFRAAYLGTTPAGRGRRVGEAYRHDGVEALPDSVDWRDKGAVVAPVKNQGQCGSCWAFSAVAAVEGINKIVTGELVSLSEQELVECARNGQNSGCNGGIMDDAFAFIARNGGLDTEEDYPYTAMDGKCNLAKRSRKVVSIDGFEDVPENDELSLQKAVAHQPVSVAIDAGGREFQLYDSGVFTGRCGTNLDHGVVAVGYGTDAATGAAYWTVRNSWGPDWGENGYIRMERNVTARTGKCGIAMMASYPIKKGPNPKPSPPSPAPSPPQQCDRYSKCPAGTTCCCNYGIRNHCIVWGCCPVEGATCCKDHSTCCPKEYPVCNAKARTCSKSKNSPYNVEALIRTPAAMARSVPEQPDSISFVVLNREDLV >ORGLA04G0253800.1 pep chromosome:AGI1.1:4:25119783:25123779:-1 gene:ORGLA04G0253800 transcript:ORGLA04G0253800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC-C motif-containing protein / OTU-like cysteine protease family protein [Source:Projected from Arabidopsis thaliana (AT5G67170) TAIR;Acc:AT5G67170] MARNKKKVAVAAPKARKPKRDAEEKKFAKKADMTEFRAQLDALGLKIIEVSADGNCFFRAMGDQLEGNEEEHMKYRAMIVQYIKEHRVDFEPFIEDEEPFEKYCDSMLEDGTWAGHMELQAASILTRKNICIHMLNSPRWYIRNFSDREATSMIHLSYHQGEHYNSVRLREDPCQGPAMPVIIKADANVASTSNNAQTKAKDLKKSSDRSKYDHISVKLVMAGTGCSNVAAVEQVLKDMDGDIDAAIEYMLAEQLILGSDDADGDPYLDYACDAEYVQTIEDELSMKQDESQLDEHKKEEKDCSSKGETAQKHNSSHSKKGKSKTKECSCGSARKHKPSCNLATTVASREPPKTTAPSREPPKTKGGQGKGQKGKKQKKKEQDETPAIRDHDSKVAPDLGALCI >ORGLA04G0253700.1 pep chromosome:AGI1.1:4:25113205:25116214:-1 gene:ORGLA04G0253700 transcript:ORGLA04G0253700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAAAAAFLLLLIVGAATAAPDMSIISYNAEHGARGLEEGPTEAEARAAYDLWLAENGGGSPNALGGEHERRFHVFWDNLKFVDAHNARADERGGFRLGMNRFADLTNEEFRATFLGAKVAERSRAAGERYRHDGVEELPESVDWREKGAVAPVKNQGQCGSCWAFSAVSTVESINQLVTGEMITLSEQELVECSTNGQNSGCNGGLMDDAFDFIIKNGGIDTEDDYPYKAVDGKCDINRENAKVVSIDGFEDVPQNDEKSLQKAVAHQPVSVAIEAGGREFQLYHSGVFSGRCGTSLDHGVVAVGYGTDNGKDYWIVRNSWGPKWGESGYVRMERNINVTTGKCGIAMMASYPTKSGANPPKPSPTPPTPPTPPPPSAPDHVCDDNFSCPAGSTCCCAFGFRNLCLVWGCCPVEGATCCKDHASCCPPDYPVCNTRAGTCSASKNSPLSVKALKRTLAKLNTA >ORGLA04G0253600.1 pep chromosome:AGI1.1:4:25111200:25112654:1 gene:ORGLA04G0253600 transcript:ORGLA04G0253600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGHNARLLALALALLLAALHLHGVVCDPSHTHFAMVSRNAPSWRPDRGGQGKPSPSPEDFLNENLQALYPVIQAFKATITSDPRGVTASWVGPNLCDSYFGGDMYKGFYCEHPPAPPGATPPKDNTTLTIASIDFNGYGLGAPSLAGFVDAFPDLALFHANSNNFSGEVPDLTGLPYFYELDLSNNNFSGAFPATVVPLGRLLFLDLRFNRFVGTVPPPVFDLTVVALFLNNNGFYGNIPDNFGSTTAEYLVVANNQFTGPIPRSIYNTSANLSEVLFLNNHLSGCLPYEIGLVEGLTVFDAGGNDITGPIPLSLGCLGLVEELNLAGNQLYGHIPDVLCALAKTGKLQNLSLSDNYFHSVGRRHCLELVRSKVLDVRLNCIPNFPHQRPALECARFYADPPQHCPFVPHIPCDLPGFRPPAAALPSAVAAEEGGGGGGGGN >ORGLA04G0253500.1 pep chromosome:AGI1.1:4:25106333:25108097:1 gene:ORGLA04G0253500 transcript:ORGLA04G0253500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:I1PQR6] MATTSRRSLHALLGGGAVADLVLWRRRNVSAAAVAGATAVWFLFERAGYSFPSVMANALLLLVAILFFWAKSASLLNRPLPPLPKLEVSDVVVEKAADRALVWINKVLAVGHDIAIKRDRSVFIKVILILWVVSYIGMLFNFLTLIYIGVMFSLLVPPLYEKYQDQVDEKIGMAHSVLSRHLDTIISKTGESTKQKKTE >ORGLA04G0253400.1 pep chromosome:AGI1.1:4:25100779:25103411:-1 gene:ORGLA04G0253400 transcript:ORGLA04G0253400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEQQQQGFRPLDEASLVAYIKATPALAARLGGRLDALTIKEVGDGNLNFVYIVLSDAGSLVIKQALPYIRLVGDSWPMSRERAYFEASALQKHRALCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLVAGVEYPLLAEHMADYMAKTLFFTSLLYNSTTDHKKGVAQYCDNVEMSRLTEQVVFSDPYRVAKYNRCTSPFLDNDAAAVREDAELKLEIAELKSMFIERAQAFLHGDLHTSSIMVTPDSTQVIDPEFAFYGPMGYDIGAFLGNLILAYFSQDGHADQANDRKAYKKWILKTIEDSWNFFHKKFVELWNKHKDGNGEAYLPPIYNSSELLSLVQKKYMTSLFHDSLGFGSAKMIRRIVGIAHVEDFESIEDASKRASCERRALNCAKAILKGRRQFESIEQVIVHVQSFDRD >ORGLA04G0253300.1 pep chromosome:AGI1.1:4:25094881:25097590:-1 gene:ORGLA04G0253300 transcript:ORGLA04G0253300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEQQQQQQQQGFRPLDEASLVAYIKATPALAARLGGSLDALTIKEVGDGNLNFVYIVLSDAGSVVIKQALPYIRCVGDSWPMTRERAYFEASALQKHRGLCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLIAGVEYPLLAEHMADYMAKTLFFTSLLYNSTTDHKKGVAQYCDNVEMCRLTEQVVFSDPYMLAKYNRCTSPFLDNDAAAVREDAELKLEIAELKSMFIERAQALLHGDLHTGSIMVTPDSTQVIDPEFAFYGPMGYDIGAFLGNLILAYFSQDGHADQANDRKAYKKWILKTIEDSWNLFHKKFVELWNKHKDGNGEAYLPPIYNSSELLRLAQKKYMTSLFHDSLGFGSAKMIRRIVGIAHVEDFESIEDASKRASCERRALNCAKAILKGRRQFESIGQVIVHVQSFDRD >ORGLA04G0253200.1 pep chromosome:AGI1.1:4:25092411:25093975:-1 gene:ORGLA04G0253200 transcript:ORGLA04G0253200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATSRAPRSRFVVWLHGLGDTGRANEFLADSFPTTAAFADARWAFPTAPTAPVTCNRGMLMPSWFDIHDAPITSVSVRDEEDVLRAVQSVHAMIDREIAAGTNPQDVFVFGLSQGGALGIASVLLHPKTLGGCAVFSGFLPFNSSFAVRVTAQAKKLQCGLQTPVLWIHGQADSLIPIKEGRDGIKFLRGLGMSCEFKVYDRLGHSLEYYELDYCQRWIEKILHRSGREGLIRRVSRNIFLCSNLFNSS >ORGLA04G0253100.1 pep chromosome:AGI1.1:4:25090149:25091402:-1 gene:ORGLA04G0253100 transcript:ORGLA04G0253100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAAAGGYGRVLWLHGSGQTGEESRAQVAPYFAAPELASVRFSFPTAPTSSIPCYGGEVITAWFAIPEVPITARTARDEKEVLKAVERVHEMLDGEVAAGTSPSNIFVCGLSQGGALAIASVLLYPMTLGGCVVFSGSLPLSKTFAESIPSEARKTPVLWFHGMADGVVLFEAGHAGCAFLQEIGMHCEFKAYPTLGHTLVDEELQYFRQWIKDRLSQGTGVPVPSLSDKMDLQ >ORGLA04G0253000.1 pep chromosome:AGI1.1:4:25087481:25089728:-1 gene:ORGLA04G0253000 transcript:ORGLA04G0253000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLRFLFSLAAAIAAASLLAAALRRRAPPSGLAARLVPAPMAAAAARNRSFVLWLHGLGDSGPANEPIRNFFSAPEFRLIKWAFPSAPNSPVSCNHGAVMPSWFDIHELPMSSGSPQDDSGVLKAVENVHAMIDKEVADGIPPENIFVCGFSQGGALTLASVLLYPKTLGGGAVFSGWVPFGSSVTERISPEARKTPILWSHGIADNVVLFEAGQAGPPFLQNAGFSCEFKAYPGLGHSISKEELYSLESWIKNHLKASQEKEN >ORGLA04G0252900.1 pep chromosome:AGI1.1:4:25081675:25084187:1 gene:ORGLA04G0252900 transcript:ORGLA04G0252900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYQGKGRRVHPAPAPQAQADAAAPAAVVLPAAVLALASALTAEEQEVLAYLLSGGGAAGGRRRRRGAHPPEMGCGCFGCYKSFWARWDASPNRHVIHRIIDAVEEGGGGGGGAGPPRRPPRRRRGGKRGGGDCEEDASATKEADASVEHHHHACGFDGEEDGDYEGDGDDEEEEEEGDSMDGDADDETTLSEGDCSSSSSSNAEKSTVGRLVRFIGEKIRRRKKTTKKVRSSLTVTVIVVLQYEMDRKQVADNLWALHCTSLCREINKLQHGEAEAEAEAGWKKKRDVQEEMLHLMATTDVFDRQFGRRALLCEDAKAKRKREP >ORGLA04G0252800.1 pep chromosome:AGI1.1:4:25077285:25080813:1 gene:ORGLA04G0252800 transcript:ORGLA04G0252800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSPPKKWGLREQREAYLRWFSLADDDGDGRVTGKDALKFFAMSNLSRPELKQVWAIADSKRQGYLGFSEFMTAMQLVSLAQAGNEISQDTLAHADLETLLPPTMEGLDKKLKKNSMSKSNSDIVVRHPSELPASANWFNSKSGKKIPLRSVTSIIDGLKKSYIEKLRPLEKTYQFDDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTNYPGAHIGPEPTTDRFVVITSGPDERCIPGNTIAVQADMPYSGLSSFGTAFLSKFECSQMPHPLLDHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLKGHDDKIRIVLNKADQVDAQQLMRVYGALLWSLGKVLNTPEVMRVYIGSFNDKPIRETVAGPLGKELFEKEQEDLLSDLNDIPKKACDRRINEFVKRSRSAKVHAHIISHLKKEMPALMGKAKAQQRLLDTLDEQFAKVQKELHLPAGDFPSVDEYRETLSAYNFDKFERLKPKLVQGVDDMLAYDIPDLLKSFRNPYE >ORGLA04G0252700.1 pep chromosome:AGI1.1:4:25072647:25073219:-1 gene:ORGLA04G0252700 transcript:ORGLA04G0252700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXFAAEIRDPAKRARVWLGTYDSAEAAARAYDVAARNLRGPLARTNFPLVSSLPLPSPHYHLPGKAAAAAPPVAGPACSASSTVESSSGPRGPRPAATAAAVPRRRVPRPAPPAPDAGCHSDCASSASVVDDADDASTVRSRVAAFDLNLPPPLDRDHVDLCTDLRL >ORGLA04G0252600.1 pep chromosome:AGI1.1:4:25067208:25069635:-1 gene:ORGLA04G0252600 transcript:ORGLA04G0252600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRLGGAGRALLSRQALSLPNLRRRASNSWAAVRDTFFSTKEVFESHRVVFTVGTSIASVLTAWAGYSLRHMQQSRIDKRLNSIEQSLKDTHKVEHEEIKKIVTSSNISTPACVATALTTSVVGYALGWRGGAWYARRGFRREQQKLMGQINSHHRWHWRPFSKLKNRLRRNRPAAKTVEAPRLTGESTI >ORGLA04G0252500.1 pep chromosome:AGI1.1:4:25063358:25065796:-1 gene:ORGLA04G0252500 transcript:ORGLA04G0252500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:I1PQQ6] MAAVASASPLPAAAAPSTRCSPPPAFLPLRAHRPRVGTVATRRVFRAEAMRTQREKEQTEVAVEESFPFRETAPPDEPLVTAEESWVVKLEQSVNIFLTESVITILDGLYRDRNYARFFVLETIARVPYFAFISVLHMYETFGWWRRADYIKVHFAESWNEFHHLLIMEELGGNSLWVDRFLARFAAFFYYFMTVAMYMVSPRMAYHFSECVERHAYSTYDKFIKLHEDELKKLPAPEAALNYYLNEDLYLFDEFQTARVPCSRRPKIDNLYDVFVNIRNDEAEHCKTMKACQTHGNLRSPHSMQKCLETDTECVIPEDDCEGIVDCVKKSLVSKE >ORGLA04G0252400.1 pep chromosome:AGI1.1:4:25059361:25062705:1 gene:ORGLA04G0252400 transcript:ORGLA04G0252400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative thiol-disulphide oxidoreductase DCC [Source:Projected from Arabidopsis thaliana (AT5G50100) TAIR;Acc:AT5G50100] MASSLARMGAALPRVRPRAAARFPPPPGRWDSAAALRRAPVYGFRCQVHSDVKVGPSSGLKDGENSSGSWRIKMLYDGDCPLCMREVNMLRERNKSYGAIKFVDISSKDYSPQDNQNLDYETAMGRIHAILSDGTIVTDVEAFRKLYEEVGLGWIYAVTKYEPVAKVANAIYGVWAKYRMQITGRPPLEEIMESRKLAAECKDDKVCKM >ORGLA04G0252300.1 pep chromosome:AGI1.1:4:25054378:25056258:-1 gene:ORGLA04G0252300 transcript:ORGLA04G0252300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLESHIKSQVPALLLRRFFGGAGRRRVFVQTETGCVLGMDLDRGDNAHTVKRRLQLALNVPTGETSLTFGDRVLENDLSFIRPDSPLLLTRNSINRSCSTPCLCPVSKDFEHKDRSGLVEMLSCSISCARVKRLVDDVVTAIRSGVDPVPIGSGLGGSYYFRNISGDRVAIVKPTDEEPFAPNNPKGFVGRALGQPGLKKSVRVGETGFREVAAYLLDHDNFANVPPTALVKITHSIFHINCPVNGGSPAHDQKQQVSSKIASFQQFIAHDFDASDHGTSSFPVAAVHRIGILDIRIFNTDRHAGNVLVRKLDGGTGRFGCQTELFPIDHGLCLPENLEDPYFEWIHWAQSSIPFSEEELEYIKNLDPMRDVAMLRRELPIIREACLRVLVLCTIFLKEAAASGLCLAEIGEMMTREFRGLEEEPSKLEVVCMEARRKLAEWEPYSPVIEQGEDMDFQFSLDMLGEYNDVIRSPRFNGLGAKGNGFRNPLSKLVESIDEDNDDDDGRSESSKRSSERVHSGGLKFPSADKSSGSNGSVHALNRSADEQLPSSVCFVRLSDMNAEEWNIFLEKFQELLKEALQERAAAAGQRMKQRLGTSCKF >ORGLA04G0252200.1 pep chromosome:AGI1.1:4:25050260:25051522:1 gene:ORGLA04G0252200 transcript:ORGLA04G0252200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15710) TAIR;Acc:AT5G15710] MGDAAAPPAAVAAAARAARPTSPSRPPKAIRSTKPRGLDEETAAPAAATFPSIKAPSAAAASLLHADVPMEARVWAPLPDDLLLEVLARVPPFLLFRLRPVSRRWDSILHDPAFLAAHAGVPSHGPCLLTFWRGAASSPPQCSVLSLPLRARYKLPFGFLPAWDLWLVGSSGGLVCFSGFDGAGFRTVVCNPLTQTWRVLPDMHYNQQRQLVLAVDKKRRSFKVIAASDVYGDKTLPTEVYDSKENKWSVHQMMPAANLCSSKMAFCDSRLYLETLSPLGLMMYRVDAGNWEHIPAKFPRSLLDGYLVAGARTRLFLVGRIGLYSTLQSMRIWELDHGRTVWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKAWSWIAGCASQLCNSQICFYEPRFDTLIY >ORGLA04G0252100.1 pep chromosome:AGI1.1:4:25046574:25046783:-1 gene:ORGLA04G0252100 transcript:ORGLA04G0252100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVLVVILLAVIAVAPFAEASTVTGGSRVLLASDAPAESPAGPAAAPGPAEESSSESAPSPSAADA >ORGLA04G0252000.1 pep chromosome:AGI1.1:4:25044617:25044823:-1 gene:ORGLA04G0252000 transcript:ORGLA04G0252000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVLVVILLAAIAVAPFAEASTVSAASRVLLASEAPAESPAGPTPAPGPAEESSSTSAESPSADA >ORGLA04G0251900.1 pep chromosome:AGI1.1:4:25042123:25042329:-1 gene:ORGLA04G0251900 transcript:ORGLA04G0251900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVIAVILAAIAVAPFAEASTVTTTSRVLLASEAPAESPAGPAEAPGPAEESSSASAPSPSAADA >ORGLA04G0251800.1 pep chromosome:AGI1.1:4:25039082:25040189:-1 gene:ORGLA04G0251800 transcript:ORGLA04G0251800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTDPREQQPHVEFIGRAHKKGQHCQSRSAPIAVAPFAKATTVAAFIGEAQLRRSFRIRRIEQNQGGLSDSFLSIQLLIHRGRRPLAADVVSSGAGGGGADPRGSPSPRRHHPHQASPLVSIPTRPPCRIPHRGQGLPPASTTSPSPSLSLNPLGTLVHLAYECRLALTDDGDDRRGHRR >ORGLA04G0251700.1 pep chromosome:AGI1.1:4:25035062:25037589:1 gene:ORGLA04G0251700 transcript:ORGLA04G0251700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMAASRRLLHLRPELGLRLRSRALIPYPSWTKAMGRCRYEPSPRRLSSCRRAAKNERPWRSSSNEQLTDGDGTAKYMGRNFPDEFAQLSLEEEESDDEVHGISETMVKDVEKAAVELLAVRAFTVSDLRKKLRAKKFPDNAVDSVIDDFKSRGLLNDGYYAESFSRSRWLSSTWGPKRIKQALRQKGVQDAEVDQATRRVFQDGHSNQTMYGISEDSMDHLFEQAAKQWQRGQSLPLENRRARVVRWLQYRGFNWAVTNAIVRKLEAQKPP >ORGLA04G0251600.1 pep chromosome:AGI1.1:4:25031274:25033138:-1 gene:ORGLA04G0251600 transcript:ORGLA04G0251600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 30 [Source:Projected from Arabidopsis thaliana (AT5G56150) TAIR;Acc:AT5G56150] MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPFAGGVFLVNIHFPPDYPFKPPKQVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >ORGLA04G0251500.1 pep chromosome:AGI1.1:4:25026401:25030043:1 gene:ORGLA04G0251500 transcript:ORGLA04G0251500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 8 [Source:Projected from Arabidopsis thaliana (AT5G56180) TAIR;Acc:AT5G56180] MAMAVLLRKVWGSVLARAAAGAAPPEAFAAAASPHRPQAAGEYGSLGALDVLPIDVLAQILRLLGPADAARSTAVCRAWRLLASDNGLWAFFLRLGPDPWELVVFAETHLAAGPALHPGLYYDSSPQLSFKHVYTRRAVVPGSIIVDGGSGYCKYGWSKYAAPSGRCATFLEFGNIESPMYARLRHFLSTIYTRMQVKPSTQPIIVVLPLCHSDDTESARASRKQYKDTLYSVLFDMNVPAVCAVDQAVLALYAAKRTSGIVVNIGFNATSIVPIFQGRVMHEIGVETVGQGALKLTGFLKELMQQRNITFESLYTVRTIKEKLCYVAADYEAEKRKDTQASCEVDGEGWFTLSEERFKTAEILFQPQIGGVRAMGLHKAVSLCMDHCYNSEVFGDDNWYKTVVLSGGSSCLPGLSERLEKELRELLPAHISEGIRVIPPPFGTDSAWFGAKMISNVSTFTEAWCIKKKQFRQKTRRNGPSFVNVW >ORGLA04G0251400.1 pep chromosome:AGI1.1:4:25023654:25024375:1 gene:ORGLA04G0251400 transcript:ORGLA04G0251400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRLQKLVLKLDLHDDKDKQKAIKAVSTLHGIDMISMDMKGRKLTVVGDVDPVDVVGKLRKGWPSASLLSVGPAKEEKKEEKKPEEKKDDKKPEQPKLVFYPPPPAWHAHAAPPSYSYPPPQYVVHSAEEDPNSCVIC >ORGLA04G0251300.1 pep chromosome:AGI1.1:4:25017191:25020051:-1 gene:ORGLA04G0251300 transcript:ORGLA04G0251300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:I1PQP4] MAAVPSAAAPPVPVSPGERWPPLESSPDVFNQLMWSLGVPEDMAEFHDVYSLDADALEMVPQPVLAVVFCFPDPTQDASNPSQHLLITGEKETLFFIKQIESLGNACGTIALLHAVGNAYSEISLSENSFLDMFIKSTSGMTSYERAVFLEKDDDMARAHLSAASAGDTKLSDDVEEHYICFVECDGTLYELDGMKPGPIYHGPSSSKSLLQDAVNIIKATMHNIPNSVNFNVIVLSRKAE >ORGLA04G0251200.1 pep chromosome:AGI1.1:4:25010649:25012073:-1 gene:ORGLA04G0251200 transcript:ORGLA04G0251200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAGSLYMASQHKGVPPPLPPPPRPLPVINLGRLTMDSASRALAVRDIVLACRERGCFEVVNHGISRSCMNGALEAASEFFQLSTERKEEFASDDIRQPIRYDTSSRDGISMSRSFLKHYANPLDDWIKFWPTQPPTYREKMGEYAVETQRVSMQLMKAILQGLGLGPSYLQEKLEGGVQFVALNNYPQSSAKKADKIGLAPHSDYGFLTILLQSSPGLEVMHHEDDAWTSVPAIPGALHVHVGDHLEVLSNGQLKSLVHRAVLNPNESRISIASIHGLSMDEEVHCAEELVDEHHPKMYRGSSFQDFLDFLPANMNRYKRYVESLRIDKP >ORGLA04G0251100.1 pep chromosome:AGI1.1:4:25007944:25008453:-1 gene:ORGLA04G0251100 transcript:ORGLA04G0251100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSLRRGLSSGCHSAASSCWCGFVFSRLLSNPGAPLELERAAPPPRPLLYAPVSHSATLLPNRSDSQGRRLRQGRAPVIRETGGEWDVRRQKDASIGRAGGIRVASPARRVTLLRQRDILVARVSDXPSGEAWLLEGDGKDGCVAPVAGVRFCSSATTTTRRTWYFM >ORGLA04G0251000.1 pep chromosome:AGI1.1:4:25002099:25004413:1 gene:ORGLA04G0251000 transcript:ORGLA04G0251000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTSKGIPQEQLPSQELHPPPMPVINLGHLSLDDPTVRPRVVNDIAKACCDLGYFQVISHGISQSVMDGAIEAASEFFKLPNEIKKEYASDDIRQPVRYDTSSKDGISMSRAFLKHYAHPLCDWLQYWPQQPPIYREYMAKYAVEVRVVALKLMEAILEGLGIGKEYMHEKFEEGLQLLSVNCYPKVSQSDTSIGLAAHSDYGLLTILLTSCQGLEVVDRSSNSWKVVQQLPHALHVHVGDHMEVLSNGRIKTVVHRAVLNPQEARISLASIHGFALHEKVSSAKELVDEENPQKYKESSFNDFLEHLTANMDNRQRNFLESLRM >ORGLA04G0250900.1 pep chromosome:AGI1.1:4:25001634:25001894:-1 gene:ORGLA04G0250900 transcript:ORGLA04G0250900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIIHLMDPPHLDRGLQRRSQVRRRISIHSCTLQRLEMDYMDPVSGPGEEKPLGIGSLPTYVRWERVVCHVAPHLHHAQVAILYES >ORGLA04G0250800.1 pep chromosome:AGI1.1:4:24997125:24999754:-1 gene:ORGLA04G0250800 transcript:ORGLA04G0250800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXGESRAPRXSPPSGRSPRRTRRLLNTTTVHKADALLRLVSSRPPGTPLLTVSNHMSTMDDPLMWGFKGFPTTDAKLQRWVLTAEDICFRNVFMSYIFRLGKCVPITRGAGIYQDHMTEALEVLSTGDWEK >ORGLA04G0250700.1 pep chromosome:AGI1.1:4:24982211:24991189:-1 gene:ORGLA04G0250700 transcript:ORGLA04G0250700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin-like calmodulin-binding protein (ZWICHEL) [Source:Projected from Arabidopsis thaliana (AT5G65930) TAIR;Acc:AT5G65930] MNGGGASGGDGYDSDGYSFAPPTPTTLSMSIPPELAGAIPLIDRFQVEGFLKAMQKQIHSAGKRGFFSKKSVGPHVREKFTLEDMLCFQKDPIPTSLLKISSDLVSRSIKLFHVILKYMGIDSPAIISLDERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRAWLIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLSSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSDVGNEEYIGLDDNKYIGDLLSEFKAAKDRNKGEILHCKLVFKKRLFRESDEAITDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVDNPESCVEWISLLERFLPRQVAITRAKRDWELDIVSRYQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATSTVSQNDVSQTYKPPNIEIYEKRVQELSKAVEESERKADLLNEELQKKTKQERDMQKELEGLRDALQSERQSIKEVTNDLDKLKSLCDEKDSSLQAALMEKTRLETRLKSGQGQESSNRTGVSGNHFERDTLPTVGTVNNSIEMLAKLEEELKSCKKELDASKELSKKLTMENNLLDQKVQRLERAKSEEKSNMERVYEDECCKLKSRIAELEQKLESRTRSLNVTASTLALRNAEVDTLQNSLKELDELREFKADVDRKNQQTAEILKRQGAQLIELENLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLNDKELTEKDKNIVCSPDEFTVAHPWKDDKSKQHIYDRVFDANTTQEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSENNPGLTPRATSELFRVIKRDGHKYSFSLKAYMVELYQDNLVDLLLAKNATRQKLEIKKDSKGVVTVENVTVVNISSFEELRAIILRGSERRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIINDTSKHVAPKEIMRLKKLIAYWKEQAGKRSEDDDLEEIQEERTPKEKADNRLTS >ORGLA04G0250600.1 pep chromosome:AGI1.1:4:24980418:24981207:-1 gene:ORGLA04G0250600 transcript:ORGLA04G0250600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1PQN7] MAAARFPLLVLCLLPIIVCSVVDAELTHLHFYFHEVDAGTPNATVVNVASLHRNSSTFGDVNVFDNALREGPDPASRLIGRAHGLAVHASLDETGGLTAINFVFSDYGAYSGSTLATQGHFITTGPSERSIVGGTGKLRFARGYMTSKLLSSTDTAIVVVFDMYFTLDH >ORGLA04G0250500.1 pep chromosome:AGI1.1:4:24964414:24965366:1 gene:ORGLA04G0250500 transcript:ORGLA04G0250500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVFCMKGEQGESSYLKNSKVQFRNLQMMLRALEETLDKVVLPHHGPGRLLLTAADLGCSCGRNTLVVADAIVQHMTKLCRRRGKGEHGDDAAADPEFCFYFSDLPSNDFNTLFGLLPHRGAASSGEGAVGGGTTSPPPCLARSMTVCSRSDLSTCSPPPSACTGSLRCRKKSPTSGRRRTTRRRCSSTAARRKPARRTGGSSSPTWRASCAAAPRS >ORGLA04G0250400.1 pep chromosome:AGI1.1:4:24958382:24960507:1 gene:ORGLA04G0250400 transcript:ORGLA04G0250400.1 gene_biotype:protein_coding transcript_biotype:protein_coding CKKCXXRTSSAWRVDRGSRVTSKTAKFCLETCKXCCQPSRRYWTRSSSLAGRGNISXRRPTLAALAVITRSSSPMRSSNTXPESCAAPSSTTKMTVTPLIQNSASTSPISPSNDFNTLFHLLPQHATAAAGDGSERRYFAAAVPGSFHDRLFPRRSIDVFTSTFSLHWLSQVPEGVADKRSAAYNKDKVFVHGASQATGAAYRRQFQSDMARFLRCRATELKPGGVMFLVCLGRPSLHACPTNQGRVQLLYGAMFEESWRELVEEGTIGRETMGSFNVPVYAATLEEFREAVGADGSFEISRLELVITSPLAVDDPIRDRRAVGRAVANYVRSLLGPLVDAHVGRAVADEIFARMQRRAEARAEELVDEMRFPHIVCSLSLA >ORGLA04G0250300.1 pep chromosome:AGI1.1:4:24942246:24956674:1 gene:ORGLA04G0250300 transcript:ORGLA04G0250300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGQGESSYINNSQSQSRNLKMMLYVLEETLDKIQLPRHRPGKKPLLTAADLGCSCGHNTLLIADVIVDHMTKLCGTGSLGIGVPEGVANKRSPAYNKGKVFVHGASEETGAAYRRQFRSNMMRFLHCRATELKTGGAIFIVSLGRLSSTRGPTDQGYIYEVYGGMFDDSWRDLIKEGMVDGEKMDSFNVPLYAPTVEEFKEVVDTDGSFKINQLELVMASPPVVDDPADCGMAGRMVANYVRTLLGPLIDTHIGWVMADELFIRMQRHAEIRAEELVDEMCFPHILCSLSLA >ORGLA04G0250200.1 pep chromosome:AGI1.1:4:24934590:24938430:1 gene:ORGLA04G0250200 transcript:ORGLA04G0250200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQQQDFKNVFCMEGGQGESSYINNSQSQSRNLKMMLYALEETLDKIQLPRHRPGNKPLLTAADLGCSCGQNTLLIADVIVDHMTDKSFGSKDDDGLEFCFYFSDLPSNDFNTLFHLLPQQAAAAGRDGRQSRRYFAAAVPGSFHDRLFPERSINVFTSTFSLHWLSQVPKRVADKQSPAYNKGKVFVHGASEETGTAYQRQFRFDMMRFLHCRAAEMKPGGAIFIVSLGRLSSTRGPTEQGYIYEVYCSMFEDSLHDLIEEEMVDGEKMDNFNVPLYAATVEEFKEAVDADGSFKINQLELVMGSPPVVDDPANRGVVGRMVANYMRALFGPLVNTHIGGAMADELFIRMQRRAEIRAEELVDEMCFAHILCXLSLA >ORGLA04G0250100.1 pep chromosome:AGI1.1:4:24931022:24933901:1 gene:ORGLA04G0250100 transcript:ORGLA04G0250100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNRSCRKTQKKDRANFFMKTTVINDAATRRRSIKKNKFFVGTKILTFCRRDEYGITDMPRQVMIKQTYLFRELKLRFAGRRIDNEMKILHEQGMYDWEEYNEHKTNIEVSIAAIRNALKKYRERRIKARVFYLVPHLTEISYFVRSHLKTMPREEALKKIMLDRQRSEACERAKMESISSSKKTCAWRAPIADDEARDWDFMAWCFMPRGVAPSQRLASGERCKKGETEMAICASSASNTTLDKLVNLLRKKDEENNAESFRLEEGRVMHTLCVPHVDLVEVEAEAFAGSRSRKGNKQRMSTALDRDRTDARRSKTRGRNDRWMTIRGPSRRATRRQKNQLVNSPRAPLMGRHTAMAATAKINAMKKGISNRFEDLRLSPRNCKVQQYHRVXFDRLPICSQALLRVVIVGALLELIKGTGLDQIPVLQAGLK >ORGLA04G0250000.1 pep chromosome:AGI1.1:4:24923432:24923881:-1 gene:ORGLA04G0250000 transcript:ORGLA04G0250000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQSRASSSSATHKLSHAIAKAPPRKIKIVHVLAPEVIKTDARHFRDLVQRLTGKPAADGPAAASSQPDPCDTAGDEGGFVADGAGAAAAAAAATIKAEIKVEEAVAEAEAEASGGLLHALGEDDRNDMFLQWLQSGSCIDMDAVGFS >ORGLA04G0249900.1 pep chromosome:AGI1.1:4:24919826:24922490:1 gene:ORGLA04G0249900 transcript:ORGLA04G0249900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAALITAASTPFPLVSFRSRRDGHLSLSPPRRPGAGRCRASAPTFQGGPAASYAREMERLSAKESLLLAFRDAGGFESLVSGKTTGMQKIDVNERIVGLERLNPTPRPTTSPFLEGRWNFEWFGDSSPGALAARLLFERSPTTVAHFTGLDVLIKDGYSKISSNVKFLNTVQSKFLLTTQLSVEGPIRMKEEYVEGLIEIPRIREETLPDQLKGFFGQTAGALQQLPAPIRDAVSEGIKLPLNGMFQRLFMISYLDEEILIIRDASGAPDVLTRLEGPQPNSIDGTSDAVLSEYES >ORGLA04G0249800.1 pep chromosome:AGI1.1:4:24916425:24919251:1 gene:ORGLA04G0249800 transcript:ORGLA04G0249800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGAARKRSRPDTANGGAAGGKRSRETESFQTGLSSKSKPCTKFFSTIGCPFGEGCHFSHFVPGGYQAVAKTLNLGNPAVPAPARAPMDHAAGGNSHPASSGKTRMCTKYNTAEGCKFGDKCHFAHGERELGKPAYMSHESAMAPPMGGRYGGRPEPPPPAAMGPPAGNFGASATAKISVDASLAGGIIGKGGVNTKQICRVTGVKLSIRDHESDPNLKNIELEGNFDQIKQASNMVGELIATISASTPAKKPAGSAAGAAPAGRGGPGGRSNYKTKLCENFVKGTCTFGDRCHFAHGENEQRKGAA >ORGLA04G0249700.1 pep chromosome:AGI1.1:4:24915322:24915732:1 gene:ORGLA04G0249700 transcript:ORGLA04G0249700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRFNWAAAAAVTPARAKDLELHYHPYYYDYDDSTWNVVVPADGAAEELRGGGGDDGEDCGYLCWLEEETSADALPAAGEGEDGGNGNGDGDGNGAVNEIDRLAERFIARCHAKFLLEKQESYRRYQEMMARSM >ORGLA04G0249600.1 pep chromosome:AGI1.1:4:24906510:24908796:-1 gene:ORGLA04G0249600 transcript:ORGLA04G0249600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDIMNTKKIKLHDCHFGSPLCDPSPAPHLLSSAAAAGLSFHPGLVSSAAQHQQHGAGGWLHEEYYAPRSSPPSSLLAQTCVGSNATAFYAAENLPQFDFPALGTAAAAAAKAPFRSSESELYRPVDPLLLRADHSVRTYYVRPQKRDSGERTPLPPPSQQQHQDRIHGLFAGAPTTRLLSGEPKIHSFPPQVAAKPILPAMDAPSLQNQMENQLTRNCIGAATPVTPTGNLAGSGAPSKTRIRWTQDLHERFVDCVNQLGGADKATPKGILKLMNSDGLTIYHIKSHLQKYRIAKYMPASSEGKQLEKRATGNDMQNLDPKTGMQITEALRVQLDVQRRLHEQLEIQRNLQLRIEEQGKRLQKMFEDQLKASRSVMEPQELDDVVAFAAGDGDDDAFDDVDVQLLAVAGSGYDDAGFQSKIS >ORGLA04G0249500.1 pep chromosome:AGI1.1:4:24902032:24905279:1 gene:ORGLA04G0249500 transcript:ORGLA04G0249500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQEIASCVESVLRSSGGAAGEASLAAVLSQAEAKLGVDLAHKATFIRDQMDLFFGPRLQPPLVAKAQAAAAAAPNPPPLVAAPAPAMPQVQVQAQLQQMQQQQQQLAVLQPQLIFQAMPQLPAGVPGGAAGAVSPQPPVPAMAFYPPPPLAFRVTSGLAGVATGGTVSFQQPAPGTGGTASPTAAVQAAGDNKESASKRKRGGPGGLNKVCAISPELQTIVGETVMSRTQIVKQLWQYIRQNNLQDPDDKRKIICNDELRVVFGTDTTDMFKMNKLLAKHITPLDPKDQIREAKKFKPSNVATQPMPLINQPSVVISDALAKFIGMEGTVPQDDALRYLWDYIKANQLEDAITGSILCDSKLQELFGCESIPSSGLSELLAHHFIKET >ORGLA04G0249400.1 pep chromosome:AGI1.1:4:24896925:24899485:-1 gene:ORGLA04G0249400 transcript:ORGLA04G0249400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of bacterial cytokinesis Z-ring protein FTSZ 1-1 [Source:Projected from Arabidopsis thaliana (AT5G55280) TAIR;Acc:AT5G55280] MASAACSSSASALVRLTGPIHLCSAPPRGCARRRHASVRCSFAPVETARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALLNSQAQYPLQIGEQLTRGLGTGGNPNLGEQAAEESKEAIANALKDSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQALEALEKLERSVDTLIVIPNDRLLDVVDENTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARIMEAKEKAANLTHKAVAAATVQPAPAATWSRRLFS >ORGLA04G0249300.1 pep chromosome:AGI1.1:4:24887334:24889067:1 gene:ORGLA04G0249300 transcript:ORGLA04G0249300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSAMVAAGASAMVDPVVGMAAAAADSAMGTGAAADPEAGDSATADPPARPSSRSYARLRGLTATRPQEAKEREREPREERRRRLPLAAAAVVEREVEGRVEIRLLYYVMVCACAFGTPVFVGEHFVEQLVSGER >ORGLA04G0249200.1 pep chromosome:AGI1.1:4:24884494:24885585:-1 gene:ORGLA04G0249200 transcript:ORGLA04G0249200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALHARRMLHFLEETLDAMMERSSSDKLFTAADLGCSCGSNSLFIVDVIVRCVSEAYESRGRDAPEFQVFFSDLPSNDFNTLFQLLPPLLAPVAGSLEGCLAAGEGAATATRPYHAAGVPGTFYGRLFPGESIDVFTSTFSLHWLSQVPEEVGDSASPAYNGGRVFVHRATEAVAAAYKRQFQADLARFLRSRAREMKRGGAMFLACLGRSSGDPADQGGAGLLFGTHFQDAWDDLVQEGVVEGEKRDSFNIPVYAPSLQEFRDVVRADGAFAIDRLELVRGGSPLVVDRPDDAAEVGRAMANSCKAVAGVLVDAHIGERRGAQLFERLERRAARHARELVEKMHFFHVVCSLSRHNSIANGCV >ORGLA04G0249100.1 pep chromosome:AGI1.1:4:24881412:24883562:1 gene:ORGLA04G0249100 transcript:ORGLA04G0249100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19 family protein (CHCH motif) [Source:Projected from Arabidopsis thaliana (AT1G02160) TAIR;Acc:AT1G02160] MATAKAATAPPTHRASSPAAASPPYPSAARIADSDCFPQYTASLKCLEANQDKSKCQQQFDDYKECKKREREARLERNKGRSLFG >ORGLA04G0249000.1 pep chromosome:AGI1.1:4:24878199:24880526:-1 gene:ORGLA04G0249000 transcript:ORGLA04G0249000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVNGKLQLHDGRTAYHFQPAKFWQNDPNGPLYHNGLYHFFYQYNPHGPLWDTGKLSWGHSVSGDLVNWAFLGTAIDPTDPFDVNGCWSGSATVLPGGRPAFLYTGRDAGGVQVQNVAFAKNPLDPLLREWEKPSCNPIIAFPADVVNNNFRDPTTAWLGRDGLWRMVVAAEVAGAGSALVYRSADFLRWERNAAPMHSSAAVPVLECPDFFPVAEHGTDGLDTSANGAGTGVKHVLKLSEFDTHQDFYMVGRNRRVQWLWVNEYDSKADDVAKGWAGVQAFPRKVWLDGDGKQLLQWPVDEIETLRTKRVGLQGTEVKAGGLHEIVGVASSQADVEVVFEIPNLEDEAESFDPDWLDPHKLCKDKGAASAHGGVGPFGLIVMASGDLQEQTAVFFRVFKHHGKYKVFMCTDLTRSSTKADVYKDAYGGFVDVDIQKDKSISLRTLIDHSVIESFGGGGRACITTRVYPEHAATSSSHLYVFNNGSGTVNVSKLEAWEMATATVNSADALDAITRS >ORGLA04G0248900.1 pep chromosome:AGI1.1:4:24875039:24877402:-1 gene:ORGLA04G0248900 transcript:ORGLA04G0248900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGLPLSVFAIAVHFCLVFSSSSSPPVCAANGHRDRTAYHFQPAKNWQNDPNGPVYYNGMYHLFYQYNPHGALWDVGNLSWGHSVSGDLVNWAALDNALNPTAPFDANGCASGSVTILPDGVPVIMYSGIDARRRQVQNVAFPKNPRDPVLREWTKPGYNPVIPVPADVSPDNFRDPTTAWLGRDGLWRFAISAVADGVGATLVYRSADFLRWERNAAPLHASRDAVMAECPDLFPVAEHGEDGLDLDASASGGAGAGVRHVLKVSMPDTLEDYYMVGRYDDADDTFTVPPEDLEAHGDDYRRWRRIDHGHLYASKTFYDAGKKRRVLWAWVNESDSEADDVAKGWSGLQSFPRAVWLDEGGRQLVQWPVEEIETLRRKRGVLLGGNEVEAGGLREIGGIAGSQADVEVAFEIASLAGADRLDPDHLRDPDALCGENGAAVHGGIGPFGLLVMASGDLRERTAVFFRVFRLSHGYTVLLCTDLTRSTSRAGVYKPSHGGFVDIDIEKDRAISLRTLIDHSIVESFGGGGRTCMTARVYPEHVATGSSHLYVFNNASDAVKVSKLEAWELATASVNAGDDGLISYGGPVCAAQVQ >ORGLA04G0248800.1 pep chromosome:AGI1.1:4:24857383:24858732:-1 gene:ORGLA04G0248800 transcript:ORGLA04G0248800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKAVKPVYGDAVAAPSTADVVPLSVFDRANFDTYVSVIYAFRPPAPANSVLEAGLAKALAEYREWAGRLGVDGDGDRAILLNDAGARFVEATADVTLDSVVPLEPTPRVTSLHPSADDDGAEAEVMMVQVTRFACGSLAVGFTAHHMVSDGRATSNFFLAWSQATRGVAIHPVPVHDRASFFTPRDPPRVDYEHRGVEFQTCEKLDRNENNDDGHGHGHDGEVVVTHKVHFSREFISKLKALASAGGGQRSYSTLQCVVAHLWRCITMARGLEGSVATSVSIAVDGRARISPPVPDGYTGNVVLWARPTATARELVTMPLQHAMGLINRAVARINDGYFKSFVDFANSGAVEAERLVSSADAAEMVLSPNIEVDSWLRIPFYELDFGSGQPFLFTPSYLPVEGLLILLPSFSGDGSVDAYVPLFSHDMDTFKNCCYVLPELS >ORGLA04G0248700.1 pep chromosome:AGI1.1:4:24853802:24855142:1 gene:ORGLA04G0248700 transcript:ORGLA04G0248700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKAVKPAYGPGEFPTTTGDVVPLTVFDKANFDTYISVIYAYRPPAPANAALEAGLAKALIEYREWAGRLGVDGDGNRAILLNDGGARQHIVADGRATNNFFLAWSQATRGAAFHPVPVHDRVSFFAPRDTPKVEFEHRGVEFKPYDDDEDVHASGGGGDDDEVVINKVHLSREFISKLKSQASAGAHRPYSTLQCVVAHLWRCMTKARGLDGRESTSVCIAVDGRARMSPPVPDGYTGNVVLWARPTATAGELVTRPLKHAVELISREVIRINDGYFKSFIDFANSGAVEEERLVASADAAEMVLSPNIEVDSWLRIPFYDLDFGGGRPFFFMPSYLPVEGLLILLPSFFGDGSVDAYVPLFSRDMDVFKNCCYSFDKDQTTSLA >ORGLA04G0248600.1 pep chromosome:AGI1.1:4:24833778:24838540:-1 gene:ORGLA04G0248600 transcript:ORGLA04G0248600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein / auxin-responsive factor AUX/IAA-related [Source:Projected from Arabidopsis thaliana (AT1G19850) TAIR;Acc:AT1G19850] MASSQEKAKTGVLRNAAALLDEMQLMGETQGAKKVINSELWHACAGPLVCLPQRGSLVYYFPQGHSEQVAATTRKIPNSRIPNYPNLPSQLLCQVHNITLHADKDTDEVYAQMTLQPVNSETDVFPIPTLGAYTKSKHPTEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNMWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSVLFIRDEKSQLLLGVRRATRQQTMLSSSVLSTDSMHIGVLAAAAHAASSGSSFTIYYNPRTSPSPFVIPVARYNKATYMQPSVGMRFAMMFETEESSKRRYTGTVVGISDYDPMRWPNSKWRNLQVEWDEHGYGERPERVSIWDIETPENTLVFPSSTLNSKRQCLPGYGVSVPGMEIGSANMSSFPRAQGNPYGSLQHIPAVGSELAIMLLNQSGQTLGSPLSFHQSSYSSIIQNVKQNYIPPLTVSTSACLTKQESLPSDDAQHQFHMANMQNGDLEGSEVQPVIDSISESKLNATSRDPRNTDSYTSRSTSEQNSKGEPRGKTRRSKKGLPHKTVSEKSDLSSAPSWICDNQQVGLESKLVGCDEQVNCGNIEDSSGALTQGNFVGQPHGHQVEQKGVLSPPKVESSKSPDGGKSVNSFPNQGCFSQFIDGLDWMTQPSYYQDSNVIQPAGVSENIFSSSADIPPSMIADTMETFQASCLSDCLPNSIQEFISSPDLNSLTFLSPDMQNLEVQLQHDGSNLPSTSNSFVQMSFSEESASQSANLSGLHMESTHRSINTTSCSQPMSTGGFDAGMYSKLPRLKESQILSLPEIHTNSMGTSACSMDATEYSLDRSAKPMKPPVRTYTKVQKQGSVGRSIDVTGFRNYHELRSAIACMFGLQGKLEHPGSSEWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSPSEVQQMSENGMHVLNDCIQAA >ORGLA04G0248500.1 pep chromosome:AGI1.1:4:24831197:24831855:-1 gene:ORGLA04G0248500 transcript:ORGLA04G0248500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKEAALLHLPXWTRSVXKAEPWAVMEPLAAAERASWRTLVGRRSVKXKILATTNWNSSPIPSTSWSVRAPEAVVVLVATRWMEPRPSSRAVTRRASGPPLAAAV >ORGLA04G0248400.1 pep chromosome:AGI1.1:4:24829865:24830200:1 gene:ORGLA04G0248400 transcript:ORGLA04G0248400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVGATTPIDKERHSPTVRRNDHDGGCHDTNQPCHVSVVRRWRSWRKLPLPSSSHFDQSSTRFLDRHFLPLLLCARIGNEDGSRASSSQRWRRWGGLHHYHPSPATSPR >ORGLA04G0248300.1 pep chromosome:AGI1.1:4:24827233:24827667:1 gene:ORGLA04G0248300 transcript:ORGLA04G0248300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKTQIIPVLCLGNGGSGPNRAVSEDPGSEHTAEDETAREIVDAEVRRQVARYKHKLREFKLTVIGTAVFLVFWVVLLLIGTLDHHMSVSWRRFFVTCGVFECLFWLYLVVGHLREYGLSIPKIFDFSNEEHQLPPVGHDRC >ORGLA04G0248200.1 pep chromosome:AGI1.1:4:24822561:24823052:1 gene:ORGLA04G0248200 transcript:ORGLA04G0248200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKTPGDHMALCLGSGGGGPNRAVSEDTGNEDTAAAEERRQVARYKQTLWEFKLSAIVIVLVLAFWVALLLVGTLDHHMSEXWRQTYVSSGMVASGPWLFMVICHLRDYGLSVPKMSDFSNQEHQLPPRGPRPLLTDGYRSIIPHKRGSLQNPDRSILLYM >ORGLA04G0248100.1 pep chromosome:AGI1.1:4:24816997:24819583:-1 gene:ORGLA04G0248100 transcript:ORGLA04G0248100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:I1PQL2] KNRLILSSPSSKPKTKALSHSTEPSLSASSAPRRVAAKDAKIGTETPAADGDAARKRPSAGDAPAPAPLADKRHRPNPPPSGSRDRDRHHRHAHSHSHGHARPSTAVSGEKKLRASHILIKHEGSRRKASWRDPEGVAISATTRDDAADLARALREKIVAGERKFEDVATEESDCNSAKRGGDLGPFERGKMQKAFEKAVLALKVGEISDVVDTDSGVHIILRTA >ORGLA04G0248000.1 pep chromosome:AGI1.1:4:24813011:24816255:-1 gene:ORGLA04G0248000 transcript:ORGLA04G0248000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein [Source:Projected from Arabidopsis thaliana (AT5G39590) TAIR;Acc:AT5G39590] MGASTSTSNPSLEAQEQETLASASLALPLLRAAFSRSNGSLAEALSPPPAAFRSDSPPVPPRFHDLVARLGPAIASLFFSDVGPAGDAAGWLGFLRGFNRCCARVPASQSLALLLRVYAAACADAGAPCGLQFHPDEEGAGGEGKVVGELAPGEIAVLLWMCWVMAWSGSAPGVSGGQEGGGKGEPVLLPDVTHLVLSALVSAGAVADDAGVWGWEVSRGGKGVKVQEFTSWVLSTAAGLGNCLSRYVQERFRSCAADPVEERSVSTGNTSSCNPDVYLLTRGRAWAISLSLRNTLSEKFLSASVIGMDTKDLLYRSSVHGKGLSRFWSCVEGYKGPVLILLSAFSRSGCDNVDTDQRWGIGILTEEGLQNKDTFYGSSASLCSTYPIFRMLPPSGKEKNFIYCHLHPQIRVYEAKPKPVGLGFGGTIGNERIFLDEDFSKLTVRHHAVDKTYQHGSLIPNQGYLPVEASVLDVEVWGLGGEATRRQQDMYKKREDIFSEQRRKVDLKTFGNWEDSPEKMMMDMISDPNAVRREDR >ORGLA04G0247900.1 pep chromosome:AGI1.1:4:24803992:24805042:-1 gene:ORGLA04G0247900 transcript:ORGLA04G0247900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMQQQQRQQVGGGGGEEVAGRGGVPVCRPSGTRWTPTTEQIKILRELYYSCGIRSPNSEQIQRIAAMLRQYGRIEGKNVFYWFQNHKARERQKKRLTTLDVTTTTAAAADADASHLAVLSLSPTAAGATAPSFPGFYVGNGGALQTDQANVVNWDCTAMAAEKTFLQDYMGVSGGGGAAAAAPTPWAMTTTTREPETLPLFPVGGGGGDGAHRHAGHGGFPSNFQRWGSAAATTNTITVQQHLQQHNFYSSSSSQLHSQDGPAAGTSLELTLSSYYCSCSPYPAGSM >ORGLA04G0247800.1 pep chromosome:AGI1.1:4:24800169:24801785:1 gene:ORGLA04G0247800 transcript:ORGLA04G0247800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07860) TAIR;Acc:AT3G07860] MDPGKSFSAAEEEATDSVSTKPEEVAAYQSSEAKQAKLQSMLAALLDDPILADVPRKPSLADVDTLINLELGSAMRLTVVKLDGTSFGTDVAMLNTATVKDLKMAIRKKTDEIEQEKMGHRHISWFLFFFMAFFQELSDPFTASSDHWKEIPTLVPLNLHIWCLTCWGFEEIRSS >ORGLA04G0247700.1 pep chromosome:AGI1.1:4:24798162:24798494:-1 gene:ORGLA04G0247700 transcript:ORGLA04G0247700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >ORGLA04G0247600.1 pep chromosome:AGI1.1:4:24792051:24796872:-1 gene:ORGLA04G0247600 transcript:ORGLA04G0247600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRRSRRVSWASGGNLCKVRLFLSEDSPSQAGLRPQDNLQAKGSWLLHAAGPSSDDSLPPGFESLPPSNDLKIDMSQIPLIRWKCPPHIVLEQDWHIVAGEESREIEIQNERINGALEAIYPRPSNIPPNPFLSLDVKDAHYDDSKTLLVPLIPLEDDDASDQLEGPTLDLPSHYNITGVSNTPVSAEQQPPCGGAISSGFTIEPQAAVSATVTAIMQTIQSNQNGSMADQNGSTIDQELLFKILSDPSQLQRLMKECGPVRHEQSASSSVVAPLVSIPPPQITASSPAPFSDHVGTFHGTNPTLPPPPPMMNRPPSTIPSVAMNHPPSSSPAMNFGSALPSSSPSVNFGSVPGRGVGYYKTLIHQHGGERLEQPFEQHGMQFGMYRQPGPPQNGGIDAMNGAAAMVSRDGKVRPMKPCAYFNSPKGCRNGASCTFLHDASAPTRKDHQKQKGSKRIKLDNTMGGRN >ORGLA04G0247500.1 pep chromosome:AGI1.1:4:24783417:24788823:1 gene:ORGLA04G0247500 transcript:ORGLA04G0247500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPKNGCLKILACAGGGSDPSAGSDGDADDHVDENKAISDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKAEIAPSNIYSSTYSYASEKPLQQEKPDEKILQQEKSDEKILQQDEPAEKILQQEKQEEKILQQDKPDEGPLNEETPDEKLIEKPIDQPGDESIEKPADEPIEKSADQITERSIEQPAERVTEVPIQEPAERVTETPIVKPNDNDVEEHTDKTDESIFVSSTEVKQEETVSLFDGSSEDHQEDCAETAAAVIQSGIRVHTEEQELPNDKDLVKLQAVIRGHLVRRQAAESLQCLLAIVKMQGLVRVHQAQQYGGKFQDSLICSSSEKLLNNGFALKLMDSMSTSKSIHIKCDPSEPDVAWKWMERWTSMIPPNTGGHLLEDRENNESVDEKIKGDAQHEENTLPLDSDISFPKLVPDDAEETLRPSDSHPLEVSACIPDRTSGMEIEDVPEPDLIEKFKEDVEKLTEPETENVAEQPLEVSVEQSTETDTSREPIPLPEKPESSFDDTMDAYKTEQTSEMEGKKFMARKSCNPAFAAAQLKFEELTSNSTVSRSNSLDGANKPKVHSPRSQDNVSPKQSNDTSIPESSVGHDPKIVVAASECGTEISISSTLDSPDRSEADGGEIVLEIGSLEDRNRVGDNAEKDSSVLHSEVNTSGGAIEPEKEVQTDDTAIAANAIDPVPVEQPHLGQEKPDLHDELEKSVGSYVKTPEGTPLSRTTFAESHGTPSSEVSVNTKKSKSKRPKSHVSKRSLTSPSSDSVGRSSMDNLSKDYRLGRRESSGKVKSDHVDQEPRISNSTPLPSYMQFTESARAKASASVSPKLSPDVQDNNPRKRHSLPMTNGKQDSSPRMQRSSSQAQQNVKSNGAVPVPPNSSDRRWHI >ORGLA04G0247400.1 pep chromosome:AGI1.1:4:24771767:24773720:-1 gene:ORGLA04G0247400 transcript:ORGLA04G0247400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription regulators [Source:Projected from Arabidopsis thaliana (AT5G13240) TAIR;Acc:AT5G13240] MKFLEYTPFDSINLFLDNLDLGDCMIRGNLEAFSCKHTGNDRRLSISLEHEILDCLSKSSDSDHSSPVEHLSCRSSRKTLIYLVLTLSHMYPDYDFSAVRAHLFFKEEEWESFKEMTDTYLSEASKQWAATNEGTSLLDSMTNVIDEVIKIGESDIYSYNPDQDGDPFLEKGVIWSINFFFYNRKLKRVVSFRCSCISKISGDDFLTSAPSDGEEEDALIDMDI >ORGLA04G0247300.1 pep chromosome:AGI1.1:4:24765052:24770870:1 gene:ORGLA04G0247300 transcript:ORGLA04G0247300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT1G19880) TAIR;Acc:AT1G19880] MSASGEPEKVPAAAEGEEKADGAKDAAGSGGELLYCGATNFETMGRKVVGGAQGNLVSPTRMRSLMGVDIRFVASGCTACHCVALDAEGRCYTWGRNEKGQLGHGDTLQRNLPTVVSELSKYKVIKASVGRNHTVVVTDDGKSFSFGHNKHGQLGTGSLRNEIETSPMPCLVTEATNAVCGADFTVWLSSVEGSTILTAGLPQYGQLGHGTDNEYNIKDSSVKLAYDPQPRPRAIASLSGKTIVKAACGTNHTVAVDSSGYVYTWGFGGYGRLGHREQKDEWQPRLVEVFQKHNVLPPNAIISAGAASSACTAGGGQLYMWGKLKNTGDDWMYPKPLLDLSGWNIRCMASGNMHHVVGADDSCISWGTAQNGELGYGPNGQKSSANPKKVDILEGMHVISVGCGYGLSAIVVDRSIASDRLNQLDIYDGDTSTEVEDRVEVQVAKKASASTNARSNKRKKTKDVSESEEDDDEEDDSDDDENGEIKGGKGRRGRKPSNRGRGRGAKKATPEPKPSARGRGRPKKTESPAQKTGSSGRGGKRGKRGRARK >ORGLA04G0247200.1 pep chromosome:AGI1.1:4:24757634:24760911:-1 gene:ORGLA04G0247200 transcript:ORGLA04G0247200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALEEAHLAAAISACECECYEEEEEDDLVEGDGEAAAADAMEPAVRALLLGLGEDARREGLRRTPKRVAKAFRDGTRGYKQKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDIDLFSYCESCLLPFSIQFHVGYVPSGGRVVGLSKLSRVADVFAKRLQNPQRLASEVCGALHASIQPAGVAVALQCWHIPLPKNLKCKTLQGWISTSHSSRSGVFEGESSSFWNDFSALLKLRGIDMERDSHSASIAWCPLRSHDVPVCNGHSL >ORGLA04G0247100.1 pep chromosome:AGI1.1:4:24752054:24754734:-1 gene:ORGLA04G0247100 transcript:ORGLA04G0247100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:flavanone 3-hydroxylase [Source:Projected from Arabidopsis thaliana (AT3G51240) TAIR;Acc:AT3G51240] MAPVATTFLPTASNEATLRPSFVRDEDERPRVAYNQFSDAVPVISLQGIDEAARAEIRARVAGACEEWGIFQVVDHGVDAGLVADMARLARDFFALPPEDKLRFDMSGGKKGGFIVSSHLQGEAVKDWREIVTYFSYPVKSRDYSRWPDKPAGWRAVVEQYSERLMGLACKLLGVLSEAMGLDTNALADACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDLVGGLQATRDAGKTWITVQPIPGSFVVNLGDHAHYLSNGRFKNADHQAVVNSDCCRLSIATFQNPAPDARVYPLAVRDGEEPILEESITFAEMYRRKMARDLELAKLKKKAKEQRQLQQAALPPPPPTQVAAELAAQKPKSLDEILA >ORGLA04G0247000.1 pep chromosome:AGI1.1:4:24747290:24747757:-1 gene:ORGLA04G0247000 transcript:ORGLA04G0247000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVITIQSLVWLRRTVRRWRSRAAARPAGSKMERDGDAVPAGHVAVRVGGGGEDARRFVVRVAHLNHPAFRELLRQAEEEYGFPSGASGPVALPCDEDHFRDVLRRVSSDERHHLAFCRPAATCGVTKRRAESSSSSSSRAPLLQGMAVDSLVW >ORGLA04G0246900.1 pep chromosome:AGI1.1:4:24732516:24732947:-1 gene:ORGLA04G0246900 transcript:ORGLA04G0246900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSKIRYIVWLRQTLRRWRSRAAARAAAEAVPAGHVAVCVGGASRRFVVRAAHLNHPVFRELLRQAEEEYGFPSGAYCGPIALPCDEGLFEHVLRHLSSPSSAARFVTLEDIQSGALSCCCAAAGDALPLLRGIATDKAVW >ORGLA04G0246800.1 pep chromosome:AGI1.1:4:24726990:24728881:-1 gene:ORGLA04G0246800 transcript:ORGLA04G0246800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L RNA-binding motif/Ribosomal protein S4 family protein [Source:Projected from Arabidopsis thaliana (AT5G15750) TAIR;Acc:AT5G15750] MRKLRFHEQKLLKKTNFLDFKREKGHRDAIVTQRYLLVERDDYKKYNGICLMVQKLVNIIKQMDPRDPYRAEMTDMLLDKLYNMGVIPTKKSLLTCEKLSVSSFCRRRLATVMVKLKFAEHLKEAVTYIQQGHVRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRKVQEYNGELDDFDAMA >ORGLA04G0246700.1 pep chromosome:AGI1.1:4:24724567:24726202:1 gene:ORGLA04G0246700 transcript:ORGLA04G0246700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G24820) TAIR;Acc:AT3G24820] MAFSSFSWPFRRRGGGGGGGPSKPSASSAAAAAAAAGNEEEELGVTPQLLDFLRTLSPDSFKSSALQLQGNSHTLAISISLTGWQERHAVLVLSKAKELAKIRYDLCPRHMKDKQFWRIYFLLAKSYTSPYELRAIQKEKVRRMETENGKAKDVTTVEVEMQESKCGRDSQTLPGDEESQDS >ORGLA04G0246600.1 pep chromosome:AGI1.1:4:24720399:24723553:1 gene:ORGLA04G0246600 transcript:ORGLA04G0246600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKAAQLSGRTLEKVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGSVDVTNSYAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHALFNNYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTSKLAALKGLDARLREIRGYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPTAIPSAAGS >ORGLA04G0246500.1 pep chromosome:AGI1.1:4:24717452:24718626:-1 gene:ORGLA04G0246500 transcript:ORGLA04G0246500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 7 [Source:UniProtKB/TrEMBL;Acc:I1PQJ6] MATSSAYPPPPPYYRLYKDYEKDPSSAPEPPPPVDGPYQLFGATYTTDVVLPSLEDQGVRQLYPKSPDIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHMLENQIQRRKQAIEDIKQRREEAQKLLGESLLILDGNQPSLPAM >ORGLA04G0246400.1 pep chromosome:AGI1.1:4:24708127:24715067:-1 gene:ORGLA04G0246400 transcript:ORGLA04G0246400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPHHRRFAVSGDAPPPPPPPQPQPPPPSHASPHWYPGPSPPPYPPHANHPFPPQHHQWGPPHPPPPLPQQPPPYGYHPSLPPMPMQPPPPAAGNPWPPHHAAAQPPPPSYPPPPPGQGWANHSWAQSHGYAGHGNEEDWATKAKAWAAAKSVTENHHIQQHAISANRPEVHNYGYQDQYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLPDGGPLAPPAKNFGSFPSAYEQEVSYNYPSTPGVGNAMIQYPSSQTQPAPTASAMQDGFPQAPSSMHIAPSLEQPHFGHDGQSSKIAVDPSDQPLEFNSRKAPDMAVHRTANFNSNIPAAPIAASDHDAHSAPAQSWIPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAVSGSSYIPPAAFGVGNVTEAFPVDANTPFNVTERSKKPPVPNWLREELLKKKSTSVSASVQHSTEFHSKGSEDAEKTLKSSDQADSRSVDSAKSTDDDEEDEDEIEAARMAAMNQEIKRVLTEVLLKVTDDLFDEIATKVLNEDDPSAEPNEPTRVSSVKGPGLGESKPKVSAKVVVPAKPTNVSSADHSDGTGLSSPKGALLGLASYDSDDEDDDGDNEDKVPVSKLSSETKAGAARPGEGEKATDGEQHGNHNERNSVVQNASLGEDRKFNDEMSHRNSSAELKQELRVHDTHSREFPSDAKISSQPKDVAPTPDEKAHGYSQNGKVPPSGNNAENFSNMESSQGHLGKSSNEEDFVKEPKAVRRKESESSSKRYNDDDKSSMYGNIDKKGSFKEEKGSDRSVRHGADTREPRSRGNSKQDDAKGERKDYQKDVREKSRDSADRREKGKHEKEDRSRQTTKGSSSHSSRRSRSPSARSRTKKESSSHRESVSSDEPSDNSKRRKLHSRKSSMSPSPPKSRNRRVSRSPHSKHSHRRHSPYSSAERKKRSRSRTPVKRR >ORGLA04G0246300.1 pep chromosome:AGI1.1:4:24703155:24706990:1 gene:ORGLA04G0246300 transcript:ORGLA04G0246300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdopterin biosynthesis CNX1 protein / molybdenum cofactor biosynthesis enzyme CNX1 (CNX1) [Source:Projected from Arabidopsis thaliana (AT5G20990) TAIR;Acc:AT5G20990] MLPVEEALAAVLSAAASAAARAAEAVPLHDALGLVLAEDVRAPDPLPPFRASIKDGYAVVASDGPGEYPVITESRAGDDALGLVVTPGTVAYVTTGGPIPDGADAVVQVEDTEQLAGAPDGSKRVRILVRPTQGQNIRNVGCDIQKDSVVLKSSEHIGPAEIGLLATVGVTTVKVYRRPTIAVFSTGDELVEPATASLSRGQIRDSNRAMLLAAAIQHKCKVVDFGIAKDTEESLKEHMDAALSSDADIILTSGGVSMGDRDLVKPCLASMGKIHFEKIRMKPGKPLTFAEIVTEDSSKPSKKVLAFGLPGNPVSCVVCFNLFVVPAIRSLSGWSNPHLPRVHARLLHPLRGDPHHQEFHRAVIRWVPDDGSGRPGYVAESTGQQASSRLLSMKSANALLEVPLVGQMLEAGTSMQAILISDMTSSPFDKLPTASNPLPSHLFPSAKSVSTDLSQVPASQNTEVKVAILTVSDTVSLGAGPDRSGPRAISVVNSSSEKLGGATVVATAVVPDDVEKIKNILVKWSDIDRVSLILTLGGTGFTPRDVTPEATKSVIEKEAPGLTYVMLQESLKVTPFAMLSRATAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHVPHAEAAPVDQWDRSFRAASSGRGCSCEP >ORGLA04G0246200.1 pep chromosome:AGI1.1:4:24698426:24698719:1 gene:ORGLA04G0246200 transcript:ORGLA04G0246200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRWSEKGPGLKILWIWTLGTAGIMITNVVRTRVNDMQKILQEEDEAAAAAAPMASGERVLKDDE >ORGLA04G0246100.1 pep chromosome:AGI1.1:4:24683764:24689815:-1 gene:ORGLA04G0246100 transcript:ORGLA04G0246100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRGRKRAAAAAAVAEEDSSKPEAAEAKKPAARGRGKRAKASPKPKPETEYFPEKRNLEDLWLSAFPVGTEWENIDKIKEFNWNFENLEKALEEGGELYGKTVYLFGSTEPQLLEVNGESKIVLIPIVVAVDCPFPPSDKIGINSVQRENEEIVPMKAMKMAWVPYVPLEDRLSRIDSLKTKIFTLGCTQRRSALRHLKTERVKLFDYCMPYYMPLNPPEDEDDTVVNIIYPLEPPIVCDFDWEMDDYEDFADEKVKDEVLPEDEKEKFKEFIKERVRERKRELKQAKEARKKAIDDMDPKVKEAFENIKFYKFYPVKTPDTPDVSNVKAKYINRYYRHAHHLM >ORGLA04G0246000.1 pep chromosome:AGI1.1:4:24678486:24681933:-1 gene:ORGLA04G0246000 transcript:ORGLA04G0246000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLHEGDAKDWVYKGEGAANLILSYTGSSPSMLGKVLRVKKILKDKGQPAPNCIVFSSHEEHLWGKIPGLLESVKNDCLPQAYATIVMSQHLGANHVDGGVRVRVSKNFFELAGKNVLDNRPAWRVNASAIDAGADSALLISDHTLFSGNPRGSSCIAVEIKAKCGFLPSSEYISKENSIKKQVTRYKMHQHLKFHLGEISKTSEYDPLDLFSGSKERIHMAIKSFFSTPQNNFRIFVDGSLVFGGMGGGADSVHPNETEKCLEDLSKVTGLQLSDFIELLSEAIFKSGVLGKLLATQKLDDHDIEGAIHLYYNIISQPCLVCKSITDTELLRKYSTLHSLPLDKSEKIVRDFLISATAKDCSLMISFRPRQSGTTDSEYDSVFLDSVNQSYDYKAYFIDLDVKPLDKMVHYFKLDQKIVNFYTRNGEVGGDPRDPPKGGGPR >ORGLA04G0245900.1 pep chromosome:AGI1.1:4:24676681:24677376:-1 gene:ORGLA04G0245900 transcript:ORGLA04G0245900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVLRVDTSCDKCKRKILQTVSGLQGVDKIDIDSEKGTMTVTGSADPVDVIERTRKAGKRAEVVTIGPPPASSSSKPSTEQQQIKKQAPAAEEKVYTAAAEKRAPEPPATVYVHYIPASTWSAPAWPSYEYDQSVVYHQQDPPPACSIM >ORGLA04G0245800.1 pep chromosome:AGI1.1:4:24672944:24675484:1 gene:ORGLA04G0245800 transcript:ORGLA04G0245800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGGRAAASMARTASASRRQPETTSLAVDEAGGRASRRPRGWKAVGFMIGLYLAIAMALNAFAQPVTNYLIKRYNMKPNAATSVANVFSGTYSFSPVVGAFVADAFCGRFWTLLFGAVAAFVAMVVITLSATIRQLKPPSCSDVARQAGTCAGPSGLHRAVLYIGMALLVVATGGANPTSLPFGADQFDHDDASSGSSSNEADERRRRAEEPAGLKRFYNWYYVVTMMASFMALTFIAYIQDKVSWGLGFGIPTALVAATFAVFLAGTPLYVRVPAEGSIFSSVARVVVASCRKRRLTLPHPRDARQQEAVLYNPPVVVAAGTGTSRVFKLPLTLQLSFLNKAAIVTADADEIRPDGSPARPWSLCSVQQVEEVKCLVKIVPVWISGVMWFISVAELTNYTFLQALTMDLHMGRSFTIPPVSIAAIFNLAIVLFVPVYDLLVARAAQRVTGVEGGITVLQRQGVGVAISGLALVVAAVVERRRRASALDNGGTSPMSVFVLAPQLAVMGVSAAFNMIGQMEFYNTEFPDQMRTLANAAFYCAQGASSYLATAVVNVVNARTRRRGGGQGWVAEDINAGKLDHFYYAMAVFAAINFVYFLVCSYFYRYKGEPEVAAEDSPPATPEAVLLKH >ORGLA04G0245700.1 pep chromosome:AGI1.1:4:24663651:24666048:-1 gene:ORGLA04G0245700 transcript:ORGLA04G0245700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWVTTLIFLLAGFVASLFSLLCCNRGPSTNLKEKRGINDIEYCRGSIRCIELQFRIPFDLGYYSNGLLLDDVGNRLSCAAEATDQPYTKRRVNCCEILEHFL >ORGLA04G0245600.1 pep chromosome:AGI1.1:4:24650010:24661577:-1 gene:ORGLA04G0245600 transcript:ORGLA04G0245600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQHNAQFHKNKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTRSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADINTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCAPPYYDLQPMPALFRIVQDVHPPIPEGLSPEITDFLRQCFQKDSIQRPDAKTLLMHPWLQNSRRALPSLRQPVQSPSTVRDIDEDDEGSSGDNHSGFSGPPRDTQTPTASGLEQEDGRKDLVSESARQDIPDEFHDGMLKTTGSSSSNDVELMKDNVVLNKDPTLVFHEKLSLESSLGATDLNGKLTHEVSQDGPPNKLTSSGQESRKSDGKYVEDESKDGSSLEDGDAFSFQAGGQNINFQKEAKTSVEMANELSRFSDTPGDASFDDLFPPKKRGDHGAEASTSTTGEELQYNGAQNDLAKELKTRMAQKQKENDTEHMNGGKLLEYVMRLREEDIDGTAFDETIPGESLFPLQSVEYSKIVAQLKPGESEDVILSACQKLVSIFNQRPEQKQIYVSQNGFLPLMELLELPKNRIITSVLQLINQIVKDNTTFLENACLVGLIPVVMNFAVPDRAKEVRVQASRFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKYREMVHLAIDGIWQVFKLQHSTPRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRRRSGQLDPPMLEISKTRLDHHHSSGSLQSLQADADRHHIIMDPSASPRFTDMAAAGHMERNDNDPIRPQRLSVSAGRTSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHLSGLERHESILPLLHASTERKTNGELDLLMAEFAEVSRQGRENGNLDSNIKTSNRVPSMKYAPSSGPTTSNEGASTSGAASQTASGVLSGSGVLNARPGSTTSSGLLAQMVSMSADVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIEPPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELHDGPLVYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLSHDNDHRKVEQALLKKDAIQKLVKFFQDCPEQYFVHILDAFLKIITKSSRINTAMATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL >ORGLA04G0245500.1 pep chromosome:AGI1.1:4:24648194:24649237:1 gene:ORGLA04G0245500 transcript:ORGLA04G0245500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4-glucan-protein synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G16510) TAIR;Acc:AT5G16510] MSLEIQDSEVDIVIAALQPNLTTFFEAWRPFLSRFHIIVVKDPDMAEELQIPTGFDLKVYTKSDMGVLGATSIDFSGHSCRYFGYLVSRKKYVISIDDNCLPAKDNGGLTVDAVAQHMSNLKTPATPFFFNTLYDPFRKGADFVRGYPFSLREGVECMLSCGLWLHNADYDPMTHVVKRNQRNTTYVDAVMTVPLGAMMPVSGINVAFNREVLGPVMFPALRLRKEGKHRWDTLEDVWNGLCAKVVCDRLRYGVKTGLPYVMRSDAEAGKALESLKEWEGVKVMDVVLPFFESLKLSSTSVTVEDCVKELTSIVKEKLGPQNAIFAKAADAMEEWTKLWKSHGAQSA >ORGLA04G0245400.1 pep chromosome:AGI1.1:4:24647280:24648152:1 gene:ORGLA04G0245400 transcript:ORGLA04G0245400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSLLSLTLDSALLRIAHLHDLSRLPDHLLIDLFRRTIAAGKLTEKVLKLFLATDCEEIALLVQLLNIKQPLVPVLPTRCSEKF >ORGLA04G0245300.1 pep chromosome:AGI1.1:4:24640542:24645320:-1 gene:ORGLA04G0245300 transcript:ORGLA04G0245300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFMQIGKSRKLVHDVLVNSKPNSFTAQNIGSNFVFGLAHTGRACLHGKVPHGPGTSSMLGRAKESLYRNPAARNFSVLSTCSQNAFHGQLAWKQLMTIGSHVPKASPILSRVACAISLAATRSNLVPYLFAFVAGEIMLAQKTSADGEYLPIRDSLYTRAQDGRIYVTSLIFSAVELVIIIFRSIYLALLFTPSILMAPFADTLGSKYRKTWLRLVHRTLEKAGPAFIKWGQWAATRPDLFESDLCAELSKLHTKAPAHSFAYTKKTVEKAFGRKLSDLFENFEEEPVASGSVAQVHRAGLRFRYPGQHIKRVTVAVKVRHPGVGESIRRDFTIINAVAKISRYIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETYEHGESVAHYVDDLDGHDRIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVIQPRNSNNTLLKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAERTLKLSKQQNCPNPKAFIEEVERSFSFWGTSEGDAVHPAECMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMKTLQTLLFKEDWAKSLQYTIEGLMAP >ORGLA04G0245200.1 pep chromosome:AGI1.1:4:24625445:24626062:-1 gene:ORGLA04G0245200 transcript:ORGLA04G0245200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRTPPPPPNPNPSVIGSGAAADGGGFGRGEAAAATKHMLAFHFLRALSRIHRATPVTRRTRTIRRAAYSSMARAASPRRAWSRALLGQARARRSRTLMRRAAVLVRRRVIAAPAPSPASAAAAAARGVRIIAAGETSAAARAVPPPPRQQGEPPRADALRRLVPGGAGMEYSSLLEETADYLRSLRAQVQLMQGLVDLFSYQ >ORGLA04G0245100.1 pep chromosome:AGI1.1:4:24619057:24619371:1 gene:ORGLA04G0245100 transcript:ORGLA04G0245100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLASLVGWNGGGGWRERRARQRLRRRQYCGGTVWLGRRRRPAGRCRLAVSRLVRWRLVAELLRPIRKALMEMAAAAGRRQLVALPQLSFPFVGTLALPAAVA >ORGLA04G0245000.1 pep chromosome:AGI1.1:4:24607809:24615215:1 gene:ORGLA04G0245000 transcript:ORGLA04G0245000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein pelota homolog [Source:UniProtKB/TrEMBL;Acc:I1PQI1] MKLVYRNLARNGPGSVKLVPEEEDDLWHAYNLIVPGDTLQSVTVRKVLREMASGGRDAERVRLKLEIVVESVDYDKEGSVLRVRGKNITENDHVKIGQFHTMELELKRQFTLTKELWDWLALDTIQQACDPTASADLAVILMQEGLAHLFLIGRSITVTRARIETSIPRKHGPAIAGYESALKKFFEHVLQAFLKHIDFEVVQCAVIASPGFTKDQFRDYMHLEAARRDLRLIIENKQRIVLAHAPSGYKHSLKEVLDSPSVMTLIKDTKAAQEVQALKDFFNMLTNDSARACYGPKHVEIANERLAIQTLLITDNLFRNSDIATRQNYVRLVESVKKFGGTVHIFSSMHVSGEQLAQLTGIAAILRFPLPDLEDIEM >ORGLA04G0244900.1 pep chromosome:AGI1.1:4:24604525:24606670:1 gene:ORGLA04G0244900 transcript:ORGLA04G0244900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLPLEVASAPKLDDDGHPQRTGNLWTCVAHIITAVIGCGVLALSWSVAQLGWVAGPIAMVCFAFVTYISAFLLSHCYRSPGSEKMQRNYSYMDAVRVHLGRKHTWLCGLLQYLNLYGIGIAYTITTATCMRAIKRANCYHSEGRDAPCDSNGEHFYMLLFGAAQLLLSFIPNFHKMAWLSVVAAIMSFAYSTIGLGLGLAKTIGDGTVKGNIAGVPMATPMQKVWRVAQAIGDIAFAYPYTIVLLEIQDTLRSPPPESETMQKGNVIAVLATTFFYLCVGCFGYSAFGNAAPGNLLTGFGFYEPYWLIDFANACIVLHLLGGYQMFSQQIFTFADRCFAASFPNSAFVNRSYSVKILPWRRGGGGGAGRYEVNLQRVCFRTVYVASTTGLALVFPYFNEVLGVLGALVFWPLAIYLPVEMYCVQRRISPWTPRWAALQAFSVVCFVVGTFAFVGSVEGVIRKRLG >ORGLA04G0244800.1 pep chromosome:AGI1.1:4:24600972:24602036:1 gene:ORGLA04G0244800 transcript:ORGLA04G0244800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSVIDDDGYEHDDAVSGSVNEVPRVTGVHQFTIRQYSGVKGKGVGNSVLSRNFTVAGREWFVRFYPDGYNSDTSDHVAFFLQSLYRPSCGSVYHVEFSFALLGGGGGGGGAVLHDVRIDRPCRFDNRNNSWGIRKYIAREQLEGAALGAIHDDALTVRCTMHVIQRRRGAGRPVVTGAGGPVRVPVPPSCHARNAMEFLLSGDAPFDLEIHVGDATFGAHRLALAGQSLYFRKLLYGQVGNEASSPAIRLREMSPEAFGAVLHYVYHDSLPPEATKGRNAAAMAREVFEAADMYAMERLKLMCASNLCRFIGDDTASGIMELAKAHSCDPLKKACENYMKRRRIPFNPDS >ORGLA04G0244700.1 pep chromosome:AGI1.1:4:24592518:24596148:-1 gene:ORGLA04G0244700 transcript:ORGLA04G0244700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSSVIPTDGSPVSGGGLSQNGKFSYGYASSPGKRASMEDFYETRIDSVDGQIIGLFGVFDGHGGAKVAEYVKQNLFSHLLRHPKFISDTKVAIDDAYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGNAIAVSKDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVIDHSLEFLILASDGLWDVVTNEEAVDMTRSIHDPEEAAKKLLQEAYKRESSDNITCVVVRFLHGQGSSGYA >ORGLA04G0244600.1 pep chromosome:AGI1.1:4:24588448:24591533:1 gene:ORGLA04G0244600 transcript:ORGLA04G0244600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRDLSVHSIKISGTDDDLPPTQNRGLRVRSFSANGRASVMPFSYIRPHSDLESEIHQVEQEAYTGVLRAFKVQSDAISWEKESLITELRKELRVSDDEHRELLNKVNEDVAIRRMRELRQGGGSLSAQHRGSRIFHDTEPGPAAKRQKTPLSIPSHSAGLQSPAMPSPSVPSSTKWGPFSGTKGKKTRTNTPLAVPSADPTSLINRKIYTRWPDDNNFYEATITDYNPATGKHALVYDMGTVAQTWESVRLSDLAPEDIIWDLDDQGISNRDGWGPHPGMRRHPGNNGTMAMASRGGGRFSRNEPTKDSAPPQNGINRNIGHIDVPNTRSVVIEVERVLSNPNMGEIEKAKKLLQDQEQSLLDAIARLDDASDSESEDMAVGAQMVSAGDHMGRNGVAC >ORGLA04G0244500.1 pep chromosome:AGI1.1:4:24584011:24584787:1 gene:ORGLA04G0244500 transcript:ORGLA04G0244500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCTLLVLLVAAAVAVVPLAAGQPWATCGDGTYEQGSAYENNLLNLALTLRDGASSQEILFSTGSNGAAPNTVYGLLLCRGDISRAACYDCGTSVWRDAGSACRRAKDVALVYNECYARLSDKDDFLADKVGPGQLTTLMSSTNISSGADVAAYDRAVTRLLAATAEYAAGDIARKLFATGQRVGADPGFPNLYATAQCAFDITLEACRGCLEGLVARWWDTFPANVDGARIAGPRCLLRSEVYPFYTGAPMVVLRE >ORGLA04G0244400.1 pep chromosome:AGI1.1:4:24572513:24574342:-1 gene:ORGLA04G0244400 transcript:ORGLA04G0244400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTLVLMVNSCQVDSLHNLQQDVVRKVLPLLHKIWKNVDKLGSSTDCINSKNQLQRKEHEISEAIFRLSMNIACPAHLEPDEVRRSIFGQSVSDFENFLLNYWEKSTYLVTRKQKNLHVDSVFTSLLNEFDPKTPDTIIQSLVNGIVSCPAIASDELDISSFLREVQGSLGATVKYRQDIRVVRTNDQCDQTSIGYAMEEHFFDDGMTFQDADAFVEKCKDAFKNGFSVALRGMEFRSEKIAAIASAVADLFGQPSVGANIYFSPPRAQGLARHYDDHCVLVWQLLGCKKWMIWPDTKLLLPRLYEPFEPLDDLVDDCGGRMEILLEGDIMYVPRGFVHEAHTDVDVGGFEVNSTVDCSLHLTLAIEVEPPFEWEGFTHIALHCWTEKHWSSPFVKSQEEARTSLFALLLHVAIRLLSKNDATFRKACMVAAKLPPSSSCTTTHSKALRSNQRSFFDEIIKKIDKSCNFKEALMCIGLAVREKNDEPFQWMCWLRHLPQHGGTNDQVDFCNIFGALEELLEAVSCNLEQSLTEFTDFKSKFCRCVAYEDACESFEMLLQMYRTTRNQYTRGMLALHRKHEI >ORGLA04G0244300.1 pep chromosome:AGI1.1:4:24565577:24571427:-1 gene:ORGLA04G0244300 transcript:ORGLA04G0244300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:I1PQH4] MAQAVVPAMQCQVGAVRARPAAAAAAAGGRVWGVRRTGRGTSGFRVMAVSTETTGVVTRMEQLLNMDTTPFTDKIIAEYIWVGGTGIDLRSKSRTISKPVEDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVMCDTYTPAGEPIPTNKRNRAAQVFSDPKVVSQVPWFGIEQEYTLLQRDVNWPLGWPVGGYPGPQGPYYCAVGSDKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWISRYILERITEQAGVVLTLDPKPIQGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHDLHISAYGEGNERRLTGLHETASIDNFSWGVANRGCSIRVGRDTEAKGKGYLEDRRPASNMDPYVVTALLAETTILWEPTLEAEVLAAKKLALKV >ORGLA04G0244200.1 pep chromosome:AGI1.1:4:24564041:24564609:1 gene:ORGLA04G0244200 transcript:ORGLA04G0244200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDQKLLCLVFVSGVLVLANLADVSSAAASSLSRQQDQVARVLGRRGRELIGEGLSGHQYRHEGKHKEQHEVVAMEATTKETAETKAGWVDDDEGAREGLIDSADYSGVAMHSPSPPKRKHPKKP >ORGLA04G0244100.1 pep chromosome:AGI1.1:4:24554157:24554426:-1 gene:ORGLA04G0244100 transcript:ORGLA04G0244100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRWSRAAVVACLLLLAAACAESARPLPAPAKAAAAVVVVRPRSAFDVVMAGLVGIGLGHRWRPGGGDLVDDEKRRVPTGPNPLHNR >ORGLA04G0244000.1 pep chromosome:AGI1.1:4:24545764:24547546:1 gene:ORGLA04G0244000 transcript:ORGLA04G0244000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNASAVFLAFIVILIIVIFILLGICWKFLRPDIMRRLMRPKRAPSEVPEYFSGNMSGNLRTITYFDYATLKKATKDFHQKNQLGRGGFGPVYLGKLDDGRKVAVKQLSVGKSGQGESEFFVEVNMITSIQHKNLVRLVGCCSEGQQRLLVYEYMKNKSLDKILFGVDGAPFLNWKTRHQIIIGIARGLQYLHEESNLRIVHRDIKASNILLDDKFQPKISDFGLARFFPEDQTYLSTAFAGTLGYTAPEYAIRGELTVKADTYSFGVLVLEIVSSRKNTDLSLPNEMQYLPEHAWRLYEQSKILELVDAKLQVDGFDEKEVMQVCQIALLCVQPFPNLRPAMSEVVLMLTMKTTEQSVIPAPVRPAFLDRKSLKDKNNGGGSDTAAEMRSTSYWLGTPSPMVDRPYDMSCGI >ORGLA04G0243900.1 pep chromosome:AGI1.1:4:24541531:24543210:-1 gene:ORGLA04G0243900 transcript:ORGLA04G0243900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: rRNA processing; LOCATED IN: preribosome, small subunit precursor; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Nucleolar, Nop52 (InterPro:I /.../01); Has 543 Blast hits to 530 proteins in 201 species: Archae - 0; Bacteria - 10; Metazoa - 211; Fungi - 164; Plants - 46; Viruses - 0; Other Eukaryotes - 112 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G20600) TAIR;Acc:AT5G20600] MAAAAAAADASAEAAAIARRLASCNGSARERAVRHLLSTFLPASAPHLSASDLLKLWKGLFFCFWHSDKPLYQSSLATRLAAGVSSGPSPAAAAAFFAAYLATLRREWVHIDTHRLDKFYLLNRRFLHHAFLLLAANSFAADLTSQVVSILSEKVLLPEADNVAAGSPRGLGYHVAEVFLDELSPVLPVSLQTMEVLLSPFFAVLEKSSDRVMVTKVKSSVFERFLESGKQLLEMAKKGEGVEKGSADEKIGKVGLLFGFSKRFSDIGAKAETVQANRKVLFGLRDAFVKVEKGLELSGVEIAVPEFKSTEVTGVENGMDLDEVKVEKKKKKKAKKASLVEGESEGAKDSKQEKKVKKEKKEKKKKKKVEVVDEGDVTEQSTDAPAEEDQQMGDGTEAVTFDETLMSNLQKQFEKAAAEAGMVNGGSSSSASPASGKAAKKRKRAKSADRLSVSDGDDVSSEGTIISQDGEKSGKKVRFSMKNNLVWKPHNPLPPQCLRLPPSATPRGSALKKGVLPGPIKETPTPVKKTKPKAKSAKKVLKKPSSAAKRLRKLQNFSA >ORGLA04G0243800.1 pep chromosome:AGI1.1:4:24532024:24534120:1 gene:ORGLA04G0243800 transcript:ORGLA04G0243800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDDELAGAATPRAASFAPVDVNAAAPPPPQRKEVKTKKKEERRKHEAAAAAAAANLWDDPDSYVVTIGGRVLGRGAAAAADAATDNVRDVVVEDFDVSVQGVALFEGASLRVAHGRRYGLVGPNGKGKTTLLKLLHWRKLPVPRGIRVTLVVQEDDNRDPRPVIEVVLAADEELATLRAERDQLEASSAAAAANGARLAEVYEELTQRGWDTAPARAAKIIAGLGFDQASQARPASSFSGGWIKRIALAGALFMQPTLLLLDEPTNHLDLRAVLWLEEYLTAQCKSTLVVVSHEEGFLNAICDEVVHLQDKKLHAYRGGFDSFVGSYEQKKAKAMKESERLAKAARKSGRRAPKKWHDYTVEFHFAAPTELAGGGPLLRLAEAGFTRGGFQLSAIDADVSMGQPVAVVGPNGAGKSTLLKLLAGELTPTSGEARRNPKLRIGLYSQHFCDALPEEKSPVQHLLDTHPHLESKPWEARAKLARFGLAKESHLTTIGKLSGGQKARVALASVALGEPHVLLLDEPTNNLDMQSIDALADALDEFAGGVVIVSHDSRLVSCVCDDEERSALWVVQDGTVRPYDGTFAEYRDDLLDDIRKEMIME >ORGLA04G0243700.1 pep chromosome:AGI1.1:4:24527078:24531213:-1 gene:ORGLA04G0243700 transcript:ORGLA04G0243700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73110) TAIR;Acc:AT1G73110] MATATATSLASSAAHRRLPPSRSAASSILRAPNRGRLCPGSPSVLRASSSSPSSPQPTAGGDEGEEEEEGRRLSKQSSWEAKDSEGDDYLYRLGKEADNMNIAVGARSGIVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPLFLDKVACHIVKNYLAHILTIKIPLILGIWGGKGQGKTFQTELIFRAMGVEPVIMSAGELESEKAGEPGRLIRDRYRTASQVIQNQGKMSVLMINDLDAGVGRFGNTQMTVNNQIVIGTLMNLADNPTRVSIGQKWRESDVTHRVPIIVTGNDFSTLYAPLIRDGRMEKFYWQPDREDIINIVHRMYIKDGLSFEDVSKIVDTFPNQALDFYGALRSRTYDRAILQWVEEIGGHEQLNEKLLKRKKGEELPTFIPPKTTVDALIESGDSLVKEQELIMNSKLSKEYMKNLDD >ORGLA04G0243600.1 pep chromosome:AGI1.1:4:24521800:24525218:-1 gene:ORGLA04G0243600 transcript:ORGLA04G0243600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWQRAVVVPVKRAWVVVAARLLRKKQYDGRGVLVKLHDDIQTCAYEDVQVMWEILQRSEMARLATAPPSPGSARALIWPGRAAPPRRRHR >ORGLA04G0243500.1 pep chromosome:AGI1.1:4:24518317:24519603:1 gene:ORGLA04G0243500 transcript:ORGLA04G0243500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVREEAWPLAAPQQQQQQPSAPPPQPQAQQQNGRIDLREVKLQLEKRLGPDRSRRYFSYLKGYLSNRLSKADFDKVCLQTLGRENLRVHNQLIRSVLYNAYHAKCPPPTPAPDVGRSVGATVKKVCQPGEALNSCNGDIRLLQMQGSRHMSTMQDHQSKDRMKSTGLSCRVDASANHSQITHGGAAVPENGTLSSSDLKRSVHFQHESAEPLAKHQRVEQSPTGNIIKLRRSMSNVSDHSAEASNSPVRAPLGIPFCSASVGGARKLPPPPISAGEDHCTSCCEHCELLNTEALHRRMEKTAESLGLAGVTLDCADLLNNGLDKYLKNLIRSSVELIGANVQSDARKGELYKQHAYGKHMNGVWLPNHVQMQSGSGPSGATNGIRNHHLISLDDFKVAMQLNPQQLGEDWPVLLEKICLCSPEEND >ORGLA04G0243400.1 pep chromosome:AGI1.1:4:24509606:24514025:1 gene:ORGLA04G0243400 transcript:ORGLA04G0243400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAASASAAGGAGEEGGAAAAQPQQEGPVVTCKGVNGLDKVVLREVRGSSAEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLDPHGFARNRTWSVENDPPPFPVPTSNKAYVDLILTHTEEDLKIWPHSYEFRLRVALGPGGDLMLTSRIRNTNADGKPFSFTFAYHTYFSISDISEVRVEGLETLDYLDNLQERNRYTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMSDFGDEEYKRMVCVEAAAIEKPITLKPGEEWTGKLELSAVPSSYYSGQLDPDRVLQDSSVPEDSIS >ORGLA04G0243300.1 pep chromosome:AGI1.1:4:24504817:24505806:1 gene:ORGLA04G0243300 transcript:ORGLA04G0243300.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLPCLHPGSAFVRDHRIWATPQGWLLMARRGSPETFLWDPFTGTRIGLSPDHDGTVLAVDHWRRRCLLSRRRPTDPGCVVLVVDLEDTVLWHCRPAPAGRGNGADEPPPPPPEEEDDQQWARHEYLQPGTPHHEHRDDVLRAIGRLTAVDGSKLLVDLVDHRLAVLELSSQEPVVTVVAAEGVSVACTSNSTNLVESDGELYCVWFRYPIRCLRIVARVSVYKLDYMAAKGSATWMKVKSLGGRRSFFIGQDRIGASFDAEEAGLKPNCIYYYWLLNNRAAFSVYKN >ORGLA04G0243200.1 pep chromosome:AGI1.1:4:24501651:24502808:-1 gene:ORGLA04G0243200 transcript:ORGLA04G0243200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADATTAAQGRRRDDRWSSLPFDMLVLVVDRLGWSNHPSFALTCRHWRSAVSPFYPAWITPLLLSSADVGVANARYYSPYFHRSFEVDGCTLNVPPEANLCCSNGRRLTLCLPKLVLQTDLVTGAVDELPEMPFYWFNFIVYDDADRRMYCVNTIFVVRLARAIQDDDGEWGPWDLTEFNVEEGAQLQASPISNPVLHGGLLYVLGEDGKLAVYDPCNHDDNFKVVDKLKGFGIEHDRVDSYLFESDQGELMAVLVGYTGTPVHVLKLNEETMEWEKMESLDGRALFTGTYTTMMRKTKLKSMQNKVFLPRLYEWPKTIHVDLVIRDGEPAFVPKSHSQSSIEKITSNTSIWSYKVGQQEEARKVWGSEKVDYSIWVDFSTNLQ >ORGLA04G0243100.1 pep chromosome:AGI1.1:4:24497547:24500564:1 gene:ORGLA04G0243100 transcript:ORGLA04G0243100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDYCFSNDYMVLRPDRAGAYELLHLLFSPKVGRNKAVDCFTSTELHSFRRRLSIFLNLLLQLFLLSLVGPLVAVLGGALEFALNLASNVLHGRMEYPDRKSASYRSITGLIDPRVDLERSITPADSRYHAALCVMASKVAYENEAFIRDVVTRRWQMEFVQFFNCWNEFENAYTAQAFVFCDKAADAELVVVAFRGTPALDVARWCADVDPSWYKIPRLGRAHAAYTHALGAQRNIGWPKWVEHVKGKPQRVHAYYAIRDAVKRLLEANGRARVLVAGHGSGGALAVLFATVLAYHKEKAALDRLAGVYTFGQPRVGDAMLAMFAERNLDRPRKRHFRITYGDDPLPRLPHESSAAHFLHFGIRLHFDSLYNLKVVKELPGDGSSSSSAAEFATSRINAAWELARSAYLGYWRSAYCREGWLLMAARAAAVALPGLPFHRVQDYVNAVTLAGSNIPKDM >ORGLA04G0243000.1 pep chromosome:AGI1.1:4:24492304:24495515:-1 gene:ORGLA04G0243000 transcript:ORGLA04G0243000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAASIASHLPSGVSAAVAALAASPGEVVRRAAGLEDELRELLRLNGGGRGRGGAAAEQGQGEQTRDRFVRAYERLKSELLNDRAFNFDFTEETRQWVAKMMDYNVPGGKLNRGLSVVDSYMLLRQGTEVDDEDFYLACVLGWCVEWLQASALVLDDITDNAYTRRDNLCWYKLPTVGMSAINDGVLLKCHVQAIIKRYFKEKFYFLDLMELWNEIGLQTAMGQMLDLITTHTGAKDLARYRIQGYRRIVKYKTSYYSFYLPVACALLLNGARLSDYVELKNVLIEMGVYFQIQDDYLDCFGDPEVIGKVGTDIEDYKCSWLIVQAMELANENEMKILYENYGKSDPKCVAEVKSVYRELDLQDIFLEYESRVYKHLVSTIDAETDRAIRDILKSFLKKIYRRKK >ORGLA04G0242900.1 pep chromosome:AGI1.1:4:24484485:24487121:-1 gene:ORGLA04G0242900 transcript:ORGLA04G0242900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQEMLRIGDDDGRSDALERELKEKLRLRGDASPAKCTRQEQRWRSDHGIVDARERFVQAYGRLRDDLVGDDSCELTDEARRWLAQMIDYNVPGGKLNRGLSVIDSYLLLKQGSEVTEDDFFLACVLGWCVEWFQACALLLDDIMDDSHTRRDQICWYRRPEVGLRGINDGIILKCHILIMIKKYFREKPYFLDLLEIWSEIALQTSLGQMLDLIGTHTGADDLAKYSIEGYRRIVKYKTAYYSFYLPVANALLLSGAKLEDFSGLKDILIEMGIYFQIQDDYLDCFADPNTIGKIGTDIEDHKCSWLIVQALGHADNNQIEVLHRNYGKKDSSSVSEVKRTYAALDLKDIFSEFERRCYNHLVTSIEAQKDHAAREILKSFLKKIHQRKK >ORGLA04G0242800.1 pep chromosome:AGI1.1:4:24482479:24483601:-1 gene:ORGLA04G0242800 transcript:ORGLA04G0242800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLFEHIRRSALASPSTRKYSTVAQPNPGGKENWRFAEKQRWRNLGICSKLQTGLQ >ORGLA04G0242700.1 pep chromosome:AGI1.1:4:24481384:24482216:1 gene:ORGLA04G0242700 transcript:ORGLA04G0242700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTIETEESAESKTLDFRSFHSCQETRWIRESKPVRRRSAPERKRGKPSGYRCHAYGGDQPSDSAAESMPRFWRTLRVPEKISTCKRVLAIEERLVRRTHRVALVTRPALNKTITDTRRKLNVKHRILNKEKLGDDWDIEGAADVRNPGIVRRPEAIVREPLSRRGTAKTCQTLITYTYTMAHMSVAVLPITTTIIDFRFH >ORGLA04G0242600.1 pep chromosome:AGI1.1:4:24469698:24475363:-1 gene:ORGLA04G0242600 transcript:ORGLA04G0242600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PQF7] MARAAHGGGGMGLLARAALVAFVALVGVVGGARAQLRQNYYGSTCPNAESTVRSVISQHLQQSFAVGPGTLRLFFHDCFVRGCDASVMLMAPNGDDESHSGADATLSPDAVEAINKAKAAVEALPGCAGKVSCADILAMAARDVVSLTGGPSYSVELGRLDGKTFNRAIVKHVLPGPGFNLDQLNSLFASNGLTQTDMIALSGAHTIGVTHCDKFVRRIYTFKQRLGYNPPMNLDFLRSMRRVCPINYSPTAFAMLDVSTPRAFDNAYFNNLRYNKGLLASDQILFTDRRSRPTVNLFAANSTAFFDAFVAAMAKLGRIGVKTGSDGEIRRVCTAVN >ORGLA04G0242500.1 pep chromosome:AGI1.1:4:24455085:24458509:1 gene:ORGLA04G0242500 transcript:ORGLA04G0242500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVPSAAAASSCDDFGYNATPPPPPSLLPIMDQDGGGGSIQRDHHHHHHQQQLGYNLEPSSLALLPPSNAAAAAAHHATIAHASPHDLLQFYPTSHYLAAAGGAGGGGNPYSHFTAAAAAGSTFQSYYQQPPQAAPEYYFPTLVSSAEENMASFAATQLGLNLGYRTYFPPRGGYTYGHHPPRCQAEGCKADLSSAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRFHLLDEFDDAKKSCRKRLADHNRRRRKSKPSDGEHSGEKRRAQANKSAATKDKAGSSSKNAGIGDGFETQLLGGAHMSKDQDQAMDLGEVVKEAVDPKGKASMQQQQQQAHHGIHQQSHQQHGFPFPSSSGSCLFPQSQGAVSSTDTSNIAQVQEPSLAFHQQHHQHSNILQLGQAMFDLDFDH >ORGLA04G0242400.1 pep chromosome:AGI1.1:4:24422653:24429059:1 gene:ORGLA04G0242400 transcript:ORGLA04G0242400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H(+)-ATPase 5 [Source:Projected from Arabidopsis thaliana (AT2G24520) TAIR;Acc:AT2G24520] MGGLEEIKNEAVDLENIPIEEVFEQLKCTREGLSSEEGNRRIEMFGPNKLEEKKESKILKFLGFMWNPLSWVMEMAAIMAIALANGGGKPPDWEDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWGEQEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQTVLTAIGNFCICSIAVGIVIEIIVMFPIQHRAYRSGIENLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLVEVFTKGVDKDHVLLLAARASRTENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDADGNWHRASKGAPEQILTLCNCKEDVKRKVHAVIDKYAERGLRSLAVARQEVPEKSKESAGGPWQFVGLLPLFDPPRHDSAETIRKALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDASLEALPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFLLIALIWKYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGSYLALMTVIFFWAMHKTDFFTDKFGVRSIRNSEHEMMSALYLQVSIVSQALIFVTRSRSWSFIERPGLLLVTAFMLAQLVATFLAVYANWGFARIKGIGWGWAGVIWLYSIVFYFPLDIFKFFIRFVLSGRAWDNLLENKIAFTTKKDYGREEREAQWATAQRTLHGLQPPEVASNTLFNDKSSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTIQQNYTV >ORGLA04G0242300.1 pep chromosome:AGI1.1:4:24400965:24401548:-1 gene:ORGLA04G0242300 transcript:ORGLA04G0242300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25190) TAIR;Acc:AT5G25190] MTKYKGVRQRHWGSWVAEIRHPLLKTRIWLGTYGTAEDAARAYDEAARLMSGPAARTNFPLSSSGGNARSCLSPTLRARLEKCCGAGSSAQVQQGAVAGQDNDDAAAAAAAMGVDDGDEYVEEMIQELTFYGSIEIVQP >ORGLA04G0242200.1 pep chromosome:AGI1.1:4:24392917:24399538:1 gene:ORGLA04G0242200 transcript:ORGLA04G0242200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRARRRRSPEDDGEGEDGSASGRVTRRRLSPEEGALSLAEASASVAAAGAGAESSPGWLSSIVSGARRVISSVLFSSPEEAASGEEEEDDDEEDETEDNHGAIVSYNESKLAIEEMVMKETFSRDECDKMVKLIQSRVTDAALPEAPEYGTPKEIPTRNTVVGNDFTGAWRSLSRHRNGTEPVPFSSIGSGSFSPGSPLHASPELLSAAVMEAKKWLEEKRQGLGSKPEDHGPCTLNTDMLNSGFESDMGSPVDLAKSYMQSLPPWQSPFLGSQKFKTPPSAGLHMYDYEGKSKYSLPSSKVTTKEEYLSNFWENLEESRRSRLGSSGSSPDASKVRQYGSTSRLFENDTSIFSSGTDKKVDKPEQNNKGSDKVAGAEPANGHSSPITDKNHVFVDPVDPANDIGNVVKECNAASKVHIEEISQGNQMSSTSVTKDADRDGDVKAPVAEPEIHMESDINSASELRTKDTDPHIHASLNGSTKKTSANGLRDQSNANSGVESSGNDNPSCTNSSTGVPPTSNEVNDPKADAADGDSVENGTRLNTEEPAQVDPKPAYVRRGRKRVVRGTRGRAK >ORGLA04G0242100.1 pep chromosome:AGI1.1:4:24390639:24391989:1 gene:ORGLA04G0242100 transcript:ORGLA04G0242100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTSLALAGIIIGGIAAILVLKFIMRCVEAKHAERARRREEEAGPVSPPASGTYSSVDVRVEMGSVDRFLDDILREKPARFTPENLHEFTGDYAERLGAGGFGVVYRGRFPGGVQVAVKILHRTLDRRAEEQFMAEVATAGRTYHINLVRLYGFCFDATTKALYEYLENGSLDRVLFDAAAAAALEFDTLHGIVVGTARGVRYLHEECQHRIIHYDIKPGNVLLAGDYAPKVADFGLAKLCSRDNTHLTMTGARGTPGYAAPELWLPLPVTHKCDVYSFGMLVFEILGRRRSLDTQRPAESQEWYPRWAWQRFDQGRFGEVMAASGIRSKDGEKAERMCKVALWCIQYQPEARPSMSSVVRMLEGEEQIARPVNPFAYMATIDAISSSSSGGGGVSTSASASGDSAQSTRHDICH >ORGLA04G0242000.1 pep chromosome:AGI1.1:4:24387294:24388678:-1 gene:ORGLA04G0242000 transcript:ORGLA04G0242000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIANGSLALALIGVITTGIVAVVTIVAIYKCAKIAIKMWYSSSRDHHTPIANGGGSSSSRGGIGGADADVVEMGSMSHFIEGLQNERPVRFSARQLRAFTKSYAHKVGSGGFGVVYRGVFPSGAPVAVKVLNSTLGKRAEEQFMAEVGTIGRTYHINLVRLYGFCFDADVKALVYEYMEKGSLDRYLFDSSPSPAAERIGFEKLHEIAVGTAKAVRYLHEECAQRIIHYDIKPENVLLGAGMAPKVSDFGLAKLCDREDTHLTITGARGTPGYAAPELWMPLPVTHKCDVYSYGMLLFEMLGRRRNLELGAGAGAHGHGSQEWYPRWVWHRFEAGETEAVLARATAAAAGGGREREKAERVCMVALWCVQYRPEDRPSMGNVVRMLEGEDHIAAPRNPFAHLAPYSAAGSSPTTTTATTESDGSSARTGR >ORGLA04G0241900.1 pep chromosome:AGI1.1:4:24384969:24386358:1 gene:ORGLA04G0241900 transcript:ORGLA04G0241900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAIISSVSATAAAVVMLALIKRCRRYRRKMKKKMLARIAHEATEQHREMEARDAADSVMIEIGPVEKFLNEILSEKPMRFTSEQLAACTGNYSSELGSGGFGVVYRGELPNGLQVAVKVLKVSMNKKVQEAFMAEIGTIGRTYHVHLVRLYGFCFDADTKALVYEFLENGSLEKYLYGGGGEDRGKKLEWRTLHDIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTADFTPKVADFGLARLGERENTHMSLTGGRGTPGYAAPELWMALPATEKCDVYSFGMVLFEVLGRRRNYDLAAQAESQEWFPKWVWDRYEQGDMECVVSAAGIGEEDRAKAEMMCKVALWCVQFQPSARPTMSSVVRMLEGEMAIVPPVNPFHYVMSGGSGSSTLTSSSTNLSSGGTTTGSSEVAVSLPTKKSTDVMVE >ORGLA04G0241800.1 pep chromosome:AGI1.1:4:24380885:24381349:-1 gene:ORGLA04G0241800 transcript:ORGLA04G0241800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAGVALHALHAGVGRGAAAAAASLSPAAVVILAVAATVAVAAVAAFGCADGAKRQRRKNRNDVYYYGQGYPPPPPAGAYPYPAQPPPAGYAYPAANAGRPGRSGFGPGAGLAVGAAAGLATGAIIASAVDSGGGGCGGGGCGGGGCGGGCGG >ORGLA04G0241700.1 pep chromosome:AGI1.1:4:24373482:24375929:1 gene:ORGLA04G0241700 transcript:ORGLA04G0241700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PQE8] MMSRRIHGMCLLVLATFLSCIALSAGDHRSVLWRGGSIAVEDAAENVLVSPSGNFSCGFYKVATNAYTFAVWFTASADATVAWTANRDSPVNGVGSRAELRRDGSLVLQDYDGRVVWSTNTSGTPADRAQLLDTGNLVVADAAGNRLWQSFDWPTDTLLAGQPVTRYKQLVSASARGLPYSGYYKFYFDSSNILNLMYDGPEISSNYWPSPFNKWWDNNRTAYNSSRYGSFDRRGVFTASDQLQFNASDMGDEGVMRRLTLDYDGNLRLYSLDAAAGRWHVTWVAVGRQCYVHGLCGSNGICSFRPGPTCSCPVGYVPNDASDWSKGCRRSPDVRCGGDDVVDFVEMPHTDFWGFDVNYTAGVTFDACRRLCLDDCNCKAFGYRPGTGRCYPKIALWNGRIPIKPDQTIYLKVARSVKNQMINQSSSFLHFDGHACTVDERDASVGSSYLHGRSNEINFIYFYSFLAVVFVVEAIFVVVGYLFVFRADPVAAGRVRDDGYSLVFSHFRRFTYDELSDATCGFRDEIAKGGTGSVYKGVLEDGRSIAVKRLGELTQADEVFRSELSVIGRINHMNLVRIWGFCSEHPHRLLVSEFVENGSLDKALFCDDGESSGVVVLPWRSRYKIAVGVAKALAYLHHECLEWIVHCDVKPENILLDGDFEPKVTDFGLVKLLSRDAGSHMALSRVQGTRGYIAPECWTVGRPITGKADVYSFGVVLLELLRGQRVCDWVAAADGAWDLQRLAAWLKEKLKRDDDEEEVSTWLEELVDARLRGDFNHVQAAAMLELAVCCVDGEPNRRPSMNAVAQKLISLHDTR >ORGLA04G0241600.1 pep chromosome:AGI1.1:4:24363107:24368282:-1 gene:ORGLA04G0241600 transcript:ORGLA04G0241600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PQE7] MNRVHGLHLIVLTSLCCVALSAGEGDRRSVLWRGGSIAVEDAADSVLVSPSGNFSCGFYKVATNAYTLAVWFTACADATVAWTANRDTPVNGVGSRAELRKDGSLVLQDYDGRVVWSTNTSGTPADRAQLLDTGNLVVSDAAGNRLWQSFDRPTDTLLPEQPVTRYRQLVSAAARGSPYSGYYKFYFDSSNILNLMYDGPEISSNYWPDPFKKWWDNNRTAFNSSRHGSFDRRGVFTASDQLQFNASDMGDGGVMRRLTLDYDGNLRLYSLDAAAGRWHVTWVAVQRQCDVHGLCGRYGICTYSQGPTCSCPDGYVPHDASDWSKGCRRTFDVRCGEDVAFAEMRHTDYWGFDLNYTAGISFDTCRRLCLVDCRCEAFGYRQGTGECYPKISLWNGRVMSIPYQTIYLKFPTGAKNLNPSLLHFDGHTCTMDERDATVSSSYLHGRRNTINFIYFYSFLAVVFVVEAIFVVVGYLFVFRADSVAAGRVGDEGYSLVFSHFRRFTYDELSDATCGFRDEIAKGGTGSVYKGVLEDGRSIAVKRLDEMTQADEVFRSELSVIGRINHMNLVRIWGFCSEHPHRLLVSEFVENGSLDRALFDGDDGEDNTGVVLPWRSRYKIAVGVAKALAYLHHECLEWIVHCDVKPENILLDGDFEPKVTDFGLVKLLSRDAGSHMALSRVQGTRGYIAPECWTVGRSINGKADVYSFGVVLLELVRGQRMCDWVAAAATADGAWNVQRLAALLTEKLKCDDGELPAWLEELVDARLRGDFNHVQAAGLLELAVSCVDGEPSRRPSTSTVVHKLISLDTIEHHLYATHELAANAAVVSLVGDLGGINCVCRVEDLNCFSC >ORGLA04G0241500.1 pep chromosome:AGI1.1:4:24358055:24361061:1 gene:ORGLA04G0241500 transcript:ORGLA04G0241500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSSAAMARQTWELENNIPAAASDPDALDAIYRYDEAAQARVQQEKPWANDPHPFRRAKISALALLKMVVHARAGGTIEVMGLMQGKCEGDAIVVMDAFALPVEGTETRVNAQADAYEYMVEYSTINKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPKDYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNRDYVAGQIFDLADKLEQAEGQLAHSRYGMLMPSQRKKEQEESPLAKVTRDSSKITAEQVHGLMSQVIKDILFNSVHPSNKASTSAPDSSGPEPMVEA >ORGLA04G0241400.1 pep chromosome:AGI1.1:4:24350468:24354931:1 gene:ORGLA04G0241400 transcript:ORGLA04G0241400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRSRSLKRLLSLGRRSNNSDESNDECVVVVDVVDQPPPSNKPTWRCFSYEEVNKATNGFHRDNMVGRGGYGEVYRGVLEDGSAVAVKRLSPAAAADEKKEKDFLTELGTVGHVRHPNVTALLGCCVDRGLHLIFEFSARGSVSANLHDERLPVMPWRRRHGIAVGTARGLRYLHKGCARRIIHRDIKASNVLLTADYEPQISDFGLARWLPSEWTHHAIAPIEGTFGCLAPEYFTHGIVDEKTDVFAFGVFLLELISGRKPVDGSHKSLLAWAKPYLNDGVAQGLVDPRLGDGGYDGAQLRRLMFVASLCVRPAAAWRPTMTQVLELLESGEISQDQWLMPEEEEEDEFWDFDDLDDFEDDDDDDDNYDNDDESDSPSISSSACSIHAND >ORGLA04G0241300.1 pep chromosome:AGI1.1:4:24342300:24343711:-1 gene:ORGLA04G0241300 transcript:ORGLA04G0241300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RWRWWRRRRLRGRRRRRGRRRRRWRRRRRRRIAGAGRMECGHMELDEAGEGRRRSQDLGRATMRRRCAVVDFRLRMGVARGSKLRTPMGLYQPLDRTVRLRLIDQLLLANCQRLIPSVADVIAMGYLGWAAWHGLAVLGLASSVNHLLAWSVAPVRFAVMAQKARRR >ORGLA04G0241200.1 pep chromosome:AGI1.1:4:24341481:24341918:-1 gene:ORGLA04G0241200 transcript:ORGLA04G0241200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTLAVTAGNGFAAVPTTAPAAGWVLVAFGFAAAVAVVAIAVFGCADRPKQKPKRKKDKRRRRRDDDGGGGDGPDGGDGGGDDGPDGGDGGGDGGGHHDHGGDHGGGWHHHGGDHGGGGHHFSGDHGGGGGCGGGGGCGGGGGC >ORGLA04G0241100.1 pep chromosome:AGI1.1:4:24337993:24338658:-1 gene:ORGLA04G0241100 transcript:ORGLA04G0241100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALAVTAGNGFGAVPTAPAAGWILIGFGFAAALAVVAIAVFGCADGPKDSPRRKKDKRRRRREDGDGGGGDGGGGGGDGPDGGGDGGDGGGGDQPSSDAHGGHHHHGHGHGGDNGGGHHHHGHGHGGDHGGGGHHHHHGHGGDHGGGGWHHHGGDHGGGGGHHYSGDHGASSGFSGGGCGGGGGGGGGGGGGF >ORGLA04G0241000.1 pep chromosome:AGI1.1:4:24335003:24335335:-1 gene:ORGLA04G0241000 transcript:ORGLA04G0241000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLAVDAGLGRGFAGGGGGGSVPDVSRSVVGIALVFVAAVAIVAFVVFNCADGMDSSSGRKRRTNPGGGTVGVGAVCGGGACGGPACGGGGGGGGGGGGCGGGGGGGGC >ORGLA04G0240900.1 pep chromosome:AGI1.1:4:24330796:24331092:-1 gene:ORGLA04G0240900 transcript:ORGLA04G0240900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAVDAGHGRGFTAAAGGSVPDVSRSVVGIALVFVAAVAIVAFVVFNCADGSGGRRRRTGGGGAGGGAGYGGGCGGGGGGGGGGCGGGGGGGGGGGC >ORGLA04G0240800.1 pep chromosome:AGI1.1:4:24314589:24318462:1 gene:ORGLA04G0240800 transcript:ORGLA04G0240800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDTSHHYPWLNFSLAHHCEMEEEERGAAAELAAIAGAAPPPKLEDFLGGGCNGGSSGGACPPVQTTAPTAAELYESELKFLAAGFQLSGAAGAAPPVPALLPAAALEQTDETKQLALPPQAAVAPPPEQKKAVDSFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPSTTTNFPVAEYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTEEEAAEAYDIAAIKFRGLNAVTNFEIGRYNVESIISSNLPIGSMAGNRSTKAGLELAPSSSADAIAATEANHTGVAPPSTLAFTALPMKYDQADYLSYLALQHHQQGNLQGLGFGLYSSGVNLDFANANGNGTMSNCYTNVSLHEQQQHQHQHQHQHQQEQQQDQQDDQSQSSNNSCGSIPFATPIAFSGSYESSMTAAGTFGYYPNVAAFQTPIFGME >ORGLA04G0240700.1 pep chromosome:AGI1.1:4:24302211:24307639:1 gene:ORGLA04G0240700 transcript:ORGLA04G0240700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase [Source:UniProtKB/TrEMBL;Acc:I1PQD8] GGAPPKAAAPPPRPPPVKVEADADADDGRKRVTVFFGTQTGTAEGFAKAMAEEARARYEKAVFKVVDLDDYAAEDEEYEEKLRKETIVLLFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDLKYAVFGLGNRQYEHFNKVAKVVDELLEEQGGKRLVPVGLGDDDQCIEDDFTAWKEQVWPELDQLLRDEDDTTGASTPYTAAIPEYRIVFIDNSDVSFQDKSWSLANGSGVIDIHHPVRSNVAVRKELHKPASDRSCIHLEFDISGTGLVYETGDHVGVYSENAIETVEQAEKLLDLSPDTVFSVHADAEDGSPRKGGGSLAPPFPSPCTLRTALLRYADLLNSPKKAALVALAAHASDLAEAERLRFLASPAGKDEYSQWVVASQRSLLEVMAAFPSAKPPLGVFFAAVAPRLQPRYYSISSSPKMAPSRIHVTCALVYGPTPTGRIHQGVCSTWMKNAIPSEYSEECSWAPIYVRQSNFKLPADPTTPIIMIGPGTGLAPFRGFLQERLALKQSGVELGNSILFFGCRNRNMDYIYEDELQNFIQEGALSELIVAFSREGPAKEYVQHKMTEKATEIWNIVSQGGYIYVCGDAKGMARDVHRALHTIVQEQGSLDSSKTESYVKSLQMDGRYLRDVW >ORGLA04G0240600.1 pep chromosome:AGI1.1:4:24297644:24298281:1 gene:ORGLA04G0240600 transcript:ORGLA04G0240600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:indole-3-acetic acid inducible 33 [Source:Projected from Arabidopsis thaliana (AT5G57420) TAIR;Acc:AT5G57420] MISGAGGEQQQQQDTKRRLPAPTTSEQERRQKQHRGKMLRLSVQQGDDVTAGVVPPVTVVLDGRSICHRVHLSKHTGYRSLAAALRRMFVDADDDVGAADEAAGRSSCSDADRGGLDLSNAVPGHVVAYEDIENDLLLAGDLNWKDFVRVARRIRIIPAKPSSRRRPQS >ORGLA04G0240500.1 pep chromosome:AGI1.1:4:24287382:24290767:1 gene:ORGLA04G0240500 transcript:ORGLA04G0240500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPQIEMGAFKANGPQLQNGGLRSSMVQSWNLQRFVESALRSIRIVIFTSKLNLLLPFGPASIILHYTTSRHGLVFLFSMLGITPLAERLGYATEQLAIYTGPTVGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVMGCAFFAGGIVHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTHSEVRQGASEVSLSRFSSCIMLVAYASYLYFQLSGRNNAYSPIGSEEMPNEDAAEEDEESEIGMWESIAWLAMLTLWVSILSEYLVNAIEGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQKMDLNFQLFETATLFITVLVVAFMLQDGVANYLKGLMLILCYLIVAASFFVHVDPQSSDD >ORGLA04G0240400.1 pep chromosome:AGI1.1:4:24283166:24284885:-1 gene:ORGLA04G0240400 transcript:ORGLA04G0240400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATTTLPLAPLALALAPPSSPISQCSLLLLRPRAPAALSLRPSARLLVAVAAREPELGGSGGGGGAAGDGSGSGGGGDSEKPRGGGGDEEGEGEEEKMGQGLSMSQKITLAYAALVGAGGAMGYMKSGSQKSLAAGGISALVLYFVHTQLPVRPVFASSIGLGISAALLSVMGSRFKKSGKIFPAGVVSLVSLVMVGGYIHGILRSTHA >ORGLA04G0240300.1 pep chromosome:AGI1.1:4:24277964:24281992:1 gene:ORGLA04G0240300 transcript:ORGLA04G0240300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEEEVARKGEERKEEVVVVEEEKSQQQQQQQGEEELVGLSLAGGRPKVFPMSSPPPNPSQLTIFYGGSVCVYDSVPPEKAQAIMLIAAAAAAAASATKSNAAVAVKPPVMPAANATQAAVSPVLTRSLSLQSTSVATGQPQVVADPSSICKLQADLPIARRHSLQRFLEKRRDSRLVSKAPYPTKSSEGMEASGMEVTAEGKAQ >ORGLA04G0240200.1 pep chromosome:AGI1.1:4:24257841:24259970:-1 gene:ORGLA04G0240200 transcript:ORGLA04G0240200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASVLSLPAAAAIPGAAAAIAGAAGCFALGYFLALSRFPRQVVAASPASGETSDEDSEEDSEEEDDDENSGRSRVAKRAPKRAGLRLLFWARNVVTKSDSAKEAERARAKSQTAASPLEVENLAEIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQQRAPKSLRRWERCGQVKVVVKIESEDDMLVLQGRAKSLNIPTHITIDAGRTQIAPNSRTVMAILGPADMVDDVTGGLKLL >ORGLA04G0240100.1 pep chromosome:AGI1.1:4:24254199:24256575:1 gene:ORGLA04G0240100 transcript:ORGLA04G0240100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease 2 [Source:Projected from Arabidopsis thaliana (AT1G68290) TAIR;Acc:AT1G68290] MAARVLPLLLVVIVAAAMARAPTAHAWGKEGHYMVCKIAEGFLTKEAATAVKELLPGWAGGELAETCSWADTERFRYRWSSPLHFADTPGDCQFNYARDCHNTNGEKDMCVVGAINNYTNALEDSSSPYDPTESLMFLAHFVGDVHQPLHCGHVKDLGGNTIIVHWYTRKSNLHHVWDVNVIETALKEFYNEDVSTMIKAIKMNITDEWSNEEKQWETCRSRTKTCADKYAEESAKLACKAYEGVEQESTLEDDYFFAALPVVQKRIAQGGVRLAAILNRIFSGNKVQSS >ORGLA04G0240000.1 pep chromosome:AGI1.1:4:24245299:24251332:1 gene:ORGLA04G0240000 transcript:ORGLA04G0240000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKPAASEGGWGSDADPDSGSSGNGGGGGSPPSSPSTPSPPSPQPLLPTLPSPQAFVDAYTGYEDQGAWDPDSLSLELGLAMLRELECIRYQEAQEDWRKSDDNKSDSCKQKDKDQGAWDPDSLSLELGLATLRELECIRYQEAQEDWRKSDDNKSDSCKQKDKEQEAWDPDSLSLELGLAPLQELEWIRYQEAQEDWRRSDDNKSDSCKLRDKTTSDDRSKSGDNKYDSCKTRDKKPIVDLADEFENNTIKKKITLLSEKYDFFRPVDRDGSCFYRAFIFSYMERIVAMQDDLERIIEVSRIGERIGKYKQAYARFGSFGIPQEEFLKALSAFEQLINLIEKGVAVEQLYQIDETDITKNSLRFLRFLTEIEICTHEDHYKGFLLTADYSSVFEFCQVEVRPENAEASNEQMKALVEALGIPVLVENLDTTSETDTPILNQHFIYPRPESEEGTMLEPLNSHEIVSPESSGYHAARGELQNQPSTSGSSTNSSTEALGLQSIGTSSTPNERDGKGDRTINDLSPAERRRLAILLYRPGHYDILCPK >ORGLA04G0239900.1 pep chromosome:AGI1.1:4:24235892:24240273:-1 gene:ORGLA04G0239900 transcript:ORGLA04G0239900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgin candidate 2 [Source:Projected from Arabidopsis thaliana (AT1G18190) TAIR;Acc:AT1G18190] MAGWISSKLKAAETLLHQASPPPPIDQQAAESLGKSPSASDLTALHASSSSSAADALLDAPTRRQPPVAPPPSLGLRLAARRPNLPPPPAPAPASPAPRRSASATAVLAAQDRAAGAAVGGVAEAKVGGDREEEKGGGSESGSGSDEDDSDGSGSDDSEDSEEERRREEERRRRRAERLAAMAARAIAEREEAVAKLEGEKASLEKLLAEREKEQAQEASELQTSMIETMEAVEMEKQRHHSTRMEALARLAKLEVTNAELAKSLAREQWNLDLQVDQVAQLREEVDMKTLTQDKYKRKIAKMQKTSPPLVNEIESLRRFKLEEEMIDAEYALTCDRIVNLKDKDLSFFVLLVIIVLCLSMARKIKENIELTKRRMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLLRIEAVSRSLDNNGSSSLASSSSSSKIDIEAGTWQESHSPRLRDRIRNGQRQLGSAIRQLDSIFSAGHIFLRRNPKALVWAMVYLVCLHIWVLYILTSHPTISEARPGATFSLESLNKTGI >ORGLA04G0239800.1 pep chromosome:AGI1.1:4:24225440:24230590:-1 gene:ORGLA04G0239800 transcript:ORGLA04G0239800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate transporter 91 [Source:Projected from Arabidopsis thaliana (AT1G23090) TAIR;Acc:AT1G23090] MVGMRGAYGYNDNGNEGGVVVGGGEAEAEIAAMAVLHKVAAPPAQSTASKLKARVKETFFPDDPFRGFKGKPLTTKWVMAVQYLFPILDWVPSYSFSLFKSDLVAGLTIASLAIPQGISYAKLASLPPIIGLYSSFVPPMVYAVLGSSRDLAVGPVSIASLIMGSMLRQAVSPAAEPLLFLQLAFTSTFFAGLVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGLVPVMASVIHHTKEWSWQTILMAVCFLVLLLTARHVSMKWPKLFWVSACAPLACVIVSTLLVFLFKAQKHGISIIGQLKCGLNRPSWDKLLFDPQYLGLTVKTGLVTGIISLTEGVAVGRTFASLKDYQVDGNKEMMAIGLMNIVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDLPAVYNIWKMDKMDFLVCLCAFAGVIFISVQQGLAIAVGISIFRVLLQITRPKMMIQGNIKGTDIYRNLHQYKDAQRVPGFLILTVEAPINFANTNYLNERIKRWIEEESSAGTKQSELHFVILDLSAVPAIDTSGISFLIDLKKSTEKHGLELILVNPTGEVMEKIQRANDAHGHFKSDILYLTTGEAVASLSTFSKMTAP >ORGLA04G0239700.1 pep chromosome:AGI1.1:4:24207469:24224603:-1 gene:ORGLA04G0239700 transcript:ORGLA04G0239700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPGGGGGGRSGFRSGVVRLAAVGRHHEAYPRRRSRGCFAAPHRRRRQVALSPPRVRPATHSGSSVPRHRLGPRPVWRGKEIAEGRTHWTEEELRVFLHSCLEEIQARNIISSSPEAQGYINLERKMLERAGKRVTKKQVKWRWAKSRKNFGMWTWLESKATGLGRDTVSQAILASDEWWEIQESERKGAKAFKDAPLKCIDEHHAVFRGRTVVQDHSNVQPAHQPMINVEDIRAQPPSPAVAAARAKGKRPCASETETSGSSSKSRTCSDSSDEALHRLADDDSRVESMESRAEKKKARGARACMDMVVADGYRPCSDLWLMALALFLDPYWRQFFLDDCATAESRLRFIQKSSELLPIGVSNVASPSVAAVRVRAVRRWPLRIPARTNREWAVPADSRGSLNLTKLSLREVDITDEDLNQFLSECNHLREVDITDCRMLTNLRVPGHLNQLKSLLVAICPLLREIKLSCGVTALDYRGPFIPLQLAIPSQTTNVSISLLTFHSALGYIFSDLPSTLTNLETLTLKSKQVERIDMLSRLPRLISLRHLTLGLTISDLPQRKIDLLDFASLLKAAPFMEKLELHMKMVYVHQRYCQDDGELRSLPRCPHSHLSWVQITGFFGEKDQLELALHILRNATFLKAMVIETSLNTESESVNCYAERLSPDGYSVALEFLGKEDHNNAVHVLEADDE >ORGLA04G0239600.1 pep chromosome:AGI1.1:4:24197029:24198099:1 gene:ORGLA04G0239600 transcript:ORGLA04G0239600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVGALLFHLVVTALAAPVALPGCPETCGNVTVPYPFGIGHGCFRDGFELVATHPAAPPKLRFARNGVEVIDISLPSGTVRVATRMLGTGSSSSLPRQLDGSWPAGLPANGSLAVSTRHNRFVAMGCNLLANLLANDDDDYMSVCAALCVVRSALPRDAAAASSCSGFGCCQTPVARGLPSYGVHLNDLTQRSVTVGSYGAAFIADGEWFAGEQRSLQLGFVADPRKLADSTAVPTVLEWSLDMDRDQDMFWYDTRVSQWTRCVSVHSAIDDAVDGNLYGRARCNCSKGYEGNPYLANGCQDCGPCVDGPDCE >ORGLA04G0239500.1 pep chromosome:AGI1.1:4:24190220:24191583:-1 gene:ORGLA04G0239500 transcript:ORGLA04G0239500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PQC6] MAYSRQIFVCSAMAALLFSAVVSAQLSTDFYDETCPDALDIIESAVRDAVSKESRMGASLLRLHFHDCFVNGCDGSVLLDDTAAITGEKNAKPNKNSLRGFEVVDDIKSQLEDACEQVVSCADILAVAARDSVVALGGPTWDVELGRRDGTTASLDAANNDLPPPTSDLADLIKSFSDKGLTASDMIALSGAHTIGQARCTNFRGRLYNETNLDATLATSLKPSCPNPTGGDDNTAPLDPATSYVFDNFYYRNLLRNKGLLHSDQQLFSGGSADAQTTAYATDMAGFFDDFRGAMVKMGGIGVVTGSGGQVRVNCRKVN >ORGLA04G0239400.1 pep chromosome:AGI1.1:4:24180736:24189350:-1 gene:ORGLA04G0239400 transcript:ORGLA04G0239400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRLLRVLLLLVALACATSGVGCSDPRFPHLGRVRELHRGEGRPAAEQEAAARGVLARLLPSHSGSFDFRVISADQCGGKACFIVDNHPLFDGEGTPQVLLLGTSGVEISAGLHWYLKHYCAAHVSWDKTGGAQLSSVPRPGSLPRLPSGGILIQRPVGWSYYQNAVTSSYSFAWWDWERWEKEIDWMALQGINLPLAFTGQEAIWQKVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQSWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPAALRSKFPSAKVTHLGNWFTVDSNPRWCCTYLLDASDPLFVEIGKLFIEEQIREYGGTSHVYSCDTFDENTPPLSDPNYISSLGAATFRGMQSGDDDAIWLMQGWLFSYDPFWEPPQMKALLHSVPVGRMIVLDLYAEVKPIWINSDQFYGVPYIWKVGVGMSMEGIEQNPIVYDLMSEMAFHHRQVDLQVWVETYPTRRYGKSIVGLQDAWKILYQTLYNCTDGKNDKNRDVIVAFPDVEPFVIQTPGLYTSSSKTYSTKLSKNYIAVDASNDEYEHPHLWYDTDAVIRALELFLRYGDEVSDSNTFRYDLVDLTRQTLAKYANQVFVKIIESYKSNNVNQVSNLCQHFIDLVNDLDTLLASHEGFLLGPWLESAKGLARDKEQEMQYEWNARTQITMWFDNTKTKASLLRDYANKYWSGLLRDYYGPRAAIYFKYLILSMEKKEPFALEEWRREWISLTNNWQSDWKVFPTTATGDALNISRTLYKKYLHDADLIQPEGIIRLRDLKNTPW >ORGLA04G0239300.1 pep chromosome:AGI1.1:4:24177402:24179957:1 gene:ORGLA04G0239300 transcript:ORGLA04G0239300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PQC4] MAAPSQTTATTTHHRVLLPSHHHHRAVPPSLLRLPLRAARRGRLSAAAAAAAPAASTAAPSEPAAGAVPGKPTVLVAEKLGAAGLELLRGFANVDCSYGLSPEELRAKIPLCDALIVRSGTKVGRDVFEASGGRLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMSRNIAQADASLKAGKWQRNKYVGVSLVGKTLAILGFGKVGSEVARRAKGLGMHVIAHDPYASADRAHAIGVELVSMEDALTTADFISLHMPLTPATNKMLNDETFAKMKKGVRIINVARGGVIDEDALVRALDSGIVAQAALDVFTKEPPAPDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVIGALKGELAASAVNAPMVPAEVLSELAPYVVLAEKLGRLAVQLVAGGGGIKSVKVTYASARAPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGVRITEERILLDGSPETPIDYIQVHIAHVESKFPSAISESGEITVEGKVKDGIPHLTKVGSFQVDVSLEGSLILCRQVDQPGMIGAVGSVLGEENVNVSFMSVGRIAPRKHAVMAIGVDEEPKKSTLTKIGEIPAIEEFVFLKL >ORGLA04G0239200.1 pep chromosome:AGI1.1:4:24171783:24174471:1 gene:ORGLA04G0239200 transcript:ORGLA04G0239200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16150) TAIR;Acc:AT3G16150] MARWAIAIHGGAGVDPNLPEHRQEEAKRVLARCLQVGVDALRSGAAALDVVEAVVRELESDPFFNSGRGSALTRLGTVEMEASIMDGRGRRCGAVSGVSTVKNPVSLARLVMDKSPHSYLAFDGAEQFARDQGLEVVDNSYFITEDNVGMLKLAKEANSILFDYRIPLSGSETCGAQAVSSDNSHGNGMVMNGLPISIYAPETVGCAVVDSSGHTAAATSTGGLMNKMTGRIGDSPLIGSGTYACGACAVSCTGEGEAIIRSTLARDVAAVMEYRGAGLQEAVDYCVKERLDEGFAGLIAVSSTGEVAYGFNCTGMFRGCATEDGFMEVGIWE >ORGLA04G0239100.1 pep chromosome:AGI1.1:4:24160368:24163187:-1 gene:ORGLA04G0239100 transcript:ORGLA04G0239100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLAGAEKEVLVEIVRFTQKNGLKGAEGGWKDFLARNDKKFGASVSDPKKRSKDVLLAFLLTFSKDFQKYFGKLIRRLNERSAFEQFLKEFPDKISPQLKLVQLTAEHPEYRKNYNFPSYKEGWKVLREGKITNFMKSTMLAIDCEMVLCHDGTEALVRVCVVNSNLEVKLDELVNPLKAVADYRTSITGLSKKDLKGVTCSLVDVQKSLKKLLSKGKILIGHSLSKDLHALKFDYSRVIDTAYIFKYANLPTTASASLNSLCKSVLGYAVREEGEPHNCLKDAEAAMNLVLAKLKHGFNDPIEIARDSVPESDMMKLLAHKIPVYLPCQELLKLFSGNPSIDEKIDSRVRGDYYSTCISFGDRDEVEKAFDELDGQEAKDSSGRLQKNVLLKRDNGDVASFYVRKMAYGAQLNKSELSNKRPPPTEHVEPTKEDNDGDKQKKRKTGKKHEKKKAKISVAE >ORGLA04G0239000.1 pep chromosome:AGI1.1:4:24157592:24159778:1 gene:ORGLA04G0239000 transcript:ORGLA04G0239000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor assembly of complex C [Source:Projected from Arabidopsis thaliana (AT1G59840) TAIR;Acc:AT1G59840] MEMTRSLTLAPLPATLRPASAACRRRRRRRGLPFGALFSPSPPSNQQQQEMHIRALQPRQDWVGEWVRSNDTLVRGLPILVGGASLLAVLLNRAVSGIAAVADASSSQSRADILTLALSVTDILAGLVWLSIRPKSISPVVPRGVECKRVGTGVLDSALRELLWTWDSLTTATCCKSLVVVYGGNCVLQIGVAAGSPEDGNAVMVDAQKFMQGSLYRSAMESKKQSYLANLALYPGRTELPFLPANTQALILQPIGDKGIAVIGGDTIRGFTNLDQAWIAMIADKLDATLSKS >ORGLA04G0238900.1 pep chromosome:AGI1.1:4:24155403:24156812:-1 gene:ORGLA04G0238900 transcript:ORGLA04G0238900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTATPHVLLVPFPAQGHALPLYDLAALLAARGLRLTVVTTPGNAAQLAPLLAAHPDSVRPLVLPFPSHPSLPAGLENTMNCPPVYIPVFIHALAALHRPILAWARSQPAHPVVAVVSDFFCGWTQPLAAEIGVPRVVFTPSGVLGTAVPHSLFRRLVKRPVGCDDGFPVAFPAIPGEPAFEWREISMLYKAYIEGLVEEQVGESLKQNCLWNLEGWGFVSNTFPALEGRYLDAPLEDLGFKRVWAVGPVAPDTDAAGERGGEAAVAAGDLSAWLDAFPEGSVVYVCFGSQAVLTPAMAAALAEALERSAVPFVWVVSGDGVVPEGFEARAAAAARGMVVRGWAPQVAALRHAAVGWFMTHCGWNSVLEAVAAGVPMLAWPMAADQFVNARLLVEDAGVALRACAGGAGVAPDAGELAAVLADAVGEKGSGARARAKELAADAAIAVRSGGSSYEDLERFVQEIQKL >ORGLA04G0238800.1 pep chromosome:AGI1.1:4:24147513:24149988:-1 gene:ORGLA04G0238800 transcript:ORGLA04G0238800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:I1PQB9] MKLPLLRPLWPMLAPAAGSPDSPPEPSKPSLPAAWLLLHALFCATSMAVGFRFSRLIVYLLFLPTPINPTAHLVSLVSPPVMLAAANATTTITTTTTTTTTTVTTTTVAAEVGAHPQHHHHGPVFVGRHPIRVRPWPHPDPNELLKAHHILAAVQNAQRSSRRRGAGPPRPVIAVTPTTTSALQVPSLTSMAHTLRLVDGPLTWIVVEPEHHTDAVAAVLSRSNLNFLHITGPDSSTSRLRMHALREIRKRKMDGVVVFADENSILRTELFDEAQKVKSVGAVPVGVLGEDEGTSETFLQAPSCDAEGKLVGYHVSEETMLPANRGDMLLSSRLEWAGFVVNAQALWEGGGAASRPEWVRDIDAIDDGAAASPLSLVTDAARVEPLASCGQAALAWSHRSDALHEVKFPHEWKIDPPLVTIASRQQDAKPETPLKRTTLLNTEGQH >ORGLA04G0238700.1 pep chromosome:AGI1.1:4:24142596:24144206:-1 gene:ORGLA04G0238700 transcript:ORGLA04G0238700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLSLLLPVTCFILFVLHAVHVEARPDPAAFGDSDNGFYTLFVFGDSFADTGNLPKRRLSEQSREWYYPYGRDRGNNRPTGRFSNAMVQSDLIARMLGRHEAPPTYRRVDNYVHPHGMNFAAGGSGVFKLPSGAPTLDKQVDHFRDLVQDGTITRRNLRNSIALVAVSGNDYARLANVNDTSKMIKFVDEVTSEIAKQVHRLKNNGARKILVNNLHPVGCTPWVTRPGNYSGCSSTGNMGAYLHGSNLQQKLSHLDYVHHVDLNTAFSNIVNPDQGSKHKVSSQFEHKMQPCCESLDPNGFCGQKGHDGKDLFSVCNDPEKYFYWDDVHPTEAGWKAVMQQLEGPIKKFLGIN >ORGLA04G0238600.1 pep chromosome:AGI1.1:4:24133130:24136852:-1 gene:ORGLA04G0238600 transcript:ORGLA04G0238600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISMALAAAALLLLLSLAAADMSIVSYGERSEEEARRLYAEWKAEHGKNYNAVGEEERRYAAFRDNLRYIDEHNAAADAGVHSFRLGLNRFADLTNEEYRDTYLGLRNKPRRERKVSDRYLAADNEALPESVDWRTKGAVAEIKDQGGCGSCWAFSAIAAVEGINQIVTGDLISLSEQELVDCDTSYNEGCNGGLMDYAFDFIINNGGIDTEDDYPYKGKDERCDVNRKNAKVVTIDSYEDVTPNSETSLQKAVANQPVSVAIEAGGRAFQLYSSGIFTGKCGTALDHGVAAVGYGTENGKDYWIVRNSWGKSWGESGYVRMERNIKASSGKCGIAVEPSYPLKKGENPPNPGPTPPSPTPPPTVCDNYYTCPDSTTCCCIYEYGKYCYAWGCCPLEGATCCDDHYSCCPHEYPICNVQQGTCLMAKDSPLAVKALKRTLAKPNLSFLFGNGKKSSA >ORGLA04G0238500.1 pep chromosome:AGI1.1:4:24128004:24128960:1 gene:ORGLA04G0238500 transcript:ORGLA04G0238500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHGSRDKLQAAASSSHRRVLLLLFATCFALATFVTFVYNTSHFASASGSGAAADSSGGGASAGSGTGAVSALPLPVFDALVHFASISNATHRMSDTDIRAISAVLRARGPCNLLVFGLGAESPLWLALNHGGRTVFLEENEFYVKYLEPRHPGLEAYDVSYTTKVRDFRDLLDAARASRAAECRPIQNLLFSECRLAINDLPNDLYDVAWDIVLIDGPSGWNPTSPGRMPSIFTTAVLARTGATAAKGPTDVLVHDFQFELEQVLSKEFLCDENRVAGSGTPSLGHFVVRPDGRRDAFCSGQDSTAAGTSSEKSGK >ORGLA04G0238400.1 pep chromosome:AGI1.1:4:24123943:24126110:-1 gene:ORGLA04G0238400 transcript:ORGLA04G0238400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAALCFTVDGDARTFGHCTVATRIASGVGVMSQVQGVESNWSRIQTERGMKSDGLPTKHQLAAGAGELGSGAVAGCGRSGRRASPAAERRLWAEQPRAVAAVIVGSPPSSSPWARLHGAAVRRHRPGIAVAVHRWVEVPADDAPQSLALLLCRGSGSGGGGSVAGLIPWSSRTPSSRSCSRSVSTLDVSSASWTVVGAGAVTLVVFADFAVALDVVAHTHIRWWRSADAGGAAEGERGGGAAAGERDGGQSSGGRARRSGRQWMGRGAPPCSAATTAVPSRVADDARRGTTSGRRGRGRGRDCLLVAGRLPLPPKLAGRCSATALALRYTVRGLLALHCSARRRARTFRCSVATLALRYAA >ORGLA04G0238300.1 pep chromosome:AGI1.1:4:24119532:24122617:-1 gene:ORGLA04G0238300 transcript:ORGLA04G0238300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRLRLLLVVLVAAAYAAGDAASSHSQPTLPAVPPVQAVRPPPAAVGGGSHSQPSFPPRAPVRAVPPPAKLASTATPGALLAAFLAKADPSAHLRFPLAVSPCSHPAVSCSADGQITRLVLESSGLNGTFAPATLSRLVELRVLSLKSNALHGPIPDLSPLENLKALFLAGNRFSGPFPASVASLRRLRSIDLAGNRLSGALPPGIEVAFPHLTFLRLDANHFNGSLPAWNQSSLKLLNVSYNNFSGPVPVTPVMAQMGAAAFAGNPELCGEVLRRECRGSHLLFFHGPGNNGSAAPPVQSAAATGDGPQRDDISLPDSSTPRSRKLRRRAAIAVAATAGAFVAVLLLCAMIAMKRGKKRRRPSSAAYPSPKKSAAMSEVSRDNTDLGYVECVPDEETAAMMMPEEKARRLERSGCLTFCAGEGASYSLEQLMRASAEVLGRGSVGTTYKAVLDGRLVVIVKRLDAAKIGAAALEAEAFEQNMDAVGRLRHPNLVSLRAFFQAKEERLLVYDYQPNGSLYSLIHGSRSSRAKPLHWTSCLKIAEDIGQGLAYIHQASRLVHGNIKSSNVLLGSDFEACLTDNCLAFLLESSEVKDDAAYRAPENMKSNRRLTPKSDIYAFGILLLELISGKPPLQHSVLVATNLQTYVQSARDDEGVDVERLSMIVDIASACVRSSPESRPTAWQVLKMIQEVKEADTAGDNDSDLTSNS >ORGLA04G0238200.1 pep chromosome:AGI1.1:4:24117222:24117515:-1 gene:ORGLA04G0238200 transcript:ORGLA04G0238200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLVAIVAARGFLHLFHLSAPLLWPLNLWLPLPRHLPAACAALYGGVVFHAALLRRAYARRGGGGNVWSRSSRDGDGGGGEADELLRQALLSISY >ORGLA04G0238100.1 pep chromosome:AGI1.1:4:24111188:24111520:-1 gene:ORGLA04G0238100 transcript:ORGLA04G0238100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHVVLLAVPAAAAAGGGFLQAFLQYSFLVWPFNLVLPLARHLPRVCVALRGAAEFLAGEMRMFLSGRRRVQLPQLSGYGRSSSLSPGERRSREELVAYTMVALVGISY >ORGLA04G0238000.1 pep chromosome:AGI1.1:4:24104890:24105770:-1 gene:ORGLA04G0238000 transcript:ORGLA04G0238000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 4 [Source:Projected from Arabidopsis thaliana (AT1G46480) TAIR;Acc:AT1G46480] MRLHHLHVAYLDHKASSSSSSPAPPSISPSSIPGSAAFPAFSFKCLRPLAPKISLPEPRKMIAPPDFVVPRARNASKLLNYTVQVPAAGTTRWNPSAEQIKVLEMLYRGGMRTPNSVQIERITEELGKYGRIEGKNVFYWFQNHKARERQKQKRAALLTLSTLDPSLLPATANETKEAPEKKEKDVEDGLASCKRRCKAWGDGAGDGDAVVATEAAGGCTDEVTLELFPLHPQGKA >ORGLA04G0237900.1 pep chromosome:AGI1.1:4:24091877:24095445:1 gene:ORGLA04G0237900 transcript:ORGLA04G0237900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKTWLWRKKSSERTISTKNKANISEREQEKEKIARLERSLQCLNEQISFAQAECVEKDAILAKQAKVAEEAILGWEKAEGEAIAIKTQLDDTLDQKAAIEQRICHLDEALNVAMVERELLIKDTAKLISHEQVKVERLEGDVVEKINIIASLDAKNRKLSEMLSMKEKMISELTEAKGVIESNFKNLEVKLESADKLNSSLRYEVCMLQKQLDIRSEERKFNLKSADAAHKQHLENVKKITKLEAECQRLRSMVRKRLPGPAAIAKMRNEVETLGNNAVITRTRRFNSTTSFNSGNLVQNSYDASHESSSLLARLHAMEDENKTMKESLSSKDGELQYSRTMLARTTSKLSQVEAQLEELSRGRVATDLVKCSPTVVENPLSSISEDGCNEDNVSCSSSWASALISELEHFKKGKLTTPSCKSTGVSDLSFMDDFEEIEKLALACDAKPTESYDSRRESRESSGKELVTVDGPIETSDQLRQHKIEKAVLKLIELIEGVVQRLSKDYSSTVVLSGGSEGDCSNTLTGYVARAFLWKTSELTSVLQNFVLGCNELLYGSTDVERFVLEINITLDWIISHCFSLQDVSDMRETIIKNLEINSSSGLEVVPVIKHTGIQTTDGMCEPRTPNKMQMAIVSVSSLMDIGYKADDDSEIFRNKIPVSKCEESEGKASSLRAELNALKETGKMMAHGVDGESTINELGKPSNSDINKGNQHGVSSLESKPQLERFPAKEGPKCVSRNEDQHVQMQLEISTASEKLIECQETILNLGKQLKALASPKDAILFDKVVHTKIQSERKPRSQSLNEMLAMDDGGFDYLSSPKTKEIICAELRSRHERSCSVDNGGDDSVTCSSHPMPVAPPKRPYDVNGTCKDEAALKVVALMPSKQKGNTNLLKRILAGRRKEAMTKPNVVATA >ORGLA04G0237800.1 pep chromosome:AGI1.1:4:24081277:24083898:1 gene:ORGLA04G0237800 transcript:ORGLA04G0237800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPAAEAAPPPLSPSADDSGASSSSAAAVVEIPDDADDDSAAVVVVTRQFFPPAVPGGGGDPAPGNARAGWLRLAGAAPPVAATGPAASAAVSKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAGEFEPPAAATGDAAEHNLDLSLGSSAGSKRGNVDGGGDDEITGGGGGGAGSDQRVPMAFDLDWQTAAARSTKAKFDQNSNHPQMPPVLQVTHLPFSPRHHHQFLSNGDPGTAGGLSLTIGAGMAGHWPPQQQQGWGNAGGMSWPLPPHPPPPPTNAAAAATATAAAASSRFPPYIATQASTWLQKNGFHSLTRPT >ORGLA04G0237700.1 pep chromosome:AGI1.1:4:24063096:24063356:1 gene:ORGLA04G0237700 transcript:ORGLA04G0237700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVSEVRKGKKGGACTLGKTTVLDDDHHICVLCSGQTVAVRKEKGKKKKERKRSLVLMSSPTSCRCPVSNTCVFATVMRTPRLCR >ORGLA04G0237600.1 pep chromosome:AGI1.1:4:24054161:24054847:-1 gene:ORGLA04G0237600 transcript:ORGLA04G0237600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEYHRSSSEDSAASAAAAAAAAAAAMAPLAAAAAAVAAKEEQAAAAAVLPLQQQQPRRQYRGVRMRKWGKWVAEIREPHKRTRIWLGSYATPVAAARAYDTAVFYLRGRSARLNFPEEISSLASLSEGGGASEPREPDGGTLSAASIRKKAIEVGSRVDALQTGMMVAPTTHHRERQKHHHHPHLQPHGEEQHHHHEQKHQRTAWSGRAKNPDLNQAPSPENSDAE >ORGLA04G0237500.1 pep chromosome:AGI1.1:4:24047570:24051257:1 gene:ORGLA04G0237500 transcript:ORGLA04G0237500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKNSVEQLADVFGFDHASSSGNPVMDQQGYWNNILGSVESHNLQGYQVNRSDGTIPYGNGVHQNGTFLGFWESGEASASGSSLHFGGSNEIKAEQRNIGGGLRIGERRLVAERNLSLDNVDIGLNINGNDLSGENSNVNGASQGSELHGGCSHTGSNGQASELRLHPYRTFILGADQPEPFNSLNGSENPLGDFSLMPEGIDQRPGSSLDGRRLACKRKNIEGVNGQCSAGASTSFSHRNDSIFHNIASSSHNPSPSTNLPSPNCLLVPSTLDEQLPRYGATTAGLSSSSYDPSGGNNNSGGSQRSFRPRTSLAQHIGPYGVWPSSSTIRHSNSWNHHPPPFQSSFDEPPEVIPVVSSLNFQYQHPMNVVPGIPQMSHRFTGPGASSSRTGNLENRIIGSEEFSARNVVATSFPDAVPLAALDMRHLIPEPSSWNVDGRATTIPGNVPSSSRANTNSMVNPPAGSPFIAHQNLHRRNPRNLSEEISRLSGALRGHQHPRLRSGFLLERQGDGVWGVPLSTRSREGRRLIEIRNALEMIHRGENVRFESIFYGGVDIHDRHRDMRLDIDNMSYEELLALEERIGNVSTGLSEEEVTKLLKQRKFSSWRLEASVEEEPCCICQEEYVDGDDLGTLDCGHDFHVGCVRQWLVVKNTCPICKNTALKS >ORGLA04G0237400.1 pep chromosome:AGI1.1:4:24029811:24035839:1 gene:ORGLA04G0237400 transcript:ORGLA04G0237400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAAVVEIIDDDDDVAAASTPPALHKRSHAVAAAAPDSPDAFSPSPPDPKRRQLAASTIVLDDTPTPPKRRPPPVAADRSASVVADTPRSFVPCSLRNRAIAGDTPDSVLPSPSFHLDAPDSATPGSDVPCSVGLDDIVPETPGFNSPRLARPPAVPGLTSPMTARKFSGVSCPISLDSDDELDDTVYRESLTRTPSNMAKPEHAIQPCTTSCTDKVENAKSTDKKDYSKSNVGYQTNNSACKNNGTTSYNQPPRANSPCEDSTLKEADPSINNHCPQEENALPIEERKKKQQEEKRLKKEKKAREIEEKKQKRLETKKQKEAMKAELAELKKLEKEKKKWESGKLATKCIVAEIDSSVIESGSVGGHLVQGFHEKGLCFRVTSNSIKGSILWKMQIPNEFTQDQASTSQVPYILFVLQAEEFCDLVSGGTLLDHVQKVRRQYPEFTICYVTNKLMSFIKRREQNQYNKATSNSNSWKRPPVEEALCKLATHYARVRSRHCTDEAEVTEHIVGLTYSLANCKFRKPLTWLSVHANGSNISKGCVDKDRIKKSAWLKSLVAIPGVSPGQAIAIEKKYPSMRSLLNVYMDDSKSVHEKEHLLEDLRLEGPLGDFKRRLGPACSKKVYTILMAQNGAAEVEVDRRGA >ORGLA04G0237300.1 pep chromosome:AGI1.1:4:24024834:24025637:1 gene:ORGLA04G0237300 transcript:ORGLA04G0237300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDGMSRLAVGVSLMLALSLALFLTIAVLLLADLFCSHLRRRRMRAEEGEALSRRRAKLGLAGTSRAAAGDDDAASVATTATTTTAREALSSTPPFYYAHGVMQAAADTKDLLLAIPKLESAVWRWSPARRSSPSSSSSANSDRFVCISNPVYERGAAPPADVRGDTDTPFETPDASPSPFGITEEVEEEGGEFSPPLSVMRKLPPLGVVACPPPSMGFIDGRPSLGFTDGRPSLTAWSGTVTDTNRASSSSSNLTAHFFSSWSPK >ORGLA04G0237200.1 pep chromosome:AGI1.1:4:24018146:24022570:-1 gene:ORGLA04G0237200 transcript:ORGLA04G0237200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (ubiquitin-hydrolase) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G42160) TAIR;Acc:AT2G42160] MFSLLIQSVDFTDDAAAAAATAVAAGETSGTSHPLSPRSGDPLATTTSASVTAPLELPGATSASPARNPKINYTRGILHLYRSSSSSSTASYASAVAATPSSSSSGPAAPQLASDCLLPPWRGTRLLVLAVPTHVSPDDFVRFCGPYIEHASDIHVVRDDGVEDRYSVLVEFEDQKSADGFYLDLNGWRFSSSEVEVCHVLFIVAVQYTPSTKPAVIPPVGSTELPTCPVCIERLDQDISGIMATTCDHSFQCSCVSMWVNSSCPVCQFCQKQSKNPTCSVCQTSGNLWICIICGFVGCGRYEEGHAIRHWKETQHCYSLDLETQRVWDYVGDSYVHRLNHSKSDVKHSKFKSKCKYSGDKCANCSCNDEEDIGGAIFSSKAETIVDEYNRLLASQLETQREYYEARLSEAKKEKEQHISDAVDKAVNDKSKEIQQKIENAMLEKKKLADMNEKLTKNQDIWRRTLKEIEERERAQLKLKDDTIRDLEEQIKDFKFSIKLQKSIEKNKNADDLKGGLLVPLPMVPDSGTKGKRSSRTSKRRN >ORGLA04G0237100.1 pep chromosome:AGI1.1:4:24014184:24014790:-1 gene:ORGLA04G0237100 transcript:ORGLA04G0237100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSSIVRGSHVEGSMLCRPRHASSSSTGQIRFTPSLSLPLVYCPDCGEQIVRYVSRSKKNPNRVYYKCSNRTETNAPCDFWYWEECYQKYLIDYGLLNGDASREEIKGDSSEEDEVEDLGSKKKLIDVVLMMRSEVKQQTLYLKIAAFGVVVFGAVLLGMIAVVVAKGFL >ORGLA04G0237000.1 pep chromosome:AGI1.1:4:24004365:24004658:1 gene:ORGLA04G0237000 transcript:ORGLA04G0237000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGCIPNSGQFGTFSMDSYQGNSYLRNMPKGNICSPDSEAGDLPSEGRDSMADDPVLYAVSAASFVLAFWATVAFLFFHPLGWHAILATGNLVFWRGH >ORGLA04G0236900.1 pep chromosome:AGI1.1:4:23997292:24000099:1 gene:ORGLA04G0236900 transcript:ORGLA04G0236900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSFPWGFFCFFLVVLCLPDSNISTPSHGCFVEERTALMDIGSSLTRSNGTAPRSWGRGDDCCLWEHVKCSNITGRVSHLYFSNLYASDEVLDAHGHSFWRFDTTVFSSFPELQFLDLSMNNATFQSWDVFESFRNLRELDLSSNRLNGSIPSSLFSLPRLEHLSLSQNLFEGSIPVTPSSNITSALKTFNFSMNNLSGEFSFFWLRNLTKLQKIDVSGNANLVVAVNFPSWSPSFQLKVLVLSGCNLDKNIVREPIFLRTQHQLEVLDLSNNSLSGSMPNWLFTEQTTLVYLNLGNNSLTGSLGPIWYPQMNLQAISLPMNRISGHLPANISSVFPNMSFLDVSSNTISGEIPSSLCNITRMEYLDLSNNSLSGELPNCLLTEYPILTTLKVSNNKLGGPIFGGTNHLSIKHALYLDGNKFEGTLPRYLTADFDAHGTLDLHDNNLSGKLNFSQWNLSTLCTLSLAGNSLIGEIHPSICNLTRIMLLDLSHNNLSGAIPNCMTALELDFFIVSHNSLSGHIVPFSFFNSSTVMALDLSHNQFNGNIEWVQYLGEIKYLSLGSNKFEGQISPSLCQLQSLRILDFSHNSLSGPLPSCIGNLSFGQNPVGIPLWSLICENHFRYPIFDYIGCYEDRGFSFRTKGNIYIYKHNFINWMSGIDLSANMLSGQIPRELGNLGHIKALNLSHNFFDGPIPATFANMSSIESLDLSHNKLSGAIPWQLTRLSSLSVFSVMYNNLSGCIPDSGQFGSFDMDSYQGNNLLHPASEGSECAPSSGHSLPDDGGEKANDPILYAVTAASFVVTFWITFTFTSFRM >ORGLA04G0236800.1 pep chromosome:AGI1.1:4:23990477:23993950:1 gene:ORGLA04G0236800 transcript:ORGLA04G0236800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEDGVYFRMRFFFFFFFLVALCLLDSNISTSHGCFVEERTALMDIGSSLTRSNGTVPPSWGRGDDDDDCCLWERVKCSNITGRVSHLYFSNLYDSLEVLNAHGDSFWRFNTTIFSSFPELQFLDLSSIYPSSLNIDGLVGLKLPKLQYLNLSYNWLQESILADLGELVSLEVLDASSNAMSGVVPTAVLKNLTNLKELNLSANGFSGSLPGSLLELPHLDPSGSSLAGRTPINSSLEPVSLQVLNLNNNRMSGALPTERAFGYLRNLXELHLSSNNFTGNISTFLLSLPHIERLDLSGNTFEGPIPISPSSNLSLSLKGLRFSQNNLSGKLSFFWLRNLTKLEEINLSGNINLAVDVNIPGWVPPFQLKQLALSGCGLDKGIIAEPQFLRTQHHLKELDLSNNNLSGRMPNWLFTKEATLVNLNLGNNSLTGSLSPIWHPQTALQSIVISTNRIAGKLPANFSAIFPSLSTLDLSDNNFYGEIPMSLCSIKHMKDLSLXNNNFSGEMPTCVFTDFPELWTLSASNNQLGGLVFGGMKKLSIGFAMHLQNNKFEGTLPRNLSGALVIMDLHDNSLSGELDTSFWNLSKLQVLDLSGNHITGSIPQKICSLASIEILDLSNNKLSGSIPRCASASLSSLNLYGNSLSGNISDDLFNTSNLMCLDMRHNKLTGNLNWLRHLDKIKTLSLGWNDFEGQITPNLCKLKCPRIIDFSHNKLSGSLPPCVGNISCESDTAAQNYSPLLLIYVIIEAYIIVHDPIDFTFATKGGQYTYAYNFFDLMSGIDLSGNMLSGEIPWELGNLSHIKSLNLSNNFFTGQIPASFANMSEIESLDLSHNELSGLIPWQLTKLSSLAVFSVAYNNLSGCIPNSGQFGTFGMDSYQGNSNLRSMSKETYALQIQEQMTCHRKEETVWQMIQCSMQSVLPHSSWRFGAPLLSCFSIHSDGVQLSRQGIWCFGVVINDLKFIFLSSISDCEVSSLQLPTA >ORGLA04G0236700.1 pep chromosome:AGI1.1:4:23983179:23986722:-1 gene:ORGLA04G0236700 transcript:ORGLA04G0236700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80460) TAIR;Acc:AT1G80460] MAEEVYVASIDQGTTSTRFIVYDRHAKPVASHQLEFKQHYPEAGWVEHDPMEIMQSVKICMAKALDKAAADGHNMDVGLKAIGITNQRETTVMWSKSTGLPLYNAIVWMDARTSPICRRLESDLSGGRTHFVETCGLPISTYFSALKVLWLIENVDAVKNAVRAGDALFGTIDTWLIWNLTGGIGGTDRDGNKVFGHHVTDCSNASRTMLMNLKALDWDKPTLETLGIPAEILPKIISNSERIGVVANGFPLAGVPIAGCLGDQHAAMLGQLCQKGEAKSTYGTGAFILLNTGEEPTQSSHGLLSTIAYKLGPSAPTNYALEGSIAIAGAAVQWLRDSLGIISTAADIEKLADTVQDSGGIYFVPAFNGLFAPWWRDDARGICIGITRFTNKGHIARAVLESMCFQVNDVLSSMHKDAGEAGEVKSAEGEFLLRVDGGATVNNLLMQIQADLLGSPVVRPADIETTALGAAYAAGLAVGVWSKEQIFAGLHKENTRVFRPKLDEAHRRKRADSWYKAVSRSFDLADLSL >ORGLA04G0236600.1 pep chromosome:AGI1.1:4:23979359:23980212:-1 gene:ORGLA04G0236600 transcript:ORGLA04G0236600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEARLCEEKGKRRRCARRCSGHHRRQEKDEAAVLVKREHPGRAVAADAARHEHFDRMAASGSAAAAYHLWSAFDSMTRWKDPLDGLKLYSGNEHYWSGRFDGSTTATVEYMTGRGGEXANVGHSGGDGVVKAEWWSSLVTVTRWWRSERNTARKGILVIRV >ORGLA04G0236500.1 pep chromosome:AGI1.1:4:23975711:23977318:-1 gene:ORGLA04G0236500 transcript:ORGLA04G0236500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSITREIDLYWSAVESDEGNKLCSIHKVPQHILEVDRNAYEPIILSIGPYHHGAPKLTAMEREKWKCLDFILKLNCELSLQDYIRAIHKLEKQARCYYSEEIPMEKMMFVRLLLLDSCFILVKVDRTVVAAMQLKEVPTDVTPGSIAVKDGLNSGQEVESNCPMQRRETEIAVHEIELTKSHFDHIESKIYLGQRYVGSNQKENEESRLLTRQKDCFQDEQLPIRWRQAVQYHEAGVQLKKRVYSIYEKHSLLDIKFSNGVLEVPCLTIDENTESLFKNLIAFEQMDSQYENYITAYIAFMSQLVSTSEDATLLTERGIIVHMLDNDDEVSAMFTRLSTHLIFGSDTYHYLQTLSYVLEDHYQSRLNRWMAWLWRNHFSNPWLALGVLAAVVVLLCTIVQTIFTVLAYRQPH >ORGLA04G0236400.1 pep chromosome:AGI1.1:4:23974159:23974557:-1 gene:ORGLA04G0236400 transcript:ORGLA04G0236400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKAAFAVAMFLLTTNMLVSVATRSPDNLLNSFPEETGHVYSASTVQKMTEYKPTTAQGPGFSSEEKIVMTGPNNFVWPTRPAPCSSRTC >ORGLA04G0236300.1 pep chromosome:AGI1.1:4:23964770:23965796:-1 gene:ORGLA04G0236300 transcript:ORGLA04G0236300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQELDYYWSLGTDIDHGTESCLIYKVQQHIRDIDRFSYEPCIVSVGPYHHGSADLQSMEKVKWGYVDVIVKLNYDLAKYVEAALRWYPKAITDSDRPKDFHHLLHLCHIYFQPSQKLEEDHNYKFVPQYIHSFLSFGRKYFRINYYLENNRHDSSFQNEVDLAQGGDQLNRWRRAAQYLEAGIKFMIN >ORGLA04G0236200.1 pep chromosome:AGI1.1:4:23957437:23960648:-1 gene:ORGLA04G0236200 transcript:ORGLA04G0236200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 22 [Source:Projected from Arabidopsis thaliana (AT5G10790) TAIR;Acc:AT5G10790] MTTPSAPPPCPHLAAYRHTTRSLRFLRRCLRVRPLGRPEIRREPRELPRCSPCSSPTSSSSRLYACLSCAAVFCPTHAASHASASTSGGHQIAVDVDRAELFCGACGDQVYDPDFDHAVVLAQSSSLPPPSASASPSPSPAAPRKRRRVEYRAWAPDPAEFALISSADPTTSASAAAPAGLRGLNNLGNTCFMNSVLQALLHAPPLRNYFLGDRHNRYLCPRQTPMRRRSAEANDKAACLACDLDEIYSAAFSGERTPYSPAKFLYSWWQHASNLASYEQQDAHEFFISILDHIHENIKDDQHKSHAQGHGDCCIAHRVFSGILRSDVTCTHCGFTSTTFEPCMDISLDLDAGYNNSLGVANPKVHVRNGERSSGGTNTKVSTLMRCLERFTRAERLDAEQKFFCERCKERQESLKQMSIRRLPLVSCFHIKRFEHSSVKKMSRKVDHCLQFPFSLDMAPYLSSSILRSRFGNRIFPSEASDADSVSEFSSEFEIFAVITHSGKLEAGHYVTYLRLNNHWYKCDDAWVTRVEEHTVRTSQAYMLFYVQKTLYYKACERATAV >ORGLA04G0236100.1 pep chromosome:AGI1.1:4:23948534:23949097:1 gene:ORGLA04G0236100 transcript:ORGLA04G0236100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILQLQRSICITPEQWTGEGVSFIYGVWKRGRSRINCYLKKWNGSDYPLASALTLLTTPTLCLLRFLIHVLMSISICMILILQSIYPLVLPIELLSMFFPFREMVAVPNAELAAVPNDQCLWGLPSLQLSPVSPMCWALNTFQDPVLVGRRFFTFVKVVLRWNQTTLLGFRIQRAKLKRISSPLL >ORGLA04G0236000.1 pep chromosome:AGI1.1:4:23946825:23947184:1 gene:ORGLA04G0236000 transcript:ORGLA04G0236000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKGTLKAKAKKDHINYSPNCRISHRIQMEDRKRRQFKPNGQTLRIQDRKTYSHKRITREQKQLHILLACLSDLLSNYHGSTTEDWLLRPSTRSWAIPDRQADGMDSLYMDGRNASTAEP >ORGLA04G0235900.1 pep chromosome:AGI1.1:4:23925425:23927887:1 gene:ORGLA04G0235900 transcript:ORGLA04G0235900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKGVGRRGKARRRRPPADVAPNDRERSRHGLAHVRGVAWVPTTTAMSSSPARVKAGASASSRWPSSYPGLPPRHDGQLLSPKLIVAPIERLKSRCVQVYYLASRKELATKRELFDSPFTRLPRLSLDRTSRNPKRKELNWLARKEWIATLGELMVLD >ORGLA04G0235800.1 pep chromosome:AGI1.1:4:23924323:23925113:-1 gene:ORGLA04G0235800 transcript:ORGLA04G0235800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPQSVPSPRPPPSTPVSSPAAGADLHTASRGGAGVLLLPPHSLTGEHPNPSHPASASNFVSSPAACADPHPASRGGARRPPSSTASSLAGYKLKPLNVLCLPVPKTL >ORGLA04G0235700.1 pep chromosome:AGI1.1:4:23920942:23922387:-1 gene:ORGLA04G0235700 transcript:ORGLA04G0235700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPGGWELIEPTIRELDAKMREAENDTHDGKRKCEALWPIFRISHQRSRYIYDLYYRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >ORGLA04G0235600.1 pep chromosome:AGI1.1:4:23916498:23917563:1 gene:ORGLA04G0235600 transcript:ORGLA04G0235600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLPPCSLSLCLRAWIQRSATAVAPRPLLTGVAAACAEAIASPARRGRGRYSSGLLLAPVLRLSPLPLLDEAEGAALRVVSLPRLAGDEAKVALLPPWAAAKGEKEVARCSCTGGGLMXCLGMEVAVGLATTPGDRSAATRSNDKDVAEDADRATTTPMKGRSPTSSPSLTRQRVPHLGM >ORGLA04G0235500.1 pep chromosome:AGI1.1:4:23909314:23912447:-1 gene:ORGLA04G0235500 transcript:ORGLA04G0235500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA-like transporter family [Source:Projected from Arabidopsis thaliana (AT4G32140) TAIR;Acc:AT4G32140] MGSNLKYRAGLVLIVAVVLIWVTSAEVTQGIFTKYKQPFAITYLGASLMIIYIPLSFLKDFICNLLRRSSSSSRVSKVTNKSSFGGCAPLKNGEFQKMLEMESQKTIVINYTDVDIPVIEETKPLICGITEFDDVLKEQELSTKEIAMYGLYLCPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSINAAKVIAVFISMAGVVMTTMGQTWASDESEISNSGATQRTLLGDMFGLLSAMSYGLFTVLLKKFAGEEGEKVDVQKLFGYLGLFSLVLLWWLVWPLTALGIEPKFTIPHSAKVDEVVLANGLIGSVLSDYFWALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRRYSAVYIFGSVQVFSGFVIANLADRFSRFLGL >ORGLA04G0235400.1 pep chromosome:AGI1.1:4:23908130:23908876:1 gene:ORGLA04G0235400 transcript:ORGLA04G0235400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMEVVATEIMDAALHVAVAGRTLAVAERDGTHDPATGHALTGSWLWDSSLVLASHLASCIHHHHLRGATVLELGAGTGLPGIAAVACLGAARCVLTDVRPLLPGLRANADANGLTAEQADVRELRWGGHLEPEVQVDVVLMSDVFYDPDDMPAMADTLHGLWRDGDSDSDGGGTVGWAASEARDGVQDCIDVLREQGFEVVEVDRVTRPLLRDPEQAADFAVYRLFRRTTSPSIVSNPTPITTAGC >ORGLA04G0235300.1 pep chromosome:AGI1.1:4:23904714:23905322:-1 gene:ORGLA04G0235300 transcript:ORGLA04G0235300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASHAPRSVVVSSSSPAAAIATAGCVVDTNTTFVQADPATFRALVQKLTGAPGSGGSKPAPAAPVMRRPKLQERRRAAPARLELARPQPLYYSHHHHRLMHSPVSPMDYAYVMASSSSSSSSSLPSSSSSLSPSPPASSSSCGVVVITKEEEEREEKAIASKGFYLHSSPRSGGAGDGERPKLLPLFPVHSPRSSSFARS >ORGLA04G0235200.1 pep chromosome:AGI1.1:4:23887456:23900524:1 gene:ORGLA04G0235200 transcript:ORGLA04G0235200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G15290) TAIR;Acc:AT1G15290] MAPKGAGRGKGRGGGGGGKGDKRKKEEKVVPSAIDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNVETCHLTNYSLSHVTRGQRLEDGVEIVSLKPCSLTIVEEEYATEAAAAAQVRRLLDIVACTTAFANKPRDGAKHKSSKHARPATPPSPPALAASPDAHGAGAAQAPPISEAHDMAAIRPPPRLGEFYDFLSFAHLTPPVHFIRRKESNGASQEGDYFEIEVKVCNGKLLHIVASVKGFYSAGKPHTVSHSLVDLLQQLSSAFANAYDALMKAFLDHNKFGNLPYGFRANTWLIPPIYLDSATKCPALPVEDENWGGNGGGNGRDGKYDRRRWAKEFSTLARMPCKTEEGRVIRDRKAFLLHNLFVDTAIFRAASTIQRLIDLSGNSTSQQAGPDGSLAIEERVGDLLITVKRDQADASLKLEDKVDGVALYQTGSMDISQRNLLKGLTSDESVVVKDTSTLGVVIVKHCGYTATVKVSGRTKDGNGGKQTSDICDHLDGISNVDVDDLPDGGSNALNINSLRISLPKIVNSDIASSQCPTPQSHVDNHARKLVRKILEDSLMKLENMPANNPRTIRWELGSSWLQNLQKKDSPASEDKKNAGHVEKETTIKGLGKHFEQLKKIKKKECHVEGAMSEKEDSDSNCSVINGMEESENTKETDISKLMSEDDFCRLKDLGAGLHQKSLEELTMMAHKFYDDTALPKLVADFASLELSPVDGRTMTDFMHTRGLNMCSLGRVVELAEKLPHIQSICIHEMVIRSFKHIVRAVIAAVDDMQNMSAAISETLNILLGCPRLESDTETDAHSEHNLRFRWVERFLSKRYNWKLKDEFAHLRKFIILRGLCSKVGLELVARDYDMNSPNPFDKSDIVNIIPVCKHVVYSSIDGRNLLESSKMALDKGKLDDAVNFGTKALSKIVAVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGIPKPDSSIASKGHLSVSDLLDYISPDQERKERDTQRKGRRAKNNIRAHQGELVEEKESFEHDIGSPREANKEEFQQVKSKAHPPAISEENYAIHDELKQVDPLSPEEYSDEGWQAANLRGRSANVRKKSSRRRPALTKLMVDRLEDGRTGSAYRAGVQQHMKGDKEDVINSSSQLSFGSFLKTDKVNGNSSNIEDKVFNAISKPERGIKLSGINRPATIASKLVSYKDVAVSPPGTVLKPILEQKEAKEKDNAQNTDLIVSSEEEDKKLTDEDEEKEKPSHDSSKEVLSSEPEEIGNDEKAPDSNSDESPTESKKKGGSKLSASAPPFNPGSLLSMSHPYSTVAIYDASVVLQPIPSQPMEILPHAIDTRVPRGPRSTLYYRTGHTFQRKQGYAHSQSTILRGSNSPPTMNPHAAEFVPGKTSQQPDVANREPSADVSVTDSADQLLAPQTSDEVKAGMPAAEQAIQGESTSPCKGKENRAKDALRNSCKTELARQILFSFIVKSVHDSLGSTGAESDRKPSGPDESGNAQSSNNINKSPSGRKELDKQQKATVVPKSEKDTEGFTVVSKRRRSKQHFVHPIHGLYSQQSICTSVS >ORGLA04G0235100.1 pep chromosome:AGI1.1:4:23878883:23883225:1 gene:ORGLA04G0235100 transcript:ORGLA04G0235100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVGLVLGIAAGVALIVGFARAENSRAARRRQLAATIASFSKMTIEDSRKLLPADLYPSWVVFSTQQKLKWLNQELIKIWPFVNAAASELIKTSVEPVLEQYRPIILASLKFSKLTLGTVAPQFTGVSILENDESGIVMELEMNWDANPSIILDVKTRLGVSLPIQVKDIGFTGVFRLIFKPLVDQLPCFGAVCFSLRKKKKLDFRLKVIGGEISAIPGISDALEDTIKNAIEDSITWPVRKVIPIIPGDYSDLELKPVGTLEVKLVQARDLTNKDLIGKSDPFAIVYVRPLPDKMKRSKTINNDLNPIWNEHFEFIVEDADTQTVTVKIYDDDGIQESELIGCAQVTLKDLQPGKVKDVWLKLVKDLEIQRDRKDRGQVHLELLYCPFDMKEETPNPFRQQFSMTSLERTMTSMENGSGSNGFNRLSSRKKKEIIMRGVLSVTVISGEDLPAMDMNGKSDPYVVLSLKKSKTKYKTRVVSESLNPVWNQTFDFVVEDGLHDMLMLEVYDHDTFSRDYMGRCILTLTKVLIEEDYKDSFKLEGAKSGKLNLHLKWSPQPIFRDSREEDSLRFR >ORGLA04G0235000.1 pep chromosome:AGI1.1:4:23872114:23877186:1 gene:ORGLA04G0235000 transcript:ORGLA04G0235000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:I1PQ81] MAPRDQSCGDGGEVDPEGGIEAPLLSSGSSFFQDPAHEDGDGDEEARRRRRRFLLAGRSQSNTTSQVALVGVGVCPIESLDYELIENEVFKQDWRARGRGHILRYVALKWALCFLVGVLSAAAGFVANLGVENVAGAKFVVTSNLMLAGRYGTAFAVFLVSNFALTMLATVLTVYVAPAAAGSGIPEVKAYLNGVDAPDIFSLKTLVVKIVGCIAAVSSSLHVGKAGPLVHTGACIASILGQGGSSKYHLTFKWLRYFKNDRDRRDLVTCGAGAGIAAAFRAPVGGVLFALEAVSSWWRSALLWRAFFTTAMVAVVLRALIDFCKSDKCGLFGKGGLIMFDVTSDYITYHLVDLPPVITLGVLGGVLGSLHNFFLDKVLRLYNFINEKGQKYKLLLAAVVTICTSCCLFGLPWIASCKPCPSDTEEACPSIGRSGNFKKYQCAMNEYNDLASLFFNTNDDTIRNLYSAGTDDEFHISSILVFFFTSYFLGIFSYGLALPSGLFVPVILTGATYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVVILELTNNLLMLPLVMLVLLISKTVADAFNANIYDLLVKLKGFPYLEGHVEPYMRQLSVSDVVTGPLQAFNGIEKVGHIVHVLRTTGHNGFPVVDEPPFSDSPVLFGLVLRAHLLVLLRKKDFIPNCSASALDASKQFLPHDFAKPGSGKHDRIEEIEFSAEELEMFVDLHPFTNTSPYTVVETMSLAKAHVLFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHILGLHPFLFKTRWKKVRFGKSAFTNLVF >ORGLA04G0234900.1 pep chromosome:AGI1.1:4:23869033:23871086:1 gene:ORGLA04G0234900 transcript:ORGLA04G0234900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit epsilon [Source:UniProtKB/TrEMBL;Acc:I1PQ80] MAGAASPDHLFGLRNSFYVGAYQAVITSVQAIPARAALSPDALAERDSLLYRSYIAIGSHQLVIDEIGPGAATPLQAVRLLAVYLSGGAGGKESAIRKLNELLADDAVGSNPILRLVAGTVLMHERDYAGALKHTNSGGTMELLAMNVQICLQMHRSDHAEKQLRIMQQLDEDHTLTQLANAWVDLVMGGSKIQEAHLIFQDLSEKYPATCLILNGKALCLMHMGNFEDAEGLLLESLNKDAKDAETLANLVVCSLNLGKSASRYLNQLKLAHPDHMLVKRMSSAEDSFDRACQAIS >ORGLA04G0234800.1 pep chromosome:AGI1.1:4:23859167:23863521:-1 gene:ORGLA04G0234800 transcript:ORGLA04G0234800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQSNSPDMIFQVYTPRKRKFLNSVHYSESNRAVSHGSGLVTSAKDSHQGEESFLNGPAGLSSILSNSQGFAPQDILSGTTELSGIRCTTSSCKPNETILHTISTNNGTSNCQDTTQSFSLLMECTNHTTPSKPMHPHITFQLMHTKIESSNSCAKYADSTDQSQTAVAHKLLFSCEKGDQSIVAMVHPSQISLEKIQQKQGISSYEAKHDVQGSTKADDSSSILSPHSLDDEIMSVVGLLSPSAYESLCDGTIQLSSTVKVSVIAFFKSGEKNHDITWPKIIEIKGRVKLDAFEKFVQELRRCQTRSLLVISLCWKFGTSKAGLLGMKEVAENFEASQKVGFADVCDGSNLYVCPRSDAVITILAKCGFFKGMSAADTNQDSLIGCIVWRRNPLSKIVDHSRSETIGKGSPCVPHVGARHPTSLPFSDGHQATSCSNNPGMDSRTNTGNIQNDIDQMQEIQSNSVKTHPVATGLLSQCGHAKSTGERDPTEKVVPHSLFKLASKLTPQPPFKAPSLIGCQLSQVSPFELASHEVPEIQQHVQSIIDSHSGSFGVWHPTSHSQGLSLKSLHVQQRTTHYDLAAQKNHMAEAFSGSITQEYASNAVESSKQQETNPFLLQPDTYGAHRANDIQVFPPITQKSYTPLEVEDISSGHDPNMPSGCLNIPRDQGSFPRHPPPAQGDQRLAARHPPVLADQGYVPRLPPPLLEDQGLAPRCPPPALAGQGFVPRHPPPVLPNQGFFPRRCPPFAGQDLYPWRPPFHANQGPFPRHAPPHPCPPFTPGHVRPPSNFAPPGPWRPVLHPGQEHHHHHYGHPGRSNGPSGSGNKPGYHNPSYGNGPGGCRPPHQAQG >ORGLA04G0234700.1 pep chromosome:AGI1.1:4:23853683:23858707:-1 gene:ORGLA04G0234700 transcript:ORGLA04G0234700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G52510) TAIR;Acc:AT1G52510] MSCCPCTTTTSSPLSLPRPACRGRWSGGGGSSANAAAWRARGGGAKRSLVVAASGSKDETAEGKKQEEESEFNPFGFVTDNPSSRGAIQLPESPAQDGNVGQMLYRIEDKGREFGSRVKSGKLRWFVRETGSAGARRGTVVFIHGAPSQSFSYRMVMSQMADAGYHCFAPDWIGFGFSDMPQPGYGFDYTEEEFHKSLNELLSTLNITEPFFLVVQGFLVGSYGLTWALKNSSKVLKVAILNSPLTVSSPVPGLFNQLRLPLFGEFTCQNAVLAERFIEAGSPYVLKSEKADVYRLPYLSSGAPGFALLEAARKANFRDVLSRISAGFASNSWEKPILLAWGISDKYLPLPIAEEFQKGNPAAIKLEPIEGAGHMPQEDWPEKVVTALRSFL >ORGLA04G0234600.1 pep chromosome:AGI1.1:4:23848744:23849013:-1 gene:ORGLA04G0234600 transcript:ORGLA04G0234600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNPFCPWDAVKFGACAGVLGVVGVQAGAHLGSKCCALVDGLAAAEAAACFCTTIKESVLGIPTEWTVGVSVLVSTCKTELPDGFKCV >ORGLA04G0234500.1 pep chromosome:AGI1.1:4:23844153:23845025:-1 gene:ORGLA04G0234500 transcript:ORGLA04G0234500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKVSAMLLLAMLALSSSPLALACGSCGSGGSGSAPSGGGGSAPSGGGGGIGGVVGGIVGGVAPVVGGIVGKVPPVVGGVVGGVAPVVGGIVGKVPPVVGGVVGGVAPVVGGIVGKVPPVVGGVVGGVAPVVGGVVGGVAPVVGGVAPVVGGVVGGIAPVVGGIIGGGSGGGGYSPSPSPPKRHGGRKACPPSPTPTPSTPTPSSSDTCPIDALKLGVCVDLLGNEVHIGDAHVTCCPLVKDIAGLSAAACLCTAIKAKVLDISIYIPIALKLLVNCGCDVPPGYTCA >ORGLA04G0234400.1 pep chromosome:AGI1.1:4:23839736:23843528:1 gene:ORGLA04G0234400 transcript:ORGLA04G0234400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-associated (UBA)/TS-N domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G12550) TAIR;Acc:AT2G12550] MASDEASPAAAAERIRVVGAWAGSLEVELGGWTVPMLRAEVARRAGGVEPDRINLIFGGRVLKDDPPASLQQAGLKGNAKVLSTLLAPDRGKAIAAQAAAAAAEEEHNNRLVRLWNAAEALCQRHTDGSFHEEDFNLDLEDQSGQKVMFGSVDDLKAVKMALMLHQKAKTFIKRDMHKEALDVLAMAEEAFSLCDPKLIERVDNVPMLQLDIVWCYFVLRDVSRLEVAGTRLERARSGFERSHGKDSARFRLLQAGRHADLAIYVRLELLEGVVAYHNGNTVKARESLTSAQAKYMQLQVPDEAISILMSMGYGARAAKRALKMTGYDIQSSVDLLCEEREKKIRRVQEDMEMQKEIMEQKKYGKTPMNKAVNMQKLKGLVAIGFEKKLAAEALRINENDADKALDLLTDPEQNCILQHKIMSKAKRLSRGSGSGSSSSRAAAATTASGVNNSQTPVDTSANVPDGSAMEESHVLPVINEEAANNVEAVNDDETVNHEEEDMSEESAEGEEEANPPPVRDVAMENELAHEMTGDALDDYDIDVSNEGQAISEYLSLLDSAA >ORGLA04G0234300.1 pep chromosome:AGI1.1:4:23832713:23833686:-1 gene:ORGLA04G0234300 transcript:ORGLA04G0234300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLRFPAGGATSVPAAMPHRVPMATAPSRLPATVAMALISTPSAAIRLTPINSATHFDVLRLRARRTDGEDDVSALPEIDGAASALPCTASTASPRSCVPGFPNTQSAFPNTRLSLLGWILKLVQERFSGDPSLLSSLLVLLIGGWQTAYFKEAVERRIKGSMRIPPCILTIFTFCAAVATLLYKAVKASEELDGIISHLIKKFEKLLESHDVKTQSEKLPEPREAEAKSQAKEVKTQDKS >ORGLA04G0234200.1 pep chromosome:AGI1.1:4:23829329:23831959:1 gene:ORGLA04G0234200 transcript:ORGLA04G0234200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATIGMMDSAYFVGRGEILSWINATLQLSLAKVEEAASGAVQCQLMDMVHPGVVPMHKVNFDAKTEYDMIQNYKILQDVFNKLRLSKNIEVNKLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRSKGCKERSLKGSNKSSKSLQANRLSSANSADGGPCVGKVNVISEEHYIEQIQQLSEKIAGLKISMDSAEKERDFYFSKLRDIEILCQRPELEHLPMTKAVQKILYAADARDSPLPEANEIITRSPSMFPDEA >ORGLA04G0234100.1 pep chromosome:AGI1.1:4:23816808:23822693:-1 gene:ORGLA04G0234100 transcript:ORGLA04G0234100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAAAAAAAAGDGKVEKAANGGVNGCDAAGEGKKRADQAVAFHELFTFADKWDLVLMAAGSLGALAHGAAMPLFFLLFGDLINGFGKNQTDLRTMTDEVSKYALYFVYLGLVVCASSYAEIACWMYTGERQVIALRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFIHYIATFLAGLVVGFVAAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSKSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKIAGYKLLEVIRQKPSIVHDHKDGKLLAEVHGNIEFKDVTFSYPSRPDVMIFRDFSLFFPAAKTVAVVGGSGSGKSTVVALIERFYDPNEGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTIHENILYGKPDATMAEVEAAATASNAHSFISTLPNGYNTMVGERGIQLSGGQKQRIAIVRAMLKNPKILLLDEATSALDAGSENIVQEALDRLMTGRTTVVVAHRLSTIRNVNMIAVIQQGQVVETGTHDELLAKGSSGAYASLIRFQEMAQNRDLGGASTRRSRSMHLTSSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNADNDRKYPAPRGYFFKLLKLNAPEWPYAVLGAVGSVLSGFIGPTFAIVMGEMLDVFYYRDPNEMEKKTKLYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLSAILRNEVGWFDEEENNSSLVAARLAVDAADVKSAIAERISVILQNMTSLMTSFIVGFIIEWRVALLILATFPLLVLANFAQQLSMKGFAGDTAKAHAKSSMVAGEGVSNIRTVAAFNAQNKILSLFSYELRIPEQQILRRSQTSGLLFGLSQLCLYSSEALILWYGSHLVRSHGSTFSKVIKVFVVLVVTANSVAETVSLAPEIVRGGESIRSIFGILNRATRIEPDDPESERVTNVRGDIELRHVDFAYPARPDIQIFKDFNLKIQAGRSQALVGASGSGKSTVIALIERFYDPTGGKVTIDGKDIRRLNLKALRLKIGLVQQEPVLFAASILENIAYGKDGATEEEVIQAAKTANVHGFVSQLPNGYKTAVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMKGRTTVLVAHRLSTIRGVDRIAVVQDGRIVEHGSHSDLVSRPEGAYSRLLQLQHHA >ORGLA04G0234000.1 pep chromosome:AGI1.1:4:23799636:23800050:-1 gene:ORGLA04G0234000 transcript:ORGLA04G0234000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRSRSRRAGSSVPSSSSSSRTSISEDQITELLSKLQALLPESQARNGAHRGSAARVLQETCSYIRSLHQEVDNLSETLAQLLASPDVTSDQAAVIRSLLM >ORGLA04G0233900.1 pep chromosome:AGI1.1:4:23784963:23787031:-1 gene:ORGLA04G0233900 transcript:ORGLA04G0233900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRIMSGTGYTVEVTNLSSRASESDLHEFFSFSGAIEHIELIRSGEYGSTAYVTFKEPYSLETAVLLSGATIVDQPVCIARWGQPNEPYNFWDTPNWYTEEEIEYRTYQTCQFNSTPQEALTIAQDVVKTMLARGYVLSKDALARARAFDESHQVTATAAAKAAELSKRIGLTDRVSGLTDRVSAGVGAIRSVDETYHVSETTKTVATATGRTAVKVVNGIMTSSYFSAGAMMLSDALHRAAQAAADLAAHGRHN >ORGLA04G0233800.1 pep chromosome:AGI1.1:4:23782980:23784311:1 gene:ORGLA04G0233800 transcript:ORGLA04G0233800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTNSTEARREMVLANAGRRATRSFSLPTVDRQRLRWKAVSMLSSLGISQGRRSGAFKYATTSVEGMMKSENDHAGQALLHVQEAAAKRAVIKPCTPTLTPPNEPEVINAWELMAGLEDDPPTPPCASHEPPAVTPQWMQADTDIPIVALDFDPEILSGFREALADTSPSEPTSCSVTEEEEQPAQPEKHADACDAPTSLATGDMPEKRADACDAPISLATGDMPEKRADACDKTISLATGDMPELSGIVRARINAFQEKIERRSSKGARDAKVAHLRPPGGDKKAVVYFTSLRGVRKTFVDCCSVRSILRSYGVRLDERDVSMHAVFRAELAELLGPGSFACAALPRVFVDGRYLGGAEDVHALHEAAELARMLEGCEAAPVRKLGYMEACAACGDVRFVPCETCYGSCKIFVDDDVDAGEFRRCPDCNENGLIRCPVCCC >ORGLA04G0233700.1 pep chromosome:AGI1.1:4:23776365:23779377:1 gene:ORGLA04G0233700 transcript:ORGLA04G0233700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNAAIGSIIAAVGVVAVVCAIAAVTSSKKDRNGELTANVRLSTVCSVTRYPGRCEQSLGPVVNDTIDPESVLRAALQVALEEVTSAFNHSMDVGKDDDAKITKSAIEMCKKLLDDAIEDLRGMASLKPEEVTKHVNDLRCWLSSVMTYIYTCADGFDKPELKEAMDKLLQNSTELSSNALAIITSLGELMPAAKSNGSTGAHRRLLGLQGSEAAEGVSLRELLAVHDKVQELTDVKDVSRHLLSETLDAITEMSHDAGRRLLGVTLSEATDDSDDSGLPGRRLLSMSFHDADHGVHGGDHRRLSMSFHDADHEVHGGEHRRLSMSLNDADPEEQGGEHRRLSMSLNDADPEVQGGEHRRLSISLNDADPEVHGDEHRRLSMSLNDADTEVHGGEHRQLSMSLNDADTEVHGDEHRRLSMSLNEAGTEQPSGEHRQLSVSSSDAGSSTDSTSDSDTPGGDPKEPAAVDDSKNAVPGEPAAAAAAEHRQLGTLFNAIHNMTSKADTVKRRLLSMPQDGSDATEHGGGGRALLSIQLQSIADMSAEMNRHLLAAELPDELAGKRQLLSTTLRELNEATTAAKGQLDKIENGTVHSDAIPERILRDEYRATPHHRLLTTDVVGTIEDIEHERHNQPKPGEFPSWVSAHQRRLLQAGTQKPDKVVAKDGSGDFKTITEAVNAVPKNSPTRFVIYVKAGEYNEYVTIPSSLPNIFMYGDGPTKTRVLGNKSNKDGVATMATRTFSAEGNGFVCKSMGFVNTAGPEGHQAVALHVQGDMSVFFNCKFEGYQDTLYVHANRQFFRNCEVTGTIDYIFGNSAAVFQSCLMTVRKPMDNQANMVTAHGRTDPNMPTGIVLQDCRIVPEQALFPVRLQIASYLGRPWKEYARTVVMESVIGDFIKPEGWSEWMGDVGLKTLYYAEYANTGPGAGTSKRVTWPGYRVIGQAEATQFTAGVFIDGLTWLKNTATPNVMGFVK >ORGLA04G0233600.1 pep chromosome:AGI1.1:4:23767177:23768775:1 gene:ORGLA04G0233600 transcript:ORGLA04G0233600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVERKTVCVTGGNGYVASLLVKMLLEKGYAVQTTVRDPNNPEKVSHFKDMEKLGPLKVFRANLEDEGSFDEAVAGCHYAFLVAAPVYDKSHKSDDLEKEIVQGGVEGTLNVMRSCARAGTVKRVILTSSTAAVSSLRPLEGAGHVLDESSWSDIEYLRSMEKLSPTQAYSISKVLSEKEATKFAEENGLSLVTLCPVVAVGASPVVRVDTSVPACLSLITGDEEMMNILKGIEKASGWSMPMVHIEDVCRAEIFVAEEESASGRYICGSLNTTVTEIAGFLAAKYPQYNVRCDCIEEHHPEKPTISLSSAKLIGEGFEFKYKNLDEMYDDLVAYGKALGLIPN >ORGLA04G0233500.1 pep chromosome:AGI1.1:4:23762511:23765153:1 gene:ORGLA04G0233500 transcript:ORGLA04G0233500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVERKTACVTGGSGYIASALIKMLLQKGYAVKTTVRNPDDMEKNSHFKELQALGPLKIFRADLEEEGSFDEAVAGCDYAFLVAAPMNLKSQNPEKELLEAGVQGTLNVLRSCVKAGTVKRVILTSSAAAVSGQPLQGDGNGSSHVLDESSWSDLDYLRSTNGISPAQAYAIAKVLSEKEASKLAEENGISLVAVCPVATVGASPAPVANESVANVLSLLSGNEEINTLRMIDQYSGGLKLVHVDDLCRAEIFLAEKASPSPSGRYICCALNTTIRQIARSLAAKYPHYNVDIDALGGGLPEKPTILLSSEKLTSEGFEFMYKTVDEMYDDAFVEYGMALGILHY >ORGLA04G0233400.1 pep chromosome:AGI1.1:4:23758438:23760826:1 gene:ORGLA04G0233400 transcript:ORGLA04G0233400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVERKTACVTGGNGYIASALIKMLLQKGYAVNTTVRNPENMEKNSHFKDLHALGPLAVFRADLEEEGSFDEAVAGCDYAFLVAAPVNLKSENPQKELVEAGVRGTLNVMRSCVRAGTVRRVVLTSSAAAVSGRPLQGDGHVLDESSWSNVDYLSSPANKTSPGKAYSLSKVLSEKEASRVAEENGISLVTVCPVVTVGPAPAAEAKPCVAAVLSLLSGDQEMISTVKLMEKATGGLMLVHVDDLCRAEIFLAEKPPPPAAAAAERYICCGLSATMLQLARFLAAKYPEYNVDVAALGDLPEKPRIRLSSEKLAGEGFEFKNRTLDEMYDDAFLEYGRALGILPY >ORGLA04G0233300.1 pep chromosome:AGI1.1:4:23752800:23757531:1 gene:ORGLA04G0233300 transcript:ORGLA04G0233300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQHAPAFTPEAASASATGGAGERQSLPALQAKMKRDPEGYEEELRQLRRHFESSVFLFRQQAALASTSSSGGGGEVAKELGDLALFLAHVAPFYPDDLADLPDQIGGLLDTNARALPSGLRVHLVQALILLVNRKIVDLEDTMELFMELQVIGDRAVKKLAFSHIVHSIRRMNQTHKNEARNRKLQNILFTFLQGEEESRAKRAFTILCDLHRRRVWFDDRTANAICNACFHGSSRIMIAAISFLLGYENVEQEDDSDASSSEDEAQNPQIILSKEDVYKANHKGTAATKKKKKAKLQRVIRSMKRQQRKSTEDTGSNYYSPLTYLKDPQGFAEKLFSRLQKCNERFEVRMMMLKVIARTIGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVVREICMRIPLLMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREICPSLLVKKDRGRPADPKARPKAFGEATIASDVPGAELLDEDFSSEGEGSDDASDAFDSNDEKELQSARGTKQNLDGSSEANKLDTDEGMKEEDQLSGDEDDTEELDEDQDISDNDSEENDDELECDSDMDEENDVSESDDDEELSEKLDDSDEGSDQDDDSDQDDKSKNSSRKANKRKLSDYIGQLNAADASLRALKKLAGAKKAEASCDEAGKILSDEDFKRIKELKAKKEAKLALAQHGLGKGHDTKSVTFKMPSSDQLSLKRVDPSKLEAHIKRKLTKEERLEMVKAGREDRGKYQARTAVKQKKTGGLSNRQKQHKKKMPLAASRAKAARSRQEKKKLQKRSGKQFRGRKAWK >ORGLA04G0233200.1 pep chromosome:AGI1.1:4:23743496:23747975:-1 gene:ORGLA04G0233200 transcript:ORGLA04G0233200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:I1PQ63] MASSLTPRSPYPKKENLGNARRGMGVKPGPRRNVLSAINNGGGTNSDTASVDGGEGGAGPAAPVIEFTGREDVERLLAEKMKGKSKTDYKGRTEQMSEYIKKLRACIRWYIELVDGYLVEQEKLRSTMDAENAQHAELEAQLSSDLEELKAAHLNLTRQCDSLEESFNKEKADRMLAVESYEKERQQRESAEASRDLLSVDLERVSHEAKRFSEQLKMVQDTNKRLQEYNTSLQQYNSNLQADASKSGDIISKLQKEKSAMMETMASLKDLNNSMKNHLDSSRTSQQEAIRMKEQLMKEVDCLRIELHQIREDRDQSVSQVNTLSAELANYKELAGKSTKDCESLSVKVSAFEVSGFRLTKSILSVRNYLAHHYASAQETCSMQQEQIQTLQKQLAVATNKLKLADVTAIEAMTGYEEQKVIIKDLEERLASAEFQIVEADKLRKKLHNTILELKGNIRVFCRVRPLLQDNDSSGAEEALISYPTSVESAGRGIDLMNQGQRFSFSYDKVFDHGASQEDVFVEMSQLVQSALDGYKVCIFAYGQTGSGKTYTMMGPPGRDQKGIIPRSLEQIFKTSQSLESQGWKYSMQASMLEIYNETIRDLLAPGRSNNFDLSTSKQYTIKHDPQGNTTVTDLTVADVFSSADVTSLLAKASQSRSVGRTQMNEQSSRSHFVFTLKISGSNENTGQQVQGVLNLIDLAGSERLAKSGSTGDRLKETQAINKSLSALSDVIFAIAKGDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEASSVGETICSLRFASRVNACEIGIPRRHTQARSFDSRLSYG >ORGLA04G0233100.1 pep chromosome:AGI1.1:4:23735674:23736857:-1 gene:ORGLA04G0233100 transcript:ORGLA04G0233100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal cysteine residue is changed to a serine 1 [Source:Projected from Arabidopsis thaliana (AT1G11530) TAIR;Acc:AT1G11530] MEIQQQKGVGNSKVVKVEKEESWDLFVNQASNEGHPVVAHFGASWCVTSLSMNYKFEELAQTHPEILFLYVDVDDVQSVSSKLGVKAMPTFFLIKDKEVVNKIVGANPDEVKKMVDASAESFGVTAPPDIVVE >ORGLA04G0233000.1 pep chromosome:AGI1.1:4:23733737:23734111:-1 gene:ORGLA04G0233000 transcript:ORGLA04G0233000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCYVGKATKIFLCLAAALIVVGLVLGFGLAHRTWGERKVQPDCRWPDCQLQPAYGGGGGGGGGDPLPATSGAGDTPPGVPLTEPAVAAFPGVASASSAAPPTASMPYLGPPSPFAVGLAPAHG >ORGLA04G0232900.1 pep chromosome:AGI1.1:4:23725841:23731297:-1 gene:ORGLA04G0232900 transcript:ORGLA04G0232900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIDLCSDSEEYFSPYSDTEDNLDFDDPNDGVNQVVLHNTAFGNNSSELLVGLDDDNWLNNTHALSSHRPAENRSDIIESSSGVNTDCQNSAWQYRTLPHTFMSSSYKSRPLSLTGGNNVESTHPTVKPNTVHYNGIGFPSPAIASGYKPYVSYGEGVSIDDDDDDVYEVLHQPFPFSHSSLGDKKIEEESTWKYNGFQTSSAYGIEMPTSAMSTGGVSAYGGLNSHRIFPPSVPYNNSVNNFGVNGLGTQSHLNIEKRLFGRDERVVYDEALKQISQETTEENLPEGVMSVSLLKHQRIALAWMVSRENSSHCSGGILADDQGLGKTISTIALIQKERVEQSKFMSADVGSMKSVANLDEDDEVVIVMDKKQLKGESVNMLQDSTLFPSSEAASDAADLKPWASLPGSAVDRMVNAVKVEPKKKARVRPSSSSTLRSANRSTAGTLVVCPASVLRQWASELAAKVTESSKLSVLVYHGGSRTKDPTELTKYDVVVTTYTIVANEVTKQNSDEDMEEKNSETYGLCPAFSIGNKRKKDSEPKKKKKPKNSDADLDGGPLARVRWFRVVLDEAQTIKNHNTQVARACCGLRAKRRWCLSGTPIQNTIDDLYSYFRFLKYEPYSVYGSFRSMIKYQISRDATRGYKKLQAVLKIVLLRRTKETLIDGEPIIKLPPKTIQLSKIDFSKEERTFYMMLEEGSREKFKEYASAGTIRENYANILVLLLRLRQACDHPLLLKGKEKDLIDTGSVEVAKKLPKETVINLLGQLEGDYAICSRCSDPPEDVVVATCGHVFCYQCVHKSLTSDENVCPSPSCGKKLSAQSVFSPGVLRFCIADKLESGATTSSSVEADGSPSICESSYISSKIRAATDILNSIVNTPALTWSDTMESSPSEVAPSKAIVFSQWTGLLDLLELSLDSSRIKFRRLDGAMSLNLREAAVREFNTDPEVRVMLMSLKAGNLGLNMVAACHVIMIDPWWNPYAEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKRKMVQSAFGEDKPGGSATRLTIDDLQYLFGI >ORGLA04G0232800.1 pep chromosome:AGI1.1:4:23724446:23725033:1 gene:ORGLA04G0232800 transcript:ORGLA04G0232800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSLKALVAFMAVATVAELAAGSKTWAIKWASGGNYGDWSSKNTVAVGDSVVFTYGQPHTVDELSAADYTACSFAAPLSSDAGGSTTVVFDKPGTRYFACSSGSHCSMGQKVAITVSNSTAPPSSSKGGSSSYGAAAGGGAELASKLVVGLAVGAGAILAL >ORGLA04G0232700.1 pep chromosome:AGI1.1:4:23718976:23723272:-1 gene:ORGLA04G0232700 transcript:ORGLA04G0232700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) TAIR;Acc:AT3G21820] MFISTPLPQVRDKVSRTKPPKPHGGGGERRRKKQPQEAAARAGGGMGGSSASPCDLDREFAPQIAQLLATPPLQPAQEYYNGLIQSRKHDGIRVNFSSKHGKGVCANKEFAEGDLILKDQILVGAQHSLNKIDCAVCSYCFRFIGSIEFQIGRRLYWQSVGSSSDCTNRRHCHESDVGSSASSSGATKENSSTLPEEVLGSLITGDMSLPFTDHFSLPQVVPCRGCEEERYCSQSCADSDWETYHSLLCTGSKTEPSQRSALQKFIEHANGSNDIFLVAAKAITFTLLRYKKLKTQPEFQNNTDESNFSLLMEAWKPLSMGYKKRWWDSVALPEDVDSCDEDTFRQQIRDLALTSLQLLKDAIFDSECAPLFSLDVYGHLIGMFELNNLGLVVASPVEDYFIHIDDLPDDEKEEAEKVTRPFLDALGEDYAAPCEGTAFFPLQSCMNHSCCPNAKAYKRDEDTDGNAVIIALEPIKKDDEITISYIDEDVSYEERQAELADYGFICTCPRCQEEKPN >ORGLA04G0232600.1 pep chromosome:AGI1.1:4:23715540:23718235:1 gene:ORGLA04G0232600 transcript:ORGLA04G0232600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 22 [Source:Projected from Arabidopsis thaliana (AT3G21865) TAIR;Acc:AT3G21865] MSSGAAASAAGVGAGAAGGGKDDELADLVRRLVDALARYSDRLPFDLDRQKLRSFTTLAAITITLIFAWKLLRAPQEQPRRPRRRVAPSPSNTSSRSRPGALTSTDACSSSADSRAQEAINQLFQPVNLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSVLEVLLEIAKFCDVYLMERILDDESGEKVLSALSEAGLFTNSGLIKDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLARFIKYQLHISPQRAERVASNVFSSTSLEQFFGGLDQR >ORGLA04G0232500.1 pep chromosome:AGI1.1:4:23711400:23714170:1 gene:ORGLA04G0232500 transcript:ORGLA04G0232500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin p2;1 [Source:Projected from Arabidopsis thaliana (AT3G21870) TAIR;Acc:AT3G21870] MDATAAAGGEMSRQKATASAPPPPELDMVARAVQRLVARNDAVEALSGGGEAAAGVGAGMAAFEAARGAPAPRIGVAQYLERVHRYAGLEPECYVVAYAYVDMAAHRRPAAAVASRNVHRLLLACLLVASKVLDDFHHNNAFFARVGGVSNAEMNRLELELLAVLDFEVMLSHRVYELYREHLEKEARRDGGGGDMLAGASAAAAAKAGRMAAVSPSKLLERAAVNGAAQHDDWRSLGTAAAAEAANGVRRHRSSSSSRYSFDC >ORGLA04G0232400.1 pep chromosome:AGI1.1:4:23697993:23708240:-1 gene:ORGLA04G0232400 transcript:ORGLA04G0232400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDALHASLVLPPSLAVETARVGKLQITLPSVSNVQVEPIVVNIDKLDLVLVEKDNSEDLSSTSSALSPSPAKNSGYGYADKIADGMTVQVGIVNLLLETHGGPRHQGGATWSPPLAAITFRDLVLYTTNEKWQAVNLKEARDFSNNKGFIYIFKKLEWQSLSVDLLPHPDMFTDARFNSSSSEDGKRDDDGAKRMFFGGERFLEGISGEANITVKRTEQNNPVGLEVQLHITEALCPALSEPGLRAFLRFMTGVSVCLNRGDVDPKAQQLAEAAGSSLVSIIVDHIFLCIKDAEFQLEFLMQSLFFSRASVPDGGISRNLSCIKIAGLFLRDTFSRPPCTLIQPSMQSVPQEPPPVPDFGQNFCPQIHPFENQQLEFTSGIPLFCLYCLQLTPSPLPPKFASKTVITCEPLMVTLQEQSCLRIASFLADGVVANRSAILPDSSINSMSFYIKEFDLSIPLDAEEITRYSGTKNVCPQSSFMGARLHVENLYFCESPSEKCLLLNLDKDPACFLLWGYQPVDASQRKWATRASHLSLSLETSSTSNEQRTVRGSSPSLWKCVELDDIRFEAAMVTADGSPLLIVPPPEGVVRIGVAFQQFTTNTSVEQLFFVLGLYTYFGQVGERISKVSKGNCSATKTSADKLERKLPSDTAVSLTMNSLQLNFLESLSSNDLQLPLVQFGGEDLYLKVSHRTLGGAFAVTTNLTWKTVSVNCLEGESAIFGENGTAVTGEPNILLHENGHPNMRAVFWVDHRNKNQSKEARFIDIDITHVMPYDMRDMECHSLSVSAKVSGVRLGGGMSYTESLLHRFGILGPDGGPGEGLLRTLKDLSSGPLAKLFSSSHLTDKEDGMPNSKDNDYNSKFDLEVPDDLDVSIELRNWLFALEGTEEVGDWLSPHGSDHISREEKCWHTTFTNLHVSGRSSDRPGSAEKVIHKRALPIERFTAGLEGLQAIKPYLRDQLIGNATSNNLQTGSVFDNTSSIGDQGVDVEATMVICEDEIEGPKWTMDNVKFSVKEPIEAVATKEELEHLTMLCRSEADAMGRITAGILRLLKLDKSLGQGTIEQLRNLGSGGIDNTFSPRKLSRQNSFGSIGTPRTPNLHSTTDAGTKELLESTVASLQIEILESKAKCTALVSQASGVEDQKCAEDIRQLNDKLESMQSLVTKLRTLI >ORGLA04G0232300.1 pep chromosome:AGI1.1:4:23693520:23696110:-1 gene:ORGLA04G0232300 transcript:ORGLA04G0232300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDSLQNTMEHPVPGEEHEEDNSMKVDVAFDGVHSPFRYRHKKRSKVWEEYKPIFLNGKVQFAECLYCHNRLSCKDSNGTSHLWRHQKICPGKEEAAQRRQKDSYFPCVLVNENDPVSPHDPVNDVITETLSDINSVTPSGNRFTSKVWKEFTPVYIEGKLQAADCIHCQKRLSANKFGGRSHLSRHLITCAGRRGRGGGQIHQKGLFYPSSVPSLKSRVQDELSPALTNGKVQIAEYSSKLLRASSSGDSTPKPIRVVPAEHSVPTPDYTSLMKQRTSFVTTPAGQETSGPDLVGMIALHGYPLSIVEHEEMMRFVKKLNPVVNLVSRNAMEEHCLTLFQKEKENIKGKIAHFSRRVSLSASIWTPDGPETTVNYLCLTAHYIDEDWKVHRIIIKFGMFWSAPADLERMIHCMEACVPESESGSYNVILDAIRDWNLDQKLLSLTSVGEVRGDTNTSLLKEMLIEKKCLPIGGTLFNVACVDYVLNSIVFKVQADILRLVGDIVMDFLVSLTQQQLLEVISQTGLKCPQEDAKWWHKLYFRLEVLLHFKKSFPSEERVSPEDTKTAESVCKILRTIYRVIEVISSPSSPTANIYFNEIWKVRTVLQEEALNDHREIATVAMVMQEAFNEYWQNSYLWLAIPVVLDPRFKFSFIEFRLKRAFGTDSASYLSVIRETVRELFNEYCHSLNQASDVVSNSEALCADDNDSLEDWDQHLHEQASRQLSSELDDYLEDGLVPRKDDFDILNWWMTHSTKYPTLATIARDILAMPASAVQSEAAFSSSGPVIPKHQSTLNIRTIEALVCTRDWMR >ORGLA04G0232200.1 pep chromosome:AGI1.1:4:23691061:23691729:1 gene:ORGLA04G0232200 transcript:ORGLA04G0232200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPPTATAGFIAGGRIRRPLPRERPHLTRCTKLLCSWFLSLLLVAGVLLFVVYLVVRPHRPRFHVAAFTAAGVQSGGGPVALSGQLTIHNPNHDLAFFFGRVYMSVQYRGDGEVVVDGKDLTGGPLYEPPRGTSAVGFEGVAVPAGAATDMMARDAAAATAGGGGGGVAFTVKVRSRIRVRVAFWGSHWHPVHATCDVVVGPDGQLLPEFQQKRCGIDFF >ORGLA04G0232100.1 pep chromosome:AGI1.1:4:23686967:23688893:1 gene:ORGLA04G0232100 transcript:ORGLA04G0232100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PQ52] MHNMPYRLLFGFVLSLVLQFSLVLSNPPGLNIGFYQYTCPKAEVIVRDEMTKIISRVPSLAGPLLRMHFHDCFVNGCDGSILLDSTPGSPSEKESIPNLSLRGFGTIDRVKAKLEQACPGVVSCADILALVARDVVFLTKGPHWEVPTGRRDGTRSVKDDAVNNLPPPFFDATRNLYQFFIPKGLDAKDQVVLLGGHTLGTSHCSSFASRLYNFSGTMMADPTLDKYYVPRLKSKCQPGDKTTLVEMDPGSFRTFDTSYYRHIARGRALFTSDETLMLDPFTRGYILRQAGVAGYPAEFFADFAVSMVKMGNMQVLTGAQGEIRKHCAFVN >ORGLA04G0232000.1 pep chromosome:AGI1.1:4:23682534:23684372:1 gene:ORGLA04G0232000 transcript:ORGLA04G0232000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G20730) TAIR;Acc:AT3G20730] MALLMGAKPTAPFYSSLLQCCISSGAFRQGKSVHGRVAAASASPPDLHLSTKLVIFYARFGDVVAARKVFDGMPHRSVVSWTAMVSGYARNGRPREALELFALMRASGARPNQFTYGSAASACTGAGCARSGEQVHACAAKGRFAGDMFVQSALMDMHLRCGSVEDARQLFAEMGKKDVVSWNALIRGFVERGHDGDALGLFSSMLKEAMIPDHYTLGSALKACGIVGVAVNVELIHSCIIKLGYWDEKVVIGSLINSYAKCRSMSSARVIYDSISEPDLVSSTALISGYTMDRNYSEDAMELFCKIHRKGLWIDGVLLSSVLCLCASVASARFGTQIHAYMCKKQPMGDIALDNALVDMYAKAGEFSDAKRAFDEMPYRNVISWTSLITACGRNGSGEDAVTLFNRMVEDGVRPNDVTFLSLLSACGHCGLTNKGMEYFTSMMSRYGIDPRAEHYSSAIDLLARGGQLEDAWKLVQKTNLKPNSSMLGAMLGACKLHGNMLLGETAAKNLFSIDPGSSVNYAVLANMYAECSLWEDAQRTREVIDETTDGKEVGFSVI >ORGLA04G0231900.1 pep chromosome:AGI1.1:4:23679945:23681381:1 gene:ORGLA04G0231900 transcript:ORGLA04G0231900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPILSCLFQMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKDPPCTLSCASGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGL >ORGLA04G0231800.1 pep chromosome:AGI1.1:4:23676559:23677894:-1 gene:ORGLA04G0231800 transcript:ORGLA04G0231800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF794) [Source:Projected from Arabidopsis thaliana (AT3G21740) TAIR;Acc:AT3G21740] MALMRRRVGGYICSELCGSVMNQRLYSSRVDWKQLRPMILKRIKNRAKEYPIKRMIPVAEEVVKAREIVTKGVSTLLQVVPIHSCKFCPEVHIGAVGHEMQSCHGFKRMIKNQPHKWGPGCLNDILIPVESFHLENTFQDEIKHDQRFDFPRVPAVLELCHQAGADIPDEVWHRSGTSSAIVRENDEKPAAFLPEELRFIGQRTIEAWERLRLGVTKLLLVYPSKVCERCSEVHVGLSGHKARMCGVFKFEGWRGKHKWKKADVDDLVPPKIVWHQRPHDPPVLVDAGRDYYGHAPAVIELCMQVGARAPPKYHCMMKAQGLAPPIK >ORGLA04G0231700.1 pep chromosome:AGI1.1:4:23672447:23673781:1 gene:ORGLA04G0231700 transcript:ORGLA04G0231700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKLPMWTNPETITKVVMSASPAAADGDGGGGITIFVRHLPGRKKKKHHPDDDDNAWSDISRVFYVQDIVFLEGKLYAVTEAEEIFAFDDADIEHYSHLPSDQWRWTHVDKQVTAFGRTEFYLVACHTMGKVLVVSRDFGRARVPDTGGGRAAARYHTSRFKVGASVQEAKQMAAWEAVTFLRSRFRSVLDDSPWSSIPHYHSHASEIEYDEDFDDDFDYADL >ORGLA04G0231600.1 pep chromosome:AGI1.1:4:23669666:23669983:-1 gene:ORGLA04G0231600 transcript:ORGLA04G0231600.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVNRYQSQETVLVSQEDRISQQQRSLYYRVGVQSCWAADRSRAHNCITKEKRKPRLGPHITGATWELDRNPKTRRSRLAPGRTPRRRDPLRLLQQLGGIIYIEQG >ORGLA04G0231500.1 pep chromosome:AGI1.1:4:23662334:23663911:-1 gene:ORGLA04G0231500 transcript:ORGLA04G0231500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKMKGIFKGLKVISQIFVVKEHEMQIGSPTDVKHVAHIGWDGLTGNASPSWMNDIRASSELLSLGNFAPSAGTSWASQDFDQPRDSSSFATPSEKTSLQQQEAAPPPDIPRPPAARKTRRKRRSTSDCPVPSSSSSSARPSCDSTMAPASDANASQDQNCNSAT >ORGLA04G0231400.1 pep chromosome:AGI1.1:4:23655058:23655825:1 gene:ORGLA04G0231400 transcript:ORGLA04G0231400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTSHYSSCISPAAETSSMSAGESSWAMHIANFLASPYNSQEMCQEPVISGSSSFSSGFSSSFATSYDDASFITSEMMCDDDDDDDSLQDTACSSAAAPKLTSNLNNVDMKSMATMEAKDINITQLAKYFVDASSRQPAAEVLQETVSVDNNNDKSLYECNELRKKGLCLVPLSMLINYLG >ORGLA04G0231300.1 pep chromosome:AGI1.1:4:23647820:23650760:-1 gene:ORGLA04G0231300 transcript:ORGLA04G0231300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 10 [Source:Projected from Arabidopsis thaliana (AT1G63270) TAIR;Acc:AT1G63270] MAPLKPPPPRLLLNGVSCMRNAQTVLRDINVSVHDGTALVLTGANGSGKSTFLRMLAGFSRPSAGEVLWNGHDITSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKHGKSAPAIELMGLGRLMNEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDAEGVKLLEYIIAEHRKKGGIVFVATHLPIEIEDAMSLRLPQRFPRRKTLVDLASDVLGEVACFVDFMNTSDLCAGCNKGALNAPLISPQGPLEVLSDPMLEVAGPLFKTRPNLEDASVEYVRNGSRSKIIFILQTIRSSPDLR >ORGLA04G0231200.1 pep chromosome:AGI1.1:4:23627504:23633270:-1 gene:ORGLA04G0231200 transcript:ORGLA04G0231200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPARHMPSMIGRNGAAYGSSSALSLSQPNLLDNHQFQQAFQHQQQQHHLLDQIPATTAESGDNMIRSRASDPLGGDEFESKSGSENVDRVSVDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERHENSQLRSDNEKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRIENARLREEIDRISAIAAKYVGKPMVPFPVLSNPMAAAASRAPLDLPVAPYGVPGDMFGGGGAGELLRGVQSEVDKPMIVELAVAAMEELVRMAQLDEPLWSVAPPLDAAAAAMETLSEEEYARMFPRGLGPKQYGLRSEASRDSAVVIMTHANLVEILMDANQYAAVFSNIVSRAVTLEVLSTGVAGNYNGALQVMSVEFQVPSPLVPTRESYFVRYCKQNADGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNIYKLLVNSGLAFGARRWVGTLDRQCERLASVMASNIPTSDIGVITSSEGRKSMLKLAERMVVSFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDESSRSEWDILSNGGIVQEMAHIANGRDQGNCVSLLRVNSSNSNQSNMLILQESCTDASGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPAHEGGDGGVGVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVSGESNPQ >ORGLA04G0231100.1 pep chromosome:AGI1.1:4:23621750:23626182:1 gene:ORGLA04G0231100 transcript:ORGLA04G0231100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPELAAGDGSYDFHLRSLSAASRDSAAAADPASDPNLLESVRRVCEMCKEAKGASDEMVARAFPVMSKLFQRCAAAPTQSVASSGVLLLTILQFFLDFGEAVLHDADGSLRTFFRTCLSREFADPIVAERTLEFLIANKTKILSSFPTLIPQFFPLLLKLIASNGERLERKFSEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEDAIGNAGSDDSGPLDLADPMFLDLLKDENDGIAAKHWTSPTISSTLQAAVNSPQSDRLKQSLKMAPRFLTLYFATALRDVNDSLLCALIPVVMSRYAAMFPDKVYSFEVRKRLSDFILAAFQRSPDIIALLKKPITDRLGEAHDNPAKTELALHLCWAIGEHGAGGKNRKDVARELFENLELLLYENLATSRLGLSQDTGFDPMGASSRKSSQARLLCFVVTAIAKLATFHNELLPRARVSLAKVARSRTSDRRVWQRACDYLGLLNEPAICLSVLGPSTAQGNGPGIVNWSEGGTKMVAHIPFYLLAEQKGAPIHDFSFDDLVPME >ORGLA04G0231000.1 pep chromosome:AGI1.1:4:23616298:23617898:-1 gene:ORGLA04G0231000 transcript:ORGLA04G0231000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKKRTVYNFPCSVLSNKAGGGTIRSLFLSSCAFHPTVTLGCNRSLTSLHLCKVDICGEELGQFLSNSFSLERLVISDCSDIIKFKVPCLMQQLKYLEVTKCQMLQVIEIDAPKLSTFIYGDVGVKISLGDPLQVKDIRLMGYNEADIVCYARTKLPSILPNIESLIVSSPNEMTSMPVVPSKFLHLKFLEIYLKELFASLPSYDFFSLVSFLDASPALETFILHVEQRFERHDSILYGEPTDLRRILHDGHANLQNVTITGFNSSKSMIELTTHVLENAPSLKCITLDTANFSGKNHLAMGECYPMMRGGILEARRAFEAARRHIAGKIPSFVEYKFLEPCRHCHFGY >ORGLA04G0230900.1 pep chromosome:AGI1.1:4:23611330:23612550:-1 gene:ORGLA04G0230900 transcript:ORGLA04G0230900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVISIDAPKLSSFIYGDVGIQISLGDPLQVKDIRLMGYNQPNTVCYARTELPSIMPNVESLIVSSTDEMISTPMVPIKFLHLKLLEIYLAELLAFPPNYDFFSLVSFLDGSPALETFILHVKQRCERRDSILDGEHTNLRQILHPRHANLQNVTITGFNSTKSMIELTSHILENAPSLKCITLDTANFYDKNLLTMGECLPMRKGGILEARKAFDAAKRYIAGKVPSHVEYKFLEPCRKCHIGY >ORGLA04G0230800.1 pep chromosome:AGI1.1:4:23593967:23605746:1 gene:ORGLA04G0230800 transcript:ORGLA04G0230800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAKGCARNLFLNAHHTAKAASKRLGFSKCSCAAAAADNGGHTYPRSEQGAQGRGLCCGWSHNDHDSEEEEEEEEEATGGGIRKLVSGARNTIHAVGKRLHCSSFPAVHDDGDSSVSICCGACMRKPPQQRKEMIKETPKLKIDRVGLSTRMKDIVDQLQHVCAKVTTILNLELHHSIRSIDSSTASSRSITTPTNTEPKLYGRDDITKSIVDDITQGTYYGKDLTVLPIVGPGGIGKTTLIQEIYNNQEVKIHFQIKVWICVSQNFNVDKLIEEIKEYVPSMEDETKNGRAEELIEQRLKSKRLLLILDDIWKCESDDWKRFLVPLRKGQAKGDIILVTTRFPVVAEMVKTTDNTIQLEGLVDTEFWKLFLVYVFDQEQPRNGYTELLKTGKDIVKKLKGSPLAAKTVGRLLRNHLEQNHWTRILESKEWELQAGDHDIMPALKLSYDYLPYHLQQCFSYCALFPEDHKFDYKELICFWIGLDILHSECHNKTFEDVALSNLEELVNYGFFKKDETNGHLCYIIHDLLHNLAVKVASRECVSLHCSNVRSVGIQPSIRHLSIIIGDEDDSDGVTNESFMIGLLKLKTRIRVENLRTLIIFGGLDESFVGTFRELFKEAHALRVLHLPNMDFPVESILYQVSTLLHLRYLRLGTMSSSELHLTSALSRFYHLKILDLKEWRGSLDLPRDFSNLSRLQHFLTVDPHPTIYNVGKLQFLQELEKFEVHEEEKGFELKQLGHLMELRRLGIYNLGSIHTKEATTEAKLLDKNHLQKLALSWDKCQVSRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELYVKSLETLHLSNVTWKNFPPIGEMCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVSMLSRMEVLIVKECNELVELPFSYCTYCPSEGYKNLFPRLREVEIENCPQLMRMPPMPYTQTLCSIHIKDVGTSLKELHYTSTLCTLEITGNTDLNGLDDKILAFYNLTQLQHLEIKNCKESHLPMLTSLKKLRIFSSSVVFHPSESSSEDEWQLPVECLDIWNWSGDGKALTKLLSRLPKLSHLYLWLCHKITRMCVTVEQQQTTTVELKDTQAVESIKQQQVAEDLVEEEEVVPQLAMDQEDEDGMLLFPAHLSNSLQRLHLNSCRSLILDVARPALPTSHEDETGGWGLQSLRSLQRLEIWGCPKFLSAYEAPSCPFPSSLQYLQISGRMGGVLTLDFIPNPNLLTQLYIDDCGEDLRCEVLWPLLTQGQLSKLKITRTLRFFAGLDPKLGGLHDEQEQQLPPLQCSSKLQELKIDDFAGVLVKPICMLLSSSLTMLVLSGNDEVEHFTKEQEEALQLLTSLQDLQFRRCSKLQCLPAGLHRLTNLKRLEIWYCPSIRSLPKGGLPSSLQELDVRGCGNEKLKQRCRKLIGTIPKIKLDEXRALPSSCTFLXWHFRVMLQSDYSPLFWGLLRRCSYIXIYDYWIARXMWQRHISGNQQCGSYMLEVHXHCFGSVTWQXQAXRYSCHSLNVCSSANVVPWAVAGLDPKLGGLQDRQEQQLPPLQCSSKLQKLETDDFPREAHLHAPLFLPHHASPXMEQXGGGLHKGARGGPSAPHLPPGPPISEMQQAAVPPCGTTXTYQPQDIRDRIEYLPAGIEYCPSIRSLQELDVRDCGNEKLKQRCRKLTGTIPKII >ORGLA04G0230700.1 pep chromosome:AGI1.1:4:23591069:23591614:1 gene:ORGLA04G0230700 transcript:ORGLA04G0230700.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGRSCNSWVWWGSTGRRRPSSAPTARIAAAFVLPLFLLFLVHIAISHALFSHIDSDDSAFDSAAPCTHAQCRLLHRLANDWFALLLFKAANLFAILFKAENATRRRVPSGLHLNRIPFLFWIHNEISRAGEELAGAPLPPPPMPCSPAPMSSPAAGPPLPPRPIGLLPTWWSVCPEPKREE >ORGLA04G0230600.1 pep chromosome:AGI1.1:4:23588156:23590309:-1 gene:ORGLA04G0230600 transcript:ORGLA04G0230600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplastic lipocalin [Source:Projected from Arabidopsis thaliana (AT3G47860) TAIR;Acc:AT3G47860] MVLALLLGSSSSSLAAPHPACSSRRKCRPAGRNNFRCSLHDKVPLNAHGVLSTKLLSCLAASLVFISPPCQAIPAETFVQPKLCQVAVVAAIDKAAVPLKFDSPSDDGGTGLMMKGMTAKNFDPIRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYSFDEKSRSIQVDTFCVHGGPDGYITGIRGRVQCLSEEDMASAETDLERQEMIKGKCFLRFPTLPFIPKEPYDVLATDYDNYAVVSGAKDTSFIQIYSRTPNPGPEFIEKYKSYAANFGYDPSKIKDTPQDCEVMSTDQLGLMMSMPGMTEALTNQFPDLKLSAPVAFNPFTSVFDTLKKLVELYFK >ORGLA04G0230500.1 pep chromosome:AGI1.1:4:23583739:23584362:-1 gene:ORGLA04G0230500 transcript:ORGLA04G0230500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEENKAVTPNEPIEASSSGSKRKRGRPRKSEYGMHEKPYSVQPIQSVPPLHSTEDSSNIQQDGIQINHKSGGSVGPSANLVKTSLSQASTYTSASLQSNSVKDGIVGKYFVGKMSNKVPGFSLIKVKVKDNLVLKGWIPDESDLRPITPKDDLAPDLPMLRPSQVRKRPSTIYKQAAGPIPVPLEDVTFAKPLQMRKPVEKSVAK >ORGLA04G0230400.1 pep chromosome:AGI1.1:4:23576343:23578497:-1 gene:ORGLA04G0230400 transcript:ORGLA04G0230400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEENKAVAHNEPLPMEQTLSSGAKRKRGRPRKYEYGMHELPYSVQHIQSVPPLHSTQDSSNIRQDGIQINHTSGGSFGPNIGTIQALPTKQGPANRSSGPRDSVNLVKTSLSQASIYTSAPLQGNSVKDDIVGKYFVGKMSKKFPGFSLITVKVKDNQVLKGWIPDENNLRPITPKDDLAPDLPMLRPSQVRKRPSTVYRQAAGPIPVPLEDVTFAKPLQMRRPAEKSFTKHTVPSVPRPHMGSGVVAAVPISVSPSNAESRIFSEQGTEHMNPQLLSAVVPIKSGQPVLASCKEVAGGKTVNEIQTVSESSKHTEESSGERHLLNVPVMDAIKESLGPKEQPNATNSKQQTFMEPPESTEQAVQLDTERDISKGADGSKSEASGGTAPPVEASTAVHNPQDDSHEMKVDNK >ORGLA04G0230300.1 pep chromosome:AGI1.1:4:23568194:23572929:1 gene:ORGLA04G0230300 transcript:ORGLA04G0230300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKRTKPPPPSRKAEAEAAAAAQRPSSSSSSPSPSLPEALLLATVCMVGLPVEVQVRDGSAYAGVLHTASVEGGYGVVLKKARKIANGNDNANIPLGAFVDSLVIHPDDLVQVIAKDFSLHAKDVCRTPVCDTVAASAYVKPQTSHVNVFPLKEVKKCSTPGEETNISIGRSSPASPTGYVGPRLSCNEIMSSAVVGSKDGNTKSAVLTTPTMSSDVKISPPATVAKTATPSKTIAKESKLNPCARVFSPSFASSRPVLAAAPSVNPIYISNSVAGVPTGLPVFETNSVPGGSSLSSKAVHYNNLAAANYAISPQYTQSTMGHNVSRLDPARIGTPYHPMQVGPAYISPSPQPVTGGKFNHVVYVHPFSQDVMHGAPVMPQGWSLPAPLNSHQASLQKFQGTAPVYVAPPIMATGNLPLVVPSPAPLVQPFQAVRPIMVPAASSMVPGKYM >ORGLA04G0230200.1 pep chromosome:AGI1.1:4:23563526:23567210:1 gene:ORGLA04G0230200 transcript:ORGLA04G0230200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPPSQPQPGMGGPPPPPQGAAGQPPQWGAIPPPMPPHQYGAPPPQQPPAMWGQPPPQAHYGQVPPPQPYYAAPPPQAMPAPAAADEVKTLWIGDLQPWMDESYIYNCFAATGEVQSVKLIRDKQSGQLQGYGFVEFTSRAAADRILQTYNGQMMPNVEMVFRLNWASAGEKRDDTPDYTIFVGDLAADVTDYLLQETFRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPTEQARAMTEMNGMLCSSRPMRIGPAANKKTTGVQERVPNAQGAQSENDPNNTTIFVGGLDPNVTEDVLKQVFAPYGEVVHVKIPVGKRCGFVQYVNRPSAEQALAVLQGTLIGGQNVRLSWGRSLSNKQPQHDSNQWGAGAGAGGYYGGYGQGYEAYGGYAQPQDPNMYGYGAYAGYPNYQQQQVAQQQPPQQ >ORGLA04G0230100.1 pep chromosome:AGI1.1:4:23561868:23562980:1 gene:ORGLA04G0230100 transcript:ORGLA04G0230100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSAARTSSRSVWEGITGTHDFEVVGYSLMDGFGAGRHVCSGDFSVAGHDWYVAFYPDGLDQDSAGYASACLAYRGKERLVRAKYSLSLVARDGRASPLAGDTLRSHYFTPTSRSADVLKFVEKSKLSSSPSSSSYSCLDDDTLTIRCVVTGPRVESVAPAKERGPRVTVPPPSLHEHLARMLRDGRGSDVAFRVGGRVLRAHRCVLAARSPVFDAELLGPMMETTAPCIEIHGVEPAAFEALLRFVYTDSWPLAGVDVAATVRLLSAADRYGLERLRLMCEEKLHEGIDVDNAADVLAMAELHHCSQLRDACVAFIASPSTLGPVLASSGFEDLIMATGASVTKEILYKVSESWSGPGNRNNSSKRK >ORGLA04G0230000.1 pep chromosome:AGI1.1:4:23555979:23557079:1 gene:ORGLA04G0230000 transcript:ORGLA04G0230000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHRDPAFPAAAGGCRLPKTSSVSVTESVTAVHDFKVTSYSLIEGLGIGRYVSSSTFTVGGVDWAVRFYPDGSTVTCLGNASAFLYYCGREKEVRTRFTLNLLGKDGKLSQVTNSYMKHTFSPASDNWGFIKFAEKSKLQSSPFLHNDCLTIRCLLTVVRDSHTKDVEVNSVVVPPSNLHTDFENMLQDGEGSDVTFTVGGQEFRAHRCVLAFRSPVFKAELFGPMKENGTQCIKIDDMEPEVFEALLHFIYTDRLPDSCRDGKAAAMQHLLVAADRYGVDRLRLICERRLSETIDVETVATTLVLAEQHHCSQLRQACIGFVASPNMLGPVIESDGFKHLVESCPLIMKEILSKVSHIWIDKSC >ORGLA04G0229900.1 pep chromosome:AGI1.1:4:23553736:23554860:1 gene:ORGLA04G0229900 transcript:ORGLA04G0229900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLFSMASSTSPSDGRSPRLPETLSRCVTASVAAAHNFEVTRYSLLAGVGAGEFVTSGTFSVDGHDWNIQVYPDGWKQEMNAGYVSVFLCLCGGATGVRAKYTLSLSENGGESVQRSLTHRFDTVGAFWGFPRFMERPRLRQWLLRRGPGGGDDCVKLRCSLTVIREPRTEGVAAVAVPPSDMRRHMANMLRGGDGADVVVLVRDQPFRAHRCVLAARSPVFRAELFGGGHMRERRTSCVVVDDMEPSIFSAFLHFIYTDSLPENPDTPGDDQDCMAMQHLMVAADRYGLDRLVLICEEKLCRGIDVQTVATTLALAEQHQRVALKDACLGFIVSRGVLGAVARTDGFKHLLTTCPSIMVDILDKVASVMSK >ORGLA04G0229800.1 pep chromosome:AGI1.1:4:23531764:23543769:-1 gene:ORGLA04G0229800 transcript:ORGLA04G0229800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTADVGGEDEHEIVQEDLYLDDDDEQFDVDLNEDDEMEEAEPKREQNLVKVGMEAWNQSFGATCKVRLIHILKNLHTAEIKIYSDASREFIELLDGDPEGEVLREYVQQSPRLVELAEAWRLHREKPGMAYILSLFATVLGHPGGKLRRHGLVKKSLDGVARMILEDKEKMGDMYLELNSGEFRRQNAALDLLAAIVRRGGGLASEIAKSFDFKMAVLPQLSGVRKKRGGRDGGNQKKGTDFGSTRQSFVGFAMSFLEVGNPRMLRWILQQRELYSGVLRGIGDDDTDTIVYILSTLRNNVLVDESLVPPGLRSVLFGSATLEQLSLISGNLDAGEAADIAHEVLIMVCTDPKNGLMPSSNLRGNQKRLLDLMKKLKATEVVHHKNLLLAIVSKSLSLCSAYMNEFPYSIEPRPSSSWFSAISLAADMISSVNCNGIFQNLLSTSHDLVSVDDEQVQVLLKCIVPNMCTRAVINRGLLHSDDLVKHGALRLVFESVNLLCDVIEVINDIVSNARVKSEHDNSTKVTVKIDGFPGLSCCTSADASIVHEVLHGDKMHVDRWITLREYIQDVVRGAIPDPQVLLKLLSSASQKHQNYSQSKQKKHAQLEPPRKKRRRGATDEDADIIIGGIDVELSRDEPEEQEMDLANDHTTILCEIWGLNKQDPKIKDAKVVGDVFHSKLLDVLRFYLRVMPSSFDGSFDFFKVMPPNPLDLSMDEQQSLLSLLVEYSGQSDGYWCPEKVPESMYKYLQPLIDIMLHSQVKSICDKAYILVKAALASSGAFDQNIAEIDAWLAFLPCYKAKVCEREGLGVEASNRLSHIVTPFLCDAISVVGNNLYKYQEHIRKLISKFNQFEGYSPSFSPLIVCVLQKCLRLLDSESASVKLHEKSIISLYVCNTVYLIMQSQVDVLLLPDLVGTILNERLGKFSSEEINSRICFAEWRPLMYLLHILRSISDQKSSSLFSTLEHSSEVYANSLCSVTRTIEEMSNQQPTNLPDDIATSFLYSVICAPPDDVISSFPKLLHVLKTHFPSNLPFLSSVLFLQHDYLAKVASYCPDMFFSSLRQIKGNLDVDSVNIVEDKWGKHSTCSESAVISTFLNVTPFCALLPSVLSLAFSAPDEITKAHPLLQDELVHLLQAKISESPLSELTIFLRVVLFWSHHLLSSYTVKCSDILAQLCGVCFSLIDSIFERIRVLTADTANSKSSVAFYPVECLNGIVESVVQHPIIGLSLSCSLSNFQDLADGSVEYVKEDFASFSKEKLHLADSFVLNLLSNLYGLVLLAGSFGANYSNNDDQSLESLFGPPKLLLERILLLFKEKFELCMEKRNFGLFLPNFYMFRTLAKFVSPVRLLELANWMFSTFEGFSSSSPAYAPAAFCCLYTADIAFEMLYDYLQQIDQRSGPCRLWGLEIHNCDIATIQQVYNIILHFATKLNLEFADLCLLKMLIRIHHTEISAVRNTDYIALHMMLSTMVANTPIDILHHCMFPTSKVKAKAIQLLLGANPMHMRLFGKLLTDILKKDTSVMQVVGSDSNASWTHEDSFILLLPAALSFIEHHSGGNRQCVDFLEPVPVFYREILLSDNGFPCWKSFVTRSIFEEDFSDFRHTSVEDIMNYFGDTLLGKSITMLRYYFYSKEIPRKQRLKIIASICPQSSELLDSDISFVTPVSCNGIMKLTSELFAKISLIRMLLSPPRGSLNNEIAPEKESKRVNNAKLSFISILVRTLDKIFRNFPHSDGILLSSPEEQNVVSCLEYAILKNIVELSSEIQSHLNQLKPIPFLNQLIRSSLLHRFSDPVVIKAIRCILVVLSEGKFPADEILELILGHSHFVSTITCSGVSECPSACNPTGGLLQPAPSILKSVDSAFAKENKFQDCIPERRKVEIIRLLRVLYDIKSRQHNSSLLDESRELGFLLLSVYGATLSETDLEILHLMNEIESSECKAITDVDHLWGKAAVKFREELKLEFSASDTHKMENAEISDRRRSLFRENIPIDSKLCVMTALQFCYRRSSRASIFSLEQLQQDNFGDIFKATSQSMDAVRIYDPVFILRFSIHTLLMGYIEPVEFSRLGLLAITLVSISSPDEDLRKLGYESLGTFKKSLEASQKSKETWQLQLLLTYLQNGISEQWQRIPSVIAIFAAEASLTLLDSSHTQFATISKFLMHSASVNLQLLYAGSNLADDAKIYKRGGVLELALSYGSSAVSDSETKLLTLQVLKKCVKLPVLAHHLTKDSGLLLWLSSVISSHVEGLDSVKNSYSSTVIGSALEVVNDLTSSRLIAEWLQETALEQLSRISKYLYVLVEDMKLLKGNVPLLTSVLNVIASTMRLSMKRKIYQPHFSLSLHGIHKLCRTIGSISRSIEVKLAMQLGIDVILMNGPLPVLSEMDKSMTATVVSWATSNIFWLYDEQRSVLKMPHEEPLKNECLLSKMLRWLVASIILGKISCISHEKCGDLTRDANNFGSLESFLNYTYDEKVETVGSHSADEALAIIILYLQKHLKMNRDFLPSVVAALCLLLLDRSNKQAIRNFIGGYGQIEMRCSQIQCPAEANPAWRWHYYQPWKDPAMHRNEAEHLEEEQACQSLLVMFSNSFSAGLSGFPVLSLGDVEKSGLFQWERDSILK >ORGLA04G0229700.1 pep chromosome:AGI1.1:4:23529510:23530784:1 gene:ORGLA04G0229700 transcript:ORGLA04G0229700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PQ28] MDTVVAAATTGSRRWLPWARRQAAAYLAAAGGGDDGWAACAASAVRIVVCFVSMMATTAAWAVVMLLLLPWPCARIRQGNLYGHVTGRMLMWILGNPIKLEGMENLNTRGIFICNHASPLDIFLVMWLAPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPAAAIESMKEVARAVTKNNLSLILFPEGTRSKTGRLLPFKKGFVHTALQTRLPIVPMVVTGTHLAWRKNSLRVRPAPLTVKVLPPIETDGWVEERIDEYVEMVHSLYADSLPDSQKPLEPVNTGKKKMS >ORGLA04G0229600.1 pep chromosome:AGI1.1:4:23520091:23523391:1 gene:ORGLA04G0229600 transcript:ORGLA04G0229600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTAPSFSLGSEFDEPEEAVGYTAPDAPSFSLGIDFEGDGDESHLTDAGNGGEEQKRYEAPDAPSFSVGIDSDSDGGEERRREEQRRSYEAPDAPSFSLGIDSDGDGGDEPHLTNGGHREEQQRRYEAPDAPSFSLGIDFGDGDDEPRLPNASRQAPRYEAPDAPSFSLGFDDDEDDVLIGGSRHELGTVEEEDDDFVLADGQQQQQRRHETVVPDPAPPPPEMNRFKRLRRGPAPPSQAPTPPPHRTPAPATMEASPVVSSKAVLGDIGSFEDEIEDFTDEERFMRDVPPSVGSCITSSSSRFSHASNSKFSLMNHGVLMSQSTSKSKKFAQTPNYSASKSMEESSTKKLLPKTALSPMRKIHLLDSDSDSDDNKEMPGLQQNCKSKVSTVQHKGKAEMNDSWVTPALDEFCNEYFKSVEDSRPSQQKEGNSFCGPKVIRSNYSVSETGGHFPHQSTPSGAVLEDNQTDSHPPAMHYFFHHDQLVRDLVRQRLKHFVPVGVDSRGNEQDGTQNLQYRSQTGRCAAENDRWVTPNKRMPVATQVGRRRVNPAGMSGSGHWLTGDDGKKVYISKDGQELTGRVAYRQYQRESGKGFRQSKKKSSAGTRAKKATTKAKQEKTRAKRKR >ORGLA04G0229500.1 pep chromosome:AGI1.1:4:23511901:23515519:-1 gene:ORGLA04G0229500 transcript:ORGLA04G0229500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKHRSAAAAAAPAAAPPSAVRTPRRLRRRPLKTASGSGGGGGGGGGRRSGPATPLLKWDVGNGAEKGGGGGEKAGGPSEKAAAARDVSVRRLAAGVWRLRPPEAVAGGAAAGSGERRRVHVGLEHIPRHLQVQLIKQNNLVHHQTLKNEISSPISVLERKSGELHKVPLHAATAVLPVTAMEKATKWEPESLEGMEAHDAYLIASQLNLLNEQQDATYVANLQMELQQARDRVSELETERRAAKKKLDHLFKKLAEEKAAWRSREHEKVRAILEDMKADLDHEKKNRRRLEMINLKLVNELKEAKMSAKQLLQEYDNERKARELTEEVCNELAREVEEDKAEIEALKHDSLKLREEVDEERKMLQMAEVWREERVQMKLVDAKLTLDAKYTQLSKLQQDVEAFIAACSCARGDIMVVEEAENIIQAIKSVRAQDIEFRYEPPAQSEDIFSIFEELRPSEEPVIKEIEPCYKNNSAMCESEIQEASPMTDIFLEKPTKVYSSKSPQNESDTEDGSSWETISHEDMQASSGSPDGSEPSVNKICDGSISWTSRNDFEYKEAEKLKDDSTDIYLTNMNQPKKKESALSKLWKSSRPKNNDVCKKDAVEMINGRSSNVRLSVGTHSTIDSGIQEIGLSSPSIGQWSSPDSMSMQFNRGFKGCMEYPRTSQKHSLKSKLMEARMESQKVQLRQVLKQKI >ORGLA04G0229400.1 pep chromosome:AGI1.1:4:23509608:23509838:-1 gene:ORGLA04G0229400 transcript:ORGLA04G0229400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSAFFASRAACPSGSSGEFSVRRIRLYSDSATGRLRARSSAAAQIIPPPIPPPPRLAADHRRKRVLDERGIGL >ORGLA04G0229300.1 pep chromosome:AGI1.1:4:23506910:23508403:-1 gene:ORGLA04G0229300 transcript:ORGLA04G0229300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTIKVHNVSLEASEQDIREFFSFSGVIVHVEMQSGDERSQFAYITFEDDEGAERAMLLTGATVVDMSVIITPATNYQLPAAVLADIESKNAGGVESALRKAEDAVVSMLAKGFVLGKDALERAKSFDEKHQLTSTATAKVTSLDRKMGLSQKFSTGTLVVNEKMKEMDQKYQVAEKTKSALAAAEQTVSTAGSAIMSNRYILTGAAWVTDAYSKVATTATDAGAKSKEMVVAEQDDKHQDDKPAKDYSPISSKEFVQEGKHQEGERPKKNMPEKPEMGKRELENQEAAIPTAHAQENAQITGKEHKHQEADLPKADIPGSFTMAAKSEKHRNPYSELSKTHIPGSPATIPVCTATTDVNSTKTPKKPENAEGFI >ORGLA04G0229200.1 pep chromosome:AGI1.1:4:23505562:23506140:1 gene:ORGLA04G0229200 transcript:ORGLA04G0229200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEPRNSMATSTGRSGLGGGGAKSITLFAAASFFRWGHRGPRSLDTGTKYGITAPPSPSPAAAGGGDDEGAAGEGEGDEGGAGRETAGFRRRSLASRRRARAPGRRKRWWSTTPAAAAADEEERGLRVAAMWARGVSESRMREARVGGRRRERVGVFEGVRVGRGRASFRREKGLCQKWDRAFVAGDAFTL >ORGLA04G0229100.1 pep chromosome:AGI1.1:4:23494796:23501988:-1 gene:ORGLA04G0229100 transcript:ORGLA04G0229100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAHSPLCSRSRPVLVVRPATAATGFAQPIIRCRRFTRTRLLRCLVASADYSKRNPRRASTPKPKGAASRTYAPRPTVESSMKKIGQSGTHEGDLGTSNGKLSSEATEQTSNVEESSEVDFSGNVSSSVFLEGMDDAFEAETEEEVEQNQSPELSSESMDDDAIDRKLDEYRGKISALISSKPEPTSVSSTHVQDRSIVGFHEQEKYVVSFHEQDRSIVSVPEQSQPSSGVSGQNPTEEKTIISGQDVTEEAPEEITGKSIEREPLSRETEKVLFADDDPRIIKDEQYEPDIAPVQDDVDPQVLRRRLEELAEKNYLAGNKCFVFPEVVQADSVIDLYLNRSMSALASEPDILIKGAFNGWRWKKFTQKMHKSELTGDWWCCKLHIPKQAYRLDFVFFNGDTIYENNNHNDFVLQIESEINEHSFEDFLVEEKQRELERLAAEEAERKRQAEEERRKEEKRAAMEADRAQAKAEVEMNKNKLQNLLNSASRYADNLWYIEPHTYKAGDRVKLFYNRSSRPLMHNTEIWMHGGYNNWSDGLSIAEKLIKSYEKDGDWWYADVTLPEGALVLDWVFADGPPGNARNYDNNGRQDFHAVVPNNISEDLFWVEEEHMIFKRLQKERKEREDADRRKSEITAKMKAEMKEKTMRDFLLSQKHIVYTEPLEVRAGTTVDVLYNPSNTVLNGKPEVWFRCSFNRWTHPSGPLPPQKMVNAENGSHLRATVRVPLDAYMMDFVFSESEEGGIYDNRNGMDYHIPVTDSVAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVILPKYDCLNLSNVKDLHYRQSFTWGNTEIKVWFGKVEDVPVYFLEPQNGMFWVGCVYGRNDESRFGFFCHSALEFLRQNGSSPDIIHCHDWSSAPVAWLFKEQYAQNGLSNGRVVFTIHNLEFGAHHIGKAMARCDKATTVSYTYSREVSGHGAIAPHFSKFHGIRNGIDPDIWDPYSDNFIPVHYTSENVVEGKSAAKKALQQRLGLQQTDTPVVGIISRLTVQKGIHLIKHAIYRTLERNGQVVLLGSAPDHRIQGDFTNLASKLHGEYHGRVKLCLTYDEPLSHLIYAGADFILVPSMFEPCGLTQLTAMRYGSIPIVRKTGGLYDTVFDVDDDKDRAREQGLEPNGFSFEGADSNGVDYALDRAITTWYDARDWFHSLCKRVMEQDWTWNRPALDYMELYHSARKN >ORGLA04G0229000.1 pep chromosome:AGI1.1:4:23491785:23494110:1 gene:ORGLA04G0229000 transcript:ORGLA04G0229000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESINVAPGTTATPRMAPPPPPCITNLQSTLRYNNLLLHRKTKGWKPRNVSCRVDRRDVLLGISGAAAMVATQGGGGALAAPIQAPDLGDCHQPVDVPATAPAINCCPTYSAGTVAVDFAPPPASSPSACGRRRTWRTGRTWPSTRGPCRSXRSSPPTTPAASSSSGASTAPTATARTTRSASPAWRSRYTAAGSSSHGTGCTCTSMRGYWASXSATRRSRCRSGTGTRRTACRSRRSTPTGGRRCTTRGATRRTCHRSRSTSTTVEPTRTSRKTSXSIRTSTSCTARPMISGARKAELFMGQPYRAGDQPEPGAGTVESVPHNPVHRWTGDPRQPNGEDMGIFYSAARDPVFFAHHGNVDRMWHIRRGLLFPGDTDFTDPDWLDASFFFYDEEARLVRVRVRDTLDPSALRFTYQDVGLPWLNAKPSTGAASTPAPAAGAFPATLDKTVRVAVTRPRASRSREEKEEEEEVLVIEGIEIPDHSTYVKFDVFVNAPESGDGAATCAATCAGSVALAPHGIHREGQLSPRKTEARFGICDLLDDIGADGDKTIVVSIVPRCGCDSVTVAGVSIGYAK >ORGLA04G0228900.1 pep chromosome:AGI1.1:4:23484668:23486251:-1 gene:ORGLA04G0228900 transcript:ORGLA04G0228900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVPITKKRKLGPKTVDCVFLGYAHHSVAYRFLIVKSEVPDMHVGTIMESRDATFFESFFPMKDTYSGSNQPSKIIPSSITPPEQTEHTHEHVSEEDVSEAPRRSKRQRTAKSFGDDFTVYLVDDTPKSISEAYASPDADYWKEAVRSEMDSIIANGTWEVTERPYGCKPVGCKWVFKKKLRPDGTIEKYKARLVAKGYTQKEGEDFFDTYSPVARLTTIRVLLSLAASHGLLVHQMDVKTAFLNGELDEEIYMDQPDGFVVEGQEGKVCKLLKSLYGLKQAPKQWHEKFDKTLTSAGFAVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLDVINEVKSFLSQNFDMKDLGVADVILNIKLIRGENGITLLQSHYVEKILNRFGYINSKPSPTPYDPSLLLRKNKRIARNQLEYSQIIGSLMYLASATRPDISFAVSKLSRFTSNPGDDHWRALERVMRYLKGTMELG >ORGLA04G0228800.1 pep chromosome:AGI1.1:4:23465760:23468001:-1 gene:ORGLA04G0228800 transcript:ORGLA04G0228800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:I1PQ19] MAKSSFKQDHTLERRQAEANRIREKYSDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLL >ORGLA04G0228700.1 pep chromosome:AGI1.1:4:23451263:23453667:1 gene:ORGLA04G0228700 transcript:ORGLA04G0228700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminomethyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PQ18] MRGLLACATLARRAGGAAATSTARRHLAGAAEAAEAELKKTALYDFHVAHGGKMVPFAGWSMPIQYKDTIMDSTLNCRANGSLFDVSHMCGLSLHGRQAIPFLESLVVADVAALKDGTGTLTVFTNDRGGAIDDSVVTKVTDQHIYLVVNAGCRDKDLAHIGEHMEAFNKKGGDVKWHVHDERSLLALQGPLAAPTLQLLTKEDLSKMYFSDFKMIDINGYACFLTRTGYTGEDGFEISVPSENAVDLAKALLEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRKAEGGFLGADVILKQLQEGPKIRRVGLLSQGPPPRSHSEIVSNSGENIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTEFKVVVRGKSYDAVVTKMPFVPTKYYKPS >ORGLA04G0228600.1 pep chromosome:AGI1.1:4:23447595:23449985:1 gene:ORGLA04G0228600 transcript:ORGLA04G0228600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle, SRP9/SRP14 subunit [Source:Projected from Arabidopsis thaliana (AT2G43640) TAIR;Acc:AT2G43640] MVVLQPDPFLSELTSMYERSTEKGSVWVTMKRSSMKCQARLKKMVAKGEAVELRCLVRATDGKKNICTSLSAKEYLKFQASYATVLKAHMHALKKRERKDKKKAAEVEKIPEKAPKKQKKAPSSKKSAGSKS >ORGLA04G0228500.1 pep chromosome:AGI1.1:4:23444340:23446110:1 gene:ORGLA04G0228500 transcript:ORGLA04G0228500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTNVCEYEELAKHKLPKMVYDFYATGAEDQWTLRENSEAFSRILFQPRVLVDVSCINMSTSVLGYNISMPIMIAPTALHKLAHPEGEVATARAAAAAETIMTLSSWSSCSIEEVNLAGPGVRFFQLSIYKDRNLVQQLIQRAEKAGYKAIVLTVDAPWLGRREADVKNRFTLPQNVMLKIFEGLDQGKIDKTNGSGLAAYVASQIDRSFSWKDIKWLQTVTSLPVLVKGIITAQDTRIAIEYGAAGIIMSNHGGRQLDYLPATISCLEVVCHM >ORGLA04G0228400.1 pep chromosome:AGI1.1:4:23438317:23441323:1 gene:ORGLA04G0228400 transcript:ORGLA04G0228400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELITNVSEYEQLAKQKLPKMIYDYYASGAEDQWTLKENREAFSRILFRPRILIDVSRINMATNVLGFNISMPIMIAPSAMQKMAHPEGELATARAASAAGTIMTLSSWSTSSVEEVNSAAPGIRFFQLYVYKDRNIVRQLVRRAELAGFKAIALTVDTPRLGRREADIKNRFNLPPHLVLKNFEALDLGKMDKTNDSGLASYVASQVDRSLSWTDVKWLQTITSLPILVKGVMTAEDTRLAVESGAAGIIVSNHGARQLDYVPATISCLEEVVREAKGRLPVFLDGGVRRGTDVFKALALGASGVFIGRPVLFSLAVDGEAGVRKVLQMLRDELELTMALSGCTSLGEITRNHVITDSDRIRRSRL >ORGLA04G0228300.1 pep chromosome:AGI1.1:4:23428753:23431546:-1 gene:ORGLA04G0228300 transcript:ORGLA04G0228300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILRSIQLRNSIWSSFPVRFLKQQAGLSTVELLDPRSCGKRHFTTQVQEHGKEVAAAATILVFDLETTGYFHKDHRIVEFALCDLSGGKNSTFETLVNPERTVPNYVEHLIKIGTDLVCRPGIPRFSDVIPLLLAFVRSRQAPGKPVLWVAHNAKQFDARFLAQEFDRCSAPLPADWLFFDTLLLAKKMVKAEGKKRRTSLEALREHYGIVSHDDAHRAMRDVMILSQVFQKMTFDLKVTNEELINEAMKLPFHVFCDSVCLLFLWTSFEQQSEPEREEI >ORGLA04G0228200.1 pep chromosome:AGI1.1:4:23416539:23420672:-1 gene:ORGLA04G0228200 transcript:ORGLA04G0228200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSSYGENVRRKSHTPSAIVIGSGFAGIAAANALRNASFEVVLLESRDRIGGRIHTDYSFGFPVDLGASWLHGVCEENPLAPIIGRLGLPLYRTSGDDSVLFDHDLESYALYDTKGHQVPQELVEKIGKVFETILEETGKLREETKEDISIAKAIAIVMERNPHLRQEGIAHDVLQWYLCRMEGWFATDADAISLQGWDQEVLLPGGHGLMVRGYRPVINTLAKGLDIRLGHRVVEIVRHRNRVEVTVSSGKTFVADAAVIAVPLGVLKANTIKFEPRLPEWKEEAIRELSVGVENKIILHFSEVFWPNVEFLGVVSSTTYGCSYFLNLHKATGHPVLVYMPAGRLACDIEKLSDEAAAQFAFSQLKKILPNAAEPIHYLVSHWGSDENTLGSYTFDGVGKPRDLYEKLRIPVDNLFFAGEATSVQYTGTVHGAFSTGLMAAEECRMRVLERFRELDMLEMCHPAMGEQTATVSVPLLISRL >ORGLA04G0228100.1 pep chromosome:AGI1.1:4:23413439:23413885:-1 gene:ORGLA04G0228100 transcript:ORGLA04G0228100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQLVARFSVEVAPPLLSSIIRPRRRRGFPAMLDTIAEEEPDAPPPPAIVMARELSASYALLRGVAAAAAAVAPAPPPPPPPRNVMVGSSCSSLVLVRRAEAKEKRCVVVVVGSSAASAAIVHGEKRLHLAAAPASEAAACSEIGA >ORGLA04G0228000.1 pep chromosome:AGI1.1:4:23410735:23412283:-1 gene:ORGLA04G0228000 transcript:ORGLA04G0228000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLAGRGGANHHHHHQWGETRAPLAPVAPNSDPNHPLQQRANGSATRPPPSSYVALRPGAMAHREARALRDRLAGELGQVRALIARIDTWQQGQVKRHGSPRRDLPTPPAKLRAAMRKRCEQILAKLRKDKRSIWFNAPVEVDRLGLHDYHAVIKCPMDLGTVRANLAAGRYPSHDDFAADVRLTFSNALRYNPAGHEVHTFAGDLLASFEKMYKASMSWFEQELKLLEPPMPVPPPELPPATAPVQVKPRAANVKMRKPKAREPNKREMTLEEKNLLRVGLESLPEEKMHNVLQIVRKRNGNPELVGGEIELDIDEMDVETQWELDRFVNNFKKALNKSRRAAIVNGENADVIDASVANDSDMLVNGSTATMVDNGDVTMAIESKDPDKITTQAEQLDEYVDIGDEMPTATYQSVEIEKDTEAASSGSSSSSDSGSSKDSVSESGNAHSLV >ORGLA04G0227900.1 pep chromosome:AGI1.1:4:23370424:23371752:1 gene:ORGLA04G0227900 transcript:ORGLA04G0227900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEGNLLESSIGWLTDIIVENLDNDKLGAWISQVGLADDTDKLRSEVDRVGMVVAAVKGRAIANKPLARSLGRLREVLYDADDAVDELDYYRLQQQVQGDGLDGHGAEEAERTRSDAGIASTSRGKKRSKAWGHFDITEEDNGKPVKARCIHCHTVVKCGSDKGTSVLHNHLKSDHCNKKRGASDQQLDPSRYDLML >ORGLA04G0227800.1 pep chromosome:AGI1.1:4:23328040:23329366:-1 gene:ORGLA04G0227800 transcript:ORGLA04G0227800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCQSKRILMGLAEYVAGELGLGLVRALISHMTHRLVSVAAAESSRSATHGSPHLPRRRRSSRRRCGSGAAGIPPKLRNGKWAGHLFQRRISPRGSRTSPXGWGYKTILFSQINFLFRRCGSGATRSWRRCGKDKRSIWFNAPVEVESLGLHDYHTVIKWEITLEEKNLLRVGLESLPEEKMHNVLQIVRKRNGNPELVGGEIELDIDEMDVETQWELDRFVNNFKKALNXSRRAAIVNGENADVIDASVANDSDVLVNGSTATMVDTGDVAMAIESKDPDKITTQAEQLDEYVHTGDEMPTATYQSVEIEKDTEVGAQRTVSQNLAMPILWCKEAILTVGYR >ORGLA04G0227700.1 pep chromosome:AGI1.1:4:23306577:23311252:1 gene:ORGLA04G0227700 transcript:ORGLA04G0227700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQEAMNEVMRLCGSASSYQSRQSTPPATNATTSSYLLEPKIYVRDADMESIKNLIMRNKSNGITVLPIVGIGGIGKTTLTQRVYNDPEIGNQYEIKKIWVHVSDKFDVVRITREILEYVSNQSYQGISNFIMLQQDLEKHMKSKKFLIVLDDVWDVTTDCWNKLLAPIRANHVNLSQEKVIGNTMIIVTTRKHTTAKLCGTVGSINLEGLKDDDIWSLLKAYAFGSDKHDNNPILQNLGRKIAKELKGSPLAAKTVGSLLRRNLTVDHWSSIIENKEWQSLQHTDGIMHALKFSYDHLPSHLQQCFSYCSLFPKGYSFSEAQLIQIWIAQGFVEKSSENLEQKGREYLAELVNSGFLQQIESMWASSEDIVLQDLMHDLARMVSKTECATIDGSECEKLAPSVRHLSIVTDSAYSEDPHGNISRNEEFEKRLLKVMSRREELPSSDSNGSPSSEYFTDIILNEVIYGLEPHHSLKHNGVTSPTCLATSLTSLQTLYLENCGKWQILSLERLCLLKKLVLIRMSNAVEVSICSLEELVLIKMPKLKRCFCTSIRNLNDNLRVLMIKTCPALEVFPLFDNCQQFKIEQPSWLFRLSKLVIHKCPHLHVHNPLPPSTNVSKLSITGVSTLPTVEWSRGILRIGVQNDSYDPSVIDEPSDQLITLDDKALQDLELYECEQITGLSIGEEESSQPNLMSTPETLSLGHQGDSPTSSARDGLVRIPSNLISSLKHINICDCPGLTYNGNDEGFAKLTSLESLRITNGAKLLSSLVHGNGYDERKNINLIPLSLEVLELKGYDLPEELVPGFLRNPNRLKKFSVMETLSLKSLQLQSCTALEELEIANCESLSTLEGLQSLRGLKNLIIWGCPILPQWLRSSLEQVQELLPRLERLQIDDPSVLTTSFCKHLTSLQRLKLFYCNWELVRQTDEQDIALQLLTSLQELSFSGCHNLRDFPVDLYSFPSLKRLAIYSCKDISRLPEKGLPPSLEELDINDCSEELNDQCRMLPSKLKEDEQRRRFTSRSLNLVRLPEVRLPHAHDFH >ORGLA04G0227600.1 pep chromosome:AGI1.1:4:23305410:23305727:1 gene:ORGLA04G0227600 transcript:ORGLA04G0227600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEAGLLEGGIGWLAETILDNLDADKLGEWIRQIGLAADTEKLRAEIERVDGVVAAVKGRAIGNRSLARSLRRLRELLYDADDAIDELDYHRLQHQVQRGGKAF >ORGLA04G0227500.1 pep chromosome:AGI1.1:4:23250036:23250344:1 gene:ORGLA04G0227500 transcript:ORGLA04G0227500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVGSVVDAAIGCLVQSILGSFFTEQMEAWTHEIGLAEDIKKLEFEMMAVERVLAAAEGRSIDSKPLAESLGSLRELLYDAEDVMEELDYHRLKHQIEKGS >ORGLA04G0227400.1 pep chromosome:AGI1.1:4:23242641:23247709:1 gene:ORGLA04G0227400 transcript:ORGLA04G0227400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSAVEVAPTSVSVSEGKSGKKGKRNAEDEIEKAVSAKKQKTVPEKVVPSKEEAKKVKKQPPPKKVESSSSEEDSSESEEEVKAQPKKTVQPKMAAQPAKEESSDDSSSDDEPAKKPVAHPNKAALSTNSSSSDDSSDESSSDDEPVKKPAAPLKKPVALATNGSKKVESDSSSSDSSSDEESDEDDKKTAAPVKKPSVAAIQKKTQESDSSDSDSDSESDEDVPTKAPAVAKKKEESSESSDSESDSDSDDEAAAVKKEEESSDSSDSDSESESDSDEPAKPTIPAKRPLTKDTKKGQSKDESEDSSDESSEESDDEPPQKKIKDSTTSGTTKPSPKATKKEISSDDESDEDDSSDESSDEDVKQKQTQAKKQAPVAQESSSSDESSEEDSDMESDEPAKTPQKKETAVSVGSNKSATKLGQEEPKTPASNQNQATGSKTLFVGNLPYNVEQEQVKQFFQEAGEVVDIRFSTFEDGNFRGFGHVEFATAEAAKKALELAGHDLMGRPVRLDLARERGAYTPGSGRDNSSFKKPAQSSGNTIFIKGFDTSLDIHQIRNSLEEHFGSCGEITRVSIPKDYETGASKGMAYMDFADNGSLSKAYELNGSDLGGYSLYVDEARPRPDNNREGGFSGGRDFNSSGRGGRRGGRGDGSRGRGDRGRGRGFGRGDRGRGGRGTPFKQSAGTPSAGKKTTFGDDD >ORGLA04G0227300.1 pep chromosome:AGI1.1:4:23238898:23239338:-1 gene:ORGLA04G0227300 transcript:ORGLA04G0227300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMDKGRALPKFGEWDVKNPASAEGFTVIFQKARDDKKTTGPGNARVGIPPAFRSTDDGGYRPEFKPAESYQQHTPPKRVKKKWAFCAGC >ORGLA04G0227200.1 pep chromosome:AGI1.1:4:23230131:23238101:1 gene:ORGLA04G0227200 transcript:ORGLA04G0227200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWHVPGISAASPVDTILDKENFKLEDLLDEDEIIQECKALNTRLINFLRDKVQVEQLLHYIVEEAPEDAEKKRIFRFPFVACEIFTCEVDVIMKTLVENEDLMDLLFSYLKPDRPHGTLLAGYFCKVVICLMLRKTLPFVNYVQGHPEIVSQLVDLIGITSIMEVLIRLIGADETMYSGYVDSMQWLDDIKVLEMIVDKFSSSDSPEVHANAAEILCAITRYAPPALATKISSASFVARLFHHAFEDSRPKSVLVHSLSVCISLLDPKRLVLASYQVFRSQLSHATLVTASPETVGGMLDSLGDLLKLLDVSSAENVLPTTYGVLQPPLGKHRLKIVEFISVLLSIGSEAAEIRLIHLGAIKRVIDLFFQYPFNNFLHHHVESIISSCLDSKQDQLICHVLDECKLVTRILEAEKNSALSIDLTKHTVPLEGRFTPRIGLVGHMTRISNKLIQLAKTNSIIQSHLQQNSGWAEWHAGTLTRRNAVENVYQWACGRPTTLQDRGRDSDEEDFRDRDYDVAALASNLSQASKYGIYSYEDIDEDQVPHERDDEDVYFDDESAEVVISSLRLGDEHDSNSLFTNSNWFAFDEDKALNGEVNPEASPSPNSEISSPNVDDENDEVILTEVTDGRKGSESLLAVDLNEESSHTGLTNVSIDKLEDDIRPPTPDVKESPPEFVEWREEEAEPADVPENDTAVPNGEVGSLDQMDGIEDVMSGTTELRVEKEIEVLSGTSVPESTIGELLPGSTEISTTRHPEPVDDRNPMEPPMGEQKAES >ORGLA04G0227100.1 pep chromosome:AGI1.1:4:23221589:23223944:1 gene:ORGLA04G0227100 transcript:ORGLA04G0227100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLHYSLLRKNLGKIQAGALSPTKLRMKLLGTHNRVRVISNSSSRTSPSKNTEPSQAQNRLLVCDVLEEAVSGSSDGSKCSSAINKTEALEKDLPLDINKVEDMTKSSVQQPASSNSSMIHPVRTIEEESNDCDSGIDNASTSSFEFHGGEKTAAQNPTSGYFSRQTSSKWNDAEKWIVNKQNVQQNISKGAPQNQSAQQMNSAAGRGFIVPKISNRNTIPRPMQNMKRPSPASSASRSILERLSFGSHQPKLVRHADVCTVNNAGVTSEYQTKATDNGSSIEMRPYKDPKAIPAVHSVSVRDVGTEMTPIPSQDPSRTGTPLGSMTPTRSPNCSIPSTPNCSIPSTPVGGRSTASPGDDNTDGPYFNRKGGTNEISDDEMRLKTRKEIAALGIQLGKMNIATWASKEELELVSASPSIADLERMKKEYAARAAAYEEAENFKHTARFKKEELKIEAWESLQKAKIESEMKRIEEHAEKLRSKAMAKMAEKLEMTRRLAEEKRASANARMNQQAAKAVHKAELIRQTGRVPGSCILCCSGCFCQH >ORGLA04G0227000.1 pep chromosome:AGI1.1:4:23201950:23212804:-1 gene:ORGLA04G0227000 transcript:ORGLA04G0227000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDPLEWYCQPVNGGVWSDVENAFGAYTPCGTETLVVCISYLALFGVCFYRIWRTTRDYTVQRYKLRSPYYNYMLGLLVVLCIAESLYRIATGTSIMNLDGETSLAPFEVTSSIIEIAAWCCMLVMIALETRIYIYEFRWYIRFVVIYILVGEAAMFNLVLSVRQYYSSSSIFYLYCSEIICKLLFGILMVVYLPSLDSYPGYTPVRHDALVDNTDYEPLPGGEQICPERHVNIFSKIFFSWMTPLMQQGFKRPITDKDIWKLDSWDETETLYNRFQKCWNNELQKPKPWLLRALHSSLGGRFWLGGFFKIGNDASQFVGPLILNLLLESMQKGDPSWSGYIYAFSIFAGVSLGVLSEAQYFQNVMRTGFRLRSTLIAAVFRKSLRLTNDSRKKFASGRITNLISTDTESLQQVCQQLHSLWSAPFRIVTAMVLLYAQLGPAALVGAAMLVLLFPIQTVIISKMQKLTKEGLQRTDRRISLMNEILAAMDTVKCYAWEQSFQSKVQDIRDDEISWFRSAQLLAALNSFILNSIPVIVTVVSFGVYSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLAEERLLLPNPPLDPELPAISIKNGYFSWESQAERPTLSNVNLDVPMGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSNTSVVLRGTVAYVPQVSWIFNATVRDNILFGSPLQPPRYEKAIDVTSLHHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKGELQHKTRVLVTNQLHFLPYVDKILLVHDGVIKEEGTFDELSNSGELFKKLMENAGKMEEQMEEKQDESKTQDDIKHPENGGSVIADGDIQKSQDTSNKTKQGKSVLIKQEERETGVISAKVLSRYKNALGGIWVVSVLFFCYALTEVLRISSSTWLSVWTDQGSTKIHGAGYYNLVYGLLSFGQVLVTLTNSYWLITSSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDMGDVDRNVAVFVNMFMAQISQLLSTFVLIGIVSTMSLWAIMPLLILFYAAYIYYQTTSREVKRLDSITRSPVYAQFAEALNGLSTIRAYKAYDRMANINGKSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPPVIEDSRPPPGWPSSGVVKFEDVVLRYRPELPPVLHGISFIINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILVDDCDTSKFGIWDLRKVLGIIPQAPVLFSGSVRFNLDPFNEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSAGKVLEFDSPENLLSNEQSAFSKMVQSTGPSNAEYLKTIVFGDGEERLRREESKMQDIQRKWVASNRWAVAAQFALAASLASSHSDLLALEAAEGNNILRKTKDAVITLQNVLEGKHNTEIDDTLAQYEVPSDRWWSSLYKVMEGLAMMSRLGRNRLQQPSYNFENNSSIDWDQM >ORGLA04G0226900.1 pep chromosome:AGI1.1:4:23196154:23200854:1 gene:ORGLA04G0226900 transcript:ORGLA04G0226900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMHRSSSDGGSSSGWSEAAAASAAGDERAGWEVRPSGMVVQAREEGGGGGGGGMGIPPRPPPPEITVRVKYGAARHEVAVSSIASFGELKKLLAARTGLPAADQRLTYRGKERGNADYLDVCGVKNKSKLYLAEDPTSVERRYIERQKSAKIETANRAIGAIALEVDKLADQVRSIEKSITRGSKVAEVQITTLIELLMRLAVKLDSIHAEGDSSSQKNIQAKRVQKCVETLDVLKISNARLQNVIVTTKWETFDAPATTQWELFD >ORGLA04G0226800.1 pep chromosome:AGI1.1:4:23187770:23189055:1 gene:ORGLA04G0226800 transcript:ORGLA04G0226800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRDLGRPLLVALCVVALFAVGSESHGLEDFREGNTEATPAMASFFGSKPEAAELPEALDASNAAAATAKPEAASAIPRATATTTASASSAPPRRSVSVAAGVACAVAAVAVVGVAVAVAYVVRARRAARRESEVRLGSPKPTREMKLQSWTTVAESSGFCMRSLLQRVQAAGKRARRPLESV >ORGLA04G0226700.1 pep chromosome:AGI1.1:4:23180890:23182578:-1 gene:ORGLA04G0226700 transcript:ORGLA04G0226700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 2 [Source:Projected from Arabidopsis thaliana (AT5G21040) TAIR;Acc:AT5G21040] MDFDCKTARGDSSSVNCSCIVTEGTVVQAKPVSHNGKAKHWNSLSTLNNQKCSYELLSDPKKNVETSDGETASKCDSWCFTDLPSALVCEVLEHLDPKELGIVSCVSTLLHTLATDHQGWKKFYCERWGIPTPPVTLNGPLVPGGTSDWKSWKTLFVEREFRSKSFMGRFSVDVLRDHSEDVRTVFLLASVNLIFTGGNDSVIRMWDLEEGLLIDKSRPLCCTIRAIAADTRLLVTAGTNAFIHCWRAVEGNSYPFHISGNGTDQSPEFRLWGHEGPVTCLALDSLRIFSGSWDMTVRVWDRSEMKCVQKFMHADWVWSVAPHGNTVASTAGRDAYVWDIRSSELENVISNAHYGNAFSLARTHLADVLFTGGEDGAIRLFNVSEVSDDEDIKPAATWVPHTGPVHSLAFEYPWLVSASSDGRVALIDLRKLLTPRKSSKQPFRVKNFDPSSIEPPQRMLHGFGCDLFSVAIGADRIVCGGEDGAVKVWNFSEALEIEKRAQALRSMRQENRMRRKKAQVEMNANGRRSDQCGSIAMKRNQLKGDKSVTWHSKRAINDKVKS >ORGLA04G0226600.1 pep chromosome:AGI1.1:4:23174079:23176613:-1 gene:ORGLA04G0226600 transcript:ORGLA04G0226600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLALAVASIVLANLHLLGVHGRDLLLSCGSNATVDADGRRWISDMAPGLNFTLSSPGIAASHAGSSNGNEIFGLVYHSARFFSTASWYNFSVLPGNYCLRLHFFPYTFGNFSGNDSLFDVTANDFKLVSKFNVSEEIVWRSTVSNSAINAVVKEYFLLVGSRGLQVEFDPSPGSFAFVNAIEVMLTPDNLFNDTVNKVGSAGNGQLPLGLGNRGLETMYRLNVGGHALNSSSDQYLHRPWYTDEAFMFSANAAQIVSNTSSVSYLSNNDSSISPIDVYETARIMSNNMVVDKRFNVSWRFYVHPNFDYLVRLHFCELFYDKPNQRVFKIYINNKTAAEDYDVYVRAGGINKAYHEDYFDNLPQQVDSLWLQLGPDSLTSASGTDPLLNGLEIFKLSRNGNLAYVLGHIDMGNQRGISKDRNRKILWEEVGIGSASFVTLTSVVLFAWCYVRRKRKADEKEAPPGWHPLVLHEAMKSTTDARAAGKSPLTRNSSSIGHRMGRRFSISEIRAATKNFDEALLIGTGGFGKVYKGEVDEGTTVAIKRANPLCGQGLKEFETEIEMLSKLRHRHLVAMIGYCEEQKEMILVYEYMAKGTLRSHLYGSDLPPLTWKQRVDACIGAARGLHYLHTGADRGIIHRDVKTTNILLDENFVAKIADFGLSKTGPTLDQTHVSTAVKGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVACGRPVIDPTLPKDQINLAEWAMRWQRQRSLDAIVDPRLDGDFSSESLKKFGEIAEKCLADDGRSRPSMGEVLWHLEYVLQLHEAYKRNNVDCESFGSSELGFADMSFSLPHIREGEEEHHSKPSSIREDPDT >ORGLA04G0226500.1 pep chromosome:AGI1.1:4:23169830:23172420:1 gene:ORGLA04G0226500 transcript:ORGLA04G0226500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62940) TAIR;Acc:AT3G62940] MDETLADESAAAAGGGGEAAAAEPAQESQQETLEEVLSRHRKEKSKLQDKETSLKKAAAKGSKAEQKAKKKQVEEEISRLSAELEAKHAAELATFGYKSSGSSEKGNMDTLVKAIAGVSVTSNADSAKPSKGARRREKKAKEEAAREQRIQEEQNNLVSDRMIENEKLEKKLEPMGLTIQEIKPDGHCLYRAVENQLSLYSRETTQYNYQELRQMTANYMKEHAADFLPFFLSEGKVESGPDPLESFKRYCEEVESTAAWGGQLELGALTHCLKKHIVVYSGSFPDVEMGKEYKLDSGGKDGPSIRLSYHRHAYGLGEHYNSVVPA >ORGLA04G0226400.1 pep chromosome:AGI1.1:4:23159000:23160670:-1 gene:ORGLA04G0226400 transcript:ORGLA04G0226400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCFMFRKKQPVEGDDGEQREHRVKIFSYSELRKATHDFSGANKIGEGGFGSVFRGVLRDGTTVAVKVLSATSRQGVREFLTELTAISDIKHENLVTLIGCCAEGSHRILVYNYLENNSLAQTLLGSRGSNIRFDWRTRVKIAVGVARGIAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSFGVLLLEIVSGRCNTNTRLPYEDQFLLERTWVRYEQERLAEIIDADLGNDLDVDEACRFLKIGLLCTQDAMARRPNMSTVVRMLSGEKHFSVHRITRPAMITDFADLKVSSSQQKENETTRSSNMRSFSTTDETEPFSSSETPTQTSI >ORGLA04G0226300.1 pep chromosome:AGI1.1:4:23156024:23157391:1 gene:ORGLA04G0226300 transcript:ORGLA04G0226300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60570) TAIR;Acc:AT5G60570] MEDLQDCDCKSLVAVPGSVVLHLFRLFNQQDNSWQKYTLAYFLLVRNEYFSRDSRKHSDGKNQLLDCCDDSELDLDVLYADLDSKELELKLQKPVVKTQSKGDSSASGSNDCFFPGLHDDLAQDCLAWASRSDYPSLSCLNKKFNLLINSGYLYRLRRKYGIVEHWVYLACSLMPWEAFDPSRKRWMRLPRMPCDECFSCADKESLAVGTQLLVFGREYTGLAIWMYNLLARGWSRCTPMNLPRCLFASGSFGEIAIVAGGCDKNGQVLKSAELYNSETGHWETLPDMNLPRRLSSGFFMDGKFYVIGGVSSQRDSLTCGEEYNLETRTWRRIHDMYPGGTSASQSPPLVAVVNNQLYAADQATNVVKKYDKGNNTWNIVKPLPVRADSSNGWGLAFKACGDRLLVIGGHRVPRGEVILLHSWCPEDGNGGADWEVLSVKERAGVFVYNCAIMGC >ORGLA04G0226200.1 pep chromosome:AGI1.1:4:23143982:23147826:1 gene:ORGLA04G0226200 transcript:ORGLA04G0226200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLRNGLIGPILLETFEYPSDSNVETYTLVFMMIQWKKRERHLMKLGISIMTXKIISKREQVIXQRTXQVSSDQRGNXHXRPDKRXKGRRCXTEYDCRXSCSSWQWFGWCFAVLYEVLNASNGAYPDLLCPFFLYGTDGGICHQASKXRQRQWPLCGGERASXESDAHAMGQKDILQLAIFRNLLLSISLPGRALFRTSNEAPMLGAMPPPPSKGPKNXTCSGLNCLEQISSPHRQQRQGQVPTAHDM >ORGLA04G0226100.1 pep chromosome:AGI1.1:4:23136153:23138960:-1 gene:ORGLA04G0226100 transcript:ORGLA04G0226100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEANLPPGFRFHPRDEELVVDYLYHKLSGGAGEFFGGVAMVDVDLNKCEPWELPAYFLHNLIIFPARARARAAGRRRRADAARVGATEWYFFSLHDRKYATGQRTNRATRSGYWKATGKDRAIVTRRRAAAGEAVAGGEVVGMRKTLVFYQGRAPRGSKTEWVMHEFRVDGHAVADHPSSSTSSSSSNLLKEDWVLCRVFYKSRTANPRPVVSGEAAVSLSGELSLPPPPPPPLPVAPAVVDGYTGGGYYEQDSSAGYHHHPHRPPTSAALPFKDLTDFRDLLSNMVQGGGGGAAAAAAAKSEGFHLGWSEEESSGYVQQSSMASQAWNPF >ORGLA04G0226000.1 pep chromosome:AGI1.1:4:23131230:23132243:-1 gene:ORGLA04G0226000 transcript:ORGLA04G0226000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVKSPEPPFAFRPARPPLPPLLDDEEDGEFEFSVPAAAAVLSAADELFSGGRLVPMLPLPPRRPPSSSSPPCSPPPCLEVPPSEPASPRAPRCGGHRWRDLLTLVSKRTSDGEAKDRVGGSPRRREAHAQPLLSRASSSSSSASSCDSGIRNARRPPRTRSAPVASLLHLMSKKPAAVDAPPKRRDHHHQPFLARVSSSSSSSASSSSDSGRNSRAPWHPPGPARPRPAAAAESPRVSASGRVVFRGLERCSSSPATAGIGPRRPRPRGMERSFSANVRVDPVINVFGFGHLFLPSSPSKEKKADKDRDIAGGGGGRRNRPAKLAMVLRDPQD >ORGLA04G0225900.1 pep chromosome:AGI1.1:4:23116163:23120505:-1 gene:ORGLA04G0225900 transcript:ORGLA04G0225900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G46330) TAIR;Acc:AT5G46330] MERNKFASKMSQHYTKTICIAVVLVAVVFSSAAAAAGSGASVSVQLEALLEFKDGVADDPLGVLAGWRVGKSGDGAVRGGALPRHCNWTGVACDGAGQVTSIQLPESKLRGTLSPFLGNISTLQVIDLTSNAFAGGIPPQLGRLGELEQLVLSNNSLAGGVPSRLCNCSAMWALGLDVNNLTGAIPSCIGDLSKLRIFQASMNNLDGELPPSFAKLTALETVVLSFNQLSGSIPPKIGDFSNLQILQLIGNRFTGAIPGELGRCKNLTRLDLYGNGFTGAIPGELGDLANLQALRLFDNALTSAIPPSLGRCASLELLALSTNQLTGSIPPELGELRSLRWLSLHANRLTGAVPATLTSLVNLTYLSFSSNSISGPLPASIGSLRNLQRLVVQNNSLSGQIPASITNCTQLTNVSMSFNMFSGPLPAGFGRLQELEFLSLGNNSLSGDIPDDLFDCGNLTTLNLAFNNFSGGLSRRVGRLGDLELLQLQGNSLSGEIPEEVGNLTKLIGLELRMNRFAGHVPASISNMSSLQLLDLGHNHLDGVFPAEVFELRQLTILDAGSNRFAGRIPDAVANLRSLSLLDLSSNMLNGTVPGALGRLDQLLTLDLSHNRLAGAIPGAVIASMSNVQMYLNLSNNAFTGAIPSEIGGLVMVQAIDLSNNQLSGGVPATLTGCKNLYSLDLSGNSLTGELPANLFPQLDLLTTLNISGNELDGEIPADIAALKHIQTLDVSRNAFAGGIPPALANLTTLRSLNLSSNTFEGPVPDGGVFRNLTMSSLQGNAGLCGGKLLAPCHGHAAGKKRVFSRTGLVILVVLIALSTLLLLMVATILLVSYRRYRRKRRAADIAGDSPEAAVVVPELRRFSYGQLAAATNSFDQGNVIGSSNLSTVYKGVLAGDADGGMVVAVKRLNLEQFPSKSDKCFLTELATLSRLRHKNLARVVGYAWEAGKIKALVLDYMVNGDLDGAIHGGAAAPPPAPSRWTVRERLRVCVSVAHGLVYLHSGYDFPVVHCDVKPSNVLLDGDWEARVSDFGTARMLGVHLPTAADAAAQSTATSSAFRGTVGYMAPEFAYMRTVSTKADVFSFGVLAMELFTGRRPTGTIEEDGVPLTLQQLVDNAVSRGLDGVHAVLDPRMKVATEADLSTAADVLAVALSCAAFEPADRPDMGAVLSSLLKMSKLVGED >ORGLA04G0225800.1 pep chromosome:AGI1.1:4:23113475:23114719:1 gene:ORGLA04G0225800 transcript:ORGLA04G0225800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRPTPTRRSRSAEFHNFSERRRRDRINEKLKALQELLPNCTKTDKVSMLDEAIDYLKSLQLQLQMLVMGKGMAPVVPPELQQYMHYITADPSQIPPIRPSEPRPFQITHATQQRQSNVESDFLSQMQNLHPSEPPQNFLRPPKLQLYTPEQQRRGLASSSGHNSGWITERNSSYNFLE >ORGLA04G0225700.1 pep chromosome:AGI1.1:4:23107318:23111004:-1 gene:ORGLA04G0225700 transcript:ORGLA04G0225700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MASSSSDPDKLMSKADKLTKLSFTRWNADWKSATSLYEQAAIAYRFKKENEKAKDAFEKASKGQEMISSPWDAAKHMESAGALAKELGRWNEVSDFYRRASEFYRECGRAQPASDALAKGASFLEDNTPDEAIKMYDEACSILEEDGKEQMAFDLYRAAASLYVKLEKYSDAAATFLRLGSAADKCNAINSQCKGYLSAIIIYLYAHDFQQAQKCYNDCSEVQAFLNSDQNRCAMKLLSAYEEGDAEGIKQAAQSSAIKHLDHVVIRLAKKLPTGDLQTIKKLADDDGEDSLDENDLT >ORGLA04G0225600.1 pep chromosome:AGI1.1:4:23105528:23105785:1 gene:ORGLA04G0225600 transcript:ORGLA04G0225600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHNRRLSVVVALLMLAASIVLLLLAPPCDGRRQLRGSEATSGGGVVEEKASLLPPLIPNLPLPPIVPGNPPARNSVVNNKSP >ORGLA04G0225500.1 pep chromosome:AGI1.1:4:23102132:23102545:-1 gene:ORGLA04G0225500 transcript:ORGLA04G0225500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQPGRPYQPRGGGGGDLVGTGFATVLTAVSFLTLNTIGGGGGEGGGAPPVEGSEQLQLVLACAILAAGLLFIMYGMRGRGAAAPPPPAGAVLFLRRAVDVAAAVLWNADGVERPLPTPVMVLLLCPLLAEWLGFV >ORGLA04G0225400.1 pep chromosome:AGI1.1:4:23098878:23101385:1 gene:ORGLA04G0225400 transcript:ORGLA04G0225400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDSRRKPNVVGKFTVAVALTVMCIIVLKQSPGFTSTSVFSRHEIGVTHVLVTGGAGYIGSHATLRLLRDNYRVTIVDNLSRGNMGAVRVLQRLFPEPGRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLTVLEAMAAYNVKTLIYSSTCATYGEPDTMPITEATPQNPINPYGKAKKMAEDIILDFSKRSEMAVMILRYFNVIGSDPGGRLGEAPRPELREHGRISGACFDAALGIIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDKAQPGKVGIYNVGTGHGRSVKEFVEACKSATGASIKVSFLTRRPGDYAEVYSDPSKIHDELNWTARYTDLRESLSTAWKWQKAHPNGYGSA >ORGLA04G0225300.1 pep chromosome:AGI1.1:4:23093460:23094551:1 gene:ORGLA04G0225300 transcript:ORGLA04G0225300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G14580) TAIR;Acc:AT3G14580] MSRAVARRSLPPFLRLRSAVCDDGYWIGRLDHKDWLSPNELLKIFANIRDPSLITSSFKRACDRRDYKPNEALYSMMIDRLASCRRFSDVEELLARARAERFRFSDEFFYRLIKMYGNVANHPEKAIETLFAMPGYNCWPSTKTFNYVLHMLVCKRQYEVVHEVYLSASRLGVTLDTCCFNILVKGLCQFSKFGDAISLLYEMPKQGCVPNVTTYSTLMNFLCQHGQVDKAFELCERMQKEDIVADAVVYNILIAGLCREQRVTEAFNLFKSMVPKGCYPNSGTYQVLLDGLLSSGKFVEAKGLVSMMRAERMRPSFSSFKLLIDGLCSVNCLDDAHLVLKQMVEQGFVPRVGTWTKLVTSMC >ORGLA04G0225200.1 pep chromosome:AGI1.1:4:23088317:23089814:-1 gene:ORGLA04G0225200 transcript:ORGLA04G0225200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAFAAVFAAVALVVCSSVLPRALASDPSQLQDFCVADKLSAVFVNGFVCKNPKQVTANDFFLPKVLGVPGNTVNAQGSAVTPVTVNELPGLNTLGISFARIDFAPNGQNPPHTHPRATEILTVLQGTLLVGFVTSNQPGGGNLQFTKLLGPGDVFVFPQGLIHFQLNNGAVPAVAIAALSSQNPGVITIANAVFGSTPPILDDVLAKAFMIDKDQVDWIQAKFAAPPAASGGGGGFIGGGGGGGFPGGGAP >ORGLA04G0225100.1 pep chromosome:AGI1.1:4:23084468:23087631:1 gene:ORGLA04G0225100 transcript:ORGLA04G0225100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazoleglycerol-phosphate dehydratase [Source:UniProtKB/TrEMBL;Acc:I1PPY2] MTTARFVSPSLSRVSPSPAGRVSGSSWLSRAGVALPARPHGLSLHLRPPAMASAAAAGNGSPSAPEDSTALSRIGEVKRVTKETNVHVKINLDGTGVADCSTGIPFLDHMLDQLASHGLFDVCVKAKGDTHIDDHHSNEDIALAIGTALLEALGDRKGINRFGHFTAPLDEAAVEVILDLSGRPHLSCGLSIPTERVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDLRRRGTVPSSKGVLSRS >ORGLA04G0225000.1 pep chromosome:AGI1.1:4:23074417:23075496:-1 gene:ORGLA04G0225000 transcript:ORGLA04G0225000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVSSVARLLRGEAGRRGGAAGEVVTMDLLGGCGGDSRGGVVGGGGGEDEVVDLEVKVPAGWERRLDLMSGKTFLTPRLQGVHVGHQDLNLPPPPSSAAAAASTSAAVCTLDMVRSALERATAGRSAAAAAAAARGSPATSSSSSASTSSSSSSIGKRHRSPPSGGAAATPASPSMRAAACPSCLTYVLIAEADPRCPRCAGNVPPLAGKPREASAGAGDGSGKKPKIDLNAAADDTE >ORGLA04G0224900.1 pep chromosome:AGI1.1:4:23059685:23064416:-1 gene:ORGLA04G0224900 transcript:ORGLA04G0224900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 6 [Source:Projected from Arabidopsis thaliana (AT1G03000) TAIR;Acc:AT1G03000] MVEATRQRRKPLVLASTQALLDSLPGDRRGSALPPPPPPEPVRIRAGVLRFPNSSSSSSSAGGGEFGEAASFVALPSSALRRLAVVTGTPVLVKNADNNVGRIAKAVVLDHPCLDDSLTEHTEPVDAASPLDNAMGILPCHSFPTNGFPPLDEEVAYVSPLLAFNLGVHVSCLKLLVQKGEKPFKFCSKVEDSDLAAGEESNPILHLEILPSPQVPKHALHLRVSVVRIPECGVFASLKANSAIGGSDYQDMIDQALNEHFKFDRFLARGDVFCIRNNWNCGATSCLACNREDNRLHPHDMIYFKVTGMEPSDEPVHRVNCNQTALVLGGGASASIPPYSLFASPGASVPLHSEIVEHLASIIAPALCPSNILPKVKFSTFLYGPSGCGKRTVVKHVANHFGLHVVECSCHDLMTSSDSGAAAALVAAFKEAHKYSPCIILLRHFDAIGNTSSNEGTQSAQSGTAANIESVIKQYIGQHWVATESLPARDINGNPYLVEPECVSPLQVILVATADRAEGMPQSIRRCFRHEINMKSINEEQRRNLISETLHCVSTSADESINDKFVKDLAVQTSGFMPRDILALVADAGISFAHKVETDKNNSEGDKLKGILPTSSSSSQNEEKTFCKEYILSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLLYKHLFSSKLGKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSSDSAGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNSDASYRERILKAQTRKYKLHENVSLLSIAQQCPPNFTGADIYALCADAWYHAAKNLAKTLEADPSRTSEASADDVIVEINDFMTVLGDIAPSLSIEELQNYEQLRKKIEGPSR >ORGLA04G0224800.1 pep chromosome:AGI1.1:4:23041073:23041831:-1 gene:ORGLA04G0224800 transcript:ORGLA04G0224800.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGTRPDSSLSLSLPRCCLHHTTVLRRHVYVRLQLGPTRELRGGANAVRCSAAAHEIPAPIPLKPERKYAPRGPNRTRTHCHVGPMRAWDPPVRVGNLSPTRVYSDVLALVSPPPSSLSLRDTVLSLPPPLLTMRGLMRCASTGACRVAPGAVAARASAAAVGGGTTKVPAGHVPVEVGAEGEETERFVVPAELLGRPPIAELLRRAAQEYGYARRGPIRIPCPAAAFRRLLGALTGGSGEGGLALAYFSVVV >ORGLA04G0224700.1 pep chromosome:AGI1.1:4:23031153:23035209:1 gene:ORGLA04G0224700 transcript:ORGLA04G0224700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGDAAVVSGCRNRKRKRHMQQQYSLEYPRVSRLRHRRLLAFLSRHDYDSTFDALVQETSVLFRVGHIQALVRQGRWADAASYANRFAPPSRVRTDAGLVFHEFLYIHHVLDCIVAGDHLRGAQVAARYQRHVRDNPDPSPGAIKLIRILITILHCRPIRAFLNWHLVRYKAAEIIKDLIPQIPEFNDLLKLPKGGPIKPHNILPIGSSSHRRRYVKNEDRISAPDIARFYLQKKRGLPSSTICQERYYTGLSRNAANWLADIIDKSLQAGVLRDGYPFKHSCNEGVTGAPIGLDSPANSYGISTQTIADMMRPLIISDIGQGSVARNNPTRPETTAHQAISPTTQQITTEFVQHSESQFQEYNSQMDGRVRIVESGMKRSRSFGGGCSSSVEISS >ORGLA04G0224600.1 pep chromosome:AGI1.1:4:23028334:23028900:1 gene:ORGLA04G0224600 transcript:ORGLA04G0224600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSALCRPAPAIHAFTAVCALPPPALHACRPSPPHHNNVRRHCEPLTPLAAIALPCRAPPTVPGCCTQVVPAQPQRRRFPRCLTPPAPSFSAGVVPEPAYSRGKLYRLPHDPLHPRLLPRPSLAHECVGKGGAAPAQDGFQLCRPRPGRDPPSLVGLACSTTTRDGSTLAAGLPLTGKGAIRVAGGEFL >ORGLA04G0224500.1 pep chromosome:AGI1.1:4:23014151:23021844:-1 gene:ORGLA04G0224500 transcript:ORGLA04G0224500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLLLLLAAVAQAQKAPTATTDRIEAEALKAVFEKLDQKAEWNTTGDPCSGAATDSTDINDSSINPAIKCDCSDQNNTVCHITGLKIYDKDATGQIPGELRNLTHLTHLNLSHNFLVGTIPSFIGELAAMQYMTFGINALSGSIPKELGNLTNLVSLGFSSNNFSGSLPSELGSLFKLEELYIDSAGLSGELPSSLSKLTRMKILWASDNNFTGQIPDYIGSWNLTDLRIGDIASGSSSSLAFISNMTSLNTLILRNCMISDSLALIDFSKFASLTLLDLSFNNITGQVPQTLLNLNFLSYLFLGNNNLTGSLPSSKIRSLRNLDFSYNQLSGNFPFWVSEEDLQLNLLANNFMVNISNNSALPSGLECLQRNTPCFLGSPHSASFAVDCGSTRFISGSRNSSYQADATNLGAASYHVTEPLTWGISNVGKFMDTPNGTTIINNARQFQATLDSELFQTARMSPSSLRYYGIGLQNGNYTVSLQFAEFGFEDTESWKSRGRRVFDIYVQGERKEKDFDIKKEAGGKSYTAVKKDYIVSVTKNFVEIHLFWAGKGTCCVPTQGYYGPTISALSLSPNFTPTIGNVAEQNSSTSKTVVIVAVAIGVTILGLVALVGIFLWRKKRRKLSLEQQELYSIVGRPNIFSYGELRSATENFSSSNRLGEGGYGAVYKGKLMDGRIVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLHIGWPARFEICLGIARGLAYLHEELSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKMTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDVLEEDKIYIFEWVSSP >ORGLA04G0224400.1 pep chromosome:AGI1.1:4:22947495:22954751:-1 gene:ORGLA04G0224400 transcript:ORGLA04G0224400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFIRSRVRRQLHLHGSVLLIIPLLLAAAVAQAQQAPTTRTDPTEAAALNAVFAKLGQKAQPSWNITGDPCTGRATDGSSTEDDSFNPAITCDCTDQNGTVCHITKLKIYAMDASGPIPEELRNLTRLTNLNFGINALSGSIPKELGNLTNLISLGLGSNNFSGPLPSELGNLDKLTGLYIDSAGLSGELPSSFSKLTKVEKLWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPATLSKLVQLTNLRIGDIENGSSSLAFISNMTSLSILVLRNCKISDNLASIDFSKFASLKLLDLSFNNITGQVPEAMLGLNSLNFLDFSYNQLSGNFPSWANEKNLQLNLVANNFVLDNSNNSVLPSGLECLQRNTPCFLGSPHSASFAVNCGSNRSISGSDNYVYQADGVSLGAAQYYVTGETKWGVSNVGKFMDAPSNGIYIFNSSRQFQSTLDPELFQTARLSPSSLRYYGIGLENGNYTVTLQFAEIEFEDTKSWKSLGRRVFDIYIQGERKERNFDIRKATGGKSYTAVKKQYLIPVTKNFVEIHLFWAGKGTCCIPAQGYYGPSISALSLKPNFIPTVRSAAAQRKSSSKTGVIVGVVVGVAVFGFVALAAIFLWMQKRRKLSLEQQELYCIVGRPNVFSYGQLRSATENFNFSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSNQGKEQFATEIETISRVQHRTEKLNIDWPARFEICLGIARGLAYLHEESSIRVIHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGRMTEKVDVFAFGVVLLEILAGRPNYDDALEEDKIYIFEWAWELYENKNPLGLVDPNLEEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVTMLAGDVEAPEVVTKPSYITEWQLKGGDTSYMDSEVSWQSSSAPGGPASPRTSSPFLSSVD >ORGLA04G0224300.1 pep chromosome:AGI1.1:4:22938413:22946111:-1 gene:ORGLA04G0224300 transcript:ORGLA04G0224300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRMAVSRLLHGCVLVLLLAAAVVQAQRAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLNLGQNLLSGPLPSFIGELTNMQKMTFGINSLSGPIPKELGNLTNLISLGLGSNHFNGSLPTELGNLIKLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLRIGDIENGSSSSLAFISNMTSLSILILRNCRISDDLASLDFSKFASLSLLDLSFNNITGEVPATLLGLNSLNFLFLGNNSLSGSLPTSKGFSLSTLDFSYNQLSGNFPPWASDKNLQFILPSGLACLQRNIPCFLGSPHSSSFAVNSGSNRFISGSDNLRYETDGVNLRAASYYVTGAPTWGVSNVGKFMDAPNGNYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRVFDIYVQGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVMVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPERFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVSMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSTSEQQGSSLFLNSVIPEGR >ORGLA04G0224200.1 pep chromosome:AGI1.1:4:22923941:22927155:-1 gene:ORGLA04G0224200 transcript:ORGLA04G0224200.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSTXTAFREVHPVFLVLQRLPPSLXTVVALSPQAQIICGIKVMKSILEMHHIILQENQHGVLALLEGSWMHQMEVTQSAAHASFRTHXIQKCSKIQGRQHHLXDTVTLQFAEFGFEDTQSWKSLGRRVFDIYLQGERKEQNFDIRKAAGDKSYTVVKRSYKVPVTKNFVEIHLFWAGKGTCCIPTQDNYGPSISALSLIPADFTPTVSTSISPEGNNNTSKAGVSTSKAGVIVGVVVGVTILGLIALAAILIWRQNRRKRKLSLEQQELYSIVGRPNVISYGELRSATENFSSSNLLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKVQFAAEIQTISXVQHRNLVKLYGCCLERNNPLLVYEYMDNGSLDKALFGTGKLNIDWPARFGICLGIARGLAYLHEESSIRVVHRDIKASNVLLDAYLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGRLTEKVDVFAFGVVLLETLAGRPNYDDALEEDKIYIFEWAWELYENNYPLGVLDPRLTEYNGEEALRAIRVALLCTQGSPHQRPSMSRVVTMLAGDVEVPEVVTKPSYITEWQIKGGNTSFMGSDVSWRSSSAPREIISPQDSSPFLSSVE >ORGLA04G0224100.1 pep chromosome:AGI1.1:4:22912789:22920303:-1 gene:ORGLA04G0224100 transcript:ORGLA04G0224100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMSHLLLHGGVLLLLLAAAAVQAQRVATKTDPTEAAALNAVFAKLGQQASLSTATWNISGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTVCRITKLKIYALDVPGTIPQELRNLTRLTHLNLGQNTLTGPLPSFIGELTNMQNMTFRINSLSGPIPKELGNLTNLVSLGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLRFQGNSFQGPIPSALSNLVQLSSLRIGDIENGSSSSLAFIGNMTSLSILILRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPTALLGLNLLNSLFLGNNSLSGSLPSSKGPSLSTLDFSYNQLSGNFPPWAGGKNLQLNLVANNFVIDSSNNSILPSGLACLQRNTPCFLGSPQSSSFAVDCGSNRLISASDNLRYQTDDASLGPASYSVTGALTWGVSNVGKFVGAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQTWKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPADFTPTVGIVAEQNKSTSKTGVIVGVVVGVAVLGLVALVGIFMWRQKRRKLTLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLNDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGIEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDPNLREFNRAEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQKASSVFLNSIIQEGR >ORGLA04G0224000.1 pep chromosome:AGI1.1:4:22863058:22870794:-1 gene:ORGLA04G0224000 transcript:ORGLA04G0224000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLSYCCYLHGCLCVLLLLCSWRAADAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISVDPCSGAATDDTPLDDNPAFNPAIKCDCSDHNNTLCHITRLKINTLDVVGPIPEELRNLTHLIKLDFRKNYFTGPLPAFIGELTALKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLWASDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLSSVDFSKFGSLNLLDLSFNNITGQIPPSIVNLPSLTFLNLVANDFVIDGTDMSGLSWGLNCLQRNTPCFLGSPKSASFAVDCGGSRTISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDSTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYVQVACKLIISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSATPIFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHRNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNVLLDAGLNPKISDFGLAKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRTYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >ORGLA04G0223900.1 pep chromosome:AGI1.1:4:22859421:22861763:-1 gene:ORGLA04G0223900 transcript:ORGLA04G0223900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G56090) TAIR;Acc:AT1G56090] MAGAAVEQAHELYRGGRHRDALELYTAALAAARGPAQRIALHSNRAACYLKLHDFHKAAEECTSVLELDREHAGALMLRAQTLVTLKDYQSALFDVNRLIEINPSSEVYRNLHARLKTQLALAPIPESEEESLYLEEDKQELPPERNVNIEICITKSDKPATEMILKKKPTTEPIVENKPATELILEKKPATELILEKKHATEPPKVEVPPSLPQKPQGWETIAKPKGHSGLDYSKWDKVEDDSSEDEDEEEEELPQYKFKVRTLAGSPELRGASPVTQIHNSLVPSCEQKFRFQDLKPGWQIPKSELSRLEEMREGLQ >ORGLA04G0223800.1 pep chromosome:AGI1.1:4:22854594:22856980:-1 gene:ORGLA04G0223800 transcript:ORGLA04G0223800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEAAAGVVDFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADAARLRRDLADRDRAEADLRARLADSDARLLAALDENAKLAKERDSLASTAKKMARNLAKLEAFKKQLMKSLSEDNLLQLSEIGDDRDFDANNNLTTRVPSWKDEVSSSRTSADSSSRSTMTESAQEHQFSVTPYTAPKLTPGSTPKFLSGPTSPTKSLSEVHSTFSSWHGSSSHQYSAPTSPPQHRSFAGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSREDTLSKAEEIFGTEHKDLYISFQNMLNRNHS >ORGLA04G0223700.1 pep chromosome:AGI1.1:4:22849323:22853163:-1 gene:ORGLA04G0223700 transcript:ORGLA04G0223700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTSGDPTAAAGEPTPSSSASAQPRQPASRISHIVRTYLDLSSNPKKRRAAPKSHPKPAAAAAGQGAPDAGDGKDGGGKAAATPSSAAQPTTTRLLRELGVRVSRYTHEERRDIVLRYMQKRSGRQGVKRATAKAPSRQALAERRRRGARGQFLGKEGAKTVDKPEEDPEVPPEVIANAGGVPIVGMVFENEEKAYEYYASYAGNVGFSVRKGLWDKTVKNVARSRVFVCSREGFRSKNEAKRPRPETRTGCPARIAIKLVSNGKYRVAEFVEDHNHQLAAPFDIDMLKSERVLTKVQPGNRNASNIPPGCKNYIRAKSSTHMNSEDLGALMDYFRRMKSDNPSFYYAIQVDENDKATNVFWADARSIVDYHYFCDVICFDTTYRSNDCRKPLALFLGMNHHRQTIIFGSAFLYDETVESFKWLLETFKSAMCGKQPKTVLTDRSAALKEALSLTWPGTIHRSCVWQIYQNAFKSLEHVFNTLEEFTHDFHHCMFDIEDDQEFDEIWNVIIKKYSLKGEEWLTKLYEDRENWALPYNRQIFFGDIKGMLQVETSCVGLREFLDCEKDLSKFFMFLESSMEKRRQEEIQADYQASEGAPRISLPLLWQAAKVYTPIIFELFRKEYELCMDCMAYSCGEFGSLSEYMITVKNKTKDQLVRFDSSDGTVACTCKKFENAGILCCHILKVYELRNVKEIRPQYFLKRWRKDAKVGSTDETNRFNFDSGTKSSVPERYAALCRSFYKIAAKAAENAETFALMASQSDRLVEEVERILQSTLANKSSVGPSIKNQLTSMVQNEYLLNSSNEVEKSTGKKKTEVYRHKSAVVTNKMQKTRKGVDFLVQGHYILGQSYGLGTSENLHNNLNQFGQASSLPTMQQQAFPGNNQLSQLQGYPSDMHALQFVGANPQMEHQNGDQGQSSIPVWDFL >ORGLA04G0223600.1 pep chromosome:AGI1.1:4:22843562:22847050:1 gene:ORGLA04G0223600 transcript:ORGLA04G0223600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGRDPRRGYDGGYGYPRGGGGQGGTNRGRDGQRGGGRNGPRGGRFPGGRGVEPRRGGDILGGGQGGGRGTAGGGGGLGRGGSELGSGSGTGGAWVLDVNDFPELGSGGNARARSAMAPARPPRPARPPAPASPPPPPPPPAPASPPPPPPPTPASPPPPPPAPAAKGIQAHSLRXGXKRRTTGNPNCFQXQEXKRRTTYQTXANGKTTWWWRSIIXRRGQIVGEPFFSRLPKGINLFSLXNTNQAWXRSQQKALKGRASYSQEXALRAREPSGAFVSCCLXWREKFIHLCXTTRRLHSPCEQIPCEGQFTDLHCISEVEEAAAFKRTLGAAAWAXRCHAGPXCHCAXGIXLRQDCPWSEILPAERQXGHQXXQYCSSQRNPAEPXMHSERADPVCGLFGFAMLESWICVGPCXDYEVHGIPALGRPIEKIEQCAQRPVCYCKSQEDXGEVHCXRLDRXTCRPDNFXRLXIRTDDEAYRVLXGDVXERDXASDASMLGFEQEQVQTKLCADXILXYSXRGEVSSGEVRXQEVXXXGRTREAIYKDDTEKNFYKGCLXSEGGDSGLGGECSRWALQGKNSSAIQDFFRCSNDGSHW >ORGLA04G0223500.1 pep chromosome:AGI1.1:4:22838171:22842274:1 gene:ORGLA04G0223500 transcript:ORGLA04G0223500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEHGGGGRGRGRGRGGGRGGGGGDGRGAGYGGGGGGVGGRGGRGPAGGGGGRGYEPGGGRGYGGGGGGGGRGYGGGGGGGGYESGGGRGYGGGGRGYESGGGRGPGGGGRGHESGGGGGRGGNVWAQPGRGRGGAPAPAPAPAPAARRIQDEGAARSSGTVERIASTEVVRVQPPAPPVAVSRSGTRVPMRRPDGGGSVSKAKVKLLVNHFIVKYRQASTVFHYDIDIKLDISSPKASDKELSKGDFLTVKDELFKDESFRRLSSAVAYDGKRNLFTCAELPDGLFRVKVRSRTYIVSVEFKKKLPLSQLSELPVPREVLQGLDVIVREASSWRKIIIGQGFYSQGRSVPIGPDVVALKGTQQTLKCTQKGLILCVDYSVMPFRKAGPVLDLVQKSVRYLDYRTTLNKHQLDTLKNELKGQRVTVNHRRTKQKYIVKGLTDKPASQITFVDSESGQTKKLLDYYSQQYGKVIEYQMLPCLDLSKSKDKQNYVPIELCDLLEGQRYPKASLNRNSDKTLKEMALIPASRRKEEILELVNADDGPCRGEIAQQFGISLDVQMMEVTGRTLPPPSLKLGTSSGQPCKFNIDQPNCQWNLMAKRLAEGRVLQCWGVVDFSANSGQHALNGNMFIDKVVRKCCDLGVQMNRNPCYVQLLDMEVLSDPHQLFEELNKAKQAAASKKQKLQLLFCPMSDQHPGYKTLKLICETQLGIQTQCFLSFLANKQQGQDQYMSNLALKINGKIGGSNIQLFGESLPRISGAPYMFIGADVNHPSPGNVESPSIAAVVASVDQGASKYVPRIRAQPHRCEVIQHLGDMCKELIGVFQKQNRVKPQRIIYFRDGVSDGQFDMVLNEELADMEKAIKTKDYSPTITVIVAKKRHHTRLFPKDLNQQQTKNGNVLPGTVVDTGVVDPAAYDFYLCSHNGLIGTSRPTHYYSLLDEHGFASDDLQKLVYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMMMSQPPPSSAASSSSASSSGAGASDFRSFPALHEDLVDNMFFI >ORGLA04G0223400.1 pep chromosome:AGI1.1:4:22829812:22830852:1 gene:ORGLA04G0223400 transcript:ORGLA04G0223400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEELKRVDLKVNVSCCEGCRRKVMKAISLKGVLRTEINPSLDKVTVVGDVDSRVLVKKLSKVGKIAEVMAPPPSSTAAPSEEGKKSDGNGGEKPTSPADEKSAARKDEGKDGKGDKSSAAKACKQECSKCTAGKEAADEAGRAGGKTASSKDTVTAKNCDDVDGDKSEPAAVAVEYQYHHHYSRPEPAMVVPVHLPYYAANATPYYAGGYYPIPPPMPAMLRHPPQLRPQPSRFDEDYFNEDNTVGCHVM >ORGLA04G0223300.1 pep chromosome:AGI1.1:4:22825521:22827771:1 gene:ORGLA04G0223300 transcript:ORGLA04G0223300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) TAIR;Acc:AT1G64810] MEILHNNGFCVSLIDINRTRMNKLVKVGSRPRQIAWGMSRICCEQSPGTSSKRYERYQRQPQNVDLPELLPKKKKKPFPVPIKKMLRASRQDKRLARMRIEKPLEPPKNGLLVPELIPVAYEVLDNWKVLIRGLSQLLNVGTVYGCRKCPQVHVGPVGHQIQDCYGTGSQRRNSHHSWVRGSVNDVLIPIESYHQFDPFGWRVKHETRFDYDRIPAIVELCIQAGVELPQYPSRRRTAPVRMIGKKVIDRGGFVDGPKPHRSEDCISLLAELDTFSNQQGQSSTPSNVEELAEKTLKAYLNVQRGVARLMRKYTVKTCGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVVDDVIPPNYVWHVRDPTGPPLRSSLRSFYGKAPAVVELCVQAGAEIPEEYRPMMRADVVIPDSEEARLAA >ORGLA04G0223200.1 pep chromosome:AGI1.1:4:22822397:22823584:-1 gene:ORGLA04G0223200 transcript:ORGLA04G0223200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WAPQVIFPLFNSLSPLIYCDGGTGEEGRWRGGAEGRRAEPSRQPRPLRRGVGGGGLLRRGGCSTECVGFDGLWLSGHNDVLAGKTATAVGGEVNSRLVGSRGPSPSPSTSSRRSSPLRHRPANAVVFDGVLPWAATAAAGLRIPRYAFTGMGCFALSVQRALLLHAPQDGVASDDEPFLVPGLPDVVRLFRPQPPEPCLRRREPLSLRRERGDRERELKVREMGREEEEGG >ORGLA04G0223100.1 pep chromosome:AGI1.1:4:22819212:22819807:-1 gene:ORGLA04G0223100 transcript:ORGLA04G0223100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQGPPPGTAAYPPPGTGYPPPAYGAPPPVAADYGGYQQQPPPPPPQDSQSRGDGFLKGCCAALCCCCLLDMCF >ORGLA04G0223000.1 pep chromosome:AGI1.1:4:22814635:22818336:1 gene:ORGLA04G0223000 transcript:ORGLA04G0223000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19860) TAIR;Acc:AT4G19860] MAVLEDLIRAIELWLRIAKEQVPLVDPTLDPVLLVPGIGGSILEAVDEAGKKERVWVRILAADHEFRAHLWSKFDASTGKTVSVDEKTNIVVPEDRYGLYAIDTLDPDMIIGDDSVCYYHDMIVQMIKWGYQEGKTLFGFGYDFRQSNRLSETLDRFSRKLESVYIASGEKKINLITHSMGGLLVKCFMSLHSDVFEKYIKSWIAIAAPFQGAPGYITTSLLNGMSFVEGWESRFFISKWSMQQLLLECPSIYELLANSTFQWEDTPYLQIWRQKLDTNGKKSAMLESYEPDEAIKMIREALSKHEIISDGMHIPLPLDMDILRWAKETQDVLCNAKLPKSVKFYNIYGTDYDTAHTVRYGSEHHPISNLSDLLYTQGNYICVDGDGSVPVESAKADGLDAVARVGVAADHRGIVCDRHVFRIIQHWLHAGEPDPFYDPLNDYVILPTAFEIEKYHEKHGDITSVREDWEIISHRDDESKRPAELPPMFNTLSASREGEDGSLEEAQATIFVHPESKGRQHVEVRAVGVTHDG >ORGLA04G0222900.1 pep chromosome:AGI1.1:4:22810355:22813639:1 gene:ORGLA04G0222900 transcript:ORGLA04G0222900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSDDKAQLSGLAQSEESSLDVDHQSFPCSPSIQPVASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLQKGLLPRHPGRLPKGQQANSLLDLMTIRAFHSKILRRFSLGTAVGFRIRKGDLTDIPAILVFVARKVHKKWLNPAQCLPAILEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVDKLCGSDECIGSGSQVASHETFGTLGAIVKRRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTVVRGVGDIGDVKVIDLQCPLNSLIGRQVCKVGRSSGHTTGTVMAYALEYNDEKGICFFTDILVVGENRQTFDLEGDSGSLIILTSQDGEKPRPIGIIWGGTANRGRLKLTSDHGPENWTSGVDLGRLLDRLELDIIITNESLQDAVQQQRFALVAAVTSAVGESSGAPVAIPEEKVEEIFEPLGIQIQQLPRHDVAASGTEGEEASNTVVNVEEHQFISNFVGMSPVRDDQDAPRSITNLNNPSEEELAMSLHLGDREPKRLRSDSGSSLDLEKXSDVRC >ORGLA04G0222800.1 pep chromosome:AGI1.1:4:22791211:22797867:1 gene:ORGLA04G0222800 transcript:ORGLA04G0222800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXHPYHLAXNSRDHXILIVPLLQVHDDDKENLVNGNSNLQVKEAHNDEDDGTGSDGFELIDVKENFDSAKVVEEEKASPIEQGKTAALEEQALTRESAMLNQETKQLEELTRRIEELELEKEKLVKGVTEAENKQNLHYTSLQEAQRSLADKDKELADATQSLKELGSELESSKERIQEIEAELAASADKLHKLEELKDERSLHAAQEAKRASELDKTLETAQLNMKEMEAQISSLQEEIKGHQDKAIDHQQVEESLRSTLSELKMVQEALELSKSQVDDLEQKLASQDANISHLTEELSLHRSSEESLKEKTLKLENELSSAHEELQAKILNLQEMEIKLEEQAKEKQAWEATLEKQQEQILNLQTELDESKGGNETLRGTIADLNSKLAERDSLLRQAEDEHAKAQLLLSEALSHKDELEVNLKSINEQHGESRAAAENASQKILELEALLQTLHTTEEALKLQLEEAEARVEVAEKKGSDLEQLLGESENKLVASSGELKLLEERVQQEAASSAEKEKLLEEATNSVEAYKEKINELQASLDSTTSKNQLLEQEVKDLSDKFTEHQEQAHSVHERSLELESLLHTSKSDAEVAHTRTQDLENELNTANEKFKEVEADLEQYRSKVSQLSDELEAYQTKAASLEAVMESASEKEKELVESLGQITEEKKKLELLVLEYEEKTEEYLKEKQSLEERLQSQESKVLALEESLVKMGEEKESHEGTIADLNLQLSNKNDMYMQLESQLSQAGDDHSKTRSLLSEAQLHKEELELNLKSLNDLHVESKTAAESALQRIAELETQVQELSAAEQSLKSHLTEFESKLASAEKKSMDLEQELKDATEECNSSRLRVDELSGELEEYKEKRTSLEASLLEAKQKEAELSEKLDQVNEEKEKFEELSKKATIKHLEAENQVQALQGELESARHKLEEVESDLEALGIRETSVLDKLKSAEEQLEHKGKALEHATSKKIDLEALYQSLLEDTEMKLQQAGENLTQKETECQELSEKLKAAEEQAASYQAKATAAAEEVESVKVELEAFETEISTLETTIEELKTKASNAESRAEQALVESAMMSETNQALKEDLDAKLAMLRELQEQFDSTHAEKEEVFTKLSAHEKTIEHLTEVHSRGLELHATAESKNAELEAQMHEALETIGKKDSEVKDLNERLAALESEIESLTHVNEAMKQEINAKLVKVDELQEKLSSISSEKEEVAEKVVVHEKTIEHLREEHSRGLELQSAAESRSAEIENELREVLETVAQKEAEVTDLKEKLVSLETENEKLVGINESLKGELDTKVAMFDELQEQFSSTHAEKEEAAEKLAVHERTISHLTEVHTRSLELHSAAESKNEEIESKLHEALEMAAQKEAEVKDLSKKLDALEIELGYYEEQATEAAAAEETHKIKFDEAVHKIKSLEEQLAVTENKVELFHTEKENLVIANSKLNEELELHQNKLNELQVALAAAVAEKEGSSEEIHSLRKTLDGMIQRKEELESQVSSTVEEHEELKSKYNITLEEKQLLNEKYESAKKELGEAIAKLEEQMNVDKSEKELHISKLERQITLSELKYMEEIQTMQVETTEKDEALTTKMQEHANLVHEKDALEQQLLEVRKELNDAYHTIANQEEQVSVREIKWDAYKKFSEDQLEAEQQHVAELEVQVSSLKQQLQEAEIHYKHKVTEEREKLALVNTEVSKLTQKLSKSAEMEKKIEHLEQKLQAKDSVESTSRDFSLDSSTLPSKQRDRSLAPETTPPNPTQQQELREPSGIMAFKFILGVALLSVLIGVFLGKRY >ORGLA04G0222700.1 pep chromosome:AGI1.1:4:22778972:22784213:1 gene:ORGLA04G0222700 transcript:ORGLA04G0222700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIARGLLRSNASSSSSQAINLLKYVTSTGSLQGHTQNLCDASTRHFSSVPSPQSNSTEENGFKGHGMLAPFTAGWQSTDVHPLVIERSEGSYVYDIDGKKYLDSLAGLWCTALGGSEPRLVKAATEQLHKLPFYHSFWNRTTKPSLDLAKELLSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPDKKKFIARSKSYHGSTLISASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPGETEEEFATRLANNLEELILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKVQVIVKKYDILFIADEVITAFGRLGTMFGSDMYNIKPDLVSMAKALSSAYVPIGAIMVSPEISDVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYQERNIPDHVKQISPRFQEGVKAFAGSPIVGEIRGVGLILGTEFADNKSPNDPFPAEWGVGAIFGAECQKRGMLVRVAGDNIMMSPPLIMTPDEVEELVSIYGDALKATEERVAELKSKKNN >ORGLA04G0222600.1 pep chromosome:AGI1.1:4:22771445:22776599:1 gene:ORGLA04G0222600 transcript:ORGLA04G0222600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIKHAAFAASFQGETDCTSHASARKFSTSGSSPLLDSTEGNGFKGHSMLAPFTAGWHSTDLEPLIIERSEGSYVYDSKGNKYLDTLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNSTAKPPLDLAEELISMFTAKEMGKVLFTNSGSEANDSQVKLVWYYNNALGRPNKKKIIAQSQAYHGSTLISASLSGLPAMHLKFDLPAPFVLHTDCPHYWRFGLPGEAEEEFATRLADNLENLILKEGPETVAAFIAEPVIGAGGVIPPPKTYFEKIQAVLQKYDVLFIADEVITGFGRLGTMFGSDLYNIKPDLVSLAKALSSAYVPIGATLVSPEISDVVHSQSNKIGFFAHGFTYSGHPVSCAVALEALKIYRERNIPAHVKQISPRFQEGIKAFAGSSIIGETRGVGLLLATEFANNKSPNDPFPVEWGVAQIFGAECKKRGMLVKVVGDEIAMSPPLIMSQREVDGLVSIYGEALKATEERVAELRSKKK >ORGLA04G0222500.1 pep chromosome:AGI1.1:4:22764954:22766629:1 gene:ORGLA04G0222500 transcript:ORGLA04G0222500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGSSDAEEARGGSKMLLRSLDYPCATRLRHRRLAFLINNDYMDAYDEINKRRRLVFHVSDLEDLMKAGRLVEARNYVWRFAPPGGGGGEPSSSAEAVTLWKFIHQLMVLDSFAHGGIRDHTAIRGWFTRILAEPPGFSVLYPSCLALAAHFVAGRVEAARDMADWKVVRSKAASLAEKMAREAPEIRCTMLLPLARAKPKDLFPLIASSSFRRRRYVKAARRASSSHLAQFYLNKKKSLWLQLINVMFLL >ORGLA04G0222400.1 pep chromosome:AGI1.1:4:22751276:22759036:1 gene:ORGLA04G0222400 transcript:ORGLA04G0222400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRRRRCYCRCRGWSGSSPAACGARPSATPAASCRGGRRRCPRSGRTSPRRPRPSSSSSTCTGASPTSSPATRPAPPGPTSTAAATASPVLPASPPSSHAIAIRRIIQTFVVSDKIRESMDWGRVRQKVVRVVRRLVHDTPELAGFLDLPGGMVKPHNVLPIGFGFRSKRHVRQQRRPRAYTIAQLYLEMKRCVPSSGQPDQGLSLDSSLRAGCESSEHHQWWYPLRSSEKKGVLDSIVLQTKFGNMTSPEKNYGFSSLTNAGTYMHSSQEDCHTENYCQGFIPRKHQREELAPEEDIDPKRQHTTLTFGEASLRRCAPERAPTGLRRVHYNLQTRRKLQPVAAACNPFAVRSIHQTPTPPSGALPGLPLRHRRLLAFGMNKRTCLVFHACDVSDLVDLVETTYRPVAEGGCATSGGSPRRSSRAPIEAMTRPRSIGRFIDQLMVRRLVHAHPRRRPPELLQSSTLTAASSSLATSSRQPRTWWTLPDGQGSSTMRYGWYDIIYGIALARCSGLVLGGDLARRVRRLDASRASPGPGRGGAQSRVGRRRRGRWRRSASERVNQWATRSASRRTESKTPTESMAPRASSPPAPGGGARARLSLEGRRERAPAAANAGQMWNAAWNDDAVASAPERGRRASLFAQDRRPPRVSLPSSPRPAAIARLVPNFRRFPPSSPIPAAIARMDDDDE >ORGLA04G0222300.1 pep chromosome:AGI1.1:4:22747786:22748864:1 gene:ORGLA04G0222300 transcript:ORGLA04G0222300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKKIENPTNRQVTFSKRRMGLLKKANELAILCDAQIGVIVFSGTGKMYEYSSPPWRIANIFDRYLKAPSTRFEEMDVQQRIIQEMTRMKDENNRLRIIMRQYMGDDLASLTLQDVSNLEQQIELSLYKVRLRKQQLLDQQLLEMHNRVCNKRIYRFSILPYLIHYIEIIKF >ORGLA04G0222200.1 pep chromosome:AGI1.1:4:22743745:22745681:1 gene:ORGLA04G0222200 transcript:ORGLA04G0222200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESPFRADVLRGKAALVTGGGSGIGFEIAAQLARHGAHVAIMGRRREVLDKAVAALRSHGLRAVGFEGDVRKQEDAARVVAATVQHFGKLDILVNGAAGNFLASPEDLTPKGFRTVVDIDTVGTYTMCYEALKYLKKGGPGKGPSTGGVIINISATLHYTAAWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIEGTPGMRKLAPEEMAKGSREIMPLFKLGEKWDIAMAALYLASDAGKYVNGTTVVVDGGLWLSRPRHIPKEEVKELSKVVEKKVRASGVGVPSSKL >ORGLA04G0222100.1 pep chromosome:AGI1.1:4:22731377:22735401:-1 gene:ORGLA04G0222100 transcript:ORGLA04G0222100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1PPV2] MASLSESEGTNRGSMWELDQNLDQPMDEEASRLKHMYREKKFSSLLLLRLAFQSLGVVFGDLGTSPLYVFYNAFPHGVDDEEDVIGALSLIIYTLTLIPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKISTIPNQHKTDEDLTTYSRQTYEENSVGAKIKRWLEAHAYKRNCLLIVVLIGTCTAIGDGILTPAISVLSASGGIKVQNPNMSTDVVVIVSVIILIGLFSMQHYGTDKVGWLFAPIVLLWFILIGSVGALNIHKYKGSVLKAYNPVYIYRYFQRRNSDSWASLGGIMLSITGTEALFADLCHFPVFAIQIAFTLIVFPCLLLAYTGQAAYIIAHKDHVADAFYRSIPDSIYWPAFVIATAAAIVASQATISATYSIIKQALALGCFPRVKIVHTSKKFLGQIYIPDINWVLLILCIAVTAGFKNQSQIGNAYGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVTFIVLSLMVEIPYFSACLLKIDQGGWVPLVIATAFFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPMDERFLVRRIGPKNFHIFRCVARYGYKDLHKKDEDFEKMLFNCLLSFLRLESMMEGYSDSDDFSVPEQRTEGSISNAFLAEKTNNNTMCSNGDLSYSSQDSIVPVQSPLRGNSLLRYSSQASHTVSDELEFLNRCKDAGVVHILGNTIVLARRDSGIIKKIAVNYMYAFMRKICRENSVIFNVPHESLLNVGQIYYI >ORGLA04G0222000.1 pep chromosome:AGI1.1:4:22722753:22725426:-1 gene:ORGLA04G0222000 transcript:ORGLA04G0222000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNEGSHRITRSGIVRAKPAVTADGKIEPAGEGLPHGWLKEYRPRKNQSGSRVKGDTFYIDPTNMYEFRSQKDVQRYLESGDVTNCVMIQNKRKMEDLHTARNQSHHTRRPSDHRQLDAGEGATQCDLPIARGNSARSDFLVNANSSDNSEDISSSVPKGVSEGKLTRLKLQKARVPNQSVEHESSTGEVANMEHKPKEKKQKTKPVKQISTPLRASPRLAALKINQEGNNEPKDEALSTNTDTANQSQPKLTKSPKAKANSSVLPEKSDGAHTSNASEIPQNKYPSATEQMQGSSVHPQQAGTADAMPGSALSSLLRSIWSDPCLKFAIKTLAGDIPALDFIPSQDMNKGTTPNCSSSAYDGSRNHAQVDHVGMPMPRPSDKFYGSGWFPPQ >ORGLA04G0221900.1 pep chromosome:AGI1.1:4:22712253:22716143:-1 gene:ORGLA04G0221900 transcript:ORGLA04G0221900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVVGSVAAAAAAVGRWGAAPPQAMLERMKDYGQEGAFALWDELSPEDRELLVRDIESLDLSRIDRIIRRSLGSQGFTLPTVEPVPESSVSKVEERLPEDKERWWKKGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSGKSLFQLQAERILCVQKLAAQSSDSPNNTVPIHWYIMTSPFTDDITRKFFESRKYFGLEADQVTFFQQGTLPCVSADGRFIMETPYKVAKAPDGNGGVYAALKSRRLLEDMSSRGVKYVDCYGVDNALVRVADPTFLGYFIDKAVSSAAKVVRKAYPQENVGVFVRRGRGGPLSVVEYSEMDAAMATEINQSTGRLRYCWSNICLHMFTLDFLNQVANSLEKDSTYHLAEKKIPSIHGYAMGLKLEQYIFDAFSYSPSTALFEVLREEEFAPVKNANGASYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >ORGLA04G0221800.1 pep chromosome:AGI1.1:4:22709614:22710735:1 gene:ORGLA04G0221800 transcript:ORGLA04G0221800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVVQTEKAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSTGGSGGKKAFTAA >ORGLA04G0221700.1 pep chromosome:AGI1.1:4:22706392:22708125:1 gene:ORGLA04G0221700 transcript:ORGLA04G0221700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRQGANLPPCRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSTGGSGGKKAFTAA >ORGLA04G0221600.1 pep chromosome:AGI1.1:4:22701884:22705709:-1 gene:ORGLA04G0221600 transcript:ORGLA04G0221600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQPSLSIPPSFAGASPPSPSPIGGGGVGGGVGQPAKDMKMASAEQLVLDLCDPELRENALLELSKKREIFQDLAPLLWHSFGTVAALLQEIVSIYSALSPPTLSPGASNRVCNALALLQCVASHPETRILFLTVLVFETHEDEDKVGAPHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVGSVLANMVVSLAEQPSTRLLKHIIRCYLRLSDNSRACAALQTCLPDMLKDGTFNNCLRDDPTTRRWLQQLLLNVTGAGMGAAPQPGLDHMMGI >ORGLA04G0221500.1 pep chromosome:AGI1.1:4:22698490:22699947:-1 gene:ORGLA04G0221500 transcript:ORGLA04G0221500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPKLTTPSCKSPPTPTPTPLKPQLGLPGKLLQPAQAVAPTHLNLLLPLLGASQQEAAAAVPTPKSRSKNGGGRSGGGGGEDPRRSDYYLNLGTAVRTLRDDLPAVFVREPNYEIYREDITFVDPLNTFHGIDNYKTIFWALRFHGRLLFREIGLDISRIWQLTENSIVVRWELWGTPRVPWESYGCFSGTSRYKVDRNGKIYEHKVDNLALDFPRPAAKVGSIADIVVASCPPSPNLTFWDMVGSTGDGCSWANLYQAVVETVEREGNDPAGIAIEGLLTCS >ORGLA04G0221400.1 pep chromosome:AGI1.1:4:22691735:22694920:1 gene:ORGLA04G0221400 transcript:ORGLA04G0221400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20050) TAIR;Acc:AT4G20050] MKNMEAARRGRRGRQGGGLLLVVLAALLLLLLALARGASAWAHGGLAGGAGAGAGERRYMDLAMRRMESVRSSFVARRELATSTAASSSRVYHVTDYGADPTGGADATAAINSAIADAFRRPSNATMTGGIPDLGGAEIHLDGGSYLLKGPLSLPASGGGNLKIHSGSLRAADDFPTDRYLIELSAKAAGGGGGSSPAMSYYYEYVTLRDLMLDCNYRGGGVRVVDSLRVGVDNCYVVHFATDGVAVSGGHETFVRNTFLGQHMTAGGDPGERSFTGTGIRLDGNDNSVSDVVVFSAATGIMVTGGANAISGVHCYNKATGFGGAGIYLKVPGLTQTWITNCYMDYTGIVAEDPVLLHVSSSFFLGDANVVLKAVNGVARGVQIVGNLFNGRGKGVDIVELDGEFATVEQVYVAQNAATGMTVRSTTARATAEGNGSSWMVDFSPVLLFPDRIGHVQYSLAAGDAFPGHALRNVSGNRVVIATDAAVSATVHVLVDQNSS >ORGLA04G0221300.1 pep chromosome:AGI1.1:4:22680329:22682300:-1 gene:ORGLA04G0221300 transcript:ORGLA04G0221300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKHTLQVLPWLLLFAQHTAASACDCANTTDGADRQGAMKLKLIAIASILAAGAAGLLVPVIGRSMAALRPDGDIFFAVKAFAAGVILATGMVHILPAAFDALTSPCLKRSGGDRNPFPFAGLVSMSAAVATMVVDSLAAGYYHRSQFRKARPVDNINIHKHAGDESTEHAQHINAHTHGAHTHSHGDIVVHGSPEEGSVAESIRHKVVSQVLELGILVHSVIIGVSLGASVRPSTIRPLVGALSFHQFFEGVGLGGCIVQANFKVRATVIMAIFFSLTAPVGIVLGIAISSSYNVHSSTAFVVEGVFNSASAGILIYMSLVDLLATDFNNPKLQINTKLQLMAYLALFLGAGLMSMLAIWA >ORGLA04G0221200.1 pep chromosome:AGI1.1:4:22679171:22679592:1 gene:ORGLA04G0221200 transcript:ORGLA04G0221200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATPase assembly integral membrane protein VMA21 homolog [Source:UniProtKB/TrEMBL;Acc:I1PPU3] MSGVLAKFAIASAVMWTAPVAIVYGFYYQMIPGVSQLSSSTQTLASGFLAVISINLVIGFYICMAMKETPHQEPQPDPTFLANAKASIDQPTPSQVNDDSHGKGKVE >ORGLA04G0221100.1 pep chromosome:AGI1.1:4:22675912:22678263:-1 gene:ORGLA04G0221100 transcript:ORGLA04G0221100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79490) TAIR;Acc:AT1G79490] MLLRVGLARRRLTTAALPLSLAARGRRVSTGPSPPPPPPSAEWTDTVDYLDESGEVLSSAPGARAAVPGADATILSGSSAHPLPRPAAAARLAALALRFRSGPSLSAALSALPSQPDPALLLLAASSLPASDPTPLLALVAWARVQPWFVPSDDLSSLLAARLAPATHSSELLSLFDDTLALPDPAAFPKTLNAVLSALATHGLLEPAFFCFKRLRDAGFRVLETHAYNALLSLLLTRGLAFKAFEVLDEMSSSGCALDEGTYELVVPALARAGRIDAARKMFDEMRKREGIGRASAGMYGVLVDVLAKAGRLDAAMGMYREMVAVGHRTSPAVRTAMVEGLVRAGKLDAGMELWEEMRRGGLRPSFGLYTMVVEANARSGRLDVATQLFGDMEKSGFFPTPATYACLVEMHASAGQVDAAMRLYHSMANAGQRPGLSTFTALLMMLANKRMLDLAAKVLLEMKASGFPIEVTASDLLMIYIKEGSTELALRWLRFMGSAGIRTNNFIIRQLFESCMKTGLYDSARPLLKTYVAGAAKVDLILYTSILAHLVRCQDEGRERAIMDILSVSKHKAHDFMCGLFTGPEQRKKPVLSFVREFFHGIDYDNEESAARYFVNVLLNYLVLMGQMNRARCIWKVAYENKLFAKAIVFDQHIAWSLDVRNLSVGAALVATVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKMVVAQVLASLESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKADVLMHRLNVMFPSSAPEVRSLSIPRSLSMSR >ORGLA04G0221000.1 pep chromosome:AGI1.1:4:22671184:22675523:1 gene:ORGLA04G0221000 transcript:ORGLA04G0221000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTATAAAEQAPPPPPQHTRKAVGLAAHDDSGHLTPIRISRRKTGDDDVAIKVLYCGICHSDLHTIKNEWRNAVYPVVAGHEITGVVTEVGKNVARFKAGDEVGVGCMVNTCGGCESCRDGCENYCSGGVVFTYNSVDRDGTRTYGGYSDAVVVSQRFVVRFPAGGALPLDRGAPLLCAGVTVYAPMRQHGLCEAAKHVGVVGLGGLGHVAVKFARAFGMRVTVISTSPAKRQEALERLGADGFIVSTNASEMKAAMGTMHGIINTASASTSMHSYLALLKPKGKMILVGLPEKPLQIPTFALVGGGKVLAGSCMGSISETQEMIDFAAERGVAADIELIGADEVNTAMERLAKGDVRYRFVVDIGNTLKSD >ORGLA04G0220900.1 pep chromosome:AGI1.1:4:22665325:22670273:-1 gene:ORGLA04G0220900 transcript:ORGLA04G0220900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit epsilon [Source:UniProtKB/TrEMBL;Acc:I1PPU0] MASPDLLFNLRNLFYLGAYQAAINNSDVPGLDADAAAERDAIVFRSYVALGSYQLVISEIDSSAATSLQAVKLLALYLSGDKESAIASLKEWLSDSAVGSNPVLRLIAGIIFMHEQDYTEALKHTHSGGTLDLHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLDIAVGGSKIREAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFDEAETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRYLSQLKLSHPDHVLVKRAVSAEDNFERALQAVA >ORGLA04G0220800.1 pep chromosome:AGI1.1:4:22663135:22663614:1 gene:ORGLA04G0220800 transcript:ORGLA04G0220800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKNGRIAVAALLLAALALSAQLAPAAACSYCPTPKPPPPPPPAPSGVPCPPPPYTPTPATPTPSTPTGKCPVNTLKLLACVDALNGLVHAVVGAKASDTCCPLLSGVADLDAALCLCTAIKAKALGVSLVLPVAISVLVNECGKHVPSSFQCPS >ORGLA04G0220700.1 pep chromosome:AGI1.1:4:22652232:22652840:1 gene:ORGLA04G0220700 transcript:ORGLA04G0220700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKNGRIALLLAALALSAQLAPAAATWCGSNCPTTKPPPPPCQPPPPTPTPATPTTPPTPWTPPPATPTPPTPTPWTPTPATPPPTPATPATPTTPPTPAPAPSTPTGKCPVDTLKLLACVDALNGLVHAVVGAKASDTCCPLLSGVADLDAALCLCTAIKAKALGLSLVLPVAISVLVNDCGKYVPSDFQCPSTDPPYI >ORGLA04G0220600.1 pep chromosome:AGI1.1:4:22639234:22645539:1 gene:ORGLA04G0220600 transcript:ORGLA04G0220600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEAMRHCSSSSSEEGGAAATTAARSAVRERLQLAPPSPYDMAWVAMVPALRRGGGCGGGPRFPQCVAWIQRNQRGDGSWRHAAAAHQQLGSSPEIVTERDLSSTLACVLALARWDAGSEHVRRGLQFIGRNMSVAMDDQTAAPASGSVVSFAAMLRMAMEMGLEVPAVSQADVRDRDAGVICHGGRTEYTAYVSEGLGNIQNWNEVMKFQRKNGSLFNSPYTTAAALVHNYDAKALQYLDMLLDKFGSAVPAAYPANIQSQLYMVDVLEKMGISRHFVGEIKSILDMTYSCWKQRDEEIVLDMQTCGMAFRMLRMNGYDVSSDELSHFSEPSSFHNSLQGYLNDTKSLLELHKASKVSIAEKEVEYALEFPFYTMLDRLDHKRNIEHFDITSSQMLETAYLPCHSNEEIMALGVRDFSSSQFIFQEELQQLNSWVKESRLDQLQFARQKLDYFYFSAAATIFTPELSDVRILWAKNGVLTTVVDDFFDVGGSKEELENLVALVEKWDKNDKTEYYSEQVEIVFSAIYTSTNQLGSMASVVQGQVRTDKLNIVASVNLCIVARIAEVYDDRGRVEAEPIPDSVIRSQECSELFRLMSKCGRLLNDVQSYEREGSQGKLNSVSLLALHSGGSVSMEEAVKQIQRPIEKCRRELLKLVVSRGGAVPRPCRELFWSMCKVCHFFYSGGDGFSSPTAKAGAVDAVIHEPLNLSCSV >ORGLA04G0220500.1 pep chromosome:AGI1.1:4:22631778:22635458:1 gene:ORGLA04G0220500 transcript:ORGLA04G0220500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRKELQARTRDQLQTLELSTSSYDTAWVAMVPLRGSRQHPCFPQCVEWILQNQQDDGSWGTRGFGLAVTRDVLSSTLACVLALKRWNVGQEHIRRGLDFIGRNFSIAMDEQIAAPVGFNITFPGMLSLAMGMDLEFPVRQTDVDRLLHLREIELEREAGDHSYGRKAYMAYVTEGLGNLLEWDEIMMFQRKNGSFFNCPSTTAATLVNHYNDKALQYLNCLVSKFGSAVPTVYPLNIYCQLSWVDALEKMGISQYFVSEIKSILDTTYVSWLERDEEIMLDITTCAMAFRLLRMNGYHVSSVELSPVAEASSFRESLQGYLNDKKSLLELYKASKVSISENESILDSIGSWSGSLLMESVCSNGVKKAPIFEEMKYALKFPFYTTLDRLDHKRNIERFDAKDSQMLKMEYLLPHANQDILALAVEDFSSSQSIYQDELNYLECWVKDEKLDQLPFARQKLTYCYLSAAATIFPRELSEARIAWAKNGVLTTVVDDFFDLGGSKEELENLIALVEKWDGHQEEFYSEQVRIVFSAIYTTVNQLGAKASALQGRDVTKHLTEIWLCLMRSMMTEAEWQRTKYVPTMEEYMANAGVSFALGPIVLPTLYFVGPKLQEDVVRDHEYNELFRLMSTCGRLLNDSQGFERESLEGKLNSVSLLVHHSGGSISIDEAKMKAQKSIDTSRRNLLRLVLGEQGAVPRPCKQLFWKMCKIVHMFYSRTDGFSSPKEMVSAVNAVVKEPLKLKVSDPYGSILSGN >ORGLA04G0220400.1 pep chromosome:AGI1.1:4:22624558:22625113:-1 gene:ORGLA04G0220400 transcript:ORGLA04G0220400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQICAQVWLTTSLLADSLAVAGQALLASAFAKKDHYKVVVTTARVLQLAVVLGVGLTAFLATGMWFGADVFTSDAAVIRTIHKGVPFVAGTQTINTLAFVFDSEWRGMASIRIG >ORGLA04G0220300.1 pep chromosome:AGI1.1:4:22611660:22617666:-1 gene:ORGLA04G0220300 transcript:ORGLA04G0220300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRLCVKNLPKGADEGRLREVFSRKGEVTDAKVIRTKDGKSRQFAFVGFRTNEEAEEALKYFNNTYIDTCKITCEVARKIGDPEAPRPWSRHSLKKPEYNSKDKTKTGDVSAPLKSSKGQKVSDDVGGSKGSAASDPKFQEFLEVMQPRSKAKMWANDTMGTLDASAKDSMVVSEKPEKPQKNVPVSENDSSSGDSSDEEMANDQSESDDSSSEEASEELKIESKQGKDMTDMDFFKSKIKKNWSDSESDEDSGDQSDHSTDDEDSSDESHDADEKDEIAHQKDSLNLKKNVDKEMTLEGSTVQEAGNSDNEEVENLDSQLKEDDNQEKEDEEAALITDEKKLALETGRIFFCNLPYATTECDLVELCSQYGDVDQARIVVDKTTKLSTGRGYVLFSLPDSAVRALELDNSSFQGRLLRVKAAKPLNNKKIESSYEEKKMSLKQQKLDQRKASEISGDTRAWNSFYMRQDTVAENIARKNGISKSELLDREADDLAVRIALGETHVIAETKKYLSRSGVNVAALEELASKRNEKFKRSNHVILVKNLPYSSCEEDLATMFRKHGSLDKIILPPTRVFALVVFVEATEARHAFKKLLYTRYKDTPLYLEWAPENILSPTSAPVEDDEKDVVGDRIVTKAIVEQTVEGVSAEEIDPDRVESRSVFVKNLNFKTSDESLKQHFSTKLKSGSLKSATVKKHIKNGKNVSMGFGFVEFDSVETATSVCKDLQGTVLDGHALILQLCHGRKDGQTKKNEKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKFGSHRGFAFVEFVTKQEAQNALQALASTHLYGRHLVIERAKEGETLEELRARTAAQFVDEQSGFQRLSKKRKQTSLVDEGSVKFSRIVE >ORGLA04G0220200.1 pep chromosome:AGI1.1:4:22607886:22611042:1 gene:ORGLA04G0220200 transcript:ORGLA04G0220200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLAAALCLAVAAVSVAVATARFVVEKNSVKVLSPRSLRGRHEAAIANYGVPDYGGTLTGVVVYPADARLADGCRPFGEAAAKALRSRSRRPVVLLVDRGGCYFALKTWHAQLAGAAAVLVADGVDEPLLTMDSPEEETPDMAFLANITVPSALVTKQFGDALRAAASAADDEVVVRLDWRESMPHPDERVEYELWTNSNDECGPRCDEQAAFVGAFRGHAQLLEKRGYALFTPHYITWFCPDAFLETTQCKAQCVNRGRYCAPDPDGDLAAGYSGRDVVLENLRQLCVHRVANARNASWLWWDYVADYHLRCSMKGDHYTRRCADDVAKSLGLPMDLIDECMGDPDADVENDVLKTEQIVQVGHGTRGDVTILPTLVINNVQYRGKLESTSVLKAICAGFKESTEPDVCLTPEMETDECLNNNGGCWRDEKTNITACKDTFRGRICQCPVVDGVQYQGDGYTHCKAVGPGRCAMNNGGCWKGTRDGKTFSACSDSDLSGCKCPPGFKGDGLHCEDVDECSEKLACSCPHCSCKNTWGSFDCSCHGNNLMYIKAEDTCIAKNMSAFGWLVTTLVLSCLAGAGVAGYVFYKYRLRRYMDSEVMAIMSQYMPLEGQNGERLPLREEAQVEHA >ORGLA04G0220100.1 pep chromosome:AGI1.1:4:22604103:22605475:1 gene:ORGLA04G0220100 transcript:ORGLA04G0220100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKREKRLRTLRREIAQPFYDKKEAAKLAAQAAALEAPALPVRAPPPPSKDSGGSRAASSSASAMDVEMADEGNNKSKSFLKPIGSISKKKVQLHLKIKKDKRKARKKGRFSKK >ORGLA04G0220000.1 pep chromosome:AGI1.1:4:22599453:22603049:1 gene:ORGLA04G0220000 transcript:ORGLA04G0220000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEGQETPAAAAVHGHRLSTVVPSSVTGEANYDLADADLAYKLHYLRGAYYYPAGDAVRGITIKSLKDPMFPWLDAYFPVAGRIRRAEDDAADAAAAPRPYIKCNDCGVRIVEARCDRALDDWLRDESPDRLRQLCYDKVLGPELFFSPLLYIQVTSFKCGGMALGFSWAHLIGDVASATACFNTWAQILSGKKPAGTVLEPANKPLDRAPAAAAAAAAPLRSVKPVGPIEDHWLVPAGRAMAWYSFRVTEPALKKLQSAAGRHAAGTFELVSALLWQAVAKIRAAASKEVTTVTVVRTDMAARSGKSLANEQRVGYVEAASSPAKTDVAELAAMLAGDKVVDETGAVAAFPGDVVVYGGANLTFVDMEQVAPYELELKGQRPVHVEYGLDGVGEEGAVLVQPDAGGRGRVVTVVIPRDEVDSLRAALGSTLLLLQDA >ORGLA04G0219900.1 pep chromosome:AGI1.1:4:22576756:22581937:1 gene:ORGLA04G0219900 transcript:ORGLA04G0219900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24480) TAIR;Acc:AT4G24480] MPHRRRLLNPAPSLPPPPAAAPAGFHHHLLAVDDTRLPLLADYALLQGDAAAAPASAEWSAGSGFTGISTDPATATTATTASTATAPGSASNLTAATAGGGGRETWVRRAREGYYLQLSLAIRLTSEAFLAGVPPELLIGCGGGGEAENHADVAADAAAVSYRLWVNGCLSWGDKIAHGFYNILGVDPHVWAMCNAAAEDGRRLPTLVALRAVDSGESSVLEVVLVDKCGDPALADLERRALDLYRAAGVSLDLVRRLAVLVSDHMGGALRSEDGDLFMRWKAVSKQLRKRHRCVVVPIGSLSIGFCRHRAILFKSLADFIGLPCRIAQGCKYCSAPHRSSCLVKIDNERRFVREYVVDLVVEPGRLSSPDSSINGQLLSSVPSPFKTSCTMSSANYATPAASWNRAISGDCRNSILSNPQYSVAKYCVAEEKSSVQVATKEAMLPKCGQITQNGNCNNNSMAVFEVSKQMKAMEISSESGDKDNISSATPLKRLSIEPSFCADWLEISWDELELKERVGAGSFGTVYRADWHGSDVAVKVLTDQDVGEAQLKEFLREIAIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKASAGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELMTMQQPWNGLSPAQVVGAVAFQNRRLPIPQETVPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKSMLGGS >ORGLA04G0219800.1 pep chromosome:AGI1.1:4:22564169:22567587:1 gene:ORGLA04G0219800 transcript:ORGLA04G0219800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLTTPSQALALAPGAAASRVGGGGGGSARVSFPSGRVQRRGALGMRVRASVAIEKETPESEPPPTFLREDGSGAGSGSVRERFEAMIRRVQGEVCAALEEADGSGARFVEDVWSRPGGGGGISRVLQDGRVFEKAGVNVSVVYGVMPPDAYRAAKGEAGKNGAAADGPKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPSYIIEEDVKHFHSVQKQACDKFDPSFYPRFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQEMLLNFATECADSVVPAYIPIIERRKDTPFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWQYDHTPEEGTEERKLLDACINPKEWLDL >ORGLA04G0219700.1 pep chromosome:AGI1.1:4:22555306:22559890:-1 gene:ORGLA04G0219700 transcript:ORGLA04G0219700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1PPS8] MAASSSSSASASAMGGGGMRKAPSMEWRWVSTEEDDEGEEDGDTVEAAAAAVGAVGRGGSFGSEEEEDEEDGGGGGEGEGEGEDGEKQKLIRTVPSVDWFDVEGYEVSVAQHIEDSEEFDFGRTMFLALQTLAVVFGDIGISPLYTFDVMFSKYPILGEEDVLGALSLVLYTLISMPLVKYVLVVLWANDDGEGGIFALYSLICRNAKVSLIPNQVHSEKRMSSFRLKLPTPELERSIKVKEKLESSLLLKKLLLGLVLFGTAMFISNGVITPAMSVLSAVSGLKVGIPNASQGLVVMISVVLLVILYSIQRYATSKMGFALGPSLLIWFCCLGGIGIYNLSTYGPAAFKAFNPLYIIYYFGRNPFQAWLSLAGCLLCATGSEAIFANLSYFPVRYVQSMFALLVLPCLVLAYLGQGAFLIANQNSSEQIFFSSIPSGVFWPVFLIANLAALIASRTMTTAIFQCLKQSIALGCFPRLKIIHTSRKFMAKIYIPVVNWFLLFSCLGFILLFRSIYDVGNAYAIAELGVMIMATVYVTIIMLLIWETSIVKVLSFVITFLSLELVFFSSSLSSVGDGGWALIIFASGILMVMFIWNYGSKLKYDSEVKKKLSKDLMRKLGPNLGTIRAPGLGLVYSEIVKGVPAIFGHFLIALPAIHSIIVFVCIRNVPVPVVPQTERFLFQRVCTRGYHMFRCIARYGYKDKNQESQSTFERLLIEGLEKFIQREAVELSLQSGDDIDSDEEPPTPSRTIVAPNGSLYSLDVPLLADFVPSAEVIPEASCSTPQHDPVVDYTQNLELELAFIRQAKQSGAVYLIDNPIVKARKNSWFFKKLIINYFFAFLRNNCRRAMMSMSIPHTNVMQVRLTSYV >ORGLA04G0219600.1 pep chromosome:AGI1.1:4:22553539:22554450:1 gene:ORGLA04G0219600 transcript:ORGLA04G0219600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLMAAMLLGGARVAGNTTTAVMALHHPRPSYFLPPRPRLAAASWSRLRLQTAPRSSQAYDNSPADDRRDVGDRYRDAAEEAKEATGDAKERAKGMAGEAKGRAADMVGRAKDETKDTASRATDRAKHEAADKAADVKDRAKDAADMAQGTARAARDKTAETAEGAMDRAGEAKDRTVEGTKHAGEKVAEMTKEGASKVVETAQAIGEKAKQAAQGAWGATKEAAQGVKDTVAGSDVDADADAAMKERDRIAQEEKKRQAREKGAGLP >ORGLA04G0219500.1 pep chromosome:AGI1.1:4:22547929:22551088:-1 gene:ORGLA04G0219500 transcript:ORGLA04G0219500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:I1PPS6] MAVRVPSMELHRPPPSVSGVRGKSCLQKPFLVQAKRLEGLEKANPRSRGKQSLEQVKKRAPLIRGTVSPPLPVPGHITQPPYVGKKDAPEIASEIQMHDKVSIVHMKAACELAARVLEYAGTLVKPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRELQDGDIINIDVTVYLNGYHGDTSKTFLCGEVDEASKRLVKVTEECMLRAISACKHGTSLKKIGRRISEHAERHGFGVVDRFVGHGVGRIFHSEPMIYHQRNNMPGQMVEGQTFTIEPALSMGSIDCDMWDDGWTAVTTDGSLAAQFEHTILITKTGAEILTKC >ORGLA04G0219400.1 pep chromosome:AGI1.1:4:22542302:22542970:1 gene:ORGLA04G0219400 transcript:ORGLA04G0219400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNAAEAVAVAVAEGGGAGMEPRFRGVRKRPWGRYAAEIRDPARKARVWLGTFDTAEAAARAYDSAALHFRGPKAKTNFPVAFAHAHHHAPPPPLPKAAALAVVSPTSSTVESSSRDTPAAAPVAAAAKAQVPASPSLDLSLGMSAMVAAQPFLFLDPRVAVTVAVAAPVPRRPAVVSVKKEVARLDEQSDTGSSSSVVDASPAVGVGLDLNLPPPTEEA >ORGLA04G0219300.1 pep chromosome:AGI1.1:4:22530575:22536838:1 gene:ORGLA04G0219300 transcript:ORGLA04G0219300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGILEVRCAGCGETLEVEHGLTEFACPDCGMAQALPPELMPPRPRRALPLPGRASVVPSAVPAPATATAAAPPTRVSCGGCAAVLSVPHGPGRFSCPLCGAEIAPPPPLAAVVSVVAPPAAIPITSNRPAMTSEVLNGNSNQPTLAGQVQKSIIPEQHYKHSFREESFSSFRVDSRTQIPDVGRLQNECVNPSADREESRTEPPNGTMTKPGKKKTTSAAGPKSHPAGKLQEEHISKAIHASRAQGMPSNSVGGGFHNGMIAVHNKQKTGHIAAPSAIEHEQINTLHQVCDKQQPGENSSDLVHVEQVQVACKAVQNNKKGTKYTKGNQKRQGKSPLNYSTELPHLRRSQRLTKGSPDPIDIEPIHRIDASPNQNQSETPPIERAIDDTYHISPNQHRYPQSVSNKLDNADATTPALNHSMQQKERIPQCYSQMYSPESRWVLPNRSSNSCHEHEMPNESFDGIVQLDSSDDEVHSIPLENQNQDMDGQLEQQACAGKNLSEHGRQKNGFIGSSGDAKHHGGLSSGMGTRHQMNLAASCSRLAVLLPVADATPLPTISSPSSFEKLPVNCSSPTTPHQHHQPSPIYSQDARNGDMLSASVSKSSKKRRGRAPAVLMEPRKEADRPVLTPCGAENWTVHPSCLKVTTTLSLLIKQNYPGTYVSVGTNGQPCELAVFHWHQCPSDIRDTVLDEFLKRYKWSPGQEEECRKIFDRKAVRQLVNLFCYEKQRVRDLLAKKAKRSSTVVRASRSLEEGDGREDSEEQHGDESVLVLELDDPLNWKPFVPEWMQPKWWEKLCDHWAKDEVMKVSYQKRKNRNAGNPPCNASGSQSIAMHQQFTDTTYEHTAEAREPVQEHMGGCKRGRYYCDTGVRKKVQTDSLPKSSPGCSSNHGQGQPPMFTHEQVQQMINQALQGLNETWEKKFLSLEQNMRSMSKSRIILDGPKKGSLAAVAGDKRCQLSCQDTLDSVDGEKDPAGEGEDDPENQDYDDDEHWS >ORGLA04G0219200.1 pep chromosome:AGI1.1:4:22523614:22526145:-1 gene:ORGLA04G0219200 transcript:ORGLA04G0219200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAEQSPHRYNQLCPPEVRGTHQLDKSGEQVQPQSPEQVTHAQQDAYSYHPVLRKYSRKSSGRGRGRRPRGLIEPRREYDRPVLTPNNIDNWDVNPLCPKVSSTITALLKQKYPGSTYLPVGEHGDVPANEELVYRWKHYPAETRAAILNEFLQRYKWAPGREAECLKIFQRRAVKQFTGLLCEEKRRVRAELAAVQKAKKASGSRSSNSHAESEEEDATEEPKDNQTEKVNEDEDPLLWKPFPPAWMHPNWWERLCEYWAKEEVLQMSLKYRKNRFVGGRAHHTSGSRSFAMQRQLMVIENGGKPVSELEIFNKTHKFNGGTGEFVSEKAKRIVEGFKKRMEEAGDKPADPDAAWIQQVGGRNRGRYYGLTGTIDKAKIAEIAKSIPGKRGQQKFSQEEVQQMINHALQGLNQSWEEKFKSLEQSVRGAPLLGVDHEHAPGSSAAGGDVQQDQSKHQDESDKQHVERRQSARHHDDDDDDDDEDYEVEEEEVVSTSD >ORGLA04G0219100.1 pep chromosome:AGI1.1:4:22517593:22522140:-1 gene:ORGLA04G0219100 transcript:ORGLA04G0219100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRAAASAAAEGGSPEPLEVRCAGCGETLEVEPGLTEFICPDCAMPQSLPPELMPPPPPRRKALPLPRGAADVRGARLPCGACGALLSVPVGLARCACPICAAELAVDTARLRHYLLSSAAVEGAVPVVPIGTSSPPPILQVREAHEEHPNIASRTGLIQAEPNDPLNRMEQGHTKRPNQLPEQSDPYRSDYMMDGEGIHEANEIIRRHHKQRNRESVGCGIISAEKTQEKPLNQFRHQAQDQHSSYAFRMKQTHLDHRDRVNEVQADSIDPTVFSESRCIALIDETNATRINCTTVHSVGPKPINVDKNQAQAPNSKRIIQQAQKQPSYIAISQENAQDKHADGVVHVQEKQLRTASQVNHRQETCAQLDNQTVAGDSSGRIRCPEKEQSEPFSCTIRKRKTKSLLANSNSGLELRRSKRLAKDSPAAKDKKHSKNKSFEQQVSQNDQVSAAVMDTESIHRDPVERQAASSTGHMPAAITDSEPSENEPDDLYMPSPDQSLSNSPDIDRIINSICPSSSPMHKTPEKVSNEFDNVQLTTPPPSDIDMSDPEHFACNYVPQEVRKALAKLRSSNSSFERAMSQASSGDVHALSDSEDCDERWNKGVRIPVLLNASGEPVGKEAGTLSTFLGALARDGILAPLTHQDWRRVPEKNKDVMYHIVKLKFDIAPAAEFWIMKCIGRKWKSWKALLKQKHYDTHETVEECLADQNPRVLKEQWQYLVAYWGTEKAKAVSSRNKACRANVTATHTAGTKSFARIIEEEKQKRPNNEEPTAADLFLLTHTHRNGKPMKKEKADIIARVREQSHKQAECSGSDSAAHKVGLESCSIGLRGKRGHRRKAVLQASFKEAEEAKRKAEDEAATLRKKMVAMEESQKKLQEDLANMKSTVSAMRKTTSTGDLSDGQTQNFPQVQNSRSAHEILQPYLDYSALYDPSASPSPRFR >ORGLA04G0219000.1 pep chromosome:AGI1.1:4:22511890:22516544:-1 gene:ORGLA04G0219000 transcript:ORGLA04G0219000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPVAMAVAAADEEPDEILEVGCAGCGETLEVERGLTEFVCPDCATPQSLPPDLMPAPPPPRRRALPLPRGAADARGARLPCGSCGELLSVPVGLSRCACPLCGAELAVYPARLRNYILSSAATAAVPVPVPVAPAPAQPILGGREAWQRRPNSAVRSGSARAEADDRLFPLERSRIQHPDRLIHLQQDEEEYPDGVFGGEEAHEIVSSNIQQRNRCSIEPGIVSVKNRLLPIKAARHQVHDQRSSYGTQRKPAQLARLHRVIHSEEVQEGPLSHEVYREASHAELIYETATTHSNRRIMCSVAPEAVGSVDKRRIKHDNQITQKRQKHTAHAIRAEHTQVGCLDGAIHAEEAQPEPVDQANHGEEGCIQIIDKTTARGGSWKSGCSVRHNTVSAGKRKTSTADQVTKQTQTNQSYANDAEHAQIEHPDQEIHEAVDFDPQSKHIGRKGKPKTGTGVDSNLTLKNQDLLVSPNQLSHINQKHMPPNHEAQKKHINVESCKQPSSQAREKNRKGLMASSNSSFHLRRSKRLAKDSVAVVENEPVENDPVDLQVSSPNCQVSAVAMSSEPIEQEPILHQSPSPNCEVLVSTTDAESVESEHHEHCAFSPHQSMSDPPDIDRIIAGLCPSTSSVHEKPREISSEPDDPDLATTPSNPDMSDPERFAQHYCQVFPLEVRRALSKKRSNSLLNHLVSEECSDEEFVHDFPDAERARDCQKPSGQNIVGSKRKKGHRRGPTLCVKVWTLPEGVRLPVSLNNSGFPIGKHAAMFGNFLGTLARDGILAPLTYKKWKSIPKENKDVMWHIIKLKFDVAPSSESLLLKCIRTKWRNWRCNLKRKHYDSHITEEERLADCDPRVLKEQWRFLVAFWNTEEAQAASARCKASRAKSTYINSTGSKSFARILDEESCSRDKARKRSDDVTAMGEKRRGRMHNHEPGASPSGLKEKAALKASFKEAVDAKEIAENEAATLRKKMMVMEESQKKLQEDLANMRNTVSAMQKMMSNGGLPDGLMGASTAPPSFPQGQNASSSRDDLQSPYIDYSVLYNPNSSSQQTR >ORGLA04G0218900.1 pep chromosome:AGI1.1:4:22504779:22510549:-1 gene:ORGLA04G0218900 transcript:ORGLA04G0218900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSPSPAAAPIQVRCAGCRGVLAVAPGMTEFICPKCGMAQRLPPQLMPKPPPSSSSSAAATPAPPAPAAPPPPTSRRGGGGGAALPPPQAQGVDPTKIQLPCANCQAVLNVPHGLARFRCPQCGVELAVDLAKLHNFLASSNNNAAAAPPDNVPPASGPASRAPLVPAPPPAPFPPVPTPGMTQAAQMVPGALIPMVLPITDPPEEINEVAIDVEREEDEGGTVGETFTDYRPPKLSLGLPHPDPIVETSSLSAVQPPEPTYSLNIMDELDETKALSCLQIETLVYACQRHLYHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSIGSDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKNGCHKAKNLIPDAGSQSYKGVDFDIVEAPLEERMMNMYRKAAEFWAEFRLELLSAGESFTEGISNQIWRLYWASHQRFFRHMCMSAKVPAVVKLAKEALAENKCVVVGLQSTGEARTEEAITKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQGEEKVTEIHRKRHSAPDVSFKGRVRKVAKLVEVSDDDSDDYSPSWQFH >ORGLA04G0218800.1 pep chromosome:AGI1.1:4:22502460:22504053:-1 gene:ORGLA04G0218800 transcript:ORGLA04G0218800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPSLLHQTPLGPMRYTDRIYNEHEALRMRNSVNILSVKILSSDVGFPINVYGTVIARDSLDEKCIYLFRHPRDDCQLINSKIKVVEGYFCGEVVACTTNIQDKVVLLDSRTCCVMADNLDVQLSRRVMAVHNKEKLLLTVVNQDDEVPTGCVTQTIDFTPKFNGSDVTEVTCGSVKMLVKVTWSLMV >ORGLA04G0218700.1 pep chromosome:AGI1.1:4:22497870:22498241:1 gene:ORGLA04G0218700 transcript:ORGLA04G0218700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAALHSHAAAAATSSSSPPLPAMTRIPNARDGGDPLDTEDGFWSSGDQRSKDPIEVATARGLLLVLLGNGKLDFPCLCSFLVLDLGLHIPSSSHPTFVHLSHPPGPLLFFLLWLHCSDSCPL >ORGLA04G0218600.1 pep chromosome:AGI1.1:4:22494570:22495438:-1 gene:ORGLA04G0218600 transcript:ORGLA04G0218600.1 gene_biotype:protein_coding transcript_biotype:protein_coding QESGGCPYIHFRLYEDCQRMTSSYVQMVSDIKRHVSHLSAAENQGVVPIISAGQIFPGPAAGEAYCKYKTLVLPSVPNHNMHFVVVLHTPPNWAGGTREPQPKGNKAEHAENSCQHIGGRPFPGASDADRHGFCSWTLWSHSAAMGDAMAIPGAQYAHASHLHHCLRRRPLQELGRVLQACSGRGTGFAPGTRGFATYESLIAFLGACLH >ORGLA04G0218500.1 pep chromosome:AGI1.1:4:22490933:22492717:1 gene:ORGLA04G0218500 transcript:ORGLA04G0218500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWGPCVILYLFLLPPPSLSLLAFLIFLSPSAFCKLHQRWSSGSGDGWRVEWWRRFRRRRQRRQHALKGRSGGDRREGWRSSGSDGTGARTGAAAERRSTAGFAPSDVTYKSCGHGILDGKVNGCIHRHHRHAASVSLPLQLFPPSAPLSGCAHQSPTTSARSFSAPSNSPFSRVEDAAAVRVPDPLHEVDRILAAVHDHRWTPAAGDLEEDDAEAVMMLMPAA >ORGLA04G0218400.1 pep chromosome:AGI1.1:4:22473150:22475470:-1 gene:ORGLA04G0218400 transcript:ORGLA04G0218400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAALVAPTANPRNPLRLIAAAPHRQWRRVAAAASSSAAPGVDLKALQAAIDKKDSEEVKQAMDQLKELGWAKRWSSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGSTGFLGVLAGQLPGDWGFFVPYLIGSISLIVLAIGSISPGLLQAAIGAFSTVFPDYQERIARHEAAHFLVAYLIGLPILGYSLDIGKEHVNLIDDQLQKLIYSGQLDQKEIDRLAVVSMAGLAAEGLEYDKVVGQSADLFTLQRFINRTKPPLTKDQQQNLTRWAVLFSASLLKNNKAAHEALMSAMSQKASVLGCIEAIENAS >ORGLA04G0218300.1 pep chromosome:AGI1.1:4:22471102:22471762:1 gene:ORGLA04G0218300 transcript:ORGLA04G0218300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:stress enhanced protein 2 [Source:Projected from Arabidopsis thaliana (AT2G21970) TAIR;Acc:AT2G21970] MAAAARAIICEMAPQRGAAAAPAAPAQQQATTRRDGGKIMLQPRLCTLRSYGAGSGVVARRRVVEEEESGGGGAGSSPFFASLADYIESTRKSQDFETISGRLAMVAFATAVAVELTTGNSLFKKLDMQEIEEAAGVCLAVVAGAAAFAWVSSARTRIGQMFTLGCSAFVDSLIDNIVEALFSEGELQDWSDDV >ORGLA04G0218200.1 pep chromosome:AGI1.1:4:22468826:22469288:1 gene:ORGLA04G0218200 transcript:ORGLA04G0218200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAAQLKDMFFGLVERVTGYGRGEDKDVAAGVDEPSKLASEEVAVSSEEVVIVQRNEIRSRGADPSVSGGKQPGINAAGI >ORGLA04G0218100.1 pep chromosome:AGI1.1:4:22466608:22466905:1 gene:ORGLA04G0218100 transcript:ORGLA04G0218100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFAAQLKDMFLGLVERVAGCGRGAGDKGVKEPTKLSTVQRVEIRSRDPNVSGGEKPPNN >ORGLA04G0218000.1 pep chromosome:AGI1.1:4:22455119:22456024:1 gene:ORGLA04G0218000 transcript:ORGLA04G0218000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHAVVVDDGGSRRMRPASLSSSSDAEDDDAGSKKQFLRAAGRALEAAPPVRRRPGRPSRPVRMFHTMCRSLPVLTPGCGRLQPAGAACRMAAPSRLSPSASLMSKLVASASTGAAGASRRRMTGTLFGYRDARIALSLQDNPRCQPTLVVELALPTHALLRDLGTTAGARIVLESEKRAADGGDGAGAGASSRREREQQDGWVLEESMWTMSCNGKKVGYAVRRDPTDDDIAVLETLWAVSMGGGVLPGISDMDGKDGEMAYMRGSFEHIIGSRNSESLYMISPHGGDCPELAVFFVRL >ORGLA04G0217900.1 pep chromosome:AGI1.1:4:22449862:22450423:-1 gene:ORGLA04G0217900 transcript:ORGLA04G0217900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVEEKEGNRFQEPASDRCEDNEDKEQDNSEESSSVDQRKEEEEEEKEGCEEATPAAAAAAAAPSFFAHPCSLLQYIARVCACCLGLSDSFCDPKASSVLVPEPEPAAADPSQEGEEDMKSSYFYMQEATTRVRAARLRPKPPGNPREGSGGNGGHHH >ORGLA04G0217800.1 pep chromosome:AGI1.1:4:22447123:22447704:1 gene:ORGLA04G0217800 transcript:ORGLA04G0217800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFSLDGYSDGGDAYVAEPASPIRSSANRPRRPSASRDEVPRYVLRVIRTAAAASASLPPVPGYSPRMSIDMACTHSWAPYTAVIPALRSLSFLSLRDEHSPGVAKKTIAELYGHATPFDAAGRRFPAGEVYVCLDRAPLASYIQSIQRNVTVSDVSYGDKTKACDSYLSAVSSAIDELTRDDHYTPVSSAV >ORGLA04G0217700.1 pep chromosome:AGI1.1:4:22435673:22445270:-1 gene:ORGLA04G0217700 transcript:ORGLA04G0217700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWLPFPVLQHVLFYEAAGLTPFDSVVDSLRSSLGATLATFAPLAGKLVHLEDTGDVAIACSASDAVRFVEAECDADVRRVAGDEAHDLRTFEQLVPELDMSKLPTSVLAVQATCLQGGLAVGVTLHHGVADEKSFWTFVEAWASACRCRGEAPAATPCFDRSVIKWPGGEEIARSVLRVRAGLACRGTQAFHPPDIHRGRAATRTPKATAKSTAKPCIGLHPASSPSSRWPGHSSPDARPPPRTRTPAATCSFSSSPTSASASTTHPSTRDTTSALV >ORGLA04G0217600.1 pep chromosome:AGI1.1:4:22430135:22431567:-1 gene:ORGLA04G0217600 transcript:ORGLA04G0217600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSIVDVSYVAVPATAALPPEPIKLTAMEALWLPFPVLQHVLFYEVAGSPPFDSVVRSLRSSLGATLASFAPLAGKLVYLEGTGDVAIACSASDAVKFVAAESDADVRRLAGDELHDLATFQKLVPELDMGKLPTSVLAVQATRLEGGLAVGVTVHHGVADGKSLWMFLEAWAAACRGEATPVATPCFDRSAIKLHLGEEIARTVLRKYAPKLPQVAELEIFVEQRNRFTRRTFTVDAQQIERLKQRIARDGEARGAPLRRPPSTFVAVVATAWTCFARCKTTAADDGEVFLLFIADVRERLDPPVGAGYFGSCLTVRVARLPVRDIHGDGALAAAASAIQEEIAKVAEDPLAGWDFLRLMETLVPVMERAMNVSGSPAFRPYDVGDFGWGKPRRTEPIRMNHDGQVALVRAKDGRAVQVSVSLLRSAHMQGFKSQLLELLG >ORGLA04G0217500.1 pep chromosome:AGI1.1:4:22426577:22427104:-1 gene:ORGLA04G0217500 transcript:ORGLA04G0217500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVTVRIVGVSHVAVPAKAALPTEPMKLTATEALWLRIPLLQHVLFYESAGSSWPPFDGIVDSLRSSLGATLATFAPLAGRLVHLEDTGDVAIVCSASDAVRFVEAECDADVRSVAGGGGDAPDDDLRLLEQLAPELDMGELPTSVMAVQSTRLVGGVAVGVTVHHGVAEGKSF >ORGLA04G0217400.1 pep chromosome:AGI1.1:4:22420415:22421596:-1 gene:ORGLA04G0217400 transcript:ORGLA04G0217400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRFAVAGRVLLHRVESLPPPRPPLAFLSSESRGHLVRRFSTPSSTPPHFMVQYLVSKCGLSPAAAAKAAPRFAHLDSASRPDAALAFLRSQGLTRAQVREVVSWKPELLLSDVDATLDPKFRAVRALGLGRADIARLFALYPPALTYGIHTNLLPRVLFWIDFLGSAKLLMKWLAKTWLLRYSVDALLRNLSTLRSLGVQQSRITTTVRMQPTLITQTPARFQKLVGRVEACGVPPSSGMYMWAFFALHNVSEGSFRAKKAAVVGAAGCTEEEFDAMFRRAPCLVFVPAALLRRKVEFLMAEAGCDATHIVTNPVLLTLSLGKRMAPRCRVVEALRSRGVGIGKKANLGSVMRYPEDKFVERYVLRYKEEVPELLELYPPRLCKGSSQTR >ORGLA04G0217300.1 pep chromosome:AGI1.1:4:22415295:22419522:1 gene:ORGLA04G0217300 transcript:ORGLA04G0217300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIFASFLNRCPDFLMXVWHNYSFDSHVIENYGIKVAGFHADTMHLARLWDSSRRMDGGYSLEGLTNDHRVMGVVPKELQKIGKRSMKTIFGWKKIKKDGSAGKIISMEPVEVLQRDDREMWICYSSLDSMSTLRLYESLKSKLEKKHWTFDGCPRGSMYDFYEEYWRPFGALLVKMETAGMLVDRGYLSEIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRCKPGETLPKSRTIKVPNDGSLIAEGKKTPKYRTIELFSIVEDLKTDLFTASGWPSVSGDALRSLAGKLPTDLVYTTDDVEDDDSGDSEISEHDLNDTASYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCDEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLASCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTPVGLARDWKVSVKEAKDTLKLWYRDRKEVLAWQMKQKELAQEKCEVYTLLGRSRRFPNMAHATSGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNARLKELGWRLLLQVHDEVILEGPTESADLAKSIVVECMSKPFYGTNILNVELAVDAKCAQNWYAAK >ORGLA04G0217200.1 pep chromosome:AGI1.1:4:22410849:22411464:1 gene:ORGLA04G0217200 transcript:ORGLA04G0217200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHATLADARGHHRILLILAVVLLLAATGDGIRSPPFSTDDVVTPVHGAQDEKISATATLVISPQQATAGNNVGRGVLQEEVRATGSSLPDCSHACGACSPCNRVMVSFKCSIAEPCPMVYRCMCKGKCYPVPSS >ORGLA04G0217100.1 pep chromosome:AGI1.1:4:22405117:22407073:1 gene:ORGLA04G0217100 transcript:ORGLA04G0217100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMEAEMKFENRLEEIPQVVLEEGRNVDQEASKPPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQAVYANGSLREPNLGFTGPIDPGALGFEIKYSHWVDEQNRNTGELRNALLQGQTTDQDLELKLLVEAGLDNYNRLFEMKEEAANSDVFYIMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLTDKQVVEVGGLQQTSMQVEDALSQGMDKLKQTIADSLTAADPFDSPEAYMVHMANAVEQLRSLVQFVTQADHLRQQTLQEMHRILTTRQAARGLLALGDYFQRFRALSSLWAARPRDSGIS >ORGLA04G0217000.1 pep chromosome:AGI1.1:4:22396936:22397242:1 gene:ORGLA04G0217000 transcript:ORGLA04G0217000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPGVAGGTMDISVDTLYLSVNYFGTNPWTYMDRRGQRLETSAANADAPGAWVSMPWCLVLTH >ORGLA04G0216900.1 pep chromosome:AGI1.1:4:22391403:22392950:-1 gene:ORGLA04G0216900 transcript:ORGLA04G0216900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRKADPAESPVAASEAGAGTNHHQETPSSELKPRGTIYFPITDDPPEPSAEGGAEGEDGAGGDDDEEDIAKLLEPLSREQLVALLRTAAETTPATMAAVRRAAEADPASRKLFVHGLGWGAGADDLRSAFSRFGELEDCRVISDKQSGRSKGYGFVLFRSRRSALRALRRPQLQIGGRLAFCHLAASGPAPPTSQSQNPSSNTNANSGAANNAGSASSSQPDNMQRKIFVGNVHADVDVDRLYEYFSQFGEIEEGPLGFDKTTGKPKGFALFVYKSVESARRALEEPTRNFDGKMLNVQKAIDGRTKNTPGMNANSNPSGTAASAAAAAAAAQMTSPATAAITPYDASAYGATAVPDLGYAQQAAMLGLGAQQQAFAQPNAAMLAMMAAAMQNPAMLATLNPAFAAAALGAGGQQVHAAGIPGFGAQGFGTQGFAAGAAAFPNAAGVQAPPGFQGPPGFQTSAGFQVGQAASQAGTAAAAAASAAGYQAAAAGQGQVPGTQIGGAGFQGGF >ORGLA04G0216800.1 pep chromosome:AGI1.1:4:22384762:22387662:-1 gene:ORGLA04G0216800 transcript:ORGLA04G0216800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYPAPTTCPARPARAARLSILLSLSLECEKSSPFSAPPFLLCTSPLLSWSSPSCVLCSSLKKPSDLWFLAFLSFLNTAVQMASLTPEAEAPGGGALLAAGDDVAAANLLAAAVATESIVQGPVFDMPDFKMGGKKSDDAAPTDAGDEDGGDDDGDEDGDFGEGEEDVSEGEGYDNPKGIDNNKKRGEPEENGEEDEEEPEGQEGGGGDDDDDDDDDNEDEDDDDDGGEDDDGVDEEEEDQDNEDDEEDDDEDSLQPPKKRKK >ORGLA04G0216700.1 pep chromosome:AGI1.1:4:22379499:22382175:-1 gene:ORGLA04G0216700 transcript:ORGLA04G0216700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSAFSEEILAEKLAKLNNTQQCIETLSHWCIYHRKNAEQIVQTWAKQFHSSGNEQKTPFLYLANDILQNSKRNGTEFVEEFWKVLPGALKDVTENGDERGKKVVSRLVEIWQERRVFGSRAGGIKDVMLGTAPLPVLDMTKKRSHGSSIKIVKRDSRSVKLRLGVGGTAEKIVSALHTVLSEQADEDSDLEKCKTSMRRVGKMQKDVSSACSKGDDPRRETLCTELKEEEDSMTECIEKLKVVEANRATVVSELKEALQEQESELEKVRTQLQLAEAMVEEAANMQRKLKNEPVIPSSKPLSSVEPGKPLSNGQAKDQQKTAAAILADKIAASSNSQQILQSALSKFAAEEAKNSSETRQDKRLKIEHSSQVPSVANAAAFVPMPQMTTTTAQQPQAILVQQTPMQNQPPAPQPQYNIYQAPPHQFVQQPGGVMMGMAYNMSTMAPPPPPPPQMMNLARPSPSTPQPPMGIMPQTQPPPPAPTMLQQQMPMNVGPPMQFALQQSGAPSFRPLQPPPGMQFFHPQSQ >ORGLA04G0216600.1 pep chromosome:AGI1.1:4:22371149:22378426:-1 gene:ORGLA04G0216600 transcript:ORGLA04G0216600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: mitochondrion; EXPRESSED IN: 26 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Mitochondrial inner membrane protein Mit /.../ (InterPro:IPR019133); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G39690) TAIR;Acc:AT4G39690] MLRRCVRDLYPLRPLRRIPRPISSEVPSPAFLRPRSKSTKASQQSSTQNTVPGPQGEPSQSGSNVPKVLLGTLMVGAAAMAAYQAGYIDDQFKDIIFPSTMKEKNIRKIYEDLKAPSEQKVDEKQVVSDPNVDIVQNSNNEAHPQKDLPTEGMGPPEIPTTDEQTVSSEEKEKETLAQGTPQIPDEHGAAAKPLSQDIPVIDINPSVDDKATGEVLPEQTDKTTTSVSPVQSSLATAGPSHHVHTDTDGPKDPSSAGAVEHKSLAETYLLQEPDNSKDMGAKESKHDGVISTGTSDDGKIVLDIIEAIHAAERKQADADAYMYSEEKRKLKEKYEKELKDTRARELMYAEEAAILDKELKKEKLKSAAVIKELQENAEQKLRDELQQKDEETSQQVEKVRELAKAELAAALAKERASQIEQIAEANLNIDALCMAFYARSEETRQSHSVHKLALGTLALEDALSTGSPIRTEVDQLRKSLEGIDKDSLLELALSSIPEDVLEYGSDTPMDLKQKFNSLKETVRHFSLIPAGGGGMLTHAVAHVASSIKIKEDQSGDGIESLLNRVENLIIHGDLSGAAEALERGLQGSEAAEIASEWVKQARKRAIAEQTLTLLHSYASSITFS >ORGLA04G0216500.1 pep chromosome:AGI1.1:4:22366070:22369622:1 gene:ORGLA04G0216500 transcript:ORGLA04G0216500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKVLGSKPADCFQFQDPNSWTCMTELVSDVVVEVGDFSFHLHKFPLMSRSGTLQKLISEAAAGADDGEPCSVELHDVPGGAAAFELAARFCYDVRAELDAGNVVALRCAAEHLGMTEDHGGEGNLVEQAEAFLRDVLGSWDDALRALRSCDGALLPLAEELLVVPRCIDALASKACADPTLFGWPMVEYYTARGLEETVMWNGIATAGKPRSPGPDWWYKQASSLKLPVYKRLITAMRSKGMSPENIAGSLTHYAKRHLSGLTRHSGYVGGGGASGTVLSDVEQRALLEEIVALLPVERGVATTRFLLGLLRTATILNAGAACRDALERMAGNQLEEAALEDLLIPNTGYAVETLYDVDCVQRMLEQFVAANTSAFAASPEITDEAQLVDGPSGELMPISTVAKLVDGYLAEVATDTNVKLSKFQSIAELVPDYARAIDDGIYRAIDIYLKAHSWLTASEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTTVAGWFFVADNVDQGSPIAAGRYAPERSGELDFGAGPPEEEDGDDDDDEARNNVRSSSSATMSVDDIRQRVVELEEECSSMREEIHRIGKPKGALNRLFRKLGLGGRSAARRQQQQSPPPPTSSGDERRKSMSLEC >ORGLA04G0216400.1 pep chromosome:AGI1.1:4:22362806:22364789:1 gene:ORGLA04G0216400 transcript:ORGLA04G0216400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bifunctional nuclease i [Source:Projected from Arabidopsis thaliana (AT1G11190) TAIR;Acc:AT1G11190] MASDKCSSFLLAAAAAAVLVLASAPVAHSWSKEGHMLTCRIAQDLLEPAAAHAVRNLLTEEADGDLSALCVWPDQVRHWYKYRWTSPLHFIDTPDKACSFVYSRDCHGPDGAEDMCVAGAIANFTSQLMHYNHGSADRKYNMTEALLFLSHFMGDVHQPMHVGFTSDQGGNTINLRWFRHKSNLHHVWDREMILTAIAEFYGKDMDAFQKDLVHNFTTGTWSDDVSSWGDCEDLLSCSTKYATESINLACKWAYNDVREGETLSDDYFGSRLPIVTRRIAQGGVRLAMFLNRLFGEHNRDVASPA >ORGLA04G0216300.1 pep chromosome:AGI1.1:4:22359750:22362420:-1 gene:ORGLA04G0216300 transcript:ORGLA04G0216300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAAAAAVSPLVAWPRAAPAARGGRRAARASAFHPDVSRAVESLQAEFREVDRALALNSARVSAAFHAAHVAPHHFGGSTGYGHDDGGGREALDSVFAQIVGAEAAIVRPQFFSGTHAIACALFALLRPGHELLAVAGPPYDTLEEVIGIRGSANVGSLKDFGVAYREVPLAADGGLDWDALANAVRPETGCAFIQRSCGYSWRKSLSISDIQRAIDLIKMQNPNCMVMVDNCYGEFVETSEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKKDLVAAAAARLSAPGLGVEFGSTPGHVMRALFQGLFLAPQMVGEAVKGGMLIAEVMSTKGYRVQPLPRVPRHDIVQAVELGNREILIAFCEIVQQTCPVGSFIKPTAGETPGYASEVIFADGTFIDGSTSELSCDGPLRDPYAVFCQGGTHWTQWALVLSDVLKII >ORGLA04G0216200.1 pep chromosome:AGI1.1:4:22356278:22358497:-1 gene:ORGLA04G0216200 transcript:ORGLA04G0216200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNNKRPPPYLLLLLLALGAAALSVGILHKMRERRVFSILLQERDQQLISLQALLQKEQEISKEMRRKMDELEAKTSVLSIERTELKNKLMDSETTTTYLTNTQKELEAALVEKEGHINQMKENAAASGPEQMAAIKELLQQKEAELEQIKTKLHDYKKSDTNISESILVGTNNENTTSDTVVPENSANPGDSAPAEEHHSYDNSASESNQDESTGASTNNENATVDTVVVDKYANSSDSTPATTEEPHPYNTTASESNPQENSSPEQHFIKLRTNREDDEPQDKTGDANDNSNDALEGSHLGKSELPQWSPKLADSQDNSTEELDSTRQLENSQGEANYESRGSNLLEKEVEASNEVEPMKETSPETELETSKDSLSEANQNSTQAVEPVADPADVKPSMPIYNDETKETSKRRRRRKFRSRRKKRTNAAATNVDGEVTKVR >ORGLA04G0216100.1 pep chromosome:AGI1.1:4:22353562:22355369:1 gene:ORGLA04G0216100 transcript:ORGLA04G0216100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase 10 [Source:Projected from Arabidopsis thaliana (AT2G20810) TAIR;Acc:AT2G20810] MRRRPFLDQRRPSSFKRRWQQRPWWFRLAVTLLLALACLFLLLALRGSPDPDPVVLPSTDSSRSAATTSPLLHQRSYLDGVTDAFNMTDEMLSAHSFSRQLMDQISLAKTYLVVAKEANNLQFAAELSSQIRRAQSILAHAAAHGGTVTEQDAEKAIRDMSVLFFQAQQFRYDSAVTIMKLKGQIQSLEEKSKAEAEKSTKYGQIAAEELPKGLYCLGVRLTMEWFKTTELQRKFTERSPAVQSNLRDNSLYHYCVFSDNILAVSVVVNSTTLNSMHPEKIVFHLVTDEVNYAPMRAWFALNDYRGATVEIQKVEDFTWLNSSYVPVLKQLQDAATQNYYFSGSGNRGTPVKFRNPKYLSMLNHLRFYIPEIYPELRKVVFLDDDIVVQKDLSELFTINLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLVMWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEPLDPKWHVLGLGYTTVDPATIKEGAVLHYNGNMKPWLKIGMEKYKGFWDNYVDYSHPLLQRCFTH >ORGLA04G0216000.1 pep chromosome:AGI1.1:4:22348213:22351233:-1 gene:ORGLA04G0216000 transcript:ORGLA04G0216000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEREEEEAARSPPPKRPRRDGDAPPEPQPARVGLNPADCDLDFDVGGGGLRGEALHDGGFAYCWSGARATVGVRGGGKYCFGCKVVAEQAVEMEDTAADQQHLCRVGVSRGGDPVGALGETGHSFGFGGTGKFSHQGKFVEYGVKFGVGDTVVCAVDLDSKPLASIGFSRNGEWLGIAKHFDASDKGLGLVESPVSSMQWESAIFPHVLLKNVVVEMQFSKEDGLQLVDGYEPWPSACVDGNAVSGPVFAEQKECEIMMMVGLPASGKSTWAEKWIKEHKEKRFILLGTNLALEQMKVPGLLRKNNYGERFERLMDRATMIFNTLLTRAAKIPRNYIIDQTNVYKNARSRKLRPFANYRKTAVVVFPSPSELKVRAAKRFKEMGKDVPADAVNEMTANFVLPLSKDMPDSKEPFDEVIFVELSRDEAQRNLDEMKRLLPKASTPSYANFSNQNVSSTYSGTIAGTMPSLSPGYHRQMDSPYGSGVQTPRALTHQQAAWGVQGFQSPAGINHHQVHLSSYPNTPYQHQQIQSSHPSTPYQHQAQSTSYPSTPYQDQIHSSYPSNPTQHQVHLNNPSTPNQYQSHSTYPNTPFPGHGNSVYDSNGGPGPYNPNPYSMNTDMQQRIQAPIGDRNQSYAVVSNEAYGRSGYEAANSVGRPINTHPAVYNSGSGNYMPYMQHSHDVHNSGSQYSAPVPRPPYGAPPPNYMNPQTSIWHI >ORGLA04G0215900.1 pep chromosome:AGI1.1:4:22342500:22347717:1 gene:ORGLA04G0215900 transcript:ORGLA04G0215900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair and meiosis protein (Mre11) [Source:Projected from Arabidopsis thaliana (AT5G54260) TAIR;Acc:AT5G54260] SSLKLNQHRGDESNTLRVLVATDCHLGYMEKDEIRRFDSFEAFEEICSLAEQNKVDFVLLGGDLFHENKPSRSTLVKTIEILRRYCLNDQPVKFQVVSDQTINFPNRFGQVNYEDPNFNVGLPVFTIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMDLGGSGVGEIAVYPVLVKKGTTFVALYGLGNIRDERLNRMFQTPHAVQWMRPETQDGMSVSDWFNILVLHQNRIKTNPKSAINEHFLPRFLDFIVWGHEHECLIDPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLLLEIKGNQYRPTKIPLRSVRPFHYAEVVLKDEVDVDPNDQASVLEHLDKIVRNLIEKSSQPTASRPETKLPLIRIKVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKSAKKRQTTGVGNIDDSEKLRPEELNQQTIEALVAENNLKMEILPVDDLDIALHDFVSKDDKMAFYACLQRNLEETRTKLNSEADKFKIEEEDIIVKVGECMQERVKERSLRSKEDSRFTSSSQNLDTGGRSVTAQSNLNSFSDDEDTREMLLSARTTNAGRKASGFTRPSKDATDVAKTGTSRRGRGRGTASMKQTTLNFSQSRSSAAIRSEEVQSSSDEENETNEANEVVESSEPEESPQQTGRKRAAPRGGRGRGRGATAKRGRKADISSIQSMLMSKDDDDDDEDDRPKKPPPRVTRNYGAVRRR >ORGLA04G0215800.1 pep chromosome:AGI1.1:4:22341223:22341822:-1 gene:ORGLA04G0215800 transcript:ORGLA04G0215800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13780) TAIR;Acc:AT5G13780] MVCIRQATIDDLLAMQACNLMCLPENYQMKYYLYHMLSWPQLLFVAEDYGGRIVGYVLAKMEEDPSEPCHGHITSLAVLRSHRKLGLATKLMSAAQAAMDQVFGAEYVSLHVRRSNRAAFNLYTSTLGYQIHDVEAKYYADGEDAYDMRKPLRQPQPKKHHHHHHHHHGPGGCCSHDAPPAASGSSPPSSNSPEKKTDS >ORGLA04G0215700.1 pep chromosome:AGI1.1:4:22338063:22338783:1 gene:ORGLA04G0215700 transcript:ORGLA04G0215700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAVGLCKAHQLRPAALLSPRPLLRRASPCLPAAGAGGELRRASAASRLVVRRRCQEEEQQQQQEEEERSNGGGGDGEQEQRTFLSLEEAGLVEMSGLSTHERFLCRLTISSLNLLRVISEQEGVPIEELNAGLVCDWFVKDKLKREQNVGSAVLQWDDPGF >ORGLA04G0215600.1 pep chromosome:AGI1.1:4:22335895:22337425:-1 gene:ORGLA04G0215600 transcript:ORGLA04G0215600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHKAAKANAAGVSQGKKLPASAAAVAERRRAEKAEEGLRTVISLKVLLKQLKVIRHAWILEESDVQSGTIAQDRRLLLVLPANAGSREHWRVQKVRSCISLIYGATFSCRLCQLSRASEYSLASHNTGDPLTCSYLVPSYGTAFGFKGCDRYCLLLQQLWLQELKMAAAAAAEKQPQP >ORGLA04G0215500.1 pep chromosome:AGI1.1:4:22331921:22332184:-1 gene:ORGLA04G0215500 transcript:ORGLA04G0215500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKANARAGVSRGKKLPASAAAVAERRRAEKAEEGLRTVMYISCWSTN >ORGLA04G0215400.1 pep chromosome:AGI1.1:4:22330175:22330435:-1 gene:ORGLA04G0215400 transcript:ORGLA04G0215400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHKAAKANAAGVSQGKKLPASAAAVAERRRAEKAEEGLRTVMYISCWSTN >ORGLA04G0215300.1 pep chromosome:AGI1.1:4:22321001:22321261:-1 gene:ORGLA04G0215300 transcript:ORGLA04G0215300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHKAAKANAAGVSQGKKLPASAAAVAERRRAEKAEEGLRTVMYLSCWGPN >ORGLA04G0215200.1 pep chromosome:AGI1.1:4:22318945:22319205:-1 gene:ORGLA04G0215200 transcript:ORGLA04G0215200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHKAAKANAAGVSQGKKLPASAAAVAERRRAEKAEEGLRTVMYLSCWGPN >ORGLA04G0215100.1 pep chromosome:AGI1.1:4:22314481:22314774:-1 gene:ORGLA04G0215100 transcript:ORGLA04G0215100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGKAKASSWAAAMSVGTVEALKDQAGLCRWNYAFRTLQQRGRQQAVAGTSGAKSGGGGRALQPAAAAAARRKAQQQEEELRTVMYLSNWGPNN >ORGLA04G0215000.1 pep chromosome:AGI1.1:4:22313012:22313284:-1 gene:ORGLA04G0215000 transcript:ORGLA04G0215000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYASRVLLRAAAQAMRAARQPAPAVAKPVPAAKPSAVAPGASQRQQARRLSGGVAEPAEKAAVAERMRRRRREKSENVMQLVCWGPS >ORGLA04G0214900.1 pep chromosome:AGI1.1:4:22311825:22312109:1 gene:ORGLA04G0214900 transcript:ORGLA04G0214900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHMSRVGARAAQAVREGAGRSVKDKAQSATTSTSSAAARSSGGGSRAPAAGSVEKGRVSAAAAARAAEEKRRRAEQSLRTVMFLSVWGPNT >ORGLA04G0214800.1 pep chromosome:AGI1.1:4:22304754:22308714:1 gene:ORGLA04G0214800 transcript:ORGLA04G0214800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:I1PPM9] MDGHTNGTNGSCSKPCEPLTDYCIPDYILNPDSEQVLVDQAPCCPVVVFINSRSGGQLGSSLIKTYRELLNKAQVFDLSEEAPEKVLHRLYCNFEKLKSNGDPIAFQIQSNLRLIVAGGDGTASWLLGVVSDLKLSHPPPIATVPLGTGNNLPFSFGWGKKNPTTDQEAVKSFLGQVKKAREMNIDSWHIIMRMRAPQEGPCEPIAPLELPHSLHAFHRVSGSDSLNMEGYHTYRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQLTNQSTYAKLGLKQGWFAASLTHPSSRNIAQLAKVRIMKRPGGQWEELKIPRSIRSIVCLNLPSFSGGLNPWGTPGTRKVQDRDLTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAAEHTFMRIDGEPWKQPLPKDDDTVVVEISHLRQVTMLASDPCKSKSVNDPSSPMCCSNHDDDERNSLEDEDEWEEGRKKFGAADTFKFPDEVDVAHLS >ORGLA04G0214700.1 pep chromosome:AGI1.1:4:22297303:22299312:-1 gene:ORGLA04G0214700 transcript:ORGLA04G0214700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHFCVFGCLKRFVIKQMGIRRIQAPRLITKEAGPPADEAIFRSDSVKSAVLSSPLVEFSTIYSATNNFSNKLGGGGFGFVYKGVLPDGQEIAVKRLSNRSSQGLEEFKNEVIVLSKLQHRNLVRLFGCCVHGEEKMLLYEYMPNKSLDSFIFDESKRLIFGWKLRYKIIQGIGRGLLYLHQDSRLKIIHRDLKASNILLDDDFNPKISDFGMARIFGEHQLQALTHRIVGTYGYISPEYAMEGKFSEKSDIFSFGVLILEIVSGRRNSSFVDEEWSMNLLGYAWTLWKEGSVSELIDPLMGTICSYDEVCRCIQVGLLCVQELPGDRPSMPLVLRMLSGDVTLPAPKQAAFFVGRVPLDDNNTGSGNQLTYTQLQGR >ORGLA04G0214600.1 pep chromosome:AGI1.1:4:22292195:22295685:-1 gene:ORGLA04G0214600 transcript:ORGLA04G0214600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTRQSSNLLRLVAFFFLLSGQTSAAAAAGVADKIDKGQNLTDGQTLVSSGGGSYTLGFFSPGKSTKRYLGIWFTVSGDTVYWVANRDRPLDGKSGVLLLNDDGSQLVLLDGGSRRTVWSASFLAASAAVVQLLDSGNLVVRNGSGGDAYLWQSFDQPSDTLLPGMKMGKSLWSGQEWFITAWRSADDPSPGDYRRTLATDGLPELVLWRGGGGGGGGATKVYRTGPWNGRFFNGVPEASNYSDKFPLQVTSSAREVTYGYGSVATAGAAPLTRVVVNYTGVVERLVWDASSRAWQRFFQGPRDPCDSYARCGPFGLCDADAAATSFCGCVDGFTAASPSAWALRNTSGGCRRGVALDCAGGGGGSRTTDKFKVVRGVKLPDTRNASVDMGATAAECERRCLGNCSCVAYAAADINGGGCVIWTDDIVDLRYVDRGQDLYLRLAKSEFDVIPDNPSMGVASVNLATIKSITENFSENCLIGEGGFSTVYKGVQSDGTMVAVKRLKQSALTNKGKKDFAREVAVMAGLHHGSLLRLLAYCNEGNERILVYAYMKNKSLDNHIFGPLPRRANLHWRRRLDIIQAIAKGVAYLHEGPDGSVIHRDLKLSNILLDDELKPKIADFGTAKLFVADQSGQTLVVSQGYASPEYALRDEMTLKCDVYSFGVILLETLSGVRNGSMQTLLPQAWRLWEQGNLMDLLDPAMARPAPDDAELLYDLERCIHIGLLCIQDMADDRPTMSEIVAMLTSRTSQMEQPKRPTLDSRAAMRPLRQSDVQGSTTTDLT >ORGLA04G0214500.1 pep chromosome:AGI1.1:4:22272709:22275648:-1 gene:ORGLA04G0214500 transcript:ORGLA04G0214500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATTGICLVDVILFSFFLVAPRAFAAAAAVTDTLRGGRNITDGETLVSADGTFTLGFFSPGVSAKRYLGIWFTVSPDAVCWVANRDSPLNVTSGVLAISDAGSLVLLDGSGGGHVAWSSNSPYAASVEARLSNSGNLVVRDASGSTTTLWQSFDHPSNTLLPGMKMGKNLWTGAEWDLTSWRSPDDPSPGTYRRVLDTSGIPDVVLWQDGVERYRSGPWNGRWFSGNPEAATYTTNLITFQVTVSPGEMSYGYVSKPGAPLTRSVVLDTGVVKRLVWEATSRTWQTYFQGPRDVCDAYAKCGAFGLCDANAPSTSFCGCLRGFSPTSPAAWAMKDASGGCRRNVPLRCGNTTTTDGFALVQGVKLPDTHNASVDTGITVEECRARCVANCSCLAYAAADIRGGGGGSGCVIWTGGIVDLRYVDQGQGLFLRLAESELDEGRSRKFMLWKTVIAAPISATIIMLVLLLAIWCRRKHKISEGIPHNPATTVPSVDLQKVKAATGNFSQSHVIGQGGFGIVYKGQLPDGRMIAVKRLHQSTLAKKGKKDFSNRSLDLYIFGT >ORGLA04G0214400.1 pep chromosome:AGI1.1:4:22266474:22270548:-1 gene:ORGLA04G0214400 transcript:ORGLA04G0214400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PPM5] MSSMFLIILSCMLLLSNSGRTTTGAELGDTLGKGRNITDGERLVSAGGSFTLGFFSPASSSSSSTSRRYLGIWFSVSDDVVCWVANRDRPLTDTSGVLVITDAGSLLLLDGSGHVVWSSNTTTGGGASMAAQLLESGNLVVSDRGNGGAGAVVVWQSFDHPCDTLLPGMKIGKNLWTGAEWYLSSWRSSGDPSPGNYRYRTDTKGMPENVLWDGDGEVYRTGPWNGLWFSGIPEMGTYSDMFSYQLTVSPGEITFGYSANAGAPFSRLVVTGVGEVQRLVWEPSSRAWKNFFQGPRDLCDDYGKCGAFGLCDAGAASTSFCSCVEGFTPASPSPWKKMRDTSAGCRRDAALGCATDGFLAVRGVKLPDAHNATVDKRVTVEECRARCLANCSCVAYAPADIGGGGGGGAGSGCIIWADDLVDLRYVDGGQDLYVRLAKSELGKDGIRQRRPPAAVVIGASIASVVGVLLIILLVLLYVIRRRQRPRVSDDDAGVPAATAAVHARPNPALAAPSINLSSVKEATGNFSESNIIGRGGFGIVYQGKLPSGRKVAVKRLTQSLVTDKRKEDFIREVEMMSNTRHAYLVELLCYCQEGGEMILVYEYMENMSLDLYIFGEDRRLRASLNWVQRLDIIRGIAIGVEYLHNVKVIHRDLKPSNILLDDNWRPKVADFGTAKLFINDQTDPTLVLSAGYIAPEYAAQGNLTLKCDVYSFGVVLLEIISGKRNRTLPTFLRHTWESWKQHEIEDILDLGLIKPEPDLLLGLDRCIQIGLLCVQQSPDDRPTMNQVVSMLTKYSSQIAMPKNPMINSRCEPSVSQVVSDTEPASHDRPGPSLN >ORGLA04G0214300.1 pep chromosome:AGI1.1:4:22262701:22265703:-1 gene:ORGLA04G0214300 transcript:ORGLA04G0214300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PPM4] MNMACLPFLICLLLISFCKCDDQLTQAKQLHPGDVLGSKSGVFALGFFSPGTSNKSLYLGIWYHNIPQRTYVWVANRDNPISTPSSSVMLAISNSSNLVLSDSEGRTLWTTNITITGGDGAYAALLDTGNLVLQLPNETIIWQSFDHPTDTILPNMKFLLRYKAQVSRRLVAWKGPNDPSTGEFSLSGDPSLDIQAFIWHGTKPYYRFVVIGSVSVSGEAYGSNTTSFIYQTLVNTQDEFYVRYTTSDGSANARIMLDYMGTFRFLSWDDSSSSWTVRLQRPASTIDCYTYASCGPFGYCDAMLAIPRCQCLDGFEPDTTNASRGCRRKQLLRCGDGNHFVTMSGMKVPDKFIPVPNRSFDECTAECNRNCSCTAYAYANLTIAGTTADQSRCLLWTGELVDTGRTGFGDGQNLYLRLAYSPGYTSEANKKNKKVVKVVVPIIACLLTFTSIYLVRKWQTKGKQRNDENKKRTVLGNFTTSHELFEQKVEFPNINFEEVATATNNFSDSNMLGKGGFGKVYKGKLEGGKEVAVKRLGTGSTQGVEHFTNEVVLIAKLQHKNLVRLLGCCIHGEEKLLIYEYLPNRSLDYFLFDDSKKSMLDWRTRFNIIKGVARGLVYLHQDSRMTIIHRDLKASNILLDEEMSPKISDFGMARIFGSNQHQANTKHVVGTYGYMSPEYAMEGIFSVKSDTYSFGVLVLELISGSKISSPHLTMDFPNLIARAWSLWKDGNAEDFVDSIILESYPISEFLLCIHVGLLCVQEDPSARPFMSSVVAMLENETTARPTPKQPAYFVPRNYMAEGTRQDANSMSLTTLQGR >ORGLA04G0214200.1 pep chromosome:AGI1.1:4:22258503:22262342:-1 gene:ORGLA04G0214200 transcript:ORGLA04G0214200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKKESTVMEAATTNIFYRPVIFFSVLLCFQYRAAGVASDTLSNGRNLTDGDTLVSANGSFTLGFFSPGLPSRRYLAIWFSESADAVWVANRDSPLNDTAGVVVIDGTGGLVLLDGAAGQAAWSSNTTGSSPSVAAQLLESGNLVVRDQGSGDVLWQSFDHPSNTLIAGMRLGRNPRTGAEWSLTSWRAPDDPATGGCRRVMDTRGLADCVSWCGAGKKYRTGPWNGLWFSGVPEMASYSSMFANQVVVKPDEIAYVFTAATAAAPFSRLVLSEAGVIQRLVWDPSSKGWNTFAQAPRDVCDDYAKCGAFGLCNVNTASTLFCSCMAGFSPMFPSQWSMRETSGGCRRNAPLECGNGSTTDGFVPVRGVKLPDTDNATVDTGATLDECRARCFANCSCVAYAAADIRGAGGGSGCVMWTGDVIDVRYVDKGQDLYLRLAKPELVNNKKRTVIKVLLPVTAACLLLLMSMFLVWLRKCRGKRQNKVVQKRMLGYLSALNELGDENLELPFVSFGDIAAATNNFSDDNMLGQGGFGKVYKGMLGDNKEVAIKRLSKGSGQGVEEFRNEVVLIAKLQHRNLVKLLGCCIHGDEKLLIYEYLPNKSLEAFIFGTVQKHTMRSNKLHSMLTDREILLFLRKYLKIPKFYTKIFGTLRYLVSEDPASKYALDWPTRFKIIKGVARGLLYLHQDSRLTIIHRDLKSSNILLDVDMSPKISDFGMARIFGGNQQEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSYGVILLEIVSGLKISLPRLMDFPNLLAYAWSLWKDDKAMDLVDSSIAESCSKMEVLLCIHIGLLCVQDNPNNRPPMSSVVFMLENEAAALPAPIQPVYFAHRASGAKQSGGNTSSSNNNMSLTVLEGR >ORGLA04G0214100.1 pep chromosome:AGI1.1:4:22249997:22255130:-1 gene:ORGLA04G0214100 transcript:ORGLA04G0214100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATANIFHLSLTFFFMVLLTLGTSAAGVASDTLSNGRNLTDGNTLVSAGGSFTLGFFSLGLPSRRYLAIWFSESADAVWVANRDSPLNDTAGVLVNNGAGGLVLLDGSGRAAWSSNTTGKSSSATAAQLLESGNLVVRERDQLNTGVFIWQSFDHPSNTLIAGMRLGNNRQTGDAWFLSSWRAHDDPATGDCRRVLDTRGLPDCVTWCGGAKKYRTGPWNGQWFSGVPEMASYESIFSSQVVVTPDEIAYVFTAAAAAGSPFSRLVLDEAGVTERLVWDPSSKVWIPYMKAPRGVCDDYAKCGAFGLCNEDTASTLFCSCMAGFSPVSPSRWSMRDTSGGCRRNAPLECGNGSTTDGFVTVRGVKLPDTDNAMVDTGATLDECRARCLANCSCVAYAAADISGRGCVMWIGDMVDVRYVDKGQDLHVRLAKSELVNNKKRTVVKIMLPLTAACLLLLMSIFLVWLYKCRVLSGKRHQNKVVQKRGILGYLSASNELGDENLELPFVSFGEIAAATNNFSDDNMLGQGGFGKVYKGMLDDGKEVAIKRLSKGSGQGAEEFRNEVVLIAKLQHRNLVRLLDHANKYVLDWPTRFKIIKGVARGLLYLHQDSRLTVIHRDLKPSNILLDVDMSPKISDFGMARIFGGNQHEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEIVSCLKISLPRLTDFPNLLAYAWNLWKNDRAMDLMDSSISKSCSPTEVLLCIQIGLLCVQDNPNNRPLMSSVVSMLENETTTLSAPIQPVYFAHRAFEGRQTGENSISLLEGRTKLGLPPIGGKPLPCLLDFVPTNLGMLGGNKEVAIKRLSKHSGQGVEEFRNEVVLIAKLQHKNLVRLLGCCIHGEEKLLIYEYLPNKSLDYFLFGIFSVKSDTYSFGVLVLELISGSKISSPHLIMGFQNLIACAWSLWKNGKAEDLVDSIILQIYSLNEFLLCIHVGLLCVQEDPNARPLMSSVVAMLENEATTLPTPKQPAYFVPRNCIAGGAREDANKSVNSISLTTLQGR >ORGLA04G0214000.1 pep chromosome:AGI1.1:4:22248998:22249249:1 gene:ORGLA04G0214000 transcript:ORGLA04G0214000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIVVQKVWPSKSDNTKLELLLMASIADEGAVIGLSRLAIQTYVRSGMLLYHMPRNKLWLEVAGEKKPSAKTPFWETSTSPG >ORGLA04G0213900.1 pep chromosome:AGI1.1:4:22242050:22245295:-1 gene:ORGLA04G0213900 transcript:ORGLA04G0213900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PPM0] MVYFLMFLLLLSIPLCKTDDQLTLGKPIFPSEMLISKGGIFALGFFSPANFSNSLYVGVWFHNIPQRTVVWVANRDNPITTPSSATLAITNSSGMVLSDSQGHILWTTKISITGASAVLLDTGNFVLRSPNGTDIWQSFDHPTDTILAGMMFLMSYKSEIVGRLTAWRSHDDPSTGDFSFSLDPSSDLQGMTWNGTKPYCRNGVRTSVTVSGAQYPSNSSLFMYQTLIDSGNELYYSYTVSDSSMYTRLTLDSTGTMMFLSWDNSSSSWMLIFQRPAAGSCEIYGSCGPFGYCDFTGAVPACRCLDGFEPVDPSISQSGCRRKEELRCGEGGHRFVSLPDMKVPDKFLQIRNRSFDQCAAECSSNCSCKAYAYANLSSGSTMADPSRCLVWIGELVDSEKKASLGENLYLRLAEPPVGKKNRLLKIVVPITVCMLLLTCIVLTWICKHRGKQNKEIQKRLMLEYPGTSNELGGENVKFPFISFGDIVAATDNFCESNLLGRGGFGKVYKRFPIYIDDNMKGILEGGTEVAVKRLNEGSGQGIEEFRNEVVLIAKLQHRNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDATRKYVLDWPTRFKIIKGIAKGLLYLHQDSRLTIIHRDLKASNILLDTEMNPKISDFGIARIFHGNQQQANTTRVVGTYGYMSPEYVLGGAFSVKSDTYSFGVLLLEIVSGLKISSSKLTPNFFSLTAYAWRLWKDGNATELLDKFFVDSYPLHEAFRCIHVGLLCVQDHPNDRPSMSSVVFMLENESTLLPAPKQPVYFEMKNHGTQEATEESVYSVNTMSTTTLEGR >ORGLA04G0213800.1 pep chromosome:AGI1.1:4:22231693:22235312:-1 gene:ORGLA04G0213800 transcript:ORGLA04G0213800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PPL9] MGTAFATVFVLVFLISLCKSDDQLTPAKPLHPGDMLISDGGVFALGFFSPTKSNATLYVGIWYHKIPNRTVVWVANRDNPITAPSSAMLFISNSSDLVLSESGGHTLWEARNNITTGGSGATVVLLNSGNLVLRSPNHTILWQSFDHLTDTILPGMKLLLKYNGQVAQRIVSWKGPDDPSTGNFSLSGDPNSDFQVLVWNGTSPYWRSGAWNGALVSAMFQSNTSSVTYQTIINKGNEIYMMYSVSDDSPSMRLMLDYTGTIKMLIWNSNLFAWSVLFSNPSYTCERYASCGPFGYCDAAEAFPTCKCLDGFKPDGLSISRGCVRKEQMKCSYGDSFLTLPGMKTPDKFLYIRNRSLDECMEECRHNCSCTAYAYANLSTASMMGDTSRCLVWMGELLDLAKVTGGGENLYLRLPSPTAVKKETDVVKIVLPVVASLLILTCICLVWICKSRGKQRSKEIQNKIMVQYLSASNELGAEDVDFPFIGFEEVVIATNNFSSYNMLGKGGFGKVYKGILEGGKEVAVKRLSKGSGQGIEEFRNEVVLIARLQHRNLVKLVGCCIHEDEKLLIYEYLPNKSLDAFLFDATRKTVLDWPNRFKIIKGVARGLLYLHQDSRLTIIHRDLKAGNILLDAEMSPKISDFGMARIFGGNQQQANTTRVVGTYGYMSPEYAMEGVFSVKSDIYSFGILLLEIISGFRISSPHLIMGFPNLIAYSWSLWKDGNARDLVDSSVVESCPLHEVLRCIHIALLCIQDHPDDRPLMSSVVFMLENNTAPLPQPKQPIFFVHKKRATEYARENMENSVNGVSITALEGR >ORGLA04G0213700.1 pep chromosome:AGI1.1:4:22225606:22227828:1 gene:ORGLA04G0213700 transcript:ORGLA04G0213700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHQMMHAAPAAMYNGGGGGGTTSSHGVWWSNAVGVPAAATCSTTTELAGYTVWSSALAAGYDGMVADNGGKQAKSTTTASSESPGNNSSVTFQEPASIPDPAAVAAVPQPGLAGFTDWTQPFMNNGAGLHEFLQDGHHDMSASSLMNHSSNNLALQQAGHHHELLSSFGSDLLLSPTSPYGGFQSSLLRSLMEPTAKQQQQQPALAGLQQYHQYQQQMGHTPAAAAKFAQAVGARDSLQFTNDAPFWNPSAGFGMPAAVAVAAAAAQDQASVRSAKRSSPAPPRAAATLALKTAMEGVGDSSSVITKKETAFKKPRLETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGALSAPYLKNGAHQLPHLKNSSPDKSKHGEISLKGRGLCLVPISSTFAVASEVPVELWTPFGANFIR >ORGLA04G0213600.1 pep chromosome:AGI1.1:4:22214559:22217216:-1 gene:ORGLA04G0213600 transcript:ORGLA04G0213600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVACWRHVRATAFGNLVDRIIELDSITAWSGSISGRGSGLTTERRKPLQRDIWLNQLLHYPAVHGCPRSNNATDHQARTHTHFSAKRASAFSWLFTKYFVANWQLLPLQPFLALAATVAAAASRIDHTLNSSNAVPYDGTRYEQVTYGPTLTKRKPGKERSSTAVGSIHTEMLRAVNHALAHRLCIINQA >ORGLA04G0213500.1 pep chromosome:AGI1.1:4:22211373:22212848:1 gene:ORGLA04G0213500 transcript:ORGLA04G0213500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVVVVLVMVVCAATAGVAAAGKFDDVVEPSWANDHVVYEGDLLKLRLDSSSGGGFASKSKFLYGKATADLKLVAGDSAGVVTAFYVTVVRRGQAQRVRLRVPGQRHRRAVPGSDQPVHRRRRQPGAAHRPVVRPHRRLPHLRRALEPQPGRLPRRRHPHPRLREQERHRRCQGPPPPRRRRQCHQQRHVGVRAAVPVAAADVGLQLHLERGRLGDAGRAREDGLVARAVRGHVPRRPRRGVRVGGERHRLGRRRGGAVHGELVGQGGEVLVEGEGHGGAHRAPEPPARLGARAPPRLRLLRRHRPLPRPAAGVRRPVTVDIAAG >ORGLA04G0213400.1 pep chromosome:AGI1.1:4:22207593:22207832:-1 gene:ORGLA04G0213400 transcript:ORGLA04G0213400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLAMAGPWMHGEEAGHVVASISEFLQMATCVSSGHAFRIGMGGRSRSILGWLFS >ORGLA04G0213300.1 pep chromosome:AGI1.1:4:22198315:22201552:1 gene:ORGLA04G0213300 transcript:ORGLA04G0213300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVEMKTACVTGGNGYIASALIKMLLQKGYAVNTTVRNPGDDMKKTSHLKDLEALGPLEVFRADMDEEGSFDDAVAGCDYAFLVAAPVNFQSENPEKEMIEAGVQGTMNVMRSCVRAGTVKRVILTSSVAAVSGRPLQGDGHVLDEDSWSDVEYLTREKPPAWSYSVSKVLMEKAACEFAEENNISLVTVFPVFTLGAAPTPTAATSVSAMLSLLSDHEMQLKTLKGLAATGPIPTVHVDDLCRAEVFVAEKESASGRYICSSLSTTVMAFTRFVAGKHPRYNVKTDGHQGFPEKPRVCYSSEKLVREGFEFKWTDLDEVFDDLIEYGKVLGILPQ >ORGLA04G0213200.1 pep chromosome:AGI1.1:4:22194871:22195334:1 gene:ORGLA04G0213200 transcript:ORGLA04G0213200.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEAQLKNLKGLAATGSIPVVHVDDVCRAEIFLAEESASGRYICSSLSTTVMALARLAAAKYPQYNVQTECFEGFPEKPRVCYSSEKLTREGFEFKWTDLDEIFGDLVEYGKALGILPH >ORGLA04G0213100.1 pep chromosome:AGI1.1:4:22192812:22193822:1 gene:ORGLA04G0213100 transcript:ORGLA04G0213100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGMKTACVTGGNGYIASALIKMLLQKGYAVNTTVRNPDDMRKNSHLKELEALGTLKVFRADLDEDGSFDEAVNGCDYAFLVAAPVNLQSENPEKELVEAGVRGTLNVMRSCVRAGTVKRVILTSSAAAVSRRPLQGDDGHVLDLDESSWSDVDYLTREKPPSWVTFFYSFLFWSSKSRIHFFFTGLMKS >ORGLA04G0213000.1 pep chromosome:AGI1.1:4:22186891:22189351:1 gene:ORGLA04G0213000 transcript:ORGLA04G0213000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVERKTACVTGGNGYIASALIKMLLEKGYAVNTTVRNPDDMAKNSHLKDLQALGPLKVFRADMDDEGSFDDAVAGCDYAFLVAAPMNFNSENPEKDLVEAAVNGTLNAMRSCAKAGTVKRVIITSSDAAISRRPLQGDGHVLDEESWSDVDYLRTEKPPAWAYSVSKVLLEKAACKFAEENNMSLVTVFPVFTLGAAPAPVARTSVPGILSLLSGDETHLEVLKPLQWVTGSVSIVHVDDLCRAEIFLAEKESSSLSSAESSARYICCSFNTTVLALARFMAGRYPQYNVKTDRFDGMPEKPRVCCSSEKLIREGFEFKYTSMGDILDDLVEYGRALGILPH >ORGLA04G0212900.1 pep chromosome:AGI1.1:4:22182202:22182681:-1 gene:ORGLA04G0212900 transcript:ORGLA04G0212900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAHASPVLVVVLLLRPHHPCHLRRRAALAAQPIDDGGAALRLAADVVPAAGGAAGARPPLGVGDGGGRDDEVDLAALQLRLRRHDVYPAHRPLLRQALPAQHVVGVCRQHLAGGHGMAVRVDAAGSVSRVSLRIGGGWGEDSPGRSGGAAVGGRDR >ORGLA04G0212800.1 pep chromosome:AGI1.1:4:22175387:22175875:1 gene:ORGLA04G0212800 transcript:ORGLA04G0212800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAEGYDGEDRVSALPDDLLVARLPSRTRLAPPRSPPAGGASGAPRRSSSAASPLCAGVEGPRGGEVDDGRRPRRGPRGGGGGQRVEEARRRRRWSPISSSPPPRPWALQPSTAPSPVTRTPSASCTGPSSQSPQPSARLQASRSRSTERRNRGPADMAS >ORGLA04G0212700.1 pep chromosome:AGI1.1:4:22174245:22174520:1 gene:ORGLA04G0212700 transcript:ORGLA04G0212700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASRKKVGAAAEARGLPRLVRYKVLVLVLVLQFLYSRQASVAAPNSGPLPGCGAWGCWHTRCGAAVDLALDTLEACVRVILSWETWLQCCTV >ORGLA04G0212600.1 pep chromosome:AGI1.1:4:22160871:22172578:-1 gene:ORGLA04G0212600 transcript:ORGLA04G0212600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLNNKIDATYNVQQTESDHGSTESDEEFHMCQICNTEEEKTLLLHCSGCSRHVHPGCLTPPWTGVLTDDWSCYTCKKLEGEENEQDAHVADFSQRYDAAVEKKKKILDMIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLISIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTIMYRGIMEQDSFPVVPPGCSDNQSSIQEFIAEAKAALVSVGIIRDAVVCNGKVAGKLSGRIVDSDMHDVARFLNRLLGLAPKIQNRLFDLFTSILDVVLHNARIEGQLDSGIVDIKAKNVEMKESPKTVHIDSLSGASTVLFTFTIDRGVTWETAKSMLDERQKDGAGSSNDGFYESKREWMGGRHFILAFEGSIEGMYKIIRPAIGEALREMPLTELKSKYRKVSSIEKVNKGWQDEYDASSKQCMHGSKCKVGSYCTVGRRLQEVNILGGLILPVWGTIEKALAKQVRQSHKRVRVVRLETTTDNQRIVGLLIPNSAVESVLTGLQWVQDIDD >ORGLA04G0212500.1 pep chromosome:AGI1.1:4:22148032:22151738:-1 gene:ORGLA04G0212500 transcript:ORGLA04G0212500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMERQSASSSASCSPSSSAAGTSSSSSACGGKKRPDILNMIRSATCLNSSSTDTGKGRSKQSSNKVTHGFHLVEGKSGHDMEDYHVAEYKYDKSHELGLFAIFDGHLGDSVPSYLKANLFCNILKEPIFWTNPQEAIKNAYRSTNKYILENAKQLGPGGSTAVTAIVVDGKDMWVANVGDSRAVVCERGAANQLTVDHEPHTTNERQRIEKQGGFVTTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPINSSIEFVILASDGLWKVMKNQEAVDLVKSIKDPQAAAKRLTTEALARKSKDDISCIVIRFRC >ORGLA04G0212400.1 pep chromosome:AGI1.1:4:22142576:22144666:1 gene:ORGLA04G0212400 transcript:ORGLA04G0212400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEQGGEVAMAAAAAVTTTVAPALPTQEHRLPLSNLDLLLPPLDVSVFLCYRHPAPSAAALKEALAKALVPFYPLAGEVVANGDGEPELLCSGRGVDFTESVAGEEMRGLRIGMVDERVEKLVPAKKAASVMAVQVTKFKCGGAVVGCTFDHRVCDAYSFNMFLVAWAAAARDGHGGGTAPPPPTIPSFRRSIVAPRDPPPPRSPSTDALIDRLFAPLGSAPPPPDDAAAAAVNRIYRVAAADVASLQDSAGPGRTKLEAFTAHLWQLNARAAAAAAAAAEHERPCCMGVVVDGRGRMFPDGAMRAYFGNVLTIPYGVMGSGELRAAALTDVAGDVHRWVAEAATGDHFRGLVDWVEARRPKPAAARAYLGGTGGGDAAACIVSSGMGFPVGEADFRTGAPAFASYHFPWPAGAGYVMPMPSARGDGDWVVYVHVSPELAAAMEEEPTVFRSLDNSYVFG >ORGLA04G0212300.1 pep chromosome:AGI1.1:4:22133563:22136033:1 gene:ORGLA04G0212300 transcript:ORGLA04G0212300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEAINGHGHEVTVKVTSASTVAPALPVQEHRLPLSNLDLILPPMDVGVFFCYGAGEGSGGGGALLPAATLKAALAKVLVAYYPLAGEVVVNTRGEGELLCSGRGVDFAEATAGDAVLRQLRLAVVDESAEKLVPKKKAGVMCVQVTKFKCGGAVVGCTFDHRVCDAYSFNMFLVAWAAAARGSSAAPAPSFDRSFLAPTSPAPPCPDALSDRLFVPVSCVPAPPSSAPEAAAAAVNRIFRVAAADVAALQAAAGPGRTKLEAFTAHLWQLHARAAASPPRHRRSCCMGVVVDGRTRLRRDGAMGAYFGNVLTIPYGAMSSGDLSAMPLADVAGDVHRWVAEAATRDHFRGLVDWVEQLRPEPSVARAYLGGDGGAEAAACVVSSGMRMPVGEVDFGRGRPAFASYHFPWPGGAGYVMPMPSARGDGDWVVYVHAAAEVVKAMEEEETTVFRALESDYVFGPVQQ >ORGLA04G0212200.1 pep chromosome:AGI1.1:4:22124925:22127622:-1 gene:ORGLA04G0212200 transcript:ORGLA04G0212200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSATYSVDDALLSSGFGRYQILILSYAGVGLIAEAMEMMLLSFVGPSVQLEWKLTSHQESMITSVVFVGMLIGAYTWGVVSDNYGRRRGFLFTAIVTSGAGFLSAFAPNYVSLISLRFLVGIGLGGGPVLASWFLEFVPAPTRGTWMVVFSAFWTVGTIFEASLAWTVMPKFGWRWLLALSAVPSFVLLLFYVITPESPRFLCMKGRVTEAMDVLEKMARLNNVQLPSGRLVSDKNIELDELSTSESTTLLADAEEDDTIIEDKGSSFGGLSVAKLLSPKLIRATLLLWMTFFGNAFSYYGIVLLTSELSNGNRICAKEEVESIHSNDASLYKNVFISSFAEIPGSFLSAMIVDRIGRKLSMASMLFTSCVFLFPLIFSRTDILTRVSLFGARLCISASFTIVYIYAPEIYPTSVRTTGIGVASSVGRIGGILCPLVAVALVHSCQQTTAILLFELVIFLSGLAVSFFPFETKGCRLNDTEVVMN >ORGLA04G0212100.1 pep chromosome:AGI1.1:4:22115328:22118045:1 gene:ORGLA04G0212100 transcript:ORGLA04G0212100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPNKEILEHDRKRQVELRLLLLRDALEEQGYTEGEIEERVEEARKEAEIEAAAAAAAEEEGRGAGRQPLPGKGFSDTQSHHVAARKEKQLETLRAALGLDVDDKAKKNADVESDVESGELVPGKEYEGLEIDGPEDGKTLKDDRRHAKKGKKDKGSDAKSHGKSSRKIKLGHDSDSDHDDNNKKKKNSRYGSEDDSEAKYDEKKHSKHLKNSRHDTDDDSESDHKNAKCKKSSRHDSESDSESDHKNARRGKSSRHDSESDSDGDYGKRTTKHGKNDRDERKKRPATISRRHDSEDEKPRKGKRMDDSDTDSEGDGSFSESDSDYDRKKKKSTNSSRNESKDDKPRRKAPKDKYSDGPGSGSDSDHGGKSKYSKISRRDSELQSRKDAENQSEFASDGYGEKKPVKIPYNDLKDDKPSSKVVRKDRYSDESETDSETYVKKKKNLPKSYHHSDKPAQRGKEKEENSGKNVDKRKRHDTDSDSDSHARERKRHLDARASLAAQKKRSVTSSSESSESSDYSSSSDSESDVSSDSREDRKSARQLKHDELERKKRSQDEKRKELEKQKQEEERKELDRQKQREEERKAKELEKQKQREEERKALEKQKQGERDGERYERDHEGRKGENDVERDYKRKVGEDRYDPSRRQDEDRYDPNRRHDEDRYDPNRRHEEHGRRRNRDFDSRDPKRSRHDSYHHSRRDYEQRYSRDEYRDRRHR >ORGLA04G0212000.1 pep chromosome:AGI1.1:4:22108270:22111369:-1 gene:ORGLA04G0212000 transcript:ORGLA04G0212000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGPKFAGMMGGVVGGAGGHDDIGGNFCDMAYYRKLGESSNMSIDSLNSLQTSTHGGGSVAMSVDNSSVGSSDSHTRMLNHPGLRGHVAANYSVGHSIFRPGRVSHALSEDALARALMDPRYPTETLKDYEEWTIDLGKLHMGMPFAQGAFGKLYKGTYNGEDVAIKLLERPEADPERAGLMEQQFVQEVMMLATLRHPNIVKFIGACRKPMVWCIVTEYAKGGSVRQFLMKRQNRSVPLKLAVKQALDVARGMAYVHALGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDQKVDVYSFGIVLWELITGMLPFANMTAVQAAFAVVNKGVRPAIPQDCLPVLSEIMTRCWDPNPDVRPPFTEVVRMLEHAEVVILSTVRKARFRCCISQPMTTD >ORGLA04G0211900.1 pep chromosome:AGI1.1:4:22103503:22107313:1 gene:ORGLA04G0211900 transcript:ORGLA04G0211900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQQAQDSADHMEPLESRLVVEKSPSTSVSVKKQILSSEDHNLSSTALHGASSLKSTKSAQEKGSFLGKGGEQHFIYQPNVYTPQPHTVFSGGYLNHLGQWEEYPHVASADGTDAASPVMYSSYSPVPTMGDSQPYYPLHCPLSSPYYQPPASPSMGHSNSATGMSQFDPMQEYYLPDGLLYSPTPGFHQHFGSFDGTQMQQSVTGIFGQGNIPLASGMHQGSMYSSGSYKARQQVGNFGGSTPNWSAASRRFSPFDRGFKHDKGSLEFMNEQNRGPRATKPKKEVNNSSTEDKNRKSALINDSNLYNQHDFVIEYEDAKFFVIKSYTEDHVHKSIKYGVWASTASGNRKLDAAYREAKEKEATCPIFLFFSVNGSGQFCGVAEMIGPVDFDKSVDYWQQDKWSGQFPVKWHIVKDVPNSLLRHIILENNENKPVTNSRDTQEVRLDHGLQMLTIFKNHEVETTILEDFDFYEQREKAMLDIRQRQKQQHTDSEVQKPMVEAKEPVDLMNQISATFARAVQLGETKGSREDKPKVEDASAAAVAVPENEPVALAETEGLLADSEPSPLKESG >ORGLA04G0211800.1 pep chromosome:AGI1.1:4:22094396:22097551:-1 gene:ORGLA04G0211800 transcript:ORGLA04G0211800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase C-like zinc finger protein [Source:Projected from Arabidopsis thaliana (AT4G31240) TAIR;Acc:AT4G31240] MGETAEGVEAGEKYVSIPQLAGVGTLLSNGGKEIPLSSIEGKRICLFFSAHWCRPCRNFTPKLLQIYRKLRNTCKNMEIIFISLDRDEISFLDYFKGMPWLALPFDTGLRQKLCVQFDIEHIPALIPLSTTLSHGFRVEEDAVKLVEEYGVDAYPFGAKRRSELEGMDDARRQGGNLLQLLGCKEREYVISEDGIKTPISDLNGKTIGLYFGAHWCPPCRAFTKQLREAYDELKALRPGNFQVIFISMDRNEEEFQASLSAMPWFAIPYSDTTVQELSRIFTIKGIPTLLILGPDGKVFKTDGRRIISKYGAMAFPFTESRAYELEEVLKKERDSLPHRVRDHRHEHELELDMAKAYLSTQLFHQTPSVQPCRLNLKTLREEYHLIFTNSNRKTSRPQSSYTRQQRVNNLYSDPKHLPQLHKHFDQSNVATAENSLRFLNGEPENSDISSIHVAFADLAGKIRGEDDKRD >ORGLA04G0211700.1 pep chromosome:AGI1.1:4:22087821:22093445:-1 gene:ORGLA04G0211700 transcript:ORGLA04G0211700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVATVSSASGILAMLQEPAEELKLHALASLNSVVHLFYPEISTSIPTIESLYEDEDFEQRQLAALVVSKVFYYLGELNDALSYALGAGPLFDISEDSDYAHALLAKALDEYASFRTKASKGAEEEENVDPRLEAIVERMLEKCILDGKYQQAMGMAVECRRLDKLESAIVRCDNIHGALSYCINLSHQYVNHREYRFEVLRCLVKIYQTLPHPDYLSICQCLMFLGEPETVANILDKLLSGSKDDALLAYQIAFDLVENENQAFLLNVRNRLASQTPESNPDSGSALPDDQAANVGTGSTEPAGDVQMRDDTATPNGSSHTVDPNEVARADRLAKIKGILSGETSIQLTLQFLYSHNRSDLLILKTIKQAVEMRNSVCHSATICANAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVIQHGACLGLGLAALGTADEEIYEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKASEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVMALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGSGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVVGLAVFSQFWYWYPLLYFISLAFSPTAFIGLNSDLKVPKFEFLSHAKPSLFEYPKPTTQQTTTSAVKLPTAILSTYAKAKSRAKKDAESKANQEKATEDASGSSSSKATKTQEKDADAMQVDNAAEKKAPEPEPTFQILTNPARVIPTQEKFIKFIEGSRYVPVKPAPSGFILLQDMQPTEAEVLALTDAPSTVTATTGSAAAATGQQASSAMAVDDEPQPPQPFEYTS >ORGLA04G0211600.1 pep chromosome:AGI1.1:4:22080488:22086264:1 gene:ORGLA04G0211600 transcript:ORGLA04G0211600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore complex protein-related [Source:Projected from Arabidopsis thaliana (AT5G05680) TAIR;Acc:AT5G05680] MTRITAPPPPSSPPSSPPPLRHSPAALGTPRSRRRHSPSPSLALTPSSSASASASASASTSSRPKVRPSPRRAYAAAQWVALPSHPAFSRGDGGEGLGGGGGGAAWDASASRLYVWDPSARGVHRICVRVRDAEAGKDGEDVAVEAAVPSEMLMPETDLGYKVTHLSLNTDGSSLLLAGSHNISILYVHERVSEDGDKVICRTVPVASQILPSNNDGIKVLQTSWHPFSSSHFGVLTSDAVFRLFDLSFDLEQPEQEFYLQPILPGKCQNASSICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPIVPFGSDYNKKHIQEIYEDVNSFGLKSSNQNVVTNSRLAIAWLEATFPDLSRQPADNSALMSRAHPYASLDDSLTLQGPLCRVCEENNEPESKSNSCEGKAVGFVYSSVGKDSILVTAWGSGQLQIDALADEIQPQWSIGIPTRLNVDSHGQIKSVAMICDSNSEDSWAMRSYRPSSTGSNVKSNTDAVWMGHSPPLLRLAIVDLALAKTSNDSSLSLFLDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMASTPPSVHPILTTGNNEANSPFLSGFVTIADAYGHVQLVSITCPGECFVVEMKGWKEPTPLQLDIDSKSIKDVESFTTGMISKELIAGPDPPIVPSSSSLRSLTPDSIEGKSTLHHYIKVFHEYYVEYGHKVFIELKEHGDYLKTEIEDKQKRLQAVKQSLLSIEAKDQDINNRINRAFKVYNLLEKRIEGFKILPAANKKPLSQAEQEFKAQLDRFADVELDALRSSITALSARMKRFAHPAIGSAAGTGMSTWQTPKVGRSHVSDSQMSLLKSSLEKLSLLNEENNVKLRLIEHELKNQEQ >ORGLA04G0211500.1 pep chromosome:AGI1.1:4:22071922:22072452:1 gene:ORGLA04G0211500 transcript:ORGLA04G0211500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQHLHPGGGGGKKSNKITEIVRLQQMLKKWRKLSVAPKDAAATAGNGGGGESKAKKFLKRTLSFTDGGASPGGTPPPRGHLAVCVGPTAQRFVIPTDYLKHRAFAALLREAEEEFGFQQEGVLRIPCEVPAFEAILKAVEKNKKDNAAAFCYCSYEYAADEVALGAPNNPLCR >ORGLA04G0211400.1 pep chromosome:AGI1.1:4:22057888:22063488:-1 gene:ORGLA04G0211400 transcript:ORGLA04G0211400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonic acid kinase [Source:Projected from Arabidopsis thaliana (AT3G10700) TAIR;Acc:AT3G10700] MVAPGGGGGGAASWPSEGELDVVRAKVVEISGRGAGEVRVVACPYRICPLGAHIDHQGGTVTAMTINYGVLLGFVASDDAEISLQSGQFEGVIRFRVDDLQKPIENPENINWESYARGAVYALQNFGYDLKKGIIGYISGVKGLDSSGLSSSAAVGIAYLMALENVNDLVVSPVDNIQLDKSIENKYLGLENGILDPSAILLSRYGYLTFMDCKTATHSYVYFSALSKSQQCQGELPFKILLAFSGLQHNLPKKSGYNTRVFECKEAARALLCASGCEDASSILRNVNPAIYEAQKCILEENLARRAEHYFSEMKRVVKGRDAWARGDLREFGQLISASGRSSILNYECGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAVVEIGHAEAAAAFVRAEYEKAQPELVSKIPPGRRVLVCEPGDGARVI >ORGLA04G0211300.1 pep chromosome:AGI1.1:4:22033312:22037776:-1 gene:ORGLA04G0211300 transcript:ORGLA04G0211300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRRALAGGALSMHVAYFLAISCLGYGLLGVLKVREPGAAPRRIDRFFTAVSAATVSSMSTVEMEVFSNGQLVVLTVLMLLGGEVFVSLVGLASKWSKLRSDAMDRSRRVESHGDVALADIDGGDVENPTSSGEEAASRRRPMDADTLRHNAVRALFYIVLAIFAVVHVVGAVAVAAYVLASPGARRTLGDKSLNTWTFAVFTTVSTFSNCGFMPTNENMVVFKRDAPLQLLLVPQVLAGNTLFAPLLAACVWAAAAATRREELVEMAREGGRAAAAGYAHLMPARRCWMLAATVAAFVAVLMALVCGMEWGGALQGMSPWEKVVNALFLAVNARHTGESTVDLSILAPAILVLFVLMMYLPPYTTWFPFEENSTTKDSNAENQGIRLLESTLLSQLSYLTIFVIAICITERRKLKEDPLNFSVLSIVVEVVSAYGNVGFSMGYSCSRQINPDHLCTDKWTGFVGRWSDSGKLLLIFVMFFGRLKKFSMKGGKAWKLS >ORGLA04G0211200.1 pep chromosome:AGI1.1:4:22023025:22025298:-1 gene:ORGLA04G0211200 transcript:ORGLA04G0211200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPSLVLDTLKRIKLYIAMKLLLPNSEVLRIYWEKAQHLCGFLSMKLISRARCVASSVKQSYSFLVCKSNPLVVQLVYFVIISFAGFLALKNLKPQGKPGPKDLDLLFTSVSTLTVSSMATVEMEDLSDRQLWVLILLMLMGGEVFTSMLGLYFNNANANRNENSQRSLPSISLDIESNSPANNGDHKITECGQSEETMSQNQVQQNKSITYNPCAVLVRIVTGYFVATVISSSVIIIIYFWIDSDARNVLKSKEINMYTFCIFTAVSSFANCGFTPLNSNMQPFRKNWVLLLLVIPQILAGNTLFSPLLRLCVWVLGKVSGKAEYAYILQHPGETGYKHLHVRRNSVYIVLSVTGLILLQVMFICSFEWNSESLEGMNWLQKLVGLLFQSVNTRQAGESILDISTLSPSTLLLFAVVMYLPSDASFLTANADNQPLTDKKTNLISRALWRNFTVNKLSCLAMFTFLACITERKSISSDPLNFNIFSIVFEIISAFGNVGYSLGYSCQKLLKPDATCKDASYGFVGRWTEEGKLIVILVMFLGRLKEFILK >ORGLA04G0211100.1 pep chromosome:AGI1.1:4:22000538:22000915:1 gene:ORGLA04G0211100 transcript:ORGLA04G0211100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLCCRKSCCLHWMNYLSPDLKCSNFTDDDDELTINLHALLGNKWNTHIKRKLMSQGIDPQTHQPVSAGTSVAAASELTTTASTVGFPSLQAPAPV >ORGLA04G0211000.1 pep chromosome:AGI1.1:4:21995922:21999394:-1 gene:ORGLA04G0211000 transcript:ORGLA04G0211000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRAVIAVVLVFCLCFVQVARCDVVAAAVSPSSPEQAQELQMLRAKVASLELRSLGLEDEISGRKEETLQLENVVREKSAQIAALVSELEVLQVPNVADDESVLKANAHNEMLEKQVLRLGSDLEDQVKKGESLEARASEAEKSLLELTQKLDHAEKINMEQKKKIEELNHSLRQVQDKLFEVEREAKLKAEELMKVHGMWLPHWVMARFVYCQDLASDKWQLHGKPVLDALAQKKSVPAAKAHLNSLKKSTDVYASAIATRSTQAYRVCRDTIQPSMAKAQEFADHYWQESKKFTTPYITKVVAASEPRLSRVCAVLEPYTRPVISAWRKLVMSASVPHRQVQKGIKHFVNDNGLLKSDSADRFAWFTASALVALPMFYTYKMLSAAIWRKAVAAQGSGGTRSKKASNRRRTQRVDS >ORGLA04G0210900.1 pep chromosome:AGI1.1:4:21989139:21993526:-1 gene:ORGLA04G0210900 transcript:ORGLA04G0210900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHQLWQLPIKDMKSAPLPRGRTSPKKHLCILVVAFVSIVTLWAYLYPPQHYTSPMRDWLPAEPVRELTDQERASQVVFKQILSTPPVKSKRSKVAFMFLTPGTLPFERLWEKFFEGHEGRYTIYVHASREKPEHASPLFIDRDIRSEKVVWGKISMVDAERRLLANALEDVDNQHFVLLSDSCVPLHNFDYVYNYLIGTNISFIDSFYDPGPHGNFRYSKHMLPEVRESDFRKGSQWFSVKRQHALMIIADSLYYTKFKLHCKPGMEDGRNCYADEHYLPTLFHMIDPNGIANWSVTHVDWSEGKWHPKAYRANDVTYELLKNITSIDMSYHITSDSKKVVTQRPCLWNGVKRPCYLFARKFYPESINRLMNSFSNYTRF >ORGLA04G0210800.1 pep chromosome:AGI1.1:4:21986553:21987900:-1 gene:ORGLA04G0210800 transcript:ORGLA04G0210800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT5G19940) TAIR;Acc:AT5G19940] MSASAAPTHIRFSSAAPPSAAALRRPRRRCATPVRCSLAAAPGLRAPPELIDSILSKVKGTDRGVLLPKDGHQEVADVALQLAKYCIDDPVKSPLIFGEWEVVYCSVPTSPGGLYRTPLGRLIFKTDEMAQVVEAPDVVKNKVSFSVFGFDGAVSLKGKLNVLDGKWIQVIFEPPEVKVGSLGFQYGGESEVKLEITYVDEKIRLGKGSRGSLFVFMRR >ORGLA04G0210700.1 pep chromosome:AGI1.1:4:21984082:21985962:-1 gene:ORGLA04G0210700 transcript:ORGLA04G0210700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT5G10620) TAIR;Acc:AT5G10620] MAVSPWSCRCGVSRFPGKSRGAPAPSSPDKRSKYSAQSVRAMPMRVLTVGKKRSRGAQLIVEEYKEKLGYYCDIEDTLIKSNPKLTSDVKVQVEAEDMAMMLQLKPEDFVVVLDENGKDVTSEQVADLVGDAGNTGSSRLTFCIGGPYGLGLQVRERADATIRLSSMVLNHQVALIVLMEQLYRAWTIIKGQKYHH >ORGLA04G0210600.1 pep chromosome:AGI1.1:4:21980398:21983048:1 gene:ORGLA04G0210600 transcript:ORGLA04G0210600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADAQTQSRAHSSTAAPVAGETAGEPVGFPQNGATNGAPLMFPVMYPMLMTGMHPQQSLDDQAQGPGIYAIQQNQFMGSTLMPLTYRIPTESVGAVAGEEQAQDARQQHGPQRQVVVRRFHFAFQLDLALIIKLAAVVFLFSQEGSKQRLFLLILFASVIYLYQTGAITPLLRWLQRAGGAAARPPQAPARPENRAPLAAQNDGNVQPPGGNLADPANNDQAAENQEPGAAAANENQQEVDGEGNRRNWLGGVFKEVQLIVVGFVASLLPGFQHND >ORGLA04G0210500.1 pep chromosome:AGI1.1:4:21978238:21979920:-1 gene:ORGLA04G0210500 transcript:ORGLA04G0210500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSRTLALARADSAAVPSYGRLHVRGVSSKVEFIEIDLSSEDAPSSSSSSGVEGGGFGPREMGMRRLEDAIHGVLVRRAAPEWLPFVPGGSYWVPEMRRGVAADLVGTAVRSAIGAAWNAEAMTEEEMMCLTTMRGWPSEAYFVEGKFSHPVESSRKVATQTDEEES >ORGLA04G0210400.1 pep chromosome:AGI1.1:4:21971448:21971966:-1 gene:ORGLA04G0210400 transcript:ORGLA04G0210400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIPMFAGVLCVCSCRXREFCVCVHREPFALTAEGVLNGRRGADFSNGRSDISRCRFRLGDELLLGGAELVVLDAVIWGRQLHASLLHAGGRRGEKFTGAEMEMEREAASDETIEVDKTESAMRKYRNTLLPPHPNTVTPQGRVGTSRYPTMTAVGSYPLNGDDLVPLWPAV >ORGLA04G0210300.1 pep chromosome:AGI1.1:4:21964553:21966513:-1 gene:ORGLA04G0210300 transcript:ORGLA04G0210300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPTICSTAMPYTGGDIKKSGELGKMFELHAVKSRKSGPLSNAPSRNASFGGAASNSGPVPNAGDRSNYSGSLSSSVPGASGSARAKSSSGPLNKHGEPVKRSSGPQSGGVTPMARQNSGPLPPMLPTTGLITSGPITSGPLNSSGAQRKVSGPLDSAASKKTRATSFSHNQAVTKITTEDSYSITGSLSKLILGAVGVLFVLGLIAGILILSAVHNAILLIVVLVLFGFVAALFIWNACWARRGVIGFVDRYSDADLRTAKDGQYIKVTGVVTCGNFPLESSYQRVPRCVYTSTTLHEYRGWDSKAANTQHHRFTWGLRSMEQHAVDFYISDFQSGLRALVKAGYGARVTPFVDESVVIDIDPDNKDMSPEFRRWLRERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQKNDNVLMIVPPPEPISTGCQWAKCVLPRDLYGLVLRCEDTSNIDVIAV >ORGLA04G0210200.1 pep chromosome:AGI1.1:4:21951840:21960802:-1 gene:ORGLA04G0210200 transcript:ORGLA04G0210200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase IV [Source:Projected from Arabidopsis thaliana (AT5G57160) TAIR;Acc:AT5G57160] MAATVRFGLLVAMFQAMSRDRTAAKKRARLRALLDRAYGPGGRDDYFSALRLVLPGLDRERGSYGLKEAALASVLVDALGIAKDSPDAVRLTNWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSVFHEFHPDAEDLFNVTCDLRLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVNNASSAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRCILDGEMLVWDTVLNRFAEFGSNQEIAKAAKEGLETDRQLCYVAFDILYAGDTSVIHQSLTERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHSLDDVEKFFKDTVDNREEGIILKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTHRAADDDNDLKNVKVKQPRTNKKGEKKNVSIIPSHLMKTDISDFVNIPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKRLLHLQPKYILFLADFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKKYCADERFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQAYNFDMLYKSLPPAERRYLHDKRLQVVSNKWLEDSVEKQTRLPETTYSLKPDTLEEIEIERSEETVQPCNDKLEENEKADTSHVKHASRKRGRPSSSASRTAKPAPRPVRRTRARRGNQHAKIDDVESEESDPGETGQDDQRLDADYISKMEEDSSDRDQGAHPAAPRVVRRSRAQRGKWLAKIDRETGPGETGQDDKKLNADSISKMEEHAHDKDQEPPPGAQLITLDEQEPKGIKSSTTETPSSPKHERNQTVLRRDTAETTSSATCEKMEQMVDPLHAMLLDMIPSLGQMKTDVGNRVAEAKAETNPPWVGSSTSSYVAPVPQASASSASSSGVPAPHAGSSTQSTGVPAPDPTAGAPKKKKVSYKDVAGALLKDW >ORGLA04G0210100.1 pep chromosome:AGI1.1:4:21942987:21950133:-1 gene:ORGLA04G0210100 transcript:ORGLA04G0210100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:I1PPI2] MARRSSSSSSSSGAWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVREYESEISRLDDEVNQLRDQLRKAGVHLDENPTGGKVSREKLVEIDPINNERREKVKEAMAHAWNSYVKYAWGMDELQPQSKNGVNSFGGLGATLVDSLDTLYIMGLKDEFQRARDWVADSLSFDKDYDASVFETTIRVVGGLLSAYDLSGDKVFLEKAKDITDRLLPAWDTPSGIPYNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGDPKYQQKAENVIRQLQKIYPSDGLLPIYINPHSGTASYSTITFGAMGDSFYEYLLKVWVQGNKTEHVKHYRQMWETSMEGLLSLTKKTTPSNYYYICEKNGGSLSDKMDELACFAPGMLALGASGYEETEKAEEIMNLAKELARTCYNFYQTTPTKLAGENYFFHTGQDMNVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPLNDNSKAHSVGIATPTVRPFGRKQGKQE >ORGLA04G0210000.1 pep chromosome:AGI1.1:4:21937374:21937589:-1 gene:ORGLA04G0210000 transcript:ORGLA04G0210000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVAAMCGAAEERVVGTHKAPGACPRCGGAVVATDVESERRILCLPLCVKSKRKYSCSRCLRRLVTLYS >ORGLA04G0209900.1 pep chromosome:AGI1.1:4:21929829:21931235:-1 gene:ORGLA04G0209900 transcript:ORGLA04G0209900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRTHVVGGLRALRTAIVSPSPAPPAGERALPLTFLDAQWISAHPVERVFFYRLGPGGDDVDAVLSRLVESLARVLHAFYPLAGRVRLTPGETNRYELFYQPGDGVAFTVAEHDGVGVGVDELADTDEPREVAKIATFVPELPNGGAVLALQATVLPPDRRGLALGVTVHHSACDGVSSTHFLHTWAAACAGDRVLPEPPVIDRTIIRDRNDMYDAFASPASEAKHAFDSPDVAGKLLATFTLSRQQLQNVKDAVAGEAARRGVAPPRCTSLVATLGLTWLCFHRAGPDGEEGHRGDGRAHLVFPVDHRSRLEPRVPEKYLGNCIGPGFATAQYRKELATTTIADGLFAACAAVAAGVDEAVRGEPAYWERWVERITEACTADMSLSVAGSTRFGVYDVDFGFGRPAKVDVVSVAKTDAMSVAEDRSGSGGIEVGIALSPARMERFRRWLADAIAWLSSSSQFPLQL >ORGLA04G0209800.1 pep chromosome:AGI1.1:4:21926713:21927714:-1 gene:ORGLA04G0209800 transcript:ORGLA04G0209800.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEAAAVGEPPRLQPLGEADVVSVEXPWLIRXRGGAAVLVGSSWLIRAHGGRDGSAVVPTPLPANGQAAVFVGSPRVRAHGEQAXEACAGDAAAAAVEEEVSVPGDGRLGEGYGIYRVDVNSFDPDAEFGSDSEAECQARNRDDEPSPLVRVEAPINYSRWITAHGSKIFMMLPVRAEVIPGIPVFDTVTRAMMVCPWPKNLGEQLQPFCVSVGDRLVRLCNPGFQVLGAQPPPRSGHGYVDVPWTWEEISDDNASSMPPFTSDRVSAYAVHPDGRTIWVSVSNWKPVVDGRGGGSYGDRNNTFTFDTEHLEWTHVGEWLMPFEGQAHYVGELDA >ORGLA04G0209700.1 pep chromosome:AGI1.1:4:21918507:21920510:1 gene:ORGLA04G0209700 transcript:ORGLA04G0209700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAAKVVVPESVLRKRKREEVWAAASKEKAVAEKKKSIESRKLIFSRAKQYAEEYEAQEKELVQLKREARMKGGFYVSPEEKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLTNNKVIEEGLGKHDIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >ORGLA04G0209600.1 pep chromosome:AGI1.1:4:21916491:21916694:-1 gene:ORGLA04G0209600 transcript:ORGLA04G0209600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLELGLSQDGHIGVLSARSLSQFYHQVGGADAAGQLPHPHHHHQHYQQQEEDGEYDGESDEESEQ >ORGLA04G0209500.1 pep chromosome:AGI1.1:4:21887979:21905278:-1 gene:ORGLA04G0209500 transcript:ORGLA04G0209500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:I1PPH6] MESASSSLATSGRRRRSGGGGGSWGSIGSAADPFDIPAKGAPVERLKKWRQAALVLNASRRFRYTLDLKREEQREEVISKIRAQAHVVRAAFRFKEAGQVHVQQKEARAPPVDGALGFGIKEDQLTALTRDHNYSALQQYGGISGVARMLKTDTEKGISGDDSDLTARRNAFGSNTYPRKKGRSFLAFLWDACKDLTLIILMVAAAVSLALGITTEGIKEGWYDGASIAFAVLLVVVVTATSDYKQSLQFQNLNEEKQNIKLEVVRGGRRISVSIYDLVAGDVVPLKIGDQVPADGILISGHSLSVDESSMTGESKIVHKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGMVGLSVALAVLVVLLARYFTGHTYNPDGSVQYVKGKMGVGQTIRGIVGIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKMDPPDNVQVLSASISSLIVEGIAQNTSGSIFEPENGQDPEVTGSPTEKAILSWGLKLGMRFNDTRTKSSILHVFPFNSEKKRGGVAVHLGGSESEVHIHWKGAAEIILDSCKSWLAADGSKHSMTPEKISEFKKFIEDMAASSLRCVAFAYRTYEMGDVPREDQRADWILPEDDLIMLGIVGIKDPCRPGVKDSVRLCAAAGIKVRMVTGDNLQTARAIALECGILSDPNVSEPVIIEGKAFRALSDLEREEAAEKISVMGRSSPNDKLLLVKALRKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMQRPPVGRREPLITNVMWRNLIIMALFQVIVLLTLNFRGTSLLQLKNDNQAHADKVKNTFIFNTFVLCQVFNEFNARKPDELNIFKGITGNRLFMAIVAITVVLQALIVEFLGKFTSTTRLTWQLWLVSIGLAFFSWPLAFVGKLIPVPERPLGDFFACCCPGSKQAADAKGDDADHSDV >ORGLA04G0209400.1 pep chromosome:AGI1.1:4:21871982:21874099:-1 gene:ORGLA04G0209400 transcript:ORGLA04G0209400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMGEKRRRGHLNPAGFAGGLHDHEEKKNEEHKLDMSGMSMDALPHLTMSLGQVTILDLSNNNLESIPESIIARLLNVVVLDVRSNQLKSLPNSIGCLSKLKVLNVSGNLLESLPNTIEECRALEELHANFNELTKLPDTLGFELHSLRKLSVNSNKLAQLPSSTSHMTALRALDARLNCLRALPDGLENLANLEALNVSQNFQFLRELPYAVGLLASLRELDVSYNSIAALPDSMGCLTKLARFSAVGNPLVSPPMDVVEQGLDAMRAYLTARMNGDDGKRKKKAWLPKLVKYSTFTARMTPGRTRVHENTEGLLMSDYRSLNGIASPRFLTMLSPRRLFSPRRNSPKHC >ORGLA04G0209300.1 pep chromosome:AGI1.1:4:21868001:21868945:1 gene:ORGLA04G0209300 transcript:ORGLA04G0209300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase II (PTH2) family protein [Source:Projected from Arabidopsis thaliana (AT5G10700) TAIR;Acc:AT5G10700] MLSLLPLRLPFSAAATHPNSTFLLRRAVRLPILPISPRASMSAIPATPDAAASAAAAASVGGEVGKEAEDVVVQYVVLRRDLADAWPLGSVVAQGCHAAVAAVWAHRDHPDTAAYCGPDNLDRMHKVTLEVKGETQLKNLAEKLEAAGVRHKVWIEQPENIPTCIATAPCPKSQVSSFFKKLKLCK >ORGLA04G0209200.1 pep chromosome:AGI1.1:4:21861759:21862912:1 gene:ORGLA04G0209200 transcript:ORGLA04G0209200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGCYAPRRADDQLAIQEAATAGLRSLEMLVSSLSSSSQAAGAHKASPQQQPFGEIADKAVSKFRKVISILDRTGHARFRRGPVESSAPAAPVAAAPPPPPPPPAPVAAALAPTSSQPQTLTLDFTKPNLTMSAATSVTSTSFFSSVTAGEGSVSKGRSLLSSGKPPLSGHKRKPCAGGHSEATANGGRCHCSKRRKNRVKRTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERATDDPAMLVVTYEGEHRHTPGPLPAPPAAAAVAAMPVSVAVSTGNGHV >ORGLA04G0209100.1 pep chromosome:AGI1.1:4:21851334:21851648:1 gene:ORGLA04G0209100 transcript:ORGLA04G0209100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAATASPASDAMEAEAGGVAIVYDALPGLTLAFSPEEEEHLEGAAADLGGASTSASAAVEEVEDATATYFVFRNEITAARDALVDIPTADFFSLDVSATVEDEPQ >ORGLA04G0209000.1 pep chromosome:AGI1.1:4:21846675:21849666:-1 gene:ORGLA04G0209000 transcript:ORGLA04G0209000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1PPH1] MARPLGQQQVGAAAALVIVVACCVVAGCSGARGRGFREEFDVIWGEDHVRVTDEDGVAARQVVALTLDQASGSGFQSKDQFLFGEFSMEMKLVPGESAGTVATFYLTSEGDAHDEIDFEFLGNVSGEPYVMHTNVFAQGKGNREQQFYLWFDPTADFHNYTILWNPLNIIFSVDGKAVRVFKNRASAGVPYPSGQAMRVHASLWNGDFWATRGGQVKINWTAAPFVASYRTYAYSACAVPAAGGGGGPCTSGQLPNSTSSPSTCDCGGAWMDRQLGPDGERDVAWARANYMIYDYCGDQWRFPQGRPAECSLDQSSGHRT >ORGLA04G0208900.1 pep chromosome:AGI1.1:4:21845045:21846231:1 gene:ORGLA04G0208900 transcript:ORGLA04G0208900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1PPH0] MAPMPLASSSSKLGSLLILCLAFLAAVHRSAAGIFDEIELIWGANRTYFFMDGESEALALSLDQSQGSCFRSREKYLYVQIDVEIKLIEGDSAGTVCTIYTISEGPWEIHDEIDLEFLGNVTGEPYTLHTNIFANGVGGREQQFRLWFDPTADYHTYSIVWNPKRILLWSAEDWATQGGRVKTDWKQAPFVTYYRNYNVTWCRPSPGVAWCGDEPKDSTRFDLDANTLSDLQWVRSNSMIYNYCDDSVRFNATTLPKECTLQ >ORGLA04G0208800.1 pep chromosome:AGI1.1:4:21837407:21837953:-1 gene:ORGLA04G0208800 transcript:ORGLA04G0208800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVKEQALWEEAEELVCTSKKIFDSTNLDKKLLYPFPSWFNSRETRKKNYLDLTSWVSLLETYANERSASPEADDEAYDVGLLDDKTGDASADLDYEKSACSGETSDAAFDEESASPGDATKEADIDEPIAILGTPMVARSVEWRPMV >ORGLA04G0208700.1 pep chromosome:AGI1.1:4:21831634:21832155:1 gene:ORGLA04G0208700 transcript:ORGLA04G0208700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IASSSEESKEKAVAEEEEKGVISPAATETAGEKKASAAAAGEETKKKQKEKKMKKVRMPQHEVDRIMSYKHRPLTMPPGYKNFSKELLACFPVPVDQLDDYWARKNKIHDEGAKPILEEQERIRKEYKEKGYAEYWITDDEDQAATRSRAPAPGRRRGRPGVTKKHTGGTKKL >ORGLA04G0208600.1 pep chromosome:AGI1.1:4:21827899:21828962:-1 gene:ORGLA04G0208600 transcript:ORGLA04G0208600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1PPG7] MGFGSREMACALVALVLGLCCVGGARATGRIDEGLEVMWGDGRGSVSPDGQVMALSLDHTSGSGWRSKNTYLFARVDLQIKLVANNSAGTVTTCYFMSEGEWDIHDEVDLEFLGNVTGQPYTLHTNVFANGTGGKEQQFHLWFDPTTDFHTYSIVWTSQHILVLVDGTPIREMKNHADKGIAYPSSQRMRLYGSLWNADDWATQGGRVKTDWSQAPFVARYRNFTATEAASSSSSSSPAGYDQQMDATAQQAMKWARDNYMVYDYCADSKRFPQGFPPECSMP >ORGLA04G0208500.1 pep chromosome:AGI1.1:4:21823885:21824997:-1 gene:ORGLA04G0208500 transcript:ORGLA04G0208500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1PPG6] MAPARAHHLLACLLASALLAAATPVTGGGLMTDQLEVLFGQTQLLNDSNGDQTIALTLDRVMGSAFKSKTSYLFARIDMDIKLVADDSAGTVTTIYLISEKDWNTHDEIDLEFLGNVTGQPYTLHTNIFANGEGGREVQYRLWFDPTQDFHTYSVIWNPDEILILVDNMPIRQFKNHLDSGVPFPIYQPMRLFGCLWDADDWATEGGRIKTDWSQAPFVAYFRNYTADGCVPSSYAWVCGQGPASSSDWFDRGLDDVKQQQQLREAQDKYMIYNYCNDPKRFPDGYPKECGLQ >ORGLA04G0208400.1 pep chromosome:AGI1.1:4:21814304:21822775:-1 gene:ORGLA04G0208400 transcript:ORGLA04G0208400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISMKDVDPAFRGVGQKDGLEVWRIENFKPVPVPTSSHGKFYMGDSYIILKTTALKNGSFRHDLHYWLGKDTSQDEAGTAAILTVELDAALGGRAVQYREVQGGETEKLLSYFRPCIMPQPGGVASGFNHVEVNQQDHVTRLYVCQGKHVVHVKEVPFVRSSLNHEDIFILDTANKIFQFNGSNSCIQERAKALEVVQYIKDTFHEGKCEVAAVEDGKLMADTEAGEFWGLFGGFAPLPKKTSSEDNGDDKETVTKLLCFNEGTLEHISFESLEHELLETNKCYLLDCGAEMYVWMGRGTSLQVRKGASEAAEKLLIDENRKGSNVIKVIEGFETIMFKSKFNKWPPTPDLKLSSEDGRGKVAALLRSQGLDVKGLMKAAPEEEEPQPYIDCTGHLQVWRVNGDGKTLLSSSDQSKLYTGDCYIFQYTYTGDDKEECLIGTWFGKKSVEEDRTSAISLASKMFQAAKFQAAQARLYEGKEPIQFFVIFQSLQVFKGGLSSAYKNFIAVNGTDDDTYVEGGLALFRIQGSGSENMQAIQVDAVSSSLNSSYCYILHNGNTVFTWTGNLTTSLDNDLVERQLDVIKPDLPSRSQKEGRETDQFWELLGGKSKYSNKKIGKENESDPHLFSCILSKENLKVKEIHHFTQDDLMAEDIFVLDCRTDLFVWVGQEVDAKLRSQAMDIGEKFLLHDFLMENLSQDTPIFIVTEGSEPQFFTRFFTWDSAKSLMHGSSYQRKLAIVKGGATPSLDKPKRRTPAFSGRNAGQDKSQQRTRSMSHSPERHRIRGRSPAFTAIASAFENPSTRYLSTPPPAVKKLFPRSGGSELPKTSSKQSAINALTSAFEGPTKSTIPKSVKASPEAEKAIQEEGSTIGESENEPEDDENSTIYPYERLTTTSDDPAPDIDVTKREVYLSSVEFAEKFGMTRASFKNLPKWKQNRLKSDLQLF >ORGLA04G0208300.1 pep chromosome:AGI1.1:4:21812617:21813120:1 gene:ORGLA04G0208300 transcript:ORGLA04G0208300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLKDNLLRAQNRIKKFADRLRSERSFQEGDMVYLKMQPYRQNAFGLRGSLKLRAKFYGPFRILKRIGKVAYHLQLPEEAGIHPVFHISQLKKHVGNLAVPLPNLPLVDEDGNIKTEPVAVLARRVVPRRNEPVTQWLVHWENLTPEDATWEDSAFIQATFPHFRP >ORGLA04G0208200.1 pep chromosome:AGI1.1:4:21798066:21801932:-1 gene:ORGLA04G0208200 transcript:ORGLA04G0208200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRKKVVFPARRAWAAVSTRVRARKPGSGGSILKLHEDVQTCGYKDVQVMFEILKSELEESRAPTKQRKPPAWRPPSAWSSRSSSIAAAQ >ORGLA04G0208100.1 pep chromosome:AGI1.1:4:21790417:21791916:-1 gene:ORGLA04G0208100 transcript:ORGLA04G0208100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHMGRRTVGGLLFTKGGSILLFREDSARHKATNCCTRHGCSSKHLAGKDKQTHRAATAAKEASETPRRSQIFRKPSTRTPQGSTATDNISRNAASSYSENDNRPRETPGRDLIARLKERVNASRKRSLNRENSPSSPNGLSATSSSSSRTVSRPSHRAASRIRKADEGANAGAVNVRRDSSGDTRRNSDRDVDDFLLVEQAARDSTEGFISGFLARYRSNHQGLLSSLDDSIEDANGYWRFNMEGSEELENYFIFNDRHRGMRMDIDGMSYEELLALGDRIGTVSTGLSEDALSKCLDRSMYMATTSGTHEDCERKCSICQEEYSDGEEVGKMVCKHYYHFSCIKNWLRQKNWCPICKSVALNTN >ORGLA04G0208000.1 pep chromosome:AGI1.1:4:21784024:21788168:1 gene:ORGLA04G0208000 transcript:ORGLA04G0208000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASWPPTPRSPRPQAPSVERAKGPSGLDKVVLREARGFSAEVHLYGGQVTSWKNDRGDELLFVSSKATFKPPKAIRGGIPICFPQFGTHGILEKHGFARNRFWAIDDNPPPFPTSTAAKAFVDLILKTSEEDLKIWPHSFEFRLRVALGPGGDLALTSRIRNTNTDGRPFSFTFAYHTYFSVSDISEVRIEGLETLDYIDCLRGKERSTEQGDAIVFESEVDKIYLDAPVKIAIIDHEKKRTFVLRKDGLPDAVLWNPWDKRTKNMQDFGDEEYKHMLCVEPAAVEKPITLKPGEEWKGKMDLSAVPSSYCSGQLDPNKVLQG >ORGLA04G0207900.1 pep chromosome:AGI1.1:4:21779114:21781156:1 gene:ORGLA04G0207900 transcript:ORGLA04G0207900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-S-isoprenylcysteine O-methyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PPG0] MAARAQAWLFAAALVIFHGSEYVLAAAFHGRRNVTATSLLISKQYVLAMSFAMLEHLTEALLFPELKEYWFVSYVGLVMVIIGEVIRKLAVVTAGRSFTHVIRIHYEDQHKLITHGVYRLMRHPGYSGFLIWAVGTQVMLCNPLSTVAFTLVLWRFFSKRIPYEEFFLRQFFGREYEEYAQKVHSGLPFIE >ORGLA04G0207800.1 pep chromosome:AGI1.1:4:21767431:21774231:-1 gene:ORGLA04G0207800 transcript:ORGLA04G0207800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAAAAPPWEPSVGTVFRRLAGAGDSGRSPEASLPSPSSSGNGVATRISNLHGVKRKPFVARLTADIIQTFVRCNPAFKYSESLNPKIFLTNPSTPAHNDGLDNANWDLILYVNLELVNRTSNRRFVVKEMLGQGTFGQVVKCLDTETNDYVAVKVIKNQPAFYHQALVEVSLLRALNQTHDPDDQYNIVRMLDYLLFQNHLCIAFEMLGQNLYELLKRNSFRGLKMKFVRAFSKQILDAMVVMRGARIIHCDLKPENILLTPSVTTDAAVKVIDFGSACLEGKTVYSYIQSRYYRSPEVILGYPYNTAIDMWSFGCIVAELFLGLPLFPGASEYDVLQRMVKILGGQPPDYMLREAKNSAKFFKHVGSIYRGNEVHDGIGSSYRLLTEEEIEVRESEKPKVVKWYFPQLRLDQLICSYPWKNSELTETEKAERVILVDFLKGLLKFDPNERWSPLQASCHPFITGGSFTGPYEPIPETPKIPIGRAAAVEHNPGGGHWLAAGLSPQVGSVNRSLPPNNPYPPKIPYSYGSSYGSFGSHGSYVGNAGHASSYGSFGDGNAVNMYYSPLGPGFKQIESSPDVRLRPRFSHDRGIRLSPGSTGPMSLGASPSQFTPPNYQMQIPSNSTGMHGSGSPASGGIHGSPLGKTPSSYSKRRGLPMPPHEYPSQHGQGRHGDGFGFSHSDANVRGHPVYSQNSIPSSGYSSWRPQIGSGSFSLEASSSHGPSQTFHSHFAPRLQTLDNLSDSSAASTLDNAYWDPYFSDESLLHEDNSLSADLSSSLHLGDSANPTSGSARTANVQSHIFMGSNPLPAGESYRADHFFHASSRGSLGGNTHSAVPVNYGGYNPVNHPQQNPQIRHGQPYIQHRYNQATSTHNHPRGSHHNRQPAWPMADGTPWGGTSGHPFTTTGLPSSLPRKDYGSIF >ORGLA04G0207700.1 pep chromosome:AGI1.1:4:21764558:21766459:-1 gene:ORGLA04G0207700 transcript:ORGLA04G0207700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT1G31600) TAIR;Acc:AT1G31600] MAGSGYTRPPPPPPLGEGAAPAPSAALYVANCGPAVGLTHDDIRAAFAAFGEVAGVHGADGSGVRVIVRFREPAAAEAAMSALHGRPCAGLAGRVLHIRYSVPAKPKAPVGGSLPVATSASELGVPGIYLVPDFVTAAEEQELLAAVDNRPWKSLAKRRVQHYGFEFLYETRNVDSKQFLGELPPFVSKIIDKIMSFSGANKCTSKLVDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRKYPKGSWRAPSMVSGTDKDSIEEPQCIRKAVFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVGGQVIKRNTRRVSFTFRKVRMGLCDCEYGQFCDSQSK >ORGLA04G0207600.1 pep chromosome:AGI1.1:4:21761001:21763415:1 gene:ORGLA04G0207600 transcript:ORGLA04G0207600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22150) TAIR;Acc:AT3G22150] MSSPRCAVSLPPTAAATTATNGGGGGRRNAQPAAATAASQVKKLCKQGRLDHARRLLLEALPRPPPTLLCNTLLIAYAARALPEEALRLYALLNHAARPPVRSDHYTYSAALTACARSRRLRLGRSVHAHMLRRARSLPDTAVLRNSLLNLYASSVRYREARVDVVRRLFDAMPKRNVVSWNTLFGWYVKTGRPQEALELFVRMLEDGFRPTPVSFVNIFPAAVADDPSWPFQLYGLLVKYGVEYINDLFVVSSAIDMFSEFGDVQSARRVFDHAAKKNTEVWNTMITGYVQNGQFSEAIDLFSKILGSREVPLDVVTFLSALTAASQSQDVSLGQQLHGYLIKGMHRTLPVILGNALVVMYSRCGNVQTAFDLFDRLPEKDIVTWNTMVTAFIQNDFDLEGLLLVYEMQKSGFAADSVTLTAVLSASSNTGDLQIGKQAHGYLIRHGIEGEGLESYLIDMYAKSGRVEMAQRVFDSFKNAKRDEVTWNAMIAGYTQSGQPEKAILVFRAMLEAGLEPTSVTLASVLPACDPVGGGVYSGKQIHRFAVRRCLDTNVFVGTALIDMYSKCGEITTAENVFGGMTGKSTVTYTTMISGLGQHGFGKKALALFNSMQEKGLKPDAVTFLSAISACNYSGLVDEGLALYRSMDSFGISATPQHHCCVADLLAKAGRVEEAYEFIEGLGEEGNFVAIWGSLLASCKAQGKQELAKLVTKKLLDIEKQYGHAGYSVLLSQVLAAESNWNSADSLRKEMRARGLKKEAGSSWIKVQNAALEHKFIEKDQNYVENEHMFSILDGDADSTDRL >ORGLA04G0207500.1 pep chromosome:AGI1.1:4:21756342:21759867:1 gene:ORGLA04G0207500 transcript:ORGLA04G0207500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:I1PPF6] MPRYCRHPALSSLPFIASSLPPSLFTAQHAATIYATPSLPAVVTGRASHSQPEAHLLSVIFSVFFSSTLAMAASSGEWLSRAAMVLVLGLVVASSAKAGDVDMVFLKSAVSTGAVCLDGSPPVYHFSPGSGSGANNWLVHMEGGGWCRNAQECSVRQGNFRGSSKFMRPLSFSGIIGGNQRNNPDFYNWNRIKVRYCDGSSFTGDIETVETSTNLHFRGARVWNAIIEDLLAKGMSKAQNALLSGCSAGGLAAILHCDQFRDLLPATANVKCFSDAGFFVDGKDITGNDFVRTFYKDVVNLHGSAKNLPSSCTSKMSPDLCFFPQNVVPTLRTPLFILNAAYDAWQIKNVLAPSAADKKKTWAKCKLDITACSSSQLTTLQNFRTDFLAALPKPEQSPANLSIFIDSCYAHCQSGSQDTWLAQGSPVVEKTQIGKAVGDWFHDREVSRRIDCPYPCNPTCKNRDDD >ORGLA04G0207400.1 pep chromosome:AGI1.1:4:21750273:21752511:-1 gene:ORGLA04G0207400 transcript:ORGLA04G0207400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVSSVRLPLRAAPPLYGRREWRADGARAPSPALVAVKPLSCRAPASYRSALLLHRRRRYALPPVAATATSKPVLKDPKKYQEWDSLTAKFAGAANVPFLLLQLPQIILNARNLLAGNKTALFAVPWLGMLTGLLGNLSLLSYFAKKKETGAVIVQTLGVISTYVVIAQLAMAESMPLPQFVATSAVVAAGLLLNFLNYFGWLPGTLWLLWEDFITIGGLAVLPQVMWSTFVPFIPNSLLPGIISGSLAATAVIMARMGKLSKGGINFVGSLSGWTATLLFMWMPVAQMWTNYLNPSNIKGLSAFTMLLAMIGNGLMIPRAVFIRDLMWYAVYLQPWILLF >ORGLA04G0207300.1 pep chromosome:AGI1.1:4:21744283:21746535:-1 gene:ORGLA04G0207300 transcript:ORGLA04G0207300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGCGEGGGGGGGRDERVPQWGAQETRELIAARGEMERESAAAAAARRSAKTLWEAVSARLRERGYRRTAEQCKCKWKNLVNRYKGKETSDPENGRQCPFFDELHAVFTERARTMQQQLLESESGPSVKKKLKRPSGDLSSEDSDDEEDGGGDSGDEKPIRSRKRKTADKRQQSQRMAEKSRTSISSIHELLQDFLVQQQRMDIQWHEMMERRSQERIVFEQEWRQSMQKLEQERLMLEHTWMEREEQRRMREEARAEKRDALLTTLLNKVLQEDL >ORGLA04G0207200.1 pep chromosome:AGI1.1:4:21739856:21742122:-1 gene:ORGLA04G0207200 transcript:ORGLA04G0207200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:putrescine-binding periplasmic protein-related [Source:Projected from Arabidopsis thaliana (AT1G31410) TAIR;Acc:AT1G31410] MEGKPLLFPHPRIPVAALVPNHLPRRPRASGILLLLGDRRPRQLGVALRRRPRRHQAETAPPSRRGGGGCWGRWAARAAAGLVLHLAVCSVALLFPTYARACVGGALPPPPPAAAMAAEEDDDDEEWKVALQQWKSKTYSLSVPLRVVALRGSFPPAWIKDFVEAQGKRLKFSPEFRTNLDVLYSEMSQCLDKGQLQQKSAMAADVVSIGDSWLGYAIRKGLVEPVKNAEEQDWFQSLSNRWKIHLCRNRNGEVDPNGSIWAVPYRWGTVVIAYKKNKFKRHNLKPIQDWGDLWRPELAGKISMVDSPREVIGAVLKHLGSSYNTNDMESEITGGRETVLESLTQLQNQVQLFDSTNYLKSFGVGDVWVAVGWSSDVIPAAKRMSDVAVVVPKSGSSLWADLWAIPSATKFQTDRIGGRTRGPSPLINQWFDFCLQSARSLPFRQDVIPGASPLFLEKPVPEVPQERNKRKPKLETNLVRGAPPLEILEKCEFLEPLSEKALDDYQWLITRMQRPNRGLFGNLLQNISSVLNFKSRV >ORGLA04G0207100.1 pep chromosome:AGI1.1:4:21737094:21739420:1 gene:ORGLA04G0207100 transcript:ORGLA04G0207100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 4 [Source:Projected from Arabidopsis thaliana (AT4G09010) TAIR;Acc:AT4G09010] MAGASFLSTVRQPPAPPPPLLAPRGSASSVSSPARRHAHILVCCHATAQEEPVRFRRRDLIGGCLTTAIGLELVEGSTGFTGVATAADLIERRQRSEFQSSIKSTLATAITAKKELIPSLLTLALNDAMTYDKATKSGGPNGSVRLSAEISRPENSGLSAAVDLLVEAKKEIDSYSKGGPIAFADLIQFAAQSALKLTFVDAAIAKCGGNEEKGRTLYSAYGSNGQWGLFDKLFGRQDTQEPDPEGRVPDWSKASVQEMKDKFVAVGLGPRQLAVMSVFLGPDQAATEERLIADKDCRPWVEKYQRSRETVSRTDYEVDLITTLTKLSSLGQKINYEAYTYPKQKIDLGKLKL >ORGLA04G0207000.1 pep chromosome:AGI1.1:4:21731875:21736107:1 gene:ORGLA04G0207000 transcript:ORGLA04G0207000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKKKMDPSASNSISSSPSDKMGEPEKMDEVEQVSSDLKSLKTLYGLLHRGPTDETLDETSRAFMTKMLDDITRQTLLRQAKMLSPALERKLSIQSDHRRDARRRRAGAGAGREADSLVQPQPPCLAVTPRRCTVAAGNPRSDMRWLRRGDHSSMERGGGCSSSRRRSLSREPSSAVQERGRGLHRGASPPAAPRVGAEDGSSTRRLGRMDSGWSVNLVPPPLAPRHGSQRGGRGAAATTKLSSSTDAAVTIRSSIRPSSREFMERSPRRAGEAENDRKEVADAARPSRGELSSMERGSSSRRSLSREPSSAVQERGHGPHRGASPPAAMRVGAEGSSTRRIKRLDSRLSASMVSRRGTPRGERGAATPKLSSSTDAAATTCSRIRPNSDLTERSLRRASQADEDESPRQRRGKGKEKEKADDDAASVSMGRPSRPPRRALNRINSGSTYSSSSPPEPTSSTSGYTSSWVPPRDKVPSWVPPPPRGNAPSWVPPPPQPRGNAPSWVPPPPQSRGIAPPKYGFQVSGVSRISCHLRLERRVERMRRFKEKLGTVFHHLHHHHHFGPSGSNEGAPPLLSRDVHDNGHHRPSPWKVLGGVLHRATRRGEKKIRSVPADRRGGVEHMLLHMWDKRRAMAKQRGDGGGGGVGRALFQMWGKRRAAAKRRGGGVGRALFQMWVKRRATAKRRRRACAVPNVGQTAGDDGEAAWQRRRRRARAVPHVGETAGDRKRWNVGYGKPVEGQEAALVAAGEATAPFRTWKGIATKGYSLIIKANNPRLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERRRRVEPVPQRAQDRPRYSDRTRYVKRRENQAYQR >ORGLA04G0206900.1 pep chromosome:AGI1.1:4:21728668:21730655:1 gene:ORGLA04G0206900 transcript:ORGLA04G0206900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:differentiation and greening-like 1 [Source:Projected from Arabidopsis thaliana (AT2G33430) TAIR;Acc:AT2G33430] MATAAAAARAAVAAAGRPAQGVPLSRRLTTASSSSARPLRPRGGRAAGSVRCMARRPESSYSPLRSGQGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVVGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYSDRTRYVKRRENQAYQR >ORGLA04G0206800.1 pep chromosome:AGI1.1:4:21726283:21727144:-1 gene:ORGLA04G0206800 transcript:ORGLA04G0206800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit G [Source:UniProtKB/TrEMBL;Acc:I1PPE9] MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETAEKIAQLKQQAASISPEVIQMLLRHVTTVKN >ORGLA04G0206700.1 pep chromosome:AGI1.1:4:21723139:21725634:1 gene:ORGLA04G0206700 transcript:ORGLA04G0206700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMLDDITRQTLLRQAKMLSPALERKLSIQSDHRRTRDDAVPVPVVKPIASFSPSLHASEKSSRLRTQGATRRRDGRHGQDNYRLLARVASNRSARTTVPPPAPRHRQSPERRLDRLASRRSSRAVTPRRGMVDGGNPLSDMRCPRRGDDSSMERGGGSSSSSRSLSREPSSAVQERGRGLHRGASPAAAPRVGAEGGSSTRRLGRLDSGLSVNLVPPPLAPRHGSQRGGRGAATTTKLSSSTDVAATIRSSIRPSSREFMERSPRRAGEAENDRKEGADAASVSKGRPSRGELSSMERGSSSGRSLSREPSSAVQERGRGLHRGASPPAAVRVGAEGSSTRRLERLDSGLSASMVSRRGTPRAGRGASTPKLSSSTDAAAMTRSRIRPNRDLTERSLRRAGEADEDESLRRCRGKGKGKEKGDDDAASVSMGRPSRPPRRALNRINSSSTYSSSSCPPEPTSSTSGSTSSWVPPRDNAPSWAPPPPRGNPPSWVPPPPPPGGNAPSWVPPPPQPRGIAPPEYGFQVSGASRVSRHLRRQERLERRVERMRRFKEKLGTVFHHHHFGPSGSNEGAPPLFSRDVHDNGYHRPSPWKVLGGVLHRTTRRGEKNNEGAPPLFSRDVHGNGHHRPSPWKALGGVLHRATRRGEKKTRSVPADHRGGVGGGGGVGHALLHMWNKRRAMAKQRGGVGRALFQMWGKRRAAAKQRGGGVRRALFQMWVQRRATTAKRRGGGGVAHALFHMWGKRRATASAGMCGTGSRWKAKKLHWWQRVRPRHRSGHGKALR >ORGLA04G0206600.1 pep chromosome:AGI1.1:4:21717529:21719247:-1 gene:ORGLA04G0206600 transcript:ORGLA04G0206600.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGGAEEEMIEVTLILRLSDCHEEKMLFSTIESIRKIGKCAIKRNIPEIEFDADGSIFFFSGIIADCCRGKERSAFPASDSGASIQNQKQISSHKYAILDVDSTLRVLEFISDEERRMMAQFFCRMWDRAQGKLYVVRICDKVFTELSNKNTQLLDISSLHVATLMVYNSINKQLAGPHKDPPCMNVVAKQMEQYKKETPEGITLEEFRRLIMEWVRKDLRLVLANKAAVAIMAAPLLAVTTKSAGRQVPRVGPAVEKVPTPLLFTVFSVGLIFLQDIRAGKQ >ORGLA04G0206500.1 pep chromosome:AGI1.1:4:21714710:21716061:-1 gene:ORGLA04G0206500 transcript:ORGLA04G0206500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:Projected from Arabidopsis thaliana (AT1G64850) TAIR;Acc:AT1G64850] MGQAWASLQDKLQGRQWKEKQVRKITDKVFDRLTEDTKKREQEALTFEEVYIAVLCVYNDINKYLPGPHYDPPSKEKLKAMMNEYDINLDGLLDREEFAEFIRKLTAESLCAISFKLLVTLVAAPALALATKRATEGVPGVGRVVRKVPNSIYASVITLGVVMAQKSTEGVE >ORGLA04G0206400.1 pep chromosome:AGI1.1:4:21696724:21700219:-1 gene:ORGLA04G0206400 transcript:ORGLA04G0206400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFASLSPAADHRPSSIFPFCRSSPLSAVGEEAQQHMMGARWAAAAAAAAVARPPPFTAAQYEELEQQALIYKYLVAGVPVPADLLLPIRRGLDSLASRFYHHPVLGYGSYFGKKLDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVEAQLVAPHSQPPATAPAAAVTSTAFQNHSLYPAIANGGGANGGGGGGGGGGSAPGSFALGSNTQLHMDNAASYSTVAGAGNKDFRYSAYGVRPLADEHSPLITGAMDTSIDNSWRLLPSQTSTFSVSSYPMLGNLSELDQNTICSLPKAEREPLSFFGSDYVTVDSGKQENQTLRPFFDEWPKARDSWPDLADDNSLATFSATQLSISIPMATSDFSTTSSRSHNGIYSR >ORGLA04G0206300.1 pep chromosome:AGI1.1:4:21690765:21694785:-1 gene:ORGLA04G0206300 transcript:ORGLA04G0206300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGAVVYEGGVVGASLVGLGWAGLWFLNRRLYKEYEERRALVQILFGLVFAFSCNLFQLVLFEILPVLSKHARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRERALLVAALFLLVFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDEMDIKTLERQLMQSMETCIAKKKKIVLSKMEMERIQGSEEYLQKLKARSFLKRIVGTVVRSVQEDQTEQDIKSLDAEVQALEELSKQLFLEIYELRQAKIAAAFSRTWRGHAQNLLGYALSVYCVYKMLKSLQSVVFKEAGSVDPVTMTITIFLRHFDIGIDVTLLSQYISLIFIGMLVVISVRGFLANVMKFFFAVSRVGSGSTTNVVLFLSEIMGMYFISSILLIRKSLANEYRVIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTSRQTDKHPID >ORGLA04G0206200.1 pep chromosome:AGI1.1:4:21674871:21677157:-1 gene:ORGLA04G0206200 transcript:ORGLA04G0206200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQEEPAPQVAAAEGAEPRRRRPGAAVRGALGVAAPFAASFLFSFVVGLAGLVLGSLSSTASVSMPSTCRILSTGVDLRSSKVCELGLLNYRAKHVFYPSSNRKFRCHDDYYWASVFEVEYTEYFSGQTSYAVAEAPKEALPLNCRPDFGVAWSTTLKFKVNESYSCRYTLGSSKADIYSDRLFNCTSEEPSTIEMLKRIFVLLSKSYMLEDFNSIGMLGYVMAGVVAGILSALLITILLRSLRGLVLAVVGSSVSKHSIRVFACRLKRACLLVAYVSVVGWITLQYSKMIGLKELLLDYEIMERFL >ORGLA04G0206100.1 pep chromosome:AGI1.1:4:21671510:21672729:-1 gene:ORGLA04G0206100 transcript:ORGLA04G0206100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:I1PPE2] MSSRMAGATLLRHLGPRLFAAEPVYSGLAASARGVMPAAARIFPARMASTSSAGADVKEGAAEKLPETAATAAAAATDPQNKKAVVSYWGIQPPKLVKEDGTEWKWLSFRPWDTYTSDTSIDVTKHHEPKGLPDKLAYWTVRSLAVPRDLFFQRRHASHALLLETVAGVPGMVGGMLLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFLEVMQPRWWERALVLAAQGVFFNAYFVGYLVSPKFAHRFVGYLEEEAVSSYTEYLKDLEAGKIENTPAPAIAIDYWRLPADATLKDVVTVIRADEAHHRDLNHFASDIQQQGMKLKDTPAPIGYH >ORGLA04G0206000.1 pep chromosome:AGI1.1:4:21667139:21669354:-1 gene:ORGLA04G0206000 transcript:ORGLA04G0206000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:I1PPE1] MSSRMAGSAILRHVGGVRLFTASATSPAAAAAARPFLAGGEAVPGVWGLRLMSTSSVASTEAAAKAEAKKADAEKKEVVVNSYWGIEQSKKLVREDGTEWKWSCFRPWETYTADTSIDLTKHHVPKTLLDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRTLLEEAENERMHLMTFMEVANPKWYERALVITVQGVFFNAYFLGYLVSPKFAHRVVGYLEEEAIHSYTEFLKDLEAGKIDNVPAPAIAIDYWRLPANATLKDVVTVVRADEAHHRDVNHFASDIHYQGMELKQTPAPIGYH >ORGLA04G0205900.1 pep chromosome:AGI1.1:4:21665437:21666536:-1 gene:ORGLA04G0205900 transcript:ORGLA04G0205900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLHLQRPAVTLYPLGLHAGLAPPNPATPPPPRSWCRSPLCPLPVTATAGSRLAYLPRASAAANPHPDPQDEPEPQPKGGFWARWMAESAEMRAKVAKLGLAAVLAYGLFDAVTYTTFFVLAFLGYEKSTGKNPAANLKALLGIVILMWTGNNVTRPFRVAGAAALAPAIDKGLKGIQEKLNLPSQMYAFALVVGSVAAVCFTIFGCLILSKWGK >ORGLA04G0205800.1 pep chromosome:AGI1.1:4:21664216:21664614:1 gene:ORGLA04G0205800 transcript:ORGLA04G0205800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEAQGRYLKEILEKAQKNIPPDANGSANLSSTRSQITDINLALSGFMDNATQVQEENNELMKPISDDNLKVNNLGFQLYHLGSQESKDVKCTPKTEELLLLDLNIQGGYELSSRGMQGCELDLKINQQRR >ORGLA04G0205700.1 pep chromosome:AGI1.1:4:21662869:21663658:1 gene:ORGLA04G0205700 transcript:ORGLA04G0205700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGCYGGVGSAAATRDPKPRLRWTPDLHERFVDAVTRLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGRQSKKSAGLELAVADSGGMYLP >ORGLA04G0205600.1 pep chromosome:AGI1.1:4:21652087:21656520:1 gene:ORGLA04G0205600 transcript:ORGLA04G0205600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVKVFDQAVREIKREVNLKVLKVPELEQKVLDATSDEPWGPHGTTLSELSHATKKFAECQMVMSVLWTRLSERGSKWRHVYKALTIIEYLIANGSERAVDDILDHYSKISVLSSFEYVEPNGKDAGINVRKKVETILGLINDKEKIKSVREKAASNRDKYVGLSSTGITYKSSSASFGSNYSSGERYGSFSGTREGDSYGDSYRDKEPVKSSPSYTGGQKSGSRIKKDVNRRNEDSPSSLKSNAKGNEDDFDDFDPRGSSSNGAANTNTSGVDLFAPNLLDDFIDVPAAATHETNDSADAQVDLFADADFQSAIPSTETAAGSDVQGNVDLFAEQPAFTAAFPPQTGFIPPPSSGTSEANTSTSKNTTPEPFDPFGAIPINSFDGSDPFGAFNSNVGSSSIPPPTQSSVGNISTPSQNPQAASDFGGFVSSTVETAAKDPFDFSSSNLGKTPLADPKADASDFGAFVSHSEEVAKDPFDLSLSTSSGRTNQAPLAAPKSDTKKENFQVKSGIWADSLSRGLIDLNITGPKKVNLADVGIVGGLDDGSDDKALPSWTMGAGGSSLGMSGIPSSTQSGGIESLANYNKYQFGFK >ORGLA04G0205500.1 pep chromosome:AGI1.1:4:21648938:21650759:1 gene:ORGLA04G0205500 transcript:ORGLA04G0205500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSISSEKSSRYVAPRPPLQEAGSRPYMPSLSTASRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPRKDKENAAASPAKEAYRKLLAEKILNNRTRILSFRNKPPEPESILTELRADAASIQAKPAKQRRYIPQSAERTLDAPELVDDYYLNLLDWGSSNVLSIALGNSVYLWDATNSSTSELVTVDEDNGPVTSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTMRGVHDSRVGSLAWNNNILTTGGMDGKIVNNDVRIRNHVVQTYQGHQQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRTQWLHRLEDHLAAVKALAWCPFQSNLLASGGGGSDRCIKFWNTHTGACLNSIDTGSQVCSLVWNKNERELLSSHGFAQNQLTLWKYPSMVKMAELTGHTSRVLFTAQVIFCSLYLPFKLTNIALNRLLITCLVVILQSPDGLTVASAAADETLRFWNVFGAPEAPKTATKGSHTGMFNNSNHIHIR >ORGLA04G0205400.1 pep chromosome:AGI1.1:4:21644848:21647685:1 gene:ORGLA04G0205400 transcript:ORGLA04G0205400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLLERATRTAASCSSALLRSPLDSFSGRFPSFRSPLLRPAPAPSTVFPRGLSDTAFDAQALDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDSSLVASHSSVAEDIVMVNNGCLCCTVRGDLVKMLLKLVKQKGDKFDHIVIETTGLAKPGPVIETFCSDELVSRYVKLDGVVTMVDCKHAMKHLNEVKARWVVNEAVEQVAYADRIILNKTDLVDNAELEVLINKIKLINGMAQMRKTKFGDVDMDFVLGIGGYDLDRIESEVQLHERKETGHCHAGEEHGHQHHHGHVHDSAVSSVSIVSEGVLDLDEVNDWLERLVEEKGEDLYRLKGVISVNESTGRFVFQGVHSMLEGCPAKPWEPDEKRVNKLVFIGRNLDEAALRKAFKGCLL >ORGLA04G0205300.1 pep chromosome:AGI1.1:4:21629698:21643944:1 gene:ORGLA04G0205300 transcript:ORGLA04G0205300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDLGDIVLSWSLGEVMDDDLYRGKVEEIPLSFMSLDHYFKTYAAPLIEETRSDLCSCLELISEAPISKILSMEEAGKSGLYFMDVDFWDNGAGFSSEAYTARNGDIFILSSMKPESADDLNRYGVTYCLAMVTEVSMDDEFQKGFRVKVAKDVTLQEGFNRLRHAIFLNNIMTNLRIWKAICFDMGMNNNFTVIKSLFAPTYMGDDVCDICVKQDEHCLALCTEQLLSINLNQSQVDAIESVISAVQCRHLNLMKLIWGPPGTGKTKTVSALLWALACLKCRTLTCAPTNVAIVGVCTRFLHILRDFNKNANENFLPFSLGDVLLFGNKYNMDITEDLQDVFLDCRADELVECFSSLSGWRYRIASMASFFEDCGSQYDMLLEDDGRSDPICFLDFIKTQFDVTATALKKCIMNLLIHLPRKCFSHDSISNISMLFDSLEKVEALLHHENLTDDGAKRGFGFLSIQDISCAKSAFIIEKELNRAKLSCLQLLEDLERSLDLPTGRDRNWIQNYCMRNATLIFCTSSSSYRLHHMEIAPLDVLIVDEAAQVCKEAGFGISLFERLVVLDFEKHLLNIQYRMDPRISLFPNVQFYGRKILDGPNVMSSVYNKDYTNLPFGTYAFINISDGREEKEGTGNSWRNLVEVAVVLHLIQTIFKTWKRKGQMLSIGVISPYSSQVDAIESRLGKLYDTCDGFHVRVKSVDGFQGEEDDIIILSTVRSNVKGIVGFLADEQRTNVALTRARHCLWILGNANTLYSSGTVWKDLIADAQRRKCIIDATNDAAICKLVLKVKNELDELDDLLNADSAVFSNTRWKVVFSDEFKKSFAKLKYPQLRRELAKVYKVRDLYLVWSTDIEKSERYVQIIRIWDLLSHQNVARTVQRLENLFSMYTDEYLDKCRRVKTEGKLEVPVIWDAEHDIIRYRKVLEVDAQEDHDHVDISYAMENSKVSESFLLMKFYSLSSGVAKHLLTATDGSEIDIPFELTDEEQAIIRFPLTSFILGRSGTGKTTVLTMKLIQIWQQSLIASRGLNLDERNSTAQKDLSEVETFVKQVFITVSPKLCSAIRNQICKLTRYGSGDVSDQASILEMPDMVDDLEDFTDIPDSFIGLPCEHYPLTITFWKFLMMLDGTCKTSFFGTFCGELRSSTERGYSKSRALQAFIEMKEVTYEKFSASYWPHFNSELTKKLDASTVFTEIISHIKGGYQANKPFGGKLERLDYLKLSEKRFSSLNSQMRERVYDIFLDYESMKCTAREFDLSDFVNSLHRNLLSEGYNGDIVDCIYIDEVQDLTMTQIALLKYVCRNFEEGFVFAGDTAQTIARGIDFRFEDIRSLFYTYFLPEMEPCGQGINHGKQLRITDMFQLTQNFRTHCGILRLAHSIMSLLYYFFPSCVDKLNPEIGLVYGEAPVLLESGNDENAIMTIFGESKSDPGNLQGFGAEQVILVRDDATKKQVVDLVGKQALVLTIVECKGLEFQDVLLYNFFSSSPLRNKWRVVYDYMKGKNVIESSEEMSHSFFDKNKHYLLCSELKQLYVAITRTRQRLWICENADDNCRPMFDYWKKLCLVEVRVLDSSLIEAMQTGSSTEEDWRQRGTKLFAEGQYEMATMCFEKAGDAYREKLARAAGLLATADRVISTNFEMGQSSLQKASEIFESIGKHEKAATCYMKLGDYKKAGMVYMEKCGNSRLKDAGDCFELSACWSLAADAYFRAKCYAKCLSMCSKGKLFQKGLLLLQQLEEHLLENSSLVKVAAIRNTFLEDCALHYFECGDIKHMMPFVKSFSSMDHIRVFLNSKNLVDELLSVEMDMGNFVEAAGIAKHTGNVLLEADLLEKAGFLENATQLILLQLFVNSLWASHSTGWPPKRFAEKEQLLAKAKEMSRNVSESFYCLVCSEADALSDEHKSLASITYNLIEGNKCGNLLVELIASRLILDVHLQAEASGYCFESEPGSHDGRHCKDMLVLNQISLETLVYDWNYWSSIIVKVLRHLDHPKDAESNDLAAICEDLCAKYFGWRKDGDYDWYVDFWINELYSVGLSVLKKLESIVQILPTSSCSLGRTILVIYEVAKFLKESEFGMPKNTIKYYSILCERRFFELVFLVWRDETPKSLLCILDSATTYNLLSDSICSYLGSRNNKMTHSQVGRITMLLLHAARLDDSLISQLVQYLDRGSEWATFFLSLKKYLDNGVSRDILLLDFKFALDCTYKANWRAEHYISPICYVDLIECLGFLATMHLVLNDYMFCTKSLLAKMMKCRTTKGYFETCMAPSTDIDLGYAGHSARCFIYLSVKDLLGSKRMIVEWVQNTSTPTSSYVPILLRLVITLYLVTVNQDVGDLYEVTAFLEKNHVFTDLPPEFSEKIRNALRMKSRTVKNFMRVFADALAAIGTRMVVMGDIKKAMAHKCQPDLNADMISSVDLSDAKKVMALLSTEKSSSLKQEPQLPEIKSVCNKICNATSGHFPLTSVGGSQKSTSNFNLSDVDHPLLEKFEAFQVNMPQKKNGSLISQILRSPLSWIEQRAPPVQMLELRHICKQVEEQHVREKRALSVKDLHSNREHGDGEKNIGKIVDVQGSQSNPDRASEWSGCSDDEQETGGSNVVESTKEAPAAASSGPSSKNKPQKKKKSKKSKRSGRK >ORGLA04G0205200.1 pep chromosome:AGI1.1:4:21615180:21617489:-1 gene:ORGLA04G0205200 transcript:ORGLA04G0205200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGAGYFEDSHDQSLMAGSLIHDSNQAPASSENTSIDLQKFKVHPYSTEALSNTANLAEAARAINHLQHQLEIDLEQEVPPVETANWDPAICTIPDHIINHQFSEDPQNILVEQQIQQYDSALYPNGVYTPAPDLLNLMQCTMAPAFPATTSVFGDTTLNGTNYLDLNGELTGVAAVPDSGSGLMFASDSALQLGYHGTQSHLIKDICHSLPQNYGLFPSEDERDVIIGVGSGDLFQEIDDRQFDSVLECRRGKGEFGKGKGKANFATERERREQLNVKFRTLRMLFPNPTKNDRASIVGDAIEYIDELNRTVKELKILVEQKRHGNNRRKVLKLDQEAAADGESSSMRPVRDDQDNQLHGAIRSSWVQRRSKECHVDVRIVDDEVNIKLTEKKKANSLLHAAKVLDEFQLELIHVVGGIIGDHHIFMFNTKVSEGSAVYACAVAKRLLQAVDVQHQALDIFN >ORGLA04G0205100.1 pep chromosome:AGI1.1:4:21605367:21610813:-1 gene:ORGLA04G0205100 transcript:ORGLA04G0205100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSGGGGGGGGGEGEGCGEAETGITDCSPGIIVWVRRRNGSWWPGRILGPDELPASQVMSPKTGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDACIEKALTSRGTPVKRREKYARREDAILHALELERKQLASKYQNQGFRSDDISSVHFADMRREFDNSSTEYYSRNNTQKPQFPLGNSASQHCKDLSSTRYKSKKSKKRKGDSSNLPGKTKGLEQNFPYADSKRDFSESLALEGAENTLSNRNNGSHLGHMQAGPNLGSDGKNTPLRKKISEESVFEESLVKKHDRCRPLAQVVQSSLKLPHSFQRDDDPGPVLIEEGNDPLTTIYQAQQGWSTYVPNDSGETNNHGDIPPTQITSTGAHFETEGYLKQPDSFSAEQKISEFAEKQRSDSCERECSETETEDDAELLQRYAKRQSPGSDACDPYSIQASKKSRHVDGDVADDMVAFSTGIPQQNVLKDEDGSSELGVSQWHMKGKRNQRSALKRPMGKTDGNISLDRSNSSLKGSLYRVNESNPNMESTGASSHQYFGRSFYQTQELDYDYDDADLTNKARGHAEVRYYGKDYPPSLTPTRDLEQSYTSFNNTETYCKTSPPNKNGDQMSSLGRKACLEGASLYRQNYSSQLGYMGPMLFNVDLNVQAGYQGEHVPLVSLMSRLNGKAIVGHPIQIEILEDGSTDHLVLASDDFLEHSTSASPAWRTGRRTAMPRIPRSNSTRVTLDDGDDEGLWDMNPPFSRSSTPFNQQFRLSKRSNTSFRSPLSHRSHKKPSNSKKGSSSSQKVRALSSISIGKRYHREGRQAKLHNILGDLIKPEGAIPLVTCVPAKVVFSRIMEAVGRPSLSIAHRARVASPAIRDAQR >ORGLA04G0205000.1 pep chromosome:AGI1.1:4:21600517:21602888:-1 gene:ORGLA04G0205000 transcript:ORGLA04G0205000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMMLYLLLLPLLLLTCIFASYVHSMESSTSKCSNIPIPYPFGILGGNPAPAQGFEITCASSGPMVRINNIMFGILNISLLDGFVSILASATSQQCKRNSSFSLEGTNFTFSDTRNKFTALGCDMVAMLLNGSSGYSGGCASFCSTKSNIIDGMCSGVACCQAPVPKGLKKLELEFTNITGQLSRPKEVNNTPTCGEAFIVEQNSYVFSSVDLSNTNRNNPQYRPVVLEWSIDGGYCEEANRSMSYACKENSYCYNSSNGIGYRCNCSLGFQGNPYLQGPDGCQDIDECTIKRPCTHKCINTKGSFYCMCPAGMRGDGLKEGSGCNGIGTLLIGIVTGLALLLLLLVLIFWTHWLVKKRKLAKIRQRYFMQNGGMLLKQKMFSQGAPLRIFTSSELEKATNSFSDDNIIGRGGFGIVYKGILSNQMVVAIKKAQRVDQNQMEQFINELVILSQVNHKNVVQLLGCCLETELPLLVYEFITNGALFSHLQNTSVLISWEDRLRIAVETASALAYLHLATKEPIIHRDVKSSNILLDENFTAKVSDFGASRPIPHNQTHVTTLVQGTLGYMDPEYFQTSQLTEKSDVYSFGVVLIELLTRQKPISDGRTDDVRNLACHFSMLFYQNQLLEIVDSQVAEEAGTKHVKTVAQLALRCLRSRGEERPRMIEVAIELEALRRLMKQHLVLQTEEDPLLCESGQHADVNIEASSEFEP >ORGLA04G0204900.1 pep chromosome:AGI1.1:4:21595003:21597508:-1 gene:ORGLA04G0204900 transcript:ORGLA04G0204900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSMVLAQLLLLCLLSLSSSSSSQISPFKCPNSSVDIPFPFHIATNSSLTSTPGFAISCRQTGPMILLGGNYSVLNISLLEGYVRVTGQTVYSSQCHNNSQGIIDLTATNYMFSHTQNKFTAVGCDAMAMIRNSSDVVGSTNSTVMSRYSGGCVSFCASNGSIISGECSGVGCCQSSVPKGLNKLDLEFTSIRGQLMPPTSVVGSGSTRCSKAFIAEQDSYVFSRHDLYKDLGNLPMVLDWYIQGGKCKEANRSRQTYMCKENSYCYEVEDGAGYRCNCSGGYTGNPYIGCVDIDECNDGNFYPCTHKCINIAGGYNCTCPMGMTGDGKKQGTGCKRDTTMLSTVGGSLGLMAVLIVLGFWTYWIVKKRRLAKQKQRYFLQNGGLLLQQQIFTHQAPARIFTTSELEDATNNFSDDRIVGRGGYGTVYKGILSDQTIVAIKKSKLVDQSQMEQFINELIVLSQIDHKNVVKILGCCLETEVPLLVYEFISNGALFHHLHNTNLVPISWEHRLRIATETASALANLHLARKVPIIHRDVKSANILIDENYTAKVSDFGASRLVPSNQTHVTTLVQGTLGYLDPEYFYTSQLTDKSDVYSFGVVLVELLTRQKPISYHRQEEGINLASHFTALAQQNRLQEIVDCVVVKEAGMRHVNVVSHLILKCLKLKGEERPRMVEVAIELEALRRLMKQHLSLKSEKALRELMEQQSAEDCQEMQLLQEESGQEKMSNIEPLKLYHRDSASDKCMESSPLLSMDLPW >ORGLA04G0204800.1 pep chromosome:AGI1.1:4:21589190:21593925:1 gene:ORGLA04G0204800 transcript:ORGLA04G0204800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRRASIPAATEAAAVASWPLGPLALALALAILSAMPHVALSQSLTLPPLPSPQMNLTCKNVPFPFGERSSAAYVPGFMVTCGPNNETMLRIGEHIYEIDKVSVPESSITIRAGPIKQVCYDRSGRPKPQITGVVPGPTSLEATPFTFSKRNILVATGCNYRFIANFTSSSGGGDGTPTTTSCGTARCGVSSGTIFNGSCAEISACCTARMQMDGAQQFNLTFDKPWPSGNVSGEEANTCSAVFFLDQNEQVFTSAGDGRKMSLKDALVPPGYRRMVLDWAIPGNCEQILAPQYQCGSMSTCNDVYNGTGYTCRCNQGYEGNPYEPNGCSDINECRDMNNNNCSRDACKNTDGGFTCSCPKNTVGDGYRAGTGCSIDSLPPSGLDVCAHPERNPCMYPEYCKDEQGVTSCACPEGRNGDGRKKGSGCKRHFPLDTALGVGLALTVTLATTLLCYYWTMKKRKVARKRAELFRKNGGLLLQQRFLMITSQGEESSAKIFSAEELKNATDNYSDSRILGRGANGTVYKGILPNRTTIAIKKSILFDESHVEQFVNEITILSQIDHPNVVKLLGCCLETKVPLLVYEFIPNGTLFQHIHNKRTLTWEDCLRIAEETAGALAYLHSTSSTPIIHRDIKSSNILLDENFVAKIADFGASRSVPSNHTHVTTLIQGTIGYLDPEYFQTSQLTEKSDVYSFGVVLAELLTRQKPISVGRPEESCNLAMYIVILLNERRLLQEIEPQILVEAGEEQIYAVAQLSARCLNVKGEERPVMREVASVLHGLRESFDEEQIIRSDESIQIINEQESAHSEARPISSLQSSDETSTTQYSLPSEILSSSHLAR >ORGLA04G0204700.1 pep chromosome:AGI1.1:4:21570979:21572275:1 gene:ORGLA04G0204700 transcript:ORGLA04G0204700.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLSALVYAVCTTEKENSKQRRDDMPCRRASTPAASAAAGPAVAAWPLTPLVLALAVFSAMPHVTLSRGRENRWPPLEVNSQLKTKNLSCDEVPYPFGLKGKSAPGFRVTCRKNDSAAMLRIGHQKFRIDQVSSQEGFVVIFAGPIYRLCYDRNGRPAVGSTGIGPTNLTDTPFFFSERNTLVATGCYSNFTATFTSSLHNLSFSASGHCETNCSGNSDGSCPGTTCCEAVDMPTDSSQELTFEFNKTSASVAGTCSAAFILYQEEQIFRVNGDSKPMHLEDVLVPLGERRMVLDWVIERATCEQARNNSFKKHYYCNNVSSCMDKFRGAGYVCRCKAGYDQHEGNPYEAGGCQAY >ORGLA04G0204600.1 pep chromosome:AGI1.1:4:21559449:21562130:-1 gene:ORGLA04G0204600 transcript:ORGLA04G0204600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:floral meristem identity control protein LEAFY (LFY) [Source:Projected from Arabidopsis thaliana (AT5G61850) TAIR;Acc:AT5G61850] MDPNDAFSAAHPFRWDLGPPAPAPVPPPPPPPPPPPPANVPRELEELVAGYGVRMSTVARISELGFTASTLLAMTERELDDMMAALAGLFRWDLLLGERFGLRAALRAERGRLMSLGGRHHGHQSGSTVDGASQEVLSEEHDMAGSGGMGDDDNGRRMVTGKKQAKKGSAARKGKKARRKKVDDLRLDMQEDEMDCCDEDGGGGSESTESSAGGGGGERQREHPFVVTEPGEVARAKKNGLDYLFHLYEQCRLFLLQVQSMAKLHGHKSPTKVTNQVFRYAKKVGASYINKPKMRHYVHCYALHCLDEEASDALRRAYKARGENVGAWRQACYAPLVDISARHGFDIDAVFAAHPRLAIWYVPTRLRQLCHQARSSHAAAAAALPPPLF >ORGLA04G0204500.1 pep chromosome:AGI1.1:4:21557108:21557608:1 gene:ORGLA04G0204500 transcript:ORGLA04G0204500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIARIMRNRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEISDGEVEIPSA >ORGLA04G0204400.1 pep chromosome:AGI1.1:4:21553917:21554364:-1 gene:ORGLA04G0204400 transcript:ORGLA04G0204400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VATESGLSLGCRDASPSASGAVPMCIIFKASTVRGAHARKGTSNAPPVRIPNGQSVAADSLTPPIGRNLHWQANAFALFGLFLVVVDMGRDKFERCLKILEFWKLRSMNIWTISEQYCLLNDQ >ORGLA04G0204300.1 pep chromosome:AGI1.1:4:21549360:21550982:-1 gene:ORGLA04G0204300 transcript:ORGLA04G0204300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASSKGRVIAGSFVARVLAGKAASPRRFVSASAYDKNVEEQVRPAVVPDDVIGSVGSPDKYWGPHPTTGVFGPAAVDAKAAAAGGAAKAGANGGASVLDQKVWFRPLEDVEKPPVA >ORGLA04G0204200.1 pep chromosome:AGI1.1:4:21545706:21548372:1 gene:ORGLA04G0204200 transcript:ORGLA04G0204200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHALPRRDLQALCKRNGVRANMTNAAMADALAKLATVDGIEEFAKQAVALPPAPATKPAVKAVAEEDPREKKGSPLPRGRRVTVSSPEVVNLDDSDEEAPGQSKDAPLPRGRRGTVRSSKLIRPDDGEEEGKEDENRGENAPVHGEGRRGASRRARAEPVVAPTTRRRAAPTSKIETGDVAVEAPPAPTTRRRPQTSTEAAPAPTTRRRAQSTVAASAEEKVPRGRRTTRRAAAKKPDMLEEETREPLAPEQNSAHEEPVQEEQGIEVEVPAETVEAVAQECLPDPDAVVEEKPVQEEEGEISVLLTISLAPFHSSLVGRSDLSVPVRLVNSGIEVEAPAETVEAVAQECSPDAVVEDEQAAVEAEQTISQDDSPIFGIVNGTIVGASEEAPVCNSECPKNMATEESSDTVSEEKEAVPADEVPLVTVTCGEATRDAELPTNIGNAKEEDDDEMEAVHEAGFAVEVDGSETVDELIGTLTEHADNAIQLNFSAELSCADEEAGVFATDDLQQSSATVKTMVADSEANEEEDALEAENEVGFAVEEKEVRTGDEPHETLSNDADGAIQLGEDALEAANEAGCAVEEKGVETVDEPHDTETNAAENAQEEGVVASEDLLQISETEQDEFNSDICHAVEHNERDNVESVSSEREDVSMENAFTGDLTLKFDGPGDLGDRNTSLLEEGARTLPLSTETPNNVTDAVVTAAEEMVSETMGISNKKSTELAAMEDGNEVKVVEKQKEDPVELVKLSLRTLRAKLKEKLTKHKRKEAKRVALGRLDENVC >ORGLA04G0204100.1 pep chromosome:AGI1.1:4:21540064:21543641:1 gene:ORGLA04G0204100 transcript:ORGLA04G0204100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEIIVAQPSPRLYKSLTFRRIATKERTVPQDEEMKIRSPRFQDESLTAPFILDKKARSKAPTVVLGFECLESTAFNGIATNLVVYLETLLHGSNLASASNVTTWFGTSYLTPVFGAIIADTFWGNYNTILVSLVFYLLGMMLVTFSAFLPTTALCTVVGSSCQQPLLGAQTIAFLGLYLVAFGSGGVRAALLPFGADQFDDDNTADRERKMSFFSWFYICVDFGMIVSGLFIVWIQQNVSWGLGFGIATACIALAFGGFVLATPMYKRRMPTGTPIKSLAQVVVAACRKARLRVPADTTLLYEVHDKINQSKIAHTDQFGFLDKAAVVMESDLEEESNDVAADASWRICTVTQVEELKILLRLLPIWATSIVLSAAYAQLNTTFVQQGAAMNMQIMSFTIPPASMVSFEVLCVLAWVLMYSSVIVPMLNSLSLANGEPSQLQRMGAGRLLMAFAMAVAALVEMMRLDAAGRGESLSIAWQMPQYFALAGAEVFCYIAQLEFFYSEAPESMKSMCTSLALLTVALGSYMSSFIYAVVNAFTAVDGRPGWISDNLNEGHLDYFFWVMAALCTLNFVVYSAFARTYRVKLVVS >ORGLA04G0204000.1 pep chromosome:AGI1.1:4:21534758:21537278:1 gene:ORGLA04G0204000 transcript:ORGLA04G0204000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAMERGQQRLPESWNPKLQDDVSLTVPLIQDKKSGSKAPAVVLGFECLESTAFNGIATNLVVYLETVLHGSSLASASNVTTWFGTSYLTPVFGAIIADTFFGNYNTILVSLVFYLLGMVLVTFSAFLPTTALCAVAGSTSCQQPVFGAQTIAFVGLYLVAFGSGGVRAALLPFGAEQFDDDNAVDRERKMSFFSWFYMCVDFGMIVSGLFIVWIQQNVSWGLGFGIATVCVAIAFGGFVLATPMYKRSMPTGTPLKSLAQVVVAACRKVSLRVPADAALLYEVHDKIDQPKITHTDEFSFLDKAAVIVQSDLEEDSNDASAAAAGSWRLCTVTQVEELKILMRLLPIWATSIVLSAAYAQLNTTFVQQGAAMNMRIMSFTIPAASMVSFEVFCVLAWVLVYGSVIVPLLRSFSPANGEPSQLRRMGAGRLLIAVAMAIAALVEMVRLDAAARGESLSIAWQMPQYFMLAGGEVFCYIAQLEFFYSEAPESMKSICTSLALLTVALGSYMSSFIYAVVNAFTAVDGRPGWISDNLNEGHLDYFFWVMAALCTLNFVVYSAFARNYKVKTVVS >ORGLA04G0203900.1 pep chromosome:AGI1.1:4:21525573:21528543:1 gene:ORGLA04G0203900 transcript:ORGLA04G0203900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADHERRRPEQGALRTPLLADDETCGHRTAECRGVFPFIDFCSAEDCEASSSNQSLVSQEDRGQEVAEVQKVESCSNKALIIILSLQFLEITAFYGVYLNLIVYLQDVLHGDSASNVATVSSWVGTAYLMPILGAAVADSCWGKYTTVLAGFSIALVGMVTITASATLPSLRPPSCGQSAYCVPATLSQKLVFFTGIYLCALGIGGAKAVLIAFGPEQLDDDDGGGKNERVRERKASYFSWYYAVANVGMLTAGTMLVWFEDNVSWGFGYGLCASFVAVAVVVLAATAPMYRILPPAGSPLKSVIQVLVAFSHKAKLTLPDDPTELYEDDGVKNSLQHPVHERLEHTNQFRCLDKAAIVSDEDLEDGDRWRLCTVSQVEEVKILLRLIPIWLTSAVYFIANTQAQTTFVQQGTKTDGRIARGALSVPAASLSSFQMAFVAVFVTLYNRAVVPAARRCLGRAVAFTPLQLMGFGHATAVVAVGVAACTEARRLHAARAGAPAMGIAWLLPQYLVMAASDASLTVGQLEFFYDQSPETMRSASTAFYFLAISLGNLLNSQLVTLVAKVTAAWGNAGWFPLDLDDGHLDYFFLLIVAITAVNFAVYVALAKNYTPKKVR >ORGLA04G0203800.1 pep chromosome:AGI1.1:4:21513486:21516595:1 gene:ORGLA04G0203800 transcript:ORGLA04G0203800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFLQRMEGDQAGGDLTDIVRAGGGAMPGSVVVDLPSTAAEWQLPAEPMLFPPPPSLSSTTDGCGAGGAAGADIFGGGGGDLFSGLVDPFSSDYSSGADFLDAMPDAMAKVGFDTAAVAGMQMSSPRSGGIKRRKNQARKVVCIPAPTAAGGRPSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSHHSKNSGGGGGSGSKGSQNDKSQQQPSVKEEQKDQATTATTTTTSTITTTNSASPVVVKEEEAALAGSSEALELERVMDTTAAGVVDHSELMDHVFSENYKPMIPETGQPDDFFADLAELESDPMSLIFSKEYMEAKPSGGDHAQEKAMAKELDPFDMLDWSTTTNSSAGSSFEQGKRG >ORGLA04G0203700.1 pep chromosome:AGI1.1:4:21499557:21500120:-1 gene:ORGLA04G0203700 transcript:ORGLA04G0203700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNGVGGEQTGSRRRKTAGLRGGRCRVWRMVFAAGSTALAERRRKAARKGTPATEEAAALGERSWPEKRCDGGEARSRTREGSAGFIGGDGASRGRNQRGRSRGARLAASRSSGDRWSREAEDVTPAERGKSRKRGKGKELGSLSILDEEREDGARGRERRFLRVFGRERTEQRGRAATGHGRRRR >ORGLA04G0203600.1 pep chromosome:AGI1.1:4:21491050:21494139:-1 gene:ORGLA04G0203600 transcript:ORGLA04G0203600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:I1PPB7] MHHDPNPFDEGNADDNPFSNGGGGGGGGGSRQQYGFRPTEPAGFGAGRGDATVDVPLDTMGDSKSKARELSSWETDLKRREADIKRREEALRNAGVPMEDKNWPPFFPIIHHDIANEIPANLQKLQYLAFASWLGIVLCLSWNFIAVIVCWIKEGDSKLFFLATIYALLGIPLSYLIWYRPLYRAMRTNSAFSFGWFFLCYLIHIGFCIIAAIAPPIVFHGKSLTGILAAIDTFSEHVIIGIFYFVGFALFCLETLLSIGVLQRVYMYFRGNK >ORGLA04G0203500.1 pep chromosome:AGI1.1:4:21487959:21489538:-1 gene:ORGLA04G0203500 transcript:ORGLA04G0203500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase [Source:UniProtKB/TrEMBL;Acc:I1PPB6] MAARSFSSASTTTILLRSGRWRRTIRAAAFPFRVSCSAAAAAAAGGTVVIGLAADSGCGKTTFVRRLTSVLGAGTAAAAAPPWGGNPGSNTLLGDAATVICLDDYHSLDRAGRKERGVTALDPRANDFDLMYRQLKAIKEGRAVAKPIYNHATGLLDPPELITPPKILVVEGLHPMYDERVRGLLDFSIYLDISSDIKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTRLIAAADDDGDEGKVLRVKLIMKEGVEHFAPAYLFDEGSTISWIPCGRKLSCSYPGIKFSYFPDTYFGHEVSVLEMDGKFDKLDELIYVESHLSNLSTKYYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQVVAERAASPPALAAVA >ORGLA04G0203400.1 pep chromosome:AGI1.1:4:21485053:21485553:-1 gene:ORGLA04G0203400 transcript:ORGLA04G0203400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VARGSTVLMGNGSHASVHGVGTVDLKFTSGKIVQHVPSINRNLVSGSRLTRDGFKLVFESNKVVVSKHGYFIGKGYECGGLFCFSLSDFCNKSVNHICGSVDCEANVWHSRLCHINFGLMSRLSSMCLIPKFSIVKGSKCHSCVQSKQPRKPHKAAEERNLAPLELL >ORGLA04G0203300.1 pep chromosome:AGI1.1:4:21471033:21475383:-1 gene:ORGLA04G0203300 transcript:ORGLA04G0203300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSDDIQHPVKEEKESSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDCTKMPVNTRTTDFDGDPEIKNASIPHEKVGSTQYTSAGSSSGAGKKLKEDQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLLDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVAAVKSIERDSNSCDIARAGDNVAVSLQGIDGSKLIPGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRVLRQDQN >ORGLA04G0203200.1 pep chromosome:AGI1.1:4:21466839:21468489:-1 gene:ORGLA04G0203200 transcript:ORGLA04G0203200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLINANPVVYEKKERRSRQAPETTDENAAEAIDQLEIFDHIRDIKDPEHPYSLEELNVVTEDSVEINDELSHVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPPRYKVDIRVAPGSHATETAVNKQLNDKERVAAALENPNLLDIVEECLSPTFA >ORGLA04G0203100.1 pep chromosome:AGI1.1:4:21463119:21465660:-1 gene:ORGLA04G0203100 transcript:ORGLA04G0203100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF760) [Source:Projected from Arabidopsis thaliana (AT1G32160) TAIR;Acc:AT1G32160] MAAWADAALLRASSSPASATAAASSSSSSCCLARPRASLESRLHRRKSFLYTSSPKSGFLINSCRARSLKVKAKMDSGDGLTRLAPLMLETPSGQLLVQILQSHPHLLPATVDQQLENLQSEKDVQEKEASKVPQDLLYKRIAEVKEKERQNTLEEIIYCWIIYKFMENDISMTPALAPLGGPVRDISSLPNQEDRLQSIHSPDALEMIQNHLNLIMGEKVAAPLDTVVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKNMKTLPPNPKQQIVLENLKPNPFWDMESLVQITPDGEEIDLDDEESNPNKLRSYVSRLDADTLQRYATIRSKEAVSLIEKQTQALFGRPDIKVLDDGSVNAKDGQMITITFIELTHLVLEAAAFGSFLWEAESHVESKYHFVNS >ORGLA04G0203000.1 pep chromosome:AGI1.1:4:21460797:21462263:1 gene:ORGLA04G0203000 transcript:ORGLA04G0203000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISAAACSFSQPSIRSNLCNMFSPLPVTVLVSIAALAFISGDATIVHEPVAAAAAAPSSDEADSIDGGGGGFSLPLVRRRSTTTTTMIDVAKEEIQLATAIAAGDKKLLVPLYGRPQGGSTYLVQLRIGTPTDRISPRYVLFDTGSDLSWTQCEPCTNCSSFTPYPPHDPSKSRTFRRLSCFDPMCELCTAVVDGGGGSAGCLFRRRYGDGGAVSGELVSDVFHFGAAGDGGGYQLERDVAFGCAHVEDSKAVRGYSTGILALGIGKPSFVTQLGVDRFSYCIPASEITDDDDDDEEERSASFLRFGSHARMTGKRAPFKQDGSGYAVRLKSVVYQHGGRLNQQQPVPVYVAGEEAAAAMPMLVDSGTTLLWLPGSVFYPLQRRIEEDISLTRRYDLTHPSLYCYLGNMTDVEAVSVTLGFGGGADLELFGTSLFFTDENLTEDWVCLAVAAGNRAILGVYPQRNINVGYDLSTMEIAFDRDQCDRV >ORGLA04G0202900.1 pep chromosome:AGI1.1:4:21456058:21458819:1 gene:ORGLA04G0202900 transcript:ORGLA04G0202900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEHLGARAAAAAGEDDQSPVEQVRLTVPTTDDPSLPVWTFRMWTIGLLSCAMLSYINQFFSYRSEPIVITQITVQVAALPIGHFLARVLPKRKFTVFGRECSLNPGPFNVKEHVLISIFANAGAAFGNGGAYAIDIINIIKAFYHRSISFPTSLLLVITTQVLGYGWAGLMRKYVVEPAHMWWPQSLVQVSLLRALHEKENLRMTRAKFFLIALICSAAWYVVPGYLFPTVGAVSWLCWAFPRSVTMQQIGSGMSGLGVGAFTLDWATVVSFLGSPLVYPFFAIVNVWVGFVLLVYVMLPIAYWVLNLYQASTFPFFSASLFDHTGEEYRISEIVNDRFELDTDAYARQGKIHLSLFFATSYGLGFATIAATLSHVTLFYGTEMYRRFRQAAREKPDVHTRLMRRYDDIPNWWFYGMLALAMVAALLLCTVFKDEVQLPWWALLCAVAVAFFFTLPISVITATTNTTPGLNIITEYVMGLIMPGKPIANVCFKVYGYISMNQAVSFLTDFKLGHYMKIPPRSMFLVQFIGSIVAGTVNMSVAWWLLSTVPHICDKKHLPEGSPWTCPGSRVFFDASVIWGLVGPRRIFGPLGYYGALNWFFLGGLAGPAVVWLLARALPRHAGWIRLIHLPVLLGATANMPPASTLNYTAWCSVGAVFNYLVFRRRKAWWQRYNYVLSAAMDAGVAIMGVLIYFCLSSRGITPDWWGNSDINIDHCDLSTCPTAKGVIVEGCPVF >ORGLA04G0202800.1 pep chromosome:AGI1.1:4:21450729:21452391:-1 gene:ORGLA04G0202800 transcript:ORGLA04G0202800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNNGGPTVAVKLYIDKEKKKVLFAESDKEFVDVLFSFLTLPLGTIVRLLGKQSQIGCLDELYKSVEALSEDYFQTKACKAMLLRPRNAAGSHCDRLKVKVDDTNERLIYVCPTSSCDARSFSSFWGVCNSCTVTTTLILRETPVDCRTVESNDDGVFVKSDLKFIIFDDLHVAAASTSTMFPLLGKFGLLEQRNIEEKVLELNSHKIINLLKRALVGYDFVDLVFGLLSLPLGSTIKAYGQVTSGGSSGLDNLYRSINGSGIGCVKQECQSLLLSPMLAPFFGCGSSVLLQVQESPIKSCSLRVIRAAKIPNEMLVKKELTLDRTQVFHLLLQVLKLLRPALVTRNALSSVLLPPKK >ORGLA04G0202700.1 pep chromosome:AGI1.1:4:21447018:21448742:-1 gene:ORGLA04G0202700 transcript:ORGLA04G0202700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKIEGPTIAVKLFVDKERSRVLFAESDKDFVDVLFGFLTLPLGTVVRLLGRQSQVGCLDELYKSVEDLSADYFHTKACKAMLLKPHNTAAEQCCLLKVKVDDTDQSAVYVCRDANCSANGDCGVTSVAGSVCKCGKVMEYIGEWPQDGGSTAAAGSDGGVFVKGCYKFIVTDDLHVAPASTSLMMSIFDKYGVRDPANLEQKILHLNAEKITCLLKRSLTSKQTLTGYYFDVPNPNDEANLYVLPESLYSEQDAEVDHKLNNMKIKVLQRKNNTSLLYAEVGEDFVDLLFGLLSIPLGSILKTYVPSGGSHKGEGYVKPGVQKFMVTDDLHILPLSLTSTLQVVSESKVQAKDLVEKEFTLTKILVMELIRAVLVTRNTLSSVLLPPKKKKRLHLQSSLY >ORGLA04G0202600.1 pep chromosome:AGI1.1:4:21441453:21443432:-1 gene:ORGLA04G0202600 transcript:ORGLA04G0202600.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKANTFSTVKVSNVSLKASLRDVKEFFSFSGDIVHVEMQSSDELSQVAYITFKDNQGSETAMLLTGATIVDMAVIVTPATDYELPASVLAALEPKDSKPSALQKAEDIVGTMLAKGFILGRDALDRAKALDEKHQLTSTATARVSSFDKKMGLSEKISVGTSAVNDKVKEMDQKYQVSEKTRSALAAAEQSVSTAGSAIMKNRYVLTGAAWVTGAFNKVANAANDVGTKAKEKIASEQEHKTVELESAEPNSSEGHGTQKDVDGEFAKIQVSESPEDIPISTTATVPITDEDSSQASPPAASPKKPEPAQGLIL >ORGLA04G0202500.1 pep chromosome:AGI1.1:4:21439220:21439615:1 gene:ORGLA04G0202500 transcript:ORGLA04G0202500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLYQEEEDGDDLEAKPEKAPAARPSAKERSVHLIPLLTALCFVILFLFSHDPSASEMSSFGGKVGNRKHKLF >ORGLA04G0202400.1 pep chromosome:AGI1.1:4:21423094:21424423:-1 gene:ORGLA04G0202400 transcript:ORGLA04G0202400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCAKVGLNKGSWTPEEDMRLVAYIQKYGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEEETIIKLHGLLGNKWSKIASCLPGRTDNEIKNVWNTHLKKRVSPEQKKGGGKSKKKTTCTDVLVPSPSPSSSTTTTTNCSSGDSAGEQSNTSKEEEEETDKIEIPMLELDPCCFDFDMLVDPVVPETYCPAVSASASAPTSPCSSTSPSCARAGVDPLLDLPEIVDLGPELWSIMDGGAGDGCTEAPPPAWSNAAAAAAANATVATTTSLEEEEGKEWWLEDLEKELGLWGPTDDYHCHPGPQGQPGRAGPPPSAVVEDPVSCYFQAGPTAAATWQGHEPSAVITSNPMDYYV >ORGLA04G0202300.1 pep chromosome:AGI1.1:4:21416255:21418612:-1 gene:ORGLA04G0202300 transcript:ORGLA04G0202300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAIYSDDLAVFGKKGGLRYFEIYIHYDLNDGAEVCAKLSSANEKNPKDGRCCVGIEGHGDEPEDFSYTCNFEYLPPLVLTCLLPLSYPSKEPPYFTVTVKWMDGPNVSQLCKMLDTIWAELPGQEVVYRWVESLRNSSRSYLWFDGKITLGPDTPMQKGDNRAISRSLSLESVIPSMLSYSSKKRYQAFLEDLHMCMICLSQSKGSNFIRLPCQHLFCVKCLGTLCRMHVKEGSVFQLVCPDTKCNASIPPYVLKRLLTEDEFERWDRLTLEKALDSMSDVVYCPRCVISCLEDEDNNAQCPKCSFFFCSFYKEPCHPRRQCLTPEEKLQRWQASGRMSEREVAQEILNIKALYNDVRLCPKCRMAISKTAGCNKMVCGNCGQFFCFRCGKAIKGYDHFRECKLFAPRDISAWERQMEEQYGNHVRLSLRPVGGTIRCPKCRERNFKDDEKYIFCWACRANYCTMCRREVQDKRGHFGSPECVGLEDF >ORGLA04G0202200.1 pep chromosome:AGI1.1:4:21414427:21414965:-1 gene:ORGLA04G0202200 transcript:ORGLA04G0202200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPALAILALSVAGLAVAAAAAPPTRRGQEVHLFEATVRVADDGVEDPDEYNYRLLATVLGSVEAAQSVTYETYPGTFSAFLTNNQARRLSKVPGVLEVRQRDDPVPTDGQ >ORGLA04G0202100.1 pep chromosome:AGI1.1:4:21412144:21414079:1 gene:ORGLA04G0202100 transcript:ORGLA04G0202100.1 gene_biotype:protein_coding transcript_biotype:protein_coding HYFCRRCMETYSRMHVTEGTVLKLLCPNDKCGGVIPPSLLKRLLGDTDFERWERLILQKTLDSMSDLAYCPRCGAACLEDEENNAQCPKCFFSFCARCRDRRHIGEKCMTIEEKLNSLQDRTVVPFLSKDSFASKMNLSNEISSIKEVLCSSVRCPHCGTAISRVSGCNHMLCSNCRQSFCYGCGKAENHGHSSEPCRYQENLATKKNPTVLIEEVKKELEGELSRQHPCPNCRQPNPKMGNNNHMFCWACQVHYCAQCRRMVRKSSEHYGPRGCKQHSVDPEIPLRFKANKNDDSGS >ORGLA04G0202000.1 pep chromosome:AGI1.1:4:21405521:21408839:1 gene:ORGLA04G0202000 transcript:ORGLA04G0202000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRHDDESHGGAAATALLHEMLLRARRDGEEPELSDEQLRSNDQLQEDEMLALEAIYGDNISILSAKDGLRCFQVHVHCEIPDGISVSAELSRDDNRDQNSRFFDTFSVQHLAPISFTCLMPPSYPSHHAPYFTLSSQWLDTVKVSSLCLMLDTIWSQQLGLEVVYGWVQWLQSSALSHLGFNDGIVIQQPDSMMGPVDVRVVADIVSVESAVQWLISYNEEQCHESFLIGLHDCMICFTERAGIDFNKLPCGHYFCQRCMETYSRMHVAEGTVLKLLCPNDKWGGIIPPSLLKRMLGDKDFERWERLTLQKTLDSMSDVAYCPRCVTACLEDEENNAQCSKCFFSFCTRCRYLRHIGERCISPEEKLLSLQDRNKVRQLSKGNFARSINLANEISGIKEVLRSSILCPHCGTAISRVSGCDHMLCSNCRQPFCYACGKPLHRGHSSAELIRRIRQEKH >ORGLA04G0201900.1 pep chromosome:AGI1.1:4:21400149:21404294:1 gene:ORGLA04G0201900 transcript:ORGLA04G0201900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSSRGKGGPRPGPKPASQNPNPVSEIPHDGGGGEAVDAAAEAVGRLDVSACPTAEDAPVELPPSSQPPLEASSSGRDELGGSLEEEAVRKLQELVGFGGEEVELTEEEAAANDQRQEDLIQCKFYAQIFALEAIFGDNVVIFNKKGGQRSFQVHVYIEIPDGIDVSARLGYGSGSLKYGAGHDTDASDNLVYKFRVEHLPPILLTCLLPSPYPSHQPPLFTISAEWMNKMMVSSLCQMLDTVWEEQKGVEVTYQWAQWLQSSSLSHLGFASEIVLSSDSAYDHECGDKRALSHNAAPDVIIPRMMRWNDDKCHEAFLRAIHDCMICFSEFPGTDFVKLPCHHFFCLKCMQTYCKMHVKEGTVVKLLCPDTKCGVVVPPNILKRLLGEEEFERWEGLLLRRTLDSMSDVVYCPRCETACLEDGDNEAVCSSCLFSFCTLCRDRRHVGDKCMSPEEKLLILEKRQEAGKLQGDQHKFLEELRSIKAIMKDSKMCPRCKMAIHKIEGCNKMSCSNCGQYFCYQCNSAIEGYEHFRGSCKLFPQEELDRWNMQMNPRVQRQNVAQVQAEMFRQFAHPCPTCRQPCPKMGNNNHVFCWACQKHFCALCRKTVHKTSQHFGPKGCKQHTADP >ORGLA04G0201800.1 pep chromosome:AGI1.1:4:21397574:21398389:1 gene:ORGLA04G0201800 transcript:ORGLA04G0201800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSVCHELETGLPAAEVWEVYGGFLVAQLLPQLVPEVFSKVELVEGDGGVGSVLHVVFAPGAHRGEFMKEKFIKIDNENYIKEAEVIEGGFLDQGFKKYVVRIEIIGKTDNSSVLRSTIEFEAEDASKASSVSTGGLAAIAEAVTKYMREQRSSAEPEQVPRQTSDEETF >ORGLA04G0201700.1 pep chromosome:AGI1.1:4:21394793:21395686:1 gene:ORGLA04G0201700 transcript:ORGLA04G0201700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSQSHELETDVPASELWKIYGTLRAAELLPELLPHILAKVELVTGDGGVGTIVRLTFPPGIPGLQSYKEKFIKVDNKNYVKEAEAVEGDILKLGFLSYMIRFEIIRKGANTSVIRSTIEYEIGDEHPELQAMVSTASLAATAEKFAEYIKTQKVAQANT >ORGLA04G0201600.1 pep chromosome:AGI1.1:4:21391302:21392033:-1 gene:ORGLA04G0201600 transcript:ORGLA04G0201600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHDSMGHEGGVREIEIWPVYLLGSHEHGAAPPQSHADWAENSWAGRPKRRRNLNKIIGSHAMHYTNGSPRSDVTELTLGRFIFIISDYQS >ORGLA04G0201500.1 pep chromosome:AGI1.1:4:21389250:21390442:-1 gene:ORGLA04G0201500 transcript:ORGLA04G0201500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPCCCHDAGVKKGPWTEEEDRALVEHIKKQGGHVGSWRGLPRAAGLNRCGKSCRLRWTNYLRPDIRRGNFSDDEERLIIRLHAALGNKWSTIATHLDGRTDNEIKNYWNTHIKKKLLRMGIDPVTHQRLPPDLLADGGGLGAASPLLSPPGPAAAAALQPLLSAVASLGSLDTALRQFQLLQHLLNSITSSSSDVAATAGLMATNLAATNTMVNSSSNVASFQEQMNALAHANYQPGYLRDVVPSFPGQDMAPQLNSTSSTPSTAPVLRSSAEPADQCCNDAALVPGTYPREVAASVDHWKVQDFPSLEPLELPNLPTLESDLDPFWKEILESSFRS >ORGLA04G0201400.1 pep chromosome:AGI1.1:4:21383841:21384348:-1 gene:ORGLA04G0201400 transcript:ORGLA04G0201400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHRHTACCALRSTPAAMLWGISYVLVKQLPGAPSPPVSAWPMPRRQGRCRQAPLPLKKPTTTVMPLPQLDGHDLAPTSWSSEVSKALEDIKPTLNSNTTENAKSPHGRRWRRGAEVVSAAGGSPVVVDGGEEADAMAVGARG >ORGLA04G0201300.1 pep chromosome:AGI1.1:4:21375328:21381389:-1 gene:ORGLA04G0201300 transcript:ORGLA04G0201300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G16750) TAIR;Acc:AT5G16750] MASSQGLKKNYRCDRSLQQFYTGGPFAVGSSPGGGEGEVEGGEAEAEAFLACACGGEVRLVSAADASAIGEPIEGENEAVTALALSPDSRLLFTAGHSRLIRVWDLASRTCTRSWKGHDGPIRAMACHASGGLLATAGADKKVCVWDVDGGFCTHFFRGHAGVVTTVMFHKDPKRLLLFSGSEDATVRVWNLESKKCVAVLKEHFSAVTSLALSEDGQTLLSAGRDKIVNVWDVRKYNSKKTIPAFEMIEDVSFIGPRSNLLSCLGEPANIKRKTDGYFLTVGERGVVRIWCLESAQCIYEQQSSDVTVNTENEESRRGFTSAVMLSDDQGLLCATADQQFLFYCPTRTDGGDFQLNLYKRLVGYNDEILDLKFVGEDEQYLAVATNLEQVRVYDVASMSCSYVLSGHTEIVVCIDTCISSSGKTLVVTGSKDSTVRLWDMERRSCIGIGKGHLGAIGSVAFSKKSKNFFVSGSSDRTIKIWSWDDTLDDVGSEVPLKAKAVVAAHDKDINSLSVSPNDGLVCSGSEDRTACIWKLPNLVSSVVLKGHKRGIWSVEFSPVEQCVITSSGDRTVKIWAVADGSCLKTFEGHTSSVLRASFLSHGTQFVSCGSDGLVKLWTIKTNECIATYDKHDGKVWALAVGKKTEMLATGGTDAVLNLWHDCTMEDKQEDFRKKEEELLRGQELENAVSDYDYAKAIQLAFELRRPHRLLELFTQLCRESDLEDPIEKALIGLPKEGLRVLLEYIREWNTKPKLCHVAQFVLFRVLRSLPPTDILEIKGISELLEGLIPYSQRHFSRVDRLVRSTFLLDYTLTRMSVVDPDIDEGTTRDDANGSSVENCEIAQAKPDALVAEENLQKSIKKRKSSKSSKKGGKKVKIASTGGSKDVPIEA >ORGLA04G0201200.1 pep chromosome:AGI1.1:4:21368607:21370636:-1 gene:ORGLA04G0201200 transcript:ORGLA04G0201200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREERFPVWEAALGAGVAAAFATGLVGVYLSMPDSDYSFLKLPRNLEELQILTGHLENYTSDYTIQVLVGYCSVYIFMQTFMIPGTIFMSLLAGSLFGQLRGVALVVFAASAGASSCFFLSKLIGKPLVFSLWPDKLMFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHIFLLATLIGLIPASYVTVRAGIALGELTSLSDLYDTQSIALLFLIGIVSVTPTLLGKDEAQEKTTEIAVTAS >ORGLA04G0201100.1 pep chromosome:AGI1.1:4:21366274:21366816:-1 gene:ORGLA04G0201100 transcript:ORGLA04G0201100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMLGISAGVTIAAYGEARFDAFGVMLQLAAVAAEATRLVLIHILLTSKGMSLNPITSLARSHIAPCCLVFLTPPWYFAELRMPPPLHYTPPAARLPPLRSAARSLPTPLRSAARSLARRCCSAPLGRSLRAHPPPRVVFIDLLRPVLEQAAPPPRPAADDVVAALSPIAGADALLSTR >ORGLA04G0201000.1 pep chromosome:AGI1.1:4:21362632:21365567:-1 gene:ORGLA04G0201000 transcript:ORGLA04G0201000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLRRAAVAAGAASASAASLRQFQCAYHRSNERLLPCRDQEVSYGLNWAIAGRGVVVKDKVFYNLEKSELQKGGAAYTECLSGIPLHVRGNVISGIPDVSRAQFAKLLKLVTFHLSSISSLYVQDGAVGSSVECDAKVRVISDNPSAVLLLSNILWKTPDRSISHDTCPLTIYVASSISANVRNSLGSGSQYANGFAAADIERSSLILCGKAFADSAMLKGALSALTAPVLSARGGLPFPGCTDALPALSKLSPGQAAYHFLAGYCDGKFIPAYSKDPSPLDPIALANSLFSHLKEDNTPTYLINAKSSGKYIDGKEFVRLIEVLLSNNLPDRKSEDIRVGELKGKYKSFLSRKFGKYLPKEFSF >ORGLA04G0200900.1 pep chromosome:AGI1.1:4:21358286:21360832:1 gene:ORGLA04G0200900 transcript:ORGLA04G0200900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSKTKSGHAEAKSNGKAEAKGTPPTPKSAKIARKPAVPKAPPRPSADKSQSPGSADRKKSASRITTPPEKQGKATKPAQESVAAKPSPQEPVAVKPSSQEQEQQALLAAVQEELVKTKEELVEKEKERGKVLDELERAKRAADEANAKLQEALAAQSKAAESAAEESGDAEAEQASASMEDELRTKLASMQSQQEADMAALRSTVEQLEQARYELADAIDAKNAALTQADDAMRASDENAEKIKLLNAEVAHLKGLLDSEVGSSSKGAVEHIRKLEEENSGLKLELEKANVAEQRAVELEGVVEQLEVEIADVKKARARSEELLGKWKTKALELEVRLEEADQSNILKGESLESAMKELDAKMTLLQEKESEIEALQDKVRSLEDEVAKQKEDFHTADKEADELRLEIEDLRLKLEAAEEDLNNDKIASSEMETLIEQKNMLAKELEASKAEVEKIKKAMEGQASALHEMSAQLRVAQEKYLDKQEEIDRARAQVEELNVSLQNTKESYEVMLDEANYEKVCLKKSVERMEAETKSASEEWQSKELSFVNSIKKSEEEIINARAQMDKTLEAVKGKESENAELQEKLKHLEAQLMEANKTCEEAKAETFQWKEKLLDKENELQNIKQENDDLQAKELIASEKIKELSVLANAKDGATNGSHKEESNVKGDSEDDEPVMVVAKMWENSKVTDDASSKEKGNDGESEVDLESNTGDSIVDGNGLHSTTASNGNASPPKQQLQKKKPLLKKFGGLLKKKTQP >ORGLA04G0200800.1 pep chromosome:AGI1.1:4:21351488:21353026:-1 gene:ORGLA04G0200800 transcript:ORGLA04G0200800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDDAEHETSPASAXASASSAPATRKRKRPGATATDGAPAQSADDAGGMCDDVLRNIFSHLPARAAVACTALSKHHRRLVTGAEFRRLHLLLGAPLPRPHVAYFATAPITRRGDDRVVSKFHGFHVAGAGMGIGAHAPMRALTDGRYENKSYVNTCNGVILLAMKKKTPSRSFILWNPAIADDEKKLTIPEGLQDNGEYYVAGLGYGRRSKTYKLLLCRLKCLSSKGPGGCRIFYRCAELVVYTLGAGAGAGDQPRTVLSGLDTKIKRQSLYLDGTIYLLDAEDSIVFAFDVDDETVTAIDLPGERSITKHASSKLMEMSGRVCVVTKDGTHTFSVWLLAAEDDHRWQRRCAIGESNIYYRSITAAWDHGDAQLLLVDGSPYLYDITDERMTKTEMPIDVKPEEAAYTLCWGYKPTLVSPGSIVGDGDGDEEEGRRRRGRDRTADIVAAVRPVRERDVRRGRKATLDVTCFMEMLVRIMRELPGGMQDVIDMPLLNASLDVRYRYSDDED >ORGLA04G0200700.1 pep chromosome:AGI1.1:4:21338424:21338825:-1 gene:ORGLA04G0200700 transcript:ORGLA04G0200700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAALSALHGDAELGLGNGDANAAAEMVEAGQDVAELRRALFAGGVGKAAAALYLALFRPPAGLFLRSNPLFYSYYVVLVAVVLFGVAEAWVGLWASRDGRRRAAGVTMLWLSVIPALFLVGTGGSAILELK >ORGLA04G0200600.1 pep chromosome:AGI1.1:4:21333828:21334367:1 gene:ORGLA04G0200600 transcript:ORGLA04G0200600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEWRCRKHPAPPCGGVCPYCLRDRLLRLCPECAHARPCPCAASSSSPSSSSSSAASGSAAVVGRVYSLIERERRMGLRSRSVAAGGGGGGRGIVVRDERPKSRAFGWVSFRKATSDRVVEVDDGAALARSSSVSATAVETRAPPKSRGWGRFIPGSIKALRHRKSRAAGDCREGVR >ORGLA04G0200500.1 pep chromosome:AGI1.1:4:21315339:21316658:-1 gene:ORGLA04G0200500 transcript:ORGLA04G0200500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDESPLRINTTRGGAMGGGGECDGAENQRWPPWLKPLLATSFFGQCKLHADSHKSECNMYCLDCMNGALCSQCLSYHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDTFRFCSLGCKIVGTSGDYRGRKRHAGGGIKKTKKLHKGAAAVPSDSDDSSTTTSGGSDKSSVVQSFTPSTPPATANSYRTGKRRKGVPHRSPFGSLMVEF >ORGLA04G0200400.1 pep chromosome:AGI1.1:4:21309139:21311228:-1 gene:ORGLA04G0200400 transcript:ORGLA04G0200400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G35410) TAIR;Acc:AT2G35410] MAALSLARSPPHHHHAAAALALPAPRIPRLAPLLRTPRRPHPLIGIRRLPAAAVAASSPPEAQAVEDGEEEEEEGGEKRRKLYVANIPWSFPAPEIEKLFAQCGAVKDVEVIKGKDGKKKGFAFVTMATAEEAAAAVEKLNSLDVMGRTIRVEFSKSFRKPAPPPPPGTILERHKLYVSNLPWKARAPNMKEFFSKFNPLSAKVVFDSPSGKSAGYGFVSFGTKEEAEAALTELDGKELMGRPVRLRWRQSVDDLDDSVKADGEIEDVNVDGEAEGVTDNGTKDHGEDKQE >ORGLA04G0200300.1 pep chromosome:AGI1.1:4:21303480:21304881:-1 gene:ORGLA04G0200300 transcript:ORGLA04G0200300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPFDVAGTSADDSSGPVFSPLVIAIIGVLASAFLLVSYYTFISKYCGTVSFLRGRVFGSSSGGAAYGGGAGSGGRHGHGQSRSHESWNVSPPSGLDETLINKITVCKYRRGDGFVHTTDCSVCLGEFSDGESLRLLPRCSHAFHQQCIDTWLKSHSNCPLCRANITFVTVGLASPEPEGCAPGETGGDNTHEVVVVMDGLENLCEEQQEAVSRASTADDDHDAKDVAEGMEEANGAAEIREEGSPPKRGASSSDLHRDNRMCIADVLQESMEDELTAARESGLLAGGAGTSRRCHGENSKGRGGRSRRALQLQDAMEALPGKRLPSGGRSCFSSKSGRGKDSDHPI >ORGLA04G0200200.1 pep chromosome:AGI1.1:4:21298056:21301312:1 gene:ORGLA04G0200200 transcript:ORGLA04G0200200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTLCSAGGDVLIYDTFNASAAAAAAVVPPASFLFGNNNAGGAAGTETRVQVAAGAVPEVDQLLKQAQQPGRRKRRRRARSCKSREDAESQRMTHIAVERNRRRQMNEYLAVLRSLMPESYVHRGDQASIVGGAIDFVKELEQLLQSLEAQKRTLLMQPPPPPQQQREPKCDAADSTSAADQETPAAAAADGPPFARFFTYPQYVWCHNPAQYGGGGGGAAAENRAGVADIEVSLVETHASIRVMAARRPGQLLKMVAGLQALRLTVLHLNVTALGSLALYSISVKRFHGGKAIASLGPLGVFFLCALYLWYSTTVVEEGCGMATVDDIAAAVHHVLCIIDAEAASQMLLAGEASG >ORGLA04G0200100.1 pep chromosome:AGI1.1:4:21285310:21285891:1 gene:ORGLA04G0200100 transcript:ORGLA04G0200100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSTEEVKHLTLHHLLKRQHRLKPAAVVWRWPTSVVPGGGAGRPPVPDEQLAADDVDGLGGTWPPRSYTCAFCRREFRSAQALGGHMNVHRRDRAKMRGHGLHGAAGQQLGAAEAPAAAAAARTEYAVALYPILNSGAGGAAVRIPRGDVLLSAPVALAAARRGHDHRCIDVGDDENDKKIDLELRLGWP >ORGLA04G0200000.1 pep chromosome:AGI1.1:4:21280130:21282040:1 gene:ORGLA04G0200000 transcript:ORGLA04G0200000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGSYDDVDYGDLFSIPNPPAPHLLNFPLQFFPSNGFISSADDSHRSPAGMFGSTPSPTSTTTELENSEDLSESADDAVLAYINQFLLEDEEDESCPGTITSVEDSALLAVEKPFVDILTASQEACQENSWIDSSCDFTGNGGLLDTFTTTHAACQPAPCEFEKEKGECAVHKGRKNPHDDCLLFEEESRRSKQLAVSEEETVREMFDKVLLCNGECELRAPLPAEARNCGVYVKGSGNKRGRKKGKSGASAEDDAVDLTTLLIHCAQAAAIDDHRNSNELLKQIRQRSSAYGDAGQRLAHCFANALEARLAGTGSNIYRSLAAKRTSVYDILNAFKLYVTACPFKKISNFFSIEAILNASKGMTRLHIVDYGIQYGFQWPIFFQRISKRPGGPPSVRITGVDLPQPGFRPAQLIEATGRRLHDYARMFNVPFEYHAIAAKWDTIRVEDLKIDKDKDELLVVNCLFRMRNMMDEMVTDDSPRMQVLKTIRKMNPNLFIHGVVNGTYNAPFFVTRFKEALFYYSSLFDMLETTASRVDENRLLIERDLFGREALNVVACEGTERVERPETYKQWQVRNIRAGFKQLPLNQETVKKARYKVKKSYHRDFLVDEENKWMLQGWKGRIIFALSAWEPN >ORGLA04G0199900.1 pep chromosome:AGI1.1:4:21259753:21260670:-1 gene:ORGLA04G0199900 transcript:ORGLA04G0199900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:I1PP80] MAGLDLGTAATRYVHQLHHLHPDLQLQHSYAKQHEPSDDDPNGSGGGGNSNGGPYGDHDGGSSSSGPAADGAGGGPGDVVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGCDVFECVSTYARRRQRGVCVLSGSGVVTNVTLRQPSAPAGAVVSLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGALYAAGPVIVIAASFANVAYERLPLEEEEAPPPQAGLQMQQPGGGADAGGMGGAFPPDPSAAGLPFFNLPLNNMPGGGGSQLPPGADGHGWAGARPPF >ORGLA04G0199800.1 pep chromosome:AGI1.1:4:21256021:21257083:1 gene:ORGLA04G0199800 transcript:ORGLA04G0199800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETKAEQLVTAVYMVHVHCKQCANTIVTQFTQFPGVREVKLDGGKVTVKGIGFDAEKLRKKVEKGCRRRVELVPPPKDIVTEVKSKKEELKIITVRVPLHCAECAARVKEVLLEHKSIYAAKIDLGKNLCVVEGLIEEKKLFEYIYHRTRKYGFIDKVEKKEIIVEEKVEVKKKEAEKKKEGEKKEEVKVKEKVKEVVAPYFIPCTHPHFIDYSHPELHGFKDTVFLHCSHSNQFLSNENPEACSVM >ORGLA04G0199700.1 pep chromosome:AGI1.1:4:21251432:21251921:-1 gene:ORGLA04G0199700 transcript:ORGLA04G0199700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGSTSEKQAATGSKVPAADRGKEKEEIEVMLEGLDLRADEEEDVELEEDVELEEDLEELEHGSKSMI >ORGLA04G0199600.1 pep chromosome:AGI1.1:4:21242573:21245963:-1 gene:ORGLA04G0199600 transcript:ORGLA04G0199600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREQQQQPRVSSPPPAGGGVMMPQHPYGAAPAMPPGSANVMHGVPLSFNPMASPTASSPMKPADMSGTMYRTDPVVQGMQQQPGSGGGGTAVGGGELVKKKRGRPRKYGPDGNIGLGLKPAAAAGTEAGGPSGGAGSNSNPDGKRRGRPPGSGKKKQLDALGSSGTSFTPHIITVKPNEDVASKIMAFSQQGPRTTCIISANGALCTATLRQPATSGGIVTYEGHFDILSLSGSFLLAEDGDTRSRTGGLSVALAGSDGRIVGGCVAGMLMAATPVQVVVGSFIAEGKKGKEEHLKREPTSAPTPNHAAGFGAATAASPPSDGSSSDHSDDPGSPMGPNGSTFNNSGHPMHSSYAPVSWSLSGNQGRYDPDLKMMTD >ORGLA04G0199500.1 pep chromosome:AGI1.1:4:21240237:21240605:1 gene:ORGLA04G0199500 transcript:ORGLA04G0199500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAKVKVQDMVSGAKEKVKEGTAKAHGKAGQATAATHGEKEMAKQEEKAGKAQAKADEHQERAEHRANATTGRHGTRVPLTAGGNRHHHAPVGGPAVDPAYPAAGTGTGTGTYAASDKSYI >ORGLA04G0199400.1 pep chromosome:AGI1.1:4:21237303:21238568:1 gene:ORGLA04G0199400 transcript:ORGLA04G0199400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1PP75] MAMEPPPLFLCPISMELMEDPVTVATGVTYDRRSIERWFFKYGKTTCPATMQRLASFDLTPNHTLKRVISSWLDRASSSSSPSTAGSPATTSSSSSSNAMERERLPSVLAGIEETPFKATALKKLKSCMAGDEAAREDFVACGGIQVLGRVMTQALAESSAGGDFSAFRTCEEAAAVLATLPLSDDASVELLLKPECMRPVSVLVQRGSAEARLHAMSMISKISRASVRDWTAEVDVDDMVKALLELLSDGASAKLSSRALDVLLDVTARYSRGARRAKAVELGAVRVLAELLLDADRHVAERSLLLLKRMCKCPEGRLAFAEHGLAVAAVARAVLRVSGLATRLAVNVLWLVACAPAPAERVLEDMVVGGAVAKLLALMQVESSPSTKDKAVKMLRAHGAFWRQYPCFPTDLKDYLKSLN >ORGLA04G0199300.1 pep chromosome:AGI1.1:4:21231180:21233819:1 gene:ORGLA04G0199300 transcript:ORGLA04G0199300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78800) TAIR;Acc:AT1G78800] MAAAAGGVAGEPSGTRTKQLKVAVIHPDLGIGGAERLIVDAACQLAAHGHDVHVFTSHHDKNRCFEETVSGPFEVKVYGDFLPRHIFYRFHAICAYLRCIFVAMCVLLWWPSFDIILVDQVSVVIPLLKLKATSKIVFYCHFPDMLLAQHTTMLRRLYRKPIDMIEETTTGMADLILVNSRFTATTFARTFCSLHARGVEPAVLYPAVSVEQFQEPHAYKLNFLSINRFERKKNLGLAISAFSLLRSVASMLPGDARQEVTLTVAGGYDKRLRENVEYLEELKRLAASEGVSEHVKFVTSCSTSERNELLSNCLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVETVINDETGFLCEPSAPEFSKAMLKLVNDHDLAVKMGKQARGHVVQKFSTKTFGDLLNSYVLNVYHQRIE >ORGLA04G0199200.1 pep chromosome:AGI1.1:4:21229304:21229555:1 gene:ORGLA04G0199200 transcript:ORGLA04G0199200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASPREFTQEAARQSLIAISRSVPAAGEAVNIKSPSGAMVNGHHHDDDGAEKYRSKLISISNLSPDAQPTPCSPKDTAAA >ORGLA04G0199100.1 pep chromosome:AGI1.1:4:21215871:21217794:-1 gene:ORGLA04G0199100 transcript:ORGLA04G0199100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQVARDMHDPRFITVLGCLGGARGDDVGSVERIKKILIATSQHKVHAYDPATGSIETVVTVPEDFTGGRNVGLGFDRLSQEHVVVEMSRFKGDLQLCMIKTSCVDYWSCAGKPPRPVTDMPPAHVDGTLYWISEPQPTARDRVIVAFDISSREFSVLPCQPCCSERDGGDYPLLVELEGSLSLVVANAEENNLQIWTMQEADGTWRKSYSILLDERYPDFSLKTGVVVVPLDAVADSNGGGRILLDTGRALGYYDLETRSIDTLYSLDQLKLPQCQMAFPMLYGDSLVPIQDDEPPDYVAPTLRDDDGGRRCYYQPQHVEISGGEQPAAASCVFRPCEAAGGGCRGMGCVYAGSCCRRVPCRECSLPCVEHTDGFHTAILPFLPRRSATATEMAEDLLLGLPLEHPCVPGPEYCYYYSEWDEEEEGVGRHVFVSLRDLARTRQPRRLIECGYRMDMIFHILVLLKNLFRK >ORGLA04G0199000.1 pep chromosome:AGI1.1:4:21213707:21215218:1 gene:ORGLA04G0199000 transcript:ORGLA04G0199000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17668) TAIR;Acc:AT3G17668] MASTPAAGPNGVASPAAQAPSSSLPGFHEAPPSVLISRPSWIVRSESNIRRERPKRPDPPCTICRGTGKIDCRNCFGRGRTNHADLVMLPKGEWPQWCRICGGSGLDYCHRCHGTGEFREPMGFHFATIHRK >ORGLA04G0198900.1 pep chromosome:AGI1.1:4:21202586:21204482:-1 gene:ORGLA04G0198900 transcript:ORGLA04G0198900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIRAFVLTSQACWELMNAFNWLFMALGALNLMXSPNIRLLLLYYXRKTIYSQLVISKSMIHHYLLLMXKHASTWIYGCSWLMNNAKEVLTSRQFSLFQDWHLLETSLSQISLLSNXTILFXFPMGSRISGIEFLPNLKMGTWIYQFEGFPRIGKREVYLGKHKLYXXSHCDGKFXCPLLGSLXYEIXIPXCCCXCGHELKFANHVSYFRMCLEERMSRPFQDDQDDCKDGKPQDWAHEFLHRIPAMHRKIWSPPPKGWIKFNFHGIGGSKDRSAGMGGVFHNEDGVLSFFIGSLGNVDQTVASIGAIELGLKVMLEYHEPVKKLIVEGDDLTVIRWFNRVSHPPARAHDSFLRSYLHLTSMSLPCEGAAVPAEISKDPDHENGSSSHDASPTKPPNDGNIENDASPAKQFEREYIAWRVDEEANQVAIGLARLGSMLPDHQNRVKVHSSTQCDCEIQREMRNGRLPDITVGLPVEEPS >ORGLA04G0198800.1 pep chromosome:AGI1.1:4:21200694:21201269:-1 gene:ORGLA04G0198800 transcript:ORGLA04G0198800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVMGDHARLLSFLRLATELAVTSPLFAKLSACLSSDAACLDGLARVRGRGRGCERLRVVAYRLGGMRYSWAPRFRLAVLLLLRDKFPELVGAVEVVDPTVAPVERRAMEELGCVVTASPALCLVVEQPTLIFMPYADRVFFENLLTLNWTPDQLGKIVVLGHSFSAMVKMLELSISKQEKCGVTEQREK >ORGLA04G0198700.1 pep chromosome:AGI1.1:4:21197465:21199146:-1 gene:ORGLA04G0198700 transcript:ORGLA04G0198700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIRWKSHXVKFHCXAIKXDHSSFLWVQEXWEKYFCCTXKQELWIYWYQGFLSFGKRQVSLGKYXLYXXSNCFGKLXXGILGSLXTEGXVSXCCRXCGHELKCANHVSYFHMHLKERMLRPFKQDQGDCKDDEPQFWGQEFRHRIPAIHRNTWSPPPKGWIKLNFHGTGCSKNRSAGMGGVFHNDEGALSYFIGSLGNVDQTVASIQALEHGLEIMLEHHEPVKKLIVEGDDLTVICWCNKISCPPARAHDSFLHSYWYMDLMPCEGAGVLAGSSKETNNETDSSSQDASPVKPLNDCSSENDKDEYDGSLQDASPSEPPNDSNCESGKYENSDSLQDASPAELSEVCYNGNGSLQDASPAELSEVSNNGNGSLQDASSSELSEVCNNGNGQDEDGCSSSSEFVIPPGWAQREYIAWRVEEEANQTAIGLARLGAALPDHGIMVHLSTKCDCEHGREMKKGRPDITW >ORGLA04G0198600.1 pep chromosome:AGI1.1:4:21195572:21196589:1 gene:ORGLA04G0198600 transcript:ORGLA04G0198600.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPVMFDGTIRGNLDPMNEYPDSRIWEDRIIQEIIRQEFKDCTVLAIAHRMNTVIDSDLILVLGEGSILEYDAPTKLLQREDSTFSKLTKEYSQQSQHFKSSTAMHRMGSY >ORGLA04G0198500.1 pep chromosome:AGI1.1:4:21183280:21184986:1 gene:ORGLA04G0198500 transcript:ORGLA04G0198500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKGKFDELLQQNIGFEAIVGAHSQALESVINAESSSRVTSTENSKPADTDDEFEAENETDDQIQGITKQESAHDVSQDINEKGRLTQDEEREKGGIGKKVYWAYLRAVYGGALVPVTIAAQSFFQIFQVASNYWMAWASPPTSATRPTVGLGLMFAVYIALSIGSALCVFARSMLVSLIGLLTSEKFFKNMLHCIMRAPMSFFDSTPTGRILNRASNDQSVLDLEIANKLGWCVFSVIQILGTIGVMSQVAWPVFAIFVPVTVVCFMCQRYYIPTARELARLSQIQRAPILHHFAESLTGASSIRAYGQKDRFRKSNLGLVDNHSRPWFHNISSMEWLSFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNSQLASIIWNICNTENKMISVERILQYSRIPSEAPLVVDYRRPPNNWPLDGNINIRCLEVSAMVL >ORGLA04G0198400.1 pep chromosome:AGI1.1:4:21178978:21180192:-1 gene:ORGLA04G0198400 transcript:ORGLA04G0198400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQDEEEEEAEASPREIPFMTSAAAAATASSSSPTSVSPSATASAAASTSASGSPFRSSDGAGASGSGGGGGGEDVEVIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSAANEKGLLLSFEDRTGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFCRGAAEATRDRLFIDWKRRADVRDPHRFQRLPLPMTSPYGPWGGGAGAFFMPPAPPATLYEHHRFRQGFDFRNINPAVPARQLVFFGSPGTGIHQHPPLPPPPPPPPPPHQLHITVHHPSPVVTAGLPMVVDSVPHVNNPAAASKRVRLFGVNLDNPHPDGGQSSSGHDAGHDANALSLRMPGWQRPAPLRSLELPPHMPAGAAGAESSAASSPSSSSSSKREAHSSLDLDL >ORGLA04G0198300.1 pep chromosome:AGI1.1:4:21152320:21153704:-1 gene:ORGLA04G0198300 transcript:ORGLA04G0198300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIAKPLLSDLVAQSGQVPSSHIRPVGDRPDLDNVDHESGAGIPVIDLKQLDGPDRRKVVEAIGSACETDGFFMVKNHGIPEEVVEGMLRVAREFFHMPESERLKCYSDDPKKAIRLSTSFNVRTEKVSNWRDFLRLHCYPLESFIDQWPSNPPSFRQVVGTYSREARALALRLLEAISESLGLERGHMVSAMGRQAQHMAVNYYPPCPQPELTYGLPGHKDPNAITLLLQDGVSGLQVQRNGRWVAVNPVPDALVINIGDQIQALSNDRYKSVLHRVIVNSESERISVPTFYCPSPDAVIAPAGALVDGALHPLAYRPFTYQAYYDEFWNMGLQSASCLDRFRPNDQAV >ORGLA04G0198200.1 pep chromosome:AGI1.1:4:21144428:21150768:1 gene:ORGLA04G0198200 transcript:ORGLA04G0198200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQLLSTVEHRETLPEGYARPESDRPRLAEVATDSNIPLIDLASPDKPRVIAEIAQACRTYGFFQVTNHGIAEELLEKVMAVALEFFRLPPEEKEKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFVPEWPSNPAQFKEIMSTYCREVRQLGLRLLGAISVSLGLEEDYIEKVLGEQEQHMAVNYYPRCPEPDLTYGLPKHTDPNALTILLPDPHVAGLQVLRDGDQWIVVNPRPNALVVNLGDQIQALSNGAYKSVWHRAVVNAVQERMSVASFMCPCNSTVISPARKLVADGDAPVYRSFTYDEYYKKFWSRNLDQEHCLELFKGQ >ORGLA04G0198100.1 pep chromosome:AGI1.1:4:21136787:21137308:-1 gene:ORGLA04G0198100 transcript:ORGLA04G0198100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCSSSGSDHSSYDLALTLWRKLLLLLDILAVLRFLAAALLERLGVVSCQEDNELPGCHSWCDSDVVDTGAMERLMQAKLSTSWYRLRRRASRGGSDNMASPHGDTSADICTICLAELEAGGGGGGCQRQVAELSSCSHAFHAACIDGWVVEAGTCPLCRTPVLPPWQMAA >ORGLA04G0198000.1 pep chromosome:AGI1.1:4:21131466:21131954:-1 gene:ORGLA04G0198000 transcript:ORGLA04G0198000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLVCFCRQIPRPIVALFKLLQAVALAFVLILCFLGLYEFPYTVEDHAPLIHGRRRDRLGDDGLQPEAVKRGLPLVEYMQLADLSADCHDGESGYPATCRVCLERLEATDEVRRLGNCTHAFHIGCIDRWIDLGEVTCPLCRSHLLPRQRRGLLGSRRLG >ORGLA04G0197900.1 pep chromosome:AGI1.1:4:21122004:21127492:1 gene:ORGLA04G0197900 transcript:ORGLA04G0197900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDRSEMGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGINKTLEKYNSCCYNAQGSNSALAGGEHQSWYQEMSRLKTKLECLQRSQRHMLGEDHGPLSIKELQQLEKQLEYSLSQARQRKTQIMMEQVDDLRRKERQLGELNKQLKNKLEAEADSSNCRSAIQDSWVHGTVVSGGRVLNAQPPPDIDCEPTLQIGYYQFVRPEAANPRSNGGGGDQNNNFVMGWPL >ORGLA04G0197800.1 pep chromosome:AGI1.1:4:21102631:21105246:-1 gene:ORGLA04G0197800 transcript:ORGLA04G0197800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGIARARLAEERKAWRKNHPHGFVAKPETLPDGSVNLMVWRCIIPGKEGTDWEGGYFPLTMQFTEDYPTNAPSCKFPSGFFHINVYDSGAVCLSILSTAWKPSITVRQILIGIQELFDDPNPNSAAQNISYELYRKDMEEYRKRVRQQAKKYPSAL >ORGLA04G0197700.1 pep chromosome:AGI1.1:4:21088877:21090736:-1 gene:ORGLA04G0197700 transcript:ORGLA04G0197700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAPWRDPRQGYLYGVGSAVQMPMQQRSDAAAAGGVLKRSLGDMERWQQHQHQQRQIAMQQQLYLRTVRQRTAAASAAVSPLTSADIAAVLGGPPSQPLVLSGSSMGGAFGSPSSTLSSITTASRAVAMPLMQPQLQRQQQVTYMASSPQVQAFGTARALPPAPATSDLSILQELEKQLLGDDDEVEAAMSGTGSAVTGSEWEEQLNSITAAPSPPLTAATTPNNNNNAVGMTRSPSNSSTSTASSSASCSPPTSATTSRQLLSEAAAAIADGHNETAATHLTALKRAANSRGDVEQRLVAMMVAALSSRIGQTASVPDICGGETRAGSQLLHDISPCFRLALHAANVAIVDAVGDHRAIHLVDFDVSAPQHADLIRCLAARRLPGTSLKVTAVTDPASPFTQSVTATLHLQKLAERAGIDYRFKMVSCRAGEIEASKLGCEAGEALAVNLAFALSHVPDESVSPANPRDEILRRVRALGPQVVALVEQELNSNTAPLTTRFTDACAHYGAILESLDATIPRESAERARAEAALGGRAANAVAREGADRLERCEVFGKWRSRFGMAGFRPVALGPGIADQVLARQGPVAAGFAVKAENGVLRLGWMGRVVTVASAWR >ORGLA04G0197600.1 pep chromosome:AGI1.1:4:21076822:21078507:1 gene:ORGLA04G0197600 transcript:ORGLA04G0197600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G63850) TAIR;Acc:AT1G63850] MDPPPQPPTSYPSSYTKFNSALNAGLLNPMSPPPLPLDKTRSSPTLFDMMANEQDYHPRTAAAIHSIPAPPQQAHPLQPARSMDRQVLLQDRVAELIGSCSPGNQFNDADSSDVRLTLTSKDGLSVTLCVHRHILVAHSRFFAAKLSDRWSKQQRTLPHIVEISDCDDVEVYVETLRLMYCKDLRRRLMREDVSKVLGILKVSAAIVFDAGVLSCLEYLEAAPWAEDDDEKVAALLTQLHLENSGAGEVLKRVSLELAPSAVAEEVEVGSGCNGGGNSGGGEEVLVRLLQVVLEGKDEKARREMKGLVSKMLRENSTSRGGAIGGDLRKESLYSACNGCLRLLHEQFEMAAGGDQSEVAQIARQADNLHWMLDILVERQIAEDFLRTWAMQIELAELHGKVPAIHRYEVSRVTARLFVGVGKGQILVSKEVRCQLLSTWLEPFYEDFGWMRRACKGLDRHLIEDGLANTILTLPLATQQEILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRNGEPDQPPRLRITAICENS >ORGLA04G0197500.1 pep chromosome:AGI1.1:4:21045892:21046530:-1 gene:ORGLA04G0197500 transcript:ORGLA04G0197500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVCCYSELLLPKQLLHLLLLLGYIRRFLLWAFHAVGLGDLLDLGDEQQAVLQDHAREHRAPAQALPPQQQHRRAEFRTVPAMVIEEVLPVVRFDELVAAAPAVCGGGDCAVCLSGIGGGDEVRRLSNCRHVFHRGCLDRWIEHDDQRTCPLCRAPLIPDEMAGALWAAAGVPDASDFDFSYFGAPLTPMPTPTLLRPHELLLTGLGGYQ >ORGLA04G0197400.1 pep chromosome:AGI1.1:4:21040803:21041060:-1 gene:ORGLA04G0197400 transcript:ORGLA04G0197400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLLGHMLNADDDDEVHTNKSGLFSSMSPRRTNYIETDTTSLILGRQIDDPTGCDMAHARAYTSYVAIRLSSSPTPGPRQHLNL >ORGLA04G0197300.1 pep chromosome:AGI1.1:4:21030567:21032445:1 gene:ORGLA04G0197300 transcript:ORGLA04G0197300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKHLHKYTSSAQHAGPRRYRSSIPQDRWSRRTDVRHRLAPAARESSSVVEGGRTRSQGRRAGPSQSRGAAARDHAGRTGSFLGEPGMAVLCDCRRKAARWISWSEDNPSRRYLTCARARDGGCTFWSWYEPETTPYLRQVLNDLHNVVRGLKEEKSILRASLVSARAQIDELTAVHNGDVVDWTRKLKEKDDLACELRARVVQLEEGRKLLLLIVAGLVLLIVALWLRG >ORGLA04G0197200.1 pep chromosome:AGI1.1:4:21027460:21029421:-1 gene:ORGLA04G0197200 transcript:ORGLA04G0197200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGGGKEAVTASILRFLLLLLLPLTALYFFYTLHLLLASAASSSSSSCPPDAASSSSSVRLSTNGTSAGAAAVTVAAGKKAPAAASTETMLQHVVFGIAASSRFWDKRKEYIKVWWRPRGAMRGYVWLDREVRESNMSTARTGLPAIRISSDTSGFPYTHRRGHRSAIRISRIVSETFRLGLPGVRWFVMGDDDTVFFPDNLLTVLNKFDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAEALARMQDGCIRRYPALYGSDDRIQACMAELGVPLTKHPGFHQYDVYGDLLGLLAAHPVAPIVTLHHLDVVQPLFPNAKSRPAAVRRLFDGPIELDPAGIMQQSICYDGGNRWTVSVAWGFAVLVSRGVMSPREMEMPARTFLNWYRRADYTAYAFNTRPLARSPCQKPAVYYLSSARRAAAPRGGDTTVTRYERWRRANETRPACRWNIADPDAHLDHIIVLKKPDPGLWDRSPRRNCCRVLSSPKEGKKGGDKTMTIDVGVCRDGEFSQVV >ORGLA04G0197100.1 pep chromosome:AGI1.1:4:21021007:21025189:1 gene:ORGLA04G0197100 transcript:ORGLA04G0197100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIAATLRRSSRVTGSRNIMEICLGPCVSSGASSRWFSSCTKHSNTSILNQIKAVDRYSPVNGMSMISRVPLSAHMDTNWLSTSNPRFNALPGFLGASSICRAYSSDTGIKAEVPQNTVSNVPSTETVALGTSDGGSSWIDIFDNARKCTLDATTDAGKKVKELTDAITPHVQQFFDANPNLEKVVVPLGGTIFGTMMAWFVMPIVLRRIHKYSIQSPISALLGSSTKNDVSYETSLWSALEDPAKYLITFMAFSEMAGFTAPSISAYLPQAWRGAIVLSFVWFLHRWKTNFITKIAASSIDQTRLSAFDKISSLGLIALGVMALAEACGVAAQSILTVGGVGGVATAFAARDVLGNMLSGFSLQFSSPFKAGEYIKAGSIEGKVIEIGLTSTELMNPEQLPVTVPNSLFSSQVIVNRSRAKWRSNVTKIPIRIEDIEKVPAISEEIKVMLRSNPKVVLDSEAPAPYCYLSRLESSYGELTIGCNLTKMTKDEWLSTTQGILLEAAKIIKLHGVELGSTTQCC >ORGLA04G0197000.1 pep chromosome:AGI1.1:4:21012651:21014587:-1 gene:ORGLA04G0197000 transcript:ORGLA04G0197000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRRPVLVRGPLGIVTGIELAFFLLFLALLVWSYSAFINLDFSKIHVKPVEKMWQAKLDRAALRLGHVGSFCCAFLFFPVARGSSLLPLIGLTSEASIKYHVWLGNLVMLFFTAHGLCYIVFWASTDQIHEMLKWTRTKVANVPGELALLSGLVMWVTALPRVRRQMFELFFYAHHLYALFLVFFPLHVGVAFFCSILPGVFLFMVDRYLRFLQSRVRVRLVSARLLACDAVELNFCKSPHLTHSPMSTVFINVPCVSRLQWHPFTVTSSSSLEPDRLSVVVKRAGRWTEKLYETISSLPPSQPGHLDVSVEGPYSQATPASFLQYDSLVMISGGGGITPFISIIRELVHRSGTAAEAATPSLILIAVFKTSADLAMLDLIVPASGGFSDISRLELRIEAFVTRESVPSAGDVVAIAHKVPAEEVLFKPSPSHAPIAPVLGHNGWLWLAAVVSSSFFIFLLLVGAVQRLYIYPVDGNSNRVYPWAARTLLNLLLLCVGIAVAASAAVLWNKRRRAEEAKQVENVATPASSPATWLDKPRRGDAEVE >ORGLA04G0196900.1 pep chromosome:AGI1.1:4:21002822:21006483:-1 gene:ORGLA04G0196900 transcript:ORGLA04G0196900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00740) TAIR;Acc:AT4G00740] MGLLSSLRPHRRGALSGGGGGGQWQWSFLDAVWAVFLLAVVIFLALVFTPRRGEPLSAASSALAGATVPPCAASEVDLLPCEDPRRSSRLSREMNYYRERHCPARGEAPVCLVPPPRGYRVPVPWPESLHKIWHDNMPYGKIAERKGHQGWMKQEGSYFIFPGGGTMFPDGAEQYIEKLALYVPLKSGLLRTGLDMGCGVASFGGFLLKENILTLSFAPRDSHKSQIQFALERGIPAFLLMLGTRRLPFPAQSFDFVHCSRCLIPFMAYNGSYLIEVDRLLRPGGYLIISGPPVQWKKQEKEWAELQEMALAFCYKLITVDGNTAIWKKPTEASCLPNQNGFNIDLCSTDDDPDQAWYFKLKKCVSKVSLADEIAVGSILKWPDRLSKPSARASLMDNGANLFELDTQKWVKRVSFYKKSLGVKLGTAKIRNVMDMNAYLGGLAAAAVSDPVWVMNVVPAQKPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDLIHADRINSLIRDPISGKSRCDLFDVMLEMDRILRPEGIAVIRDSPDVIDKAAQVAQSIRWTVQVHDSEPESGGTEKILVATKTFWKLPLT >ORGLA04G0196800.1 pep chromosome:AGI1.1:4:21000488:21001919:1 gene:ORGLA04G0196800 transcript:ORGLA04G0196800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:I1PP49] MSNADVEAGGPAGREATTGIKPPPGRYNPSGNGPNAVAPAPPPFYYYDQAAAQERRHRTWVVPLVVIANVAMFVVMMYYNNCPANGGRRDCVGRSFLRRLSFQPLRENPVLGPSAATLRKYGALDWYGVVHGNQAWRLETSTWLHAGLIHLAANMISLLIIGIRLEQQFGFWKVGLVYLVSGFGGSVLSVLFISRNGITVGASGALFGLLGAMLSELITNWTIYSNRCAAMVNLIIIAAINLALGILPRVDNFAHIGGFATGFLLGFVLLIQPQFGWSEQVSSAKSKYNAFQIILLTLSVALLIAGFAAGLVSVFKGVDGNAHCSWCHYLTCVPTSSWKCDK >ORGLA04G0196700.1 pep chromosome:AGI1.1:4:20995664:20997062:1 gene:ORGLA04G0196700 transcript:ORGLA04G0196700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNHLPQAERNLLVRSSLLGLRNSELRHPAQLDTSFNQGIQGIELPLPMKGAARFVVENATRQIKPVNGLPRLMTITTPQKHGKENNSNDSVLTKDENIEPLVAFSRPPPLPPVLGPLIMLSLFNMSSGGDENKN >ORGLA04G0196600.1 pep chromosome:AGI1.1:4:20993191:20993547:-1 gene:ORGLA04G0196600 transcript:ORGLA04G0196600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQPDAITSISEKDRIVGSSGPNFFAGGSDWLETRSTSHRGIGQGRWEDGKCGVSNTGYERDPNDNGNSEQIPTMMTMTSRIQMAMVVISGAATVGSGDHGIKLSHSGQIQRETEVV >ORGLA04G0196500.1 pep chromosome:AGI1.1:4:20988876:20990399:1 gene:ORGLA04G0196500 transcript:ORGLA04G0196500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLSGGAMTSFAVKKPLLAAAVRRRSWPPPSGRALPFSPLTRTPRSRGLGTVTCFVPQGTESQQAPAPPSPPPTVPVPVPSLEEEAAAAAARRIAERKARKLSERRTYLVAAVMSSLGFTSMAVAAVYYRFHWQLEGGDVPMTEMFGTFALSVGAAVGMEFWAQWAHRSLWHASLWHMHESHHRAREGPFELNDVFAITNAVPAISLLAYGFFHRGIVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHKIHHTDKFEGVPYGLFLGPKELEEVGGLEELEKELARINRSL >ORGLA04G0196400.1 pep chromosome:AGI1.1:4:20984596:20985097:1 gene:ORGLA04G0196400 transcript:ORGLA04G0196400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACRGESSGGGRSSLGYLFEPEPEDIIPDHSTKSVQGTNKAPKGNIVLGDKMASDEADQEHQAAAPLKKEDSNPIVSSRSASNIYHTNQVGNNSGLLITDRPSTRVRCAPGGPSSLGFLFGDEDA >ORGLA04G0196300.1 pep chromosome:AGI1.1:4:20979263:20980622:1 gene:ORGLA04G0196300 transcript:ORGLA04G0196300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein [Source:Projected from Arabidopsis thaliana (AT2G46100) TAIR;Acc:AT2G46100] MAMLVRSPTSTLPAANHRQKSGVLLRRPTRRSARRFQLHAEKPASPGAGNETSSSSENAVLRAAWYGSELLGIAASFFRPSQPPTEGDGAGAVEEAASEPQGRAQVAEAVKDDFARSYFVTGNLTLKAYEEDCEFADPAGSFNGLQRFKRNCTNFGSLLEKSNMKLTKWEDLEDKSIGHWRFSCVMSFPWRPILSATGYTEYYFDAGSGKVCRHVEHWNVPKMALLRQIFRPSRWVWEKRTDE >ORGLA04G0196200.1 pep chromosome:AGI1.1:4:20964539:20966678:-1 gene:ORGLA04G0196200 transcript:ORGLA04G0196200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQGIDLLSTKAAGDDHGENSSYYDGWKAYDTNPFDLRHNRGGVIQMGLAENQLSLDLIEEWSKNHPEASICTPEGVSQFKRIANFQDYHGLPEFRKAMAQFMGQVRGGKATFDPDRVVMSGGATGAQETLAFCLANPGEAFLVPTPYYPGFDRDCCWRSGIKLLPIECHSFNDFRLTKEALVSAYDGARRQGISVKGILITNPSNPLGTITDRDTLAMLATFATEHRVHLVCDEIYAGSVFATPEYVSIAEVIERDVPWCNRDLIHVVYSLSKDFGLPGFRVGIIYSYNDAVVAAARRMSSFGLVSSQTQYFLARMLSDEEFIGRFLQESKCRLVARHERFTSGLREVGIGCLRGNAGLFSWMDLRRMLREKTAEAELELWRVIVHQVKLNVSPGTSFHCREPGWFRVCHANMDDETMEVALGRIHDFVRQHQQRRVKAERWAANRQLRLSLPHHHHLSPAHLSSPLALLSPQSPMVRATS >ORGLA04G0196100.1 pep chromosome:AGI1.1:4:20957117:20959132:-1 gene:ORGLA04G0196100 transcript:ORGLA04G0196100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane proteins 14C [Source:Projected from Arabidopsis thaliana (AT1G33265) TAIR;Acc:AT1G33265] MTAPVTTTTSSLLLLHHPAAAIRSLPSPPRPSAVARPGRSRRCALLRCSAVSELAPTASAAYGALLLGGGAFAYVRSGSKGSIFGGLSGSALMGIAYYLMQSPETKALGDAVGFGSTFLFASVFGIRLYNTRKLVPSGLLLVLSLGALGVFYSAYMQDKV >ORGLA04G0196000.1 pep chromosome:AGI1.1:4:20954095:20955201:1 gene:ORGLA04G0196000 transcript:ORGLA04G0196000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAAAKMRLGGGGGGGGGFMLGCGCRDAKAVAVAVSATSPCSAATETSTATTATWRRARTHPSASASASTGTLTVPSASSSFLWDDADAEADGEEVDFKRESSATTPSFSGLLRQLNELEQSVMTWGWKSPRRGNHFSPPPPPPPPPPLPLRPVVLHRAVDAGGKRSNKEGDAKFSSPPPSSHCPTTQLHRKVKSVDQRNREDDEAHFAPPAPPPLPLPPQQLRNVKGVDKGGSKEDSKHCPPPPQAPKHRKTKSCDNNDGFTAGKLDGSLAVVKQSEDPRGDFRRSMLNMIVENRIVTGDELRELLHRFLELNAPHHHDAILRAFAEIWDEVFAGPDEPRHGPPRPPPRQRTPPRRRHPLSAWRL >ORGLA04G0195900.1 pep chromosome:AGI1.1:4:20948050:20951727:-1 gene:ORGLA04G0195900 transcript:ORGLA04G0195900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPARAASVVRLFDAHCHLQDPRVLSVAPSLIRAATAAGVARFAVNGTSEKDWHLVKQMAEEYPSVVPCFGLHPWWVPERSPDWMDSLRRFFSETPEAAVGEIGLDKGSHGKTIDFGEQVEVFQQQLELAKELNKPVSVHCVRAFGDLLEILKRTGPFPAGVLLHSYLGSAEMVSSLEILGCYFSLSGFLTGMKSTKAKKMLKSMPLDRILLETDAPDALPKLDDISLLAVPVDSSSADNGESKKDSNSRASTTSNESLNHPSNIHIVMKYVASLLEISEIELAEVTYKNATKLFSYHGSKVHNEVEAV >ORGLA04G0195800.1 pep chromosome:AGI1.1:4:20943378:20944715:1 gene:ORGLA04G0195800 transcript:ORGLA04G0195800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G41890) TAIR;Acc:AT5G41890] MLHHMVLILRFLVASQLEGSQMGGPLPMSLVMSSEALGQKSFAPPYLAPNSSAEMMNSGVNYASGSSGIFDETGSFYIGRVPLGQQISYFEKTRARILEIMGEKAATGFLKKALFTVAAGSNDILEYLSPSMPFFGREKYDPSVFQDSLASNLTFYLKRLNQLGARKIVVADVGPLGCIPYVRALEFIPAGECSAFANQLTQGYNKKLKRMIYKLNQEMGPESRFVYANTYEIVMEIIQQYRQYGFENALDPCCGGSFPPFLCIGIANSTSTLCNDRSKYVFWDAFHPTEAVNFIVAGKLLDGNSAVASPINVRELFQYQYK >ORGLA04G0195700.1 pep chromosome:AGI1.1:4:20942159:20942470:1 gene:ORGLA04G0195700 transcript:ORGLA04G0195700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRVRACGRRRGDAGRRGRRCRAARAVGQGGAELRNGAGYWATAEGGVRAHGDGGGGGGCKRRPRAWRRRRRRRRQAAAARIATAPAVSRGQGDGYRRRWRGSRS >ORGLA04G0195600.1 pep chromosome:AGI1.1:4:20938216:20941396:1 gene:ORGLA04G0195600 transcript:ORGLA04G0195600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFQLRFGLRMSPSRSSDEEEEDDEDEEGFEYEEMLSDDGTDSPPPLMMQAEKGGGGLVGAVVGALRRSLVMCSAGKVGEEEDSEDEEEEGMEIGRPTDVRHVSHVTFDRFGGFLGLPADLEPEVPSPTPSASVNVFGVSPTSLQCSFDHKGNSVPTILLMMQRKLYEREGLKIEGIFRINAENSQEICVRKQLNSGVVPDEVDLHCLAGLIKAWFRELPTGVLDSLTPEQVMHCNTEEDCALLASMLPPVEAALLDWAINLMADVVEHENYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLKEREVAGTPKTTEPCSGSPNGQDKPPTPENLERPIICSDQKGIHKPMFDMATCDQLLFGPKQFLDHRENNKFEGPEKHDIGQPKRHSEASPLGNDSNNQVSCPGKEFGNRNGEGLFDKFSFRKGVERLCRHPVFQLSRSMKKSADVVVFDAPGEARQAWGLI >ORGLA04G0195500.1 pep chromosome:AGI1.1:4:20925429:20928683:1 gene:ORGLA04G0195500 transcript:ORGLA04G0195500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTSLGEVEAKTCSKMLFYAGYGYHGSNFEQTYRCYPASFFDKPHLEGGDKVIMPPSALDRLASLHIEYPMLFELHNDATQRISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDTVRVKNTTLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPENPQQPSVPASEATAEDENAKVEDELKFKPFTGSGKRLDGKASKLQATEVPSASRSSPSDSNKRVNQETLAPASSGASNSTRQKSGKLVFGSSANNNKEPQKASVKDDESPKKDEPKFQAFSGKSYSLKR >ORGLA04G0195400.1 pep chromosome:AGI1.1:4:20918423:20921896:1 gene:ORGLA04G0195400 transcript:ORGLA04G0195400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRLLFLLVMLLVVAAPGAPVFGANAPPEVKAEIDALLMFRSGLRDPYAAMSGWNASSPSAPCSWRGVACAAGTGRVVELALPKLRLSGAISPALSSLTFDVSGNLLSGPVPVSFPPSLKYLDLSSNAFSGTIPANVSASATSLQFLNLSFNRLRGTVPASLGTLQDLHYLWLDGNLLEGTIPSALSNCSALLHLSLQGNALRGILPPAVAAIPSLQILSVSRNRLTGAIPAAAFGGVGNSSLRIVQVGGNAFSQVDVPVSLGKDLQVVDLRANKLAGPFPSWLAGAGGLTVLDLSGNAFTGEVPPAVGQLTALQELRLGGNAFTGTVPAEIGRCGALQVLDLEDNRFSGEVPAALGSLRRLREVYLGGNSFSGQIPASLGNLSWLEALSTPGNRLTGDLPSELFVLGNLTFLDLSDNKLAGEIPPSIGNLAALQSLNLSGNSFSGRIPSNIGNLLNLRVLDLSGQKNLSGNLPAELFGLPQLQYVSLAGNSFSGDVPEGFSSLWSLRHLNLSVNSFTGSMPATYGYLPSLQVLSASHNRICGELPVELANCSNLTVLDLRSNQLTGPIPGDFARLGELEELDLSHNQLSRKIPPEISNCSSLVTLKLDDNHLGGEIPASLSNLSKLQTLDLSSNNLTGSIPASLAQIPGMLSLNVSHNELSGEIPAMLGSRFGTSSVFASNPNLCGPPLENECSAYRQHRRRQRLQRLALLIGVVAATVLLLVLFCCCCVYSLLRWRRRFIEKRDGVKKRRRSPGRGSGSSGTSTDSVSQPKLIMFNSRITYADTVEATRQFDEENVLSRGRHGLVFKACYNDGTVLAILRLPSTSSDGAVVIEEGSFRKEAESLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHILNWPMRHLIALGVSRGLAFLHQSGVVHGDVKPQNILFDADFEPHLSDFGLEPMVVTAGAAAAAAAASTSATTIVGSLGYVAPDAAAAGQATREGDVYSFGIVLLELLTGRRPGMFAGEDEDIVKWVKRQLQRGAVAELLEPGLLELDPESSEWEEFLLGIKVGLLCTAPDPLDRPAMGDVVFMLEGCRVGPDIPSSADPTSQPSPA >ORGLA04G0195300.1 pep chromosome:AGI1.1:4:20908238:20911626:1 gene:ORGLA04G0195300 transcript:ORGLA04G0195300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEGWPALQPLLCLAWIAATLPIIVAALPIPAAAGGHLLRRLLSAFSSRGKTVRPSPASSSGSSSSKAKFTVPQKYFMHFYVVGVLATTILLLAIWFYAYMKMTPLLPESSSYSTIASHLVGSNSFSFGRVRSRTMGHKYHVWRTVFVLLLMEIQVLRRLYETEHVFHYSPSARMHIVGYLTGLFYYVAAPLSLASSCIPEAAEYLQGQVAEFIVKGRARMPDLVIDSSSLLQPLLKLGWTQWIGAVIFIWGSLHQIRCHAILGTLREHKDSDEYVIPCGDWFNRVSCPHYLAELVIYFGMLVASGGEDIPVWFLFVFVITNLSFAAVETHKWYLQKFEDYPRSRYAIIPFVC >ORGLA04G0195200.1 pep chromosome:AGI1.1:4:20905208:20905746:-1 gene:ORGLA04G0195200 transcript:ORGLA04G0195200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKTRSDDERTTKLVAAVGARSGDNIHCNEAHLVFFDVSHVGLAYADYMSGYLLNNRKYFIHIV >ORGLA04G0195100.1 pep chromosome:AGI1.1:4:20901532:20904542:1 gene:ORGLA04G0195100 transcript:ORGLA04G0195100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNPNLDLDGEGGAGASSGRSLLPKGSGRFRRSSARCSLPKGSDRFRRSLSPVNSRSLLEGRDVRDAVFPNKLFDKAFGQPLPAPVTPSLVPSPAYIVRPNLPTPSEPHGLNFKFAPLVGDGKMQMDVEGAPLVGDGKMHMVVESTPLVGDGKMHMVVEEEMTTALAVHQPSSQRSQRIIVIEMMADVGSPSSPGSPYSPSSPSSHRDAPSVRFSSRGIRNHKMPQCDIDGSDTEEDLYSSCEEDPYFNSLVDDFIGAATSTDLLASSPEIDYMAANQSQSLFYAESALKHYNNNDENKIKYELISAITSNAIIDRSGYGHVNFVAKGDLPDSVDEFFFAEVRWDIDSYVPVCMVSLEGKEKSGGYRDIEVDYPRGGFVGVPIDKKHCYACGDGLKHPEDGTLYESGHIASGSYYD >ORGLA04G0195000.1 pep chromosome:AGI1.1:4:20880629:20882170:1 gene:ORGLA04G0195000 transcript:ORGLA04G0195000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVYEEARERWTWKNQVTEEGPYGFKILSQIRRQDHDCCTFSAMASTLEANVRVQHGRNDQFSIPHLQFIDAQSKISAPNTNETKVLRLLKSLKERDGGVYLDEDYDSEGNLRALDAKVCRVWKFNLYHVKDIIHLRSALPRLRRQGPLLAVIRISRNYDECRKSGHVYKYDPARICTYDDGKPKTHALCVVSFVTEKGTPCLECQDSHGTAWGIGGYLTVEIRSLKELYSVRVT >ORGLA04G0194900.1 pep chromosome:AGI1.1:4:20868135:20868434:1 gene:ORGLA04G0194900 transcript:ORGLA04G0194900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRWNTAPVSASSARAVSGTAAWKRATQTYSLPAPCCDLTRRVARSTQTMRLPVTLGSSVPLCPVLLTRRRRLIHATTQRRSKRTRGGRDGNAAMSG >ORGLA04G0194800.1 pep chromosome:AGI1.1:4:20862298:20862741:1 gene:ORGLA04G0194800 transcript:ORGLA04G0194800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGLSWASMVLVVVVTASWWFKAHGDGDGGGDGVSRGREGGIIGPCAATSQCLVVAAPLGWIWRVAGCGGRRRRATCDGWRRQLATAARWSRQLAATASANNAGEGIGGSMAEGDNEKAAGSGGGSRRRWLVAATAVTGGMAALDG >ORGLA04G0194700.1 pep chromosome:AGI1.1:4:20802711:20804037:1 gene:ORGLA04G0194700 transcript:ORGLA04G0194700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWEMPSSSATARRCLRWISGLALRLLYRPNGSQHPFALSVCTSLGPLGSPTRAPFAISTEFNLLCSNLPIFSFLFKPASATSRSPNATTTMATGRGKRGRRGEERLWGQRTVVRDVPHDLERAAVVEQGEPTVPVGAAGAEAQTRRFYGKWELTQTMAMTQSLPYSTATPWTRDYDSF >ORGLA04G0194600.1 pep chromosome:AGI1.1:4:20777361:20780494:-1 gene:ORGLA04G0194600 transcript:ORGLA04G0194600.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGRRDEAQGLSRGCHVTPPVNLHIQPCHVVQSKSDKYSYRISRGRFSVTAISLDVYLPMRSSEVKNRTSTGDITSLRVITAVKTPYLPDGRFDLEAYDSLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTKIKVVGNTGSNSTREAIHATEQGFAVGMHAALHINPCYGKTSVEGLISHFEAVLPMGPTIIYNVPSRTGQDIPPAVIEAVSSFSNMAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGLISVVSNLIPGLMRKLMYEGENTALNDKLLPLMKWLFCQPNPIALNTALAQLGVARPVFRLPYVPLPLEKRVEFVRIVESIGRENFVGQKEARVLDDDDFVLISRY >ORGLA04G0194500.1 pep chromosome:AGI1.1:4:20775220:20777125:1 gene:ORGLA04G0194500 transcript:ORGLA04G0194500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport protein [Source:Projected from Arabidopsis thaliana (AT1G12480) TAIR;Acc:AT1G12480] MAAKPSSSASSTGGHHTVDIRAAQAQPEDARQSAMSGPINIRGERRPPPMQRAFSRQVSLGSGVTVLGMDKVGKNGGRGQQRALPRSGKSLGVLNHTGAVGQAAAGDGAARRGDFSMFRTKSTLSKQNSLLPSRIREPDLELPPHVEGPSVGRQGGEDPLNKSVPAGRYFAALRGPELDEVRDYEDILLPKDEVWPFLLRFPVGCFGVCLGLGSQAILWGALAASPAMRFLHVTPMINVALWLLALAVLVAVSVTYALKCVFYFEAIRREYFHPVRVNFFFAPSIAAMFLTIGLPRAVAPERLHPAVWCAFVAPLFALELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAARVGWAEAGKFLWAIGVAHYIVVFVTLYQRLPTNEALPKELHPVYSMFIATPSAASLAWAAIYGSFDAVARTFFFMALFLYMSLVVRINFFRGFRFSIAWWSYTFPMTTASLATVKYAEAEPCFTSRALALSLSLMSTTMVSLLLVSTLLHAFVWRSLFPNDLAIAITKDRQNGAFKPHGKGRKAGKRVYDIKRWAKQAPLSLVSSITKSNSADKEEEEKTE >ORGLA04G0194400.1 pep chromosome:AGI1.1:4:20770391:20774295:1 gene:ORGLA04G0194400 transcript:ORGLA04G0194400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger protein-related [Source:Projected from Arabidopsis thaliana (AT1G01930) TAIR;Acc:AT1G01930] MAAASPESRPPRSLFDLPADFFDSSSLLGSHPSSAPSAAEPSESIRPAAAPPLSQPSEAPGLRWTCNTCASEFESLQEQREHFKSDLHRLNVKLSIAGKTIIKEDDLDKADPDSLFDDLEVSSVSGSEDELENGPASDHGLSAKDKGEFRKKLYFRCHSGDTVSIWRCILLKEHEEPVFNSKSGQTESHGSTPFVQEDEMLNRVKNLTSEPRDASRLRIILLTSGGHFAGCVFDGNSVIAHKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALKKEIQELIASWKSYFDLCVCVFMYAPSKNRQMLFDGDKTQSVLQACDIRPIPLTVHRPTLKEAKRLYTNLTQLCYEMECLSTDEPHVVDVTSFEQSKEAKQKKIMDSEESISVSSLSLDLPNKHEGASIHPSNNETTPLHEAAKSGNAQQTLELLEQGLDPCIKDARGKTPYLLASDKEVRNTFRRFMALNLDKWDWHAADVPSALTKEMEESQAAKQAEKDAKKKARAKELKKLKKAREKEKEKEKEKAQASQSQRTQTNVRGTSAGQMANATASMPGLKQKHQLPQPTALSKEEERQRKLAEEREKRAAAAERRFAALAAQSSSTSGTAAAEHPPQRAAAADDTSCSCCFSSLVGKVPFHRYNYKYCSTTCMHLHSEMLEDD >ORGLA04G0194300.1 pep chromosome:AGI1.1:4:20767523:20768482:1 gene:ORGLA04G0194300 transcript:ORGLA04G0194300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEGRQVYSPPPPPPPSKLPRLSGTDPTDGVVTMAAPSPLVLGLRLGLGGSGSDSSGSDAEASAATVREARPPSALTFMQRQELEHQVLIYRYFAAGAPVPVHLVLPIWKSIAAASSFGPQSFPSLTGLGSLCFDYRSSMEPEPGRCRRTDGKKWRCSRDVVPGHKYCERHVHRGRGRSRKPMEASAAVAPTYLPVRPALHTVATLATSAPSLSHLGFSSASKVLLAHTTTGTTRAT >ORGLA04G0194200.1 pep chromosome:AGI1.1:4:20763114:20766699:1 gene:ORGLA04G0194200 transcript:ORGLA04G0194200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAARPSSACSLPQRCSVLLRLLPPPPRPPSSRPRPTSASTTGRRLVRDRPPPLVVSSRSLSLGSAAAPPWPPARPSFACSHPRRRSVFLRLLPPPPXLPPPHPRPLPPRPHPPPRPAIVSSAIDRCCSSAPRRLRDWLALVYASHRLVHERRIDSISRMRECTPFSSTSISIAAMVIPSRRVRAHSLREYASLILHREEEANQAFGSASCNCSFVLEYDNIDYILFIMSSTYSEKATRRPLRSCGAVLRTPLKSAIVAQPWCSVGGGMQPRDLRHSSEEASGDDGAGEAVSRCRREGVVAEPRRSLRGDQVGMWELQAVASTRSYYFLVAFAASALAPAGAGDDGQHVASRRTRTIAPSGAAMYSRDKYRGESAMAAWQEAYRAGPGWRGTCFC >ORGLA04G0194100.1 pep chromosome:AGI1.1:4:20757554:20758849:-1 gene:ORGLA04G0194100 transcript:ORGLA04G0194100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDRRLLFLLAASLAVAAVSSHNITDILDGYPEYSLYNSYLSQTKVCDEINSRSTVTCLVLTNGAMSSLVSNLSLADIKNALRLLTLLDYYDTKKLHSLSDGSELTTTLYQTTGDASGNMGHVNITNLRGGKVGFASAAPGSKFQATYTKSVKQEPYNLSVLEVSDPITFPGLFDSPSAASTNLTALLEKAGCKQFARLIVSSGVMKMYQAAMDKALTLFAPNDDAFQAKGLPDLSKLTSAELVTLLQYHALPQYAPKASLKTIKGHIQTLASTGAGKYDLSVVTKGDDVSMDTGMDKSRVASTVLDDTPTVIHTVDSVLLPPELFGGAPSPAPAPGPASDVPAASPAPEGSSPAPSPKAAGKKKKKGKSPSHSPPAPPADTPDMSPADAPAGEEAADKAEKKNGATAAATSVAATVASAAALLAASFL >ORGLA04G0194000.1 pep chromosome:AGI1.1:4:20743809:20745699:1 gene:ORGLA04G0194000 transcript:ORGLA04G0194000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLSHPAMVALSLLLLVALYLARRAVLGKKRRYPPVAGTMFHQLLNFGRLLEYHTELSRKYRTFRMLTPTCNYVYTVEPANVEHILKTNFANYGKGPMTHDVLEDLLGDGIFNVDGGMWRQQRKVASLEFSTRVLRDYSSAVFRDTAAELAGILERGPAAKGRERVDMQDLLMRATLDSFFRVGFGVNLGVLSGSSKEGLVFARAFDDASEQVLFRFFDLLWKVKRFLNISSEATMKQSIRTINDFVYSIIYRKIEQMSREQHEFAKKEDILSRFLLEREKDPGCFDNKYIRDIILNFVIAGRDTTAGTLSWFLYAVCKNQRVQDKIAREVRDATTGDRDVGVQDFSSFLTEDAINKMQYLHAALTETLRLYPGVPLDVKYCFSDDTLPDGHAVKKGDMVNYQPYPMGRMKFLWGDDAEEFKPERWLDDSGMFVAESPFKFTAFQAGPRICLGKEFAYRQMKIVSAVLLYFFRFEMWDDDATVGYRPMLTLKMDGPFYLRALAR >ORGLA04G0193900.1 pep chromosome:AGI1.1:4:20739566:20739811:-1 gene:ORGLA04G0193900 transcript:ORGLA04G0193900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAPQRHPITNADLHCVFGYPAQHPLLAAQHAQDVAFNGRLYLGRCISPKGIDTRIDVFIDDLTLTRVTISQPQVTRERWGS >ORGLA04G0193800.1 pep chromosome:AGI1.1:4:20737246:20737695:1 gene:ORGLA04G0193800 transcript:ORGLA04G0193800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTEGDWTRTVFVLESESSFTQNRSSRDSTGLQRLQSNQTNQSNEEIGPDFLGGGGLGDELQAVEARLGVVVVQVPASSTRAGSGEEAAGTGEGAAATLLCRGGGSRGRRHPHRWETHGGSSGGALTGSVEEANFLRGAEELVKRRGS >ORGLA04G0193700.1 pep chromosome:AGI1.1:4:20731363:20733693:-1 gene:ORGLA04G0193700 transcript:ORGLA04G0193700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:subtilase 1.3 [Source:Projected from Arabidopsis thaliana (AT5G51750) TAIR;Acc:AT5G51750] MDFCKARWKVPALCLVTVLLQASLSACAPTPKTYIVQMAASEMPSSFDFYHEWYASTVKSVSSSQLEDEEDDASTRIIYNYETAFHGFAAQLDEEEAELMAEADGVLAVIPETVLQLHTTRSPDFLGIGPEVSNRIWSDSLADHDVVVGVLDTGIWPESPSFSDKGLGPVPAKWKGLCQTGRGFTTANCNRKIVGARIFYNGYEASSGPINETTELKSPRDQDGHGTHTAATAAGSPVQDANLFGYAGGVARGMAPRARVAAYKVCWAGGCFSSDILAAVDRAVSDGVDVLSISLGGGASRYYLDSLSIASFGAMQMGVFVACSAGNAGPDPISLTNLSPWITTVGASTMDRDFPATVTLGNGANITGVSLYKGLRNLSPQEQYPVVYLGGNSSMPDPRSLCLEGTLQPHDVSGKIVICDRGISPRVQKGQVVKEAGGIGMILANTAANGEELVADSHLLPAVAVGEAEGIAAKSYSKSAPKPTATLSFGGTKLGIRPSPVVAAFSSRGPNILTLEILKPDVVAPGVNILAAWSGDASPSSLSSDSRRVGFNILSGTSMSCPHVAGVAALIKASHPDWSPAQIKSALMTTAYVHDNTYRPMKDAATGKASTPFEHGAGHIHPVRALTPGLVYDIGQADYLEFLCTQHMTPMQLRTFTKNSNMTCRHTFSSASDLNYPAISVVFADQPSKALTVRRTVTNVGPPSSTYHVKVTKFKGADVVVEPNTLHFVSTNQKLSYKVTVTTKAAQKAPEFGALSWSDGVHIVRSPVVLTWLPPQ >ORGLA04G0193600.1 pep chromosome:AGI1.1:4:20726843:20731094:1 gene:ORGLA04G0193600 transcript:ORGLA04G0193600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:copper chaperone for SOD1 [Source:Projected from Arabidopsis thaliana (AT1G12520) TAIR;Acc:AT1G12520] MVGFLRALTAASAVPAAAAVAAVALSTNSSSSSRLRLPSPASLPSLSSAYAAAPASGSARKPNAVPPMAAAASATATADLSAAADKGAALPELMTEFMVDMKCDGCVTAVKNKFQTLEGIKNIEVDLNNQVVRVLGSLPVNTMLDTLHQTGRDARLIGQGNPNDFLVSAAVAEFKGPVIFGVVRLAQVNMELAIVEATYSGLSPGKHGWSINEFGDLTRGAESTGKVYNPSDYRSNKPLGDLGTLEAGEKGEAQFSASKEKLKVVDLIGRSIALYATEDRSDPGIAAAVIARSAGVGENYKKLCTCDGVTIWESS >ORGLA04G0193500.1 pep chromosome:AGI1.1:4:20722742:20724890:1 gene:ORGLA04G0193500 transcript:ORGLA04G0193500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMDTTRALAILAATSFVAMLACVQAAGDESYTFMKDAVQSPQVSYYDYIIVGGGTAGCPLAATLSQRFRVLLLERGGSPYDDERIGNMTRFADTLSDTSPSSPAQRFVSEDGVINSRPRVLGGGSCINAGFYTRASDEYVRGLGWDLEATTAAYRWVEDVVAFQPELGPWQSALERGLLEAGIAPQNGFTFDHLGGTKVGGSIFDAEGRRHTAADLLRYARTDGIDVLLRARVAKILFNVRAGRRPVAHGVVFHDSEGQMHRAYLSNGRGNEIILSAGAMGSPQLLMLSGVGPADHLRSFGITLVLNQPAVGQGMSDNPMNAIYVPSPSPVEVSLIQVVGITEVGSYIEGASGANWGVRRSGSGGDRPHRNFGMFSPQTGQLATVPPKQRTPEAIARAAEAMSQLDDTAFRGGFILEKILGPLSTGHLELRNRNPDDNPSVTFNYFAHPEDLRRCVAGVSVIERVIRSEAFANFTYPYFSVETLLNMTAGFPVNLRPRHDNDSTSLEQFCKDTVMTIWHYHGGCQVNRVVDAEYRVIGVDALRVIDGSTFNASPGTNPQATVMMLGRYMGVKIQNERLGNEGLGRRNL >ORGLA04G0193400.1 pep chromosome:AGI1.1:4:20717312:20721213:1 gene:ORGLA04G0193400 transcript:ORGLA04G0193400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADQVEEWKGYYINYKLMKKMLKQYVQQTQLGGKDREQVLKEFSRILDEQIERIVLFLLQQQGHLANRIEELGEQRAALLEQHDISQVSQLREAYREVGRDLIKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLAYLQDHEGSVLSIYDHPSVTLKDPIIDQVNHAVQKLTHATSFLQFLGQHALIIQEDVQSGSEDLVDDQSYHFMSLILNLVNTFLYMVNTYIIVPTADDYAVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFAGNLLYALAYDLNSLTVLLIGRLLCGLGSARAVNRRYISDCVPLKIRLQASAGFVSASALGMACGPALAGLLQTRFKIYSLTFDQSTLPGWVMCIAWLVYLLWLWISFKEPGHFAKSSDTAQPAESGHQVNANLEEGLAQPLLTGSEEGQDQNAEDNDDNEEESKNSHGPATSISSAYKLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFNWSTSAVAIFLAILGCTVLPVNAIVGSYITNLFEDRQILVASEIMVLIGIIMSFRYTPHYSVPQYVLSALITFVFAEVLEGVNLSLLSRVMSSRLARGTYNGGLLSTEAGTLARVVADATITAAGYLGPDLLLNITLLPPLVICIASLVATFCTYNTLY >ORGLA04G0193300.1 pep chromosome:AGI1.1:4:20706379:20706738:1 gene:ORGLA04G0193300 transcript:ORGLA04G0193300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATATTRTSLRRAELCAAAASAADAASWCFAVALVALVLLCALRAEAVEVRGPRLGGAAARPCEEVYVVGEGETLHTISDKCGDPFIVERNPHIHDPDDVFPGLVIALRPTKNSI >ORGLA04G0193200.1 pep chromosome:AGI1.1:4:20701471:20704157:1 gene:ORGLA04G0193200 transcript:ORGLA04G0193200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDDEGGRHGRRRVRDRLGPTSPASRFPCRYLHSELPEAPPERLRPSHRPSAAACGGGGGGGNCVVSSTREKPCKFFLSGDCRYGDECRCYLHAGSINDGFSLLTPLSGHQKAVTAIVLPSGTHLLFSSSKDGTVRVSDYQTDQVAALARLKLNPVVQEPLLFVGIPDAVKQAWDLAKLQWTHPFWSYRSYNALALLGSISIILFSGSNNQGLGCYGKLETGSLAVTYTHNEDHGALALAGMQDAQLNPILLWSTNYNIVHLYELPSFAERGKISFEAEVGAVKNGPGGLIFTSDEIGELKLWKWTAERTSGVSN >ORGLA04G0193100.1 pep chromosome:AGI1.1:4:20692531:20698513:1 gene:ORGLA04G0193100 transcript:ORGLA04G0193100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNSLEDSPLMNLQEVLKCHKGTNSLDHTGLLFLSKHLRKYRYGFEYASLEVKDHLERVDFSDMVDTVIILYGGSDMQKTKGNPWITHFHLSQETMKIKRLGLEFIVREIIDQYNTLRKQLNNAIPSVSISNSKCSVGNECVKNQTCCVTMVVQVEINSMSQLDVIKERVIPSILATLLKGFLEFKNVKVQCQEDNELVLKVGMSEHCKSGKFWATLQNACIPIMELIDWERSRPERVYDNFCSYGIDSAWKFFVESLRYTTDAIGRNIHRQHLLVVADCLSVSGQFHGLSSQGLKQQRTWLSISSPFSEACFSRPAHSFINAAKRDSVDNLSGTLDAIAWGKEPCTGSSGPFKILYSGKSHETKQNEHIYDFLHNPEVQALEKNVMDTYRKRTEKTSKRRSALNSEGNATINGGAISFNQKFLNAKVGIWENIIDMRTSLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPSSRCFIVLRNDDTTADFSYNKCVLGAANSISPELGSYIENRRSNRAVRPHQL >ORGLA04G0193000.1 pep chromosome:AGI1.1:4:20679461:20681821:1 gene:ORGLA04G0193000 transcript:ORGLA04G0193000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGRARPLLMLKEWLELESSAELSRDGFGCYPRRQLAAELRGGGGGSGRRRNGAVIERVSAAVRAALLIRPSSSAREGGEAALSKSFSRRLGRGFWRKRRGEGDEVNSRVDSCSAAAVSGRDDGSSPAMSPRRRSWEGRHAGGVAGRQSHETQKQVASKMDCEATCHLDEELEQGQRRSPVSVMDFLSQDEEDDDGEVEDGNGNSEYDDVDDSIASPTFQQSISNIRRVGQQLLQKIRQFEQLAELDASDVDDATLAKEDVVCHVADSDSMEDDTEEAFVQDLVDLLEANSPGSTRCFQKLLVDFFYDGLPPWQGERLDGPDRAKLLLEIAKAWLDDQDFSSRFDAKAEVEEIERIGRWRCFKEVGQELLAVDLEGEIFWSLVAEMVGELG >ORGLA04G0192900.1 pep chromosome:AGI1.1:4:20672896:20673555:1 gene:ORGLA04G0192900 transcript:ORGLA04G0192900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAYYGSGYSSSGTPSPVGGDGDEDSYMTVSSAPPKRRAGRTKFKETRHPVYKGVRSRNPGRWVCEVREPHGKQRIWLGTFETAEMAARAHDVAAMALRGRAACLNFADSPRRLRVPPLGAGHEEIRRAAVEAAELFRPAPGQHNAAAEAAAAVAAQATAASAELFADFPCYPMDGLEFEMQGYLDMAQGMLIEPPPLAGQSTWAEEDYDCEVNLWSY >ORGLA04G0192800.1 pep chromosome:AGI1.1:4:20655636:20656106:1 gene:ORGLA04G0192800 transcript:ORGLA04G0192800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAYDVAARALRGPDAALNFPDLAASRTAPPASSSADDIRAAAAAAAASLQHDRAGGGIAPAASGSAHQQRGGSSAAARTTAGSGGAQQEGSSGAGAGSHQYFLDEEALFETPQFLRNMAAGMMMSPPRLSPSSSDDSPDPSEAGGSLWSYRDP >ORGLA04G0192700.1 pep chromosome:AGI1.1:4:20634586:20636609:1 gene:ORGLA04G0192700 transcript:ORGLA04G0192700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVSAALGFGMTAAFVAFVCARFVCCRARRADARASRPHPSPVDFDADFPSDFDRPIEHSRSGLEPLAVAAIPTMKYNCEAFHSEDDTQCSICLSEYKEKDILRIVPICHHNFHLYCLDAWLLKQTTCPICRISLKELPDGKSTVSSAPTMSQPPTLPESSVNPTSHFLPVHQEHRSHQDGPDMPESVEVVIEIRQ >ORGLA04G0192600.1 pep chromosome:AGI1.1:4:20621891:20623573:1 gene:ORGLA04G0192600 transcript:ORGLA04G0192600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1PP07] MTTCTDDQTGCAFFAPLLSSKGAEVVILVAGDEAEEQQPAPVLTSKPPGRLAKAVNEAWSVSLGVAFPVTPSMFTCSARGEARSILGLAFPMILTGLLLYLRSMISMLFLGHLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGNYALLGVTMQRTVLLLIAAAIPIGGLWVQMRPLLLFCGQDAAIAAVAETYIFASLPDLVLQAFLHPVRIYLRTQSINLPLTVCAGLAIAIHLPINYVLVVVLGLGVKGVALASVLANLNLVLFLLAYIFLKGVHKRTGGFLLSAESFRGWGELISLALPSCVSVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTSLIYIFPSSLSFGVSTRVSNELGAGQPEEASRAATVGLVLGFGFGAFASAFAFLVRNVWASMFTADPAIVALTASVLPILGLCELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALVMAFWFHLDFRGLWFGLLAAQATCTVRMLLVIGRTDWAAEAKRSKQLTGAGAANMESDDRVAADEKSRLPVDTDVERSSDHTDRC >ORGLA04G0192500.1 pep chromosome:AGI1.1:4:20603369:20605851:-1 gene:ORGLA04G0192500 transcript:ORGLA04G0192500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIGPPSRGEAEAGGDLTSTAREAGQRQGGAGAVRASQPASPVYQYQPPAPGNGIGMACPVPGHPIIVFQRLQHSKAIIALPCIIAAKNAFKVHTSSVKTRLLIELSTESEQLQLLPPQDHQEDCRRQILSLYRALMEWWWKLCGTTAVGPPPEPLSSSSESINQSRGFPLDSPYTQAVTATSYLRERPSRKSDATSSYVDANKSDGASTQREVMMMLQGRRTMTQTTVTRKHMGPTWAPLTTSAKTGYYSTNFRGIKVDIVL >ORGLA04G0192400.1 pep chromosome:AGI1.1:4:20600311:20602789:1 gene:ORGLA04G0192400 transcript:ORGLA04G0192400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASSMMGPEGYGRGWGQTSLGDMPESCVAAVLLYLDPPEICKVARLNRAFRGAASADCVWAAKLPANYRYLAALAAAADDDSGGDGATEGNGSRCSSAAMIKKEIYARLCRPTPFDGGTKEFWMEKNKGGLCISISSKAMAITGIDDRRYWSHLSTEESRFHHVAYLQQIWWLEVAGEIDFCFPAGSYSLFFRLQLGRPHKYMGRRVYGCESIHGWNIKPTRFQLSTSDDQQATSQYYLNEPGNWILYHVGDFVVSSSDQLTNLKFSMMQIDCTHTKGGLCVDSVFIYPKGHRHEDCTICK >ORGLA04G0192300.1 pep chromosome:AGI1.1:4:20593598:20596180:1 gene:ORGLA04G0192300 transcript:ORGLA04G0192300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMHDTTGKKEVVVCYMNAPLPYMIEENYGGCFFEDDVDLAQVLQDQEIVYQLIQGNYGTGSSKTHSNPSSSYSHGCELGERKPSGVASYEAQLVVDEALARELQQMEDQLASASIDDHNIIEHGRKPIASSTSSGGNASASRPPQVVMEDGIDPDNMTYEELQQLGEAIGTESKGLPEDVIALLPTSTYKIRIFSRKEKHDECVICCMTYKNRDRLTKLPCEHQYHQTCVTKWLKINKVCPVCNKEVYGSGK >ORGLA04G0192200.1 pep chromosome:AGI1.1:4:20588625:20591365:-1 gene:ORGLA04G0192200 transcript:ORGLA04G0192200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSSPPPSSSLLFFSLHLLRTSTVARAAGRWGRRESGGRRQVMRRRRRSTASRARPPSPPPSPPPGRSWATAAALSLPGAAAAAEAERRRVVYGSGSQRLWARGAYDDTNPPKTKQDSNISHVENFGCSVLCRAMKTNLANPCASKPYSEQSFPGTPY >ORGLA04G0192100.1 pep chromosome:AGI1.1:4:20573715:20576919:-1 gene:ORGLA04G0192100 transcript:ORGLA04G0192100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGATATKLHMPSAGGRRPSLFHLAAVAVLCTVSYLIGIWHHGGFSASPAGGVASSVSIATTASVSCVSPTPTLLGGGGDSSSSAPLDFAAHHTAEGMEVASGQVHRTYEACPAKYSEYTPCEDVERSLRFPRDRLVYRERHCPSEGERLRCLVPAPQGYRNPFPWPTSRDVAWFANVPHKELTVEKAVQNWIRVEGEKFRFPGGGTMFPHGAGVYIDDIGKIIPLHDGSIRTALDTGCGVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLSSNRLTYPARAFDMAHCSRCLIPWQLYDGLYLAEVDRILRPGGYWILSGPPINWKKHWKGWQRTKEDLNAEQQAIEAVAKSLCWKKITLKEVGDIAIWQKPTNHIHCKASRKVVKSPPFCSNKNPDAAWYDKMEACITPLPEVSDIKEIAGGQLKKWPERLTAVPPRIASGSIEGVTDEMFVEDTKLWQKRVGHYKSVISQFGQKGRYRNLLDMNARFGGFAAALVDDPVWVMNMVPTVGNSTTLGVIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKDRCEMDNILLEMDRILRPEGTVIIRDDVDMLVKIKSITDGMRWNSQIVDHEDGPLVREKLLLVVKTYWTLGEEKE >ORGLA04G0192000.1 pep chromosome:AGI1.1:4:20570842:20571099:-1 gene:ORGLA04G0192000 transcript:ORGLA04G0192000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPVFCSKLSVKRVVPVLLGIMACVSLRRMLRSCGSPFRFIRECVRFACFRSWDGAVYSGGMAKWREGRNAWRQLRSWDDVIQ >ORGLA04G0191900.1 pep chromosome:AGI1.1:4:20565348:20570194:-1 gene:ORGLA04G0191900 transcript:ORGLA04G0191900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAYVGLVGAAAALVLLLLLLRHQARRWRNPRCGGQLPPGSMGLPLVGETFQFFSSDASLDIPPFIRHRLARYGPIFKTSLVGHPVVVSADEELNHMVFQQEGQLFQSWYPDSFVEILGKDNVGEQQGATFRYLKNMVLRYFGPESLKEGIIRDVERAVSSSLCTWSTLPAVELKEAVSTMVFDLAASKLLGLEPSRSKILRKSFFDFVRGLISFPLYLPGTAYYSCMQGRRRAMVVLEQVLEERKQSTGLQRGGEAQQHGDFLDYVIQEITKEKPVMTEKMALDLMFVLLFASFHTTSLALTLAVKLLADHPLVLEELTVEHDTILKDRDAGSELDRITWKEYKSMAFTSQVINETVRLANIAPVIFRKALKDIRFNGYTIPAGWGVMVCPPAVHLNPYIYPDPLTFIPSRFKDKPEINRGSKHFMAFGGGLRFCVGADFSKLQLAIFLHFLVTKYRWIPLGASRVVRTPGLEFPDGYRIQVIQRH >ORGLA04G0191800.1 pep chromosome:AGI1.1:4:20557652:20561508:-1 gene:ORGLA04G0191800 transcript:ORGLA04G0191800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSELLLGSYSYAALCGVTLIIGWLAHWVYKWMNPPCIGRLPPGSMGFPIIGETFQFFRASPSIDMPSYYKQRLERYGPLFKTSLVGRPVIISLDPEVNRFIFQQEGKLFQSWYPETAINIFGKKSLTTYNGTIHKFIRGVAAKLFGLENLKESLLPELENSMRESFASWTGKPSVEVQDGVSDMIFDLVAKKLIGLDVTNSRELRKNFQDFFQGMVSFPIYFPGTSFYRSMQGRRNVRNTLTDIMKERLSAPGKKYGDLVDLIVEELQSEKPMIDENFAIDALAALLFTSFATLSSTLTVAFKYLTDNPKVVEELKEEHGTILKKREGVNYGFTWEEYRSLKFSTQVMNEITRISNVTPGVFRKTLTDVQVKGYTIPSGWLVMISPMAVHLNPKLFEDPLKFDPWRWREEKRSSMLKNYMPFGGGVRLCLGAEFSKLFIALFLHILVTEYSWTEIEGGEVLRISEIMFPQGYHIQLVPQT >ORGLA04G0191700.1 pep chromosome:AGI1.1:4:20554001:20555477:-1 gene:ORGLA04G0191700 transcript:ORGLA04G0191700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VATSAGLQACCSISTKHQSLMQETPVALEAVVDAVEQFQFVQLLVIMHPRDRLESILCILLLVSLFMTLCDAPIALGGEHFNFNKDQKEVWDDLGDLLASFSNLNLCCFNHY >ORGLA04G0191600.1 pep chromosome:AGI1.1:4:20549938:20551405:1 gene:ORGLA04G0191600 transcript:ORGLA04G0191600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYFPDLCFDRMAQADKTIRHQSPSDIVSSLAAVPDLRPSMENEHAEGDVAVELPDGFALILAIVFFYVMTIKDNNYITNRKTILKLYWTKQKIATKLYFKSKEH >ORGLA04G0191500.1 pep chromosome:AGI1.1:4:20537525:20541365:-1 gene:ORGLA04G0191500 transcript:ORGLA04G0191500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYLQLASLRLATTIPLAPRLYDANLLTASGAAMASSMAYIALLCAALAAVVALLRWAYRWSHPRSNGRLPPGSLGLPVIGETLQFFAPNPTCDLSPFVKERIKRYGSIFKTSVVGRPVVVSADPEMNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLKSVLLAETDAACRGSLASWASQPSVELKEGISTMIFDLTAKKLIGYDPSKPSQVNLRKNFGAFIRGLISFPLNIPGTAYHECMEGRKNAMKVLRGMMKERMAEPERPCEDFFDHVIQELRREKPLLTETIALDLMFVLLFASFETTALALTIGVKLLTENPKVVDALREEHEAIIRNRKDPNSGVTWAEYKSMTFTSQVIMEIVRLANIVPGIFRKALQDVEIKGYTIPAGWGIMVCPPAVHLNPEIYKDPLAFNPWRWQGKPEITGGTKHFMAFGGGLRFCVGTDLSKVLMATFIHSLVTKYSWRTVKGGNIVRTPGLSFPDGFHIQLFPKN >ORGLA04G0191400.1 pep chromosome:AGI1.1:4:20528378:20530489:-1 gene:ORGLA04G0191400 transcript:ORGLA04G0191400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGGRPGGCACCSAAARSPTPAPATRSRRGRRSAGGSGSRSVRNPRPIRRRRHRRRRRCRGRXRHGGRTTASDEGDDEQSKRAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGPPTPEKYDQATHEGVPKHGRSGSLKGSSSKTPGSERLTRERSESCGRNWLDKWVEERYLDDEKNAKILEVDTGKPGRHASRRRSGSHHHHSSCSSMTSEQKSRSYATMPESPSKDSTTAQQSVPSPPSVGMAEALSPLRMAVDIAELCDSPQFFSATSRPGSSRSRAFTPTKSECSRSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPQYEKSSSLRKASAHAFGPGSCAPVAQRTTASLHSKFTNKAYPGSGRLDRLGMPVKY >ORGLA04G0191300.1 pep chromosome:AGI1.1:4:20508278:20513949:-1 gene:ORGLA04G0191300 transcript:ORGLA04G0191300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPFSGAGPGVLTSSPALSLALADAVAGRNSGGGGKMVTAAHGGVGGGGGGRAKARDALEVENEISRSGSDHLDVVSCGDAGGGGGDDDDDEDAEHGNPPKRKKRYHRHTPQQIQELEAMFKECPHPDEKQRAELSKRLGLEPRQVKFWFQNRRTQMKMQLERHENALLKQENDKLRSENLSIREATSNAVCVGCGGPAMLGEVSLEEHHLRVENARLKDELSRVCALAARFLGKSISVMAPPQMHQPHPVRGSSLELAVGGIGSMPSATMPISTITDFAGAMSSSMGTVITPMKSEAEPSAMAGIDKSLFLELAMSAMDELVKMAQMGDPLWIPGASIPSSPAKESLNFEEYLNTFPPCIGVKPEGYVSEASRESGIVIIDDGAALVETLMDERRWSDMFSCMIAKASTTEEISTGVAGSRNGALLLVSDEHSVMQAELQVLSPLVPIREVKFLRFSKQLADGVWAVVDVSADELMRDQGITSASSTANMNCRRLPSGCVLQDTPNGFVKVTWVEHTEYDEASVHPLYRPLLRSGLALGAGRWIATLQRQCECLALLMSSIALPENDSSAIHPEGKRSMLKLARRMTDNFCAGVSTSSTREWSKLVGLTGNIGEDVHVMARKSVDEPGTPPGVVLSAATSVWMPVMPERLFNFLHNKGLRAEWDILSNGGPMQEVTSIAKGQQNGNTVCLLKASPTKDKQNSMLILQETCADASGSMVVYAPVDIPAMHLVMSGGDSSCVALLPSGFAILPAGPSIGADHKMGGSLLTVAFQILANSQPSAKLTVESVETVSNLISCTIKKIKTALHCDV >ORGLA04G0191200.1 pep chromosome:AGI1.1:4:20504393:20507045:1 gene:ORGLA04G0191200 transcript:ORGLA04G0191200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication factor C 2 [Source:Projected from Arabidopsis thaliana (AT1G63160) TAIR;Acc:AT1G63160] MAASSSSAPALADAYDIPWVEKYRPTRVADVVGNSDAVTRLQVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMIVVAAEKVPYVPEGLEAIIFTADGDMRQALNNLQATVSGFRFVNQENVFKVCDQPHPLHVKNMVKNVLDGKFDEACSALKQLYDLGYSPTDIITTLFRVIKNYDMAEYLKLELLKETGFAHMRICDGVGSFLQLSGLLAKFALVRETAKAS >ORGLA04G0191100.1 pep chromosome:AGI1.1:4:20499448:20502489:-1 gene:ORGLA04G0191100 transcript:ORGLA04G0191100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPSVLVREAAAEHLEERQADWAYSRPVVALDLLWNLAFITVAAVVLVLSRGEDSPMPLRTWVAGYALQCVVHMVCVAIEYRMRRGHRDRAPASADEERGSDGSSSSSDDDVTEDDRRGSRTDCVSIAKHLESANTMFSFIWWIIGFYWISAGGEDVIRDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRTDEPEKQTADETGPFGGIMTECGTNQPIEKVLAPEDAECCICLSAYDDGAELRELPCGHHFHCACIDKWLHINATCPLCKFNIRKSGSSSGSEEV >ORGLA04G0191000.1 pep chromosome:AGI1.1:4:20490954:20494048:-1 gene:ORGLA04G0191000 transcript:ORGLA04G0191000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLVDSAILKINKWCFRQLENYHSYFNKVGHLHCLDHSFFSAVDNSIFEGMLNLVVISETSRTDDDDDDEKAMLIGTPLDATQESKLIHILVVRSIQAAYKHALISSDCQSKAEFKHPLIILANELKLVAEKECTIFSPTLCKRYPEAGRVALVLLHLLYGQQLELFLERMDNSESLKEILAATNNFELCVAKKLYLMNEGAVGSLLSKYLKPYMVRHDLYLIFLVVFFYHKDIIHCW >ORGLA04G0190900.1 pep chromosome:AGI1.1:4:20481377:20482390:1 gene:ORGLA04G0190900 transcript:ORGLA04G0190900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAGTPPFLTKTYAMVEDPSTDETISWNDSGTAFVVWRPAEFARDLLPKHFKHSNFSSFVRQLNTYGFKKVVADRWEFANDCFRRGEKHLLGGIQRRKGSGTGGAGAAPAGGIPTAIPISSPPTSSGGEPAVSSSPPRGAAGVSGAVAELEEENARLRRENARLARELARARRVCDGVRRLVSRYDHDHGGGEEEAGEGDVKPMLFGVAIGGKRSREENGEDDDEEEEEEGADEDGEDDEVEEEDDEERERHAARRVPVREGKVRRTTELSDLDVLALSVRAAAAARPGGASRDRKSSVS >ORGLA04G0190800.1 pep chromosome:AGI1.1:4:20480027:20480614:1 gene:ORGLA04G0190800 transcript:ORGLA04G0190800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar isomerase (SIS) family protein [Source:Projected from Arabidopsis thaliana (AT5G52190) TAIR;Acc:AT5G52190] MSGGGDAAAICAQIAAVFSGDPAARPVLVAELAAAAARGGRVFVHGVGREGLMMRALCMRLAHLGLPAHCVGDVTAPPASPGDLLLASAGPGAFSTVDAICGVARGAGARVVLLTAKPEGDFPRRQADVVAHLSAQTMADDEEATTESSPAKAKLPMGSLYEGAMFVLFEMVVLELARVLGQSPAQMRARHTNLE >ORGLA04G0190700.1 pep chromosome:AGI1.1:4:20471584:20474287:1 gene:ORGLA04G0190700 transcript:ORGLA04G0190700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCQLVARCSSSSSSSRMEVEEEAFFDTREELLPPSPAAALPWSGGLDSVRQRRERFMRSMGLERSPSLRQADFADVVGDVEEEGEVAAEAAAAEIGRWSSQSDENECSMSSWSTEETTSYDDGASDDNSVSGSGKASRSFSSLSFIQRLMSRNGKPSGAPKTIDRRRNGWLRRLGVSACVVDSGAADEASTSSSDSEQIGAGRYERIKVHSYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQLLATGGEDGVVRVWAVMQSEDCKIPLDDPSCVYLKARRKYGLAPVNAESEKKSKINGLKKSDSACIVVPTMVFQISEEPVHEFRGHSGDVLDLSWSSNKHLLSASTDKTVRMWEIGYANCIRVYPHSNFVTCVQFNLADENLFISGSIDGKIRVWDITRSSVVDWVDIRDIVTAVCYRPGGKGVVVGTITGNCRFYEISDNLLKLETQIALNGKKKSSLKRITGFQFCPSNPSKLMVTSADSKIRILDGTNVIQNYSGLRSGSCQLSATFTPEGQHIISASEDSNVYVWSHENQYECACKQAKTTQTSEHFRSNNAAIAIPWNGTKPRSPVPLSSQILPPQGDTFWSMSKAIKYNSSLCGKDSSIKKIVSTPAAPGIFNLNQEFFIESSCKSSATWPEEMLPSTTASVNLDESQFKLLRNCFQGTSNSWGQVIVTAGWDGRIRYFQNFGLPVHQ >ORGLA04G0190600.1 pep chromosome:AGI1.1:4:20441567:20443610:-1 gene:ORGLA04G0190600 transcript:ORGLA04G0190600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHWHQGLGLVKPMEEMLMAANAAAGANPNPAATAPSSVTGGALRGGGGGGAPPVAGGAGAGSTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSAASASPASASTANSVVTSASMSMSMASTGGGASKNPKLVHEGAQDLNLAFPHHGGLQAPGEFPAFPSLESSSVCNPGGPMGTNGRGGGALSAMELLRSTGCYMPLQVPMQMPAEYATPGFALGEFRAPPPPPQSSQSLLGFSLDAHGSVGGPSAAGFGSSAGLQGVPESTGRLLFPFEDLKPTVSSGTGGGGASGGGGGVDGGHQFDHGKEQQAGGGGGGPGGHDTPGFWNGMIGGGSGTSW >ORGLA04G0190500.1 pep chromosome:AGI1.1:4:20428192:20431252:1 gene:ORGLA04G0190500 transcript:ORGLA04G0190500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVQPADLNKNTEWFMYPGVWTTYILILFFSWLLVLSVFGCTPGMAWTFVNLAHFAMTYHFFHWKKGTPFADDQGMYNRLTWWEQMDNGKQLTRNRKFLTVVPLVLYLIASHMTDYQHPMLFLNTIAVVVLVVAKLPNMHKVRIFGINAGN >ORGLA04G0190400.1 pep chromosome:AGI1.1:4:20424264:20425795:1 gene:ORGLA04G0190400 transcript:ORGLA04G0190400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMAGGGGEEGAGSMEGESLAAGRGSLVAAQLRAGGERLCGVELGSARPGGGTKGRNRGGAGRRREWSREKKVRAPIGGGGQRRRRLRLGDRRAHRRSAMVGAGGKGVATRRRPSRRDDGLGVDEDNVDGGFSGGGGGGCGGGALAARSCARAASAPWHAARAQHIILLVTILHAHPRIGFAKTKSTHKATTQPAAAGLIFRMRKRSVPASTAAYGCSFPEGGKKTIGLFFWLAFVDCATS >ORGLA04G0190300.1 pep chromosome:AGI1.1:4:20420433:20422076:1 gene:ORGLA04G0190300 transcript:ORGLA04G0190300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHPKFTQIELCSGLVLLPPGYTPTRVARDRGKKKRGTAAGGGTERPPSRPALVRAESVGSSIYPPIIAVEGEADVAQGGLGFPEVPPGFEKVNAALAPNTPMPVASPSTAGPKKNKKLAAAMAVAAVERTSPITGPGRITVNSL >ORGLA04G0190200.1 pep chromosome:AGI1.1:4:20407126:20408926:-1 gene:ORGLA04G0190200 transcript:ORGLA04G0190200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVANGGAAPEWRVTVPEGATVTVEREAGRCRRAAVAWAWQLVSCVAALGSRASGLAGRVWKIGADDPRRAVHGVKVGLALALVSVFYYTRPLYDGVGGAAMWAVMTVVVVFEFTVGGCVYKGFNRATATVSAGAVALGVHWIASKSGDKLEPVVRSGSVFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDALVAMAQQRVSTIAIGIFICLAVCVLICPVWAGQELHRLTARNMDKLAGAVEACVEGYFVAGEEEAAGPEYKRRPAAAAAAEGYKCVLNSKASEDAQANLARWEPAHGRFGFRHPYAQYKAVGAAMRHCAYCVEALSGCIRSAEAQSPEGVKRHLAGASTRVATRCAAVLREASSSVAAMTTPSRGLDFAVADMNTAVQELQSEVRELPSKLAAAAGEPAAAQQLMDAVQLLTVTSLLIEVSARIEGVVDAVDTLATLAAFRSADDDDDDEKPATEADAKLQTVSDHVTVEPEAAAAAAAARTTKNIEQV >ORGLA04G0190100.1 pep chromosome:AGI1.1:4:20399398:20400858:-1 gene:ORGLA04G0190100 transcript:ORGLA04G0190100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:I1PNY2] MEAAASSLSSLLATLRVVGPWTPPATWESVTQAGGAARTANPGGRLRGDPIYELASVPDASLVRLALHALHGVKSSLDEIEELSVLFFSCPADRTSHRVANVWSRSSSTTSVGNILKSIRTTGLSVLFLCKFLHFYLFQSRELNGRGREGHEHEVSDSEETEQPAPYSLVNQAFAAAVEKVLEGYFCSLNTLPASIKLRRLEGQPDIPSMTPDGASYNSNSEITLLEVYLHTEELRRHIKSLGNICFPKFAGLSLCQEGLTTDSNLGFENFPWSTDLLSYLYVHLRVSNHLMIFNHTTSIGIS >ORGLA04G0190000.1 pep chromosome:AGI1.1:4:20396139:20397188:-1 gene:ORGLA04G0190000 transcript:ORGLA04G0190000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSMFSSYRASSGEAKCSSVTTKLLSYETCSVSDGINPASPINENKKKDDLSYGHVPMDSQSIEHDVVCDALELDNQYSEFWPFDSFLKNSFDMSSGKMSLAEEFLYTGDKGAEQVSHANVVCRHSESGSPRLPNRDNDEKSSNIKQPWNTNIPYNFSINPILKNAVSCHTEHDLHGNRKNRALIGFNFESVTNPCEAYCGRSTSSLDEFEVRSAMAVQSNAQASKQFDCSSKLLQSKTTSHAYLTSPGDISAQTNLLENPSGGAFWEKSLEYTAKSMEIAGDTTSSSDMPLDIAIDKCIIQEVLLQYPCGFPTILSWYIAAGILFKSGHYFFLVLIYYSFELYFSLF >ORGLA04G0189900.1 pep chromosome:AGI1.1:4:20391245:20395662:-1 gene:ORGLA04G0189900 transcript:ORGLA04G0189900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:I1PNY0] MKLLEDGFDLCGHLQALRRYHFMELADWADSFIVSIYKKKWSFVKSEHKRAEIQGLLDLALQRSSCDSDPYKERLFVYMNEQPVVSLKASTCGLDVLDDILLGYKVDWPVNIVITEEALKTYAEIFRYLVQVRHAVFSLTEVWRFLKELTQLISRSSHNRPDVLKELSSVMKLRHQVYHFLSTLQQYLHCHLSDISWRRFQHSLQHQVRDMLDLEYVHLCYVTDALHICFLSAETKPIAAIINSILQQALELRSCFKSLNYISESTVKQLNLDSLINFSQVDAIRTKFEGNIKDLYILHLKSSKYGEIGLYRFWGYLNYNEYHSLMINKDMGCFYF >ORGLA04G0189800.1 pep chromosome:AGI1.1:4:20386425:20386988:-1 gene:ORGLA04G0189800 transcript:ORGLA04G0189800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADQPAYGDRRPSRRTYKPDQPEGLTISFRELYDLPTSPEFLFHEEALRSRRTCGEDLTFYTGCGYLVGRAAGAAAGLKRAAEEAERGESMKLRGQPRPQPVRLPRARERQPARRRRAALRGDREHRGGPPRRRRLGQHRRRRDRYRRALPRGCRPAGGDRRQLRRGAHGRSGGRGEASADDIRA >ORGLA04G0189700.1 pep chromosome:AGI1.1:4:20377634:20380766:1 gene:ORGLA04G0189700 transcript:ORGLA04G0189700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGGCGRNGAVRQYIRSKVPRLRWTGELHCSFVQAIEFLGGQDKATPKLILQLMGVKGLTISHVKSHLQMYRCSRLGSHGTGRRSEMQPQLQRKHSCGADEQVPREFLCPPLKRTRMGTEATYKGMQGSQGISEMRTTGTQYCIDDYMQAMAMERRIKEEGLRWQRDAAAAAAADGGAAASNLQTVGCSVQESDPFKIIKPEVHHLGPVLKLQCSKVENSGFISSSTGTAARDQPEPPPLEKCSLSLSLGPDPKCMPAIASSPSESSCILSSSSRSFSDCSGNSGCLVAPGVNLELSMSICGS >ORGLA04G0189600.1 pep chromosome:AGI1.1:4:20333577:20348289:-1 gene:ORGLA04G0189600 transcript:ORGLA04G0189600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLENGRPHHHQVPIMVKKKRTGSGSTGESSGEAPGAPGHGSSQRAERGPQQHGGGRGWVPQQGGRGGGQYQGRGGHYQGRGGQGSHHPGGGPPEYQGRGGPGSHHPGGGPPDYQGRGGSGSHHPGGGPPEYQPRDYQGRGGPRPRGGMPQPYYGGPRGSGGRSVPSGSSRTVPELHQAPHVQYQAPMVSPTPSGAGSSSQPAAEVSSGQVQQQFQQLATRDQSSTSQAIQIAPPSSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMFELVTLYRYSHLGGRLPAYDGRKSLYTAGPLPFASRTFEITLQDEEDSLGGGQGTQRRERLFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTTRYSPVGRSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILRTVSHNAYHEDQYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVNNWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVERALKARYQDAMNMLRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPHRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGAATSRGLPPGVRSARVAGNVAVRPLPALKENVKRVMFYC >ORGLA04G0189500.1 pep chromosome:AGI1.1:4:20297397:20297819:1 gene:ORGLA04G0189500 transcript:ORGLA04G0189500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSSSCCLLSFPLLCLPHDTSHGFCSAAQRSPAPCFSPLSVCSPCMHGHWKENSPCIVGREEREMDSERDHQLMQCKDHSVTGGSVYGDASFSPSHTHLLPSISLTRTHKNTHDCFCFMVVSLHSSDFANYNINHQGF >ORGLA04G0189400.1 pep chromosome:AGI1.1:4:20283299:20290426:1 gene:ORGLA04G0189400 transcript:ORGLA04G0189400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G02090) TAIR;Acc:AT2G02090] MRRGYEEIDDDEWSNHSFKPSRVLKRPSRGAQPDSQPPPPIDSFRYNPRPSSSSAAAAAATATVVLSDDDDDFELGEEERLRRAGKSTRVLNRPQRQHSPPGRALPPRESFRYNPKPAKAAAAVSVSDDDDDGFDLEDDDFDIPSSRTSRPRRTAGRRLATAVADLSEEDDDLELADDDFDHPDPRPTRPRRATARRFVIKDDDDSDGDVGASEVDGVEAEEDDGVNWSELENEDDEDGDYGETKVEVEEGDVVGKALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIVTQDDVDAACTSEESEFEPILKPYQLVGVNFLLLLHRKNIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNYAIDEYRGACQARSAKSTSNFSNNVVGLIPKRQISNYFMQFRKIANHPLLIRRIYSDKDVDRIAKLLYPKGAFGFECSLERATQELRKYNDFAIHQLLVSYGDSGTKGALTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGWIGKLKIAAIALDNRNLSLSIDCEHITIFISTWLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLLLDDTLVTCEVLIGFPSKARWNIPIVMLEDFAHDQQNLIACLEDRFV >ORGLA04G0189300.1 pep chromosome:AGI1.1:4:20280010:20280375:-1 gene:ORGLA04G0189300 transcript:ORGLA04G0189300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAYKKAKPGRLVFKGGEAASLHKPPKKHKKNKNPASDAPADAEADAKGAAAPSAEGAAEGGGDEYTIDAAKRMKYEDLFPVESKKFGYDPANAAKASRSRTVEEALDDRVRKKADRYCK >ORGLA04G0189200.1 pep chromosome:AGI1.1:4:20273275:20275454:-1 gene:ORGLA04G0189200 transcript:ORGLA04G0189200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCALHPAAYRRIFHNPRSTRSHGLVAAASPPLHQPAAACGETHRIIQMHALFAVARDTAFSSSPAADERLPNEHPGGHLWNQSVLSREEEHGSNDDSFRESLVSLLDNTRDYVMAPEVFEGVPVACDYLKGMDSDGMAASAVAAYSLDNNGQHAGVSSIEHGIASSPLLAYQLGKNSAVVQRSIQQQEVGSPMAAFLQQLIPTSVLDHSGIGFGGVCLDGSALEASFCMRTSPDVSSFSGHRSATAEELMSTDTREQEITRLARSCSSSGSDRNKKKLSEVRGGGKAKKFKSETSHSTSSPKHQSPKVKLGEKITALQQIVSPFGKTDTASVLLETITYIKFLHEQIQLFSQPYMTNSTNKGHIHWGGEGKRKAGLEHDLRGRGLCLVPVSWTSQEYCDSILPECWAPAYRNYFYR >ORGLA04G0189100.1 pep chromosome:AGI1.1:4:20255869:20257146:-1 gene:ORGLA04G0189100 transcript:ORGLA04G0189100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHKEASSSSSRLDAAPLLPHHGHGGGGAGHHLSSQPKTFANVFIAVVGSGVLGLPYTFSRTGWVAGSVLLLAVAALTFHCMMLLVACRRRLAYDHPKIASFGDLGAAVCGPAGRHVVDAMLVLSQASFCVGYLIFISNTMAHLYPVGDSSPSSPLLTAKAIFIWVMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDVSTWLANKPPVFASAGPTEILYGLGVAVYAFEGIGMVLPLEAEAADKRKFGGTLALSMAFIAVMYGLFGAMGYLAFGAATRDIITTNLGTGWLSVAVQLGLCINLFFTMPVMMNPVYEVAERLLCRKRYAWWLRWLLVMVVGLMAMLVPNFADFLSLVGSSVCVLLGFVLPAAFHLKVFGAEVGWPGLAGDVAVIVVGTALAVSGTWTSLAQIFGSSDV >ORGLA04G0189000.1 pep chromosome:AGI1.1:4:20249893:20251287:1 gene:ORGLA04G0189000 transcript:ORGLA04G0189000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PNX1] MAEKGNPANKVAIVAVPFPAQGHLNQVLHLSLQLASSSHGLAVHYAAPAPQLRQARARVHGWDDKALLSVQFHDLGISTYVSPPPDPTADTPFPSHLMPLWEAYTADARAPLSALLDELSASHRRVVVVCDTINSFAVEEAARLPNGEAFPVSCVAVSALALHIDTGHRLLRENGLNHAPLETYMTQEFLDYASERARASESILSGAGILANASRALEGDFIDDLAETLAAGGKKLFAIGPLNPLLNTGSSEQGRRRHECLDWLDRQPPDSVLYVSFGTTCSLRVEQVAELAATLRGSKQRFIWVMRDADRGNIFTDTGEGETRHAKLLSEFSKQTEGTGMVITGWAPQLEILAHGATAAFMSHCGWNSTMESMSHGKPILAWPMHSDQPWDAELVCKYFKAGLLVRPWEKHGEVLPAATIQEVIKKMMASDEGLAVRQRAKALGDAVRSSRNDLEDFIAHITR >ORGLA04G0188900.1 pep chromosome:AGI1.1:4:20243982:20244747:1 gene:ORGLA04G0188900 transcript:ORGLA04G0188900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSMAIQRRDLITGSKSQKNTTHMPLKEGKEQLCSARTNGIQSAHLLQSFMDVGAYTSSSNRDTDEATDAKHCRPQGQKAAKERRKGKGKGKLGKGRLSDESVGQFNNMQIKKSEAIEKMAADAREHAQAIAIQAEADKEKVKMEKIKQFNELLKIDTSSYSESQKARHEKTLDFLSNEIYGVEK >ORGLA04G0188800.1 pep chromosome:AGI1.1:4:20241274:20242668:1 gene:ORGLA04G0188800 transcript:ORGLA04G0188800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PNW9] MAAKGNPADAVAIVAVPFPAQGHLNQLLHLSLQLASSSHGLTVHYAAPAPQLRQARARVHGWDDKALLSVQFHDLGISTYVSPPPDPTADAPFPSHLMPLWEAYTADARAPLSALLGELSASYRRVVVICDIMNSFAVKEAARLPNGEAFVCNCVAVSSATGSIDPGHRLLRENGLRFIPMDTYLTKEFMDYEQQRARAAQSISSCAGILANACRALEGEFIDVFAERLDASSKKLFAIGPLNPLLDTGALKQGRRRHECLDWLDRQPPESVLYVSFGTTSSLRVEQVAELAAALRGSKQRFIWVLRDADRGNIFAGSGESESRYAKLLSEFCKETEGTDLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDAELVCNYLKAGFLVRPWEKHGEVVPATTIQAEIEKMMASEEGLAVRQRAKALGDAVRSSRNDLEDFVDHITR >ORGLA04G0188700.1 pep chromosome:AGI1.1:4:20222804:20229410:-1 gene:ORGLA04G0188700 transcript:ORGLA04G0188700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35560) TAIR;Acc:AT4G35560] HRIGGISGLRAEDVSPRLAFHYGVPADAALLAYDPALHVLAVATRNGQIKLFGRDNTQALLQSPSPVPSKFLRFAEGQGVLLNVNAKNQIEIWDIDTKKLCYVHPFEKEITAFSVLQNSFYIYVGDSFGNVSLLKLDLGQTCLVDMPYWIPFSESYGSGANVGNEVEVAFVSPQPLAENNRVLIIFRDGIMSLWDIKTSKVVSLSGKSMQQQSHQEAKAVTSACWACAKGSKIAIGFDSGDIYLWSIPDILNAQNLSSMGNQNLPLQRLNLGYKLDRVSIVSLRWVNSDGKAGRLYVNGFSDHAYLFQVLILNEESESRIVKMVLPLTEACQGMELVSGLSDPNKHKQSALVLLLKSGQICLYDDSEIERYLLHAQSRSPLALPNYSSVKLPYGDPSITAAKFYTSSPTAATSLDEDYFSSLATKYPWFLSMKDKHQTSTGSADIHKTRNLYVTGHLDGTIRFWDASCPLLLQNLMIKQQNEENSPSGTPITSLQFDMSSSILICGDRSGTVRIITFRKDSSDNIFSFLHAKQGESYNVRCIKLKGAVTSISLISNSKCVAVGTEKGIVSVVSIEDASILYQKQLECRVSGGIASLQFEMYSHNGYDKDLLLVGMEDSTIYILEEETGKLLNTNPVQTNKPSRALLMQTLELSPDDPSVSDTHDTVSKESLLLLCTENAIRLFSLSHAIQGTKNIINKKKPNGSCCFASLIHSVSSEIGLLLVFSNGKIEIRSLPDLSILKEASLRGFSYTRNLNSSSSIACSSDGEIILVNGEETFFFSTLCQNDIYRHVDSINTIYRKDNSPREESSYVVKSPREKKKGIFGMIMKDTKASKGKQSDANGDEQFTATTSEELSSIFSCANFAPVSEKRNSSIKDDENIELDIDDINIDDNPQKQKGPHFPGLSKQKISKGFQSLREKLKPRTEEKVNSGNRKPEDDTSVSQVDQIKMKYGYATNDDPTSLPKMIGNKLQENIKKLEGINIRAGDMANGAQSFSAMAKELLRNTKNEKATS >ORGLA04G0188600.1 pep chromosome:AGI1.1:4:20219221:20221872:1 gene:ORGLA04G0188600 transcript:ORGLA04G0188600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKADADAEFKAAGKRKKAGGAGKPKRGLTPFFAFLAEFRPQYMEKHPNTKGVAAVTKAAGEKWRAMSDEEKAQYGGKKPDGESKPAAASKKKESTSSKKAKTDGAEQEGEGSDKSKSDVEDDENDGSGEVYRTLYSTWRCLALKWK >ORGLA04G0188500.1 pep chromosome:AGI1.1:4:20216058:20217845:1 gene:ORGLA04G0188500 transcript:ORGLA04G0188500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWAWQSQPAHRLLLLFNRDEYHSRCAPCSVPSIPTPYNSHLLTRARRDFLSRPTQPAGWWAAGKAEVKLILGGRDELGGGTWLGCTRDGKLAFLTNVREPGTLVGAKSRGELPVRFLQGNQCPLEYAEEIAKEADQYNGFNLVLADVQSGNMAYISNRPEGEPVVQKVLPGFHVLSNAAIDCPWPKMLRLGQSFNRFLATQDGAEVSLQQMVEELMMDPVKADKSAVPDTGVDPDWEYQLSSIFIDTEKGQARYGTRSMTALAVKFNGEVTFYERYLESNLWKENLMQFELEMSQWEDLRGTSNISPKSC >ORGLA04G0188400.1 pep chromosome:AGI1.1:4:20210147:20210571:1 gene:ORGLA04G0188400 transcript:ORGLA04G0188400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVSTIIRSWNKRIGGVPIPSTRSAPCSALPTLQRRAWTTMACSAQSAQGRT >ORGLA04G0188300.1 pep chromosome:AGI1.1:4:20207688:20208561:1 gene:ORGLA04G0188300 transcript:ORGLA04G0188300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLKPKSECQTRTPRMLCNRFCQRMEDDVHSTTSLQERAEKRKKLYQKSVEKIHAKELEQTQAKSKGEENNPATWKSVSEECAGYMREFLTDLAYCSNVEVVAREATA >ORGLA04G0188200.1 pep chromosome:AGI1.1:4:20202026:20204335:-1 gene:ORGLA04G0188200 transcript:ORGLA04G0188200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAVSTLSALAVFASTLDHGAVRSVHGYKVYGRGGRRRWERWVEREFVLTPASCREVPAPVAPPRILPAEWRGRPAYREGQVVAAGAWRCILAFDSAAAPPRTPPPVLSPFLNPRLMCVPSLYNDLEKVFRFQNVEKIPKLMQCDSEEKLSSWDARDKSSDEVHASESDSDDDLQSGEEEKPTVQKQRRANKKHIASITLVDIAQYFHLPIREASRTLKIGVSILKRKCRQYNIPRWPHRKIKSLDSLIQDLEYVIDDGDDHDDTGDDVQQEKHKQTAEEKQEAIMALTRRKQMLETEKETIQQIPAMDLKVETKQFREDVFKRRYRAKKDLAND >ORGLA04G0188100.1 pep chromosome:AGI1.1:4:20189516:20194208:-1 gene:ORGLA04G0188100 transcript:ORGLA04G0188100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSEEAEAAATVRAPAQGHHGRRQDSDQISNLAAEAAAPANRRSPIMAPPKALASMSSAAGHHSGMRSSSSMSSITTRSSSSSSNLPLGAAGGADVGVAGALYPEPEGRILEVPNLRIFTFAELRAATRNFKPDSVLGEGGFGRVYKGWVDERTMSPARSGTGMVIAVKKLNPESVQGLQEWQSEVNFLGRLSHPNLVRLIGYCVEDRELLLVYEFMAKGSLENHLFRKGSAYQPISWNLRLRIAIGAARGLAFLHSSERQIIYRDFKASNILLDTHYNAKLSDFGLAKNGPTAGESHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGMRALDTGRPAPQHSLVEWAKPYLADRRKLARLVDPRLEGQYPSRAAQQAAQLTLRCLSGDPRSRPSMAEVVQALVEIERIRSRPKAASSREDASPRVPTRSGGHGHHHHHHSSRSRFFLWFAFQQRCWTANLLQKHGIDSHLVYPFCAQDLETANHILLDCVFARQVWLRVLSPLGWTALSLPAVAGSRTGGRPPGPAYLSISVTVSTPWCC >ORGLA04G0188000.1 pep chromosome:AGI1.1:4:20159667:20175577:-1 gene:ORGLA04G0188000 transcript:ORGLA04G0188000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein [Source:Projected from Arabidopsis thaliana (AT1G42470) TAIR;Acc:AT1G42470] MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAVKPLNATIYSCGDPSLGCSCGDCPSSSVCTGSLLPQLNTETSCSVKMGSLKAKCLDFSLVVVYLVLLCIFLFGAFLHRTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETIGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVISYIVMFAYISFTLGDRPSHLLSLFVSSKVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAAALAVLLDFLLQVSAFVALIVLDFRRAQDGRIDCMPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNEIAKQSLSPETSYIAKPAASWLDDFLIWMSPEAFGCCRKFVNGSYCPPDDQCFLRSDLHNGRPSTTQFKEKLPWFLDALPSSDCSKGGKGAYSTSLDLNGYENGIIQASAFRTYHTPLNKQSDYVNSMKAARDFSSKMSKELQMQMFPYSVFYIFFEQYLGVWKTAIMNICVCLGTVFVVCFVVTSSLWASIIILIVLAMIVLDLMAPYIALVALLTYVYWFPVYAKLGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMLFFSIVILKPLMTFFEMQIGIGNRESRARQALSTMGASVFSGITLTKLVGVIVLRFAKSEVFVVYYFQMYLALVIIGFLHGLIFLPVVLSLCGPPSKVMKPLEQSQPSASSE >ORGLA04G0187900.1 pep chromosome:AGI1.1:4:20153619:20156028:-1 gene:ORGLA04G0187900 transcript:ORGLA04G0187900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASENRRLAAVGKPVPGIGEMGNRRPLRDINNLVGAPPHPSAIAKKPMLEKSGKEEQKPALVVSHRPMTRNFAASLTRKEQLDHQVSVADAAVVCPDPQKNPIPDGTVDDDVESCESNDYIAVDECNDTDEDESMMDIDSADSGNPLAATEYVEELYKFYRENEEMSCVQPDYMSSQGDINEKMRAILIDWLIEVHHKFELMDETLFLTVNIVDRFLEKQVVPRKKLQLVGVTAMLLACKYEEVAVPVVEDLVLISDRAYTKGQILEMEKLILNTLQFNMSVPTPYVFMRRFLKAAQSDKQLQLLSFFILELSLVEYQMLKYRPSLLAAAAVYTAQCALTRCQQWTKTCELHSRYTGEQLLECSRMMVDFHQKAGAGKLTGVHRKYSTFKFGCAAKTEPALFLLESGAGGYNLQKQPC >ORGLA04G0187800.1 pep chromosome:AGI1.1:4:20150203:20152781:-1 gene:ORGLA04G0187800 transcript:ORGLA04G0187800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLLRQSTLRRLRRCLRRRPLPMRRRRCRCSCFCSTERVAPLRWGGLASPTRRLLCCLTEPMPAPSVRMKQRMLIPARKRRFISCCLKLPTSGVSLVSPPLRREDQRVEKEEEEISGSSDETEEEEGHGHKYYSVQIRMWPGIPRVVLSVFGRNKIKXLLKKKLKVLLCFNLSSFVLXLLQGDGCWEFTKGYRVKWLQQNEKEGVLKTIAIAPEIDDDRTQEFSDDLKKLICAKISANDYRVIKLLENFDDMDAINNREPREVKIMSCLKAPCVVSFYQAWITDDDPYFSENLSCSTENDQSCSTEDDLSSSSEDKYVIILMEHCLRTLRNDLRFGPREINTEESWMLFEEITRAVQCIHHEGIVHRDLKPSNIFFGSNGLVIIADFGHACWATNKIDELKGTPDRGTPMYSAPELKEGQHVTEKTDIFSIGVIFFELFYPFKTGHEQRDVLTNLRKGIHPADWKWSGDSVLLKKLTALIPSNRPSTDEILVNTLI >ORGLA04G0187700.1 pep chromosome:AGI1.1:4:20143220:20143891:1 gene:ORGLA04G0187700 transcript:ORGLA04G0187700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHSSANRVSFASAIEGRWRLASTITQGSGDRYADCVYHKGRFYTLTMYGVLEMLDLDNPCEPRKEIFFTERNTENPLVFLRFLVSTPWGDLLQIKFSRRVHRTKRLELRLCKIEVENNRLVRLRSAVAAAALREHAIFVGQNHSVCLPIRDFPELRSSCVYFTTPCLCNDDHFPSRREGWKGVGIYDLQNQIFEDVFPSCERGYSTYLPISEVWITPSPGL >ORGLA04G0187600.1 pep chromosome:AGI1.1:4:20136652:20139419:-1 gene:ORGLA04G0187600 transcript:ORGLA04G0187600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNLRLLMPLLAQYTTPTWATLVAGFFVLLSLSLSIYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLINPNTSVYCGILRDGYEAFAMYCFGRYITACLGGEDKTIAFLKREGGSGSRQPLLDHASEKGIIHHHFPVNFILKPWRLGMRFYLIIKFGIFQYVIIKTVTASLSLFLEAFGVYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGVVIAIMYSLGLLRSPLAQSLELKSSIQDFIICIEMGIASIVHLYVFPAKPYELQANQSPGNVSVLGDYVSSDPVDPFEIKESNRPAKLKLPQLEPDERSTTNIKESVRDFVVGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKNKKSQDDNWVSAVSPDRPVRGIDDPLLGGSTSDSGFTKGKKHRRAVSTVAAADSWGGGDLASDGYEIRGRRWAVKN >ORGLA04G0187500.1 pep chromosome:AGI1.1:4:20125730:20129166:-1 gene:ORGLA04G0187500 transcript:ORGLA04G0187500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRRHQHHGRWVVPAVAPAAAAFTAAGLLLVVVAFHCFLSPPLGGGGGGGGGHRVVRRPNPPFLLNKPSELRRNVVGTVDFAVPSGGSKLGEELWASKLASNFFGCSNATKAFADAKAVTEPNRYLMIATSGGLNQQRTGIIDAVVAARILNATLVIPNLDQKSFWKDASDFADIFDVESFISSLSNDVKIIRQVPDRNGKTPYPYKMRIPRKCTAKCYENRVLPALLKKHVVQLTKFDYRVSNRLETNLQKLRCRVNYHALQFTDPILKMGEMLVQRMRAKSGRFIALHLRFEPDMLAFSGCYFGGGEIERRELGAIRKRWKTLHASNPDRERRHGKCPLTPEEVGLMLRALGFGKDVHIYVASGEVYGGEETLAPLKALFPNFHSKETLASKEELAPFLSFSSRMAALDYIVCDKSDVFVTNNNGNMARMLAGRRRYFGHKRTIRPNAKKLYSLFLNRTSMSWETFSSKVQTLQKGFMGEPNEIKPGRGEFHEHPMDCICAKTKGRSGHSKPHPSNRIGEAMEKRANDGEFDWRDLDYGENAPLGRDSSNETDSDMHELEDMVSD >ORGLA04G0187400.1 pep chromosome:AGI1.1:4:20118967:20122669:1 gene:ORGLA04G0187400 transcript:ORGLA04G0187400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLEDQEAVALCRGRAELLAAAVRHRYALADAHGALADSLHSMAAPLHRLLLLQLQASSPQLTLPTARKGGRPRTAAAAATLSLPHGRSAHLDDLGSPSGSETASPADSPLCAFPEQQLPYPHYAYGYGTGPAFAYPPPPASSLQFYYARSRPPPPSVGVAQRAPVSTERVYYGSFDPTSGYPQYYANGGVPATAAPQRMAAPAPPRSPPRESSWAFLNVFANYEPYDNYYYDSTAAAASAAAYTPSRSSREVREEEGIPELEEDEDDCVFKEVASGGYSAGSGGHRSRRSSIGSLSSVAEQENAVIDNDVVASTSEIYRRPLAHRNVAMRAPAQAAQRVAGNGGNVDVAGEIKAQLVRAAEATRELAPLLEVGKPSYQEHSHASSRLMSSIPVPNLGCKGVDLVDIRGGGVMVDSKSLSLTLEKLYFWERKLYGEVKFYAIMTCCRLVQKKFGPGWRQAEEKMRLLLAKNSKRLKLLDQRGAEAHKIDATRNLLRKLSTKIKIAVRVIAKVSRKVNKVRDEELGPQVNALIQGFIKMWEYKLHSYHTQFQVISEAKNLVSVVSRENGPDLAMELELELIKWIINFSSWVNAHRNFVRALNGWLALCLNYETGETTYGEPPYSPGRIGAPLVFIICNRWSQAMDQISEKDVVNAMKALVSSVQHLWEQQNQEEGEERILAIRERERWMKMLEKKTLEVKREADELNKKLALVLRRQSLHQRPTMQTYEAHCVEASSVHINLRLVLQALENFAANSLQAFQEILRQSDS >ORGLA04G0187300.1 pep chromosome:AGI1.1:4:20103058:20104113:1 gene:ORGLA04G0187300 transcript:ORGLA04G0187300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRRTISFPAPRPAAFAIGATARDKLAAAYHVRSASLPCRFHPLVVQLDDDVAALRLVIGQSPPAAPSASSVSAAASQVGRVLVSLSELLHHPQAQEPLRRLGRSTFAERLLDDFLRLADAHGSFREALVGLSALQAETRAALRRGDPARLASAARAQRRAGRDLPRLAAAARAVVSKSPAQLPEDLPADTAAIAAAVADATIAVASGSAAVFSGLSSLSNSAAAARVEVASTPCWVTAPARLTASSDEPSTSHHRIWWVADLVRWMSRAKRRSAKKQNDGGGDDGESSTVQLRSESRVKPEEKARRAAFERHENLERCIASVDSSGEKVFRALVNTRVSLLNILSPSF >ORGLA04G0187200.1 pep chromosome:AGI1.1:4:20090661:20092599:-1 gene:ORGLA04G0187200 transcript:ORGLA04G0187200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYS/HIS transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G35180) TAIR;Acc:AT4G35180] MSSEVTSVPPTPTPPPVSTPPSQIQSPAAPASSRASPLRGMGTPNIASPVRKAVASVSGYLGEVGQMTRLADPRDAWLPITESRSGNAYYAAFHSLSSGIGFQALVLPVAFSLLGWTWAIICLTVAFAWQLYTLWLLVKLHEPVAGGTRYSRYMYLATTVFGEKWGKILALLPVMYLSAGTCTALIIVGGGSMKLLFNIACGEVCLARPLTTVEWYLVFVCVAALLSQLPNLNSIAGVSLVGATAAVAYCTMIWVVSVAKGRVAGVSYDPVRATDEEDGAIGILNGLGIIAFAFRGHNLVLEIQATMPSTLKHPSHVPMWKGVKAAYVIIALCLYPVAVGGFWAYGDQIPPNGILSALYKFHSQDVSRVVLGTATLLVIVNCLTTYQIYAMPVFDNMETGYVHKKNRPCPWWMRAGFRALFGAINLLIAVALPFLSELAGLLGGISLPVTLAYPCFMWVAIMRPAKGTAMWYTNWGLGSLGMGLSFVLIVGNLWGLVEKGLHVKFFKPADFQ >ORGLA04G0187100.1 pep chromosome:AGI1.1:4:20084078:20089054:1 gene:ORGLA04G0187100 transcript:ORGLA04G0187100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PNV2] MPRCGAGGPCVWIRALSQPQRHGRKPWRGVRVVVLLLHALFIGAVFLLNPTLQRQIHEAKWYIILYGLLVLLTLVQYLYTATSSPGYLPDMLTAGSRMHATFINTTTLSKQANSKSGSLNSAMSRSKIDQQNPQSTTALLLQQTMDLYPPGTSTSCMPPFYFRDFTCSYCRLIQPPRTKHCHDCDKCVLQFDHHCVWLGTCIAKRNYCRFWWYIFEQTVLTVWTVAFYIQFFYLGIVVSWWKFAIGIVLLVALILILVVLLPLLIFHAYLALTNQTTYEIARRKRISYLREVPSRVHPFSKGICRNLYDLCISKQRGFFLEAVPPLEVLQARARPYTCRDVISCRCC >ORGLA04G0187000.1 pep chromosome:AGI1.1:4:20080065:20082981:-1 gene:ORGLA04G0187000 transcript:ORGLA04G0187000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSMGALAAVCVCMFVFVSSARAEDPYRFFDWEVTTGNINPLGVQQQGILINGQFPGPEIDCQTNDNLIVNVHNRLSEPFLLSWNGLQHRKNSWQDGVSGTNCPIPPGQNFTYQMQAKDQIGSFFYFPSLAFHKAAGGFGAIRIRSRPLIPVPFDPPAGEYTMLIGDWYKTSHKALQAMLDSRKQLPSPDGILINGKGPNGASFTVEQGKTYRLRVSNVGLQSTLNLRIQDHNMTLVEVEGTHTVQNTYSSLYVHAGQSLSVLFTANRPPGVYQITVSTRFAKRALNSSAVLRYAGSSATISEPPPPAGLADDIDFSLDQARSIRTNLTASGPRPNPQGSYHYGSINVTRTIRLANSAGHVAGKQRYAVNGVSFVEADTPLKLADYYRISDVFRLGGIPDAPPAGAAAAPRSEAAVMDSDYRSFLEIVFENSEDSVQIWHLDGYSLFVVGMDRGVWSEQSRKSYNLVDAVSRCTVQVYPRAWTAVLVALDNVGMWNLRSEDWARRYQGQQFYLRVYTPSHSFRDELPIPSNALRCGRATNASGRSRTLSRY >ORGLA04G0186900.1 pep chromosome:AGI1.1:4:20076395:20077860:-1 gene:ORGLA04G0186900 transcript:ORGLA04G0186900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRGAFALVAALCLLELARRGLAEEPAVPAMFVFGDSTVDVGNNNFLANCKANCKANYPRYGVDYPFQSPTGRFSNGYNLADQLAQKLGFDKSPPPYLSLPDVTIISQMSKGINFASGGSGLIDSTGWKVCTEVFNMSAQVQSFTSAVQKMGNGTADLISRSLIFINTGSNDLFEYTDFPSNTTRNDTEFLQSLVASYKGHLKDLYGAGARKFSVVSPSLVGCCPSQRAVAHDTNDLDFHGCSRAANGLSRQLYPMLGSMLRGLAADLPGMHYSLGDSVGMAELVLNGTVLPGANFTVLDRPCCGGGVGGCNGTAPLCLDRGSYLFWDNFHPTAAASNVFARELFFDPGAFVHPMNVHELAELRP >ORGLA04G0186800.1 pep chromosome:AGI1.1:4:20071084:20075335:-1 gene:ORGLA04G0186800 transcript:ORGLA04G0186800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G20410) TAIR;Acc:AT1G20410] MAAAADVDAEARSILERAAASSFPPLHAIHHLLSVGVCVRCIFRFFGAFSYAAPCLSLTASVLHSFLEEHDDSAKSGSCSCLSKDEAYCSICFGVLLPTCYQDDGVEPLRSVSPIDNVTSIISEAVQREGHQVDEFSLEISLPAVIAANDRAIRLYMKEKYGSANWFDEKIFSQQTMSVKEALRILLVPSVEKQMNVKHGNNSFRIRLTYTHDEGSQKLLRLLPNDRGRKRKTESRDGSSKRGSTDDDKQILSESDAFINKTLEGIQDQDFRSLFQLPPEKVLEPCHLVISCQRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIGENVRAICKGDGYKFHAAGREDIDVRMLGSGRPFLVEVLNVRSIPSATEVQQIADKINDSEKKHVRVRNLKLVGSEIWTMMREGEAEKQKQYAALIWTSRPLTDDDLHNISLIKDMEIVQKTPIRVLHRRSPLERKRIIHWMEIEKISGGSNYYLLHLCTQAGTYIKEFVHGDLGRTHPSVGVMLSCRTEILQLDVTDVKMDFLQ >ORGLA04G0186700.1 pep chromosome:AGI1.1:4:20067728:20068946:1 gene:ORGLA04G0186700 transcript:ORGLA04G0186700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKAEAARERRSVAEADRRDRVERGKEEEYWREAEGPKSRAARRREEDAEKRAEAAARKAENRRLAEAEAASASASAPSKTAARKASRVGAPAPKVTEAELARRREDERLRLEREAEAAKKRAARTAEEEEYERVVLVANTNRDDSIIEARSVDEAIARMSLVDSEGALPADKHPERRLKASFKAFEEAELPKLKEEKPGLTLNQYKDMIWKLWKKSPDNPLNQVCSHKDI >ORGLA04G0186600.1 pep chromosome:AGI1.1:4:20061648:20065684:1 gene:ORGLA04G0186600 transcript:ORGLA04G0186600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPAADKPLPALRYPPARRDDDIVDDYHGVTVPDPYRWMEELESEEVKGFVDAQAAVAEAVLSTCDDHRVRLRGQLTALFDHRRYRAPFKRAGSYFYLHNPGLQPHSALYVQHGLGGGEEPDVLLDPNTFSDDATVSLAMFGVSHDGEHLAYGTSASGSDWVTIRVMRVRDRRHLHDEICWVKFSAIAWTRDGKGFFYSRFPAPKNDGAPLGAGIKTSVNLNHEVYYHFLGTDQSEDLLCWEDPDHPKYIYTPEVSEDGKYVILSVAETSEPVNKLYYYDLSALPDGLEGMKGNHGNAMLPFVKLVDEFEAYYALIANDDTQFTFLTNKNAPKYKLSRIDVNEPHSWMDILPEDEKAVLESACAVHGDKLLVNYLSDVKYVLQMRSLVTGELLHDIPIDIGSVNGISGRRDNSEVFIEFASFLTPGIIYRCDVSKETPEMNIYREISVGGFDRTDFEAKQVFYPSKDGTKIPMFIVSKKSIVLDGSHPTLLYGYGGFGMNMTPHFSVTRIVLMRNLGFVSCIANIRGGGEYGEDWHKAGSLANKQNCFDDFIAAGEFLVSAGYTNPSRLCVEGASNGGLLVAACINQRPDLFGCALAHVGVMDMLRFHKFTIGRAWTCDFGCSEKEEEFHWLIKYSPLHNVRRPWEKGHRRQQYPSTMLLTADHDDRVVPSHTLKFLATMQHVLCTSVKESPQTNPIVARIDRKSGHGCGRSTQKIIDEAADRYAFAAKMMGISWID >ORGLA04G0186500.1 pep chromosome:AGI1.1:4:20049307:20050357:-1 gene:ORGLA04G0186500 transcript:ORGLA04G0186500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMTKQEILLEKKRVITVQGRDKAGRPIVRIVGKNFPARELGGGGHAEAALKGYVRRRVTPAIGDAEFVVVYMHSGVDRRENFPGVGAVRTAYESMPAAVRERLHAVYFLHPGLQSRLFFSTLGRFLFSSGLYRKLRYVSRLEYLWAHVRKGELDVPEAVRRHDDELEQRPLMDYGIEASERCGVFDAASMDTTASLHSLRCAS >ORGLA04G0186400.1 pep chromosome:AGI1.1:4:20044199:20047412:1 gene:ORGLA04G0186400 transcript:ORGLA04G0186400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRGKGSITSHASDKSSRSGSFDFEHDQDPDRDRRHDDAHRREVVVKIEPEAHVPVDLHAGGSHAANAPGAGGVAVGGVVPGSGSVSSASSSPGGGGNGESFSFKNRPPQSPASPAMSVGGEGSDDPPTRLIGSFLRKQAAAGGELALDPDLEMEEMRRPPRAPTSMNASRELRVSFQDPHKRFSPSTSSASTSSYAGDSRNQACSTAEAAEVIRCTSMSTGNNLLARSKTRSRLMDPPPPTISHPTEAERNDRKSFVGKGPPKSGQLRSGLIGKSGLIGLSGPIGKPGAFDDDDDDPFVDEGLAADLKRDTVDCLLILEWVGLIVIMGALVCSLSIRSLANKKLSGLHLWKWELLVFVLICGRLVSGWVIRICVFFVERNFLLRKKVLYFVYGVRRAVRNVLWLGLALISWHLLFDKDAKRDSHTLVLPYVTKVLCCLLVATVIRLVKTLLLKVLASSFHVSTYFDRIQDALFNQYVIETLSGPPLVDESRMLAEVQRLQSAGINIPSELEATAMPSKPPMPAKSGRLTVNPSKRGGAGGGANKQLQKQKSDRHCDDGITIDQLHRLSQKNISAWSMKRLMKIVRYGALTTMDEQIKHATGEDELATQIHSEYEAKVAAKRIFHNVAKPHSKHIYLSDLMRFMRQEEALKAMDLFEGAQEHNRVSKRSLKNWVVSAFRERKALALTLNDTKTAVNKLHQMANVVVVIIVIALWLSILGIATSRFFVFISSQLLVAVFMFGNTLKTIFEAIVFLFVMHPFDVGDRCEVDGMQVTILFIVLSALYDCFTLSDLVLNCCEIQVVVEEMNIMTTIFLRYDNLKVYYPNSQLAIQPIMNYYRSPDMGDAVDFSVHVATPVEKLALMKERLMHYLDNKKEHWYPGSMVVLRDVDDTNKLKVSIWCRHTINFQDMGMRFERRELLLQEMIKILKDLDIEYRMLPLDINVRNAPMIQSLRMPSTWITY >ORGLA04G0186300.1 pep chromosome:AGI1.1:4:20037129:20038327:1 gene:ORGLA04G0186300 transcript:ORGLA04G0186300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVYSITRAEIEEFWRRKEMEEEEQRLTAEKEAARIKAKTLMIEDYAIFEQMIREILEEGIKGDSARAERDITTNGAAATKSTEGRIGIKDWWRKSTYAYLNEPAMTSTDENGRRKHAIKYIPQERCMNFFSSIPSQHNTTTFAIF >ORGLA04G0186200.1 pep chromosome:AGI1.1:4:20030966:20033511:-1 gene:ORGLA04G0186200 transcript:ORGLA04G0186200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-dependent protein kinase 29 [Source:Projected from Arabidopsis thaliana (AT1G76040) TAIR;Acc:AT1G76040] MGNCFTKTYEIPITSGTMRRPASTAERSKARGGDEPGTWRRPSFPRHGAPPHRPPTGSSSAAGALSRRASGGGGEMGPVLQRPMVSVRSLYQLDRKLGSGQFGTTYLCTERATGNRYACKSVSKRKLVRRTDVDDVRREITILQHLSGQPNIAEFRGAYEDNDHVHLVMEFCSGGELFDRITAKGSYSERQAAAVCRDILTVVHVCHFMGVIHRDLKPENFLLASADDDAPLKAIDFGLSVFIEEGKVYKDIVGSAYYVAPEVLQRNYGKEADIWSAGVILYILLCGTPPFWAETEKGIFDAILVNQVDFSTSPWPSISESAKDLIRQMLHRDPQKRITASQALEHRWLKEGGASDRPIDSAVLSRMKQFKAMNKLKQLALKVIAENLSPEEIKGLKQMFNNMDTDRSGTITVEELKVGLTKLGSRISEAEVQKLMEAVDVDKSGSIDYSEFLTAMINKHKLEKEEDLLRAFQHFDKDNSGYITRDELEQAMAEYGMGDEANIKQVLDEVDKDKDGRIDYEEFVEMMRKGIQT >ORGLA04G0186100.1 pep chromosome:AGI1.1:4:20026899:20030482:1 gene:ORGLA04G0186100 transcript:ORGLA04G0186100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCAPIATAPVAGGRFPPPSLRCRRRRGRSVRADASPEPVVTQRKKVAVAGAGWAGLAAAHHLVKQGYEVTLLGAESGPTEEVGLRGFWYPYRNIFSLVDELGISPFTGWTKAAYYSSQGLAVEFPIFHDQPRLPAPFGMLTYPEFPSLPLIDRLTSIPVMAAVIDFDNTDTAWMKYDAMSARDLFKMFGCSQKLYKEIFQPAIQAALFAPGLKFYGNRVPTSLAINKDTACISGIVCGEEVHEADAFVLANGLSSLQYIIKNSPFLQSRQEFVNLLHLSMIDVVSIKLWFDKKITIPKVANVCSGFDDPSGWTFFDLTSIYDDYADKSTTIVEAEFYNASHLLPLNDEQIVSEASSHLIKCIQDFEEATVIQQLVRRCPKSTMHFLPGSYKYTVRGSTTLPNLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEEDEPHIETARNLNRRANELKTQIPFSEFFL >ORGLA04G0186000.1 pep chromosome:AGI1.1:4:20017989:20020979:-1 gene:ORGLA04G0186000 transcript:ORGLA04G0186000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) TAIR;Acc:AT2G28100] MATILLLLLGLLVGLPLHRAHGVTGSAAPTPPPLPVLPVPSYAQLQWQLSEMALFLHFGPNTFTDSEWGSGRADPAVFAPSALDAGQWARAAAAGGFGRVVLTAKHHDGFCLWPSALTNYSVAASPWKGGAGDVVGELAAAARAEGIGLGLYLSPWDRHEPVYGDTVAYNEHYLGQMTELLTRYGDVEEVWLDGAKGEGKDMDYMFDAWFALIHQLQQRVVIFSDAGPDTRWVGDEAGVAGYTCWSPFNKSTVTIGHIIPEYSRCGDPFGQDWVPAECDVSIRPGWFWHASEKPKNATTLLDIYYKSVGRNCLLILNVPPNSSGLISTEDMQVLQEFTEIRQTIFSQNFAANATVTASTVRGGLGNQQFAPSNVLQESIYSYWAPEEGQSSWEMLFDLGQSASFNVIQLQEPIQMGQRVIKFRVEILVDELWQTIVEGTTIGYKRLFQFPVVEGQFLKLSIDSARADPLISFFGVFMDSFSVTYSLENHEKPSVVNSSEVIMLRTDHSFGNKSIATM >ORGLA04G0185900.1 pep chromosome:AGI1.1:4:20013918:20016678:-1 gene:ORGLA04G0185900 transcript:ORGLA04G0185900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G10390) TAIR;Acc:AT3G10390] MSDQPPPYTPLPLLSSFPPNPYPDQTPDPASTPTLVLPNPAFPNKRKRTGFHRKLPSGSPAAPVAVAASPSAQPPPRAYAADDIIVINREPTAEAVTALTAGFPADSLTDEEIEAGVVSDVGGIEQVNYILIRNHLLTRWRETFNSWLAKESFATLIPPHCDHLLNAAYSFLVSHGHINFGVAPAIKERIPKEPTRHNTVIVVGAGLAGLAAARQLVAFGFKVVVLEGRKRCGGRVYTKKMEGGGRSAAGDLGGSVLTGTFGNPLGIVAKQLGLPMHKIRDKCPLYRPDGSPVDPEVDKKVEGTFNKLLDKSSLLRASMGDVAMDVSLGAALETLRQTDGDLSTDQEMNLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPIVYERTVHTIRYGGDGVQVVVNGGQVYEGDMALCTVPLGVLKNGGVKFVPELPQRKLDSIKRLGFGLLNKVAMLFPHVFWSTDLDTFGHLTEDPSHRGEFFLFYSYATVAGGPLLMALVAGEAAHNFETTPPTDAVSSVLKILRGIYEPQGIEVPDPLQSVCTRWGTDSFSLGSYSHVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFISGLREAANITLHANARAAKSKVEKGPSTNTQACAALLMDLFRQPDLEFGSFSVIFGGQASDPKSPAILKVELGGPRKKGATEGGKADQHHSNKLLFQQLQSHFNQQQQLYVYALLSRQQAMELREVRGGDEMRLHYLCEKLGVKLVGRKGLGPGADAVIASIKAERNSSRTKTRPSKLKIGIPKSKS >ORGLA04G0185800.1 pep chromosome:AGI1.1:4:20011687:20013061:1 gene:ORGLA04G0185800 transcript:ORGLA04G0185800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53220) TAIR;Acc:AT3G53220] MEEGEAKKTGLEGTGLSLPGSSHGNLRSAGSDQQLKQMLDSLKSSKSPAVINYGASWCRVCSQILPPFCRFSNEFKNLTFIYADIDECPETTQNIRYTPTFHFYRDGEKVDEMLGTGEERLHDRLWLHS >ORGLA04G0185700.1 pep chromosome:AGI1.1:4:20008033:20009670:-1 gene:ORGLA04G0185700 transcript:ORGLA04G0185700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTWAVVVAAVAAYMAWFWRMSRGLSGPRVWPVVGSLPGLVRHAEDMHEWIAANLRRTRGTYQTCIFAVPGLARRGGLVTVTCDPRNLEHVLKSRFDNYPKGPFWHGVFGDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHSRLLPILSDAAAAGGGGGGATVDLQDLLLRLTFDNICGLAFGKDPETLARGLPENDFASAFDRATEATLNRFIFPECVWRFKKWMGLGMETTLARSVQHVDRYLSAVIKARKLELAAGNGKGDASSATPHDDLLSRFMRKGTYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVERKIVRELCTVLAASRGADDPALWLAAPLNFEELDQLVYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSAGRMKTVWGDDCLEFRPERWLSADGTKFEPHDSFRFVAFNAGPRICLGKDLAYLQMRNIAGSVLLRHRLAVAPGHRVEQKMSLTLFMKHGLRMEVRPRDLAPIVDELRGAGEYAAAARATAACA >ORGLA04G0185600.1 pep chromosome:AGI1.1:4:19997979:20002482:-1 gene:ORGLA04G0185600 transcript:ORGLA04G0185600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWWGKSFSKDAKKTTKENLIDTFHRLISPNDQKGSTKSKRSCRRGNDSSVEKSCRSTTVSRPTSPSKEVSRCQSFSADRPHAHPLPIPGVRPPVTRTVSDITESKPILEKRGKPPLLLPLPKPNRPPRRHGNSEVVSEIVVASPSSNCSDSDDHGDSQLQSPVGNDAENATLVTLKNKSSNARKECPGPITAKNMKEIHRPANQVHGSHILSTSPRGVAADSYQSNLQNPRPLVLDSAPNSLMSSPSRSPRRICPDHIPTSAFWAVKPHTDVTFVGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRSSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRSGGMAPESPTNRHDDGKKKQTHKLPLPPLSISHSSFHPNNSTPTSPISVPRSPGRTENPPSPGSRWKKGKLIGRGTFGHVYVGFNSDSGEMCAMKEVTLFLDDPKSKESAKQLGQEISLLSRLQHPNIVQYYGSETVDDKLYIYLEYVSGGSIHKLLQEYGQLGEQAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHINGQQCPFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPPIPDHLSEPGKDFIRKCLQRDPSQRPTAMELLQHPFVQKAVSLEKSVLSEPLEHLAVISCRPSAKMAAHTRNISSLGLEGQTIYQRRGAKFSSKHSDMRIRSNISCPVSPCGSPLLKSRSPQHSNGRMSPSPISSPRTTSGTSTPLSGGNGAIPFNHLKQSTYSNEGFAIPSRSPDDLFASRPTDPDLGQFIRVHQVSQGLQERVVSEADILSPQFGKRLGNVFDLRDKLSPSERFTHHAFVDHVKLNPSLDLTSGSPHLGLKHGN >ORGLA04G0185500.1 pep chromosome:AGI1.1:4:19994201:19995700:1 gene:ORGLA04G0185500 transcript:ORGLA04G0185500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKFSERQPIGTAAQGSDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFMATFLFLYITVLTVMGVNNSTSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMVMQCLGAICGAGVVKGFQKGLYETTGGGANVVAPGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRGHAWDDHWIFWVGPFIGAALAAIYHQVVIRAIPFKSRS >ORGLA04G0185400.1 pep chromosome:AGI1.1:4:19987926:19988177:-1 gene:ORGLA04G0185400 transcript:ORGLA04G0185400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRSTIVVVAEDDHGAGDGFPEDDLGAGDGFTEDDLKAAERLMQLRCSGGWQEEQADDDDDGGDWWGRKRKRPRYRSLSEL >ORGLA04G0185300.1 pep chromosome:AGI1.1:4:19981460:19984831:-1 gene:ORGLA04G0185300 transcript:ORGLA04G0185300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:I1PNT4] MELLPRVGVAAPGPGRGGASPSPTRRHRAPSHPILKRSAAVCGAVAVCRGGAVARRSRWSTLVTAAYYTGTADLVDFNWETLGFQPVPTDFMYVMRCSEEGVFTKGELVPYGPIELNPAAGVLNYGQGLLEGLRAHRKEDGSVLLFRPDENALRMRVGADRLCMPAPSVEQFLEAIKLTILANKRWVPPTGKGSLYIRPLLIGSGAILGVAPAPEYTFVVFACPVGHYFKDGLSPISLLTEEEYQCAAPGGTGDIKTIGNYASAVYAKERAKERGHSDVLYLDPVHKKFVEELSSCNIFMVKDNIISTPLLTGTVLPGITRRSIIEYARSLGFQVEECLITIDELLDADEVFCTGTSVVLSSVGCIVYQGRRVEYGNQKFRTVSQQLYSALTAIQKGLVEDSMGWTVQLN >ORGLA04G0185200.1 pep chromosome:AGI1.1:4:19970793:19974534:-1 gene:ORGLA04G0185200 transcript:ORGLA04G0185200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSIMAKKSYKQRCKSEKVHMGCMSGLIHMFDFRRSPKLISDGTIRRSSVRSDLKGSEDFHGIIFSDEDKDYGVKTIHASRPSIKALMEEEMASGTQILKETQRNIFGIRSDDLKSVNLQEGSDVDLDLATSLMELYRNHNGSRDIITSEVSDHSSSLIDKEHNTDASTHPKQISCSIEKALEAVAEAVITHQSANGKYTSSSYEARPNEFLDALQLLSANEEFFLMLLKDPSSRMLQCLQNLYTALGNPMLKLAEDDKQTKSKVTINSREQSEVSKYSVQKTHNFFLKEDKLVMRRPPKLNDSPRGVSRIVILKPSPGRSQTSLISSSAMSSPVQTRADLQGQEESDKYARHFSLRELKRRLRLAINNNRKDVMSSTFQKDDSTQQFILESMSTSMDSSECEKAEKPSIVDKKTIPEDSGSGMGNDATHCASSFFYEKAKKHLIERLDNQKNDTSQIVHKSEPFGKLLSYSENDTFSQTDCPQEDVKLSEDSTASSALLMTEQEDISSNSDPPMKFGELIPSDTSTSANTQLDEFKTDHASHPVKEGTISQELTSEGIDSMNDATDTPQVSIQIETSTESLEQINTDQCFAEESQTMNALPEVSLHTPEKVNEQYNHSPSAVVGLTKPSILTFSCSPENADDKEERLSPQSVLDSFLGDGISPSHKTRMQEELSMPSTRILFKEDDTPSGTPTLQNTPQEAILDDKQARLSFIKVVLEASDFLSEESSEIWYVDGSLLDTSVLAEVGTLYCLTDDAVFLFDCVEEALCKIRDNFFGCDPWVAYLKHSVRPAPVGTGLIQEVDNCIDSLVSDEVPSTLDRVVLKDLESGSWMDLRVDTEEVAIEVWDTLLDDLLEEMVFDLWL >ORGLA04G0185100.1 pep chromosome:AGI1.1:4:19967633:19970085:1 gene:ORGLA04G0185100 transcript:ORGLA04G0185100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSTTCDESSEVDARDDYGDIDDVERRRGRHRREASSDVSSECSGEPGSPYGSPYPRWPVCSIAKAPPPPPLLQKLGAARRGAGRDRKAGDGELQLIKERFSKLLLGEDMSGSGKGVSTAVAISNAITNLYATVFGGCHRLEPLLAEKRSMWRREMDCLLSVCDYIVELFPSKEIMPDGTVREVMVTRPRSDIYVNLPALEKLDDMLLEILDSFQKTEFWYVNDKGQKDSCAAAAAAPCRPVSHRDGDKWWLPVPCVTKPGLTESARRDLRQKHDCASQIHKAAMAINNGVLAEIRIPELYKQTLPKCGRASVGDLIYRHMSFPGKFSPEYLLDRLEISSEHDALEAADRVEAAMHVWRRKASQGHSRSPWSAVKELMESDKNVMLASRAGDVLLCLKQRFPGLSQTTLDASKIQYNKVTTPTHHQQRHVATSSPWTNTNTKLLLLLFSAQDVGQAILEGYSRVLESLAYNIVTCIDDVLFADESARKI >ORGLA04G0185000.1 pep chromosome:AGI1.1:4:19963564:19964334:1 gene:ORGLA04G0185000 transcript:ORGLA04G0185000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARLILSSSTTPLLLSTPLLLSRQEATAPPVAASSSWWRRRRLASFPSLSIPRRASFSSLATTPTGSRAEEASPAAAAGAVAEARPGDASTAATSPRETSSSRRHREEERVGWAGGGSGGRGAHRREEERRAIRGGGEEEEEEESPGRHRRNGSPLQEEARRRRCGRRGGAASSSPPTPPRHGLLPVDRAADPDPAGAAAVSSVINRRSGGWIRLSRRRGRRGRREQTAARTKRVRDSGLLCDSSLCSCVIPLCVLV >ORGLA04G0184900.1 pep chromosome:AGI1.1:4:19961148:19962572:1 gene:ORGLA04G0184900 transcript:ORGLA04G0184900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMYRPDPANPAARRPRLVVLLLVAFFALQLLVFLAFRGAPSPSSPDAAVDRVPVSARRDGEDSGCVGGLVYVYDLPPVFNEDLLALCEVLAPMYSLCPYLANDGLGFPAKGGNQSEFPPAELVGSWYSSDQFALEHIVHRRLLSHRCRTTDPARATAFFVPFYAGLAVGRHLWATNATDADRDRDCLALLLWLHAQPYYKRSNGWDHFIALGRITWDFRRSPDGGWGGSFLLMPGLANTTRLVIERDPWDAMDVGIPYPTSFHPRTAADVRAWQRYASSRSRPKLFAFAGAPRSAIKGDFRGLLLEECQAAGDACGALDCGEGRCIKQNELVMELFLGARFCLQPRGDSFTRRSLFDCMVGGAVPVLFWRRSAYRQYGWYVPVGNSQEEEWSVFIDRDELRAGNVTVRGVLAAIPEAKVREMRNRVVEMIPKLVYSAADKEGLGDGMKDAVDVMIDGMLRRAAEQRRSWRKV >ORGLA04G0184800.1 pep chromosome:AGI1.1:4:19958598:19959137:-1 gene:ORGLA04G0184800 transcript:ORGLA04G0184800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFFLLYPSSPLFSLLPLPPSSCVLAVLVAASDVRMVLQCRSHFVGIESSPPSAESNCLCTAKLPRDGLRTSEKETKPAVLTSTAMVMAEVVTSEKLCGACSRRRRWTPTAGGGRLLSHASEKKLGWRPAASGQRGPHRRGPRGDGSGKLNPWAVEIQDGRRRQDKVVFSGGADRR >ORGLA04G0184700.1 pep chromosome:AGI1.1:4:19949336:19951618:-1 gene:ORGLA04G0184700 transcript:ORGLA04G0184700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFKLSLLSFLPFVFVLAIAVEATGDEIGTFIVHVQPQESHVAATADDRKEWYKTFLPEDGRLVHAYHHVASGFAARLTRQELDVVSAMPGFVSAVPDQTHTLQTTHTPQFLGLSAPPPPQGKRWSSSSHGGSGAGAGVIVGVIDTGVFPDHPSFSDAGMPPPPAKWKGHCDFNGGSVCNSKLIGARTFIANATNSSSSYGERLPPVDDVGHGTHTASTAAGAAVPGAHVLGQGLGVAAGIAPHAHVAVYKVCPNESCAISDILAGVDAAIADGCDVISISIGGPSVPFHENPVAVGTFGAMEKGVFVSMAAGNAGPNVSSVINDAPWMLTVAASTMDRSIRTTVRLGNGLYFDGESLYQPNDSPSTFYPLVYAGASGKPSAEFCGNGSLDGFDVRGKIVVCEFGGGPNITRIIKGAVVQSAGGAGMILPNHFPEGYTTLAEAHVLPASHVDYVAGLAIKAYINSTANPVAQILPRGTVLGTTPAPAMAFFSSRGPSVQNPGILKPDITGPGVNVLAAWPFQVGPSSAQVYPGPTFNIISGTSMSTPHLSGVAAFIKSRHPHWSPAAIKSAIMTTADITDRSGNPILDEQRAPANFFATGAGHVNPEKAADPGLVYDIAPCDYVGYLCGLYTSQEVSVIARRPVNCSAVAAIPEHQLNYPSISVKFPRAWNSSEPVLVRRTAKNVGEVPSEYYAAVDMLDTTVTVRVFPRTLRFTGVNQEKDFTVVVWPGQGGARVVQGAVRWVSETHTVRSPVSVTFA >ORGLA04G0184600.1 pep chromosome:AGI1.1:4:19944606:19946987:1 gene:ORGLA04G0184600 transcript:ORGLA04G0184600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRNHGRCSLPRLAVGAAVLLFAVSLAATPAASHAGHDDTGLHSNYLVIVRKPYAYDTNLYKNVSSWHASLVASVCDMAKEALEKDPSSVSRLIYSYRNVVNGFAARLTPEEVQEMSKNDWFIRADPEKTYQLQTTHTPQLLGLMGGARRGGVWNTSNMGEGIIIGILDDGIYAGHPSFDGEGMKPPPAKWSGRCDFNKTVCNNKLIGARSYFESAKWKWKGLRDPVLPINEGQHGTHTSSTAAGSFVPGANVSGYAVGTAGGMAPRAHIAFYQVCYVEKGCDRDDILAAVDDALEDGVDILSLSLGDEQAGDFSDDPVSLGGYSAAMHGVLVSAAGGNTGPGPSTVVNEAPWVITVGAGTTDRRFVATVKLGSGVSLDGESLSEPKDFGAEMRPLVHDVGDGMCTTESVLRAMNVTGKIIICDAGGDVSVAKAKLVLRSGAAGMIVIAPQVYGSVIVPRPHVLPTVQMPFMIGQKIKAYIRSTPSPTANFIFKGTVFKAKSPVAAPFSSRGPNRRSRGILKPDIIGPGVNILAGVPKIEDLALGAEEVMPKFDIKSGTSMAAPHISGVAALIKNAHPTWSPAAIKSAMMTTADYTDNLRKPITDVDGTPATYYAIGAGYVNARKAIDPGLVYNLSSLDYIPYLCGLGYKDQKVNSIIHPGPAVECAKMPKVDQKDLNYPSITAVLDMEPYEVSINRSATNVGTATSTYAVEVDVPATLAVEVNPAKLEFRALNEVLNYTVTVKTASGKAPASTIEGQLKWVSGKKYVVRSPILVCAGTGGKSAASMGAAPA >ORGLA04G0184500.1 pep chromosome:AGI1.1:4:19942752:19943159:-1 gene:ORGLA04G0184500 transcript:ORGLA04G0184500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASPGRLPATMAEEGGDDGEADVDASSYGRRTTTARGGGAAAMASSCWGRLGLAALWHRLRQLSVARRRRRHGGGGGGRSILGAGGLNYDPLSYAQNFDDGCLEPDFTVTARFAPPRSAGSPRLPPAAPAAASA >ORGLA04G0184400.1 pep chromosome:AGI1.1:4:19937661:19938772:-1 gene:ORGLA04G0184400 transcript:ORGLA04G0184400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASLTPSRTGAQSSHRKRKVPAAAAAAPAREDEAAEEEDMEELEREVDRLGRRLLEHRREDAARLLNAAASRLTALRPRLLEVTTASQHIAGTPVAKVDQEKKEKLRIVKAKSEANIGAMPMVLKRMGESIAKIEKLEHLNVNIHPVFKTKR >ORGLA04G0184300.1 pep chromosome:AGI1.1:4:19930674:19936744:1 gene:ORGLA04G0184300 transcript:ORGLA04G0184300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREEVTEFLGQVPLLQCLPSSSIRRIADAVLVKRYEPGGYVAREGDPVDGLYIILDGQAEVSAPANTEEENRPDYVLNKYDYFGYGTNSSVHQVNVIAVSKLTCFVLPNQYGHLLQPKTIWSAEETPENHSLLEQILHLEPLEVDIFRGFTLPGAPTFRQVFGGQLIGQALAAASKTVDCLKAVHSLHAIFLIAGDKNLPIIYQVHRARDGTSFATRKVEAKQKGLVIFTLIASFQKDELGFEHQAAIMPDVPPPEELLNLEEIRERRLTDPRFPMQYRNSAAKKKFVPWPIEMRFCEDSASQHKPSLNYWFRARGKLSDDPALHRCVVAYASDLLYSGVSLNPHREKGLKTYSLSLDHSIWFHKPVKADDWLLYVIDSPSAHGGRGFVTGRMFNRQGELVMSLTQEALIRRAKTPGQPSQTPRPKL >ORGLA04G0184200.1 pep chromosome:AGI1.1:4:19924952:19926128:-1 gene:ORGLA04G0184200 transcript:ORGLA04G0184200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRPRAEEISRPSRSLSKAVRRRADAVSAKLAARGLGAFVWLKKLERDLARGILPDIVSLRDERRRCLARRREAAGVNASAAASRRRSPPPILDASRARAAAEEEAKEAAFLLDQSRLRAEARGRGASVEELKELGEEIAAYADLDRANEPFWAVAKAMCNAEIEQAATGTGTAGHSDRALHSAVFADVKSVVEGKSLDELDAMQHAIAARMATGEAKVVEHWQEVTELIRVEKAKKYLEQHYTCDAPPPPPDNDGGGEDADEEGSETLRPVALPPPPGPELRKPKYIARVRSGFEWNKYNRAHYDHDHPPPKTVKGYKFVLYYPDLAGGKPPQYTVDEDGSNSGGGETCVIRFHAGWPYEDVAFRIVNKEWE >ORGLA04G0184100.1 pep chromosome:AGI1.1:4:19912549:19916599:-1 gene:ORGLA04G0184100 transcript:ORGLA04G0184100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPLPSGKNFRSQLAAAARSINWTYAIFWSISTSRPGVLTWKYGFYNGEIKTRKITNSMNLTADELVLQRSEQLRELYDSLLSGECGHRARRPVAALLPEDLGDTEWYYVVCMTYAFGPGQGLPGKSFASNEFVWLTNAQSADRKLFHRALIAKSASIKTIVCVPFIMHGVLELGTTDPISEDPALVDRIAASFWDTPPRAAFSSEAGDADIVVFEDLDHGNAAVEATTTTVPGEPHAVAGGEVAECEPNADNDLEQITMDDIGELYSLCEELDVVRPLDDDSSSWAVADPWSSFQLVPTSSPAPDQAPAAEATDVDDVVVAALDVSSIDGSCRPSPSSFVAWKRTADSDEVQAVPLISGEPPQKLLKKAVAGAGAWMNNGDSSAAAMTTQGSSIKNHVMSERRRREKLNEMFLILKSVVPSIHK >ORGLA04G0184000.1 pep chromosome:AGI1.1:4:19911537:19911971:-1 gene:ORGLA04G0184000 transcript:ORGLA04G0184000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDKASILAETIAYLKELEKRVEELESSSQPSPCPLETRSRRKCHEITGKKVSAGAKRKAPAPEVASDDDTDGERRHCVSNVNVTIMDNKEVLLELQCQWKELLMTRVFDAIKGVSLDVLSVQASTSDGLLGLKIQAKVVISAAK >ORGLA04G0183900.1 pep chromosome:AGI1.1:4:19894837:19899920:-1 gene:ORGLA04G0183900 transcript:ORGLA04G0183900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPVQEEALQAGTNHFRSRLAAAVRSISWSYTIFWSTSTSLPGVLTWNDGFYNGEVKTRKISNLEDLTADQLVLRRSEQLRELYYSLLSGECDHRARKPVAALSPEDIADTEWYYVVCMTYAFRPGQGLPGRSYASNRSVWLCNAQSADSKTFLRALLAKSATIQTIVCIPFMSGVLELGTTDPVSEDPNLVNRIVAYLKELQFPICLEVPSSTPSPDETEDADTVFDGLIEEDQMVILQGEDELGDVVVAECETNGANPETITMETDEFYSLCEELDLDLGSYQLVPTSARETMAAAAAAANDVDGVAYSHASCFVSWKRANPAEKVVAVPMTAGIESQKLLKKAVGGGTAWMSNIDGRGSVAITTTPGSSIKSHVMSERRRREKLNEMFLILKSLLPSVRKVDKASILAETITYLKVLEKRVKELESSSREPSRWRPTEIGQGKAP >ORGLA04G0183800.1 pep chromosome:AGI1.1:4:19855043:19867444:-1 gene:ORGLA04G0183800 transcript:ORGLA04G0183800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPVQEEPLQPGTNHFRSLLAAAVRSISWSYAIFWSISTSCPGVLTWNDGFYNGVVKTRKISKSADLTAGQLVVQRSEQLRELYYSLLSGECDHRARRPIAALSPEDLADTEWYYVVCMTYSFQPGQGLPGKSYASNASVWLRNAQSADSKTFLRSLLAKSASIQTIICIPFTSGVLELGTTDPVLEDPNLVNRIVAYFQELQFPICLEVLMSTSPSPNETEDADIVSEGLITHNAIEEGQMVVSDECVSNANRDPITMEIDELYSIYEDLDLDTVRFLEDNGWPVNPSSFQLVPASSTEAVAAAAAANDVDGVANSQVSCFMAWKSAKSNEMAVPVVTGIESQKLLKKVVDCGARMSTGRGSRAALTQESGIKNHVISERRRREKLNEMFLILKSIVPSIHKVDKASILEETIAYLKVLEKRVKELESSSEPSHQRATETGQQRRCEITGKELVSEIGVSGGGDAGREHHHVNVTVTDKVVLLEVQCRWKELVMTRVFDAIKSLCLDVLSVQASAPDGLLGLKIQAKFACSGSVAPGMISEALQKAIGG >ORGLA04G0183700.1 pep chromosome:AGI1.1:4:19845889:19847301:-1 gene:ORGLA04G0183700 transcript:ORGLA04G0183700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTKEEAKGGGGSKKPGQIKVGDVVVSVNSEVKKGKNYVSEFDDDEDDLLEFDKEPRVIRDKKGDDQKGGARVKVCSRCTQKGHGVADCKVDVYCDVCDCSEHVNHKCPVLKLPKPVVQAVGYAVEGLGFQHIPHQSLQRNKKNTKKALVRVVGGALSVERLVTLLHKLCPTKWKWEPVPHGKDAFVVLFPSKGELQRAINFGGADVKEGGVATGVRVEFEEWFEEEEGFLLPKVLDVVIGDHYFELKFEVEKKGVDENGEEVEFNLEDWDGDEEDGNVEGEESGEDNEGREKGPKMSKADDMVTDDNHDRGEGRKEAQEGADLEKEMDFSMMAENILDVAVQDVLEEVYKRVEREELEENEAGVQQEKIVQLANVGEVSMTPKRASERLMGSSGRHSLEKAKSRKAWMNLDPL >ORGLA04G0183600.1 pep chromosome:AGI1.1:4:19842881:19843933:1 gene:ORGLA04G0183600 transcript:ORGLA04G0183600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSVSLFGSSHQSIHKLYRKCWSSNSHSTLNVLSLAKTVGQSMRMKRIRVKLHATDLKNRPQAHEDNVFYKLVYRLPENLSWLLASPEMARRPASKKKLKKEEMVSSNQFGVILEWEGVVVEDDDPDLEPRVWYVLSLEEAKSFPPDAVLKEIEGMRTDQAILEVLHWSEDPQEVQRLAARKEVIYKTLRGRFYQLRPGVLDFLNTLVDFDIPIAITTPRPRLSLEEGIKAVGLQGYFDAIVAAEDFCRGKPEGEMFEVAAGQLGLEPDVCLVLGNSNSTIESAHTAGMRCVAVASRYPAYELQAANHVVRWLDQLSVADLQRIANGEILGLRGRRSDMDMDMEIVIEE >ORGLA04G0183500.1 pep chromosome:AGI1.1:4:19838313:19840371:-1 gene:ORGLA04G0183500 transcript:ORGLA04G0183500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTTTTPPPPPPPPSESTPTSDPKPPPPPPTSSTAAPKKRKLEEVGFHHSPYYNIRAAVANLRGRFIQLCKGTDTQKKDAALEILKEIKVLMELSKDMRLDLPTAAGPVKLMDEPTSRDARNMPAGKIPPGEKNQVRPADQAASFMHSSGEKVPLNPVDIKHDAKPSVTDSTKKSGQCLQGSYIVGGSPIGWNFLMWPGSSTRYCGLTRSEWLARQSAK >ORGLA04G0183400.1 pep chromosome:AGI1.1:4:19832220:19834054:-1 gene:ORGLA04G0183400 transcript:ORGLA04G0183400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEEKRGTGGRGDKKEKVPLGFRFEPKEELVEHPSPQPGPQAIASIASSDGEFSSPQPGPAMGTSEEASGNKRPAEEHAAVAQRPHQQRKLTMGGAPQPPPASYIGGAGGMQMPLRTAVHDNRAGHPMARPAGHATAPPPRQHATVNGPMRMPNGQVVYGDQMMMRRQMATAANNRRQMMFLQQLAARNGQQGTVVADNGQASSSQRPPPACNGQQALVVQGSQVASNGQMSPVQRQRAAMAAAYNNYQYHQMLLQQQQQQQAAMAYNLQAQHLQGREVVAHTTSAQQPPAMMPAQGAEVEQNGETKSSAQRAPAACNCPAHVPPTPLRPRPATAAPTNSGNSFDRTLVMRRPPSPSVVQPRPAQETPEMHARRVLWQLVKELFRQRRIDQAQAAAAAEQERLMMTPPAQAPQQPCSDAVRCNDDGEKRSAEVATTEVAPDGSASAEGNDRQLVAKIEVGMEVEAAAAVMVKGTDPAAAVLDGDFKDNNGCHHHDGGGGCGHDARS >ORGLA04G0183300.1 pep chromosome:AGI1.1:4:19826737:19828128:-1 gene:ORGLA04G0183300 transcript:ORGLA04G0183300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PNR4] MAIGSVESVAVVAVPFPAQGHLNQLMHLSLLLASRGLDVHYAAPPAHLQQARSRLHGWDPDALRSIRFHDLDVPAYESPPPDPTAPPFPSHMMPMIQSFAVAARAPFAALLERISASYSRVVVVYDRLNSFAAAQAARLPNGEAFGLQCVAMSYNIGWLDPENRLVREHGLKFHPVEACMPKEFVEFISREEQDEENATSSGMLMNTSRAIEAEFIDEIAAHPMFKEMKLFAVGPLNPLLDATARTPGQTRHECMDWLDKQPAASVLYVSFGTTSSLRGDQVAELAAALKGSKQRFIWVLRDADRADIFADSGESRHAELLSRFTAETEGVGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDAELVCKYLKAGLLVRPLEKHSEVVPAEAIQEVIEEAMLPEKGMAIRLRAMELGEVVRASVADGGSSRKDLDDFVGYITR >ORGLA04G0183200.1 pep chromosome:AGI1.1:4:19821847:19823238:-1 gene:ORGLA04G0183200 transcript:ORGLA04G0183200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PNR3] MAIGTVESVAVVAVPFPAQGHLNQLMHLSLLLASRGLDVHYAAPPAHLRQARLRLHGWDPDALRSIRFHDLDVPAYESPPPDPTAPPFPSHLMPMLESFAVAARVPLAALLERLSASYRRVVVVYDRLNSFAAAQAARLPNGEAFGLQCVAMSYNIGWLDPEHRLVREHGLQFHPVEACMTKEFVELISRAEQDEENAASSGILMNTSRAIEAEFIDEIAAHPMFKELKLFAAGPLNPLLDATARTPGQTRHECMDWLDKQPLASVLYVSFGTTSSLRGDQVAELAAALKGSKQRFIWVLRDADRANIFADSGESRHAELLSRFTAETEGVGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSYGKPILAWPMHSDQPWDAELVCKYLKAGLLVRPWEKHSEVVPAEAIQEVIEEAMLSEKGMTIRRRAKELGEAVRASVADGGSSRKGLDDFVGYITR >ORGLA04G0183100.1 pep chromosome:AGI1.1:4:19817080:19821080:-1 gene:ORGLA04G0183100 transcript:ORGLA04G0183100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRPRRPTPPSSFPHRRCLSRPPTSQLTARITTAELPSFPRGHYSGQVHRGSGEGAVQIRGDRPAARSAGSGEEGGRIKALLLYGLYVNITLILHDAKGNMAKDLILQHVYTVHKAWRSARSNVCAPTWLSHIPRAWEAGQLSTTAREKWWYDTQWSRVDCGILFASRLCIGAILAVSGAAEASGATHFHTDAKLPFDSPLAELTLTRKRQCPQPTYSRICPSRLGTVIKPTMEPVAVVAVPFPAQGHLNQLLHLSLQLASRGGVDVHYAAPAAHVRQARERVQGWDDAALRSVRFHDLGISTYASPPPDPAAASPFPSHLMPLWEAYTAGAPAPLAALLDKLSASYRRVVVVYDRINDFAAQEAVRLRNGEAFVMYCLAVSMLARRIAPQEHQRILRENGLTNITVEDCATEEFVDYIRRTRATKEMSPPRGILTNTCRALEGEFIDVVAGNLAADGKKVFAVGPLNPLLHGNASKQGDQRQRHECLDWLDKQPPASVLYVSFGTTSSLXAEQIEELASALRGSNQRFIWVLRDADRGDIFAEDSGEISSRHAKLLRKFTQHNEGSTGLVITGWAPQLEILAHDATAAFMSHCGWNSTMESLSHG >ORGLA04G0183000.1 pep chromosome:AGI1.1:4:19811375:19813068:1 gene:ORGLA04G0183000 transcript:ORGLA04G0183000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PNR1] MAAAPSATSVHDFTVKCFAWCEGVQDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGSNEEIVQFACTRFKAEYPIFDKVDVNGNNAAPLYKYLKSNKGGLFGDSIKWNFSKFLVDKEGRVVDRYAPTTSPLSIEVMSCTTTCNLFLQAELLGSHYNISILSLQKDIKKLLGSS >ORGLA04G0182900.1 pep chromosome:AGI1.1:4:19802436:19807897:1 gene:ORGLA04G0182900 transcript:ORGLA04G0182900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heavy metal atpase 5 [Source:Projected from Arabidopsis thaliana (AT1G63440) TAIR;Acc:AT1G63440] MAASTRALFLSCFHGSGGGGGTSEVSRRLVLRPRYPSMPRRPRSAAVAGEGGEGGGGGDGDLEAAAVGAEEEEEKVVVFEVSGMTCAACAGSVEKAVKRLQGIHDAAVDVLGGRAQVVFYPAFVSEEKIRETIQDVGFEAKLIDEEVKEKNILVCRLHIKGMTCTSCASTVESILQVVPGVQRASVALATEEAEIRYDRRIVTASQLTHAVEETGFEAILITTGDDQSRIDLKVDGTLNERSIMIVKSSVQALPGVEDIKVDPELHKITISYKPDQTGPRDLIEVIESAASGDLTVSIYPEADGRQQHRHGEIERYRQSFLWSLVFTIPVFLTSMVFMYIPGLKDGLEKKVINMMSIGELLRWILSTPVQFVIGRRFYTGAYKALSHGSSNMDVLIALGTNTAYFYSVYSILRAASSHNYMATDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATMLIYDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRVFVPLVIILSLLTWLAWFLAGRLHGYPNSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFYAYVAAAEVNSEHPLGKAVVEHAKKFHSEESHVWTEARDFISVTGHGVKAKISGRAVMVGNKSFMLTSGIDIPVEALEILTEEEEKAQTAIIVAMDQEVVGIISVSDPIKPNAREVISYLKSMKVESIMVTGDNWGTANAISKEVGIENTVAEAKPEQKAEKVKELQSAGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNIIGIPIAAGVLFPSTRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKSPKLGR >ORGLA04G0182800.1 pep chromosome:AGI1.1:4:19796029:19798155:-1 gene:ORGLA04G0182800 transcript:ORGLA04G0182800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine racemase [Source:Projected from Arabidopsis thaliana (AT4G11640) TAIR;Acc:AT4G11640] MGSRGGSGGDGAESHGYAADIHSIREAQARIAPYVHKTPVLSSTSIDAIVGKQLFFKCECFQKAGAFKIRGASNSIFALDDDEASKGVVTHSSGNHAAAVALAAKLRGIPAYIVIPRNAPACKVDNVKRYGGHIIWSDVSIESRESVAKRVQEETGAILVHPFNNKNTISGQGTVSLELLEEVPEIDTIIVPISGGGLISGVALAAKAINPSIRILAAEPKGADDSAQSKAAGKIITLPSTNTIADGLRAFLGDLTWPVVRDLVDDIIVVDDNAIVDAMKMCYEMLKVAVEPSGAIGLAAALSDEFKQSSAWHESSKIGIIVSGGNVDLGVLWESLYKR >ORGLA04G0182700.1 pep chromosome:AGI1.1:4:19792808:19795114:-1 gene:ORGLA04G0182700 transcript:ORGLA04G0182700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VASCULAR-RELATED NAC-DOMAIN 6 [Source:Projected from Arabidopsis thaliana (AT5G52380) TAIR;Acc:AT5G52380] MANKRQREARKRFREANPGLFPANPTPPADGTKKKKNNKKSMFKKTSKAGGGGAGRSKHPLRVPGMRPGERCFICKAADHVAKVCPEKSLWEKNKICLLCRQRGHSLKNCPDKNDENLKKFCYNCGESGHSLSKCPKPIENGGTKFASCFVCKQQGHLSKNCPENKHGIYPKGGCCKICGEVTHLAKHCPNRGRQDLISSGDDAFNTEEHHLEDNAVLRGGDDLDDDFIEEEETRHTKAKKAKQSSSKSTTETGDGDKNTNTKAKVKQAPKVVKFFG >ORGLA04G0182600.1 pep chromosome:AGI1.1:4:19791423:19792045:-1 gene:ORGLA04G0182600 transcript:ORGLA04G0182600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGMAVGDECKLKFQELKSKRSFRFITFKIDERTQQVVVDRLGQPGDTYDDFTASMPASECRYAVFDFDFVTDENCQKSKIFFISWSPDTSKVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKARAL >ORGLA04G0182500.1 pep chromosome:AGI1.1:4:19768337:19770427:-1 gene:ORGLA04G0182500 transcript:ORGLA04G0182500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSNDSIVVVDVNVPAAHVALHHWQPNTPDGQGTPFLFHHGRNAANSTGGALMRIFKGSASSGEDYDFPRAIAFAASGIRSSAVVAVTCDKEIITGGHADGSVKLISPDGAKTIETATGHLAPVTCLALSHDSNYLVTGSRDTTVILWRIHQAGSLHKKNAPEPPPTTPTTPRSPSVSNLTEIRRRRIEGPMHVLRGHLEEVTSCSVSPDLGLVVSSSNMSGVLLHSLRTGRLIRKLNVAEAHAVCLSSQGVILVWNESKKRLSTFTVNGLPIATTVLSPFCGRVSCIEISTDGHFALMGTCSSSNYKCEDSTETGDHEPNEPNGKDGISKQAETRQSVHVPSVCFVDLHKLKVFHTMELGKGQDVTAIALNKENTNLLLSTADKQLIVFTDPALSLKVVDQMLRLGWEGDGLLQS >ORGLA04G0182400.1 pep chromosome:AGI1.1:4:19764424:19767446:1 gene:ORGLA04G0182400 transcript:ORGLA04G0182400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLADSFLADLDELSDNEAYPEEENAEAVGTEEIGDDDMPDLESLNYDDLDSVSKLQKTQRYNDIMQKVENALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVQKIGNEMDLTLVDLEGLLPSAIIMVISVTASTTSGKPLSEENLVKTIEACERALTLDAAKKKVLEFVESRMGYIAPNLSTIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTDVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDFEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSTAPSKLSAKITKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAHGNLLGSGTQSTYFSETGTFSKIKRT >ORGLA04G0182300.1 pep chromosome:AGI1.1:4:19755952:19757729:-1 gene:ORGLA04G0182300 transcript:ORGLA04G0182300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSKTRRMKPLGIQLYECARGSPISFRSCQALVLILTFLSYASYHATRKTTSIVKSVLDPKTENLGMLHWPSHLYLQDLRDAQGNMTALYSGWAPFNADDGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTIGMIGTGFFTAAFGAGNWLNIHNFYYFLGVQMMAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKFGWSWSFAVPGVMIAVVGLAVFLFLPVGPEMIGIEEDIHEKDAEKDDMGAPLLEERSASKEKAVGFIQAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYISHTAIGGVYLSDTAAGVLSTLFDVGGVVGGILAGHISDRLDARALTAASFTFSAIPALFFYRIYGSFSLYSNIALMFVTGMLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISAKSWSGVFTMLMASALVAGLLLSRLVMAEISAKMESRRPDAAASDLPVSSMEQS >ORGLA04G0182200.1 pep chromosome:AGI1.1:4:19738198:19740330:1 gene:ORGLA04G0182200 transcript:ORGLA04G0182200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPFSADGNGAAELAGSIEALLWPEDKGGGGGGGGGSLLVEPRSVLDCRGSPSPPNSTSTLSSSHGSGAADSISTGVAAVAESSAAAAEATRWAAPGEHGGGGGGELPPIPGALDVGFVAEESWDAMLGDAAAAAGQEQTFLNWIMAAPGDMEPQAPGLSQQQLLANAAGFGFPLQHHPGGVSSPAALASDLSSSGGRSLTSSSGSNSKATSAFGLLSPEAALQPPPATTAPFHNGADMKPPLLGLPSPTLLLNQHQPTPASTLFMPFPSFSDHQQQPLLQPPPKRHHSVPDNLFLLHNQPQPPPPAPAQCLPFPTLHSTVPFQLQPSMQHPRNAMKSTAAAAAQQQHLLDELAAAAKATEVGNSIGAREILARLNQQLPPIGKPFLRSASYLKDALLLALADGHHAATRLTSPLDVALKLTAYKSFSDLSPVLQFANFTVTQALLDEIASTTASCIRVIDFDLGVGGQWASFLQELAHRCGSGGVSLPMLKLTAFVSAASHHPLELHLTQDNLSQFAADLGIPFEFNAINLDAFDPMELIAPTADEVVAVSLPVGCSARTPLPAMLQLVKQLAPKIVVAIDYGSDRSDLPFSQHFLNCLQSCLCLLESLDAAGTDADAVSKIERFLIQPRVEDAVLGRRRADKAIAWRTVLTSAGFAPQPLSNLAEAQADCLLKRVQVRGFHVEKRGAGLALYWQRGELVSVSAWRC >ORGLA04G0182100.1 pep chromosome:AGI1.1:4:19732556:19733063:-1 gene:ORGLA04G0182100 transcript:ORGLA04G0182100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKLTAAVAALLVVVFFALFVGSCEARRLRALGRVSSLKPSSSPPTPYKDAASMKLHGSDPTNQKKDLSSTSMDHHMASGDDAKAKDGVAMASPGAVQTTIVVRVSNRLSHQERRVDTAFHLDYAGPRTHPPSHN >ORGLA04G0182000.1 pep chromosome:AGI1.1:4:19726835:19727248:1 gene:ORGLA04G0182000 transcript:ORGLA04G0182000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVLVFLLAINLLFFTTANACGCACGKCPTPPPPALPPPPPPTPTTPSYHNKCPVNTLKFGACADVLGAISGEVGQVPAQPCCSLISGLADLEAAVCLCTAIKANVLGVVVNIPVKLSLLVNYCGKCVPSGYTCA >ORGLA04G0181900.1 pep chromosome:AGI1.1:4:19716620:19717015:1 gene:ORGLA04G0181900 transcript:ORGLA04G0181900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASIALFLAVNLVVFSLASACGGHCPTPTPSTPSTPTPTPAAFGKCPRDALKLGVCANVLGLIKAKVGVPPAEPCCPLLEGLVDLEAAVCLCTAIKGNILGINLNLPVDLSLILNYCGKRVPTGFKCF >ORGLA04G0181800.1 pep chromosome:AGI1.1:4:19713420:19713812:1 gene:ORGLA04G0181800 transcript:ORGLA04G0181800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASIALFLAVNLVVFSLASACGGRCPTPTPSTPSTPTPTPAAFGKCPRDALKLGVCANVLGLIKAKVGVPPAEPCCPLLEGLVDLEAAVCLCTAIRGNILGINLNLPVDLSLILNYCGKRVPTGFKC >ORGLA04G0181700.1 pep chromosome:AGI1.1:4:19709244:19709915:-1 gene:ORGLA04G0181700 transcript:ORGLA04G0181700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDMERPPAATAQGVRPNPVVERKLGELDACLADAMSSRPRRSDVDGSLFAEIQAKTDFLKTLIAAEGECHGGALPEHLEEAKARFAVLKGAFDKWARRDDAAAPAEEEQPDGAAGSGSECSCTESCFGVEVTGCLEATSDVERVAVEMATLGATFNAERRAGHKPSPSPAAATRNAARRRGWRRSAACCGAAGAVAVLALAIGVAIEFASVARQNVYVVPT >ORGLA04G0181600.1 pep chromosome:AGI1.1:4:19705608:19708295:1 gene:ORGLA04G0181600 transcript:ORGLA04G0181600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLLRVHPSELKIPYEYKRKRSCCMQLTNKTNQYVAFKVKTTNPRKYSVRHACGILPPRSSCDITVTMQAPVEMLSDYHCKDKFLVQSVAVGYGATMRDFVPELFTKAPGRVIEEFKLRVVYVAANPPSPVPEEEEEEEEDASPQSEVMSHGVKMTSVFDAVTVSTLTDRSADKVSSAEGVSVESMLVAEREYPVEENQKLQQQMELLRAARSSQQGFSAMFVLLVFMSSVCIGHFMKQIKV >ORGLA04G0181500.1 pep chromosome:AGI1.1:4:19699020:19701182:-1 gene:ORGLA04G0181500 transcript:ORGLA04G0181500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:I1PNP6] MKISANFLLNNCARTYTNKKTLKKCKRELVEVVDGLVGVMMTSSNREKPDIESGYDGSSDEDSTENSRAEICPSALCFFDQIVASAQDKKVVLFLDYDGTLSPIVNDPEKAFMSSEMRATVKSVAKHFPTAIVSGRSRDKVFDFVKLTEIYYAGSHGMDILASFADSDSTIEKTKETKLFQPANEFLTMITEVSKSLIEVTKAIKGATVENNKFCVSVHYRNVDKKNWKLVAQVVNNVLKDFPSLKVSTGRKVLEVRPMINWDKGKAVEFLLRSLGLDDSETVLPIYIGDDKTDEDAFKVLRERKNGCGILVSQVPKKSEAFFMLRGPSEVVILPVMLNFFAALLIMPS >ORGLA04G0181400.1 pep chromosome:AGI1.1:4:19693117:19697501:-1 gene:ORGLA04G0181400 transcript:ORGLA04G0181400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGCGRWWWVAVALVVVAAAAAAEGAREEAAVAVAVAPRRHAYAAMMYMGTPRDYEFYVATRVMMRSLGRLGSDADRVVIASLDVPPRWVQALKDDGVKVVSVENLKNPYEKQENFNMRFKLTLNKLYAWSLVSYDRVVMLDSDNIFLQNTDELFQCGQFCAVFINPCIFHTGLFVLQPSMDVFKNMLHELAVGRDNPDGADQGFLASYFPDLLDRPMFHPPVNGTKLEGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPSAPWFKPWYWWSWPVLPLGLSWHEQRRXXXXYSSELPVVLIQALFYIGVIAVTRLARPSLSKMCYNRRMEKSTIVLLTTLRVVAAWSILAAYTIPFFLIPRTVHPLLGWPLYLLGAFSFSSIVINVFLLHPLAVLTTWLGIIGALFVMAFPWYLNGVVRALAVFAYAFCCAPLIWGSLVKTMSSLQILIERDAFRLGEPNQTAEFTKLY >ORGLA04G0181300.1 pep chromosome:AGI1.1:4:19683001:19684717:-1 gene:ORGLA04G0181300 transcript:ORGLA04G0181300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1PNP4] MVRERVVVVMAFAVATLATSLLPPLACQAAPAPCERPTRGHHQYRQPVGVRRIVVDASGGGDFLSIQQAVNSVPENNTVRVIMQINAGSYIEKVVVPATKPYITFQGAGRDVTVVEWHDRASDRGPDGQQLRTYNTASVTVLSNYFTAKNISFKNTAPAPMPGMQGWQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIEGSIDFVFGNGRSLYKDCELHSTAQRFGSVAAQGRHDPCERTGFAFVNCRVTGTGRLYVGRAMGQYSRIVYAYTYFDSVIAPGGWDDWDHASNKSMTAFFGMYRNWGPGADAVHGVPWARELDYFAARPFLGKSFVNGFHWLTPDV >ORGLA04G0181200.1 pep chromosome:AGI1.1:4:19680176:19680487:-1 gene:ORGLA04G0181200 transcript:ORGLA04G0181200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLGSCGEAERRAEGRVRHCEPLGINLADDEAFDRAARDREHMPSRPPEVETRPWKAAGGRCVGRVEGLGDLEICVWFSRRRAGDNTEKLTNSVAKSASMRI >ORGLA04G0181100.1 pep chromosome:AGI1.1:4:19678469:19680076:-1 gene:ORGLA04G0181100 transcript:ORGLA04G0181100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQIWRLLPSALSPIHAGAPRPSRPPARLGRPSPQRRRALALAHLATRRTCRLLAVSAQSASPHAGLRLDQFFEVEMKVRDYELDQYGVVNNAIYASYCQHGRHELLESVGISADAVARSGESLALSELHLKYYAPLRSGDKFVVKVRLASTKGIRMIFEHFIEKLPNRELILEAKATAVCLNKDYRPTRISPEFLSKLQFFTSEGSSS >ORGLA04G0181000.1 pep chromosome:AGI1.1:4:19672041:19673811:-1 gene:ORGLA04G0181000 transcript:ORGLA04G0181000.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQQLCNSHCLPARAGSIASPGSGRRVVPLGRRRASLGKVTAYAYPTTRRVVDAAKSSLLQDVHVAASNPSLQLLQDYAPAKKSAKQQNGSRTKDGFYEVEMTVQDDELDEYGVVNNAIYASYIHSGRDVFLENVGVGVDYWTSTGNALALSELNLKFYTPLRKDDRFVVRMKVVKIKGVRIIVEHLIETLPDRKLVVDAKATAVCLDNKYRPTRVFPELSTKLHQFFLS >ORGLA04G0180900.1 pep chromosome:AGI1.1:4:19668487:19669524:1 gene:ORGLA04G0180900 transcript:ORGLA04G0180900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:trigalactosyldiacylglycerol 1 [Source:Projected from Arabidopsis thaliana (AT1G19800) TAIR;Acc:AT1G19800] MPSAGAGAAFLLRPISATTHPLISLSCTGAGDSANHKSHLLFRLHHSRRRLPVPRLSLTPAPTGSNNSPPSPPPASPEPPPPPFSRWSPPRAIWRGLSALLLAGQVFHRVLTGRVHRRNLLAQLRRVGPGSAGVSLLTAAFVGMAFTIQFVREFTRLGLHRSVGGVLALALSRELSPVVTAVVAAGRVGSAFAAELGTMQVSEQTDTLRVLGAQPVDYLVVPRVVACVLALPVLTLMSFALGLASSAFLADSIFGVSTSIILESARRALRPWDLISSLLKSQVFGAIIAVVSCAWGVTTHGGAKGVGESTTSAVVVSLVGIFVADFALSCLFFQGAGDSLKYAMG >ORGLA04G0180800.1 pep chromosome:AGI1.1:4:19659196:19660131:1 gene:ORGLA04G0180800 transcript:ORGLA04G0180800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTCKLCYRRFGNPRALAGHMRSHSVAASRSQISSTSSASTSVAVGDDDGGGDAKRPIQGYVLREKPKRRVRLAESDFSDRESETEYYSSPPHGKRANTGSGDVEQVSSVSDATSEEDVALSLMMLSRDTWPATPPPPPPYRLRGAGYDDGSDGGDAPPAPAAAAAQKRTRFQCPACKKVFRSYQALGGHRASHMRGGRGGCCAPPPNPPPSPATPLQPLPECDGGEEEGAKPHPHECPYCFRVFASGQALGGHKRSQLCSAAAAAASGDDLPATIKSNGFIDLNLPAPFDDVELSAVSDPFLSSKPGS >ORGLA04G0180700.1 pep chromosome:AGI1.1:4:19650346:19652155:1 gene:ORGLA04G0180700 transcript:ORGLA04G0180700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCESSPSLHHGQMQPSPSSPRAPPPTAAQASGYKHFCRVCNKGFTCGSALGGHMRAHGVGDGDGLGADDDDDDDDDSLGDEAVRRARGGADDPWNAGGPSSSGAATHVYELRTNPNRVTRSRQVCKNCGKEFTSWEHFLEHGKCSSGEDDVDRSLQPWSPSPEADGEEDPAPAAGWLKGKRSRRCKGTGVDLSPTPSACAAGEEEDLANCLVLLSSSKVDQAGVTEAEQPSSSSASKEHKRLITFMEPTTYVLDTVMALPPPAPAPQYVSTVPRGMFECKACKKVFSSHQALGGHRASHKKVKGCFAAKLESNAAEVAEPSHHAEVADRSEDNPAKATSDARRNVHASMDGDGNAGTSDAAAELSMAIVPIEPPVAALAAAPLKKKGKMHECSVCHRLFTSGQALGGHKRCHWLTSSSADHTASVPPLADDLVPLSFRPMLDAPEPALDLSIAANPPLLASAATVRPKVGGSSFHLDAPPPVYIPSSPAIPSQRNKATATTGSQNANDAVGLSTAAAEDEADSTTVKRARLSDLKDVSMAGETTPWLQVGIGSSSRGGADDNDKE >ORGLA04G0180600.1 pep chromosome:AGI1.1:4:19645765:19646418:1 gene:ORGLA04G0180600 transcript:ORGLA04G0180600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTELASDVYALPCGDDGTTALSTPVVVSVLASLLERHIARNERDQAAAADGEAARRARAFDSGTVLDMSLHAFLERFSRYANVSPQVYVVAYAYLDRLRRGDGVRVVSANAQRLLTTAILVASKFVEDRNYKNSYFAAVGGLTAAELSSLELDFLFLMQFRLNVSVSVFQSYCRHLEREVSYGGGYQVERCLKKALVCSGEAQAQQRQAASAAAQ >ORGLA04G0180500.1 pep chromosome:AGI1.1:4:19639532:19640636:1 gene:ORGLA04G0180500 transcript:ORGLA04G0180500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGTFVFAVLVALPILSLPVSGYEQNYTAGRRSTMSLGRGYGWSSGGATWYGGPQGDGSEGGACGYQSAVGQRPFSSMIAAGGPSLFKNGKGCGSCYQIKCTGNRACSGRPVTVVITDSCPGGVCLNEAAHFDMSGTAFGAMANRGMGDRLRSAGVLKIQYKRVPCRFAMNVAFKVDAGSNPYYLAILVQYANGDGDLAAMHIMEARGGGGWKAMQQSWGATWRLNSNTGKPLSPPFSIRLTSGSGKVLVANNVIPSGWQAGSTYRSTVNYAA >ORGLA04G0180400.1 pep chromosome:AGI1.1:4:19631717:19633207:1 gene:ORGLA04G0180400 transcript:ORGLA04G0180400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFQLILSTFVVIAAVTMLPRPCASIEFHRKLSSWSNGGATWYGAANGAGSDGGACGYQGAVFQAPFSSMIAAGSPSIYKSGLGCGSCYQVKCTGNSACSGNPVTVVLTDECPGGPCLSEPVHFDLSGTAFGAMANPGQADQLRAAGVLQIQYNRVPCNWGGVKLTFVVDAGSNPNYFAVLVKYENGDGDLSGVELMQTGAGAAWTQMQQSWGAVWKLNAGSALQAPFSIRLTSSSGKTLVASNVIPSGWKPGMSYISTVNF >ORGLA04G0180300.1 pep chromosome:AGI1.1:4:19624175:19629593:1 gene:ORGLA04G0180300 transcript:ORGLA04G0180300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAQTPDILGERQSGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRGNDLVRNKIHPTSIISGYRLAMREACKYVEEKLAVKVDKLGKDSLINCAKTSMSSKLINSDSDFFANLVVDAVQAVKTTNAKGEVKYPIKSINILKAHGKSAKDSYLLNGYALNTGRAAQGMPTRVTPARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQRESDITKERIEKILKAGANVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMVSTFADMEGEETFDSSFLGHADEVVEERIADDDVILVKGTKNTSAVSIILRGANDFMLDEIDRSLHDALCIVKRTLESNMVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKQHFSSMGLDLSKGIIRNNLEYGVIEPAMSKVKIIQFATEAAITILRIDDMIKLTKEESGNEE >ORGLA04G0180200.1 pep chromosome:AGI1.1:4:19622303:19623910:-1 gene:ORGLA04G0180200 transcript:ORGLA04G0180200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT4G00030) TAIR;Acc:AT4G00030] MAPLVSHAKILAPIPRGNRRLAPAPPAAGGFLRALFPSRRSRPPPEKDELLRLIADQRRGLDTQSDPSRLADIVSCIDALAAAAPGSDTVSDADKLSGTWRLLWTTEHEQLFIVRNAPFFRTAAGDVLQVIDVPGGALNNVITFPPSGAFVVNGSIEIQPPQRVNFRFTRAMLRGSNWEVPFPPFGKGWFDTVYLDDDIRVAKDIRGDYLVVERAPYSWNG >ORGLA04G0180100.1 pep chromosome:AGI1.1:4:19613243:19613777:-1 gene:ORGLA04G0180100 transcript:ORGLA04G0180100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGTVCSMCGDVGFPDKLFQCARCRYRFQHSYCTNYYGDAAPAPAGADMCDWCLSDVAGKARYSSAAGKQQGAGSQESSTTTSSSSAGRGGGGKPGAGAGAGEQESGRRGTKAAGRRYKLLKDVLC >ORGLA04G0180000.1 pep chromosome:AGI1.1:4:19600592:19603384:1 gene:ORGLA04G0180000 transcript:ORGLA04G0180000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGCGGSGATPRGVVGMYWAPVVTSPPSPQPPFLPPAPCRPDVQMQQQGGLTCLKLGKRPCFWGGDGAGQVAQGSGGGGGGGGGGSADQGKRKEKAATAVPVVPRCQVEGCDITLQGVKEYHRRHKVCEVHAKAPRVVVHGTEQRFCQQCSRFHVLAEFDDAKKSCRRRLAGHNERRRRSNASEAMARGSAHPHGMPVLGHGFPPYGLPTSSAGALSLLSSARATGPWLMPTPDISARSSAALDELIAENRAALLSWQFFSDRQPPPAGRPTGRSPGSETAGGWHAHLQARPPPPGAGGQHEHQSGHVTLDLMQATTAAGGSGAPFRPVPARPAKEGGDAGCTSDAWTPSPMEGARVV >ORGLA04G0179900.1 pep chromosome:AGI1.1:4:19594607:19597958:-1 gene:ORGLA04G0179900 transcript:ORGLA04G0179900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G16650) TAIR;Acc:AT4G16650] MGRKLDPSKPHYGGGASPRAARRTQPSPVFLGTALFVLGFVSLFTGHIVTDADWSRIRSRWRSKQVRNNEPINIWKSRYSNLYYGCSRRSVNFRSAVPENSSTGYLLIGTSGGLNQQRIGITDAVVVARILNATLVVPELDHHSFWKDDSDFSDIFDVDWFISYLSKDVTIVKRIPYEVMMSMDKLPWTMRAPRKSMPDFYIDEVLPILMRRRALQLTKFDYRLTNELDEELQKLRCRVNFHALRFTNSIQTLGEKLVRKLRSMSSRYVAVHLRFEPDMLAFSGCYYGGGDKERRELGEIRKRWDTLPELSAEDERSRGKCPLTPQEIGLMLRALGFSNDTYLYVASGEIYGGEETLQPLRDLFPNYYTKEMLAGNDLKPFLPFSSRLAAIDFIVCDGSDVFVTNNNGNMAKVLAGRRRYMGHKRTIRPNAKKLNLLFKRRKQMGWDIFSQKVKKVQRGLMGEPDDIRPGRDDFNEFPSSCICQRIPVNRSVTARAENL >ORGLA04G0179800.1 pep chromosome:AGI1.1:4:19590886:19593643:1 gene:ORGLA04G0179800 transcript:ORGLA04G0179800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PNM9] MMSSNSLFQRLAKWCPWLLRDEPKKPVKVLVTGAAGQIGYAIVAMIARGLMLGADQPVVLHLLDLPVAANALNGVRMELIDAALPLLRGVVATSDEAEAFKGVNVAIVIGGWPRRDGMERKDLISKNVTIYKSQASALQQHAAPNCKVLVVANPANTNALVLKEFAPAIPAKNITCLTRLDHNRALGQVAEKLNVHVGDVKNAIIWGNHSSTQFPDASHATVSTDRGERPVRELIADEIWLREEFVTDVQQRGAAVIKARKQSSSLSAASAACDHMRDWILGTPKGTWVSMGVYSDGSYGVPEGVFFSFPVTCEKGEWSVVQGLEIDDFARSKMETSATELKEEKSIAYEFL >ORGLA04G0179700.1 pep chromosome:AGI1.1:4:19579778:19580449:-1 gene:ORGLA04G0179700 transcript:ORGLA04G0179700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGETQESPRRLLILVQTALVYSQAWNIWAHDSASFPQRLPRKQIRLSRVQGNGGNKVPDLEEHRIGARFRIDPPSGSQSSVQKKELFPSPSTILHPAARQCSAFLSCGDVKDTQWRSG >ORGLA04G0179600.1 pep chromosome:AGI1.1:4:19578015:19578946:-1 gene:ORGLA04G0179600 transcript:ORGLA04G0179600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSCGDSFSASSIKAYVAEFIATLLFVFAGVGSAIAYGQLTKGGALDPAGLVAIAIAHAFALFVGVSMAANISGGHLNPAVTFGLAVGGHITILTGIFYWVAQLLGASVACLLLQFSTHGQAIPTHAIAGISEIEGVVMEIVITFTLVYTVYATAADPKKGSLGTVAPMAISFIVGANILAAGPFSGGSMNPARSFGPTVATGNFAGNWVYWVGPLIGGGLAGLVYDDVFIASYQPVGQQEYP >ORGLA04G0179500.1 pep chromosome:AGI1.1:4:19575331:19576319:-1 gene:ORGLA04G0179500 transcript:ORGLA04G0179500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tonoplast intrinsic protein 5;1 [Source:Projected from Arabidopsis thaliana (AT3G47440) TAIR;Acc:AT3G47440] MANICANMKRCFSPPALRAYFAEFFSTFLFVFIAVGSTISARMLTPDETSDASSLMATAVAQAFGLFAAVFIAADVSGGHVNPAVTFAYAIGGHITVPSAIFYWASQMLGSTFACLVLHYISAGQAVPTTRIAVEMTGFGAGILEGVLTFMVVYTVHVAGDPRGGGFGGRKGPAATALGALVVGAVTGACVLAAGSLTGASMNPARSFGPAVVSGHYSNQAVYWAGPMVGAAVAALVHQALVFPTVPEPAPAPATNESARHGSVQTVVV >ORGLA04G0179400.1 pep chromosome:AGI1.1:4:19570112:19571968:-1 gene:ORGLA04G0179400 transcript:ORGLA04G0179400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHVVYRRRESSWRGGETSARLHQGAAAMSNPAGGKHLVRLAGSSSLRGGAALSPAVSISSGSRPATRAGARALRAASPPPACSIASVGCWETRALRLDGDEDWEVVVAQGDDAVGADSGAFDAVQEAADEHAEAFGAPPTDQEVRAAVASIQEVFENHPGLDSDAPAQALALSPISGLPPSGMFVNYFAEGSTPSDIKIEDSTPSDVKIDQLASLEHSTPDTASEECIEPAMLVLNSTALLTREHRNVLDAFHLLQVDSSVQKMVMALSTDKSVWDAVMKNEVVQEFRKSFQDAKEADPNGSSSASPGVMKWVMETTQAKIKEFLESILKLVNMLFQAQSEDYDLYDDTVRMSFMLAVFVFIVVTVARIK >ORGLA04G0179300.1 pep chromosome:AGI1.1:4:19567257:19569681:1 gene:ORGLA04G0179300 transcript:ORGLA04G0179300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 7 [Source:Projected from Arabidopsis thaliana (AT2G44990) TAIR;Acc:AT2G44990] MATQAIAPMHAAVVHRHHVLPPRRCVRRRGVFVRASAAAAETDTLSAAFWDYNLLFRSQRDECLDSIPLRVTEGAIPPDFPAGTYYLAGPGIFSDDHGSTVHPLDGHGYLRSFRFRPGDRTIHYSARFVETAAKREESRDGASWRFTHRGPFSVLQGGKKVGNVKVMKNVANTSVLRWGGRLLCLWEGGQPYEVDPRTLETVGPFDLLGLAAADDNKATNASAARRPWLQEAGLDAAARLLRPVLSGVFDMPGKRLLAHYKIDPRRGRLLMVACNAEDMLLPRSHFTFYEFDAHFDLVQKREFVVPDHLMIHDWAFTDTHYILLGNRIKVDIPGSLLALTGTHPMIAALAVDPSRQSTPVYLLPRSPETEAGGRDWSVPIEAPSQMWSVHVGNAFEEANRRGGLDVRLHMSSCSYQWFHFHRMFGYNWHHKKLDPSFMNAAKGKEWLPRLVQVAIELDRTGECRRCSVRRLSDQHARPADFPAINPSYANQRNRFVYAGAASGSRRFLPYFPFDSVVKVDVSDGSARWWSTDGRKFVGEPVFVPTGGGEDGGYVLLVEYAVSKHRCHLVVLDAKKIGTENALVAKLEVPKNLTFPMGFHGFWGDE >ORGLA04G0179200.1 pep chromosome:AGI1.1:4:19562677:19563708:1 gene:ORGLA04G0179200 transcript:ORGLA04G0179200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAKPHLSSSSFLPSTRVSSPAPGPNHAKPIAASPAPRRCLRLAVTSAAAPAASSAEAAAALSRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQASVIRDLVLLSCVGLHPVLVHGGGPEINSWLLRVGVEPQFRNGLRVTDALTMEVVEMVLVGKVNKHLVSLINLAGGTAVGLCGKDARLLTARPSPNAAALGFVGEVSRVDATVLHPIIASGHIPVIATVAADETGQAYNINADTAAGEIAAAVGAEKLLLLTDVSGILADRNDPGSLVKEIDIAGVRQMVADGQVAGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >ORGLA04G0179100.1 pep chromosome:AGI1.1:4:19551409:19559222:-1 gene:ORGLA04G0179100 transcript:ORGLA04G0179100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone mono-ubiquitination 1 [Source:Projected from Arabidopsis thaliana (AT2G44950) TAIR;Acc:AT2G44950] MGSTGEPDRKRRLSSSVAPGGGAPVSPAKRLAVAPTSEDKKLDFTVLKYKNQKLSEQLEAHKFEYRALENKFAGLKEKQRTHNETLSLVNSSWEQLVADLKSRSFCKSGSPNSSPGSGHNNVQKDGTCAPIERDTLRSLVESGATESSGCLPGCHLGSDAPPLHLSTANALGDIFFPSSDLLQANEECALAALTKLPENDRSKQLQSTSSNLLSSLNNVVQALSNLQLKHKQLAEDYQNQRDSSARKRAEHRRLKEELASAASELEETNYKLAALKAQRDNTQGARIPYPTLGNKNMPEDKVRDKQREMQDLEATHKELSELISKRLVEIKRLHEERIEILNKIATFQNILMDFKSIRSSKAFQLVNDRLQKSQAELDHYQTLLEKLQVDKDKFVWQERQFNLKVDLAEIPERVSTYCESSIADLKKDIQKLRDEKNMLILKLEEASREPGRNQVITKFKALVSSIPREMGAMQSEMTKHKEASLELNSLRAEVHSLSRILSRKERDNEEASCRSARAGSDITQLQSVISDLKQTNKELKLFADMYKRESTDSREIMESRDREFLEWAHVHALKSSLDESKLEQRVKAANEAEAITQQRLATAEAEIAESGQKLGTSRKDLVSLSHMLKSKQEECEAYRVEVECIGQAYEDIQAQNQQLLQQIIERDDDNTKIFMEGVKAKQTQDALHLETYSLRRNLQQESSLMDLYNQKIVSLEDQLKMWSDRVGKLQEDGWQQSVSLSNYQRKLVDVHRDAQKLMQSLDGIQANVGSSRLEVADLLIELEKERFSKKRIEDDLEVMSRKASSLRAKARESAVLEKLRHEVKEYRGILKCGICHDRQKEVVITKCYHLFCNQCIQKSLGNRQRRCPSCSLSFGANDVKPIYI >ORGLA04G0179000.1 pep chromosome:AGI1.1:4:19539591:19540244:1 gene:ORGLA04G0179000 transcript:ORGLA04G0179000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSHDLASPTSPDTASSSSSSTSTSSSSATVAPKKRPRNDGRHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRTAHLNFPDLAHLLPRPATAAPKDVQAAALLAAAAADFPSVSVDANAKSPDTCSVASAASPQPPPPDAEADPDSTLFDLPDLLLDLRYETSSSLSCGASWAVDDDVAGGVVFRLEEPMLWDY >ORGLA04G0178900.1 pep chromosome:AGI1.1:4:19529245:19530243:-1 gene:ORGLA04G0178900 transcript:ORGLA04G0178900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWRCVWQCRLVVVTEEIGVVSLVLSSTVGGGTEMSRVGFGGADRGWGDTVLKAEIGGCTETVDEPEIGGGTEETGEKGDELRSGEWRRQLAGWEGGCGVRRTTAE >ORGLA04G0178800.1 pep chromosome:AGI1.1:4:19525609:19525845:1 gene:ORGLA04G0178800 transcript:ORGLA04G0178800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGYNNCMWKLCFRMQVFKSLEATHGSSRVGAERLADSPESGNGHWIHPSRHHHRQILAHQGSWEVERRWDPRGRWXGA >ORGLA04G0178700.1 pep chromosome:AGI1.1:4:19523154:19523897:1 gene:ORGLA04G0178700 transcript:ORGLA04G0178700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQVISQESNSCTCSSSSNDASSAACSSLNASSPSSVDSGSAGGGGGGKKRPRSDHLKHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFDTAEMAARAHDVAALAIKGRTAHLNFPDLAHLLPRAASASPKDVQAAAALAAATASPVPALSPTPCHDVDAAADDEPEPAEPEQATAPVCIVENGTLQQDSGTGLDYTYFTMPDALLEFGFTLPPPPPPYYCGSPWDDDADDFFFGEPLVLWEH >ORGLA04G0178600.1 pep chromosome:AGI1.1:4:19517002:19517856:1 gene:ORGLA04G0178600 transcript:ORGLA04G0178600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADASHTPTTSSSVSVSFSSSSLSTSSSTSSLVDNGAQDRPKSSKPKHAAKKRKGAAAEEPANAAHGAGEDTSSCSTDDNAAASGKAQAGGGGGGVDSSSTCTAASAPRSGFKHPSYRGVRRRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRNAHLNFPDSAHELPRPASTSPADIQAAAAKAAAEVRCEEESSPSSSPTAEQPEEEAACPDTVHADGGQDNALFDLPDLLLDLRDGLWWSPVWPAALAAEEYDGGDAVVLNEPLLWAE >ORGLA04G0178500.1 pep chromosome:AGI1.1:4:19506918:19510210:1 gene:ORGLA04G0178500 transcript:ORGLA04G0178500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRVPRSNNTKYYEVLGVPKTASKDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLTDPEKRDIYDQYGEDALKDGMGGGSDFHNPFDIFEQFFGGGAFGGSSSRVRRQRRGEDVVHTLKVSLEDVYNGSMKKLSLSRNILCPKCKGKGTKSEAPATCYGCHGVGMRNIMRQIGLGMIQHMQTVCPECRGSGEIISDRDKCTNCRASKVIQEKKVLEVHIEKGMQHGQKIVFQGEADEAPDTVTGDIVFILQVKVHPRFKRKYDDLFIERTISLTEALCGFQFILTHLDSRQLLIKANPGEIIKPGQHKAINDEGMPHHGRPFMKGRLFVEFNVEFPESGVLSRDQCRALEMILPPKPGHQLSDMDLDQCEETTMHDVNIEEEMRRKQYQRKQEAYDEDEEEDAPRVQCAQQ >ORGLA04G0178400.1 pep chromosome:AGI1.1:4:19503517:19505261:1 gene:ORGLA04G0178400 transcript:ORGLA04G0178400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb-like HTH transcriptional regulator family protein [Source:Projected from Arabidopsis thaliana (AT3G60460) TAIR;Acc:AT3G60460] MARAPGGVRRRSGRRGAGGGGAGGGGEALRKGPWMAEEDEVLLEHVRTHGPMDWSSIRSKGLLPRTGKSCRLRWVNKLRPNLKSGCKFTAEEERVVIELQAQFGNKWARIATYLQGRTDNDVKNFWSTRQKRLARLLRGPLPAARPNKHNSGKGKAPSSSSLDSQTATFHQSSASLDQASLEGNSLGWQCREASPFMGYDQACSGFFAFEGPLPLQLLPPADGEASSSNAALSAPPPLLFDQPPYPLINFPGWPERYVDVGHGFVDAGAMDGLAYQELLPMVQSVPVIMPFFGMECAHDAVKHGAFDDLPPNMFDDAVDQPPPPPPPPPPPSPSPSPSRDDVL >ORGLA04G0178300.1 pep chromosome:AGI1.1:4:19498812:19499214:1 gene:ORGLA04G0178300 transcript:ORGLA04G0178300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGGGEEAERWPWWAGASAAQVAAGVAWFRRGRGGAAFAMPFKAFAIATLFVGAGATAVTAGVLAAGVGSVDEMKGVGASIRRWMGAPPRRRVEGGGDP >ORGLA04G0178200.1 pep chromosome:AGI1.1:4:19495414:19498606:-1 gene:ORGLA04G0178200 transcript:ORGLA04G0178200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G61540) TAIR;Acc:AT5G61540] MGRSRRSYARLLLLGFLLLRFSWCLAVADDGGGAEEGGGIFPVVVSTWPFREAVRTAWEVVSASGGAGCSAVDAVVAGCSACEVLRCDGTVGPGGSPDENGETTLDALIMDGTTMEIGAVAAMRYVKDGIRAAKLVMDHTEHTLLVGEKATSFAISMGLAGPADLSSPESIGKWTIWRQNHCQPNFWKNVVPAGSCGPYNAIDVPSGESKASAKRVLERTQGDICQGLFEPNNLLEPMNSRLKIVNRHNHDTISMAVIDKMGRIAAGTSTNGATFKIPGRVGDGPIPGSSAYADDEVGACGATGDGDIMMRFLPCYQVVESMRRGMEPRDAAMDAILRIARKYPDFVGAVFAINKKGVHAGACHGWTFQYSVRNSSMQDVEVITVSP >ORGLA04G0178100.1 pep chromosome:AGI1.1:4:19478679:19479873:-1 gene:ORGLA04G0178100 transcript:ORGLA04G0178100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERAEDLRLSLSLSSPLIAPRTHHVAMLFHAPPEKRFLEMPLLPAAKRSEVVAAEEERAGLRGGGGSDEEDGGCGIDGSRKKLRLSKDQSAVLEDSFREHPTLNPRQKATLAQQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQKEVQELRALKLVSPHLYMNMSPPTTLTMCPSCERVSNTNNNSSAAAAADRRGIRTTTAAAGGSVVDTAADGGILCHRPIAVRPQQS >ORGLA04G0178000.1 pep chromosome:AGI1.1:4:19473219:19473913:1 gene:ORGLA04G0178000 transcript:ORGLA04G0178000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESKPCLDNGNAAYRNPVRTGLLLIQRGAIPDHGRPEQQQQQQLPVXDTVPLQHARMHGRHGWRRCGAHAHTVDALSRNRELLAGCGTHARTIDALRHDREPLAGGTGAGVGEEDPNADAAADEEVEHEGAALAAVVGASTTSTYLASSSRQQRMWPPVTSSDVAWTVEDAAIGDELWPGSG >ORGLA04G0177900.1 pep chromosome:AGI1.1:4:19467020:19468273:-1 gene:ORGLA04G0177900 transcript:ORGLA04G0177900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAPAPEARVSGGDVPARLQQALALLFPTNLAAKAVLFAVVVALLPLLPTSQGPRIWELPHILLLGLIISYGVFGQRNADSEVAAVAATKTVDDESVESYVTQMMHGPLVFEENDGGGEADAAGKEGVQAWSSQYFPDDPLVVVADAGAGSNTGKGDESEKPLLLPVRKLKSATEESATLTESFSDGAIEEEEEEEEEETEFLLRKARYGGVREHAIPSPSSVLDADLTLSPCSPPLLPPPPPPPPPPPFLDHDRPALRKAKARSFNDYGRVGLQTAAGGGGGGHNFRSKSAIQASRSTFPTPPFDDHDLEEKVAASDISSFSSDDVVTDDGEDGDNHKEIYNYEEEEGDVDRLDDDDDDDDDGSCDEELFELATRLAPEEEEVVEDEVDRKADEFIAKFREQIRMQRVVEPGRR >ORGLA04G0177800.1 pep chromosome:AGI1.1:4:19461518:19463865:-1 gene:ORGLA04G0177800 transcript:ORGLA04G0177800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRVINAVLFTVLAVLVALVLGYFVVRCQRRQRRRRRRGAVLPSHGARADRFQSGGGTSGYGAGGAGGAEEALVRFPGGEGLTVAAILEAPGEVVAKSGHSTLYRAGLSAGEAVALLRFVRPVCAAAADEATAAARLLGALQHPNLVPIRALYVGPRGEMLLVHPFYAAGSLRRFLQEGINVSQKWGIICKLSIGIVKGLDHLHSGSQKPIVHGNLKTNNIMLDADFQPRISDFGLYLLLNPAAAQQMLEASAMQGYKAPELIKMREATRESDIYSLGVILLEMLAQKEAANSSSPNARDIHLPSSFKDLVLERKISDAFSSELVKQSKNSGKEQNLNAFFELATACCNPSPSLRPDTKRILKMLEEISR >ORGLA04G0177700.1 pep chromosome:AGI1.1:4:19444842:19459666:1 gene:ORGLA04G0177700 transcript:ORGLA04G0177700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06530) TAIR;Acc:AT3G06530] MASIASQLQAIKSAVGAAPEPARRPITRPSVLFDAKEAADIDLRAILPIALSGLEHLEGVDERFRRYRNTLFSETSLEVNREQLTTKENDKLNKSISSYLRLLAGYLQLQAAMQTLEYLIRRYLVHVYNLDELLLCALPYHDTHTFVRIVQLINLGNSKWAFLDAVKSSGAPPPRSVMVQQCIRDKAVLETICNYAAPTKEFHHSRTVVCFCTAVIVECLGAIPKLDTDIVQRVLGFVFDSLNPAMKGDQDYKAGALMIIGVLATRATLAPKLVQNLIFFVARAAQHDALDTIDLPWLRVTVMAIISLVQSQSVTDFPKKPLMILKDIRDFSGILSVLCCEFNIERFIRLYVESLVGYSSSDDSCHSHLIEIVETLNIEKFVERIVYKVLDHCVKASQAAENLDMNRTGLWSKKTLNVIGKKYPKELRNAIHKFLENSEVNSIGEDFASNLLGLVFDESKGMPTEISDSNIWFSLDHPKAEVRKSALSKIATSNIFKNHNLNPQNLINMQDAIIHNMYDDDLSVVEAALSIEGLAAVASPVSLLKVYDDLLANCINIIHKGGPKASKACDVAVSCLEKIIIEYRLHYIEHAKDIAAVVFRLLIVHPKTVRVNLKALELAKSIQWEFYTSSSLVYNVITTDKMKGISPESVASINMKNIKAFSETFLANPNKHVEWLADAGKGSAFSRALFLLIILQSLLAPAEVLDMQMSLCQACLPVLKNKWCQIKPKDGRVGDEINIDKLEKCITELVKHVFNNDTEALNARILVCIFWGMLRVQSSYIKQSSMINDGGNTLLDDLFLFFITSPGKIIFQKHLQYLMVNCTRAPFQFISKYFVDEGFSAGVRVESLLMLASICSLLLGFPCVMLPLAHENKDVRSSALKCIEGLSLVWQRLSASLSRNGNGSKLPKCMLSPTFGVFLGSLVNQKTMISSDTRFLPAYISSLLSPSQDLMVPENLHERFDQSTKDAILHFILRSGMKLSSYGKFMILSILKGVGSILFDVEDVKSLLFDLLDRRNQYQSGCESRQIMSTHEIQILCLLLEVMFSVSNSANVSSETSEALLKVLRIDVSAQEDPVVVMPCVTALQAVQPVFFDFLKTDTQEKVFASLISMFRTENTEIRNAARDAILRINVHASTAVKFIELIAAQGDKKMNSKRIKRKEDLNHDIFKNFDDLFGVKPTASVLVSLLDVLFLKKDVIQRTCLLQPLFQLLLKLLSDQWILGIVCQYNKGHDASPENPDLSNFMIEAQQLVLLILKDITDTLQSGHQDELFNCRDINLLINCIQSAKDLGTRNHGFSLIASLAKAFPQVVSESIEDLFVAIGDAVKQLFIKALVDVVEHRRLTLMVYLLRTLGEKKCLSTVIMCLLHSLVGRISHSPEHQGALSLRAMPQEWEYGLAVNITNQYSYKLWFHCLSKLLQEIRVHEKQYLLPMLHLAMQFILFKLQDTELIFDLDSEEAANSIQGSLGELMKEVVLCVAAVRDKKTGISGDALKELRDSANTILKVIAGWMCASTYFKGISRMLEHSRSVVKRKALGILCETAKGNNLIQKKQKKARKLNHSTPATALQVDKSSAPCFSELCVKILELVDREVDSDSSVRIAAISSLETLAKEYPSDNPAYRKCLAKITNHINSGDAVTSSRSIYTVGSLINVLGSKALPQLPLIMKNMLQVSHQVSFCPSGKYVHSSTKTDAKLSNQAIPILLSVLTTVEVIVKKLGEFVNPYLEEILDLVVLHLECASRNDEKLDAKAADVRKLLTDKVPVRLMLSPLLNLYNGAIKCGEASLSLAFEMLSTLVGAMDRLAVGTYHTKVYEHCLVALDLRRQHLDSLKNIAIVEQSIIHAITTLTMKLTEATFRPLFLRTLEWAESEVDRSTSKRSMDRAIVFYKLVNSLAEKHRLGLVLPISVRNWPGMGSLFTPYFKYLLEGSVQYLSEDDALISSKQKKKKAKLEDAPVEQKDKLSGPKLWNLRALVLKSLHKCFLYDNDQKILDSSNFQALLKPIVSQFVIEPPEHFESVPEAPSVDEVDETLVLCLGQMAVTARSDVLWKPLNHEVGTKHYARSSLFLDNGQVLMRTRSDKVRPKMLGLKVVRHMVQHLKEEYVVLLPETIPFLAELLEDVELPVKTLAQEIVKEMETLSGESLRQYL >ORGLA04G0177600.1 pep chromosome:AGI1.1:4:19434920:19438584:-1 gene:ORGLA04G0177600 transcript:ORGLA04G0177600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMIAVTLSAKVAAALSGPAAVRLSSLAGVRSGIEAAARDLELLRAFLRFVDSLHGSDPLADAWVDQVRDVAFDLEDAADEYAFLSGHGFFRHGANLGVWFALSRRLWRARERLRELSAAKEQLGIRPAEVSASSSGGAGGLSAAMIGRKIAEASHFVEEGEIVGFAMHERLLMKWLTGDTDPRQLLIAVCGMGGVGKTTLVTNVYKKVAATCHFDCAAWVAVSKSFTTDDLLRRIAKEFHRDNRGCVPWDVDNMDYRSLVEALRGHLAKKRYLLLLDDVWDAHAWYEIRHAFVDDGTKSRIIITTRSQDIASLASSNRIIRLEPLSEQEAWSLFCNTTFREDADRECPYYLRHWASKILDRCCGLPLAIVSVGNLLVLKDRTEFAWKSVYDSLVWYESSDHGIGQVSSILNLSFDDLPYHLKKCFLYCSIYPEDFMIKRKILIRAWIAEGLIKEKGQGTMEEVADDYLNQLVQRSLLQAAVQNEFGRAKRCCIHDLIREMIVHRSTKERFFVFSKCTVTLKSSKKARHLVFDRCRSDRLSAPKMNSLRSFHAFKADLDASLFSSFRLLTVLNLWFTPIAKLPSAVASLLNLRYLGIRSTLIGELPEELGQLHNLQTLDAKWSMVQRLPQSITKLKNLRHLVLYRRRSADFTYPGPGTAIALPDGLKNLTCLQTLKYIEADEKMVRSLGSLKHMRSLELCGVHESNLIHLPSSISKMTCLLRLGIISQDANVKLDLEPFYPPPIKLQKLALAGMLVRGKLPSWFGSLNNLMQLRLHSSNLMEDSLGLLSSLPRLLHLSLVNAYSGKSLTFANGYFPALKKLSLHDLPNLSHLEFQKGSLVDLHVLMLGRCAQLNKLPQDIRNLVNLETMDLFEMPSEIIQNIQNNEILQEHNHESEHTIVIKNIRWNNGKLLEEKVYINLSLLESRPFIDKEQHNVKSQAEITADLVTFPRDILGDIESLTCGNVTESQSVLF >ORGLA04G0177500.1 pep chromosome:AGI1.1:4:19431006:19433531:1 gene:ORGLA04G0177500 transcript:ORGLA04G0177500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQNGGGDGSGSGAASVVVNVYDLTPMNNYLYWFGLGIFHSGIEVHGVEYGFGAHEFPTSGVFEVEPKNCPGFVYRRSVRMGTTGMSRAEFRSFIEKLTGKYNGNSYHLISKNCNHFTDDVSKNLTGKPIPGWVNRLARVGSFFNYLLPKSIQVSAVRHVPTHPAFSDDDMDSRSCSISGDSDVDELDQHLLSATTIELHSIEHQS >ORGLA04G0177400.1 pep chromosome:AGI1.1:4:19427678:19429761:1 gene:ORGLA04G0177400 transcript:ORGLA04G0177400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 8 [Source:Projected from Arabidopsis thaliana (AT5G47240) TAIR;Acc:AT5G47240] MESSLVDTAAATLCPAAAVGGRRRAGSFLNCSCSSKEYRVSASYSIGRMLSGVRSAARKKLFRSEPADLLGISWSPDSPPSNGTDGGHHHHWWTALENNFVLESSEDEYGGVVVDADRLPSDKAAFARSLAASLSYWKSVGKKGVWLKLPVDRSEFVPIAVKEGFKYHHAEEAYVMLTYWIPHEEPCMLPANASHQVGVGGFVINDQMEVLVVQEKYCGSSLDGAWKLPTGFILASEEIFTGATREVKEETGVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPTSNNIKIDETEIQAAKWMPLEEFVKQPFIQEDHMFQKIMDICIQRLRKCYCGLTAHNVISRFDGRRSTLYYNVSEPEDVNCNAS >ORGLA04G0177300.1 pep chromosome:AGI1.1:4:19412829:19413821:1 gene:ORGLA04G0177300 transcript:ORGLA04G0177300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHHGDADDFALEFIREHLLGVDGATAMATEPAGLEVVEPAAAYPPMFLPRHDQQEQHGCHVELTHEHVESAPAAEAAAAAFRAAPAQPAAEVMIKFGGEASPVRPSSSLTVTVPPSSFGSWASAAAPAAAAVVDDFRKYRGVRQRPWGKFAAEIRDPKRRGSRVWLGTYDTPVEAARAYDRAAFRMRGTKAILNFPNEVGTRGAELWAPPPPPPAHSAAASTTNKRKRQPSEDPDDGVEVIGVVSKAVKTEAPTSNSSSLSSSLTSRDTTPATSSAGAEHAGAAAESSPATPSSWSWEQYWEALLGGLPPLSPLSPHPALGFPQLTVN >ORGLA04G0177200.1 pep chromosome:AGI1.1:4:19404525:19405481:1 gene:ORGLA04G0177200 transcript:ORGLA04G0177200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPGDAEDFALEFIREHLLGGDAPVLPPAAVPAAAAYPPTSSMFLPQQQRGYAGLTPQEYVVDSAPAADQAAFRDDQPDPAADVMIMFGGERFPAVKPSSSSSPSLTVTVPPSSFGSWAPAAVPAAAATAAAVEDFRKYRGVRQRPWGKFAAEIRDPKRRGSRVWLGTYDTPVEAARAYDRAAFRMRGAKAILNFPNEVGTRGAELWATPPPTNKRKRQPEDDTAAADDVEVIGVANKAVKTEAPTSAYSSSSLSSMSRDTTATTSSTGTSTGSSEPTSFPVVTPSSWSWDQYWDGLPPLSPLSPHPALGFPQLTVS >ORGLA04G0177100.1 pep chromosome:AGI1.1:4:19379332:19380288:-1 gene:ORGLA04G0177100 transcript:ORGLA04G0177100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARSMLRNHPEASVLDTIRQHLLEEPRGGGGGEAAEASFGSLVADMWSDSLPFRDDDADDMVVFGAMRDAFSCGWLPDGVFAEVKPEPLLSPDSSSYDGSSCCFGFADVSEPVTPSDAASGAAEAAAAAAAATAEHGKEEEAAAAVARGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFDTAEDAALAYDRAAYRMRGSRALLNFPLRIGSEIAAAAAAAAAAAAGDKRPSPEPATSESSFSSSSSCTTTTTSSSTSSSGSPKRRKRGEAAAASMSMPLVPPPSQLNWPVQAWYPAAAPVEQVAITPRVEQLVI >ORGLA04G0177000.1 pep chromosome:AGI1.1:4:19374867:19375157:1 gene:ORGLA04G0177000 transcript:ORGLA04G0177000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRWISLMLVCSVCMPFACGCERKQSSFAVNTDDGKGDNGKKAGDAGIREGNVVDSLAGADRFTDSDNIGYIVDSLANNTFVADSLEETDAEEKNN >ORGLA04G0176900.1 pep chromosome:AGI1.1:4:19370190:19370636:-1 gene:ORGLA04G0176900 transcript:ORGLA04G0176900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:I1PNK0] MADQHRGVIGGGGYGDRGGQEQQEKQPFMMTALKTVTAATAGGSMLVLSGLILAGTVIALTVATPVLVIFSPVLVPAAIALALMAAGFVTSGGLGVAALSVFSWMYKYLTGKHPPGADQLDHAKARLASKARDIKEAAQHRIDQAQAS >ORGLA04G0176800.1 pep chromosome:AGI1.1:4:19365785:19368851:1 gene:ORGLA04G0176800 transcript:ORGLA04G0176800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:I1PNJ9] MGKRWLPLEANPEVMNQFMRGLGVPAEAGFCDVYGLDDEMLAMVPQPVLAVILLYPQDRKKESVASPSSTVESKKLSKNVYFTKQTIGNACGTVGIIHAIGNALSRIKLVEGSYFDRFYKQTADMDPAQRASFLEEDEEMEKAHSVAVSAGDTEAKDGVIEHYVCFSCVDDEIFELDGGNSQPISHGPSSPDSLLQDAAKVIKARIAQYPGSLNFNVMALSKQ >ORGLA04G0176700.1 pep chromosome:AGI1.1:4:19361663:19364374:1 gene:ORGLA04G0176700 transcript:ORGLA04G0176700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G44830) TAIR;Acc:AT2G44830] MEIVDKIAEPKEPLMVTGRKVQSLEAPIPIKASWKGKSSQQQQQQQDEKDFPADGEESFLSLDSSDEGGRSSFSGASHPLEPIDMDLMKTVYVAIDEEKSEQPVCLVRGVSAKGPFIDDLSICVTGMKANAVVGAGGADGLAEEMKVPGAAVPSLATARSSQATEAVSLPPDSEEKDCVWDASLPPSGNVSPHSSIDSMGVVTAMNTMSSCTSTYKSEAVSSEPVPTMERNCGSVKGSVRGDSLESAKTSMSRASDSSGVSDDSSWSNITGGASKPHKGNDPRWKAIHAVRTRDGVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQAGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRASAFDSDPRRAGGSFCVQPVCMEPTSVCIQPACFMPKLFGQKSKKKTKKTRSELGPSATTMPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYASRDLIKGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPDVPRPVEAELPVKYGVAEAIGSNSKRMVGADMKSGGKYLDFEFF >ORGLA04G0176600.1 pep chromosome:AGI1.1:4:19352498:19353718:1 gene:ORGLA04G0176600 transcript:ORGLA04G0176600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G58520) TAIR;Acc:AT3G58520] MQKVRLKWVKNRGLDHIIARTTSIRASCLLLDHLARLPSSSPVPARSLARLQKPLGLTVPVLRFLRRHPTLFAETLHPRFPTLPSFSLTPASDILLGRLARASALDSHLRLARLLLLTRSKSLPLASVLPLRFDLGLPYNFAAAFPVAHPDLFAVSNNHISLSATASGLPEGIAISSLQRRHAEAIEGATYRALSRPPSSSIAPLAFPMRFPRGYGGMKKVKAWMDKFHRLPYISPYDDASGIDPDSDIYEKRNIGLLHELLGLMVHKMVRRNAIRLLREELGLPHKFTRLFTRYPGVFYLSLKCKTTTVVLREGYERGKLVEQHPLAAVRDKVFYVMRTGVLFRGKGLSKLVLDEDGDEEVVMDGDEEFHGEGMDEDADVECFGMDIVDNEDNTDDEDNERDMYD >ORGLA04G0176500.1 pep chromosome:AGI1.1:4:19343684:19344970:-1 gene:ORGLA04G0176500 transcript:ORGLA04G0176500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTATMIHVESMQTAVPTRITGAGRTLPVAVSGGEAPPPLTAASLQRRFRAVLYYRGIEQLQAEEEEEERAVWVKESLSASLADHPEMAGRLRRRDDDDGGVRGPWEVRLNDNGVRLVQASVDMPMSAFLEAKDLARREAALALWTDVDVHEPEFCAPFFMQLTRFQDGGYAIGASCSLLLADPLSLVDFLKAWARTHAEMRARGKPVAPPAVIQYARYLQSPGAAAAAVVRRLKSVPLDSCSAAAATTMLFRAAAGAQVDRHALAAACVDQAVETLGGNARKPPRLTVLAAGGSGELRVEACGCGDGEETTTPPPPSRGHHALRAAYWGDLGLGEIALDGSEPVHVSCTVVSPCADEGLVVLMAPAGGAELLISVTVPNY >ORGLA04G0176400.1 pep chromosome:AGI1.1:4:19341035:19342259:-1 gene:ORGLA04G0176400 transcript:ORGLA04G0176400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHTGTSRQMAGLLPGVLVAVLLAAAAAPASAKDYTVGDSSGWTTGVDYTAWARGKTFNIGDTLLFQYTSAGHSVVEVSEADHTSCSAANPLRSYKDGTTIVTLTRSGTRYFICGSTGHCGAGMKLTVTVASLSGSATGGTRLAKPSSSDADPTTTTTTRTSSATGGATGSWAPRTATWLLFFAAVGALL >ORGLA04G0176300.1 pep chromosome:AGI1.1:4:19334371:19335267:1 gene:ORGLA04G0176300 transcript:ORGLA04G0176300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRPLALCLLLVSCAAQSPASSPSASNGPPLSAATPQASAASSPQVSAAAPTTAASAPQVSSAAAPTNAASAPQVSAAAPTNAAQAPKVSAAAPTNAASAPRVSAAAPTNATSAPQVSAAAPPTTAAAAPQLAAASPPTATSSPPVSAPTLAVAAPPLATPPTVAAPVASPPLATPPAALPPATAPPTSAPAPLLAAPVLAPVATPTLAPAPAPVSPAPAPVSPAPAPIVAPTPAPILAPELSPLMAPELSPLGSLSPGPSLAPAMASEDESAATRAPAGIAALVALAAAGLVALF >ORGLA04G0176200.1 pep chromosome:AGI1.1:4:19327701:19331116:-1 gene:ORGLA04G0176200 transcript:ORGLA04G0176200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPCLGACSGGLALPVHRYHRLSSASRATVSCAAAAGGGKASPRGKENVWSVDNDRAAAAAAEASRGPKHRRRRRPGGRRLPPPPPPGRRKGKDAAGSRVLVSGAMLVEVETVLQTQSLLFDDSWWILQYVPEDPLIDDGDEAIRALLYISNTATGWYAILREPVIKPSWDTFASSLSGVWKGVGAVFSPITAEMEPVGVGSKQEYLYDCYTLSHIEKHADNNYGSVIRRKTNWVQLNPHGEAEKQSAGYDSGDQYNYSDKRTLDLPAHESFDLKKSDVLDEDSIAQEPGIVYFEDGSYSRGPVDLAIGEFDESKYFISPTYKFEQCLVKGCHKRLRIVHTIELNEGGANIQIVRIAVYEEKWVSPAHIHVEDDKFVHSGPL >ORGLA04G0176100.1 pep chromosome:AGI1.1:4:19326546:19326983:-1 gene:ORGLA04G0176100 transcript:ORGLA04G0176100.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTPVDVKPLSQRKRTKPSDLTGSWKVYEVSATPIFSEERQEIEGGALFVYLCMETVKKRSLPESSVFFGEEEMLDMQDVTMLWLPGGVTAYVDVDKDGILCIGVGWYSDEGINMVMERDYGTDGKLRDVRWKTEVKRRWNQPVLP >ORGLA04G0176000.1 pep chromosome:AGI1.1:4:19321571:19324456:-1 gene:ORGLA04G0176000 transcript:ORGLA04G0176000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNAGSFVAMRRLSGGSSCHDPSPNTYAEVVAGSTAWIGRGFSCVCAQRRDSDQRISFDLSPAQECCLQRLQNRIEVPYDGSNGEHQEALKTLWHVSFPGTELLGLVSDQWKEMGWQGKDPSTDFRGGGFISLENLLYFAKNYTKSFQELLCKQNGDRALWEYPFAVAGVNITFMLIQMLDLQAAKPRSLIGSVFLNLLLENDRAFDILYCITFKLMDHKWLEMHANYMDFNAVIKSTRRQLERELLLEDIQRIEDMPSYRFLDR >ORGLA04G0175900.1 pep chromosome:AGI1.1:4:19303939:19310261:-1 gene:ORGLA04G0175900 transcript:ORGLA04G0175900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYACMEGSQLETACLTAALYAPLCPYTPPSPPSFLAPLPSLQHKLPQLPQLVHDHAAAAGTNHGVMFSSDHGCLYPLLPGIPFCLDSGCGAAACDDDKPAGFAHLGSAEADTSAAAAARVDSEIAAAATATTCHGPNSWWKGTEKGKMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHTHTPCSDDATTGAAGDHTASCAFTSF >ORGLA04G0175800.1 pep chromosome:AGI1.1:4:19295368:19302315:-1 gene:ORGLA04G0175800 transcript:ORGLA04G0175800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of asparagine-linked glycosylation 12 [Source:Projected from Arabidopsis thaliana (AT1G02145) TAIR;Acc:AT1G02145] MAPPRPSPAARLLREYGWDLLLGSIAAFYAVMVPYTKVEESFNVQAMHDILYHNYHIEKYDHLEFPGVVPRSFIGALIVSVISSPAVFVMHLRHVPKVYGLLAVRIVLGSIILMTLRLLRVQVKRKFGHHAEAFYLILTATQFHLLFYSTRPLPNVLALAFVNLTYYFWFKGNHRRTLQALIVAAVIFRCDMILLLGTIGLALLLSRSISLLEAIKCCVSTAIICIGFTVLVDSILWRRILWPEFEVLWFNSVLNRSSEWGTHSIHWYFTSALPRSMLVAYPLCMVGALLDRRIVPYILPVFSFVVLYSKLPHKELRFIMASIPMLNVSASLAASRIYNNRKKTGWKLLYVLMIGGFLSSLGYSGVTFMASYNNYPGGYALKALHEADSVMKDKIVHIDAFTAMSGVSRFCESEYPWRYSKEEKISIEEYQERNFTYLLNEHRHISGYKCLFAVDGFSRAKIQPRIPPLSLVKEPKVFAHGNTRDPDILSLNWPGCP >ORGLA04G0175700.1 pep chromosome:AGI1.1:4:19280124:19283516:-1 gene:ORGLA04G0175700 transcript:ORGLA04G0175700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCRHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGSLTNYTPMHARDDIDAEEPRASKLKPPTLKLKEQKQLKKNPSHITMENGPFSDQNFRKMGDPDLSNRSGSGSALSYSESCAPYGTADASEMTASAQSHAWESLVPSKRRSCVTRPKPSQMEKLAKDLNSIMHEEQLLYLSGSSEEDLIYHSATPVDSFEMGYGSMLLRPNSKSLEEESEASSIPADNKSYITSESYSGSVSFVYSESKATSNQNVITEQPKKFLVQTSDNARRANLHTENQDTLENANSPLVSLHMEGKDSEETRVKTSASNRLTKSMNPLKRPHDTHFQSSVELRGTMRSPKRVSKYGDAMGLKCQASFMPKPGNGKDLACSDRALNLFMLPPDKLSMLVPPQYANNDSDQDLLLDVPLNARHPEAELLCQPSQLSSVAHSSTSEGGNAGGEGRLKQP >ORGLA04G0175600.1 pep chromosome:AGI1.1:4:19275532:19278306:-1 gene:ORGLA04G0175600 transcript:ORGLA04G0175600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G02150) TAIR;Acc:AT1G02150] MLLHILGSSTLAPARPSPLRQSGAGTGAATVRCASSSSNPSPSSSSSAAAAAGKQVAKVHSYGALDYERRAALRWSSLYRRIAVGHGGRPVGRTLGAWDEGERRLDKWELCRIARELRKFRRFNLALQVYDWMTERRDRFSLSSSDMAIQLDLIAKVRGVSHAEEYFEELPDPLKDKRTYGSLLNVYAQAMMKEKTESTFEQMRKKGFATDTLPFNVLMNFYVDAEEAEKVSILIDEMMERNVAFDVCTYNIWIKSCAAMQDADAMEQVFNQMIRDETVVANWTTYTTLASMHIKLGNSEKAEKSLKEAEKRTTGREKKCFHYLMTLYSHLGKKEEVYRVWNWYKATFPTIHNLGYQEVLSALVRLGDIEGAELLYEEWASKSSSFDPKTMNILLAWYAREGFVTKAEQTLNRFVEKGGNPKPNTWEILGTAYLKDGQSSEALSCLEKATAVASPSKWRPRPTNVESLLANFKEKNDAESADRLMNVLRSRRCEENEEYKSLINTYAFQDT >ORGLA04G0175500.1 pep chromosome:AGI1.1:4:19271150:19273151:-1 gene:ORGLA04G0175500 transcript:ORGLA04G0175500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDLADMPRVVGVLAALLERVTERNDAAAAELELAVAGAPAASAFRATTKPDITVRAYMARIARFAGCSPACYVVAYIYLDRLLRRRRRACAFSVDSYSVHRLLITAVLAAVKFMDDICYNNAYFAKVGGVSLPEMNYLEVDFLFGVGFDLNVSLETFGHYCAVLQSEMLCLELEPPPSPSPAPAARLHCFLSEDDTSSSGSTQHQLAA >ORGLA04G0175400.1 pep chromosome:AGI1.1:4:19262896:19265421:-1 gene:ORGLA04G0175400 transcript:ORGLA04G0175400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPEVVVPPRAAGPRRYKGLVPWRFQPGFVRPPPVKPPAAAAAVAGGGVAGTPGGKGRGLGASGEGVGSSGGRGDPQSRRCTRSASAKGSGDARSVEEGGPRVAGDDGGSGKSGVAAEGSGFEGLRNGRGGGIGTAAAEDCGLEKSNPDGIVGDADVHLESGSDARDGECVSEGLKKPCVNNSNGSSAADCAPKVKKGNDSGDGGADECNAAAKSSNLACPGNNGDETNRKGRKVVLPWRFQVGFKRSFSKAFCSDSESSGPSGTQFYRAQDSSTPCTPATRSSVRCYASAHSGVRVSAMRDFSVKGEKETSTPYKKSKTGMDGPSQGMPKNGVVLARENIMGSLQNFRLIYRDLLDEEEEKSTEAVIRPDLQAYRIFRERFITDCDEKKYIGNVPGIKVGDIFHLRVELCVVGLHRPHRVGVDHIKQEDGTCIAVSIVSYAQSSDIKNNLDVLVYSGAMTAIANQKIEGTNLALKKSMDTNTPVRVIHGFVTHLNGNCQRKKIPTYIYGGLYIVEKYWREKEGNDRYVYMFRLRRMAGQKHIDIQDILNSGQAESYGGIIIKDISRGLEKIPVSVVNSISDEYPMPYRYIAHLQYPRNYQPAPPAGCGCVGGCSDSKRCACAVKNGGEIPFNDKGRILEAKPLVYECGPSCKCPPTCHNRVGQHGLRFRLQVFKTKLMGWGVRTLDFIPSGSFVCEYIGEVLEDEEAQKRTTDEYLFAIGHNYYDEALWEGLSRSIPSLQKGPDKDEEAGFAVDASKMGNFAKFINHSCTPNLYAQNVLYDHDDKSVPHIMFFACEDIPPRQELSYHYNYTIDQVHDANGNIKKKKCLCGSIECDGWLY >ORGLA04G0175300.1 pep chromosome:AGI1.1:4:19260015:19260464:1 gene:ORGLA04G0175300 transcript:ORGLA04G0175300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQRGHQPGRGRGRAAPPGWTGDAGSGQGSGPDGSWRYGWGWASGPGGGWGYGHSSAQSPGGTAFGFGFGGGGGGGGGGVGGPGGSSGLGGHGGGFGWAGGQGHGGWGAGAGAFGGGSGSGGGGGGGWSARGGFHGGDSHRPQRGGGN >ORGLA04G0175200.1 pep chromosome:AGI1.1:4:19258875:19259171:1 gene:ORGLA04G0175200 transcript:ORGLA04G0175200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHHLQCAILFVCSCSHSRCSFYQQVCESSAWLIRLAEDMLIHRFAASTHCLHFGSDLNIGDHHSKRATISSTQHCLHLILRLRPLSSSYLCCSNTRKQ >ORGLA04G0175100.1 pep chromosome:AGI1.1:4:19252490:19256371:1 gene:ORGLA04G0175100 transcript:ORGLA04G0175100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PNI2] MNALAATSRNFRQAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFIGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAIPYGGAKGGIGCAPGELSTSELERLTRVFTQKIHDLIGAHTDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEHGKSISGSTFVIQGFGNVGSWAARIIHEKGGKVIALGDVTGSIRNKNGLDIPALMKHRNEGGALKDFHDAEVMDSSELLVHECDVLIPCALGGVLNRENAPDVKAKFIIEAANHPTDPEADEILAKKGVTILPDIYANSGGVIVSYFEWVQNIQGFMWDEEKVNMELHKYMNNSFQHIKAMCKSHDCNLRMGAFTLGVNRVARATLLRGWEA >ORGLA04G0175000.1 pep chromosome:AGI1.1:4:19242229:19245556:1 gene:ORGLA04G0175000 transcript:ORGLA04G0175000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PA-domain containing subtilase family protein [Source:Projected from Arabidopsis thaliana (AT1G62340) TAIR;Acc:AT1G62340] MLALINAYLQAYKFQTSYDCSSDTIVMAFCKPCLVFYSVLTFLILNGGPSHVLAKVFMVVMEDDPIISPKSSQKKVMRGEEAQKYKAMTTTKHDIFLDSFLPAGSYKKLYSYTHLLNGFAIYVKSEKAIKTLSGAKGVRLIQEDIKMAKMTTYTPRYIGANVVWPLLGGAEKAGDRVVIGMVDTGIDPSNPSFLSTSDQAKPPPASFKGTCQTGERFPPDSCNGKIVGARWFARAGQATGEFNATMHYASPYDPDGHGSHTASTAAGNFHTPAISKGYNFGHASGVAPGAHLAIYKAAYSFGGYMSDVIAAVDKAVEDGVDIISLSLGPTTITSGPASFLNLLETQLLLATKAGISVVQAVGNGGPDANSVVSFSPWITSVGASTTDRKYNKSIIIGNGQVFSCGGLSPSTPGETMYPLALADDVSNTNSTGGSSNCQDPDVFIRSLVQGKVIICMFVSSNYYEGDFLAGIVDTIQKIGAAGVIITDRSSSDSDIEYHPTFPTSIPSAIVVNSADAQALLEYYDDNLVRDKEGSVTKFGATIRILDGRRAIYTREAPVVAEYSSRGPDVDDMQMQAADVLKPNVMAPGHHIWGAWSPTSDAMVEFQGESYAMLSGTSMATPHVAGVVALIRQRHPKWSPAMVMSAIMTTADVTDRSGRPLMARRDGGVLERATPFDMGAGAINAARAVDPGLVFDAGYRDYLQFLCAVPGVDDAAVLRAVGVPCPPSRARWCSDLNAPSVTVASLVGSRRVDRRVTSVGAENETYMAHVQAPDGVAVRVSPDTFAVAPGATATLRIVLNTTAPGNTFSFGEVVLRGDKKHTVRIPLAVFPAAALSP >ORGLA04G0174900.1 pep chromosome:AGI1.1:4:19233943:19237339:-1 gene:ORGLA04G0174900 transcript:ORGLA04G0174900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRDVLLSIAQTPHRLRRRALVTWTPAQETSEVRDRSGARMKRRLEWYDLVGLGVGGMLGAGVFVTTGRVARDTAGPAVFASYVIAGVSALLSSFCYAEFSVRVPAAGGAFSYLRVTFGELVGFFGGANILMEYVLSNAAVARSFTDYLASTCGITEPDAWRIQVDGIAKGYNALDFPAVALILVLTLCLCYSTKESAMLNMVITVFHLLFFVFIILAGLWNGSARNLVSPHGLAPYGVRGVLDGAAIVYFSYIGYDSASTMAEEIRDPARALPVGIAGSVLVVSALYCLMSLALCAMLPYTEIAESAPFSAVFREKAGWEWAGSVVGAGASLGIVASLLVAMLGQARYLCVIARARLVPAWLAKVHPSTGTPMNATIFLGLCTASIALFTELQVVFEMISIGTLLVFYLVANALIYHRYAKLGANRSLHVLLFLLLLTLSSLGFSLSRRIHGQCRWGMALFGATSVTITAMFHCAVRRDMPEPPSEWMVPLMPWPAAASVFLNVFLMTTLKVMSFQRFGLWSFVIIVFYVCYGVHSTYSAEENEAVNAMIHHANMDIS >ORGLA04G0174800.1 pep chromosome:AGI1.1:4:19229986:19230615:1 gene:ORGLA04G0174800 transcript:ORGLA04G0174800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPTNAAAAAAAAARRVPPPCWTPDETLALARAYTARRLAVGRAHLTSADWAAVADAATPTKTARQCRHKVEKLRRRLRSKRRRPCPLLDAIDLLDGPSPSASPSQSPSPPPPASPPPLPPAPSSPPPKKRRLPDADADADAEDDGESDVVKALRAIGEGFLRAEQRRMEAARKTQQMRMEMALRHLDSQRRLMEALVDRIIDSLE >ORGLA04G0174700.1 pep chromosome:AGI1.1:4:19219315:19223789:1 gene:ORGLA04G0174700 transcript:ORGLA04G0174700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G44710) TAIR;Acc:AT2G44710] MPPKARRGAAAAGRKGPGTRGRLVKAQAAVEEVPAEEVKAAEEAPKVEEQKRQPSPLLQQPAAEEKASSDAAANGASHGEDEGTTKETYEEDKSERLEFEDEPEYEEEAAVDYDEKDLEQYEEQYEDGDEVVEYTEDMIEEETDMVDEELDGGDDGEGEGYENAEEEHNVDVEDEEHHEMVKEHRKRKEFEVFVGGLDKDATESDLRKVFGEVGEITEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSELKNPSVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKEKLKSYGVENFDDLLLVEDSNNPGMNRGYALLEFSTRPEAMDAFRRLQKRDVVFGVDRSAKVSFADSYPEVDDEIMAQVRTVFIDGLPPSWDEDRVKKYLKKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACADGITNSEIGEGDSKAKVRARLSRPLQRPPRMKHGLRGNFRVGQGAPRGGRFAYDRPPPLRRPPPRLLRPDVSRLPPPVRSRPLKRPVDIRDRRPIMSIPDRVRRLPPPERSYDRRPPAPVYPKRSPRREYGRRDELPPPRSRATFGDYSSRVPVDRRPYRDDYSPRGSAYSDLGPRSALRLSDRRAYIDDGYGGKIDRPLPTYREGRGRDYDTMSGSKRSYAEMDDVPPRYHDISVRQSKARLDYDVGGSSARYADTYSERLGRSHAGYSGGRSVSGHDPVYSSGRHGMSYGGSASSNDAGGMYSSNFSGSYMSRGSDVGGSSYSSLYSGRNVGSSSGYYGGSGSSSYY >ORGLA04G0174600.1 pep chromosome:AGI1.1:4:19213434:19215078:-1 gene:ORGLA04G0174600 transcript:ORGLA04G0174600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMCLFQDKRRSKRRPEVAAPAPAPAPPSPSAAAAVSTDACSNVSVPAAAPSTCTSSWASTRPSASSSAVSTPEPYEARQGAPRELALRELRGATGDFSPLLMVGQGGFGCVYRGVLRLPGAPPHGTPVAVKRLNPDSRQGHKEWLAEVHLLGVVEHPNLVKLLGYCAAQTERGPQRLLVYEFVPNKTLDDHLFDRSHPVLPWGVRLQIALGAAEGLLYLHEGLEFQIIYRDFKAANVLLDDEFRPKLSDFGLAREGPSEGQTHVSTAVMGTYGYAAPDYVRTGHLTTKSDVWSFGVVLYEILAGRRSIDKSRPKDEQKLLEWVRRHPAGSPRFGRIMDGRLQGRYSVRAAKEVAELAAGCLAKHGKDRPAMAEVVERLRRATRHAELDGEVYDDAGEESSSSPAAAAVEDDVAVAAAAARRRMLHLAALGENASASAHARRRLMLMRAAAAATAAT >ORGLA04G0174500.1 pep chromosome:AGI1.1:4:19209580:19213123:1 gene:ORGLA04G0174500 transcript:ORGLA04G0174500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Endoribonuclease XendoU (InterPro:IPR018998); Has 943 Blast hits to 770 proteins in 162 species: Archae - 0; Bacteria - 61; Metazoa - 472; Fungi - 40; Plants - 78; Viruses - 35; Other Eukaryotes - 257 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G17100) TAIR;Acc:AT4G17100] MQILPKPLDHPAPTPPPPHPGRSQQPIRSPTPLFASPIAPWPRAKPEGGERRGSSVAMDGLIKGLINVAIDAVEGAGRGERGGEDDAPRRHRPAREEEEGRGDERSRSTWAEVVSDHKGGEAEERPDHRNSRRDGRQERREDGDWERVDGRKQHQHNQYEEEYRKDSSSRRPQQHQQAPAYRRQQQDGDERNDGGWQTVGEKKHHGRPQQSEAWNAYRRPPSEQQYCEDAGQIHHGLNVEPTREELNSLSRACSRLWELDMNRLTPGKDYRIECGEGKKVYQKGDMASETLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVLKGVASQDYEDFKRMLTSLWFDLYGRGGSSSSSSAFEHVFVGEIKGRRGQGENEVSGFHNWIQFYLEEANGNVDYQGYIFPRRRGESPDSETQLLTIQFEWHGVLKSVSSTLIGVSPEFEVALYTLCFFMGGEDNRVEIGPYGVNIKCYRMGNSKIGSAFPIADN >ORGLA04G0174400.1 pep chromosome:AGI1.1:4:19202827:19205814:-1 gene:ORGLA04G0174400 transcript:ORGLA04G0174400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHALGGGGALEIEKTPEAAEDMESEPALAAAREAERVPPWREQVTARGMVAALLIGVVYTVIVMKLSLTTGLIPTLNVSAALLAFLALRGWTHALDRLGIASRPFTRQENTVIQTCAVACYTIGYGGGFGSFLLGLNKKTYELSGASTPGNVPGSYKEPGIGWMTGFLLSTSFVGLLTLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAKKQVRGFLRYFGISFLWSFFQWFYTGGDVCGFLQFPTFGLKAWKHTFFFDFSLTYVGAGMICSHLVNLSLLFGAILSWGIMWPLIGKQKGNWYSAKASESSMSGLFGYKSFICIALLVGDGFYNFVKVIVVTLKSVRERSRRRGLNNRVADADTMAIDDMQRNEVFNRDNIPTWMAYTGYTLLSVIAVVLIPVMFRQVKWYYVIIAYLLAPALGFCNAYGTGLTDMNMGYNYGKIALFIFAAWAGKDDGVVAGLVGCGLVKQLVLISADLMHDFKTGHLTLTSPRSMLVGQVVGTLMGCVVAPLTFFLFYKAFDVGDPNGYWKAPYALIYRNMAIIGVEGFSALPRHCLQLCAGFFAFAVLANLARDFLPRRYGRYMPLPMAMAVPFLVGASFAIDMCAGSLVVFLWHRFDGKRAALLVPAVASGLICGDGIWTFPSSLLALAKVKPPICMKFIPGN >ORGLA04G0174300.1 pep chromosome:AGI1.1:4:19181782:19181994:1 gene:ORGLA04G0174300 transcript:ORGLA04G0174300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLELGLSQDGHIGVLAAQSLSQFYHQVGGAGAAGQLPHPHHHHQHHQQQQEDREDERDDGESDEESGQ >ORGLA04G0174200.1 pep chromosome:AGI1.1:4:19178493:19180886:1 gene:ORGLA04G0174200 transcript:ORGLA04G0174200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIRSDIETGFIPFSKKKMLGRQCDRVSPERRKVVTVASDESVKRISASASYDDDDGGEAQFLAGKGETRGEEERSVRLVAFSASASKSALGDNGEARGEKEVEALGDGDGGGGGDDGGGARRCGRRWRRREAEVAARGESGDGGGGERGRGRDEGGGDAAEAARVLG >ORGLA04G0174100.1 pep chromosome:AGI1.1:4:19172858:19176433:-1 gene:ORGLA04G0174100 transcript:ORGLA04G0174100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPRLELVVAHPREEEMAGLDGGGDAEEGATHARGGGGAPPPWREQLTARGLVASLAVGAMYSVIVMKLNLTTGLVPTLNVSAALIAFVVLRGWTQALARLGFAARPFTRQENTVVQTCAVACYSIAVGGGFGSYLLGLNKRTYEMAGEDTEGNVPGSYKEPGIAWMTGFLLAVSFVGLLALVPLRKVMIIDYKLTYPSGTATAVLINGFHTPHGDAMAKQQVNGFTKYFAMSFFWSFFQWFYSGGDNCGFSQFPTFGLKAWQQTFFFDFSLTYVGAGMICSHLVNLSLLLGAILSWGVMWPLISDLKGDWYSADIPESSMKSLQGYKAFICVALILGDGLYNFVKIVALTIKNLFDSSKLKNAKKGEDMPVLDELHRNEVFTRDNIPSWLAFSGYLGLTFIAVIAIPMMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKIALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPRSMIIAQAIGTVMGCVISPLTFFLFYNAFDIGNPEGYWKAPYALVYRNMAILGVEGFSALPQHCLQLCYGFFGFAVAANLTRDLCPPKYGRWVPLPMAMGVPFLVGASFAIDMCIGSLIVFTWHIIDKSKAALMVPAVASGLICGDGLWIFPASLLALAKISPPMCMAFRSTN >ORGLA04G0174000.1 pep chromosome:AGI1.1:4:19163382:19163708:1 gene:ORGLA04G0174000 transcript:ORGLA04G0174000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWTSHRMLDRYLEDELVSINTENFKILNWWKVAGTSFPTLRKIARDIFAIPVSTVASESAFSTSGRVLSEHRSRLTPELLEALMCSQDWLRNKYRGTYAVLFIHHA >ORGLA04G0173900.1 pep chromosome:AGI1.1:4:19156838:19157467:1 gene:ORGLA04G0173900 transcript:ORGLA04G0173900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPDRRSGAATSPSLRFLGLLKQPDDGSGDHELELDERDVVWSSSSSSNTSPSSWASSTNSSPSLTPSASAGVGVRRPLSSSHAFPAAGSVGLSALLADDHAPTASIPAKARPERQQPPQPYHQSAPVAVPAWPKATDSDRRRRGVQYEALNDEEDDDDELVVPPHEMAARRAAAAASVMEGAGRTLKGRDLRRVRNAVWRTTGFLDL >ORGLA04G0173800.1 pep chromosome:AGI1.1:4:19152661:19155046:1 gene:ORGLA04G0173800 transcript:ORGLA04G0173800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGEDGGKHRGATADEEAAATAASLNDLCATAGDAGGLPALAPFPRAAVWAVAALLAVGLGLGALVLAVVHSAALLVVAVLLSAAVVAFLLWNAAASASGRALRRFVDGLPASSLRSATDDQLVKITGLVACGDISLISSYEKVENCVYTSTLLRKCGRWGSEVANPKNRCSKWKLTHAERFAADFYITDAKSGKRALVKAGHDSRVVPLIDENLLVTTSGNTELSSTLRCWLDERNIPSEECQLIRLEEGYIAEGMRLSVIGILSKKDGDLMILPPPEPISTGCVFLSFLLPTYFDGIVLRLVDRSYFMHNSGVS >ORGLA04G0173700.1 pep chromosome:AGI1.1:4:19141676:19142623:1 gene:ORGLA04G0173700 transcript:ORGLA04G0173700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRTQLASWARIAMDRGDHHHLQQQHQFLMPPPAPVVPPQLCMPAMMADEQYMDLGGGGAAAAPGRGGAGERKRRFTEEQIRSLESMFHAHHAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYAALRSKYDALHSRVESLKQEKLALTVQLHELRERLREREERSGNGGAATTAASSSSCNGSGSEEVDDDDDKRNAAAGCLDLEPPESCVLGGATCATPADVSVESDQCDDQLDYDEGLFPESFCATPELWEPWPLVEWNAVA >ORGLA04G0173600.1 pep chromosome:AGI1.1:4:19130386:19135767:1 gene:ORGLA04G0173600 transcript:ORGLA04G0173600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:long-chain base (LCB) kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G23450) TAIR;Acc:AT5G23450] MEVADLENPTSRSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKGKSKSSRQKHLVFDNKDSKKAKNNEQKNDVVDEKSNFSGYEIYSGKLFFDKKNRITGDQISANGKADTSDVRLTSKALIWGSSLLSLEDVISVSYSSGVQHFIVHAYPSKKYLFGKTHRVRKDLRFIAPTVEEAISWVTCFAEQNIYVNMLPLPPTSSTEQDLDGPLSGALFDHPPIKCRTPPRILVILNPRSGHGRSCKVFHDKAEPIFKLAGFHMEVVKTTHAGHAKSLASTFDFSAFPDGIVCVGGDGIVNEVFNGLLSRNDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILSVEWIQSGLIHFGTTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMTGHGKGIGQENFVSDVYTNVMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTAASTEPSEYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHSSFWPRTRSKTRTERNSVGVTTNDTRLSWAAPSIHDKEDISSTISDPGPIWDSEPKWDNGPKWDTELTWESDHPIELPGPPEDNEIGPSVELVPNLDDKWVVRKGHFLGVLVCNHSCKTVQSLSSQVIAPKANHDDNTLDLLLVGGKGRWKLLKFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHVLCSLLQEQCMLIGRQSRQST >ORGLA04G0173500.1 pep chromosome:AGI1.1:4:19125926:19126261:-1 gene:ORGLA04G0173500 transcript:ORGLA04G0173500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDSSDHVAEDQLAEEEGHYIDDANHLIPNSVQEEGSSGRDIVVPGNHDSEEDYPDNLDLDILVDCEVGPIPGGHLNADAAVFVPTTGGHQDLYTASAAAYRHTSPCSHSS >ORGLA04G0173400.1 pep chromosome:AGI1.1:4:19094571:19096269:-1 gene:ORGLA04G0173400 transcript:ORGLA04G0173400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFAPPVPAPPPMSAMPPPPGPIQPAPISSAAPAVPLELPPQPPINLQGLSFSSMSGSESDDESEDDEMTAETGGSQDRLGKRKRGAGGKRLATFFEGLIKQVVDRQEEMQRRFLETMEKREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDAAIISFLQRIGGQSVQVPPAATVIQMPTPVQLQTPPPVKQPARQHQPQPTPPPPQAAPIPAAPLQQQPPQPQHKETIHHEAVTPRSAPPTSGSSLELVPAAEQHVESGLGGGEGGSASSSRWPKTEVQALIQLRMELDMRYQETGPKGPLWEEISSGMRRLGYNRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDVIYRRKHLTGGGGGGASAANVAATAIEHQNPNRHEIEGKNINDNDKRKNGGGGGAQVPTSNGDTAPTTATFDVNSGMKKPEDIVRELSEQPPREFTTDETDSDDMGDDYTDDGEEGEDDGKMQYRIQFQRPNPGGANTAPPPATTPASAVPTSTPTSTFLAMVQ >ORGLA04G0173300.1 pep chromosome:AGI1.1:4:19083561:19084089:-1 gene:ORGLA04G0173300 transcript:ORGLA04G0173300.1 gene_biotype:protein_coding transcript_biotype:protein_coding CINILLTHPRQPRHSRVPTLIARPTNHDGADAGCYVAQRPTRRNGLPRQGWGVVGPQFVVDTLSSVSFLAIRRGLWEWGRGGQPQWLVYYNERERFRMDLEALAMLQLINGRGTRKKMGCGGDRLYVGLTY >ORGLA04G0173200.1 pep chromosome:AGI1.1:4:19075519:19076711:-1 gene:ORGLA04G0173200 transcript:ORGLA04G0173200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGCGLFACVRRCDGGDVRKRGEAGAMSSRVAADPAGVEEEGGCKNGAAASARQLAWAEVESVTGGFSSRVIGHGGFSTVYLASLSSSRLGAVKVHCSSERLHRAFRQELEVLLSLRHPHIVRLLGYCDERDEGVLVFEYAPNGDLHERLHGSEVAGGVASVLPWARRVAIAFQVAMALEYLHESRHPAVIHGDIKASNVLLDANMNAKLCDFGFAHVGFSATVGCRPSARAVMGSPGYVDPHLIRSGVATKKSDVYSFGVLLLELVTGKEAVCRDTGRRLTAAVGPMLSEGKVADVVDRRLGGEHDGAEAAVMAKLAMQCIGDSPGLRPSMADVVRELQEKTSALASAVGSRLDRKMMF >ORGLA04G0173100.1 pep chromosome:AGI1.1:4:19060164:19064511:-1 gene:ORGLA04G0173100 transcript:ORGLA04G0173100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PNG2] MGRVAPSVEEVGGEPPPPALGPGETVSGTVAELRAAYESGRTRSLEWRQSQLRGLLRLLAEEEAAAFRALREDLGKHQAEAYRDEIGVLVKSANAALREVGKWMAPEKVWVPLIAFPARAQLEPQPLGVILVFSCWNVPLGLSLEPLVGALAAGNAVALKPSELAPATAKFLGDNVGKYMDATAVKVIQGGPEVGEQLMEHRWDKVLFTGSPRIARVVMAAAAKHLTPVALELGGKCPCIFDTIGGSARDLQTAVNRVVGGKWSSCAGQACLAIDYVLVEERFVPVLIKALKSTLKKFFADSDHMARIVNARHFQRLSDLLKDKSVAASVLHGGTLDAKNLCIEPTILLNPPLDSAIMTEEIFGPLLPIITVKKIEDSIAFVRARPRPLAVYAFTKNAALRRRIVEETSSGSVTFNDAVVQYGIDSLPFGGVGESGFGQYHGKYSFEMFSHKKAVLTRGYLIELTARYPPWDDSKISMMRQLYRYNYVGFVLTFLGLKK >ORGLA04G0173000.1 pep chromosome:AGI1.1:4:19051466:19052593:1 gene:ORGLA04G0173000 transcript:ORGLA04G0173000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYAHHHSSLDGKMDALKSSCRSEEAADEGAAAAPSAWGMVERDGFSVEDLLDLEEFCEAEKDAAEENEQALAVVAAPEEEKSKDDSQPSSVVTYELVAPPPPPPEIVDLPAHDVEELEWVSRIMDDSLSELPPPPQPPASVVASLAARPPQPRQLQRRPQDGAYRALPPASYPVRTPTICALSTEALVPVKAKRSKRSRATAWSLSGAPPFSDSTSSSSTTTTSSCSSSASFSSFSPLLKFEWHPLGGTSDLPDDHLLPPGKKSKHG >ORGLA04G0172900.1 pep chromosome:AGI1.1:4:19037883:19039886:-1 gene:ORGLA04G0172900 transcript:ORGLA04G0172900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWWLPTWQPLLVLLPTMLLLYHTVSSWHCGERCLPLPPGPRGLPFVGNILHTSDMTHRGLAQLASRYGGLLHLRLGRLRTVVVSTPEMARLVLHVNDRAFADRPTTAAIDYLTYDRAPTWCSPPTGQGRIXKXKVGGLNISSTSGRRSCPGMQLGMLAVELALARLLHGFDWSLPGGTGSAGELDMEETYGLTAPRAVRLSAVPVPRLSHL >ORGLA04G0172800.1 pep chromosome:AGI1.1:4:19027918:19031094:-1 gene:ORGLA04G0172800 transcript:ORGLA04G0172800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPFAAGDYPADQVDPDYLYFLRHIRPDGDSYTLELPSDGVSPPSLVKYEAPADTGSTDGECVSDPSPGRASTNRPPEEKESSVEVDAEPPAPSWIDSLVDIDEDYRLFLKHTRVVNDNMVLEIDGAVVTYPCAASSESSSEVEDAREKEVAMDSDEPVVILPDPKVCDWVAVGDASVRTLDSKKKRKMSSSNSNNAGPSVPTGSQGVIWPAHINSRPDSDFKQRLLDALSKPFSRKEYIKLFDMASIRTPLVKLRQVRNDVKFYPTQEMGNSYFDHYPDLVDQVMHTSFPNGLALMRGFFFWLQNNAHEDQFRPWVDVSKDHEVIPLID >ORGLA04G0172700.1 pep chromosome:AGI1.1:4:19026466:19027282:1 gene:ORGLA04G0172700 transcript:ORGLA04G0172700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:subunit NDH-M of NAD(P)H:plastoquinone dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT4G37925) TAIR;Acc:AT4G37925] MATTASPFLSPAKLSLQRRLPLATWTARRSVRFPPVRAQDQQQQVKEEEEAAAVENLPPPPQEEEQRRERKTRRQGPAQPLPVQPLAESKNMSREYGGQWLSCTTRHIRIYAAYINPETNAFDQTQMDKLTLLLDPTDEFVWTDETCQKVYDEFQDLVDHYEGAELSEYTLRLIGSDLEHFIRKLLYDGEIKYNMMSRVLNFSMGKPRIKFNSSQIPDVK >ORGLA04G0172600.1 pep chromosome:AGI1.1:4:19024099:19024882:1 gene:ORGLA04G0172600 transcript:ORGLA04G0172600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAPDPAVAASVPLVRLNHVSFQCTSVEKSVDFYRRVLGFELIKRPESLNFNGAWLYKYGMGIHLLQRGDDADGCSIPTRPLPAINPMGNHVSFQCSDMAVMKARLRAMDREFVVRKVWDGETVVDQLFFHDPDGNMIEVCNCENLPVIPLIVASTSGLPELLPPAMQTNVHG >ORGLA04G0172500.1 pep chromosome:AGI1.1:4:19011457:19016009:-1 gene:ORGLA04G0172500 transcript:ORGLA04G0172500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:I1PNF6] MGAIGGDEVVQWDKMDGGEVVNGGGGGGVGKLERILVSVRLRPLSDKEIARGDPSEWECINDTTIISRSTFPDRPSAPTAYSFDRVFRSDCDTNEVYKQGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYTVADIYDYIGKHEERAFVLKFSAIEIYNEVVRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRNWNHLKELISVCEAQRKTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLVASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQKELARLESELRCPASYSSLESLVKEKDNQIRKMEKEIKELKLQRDLAQSRLQDLLQVVGDNHVHVSKQSSVSGRNFTFDVPQTCEDEQSTTESSEVVDSVQNFRFQGRRVAQREHKPQQAENNVQFTTPSRYSVSSPPFSGMLPTNRRDHLSQISNEDSDDICKEVRCIETNETGGNECLESSAVGSNSLQDPNAGSSMHINNDSNSSMNSRLRDESPVTLEQHLENVRKPFANIVKDLGSSTRNSSSSNVLGRSRSCRSLTGSSLFEDLEKDDCTPPNRSFIDFAGRPQNCQRRGSALNYDAESETLSRAGSMLSEITTTRDGLKANSSVAGDTEFTGIGEFVAELKEMAQVQYQKQIGHSGNGDLAEGTIRSVGLDPITDALQSPSRWPLEFEKKQQEIIDFWHACNVSLVHRTYFFLLFKGDPADSIYMEVELRRLSFLKDTYSNGAIASIPNTSLVSSAKKLQREREMLCRQMQRRLSIEERESMYTKWGVSLASKRRRLQVARRLWTETKDLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFTRRSYNSWRYGRSSLN >ORGLA04G0172400.1 pep chromosome:AGI1.1:4:19003571:19008741:-1 gene:ORGLA04G0172400 transcript:ORGLA04G0172400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSDSGTGGRGGAELMVEQFQLKVLHAVLAVRGPRPLQPAASASFRRRDRWFHLPLHDPQPPPAAEGVEAPEAGEPLVVDILLAPAAAAGGGGGGAGGEVVERWTVVCEPWPDAAAGEGIPVNRAYKRCMTMLRSVYATLRFLPAYRVFRLLCANLSYNYEMVHRVGSFAVPLSRDEEAAMRSYQFVPVETQHGRLVVSVQYLPSLAAFNLEISSLSPSMLIADYVGSPAAEPMRAFPASLTGATGSAFPQALSNQPQRPHSWATPALWPQAPRQQARFSPPHLLNASPTPSPPNFPSGYLQSRPKGGSAPMSIPQVGDRRSPIHRPITLPPPSPRRVGETGTSSAQQSPSERCPSFGRADGFRIMDPYASLSPGRKGKDTKDESGRFSALSSCDSPRQDDIDDADYPFAVDDVDTPSSQPGSSDGKEARDQASSSSHKSQDAAVGSLVHLLKTARPLRNSNCPSQASAVESSEAASTSSVVSRRKSDALEELQSFKEIKERLMSRSRAKQQEPPEKP >ORGLA04G0172300.1 pep chromosome:AGI1.1:4:19002151:19002663:1 gene:ORGLA04G0172300 transcript:ORGLA04G0172300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTLPSREVGSCGGKEGLGELCMDERRRGEEELEEVRFDGDVVEGREVVGVVTRRRQLGFGGTKEIKRGAGAPAREGGETKRRE >ORGLA04G0172200.1 pep chromosome:AGI1.1:4:18999928:19000221:1 gene:ORGLA04G0172200 transcript:ORGLA04G0172200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPRHPRRPCCGSTSGHCSSPRCCRRCLTPLLGSSSMGAGFGDPGTWRRPLLADRGNVLIGNSDDDDSSPCAAHSARSGGTWQHRVVPSSSAPPPTSKV >ORGLA04G0172100.1 pep chromosome:AGI1.1:4:18993218:18993787:-1 gene:ORGLA04G0172100 transcript:ORGLA04G0172100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQWLRAAVLGANDGLVSVASLMIGIGAVNENNKAMLVSGLAGLVAGACSMAIGEFVSVYAQYDIEVTQIERDGDIDGADAAAAREKLPSPTQAAFASALAFAIGGLLPLLTSGFIKPWGPRVGVVCAASSVGLAGFGAAGGYLGGANMVRSGTRVLLGGWLAMLITYAVLRLFATIFHGMNISSSA >ORGLA04G0172000.1 pep chromosome:AGI1.1:4:18986920:18987261:-1 gene:ORGLA04G0172000 transcript:ORGLA04G0172000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWTRNGMLNFSQPASSSPFGFYDAGHGASSSGGSRSHGCRSQNKDQRNLEKNLTKVRKEWMKVKEEMGYARLLSEHLSETVTETDRKVAAMLEELDRTDKYMQDILSSQQK >ORGLA04G0171900.1 pep chromosome:AGI1.1:4:18979055:18982527:-1 gene:ORGLA04G0171900 transcript:ORGLA04G0171900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EFG/EF2 protein [Source:Projected from Arabidopsis thaliana (AT1G62750) TAIR;Acc:AT1G62750] MAGNDRQVPLTDYRNIGIMAHIDAGKTTTTERVLYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIIANLGAKPLVIQLPIGSEDNFQGVIDLVKMKAIVWTGEELGAKFSYQDIPADLQEMADDYKAQMMETIVELDDDVMETYLEGGEIDEETVKRLIRKGTISASFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPPMKGTDPEDPELILERKPSDDEPFSGLAFKIMTDPFVGSLTFVRIYSGKLVAGSYVLNANKDKKERIGRLLEMHANSKEDITVAVAGDIVALAGLKDTITGETLSDPDKPVVLERMEFPDPVIKVAIEPKTKADADKMATGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLDIIVDRLKREFRVEANVGAPQVNYRESISKISEVQYVHKKQSGGSGQFADIIVRFEPLEAGSGYEFKSEIKGGAVPKEYVPGVMKGIEESLPNGVLAGYPVVDLRAVLVDGSYHDVDSSVLAFQIAARGAFREGLRKAGPRLLEPIMKVEVITPEEHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNELSAAKQEEASTA >ORGLA04G0171800.1 pep chromosome:AGI1.1:4:18974299:18977444:1 gene:ORGLA04G0171800 transcript:ORGLA04G0171800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGRFEEAAAHFTDAIALAPDNHVLYSNRSAAYASLHRYPEALADAERTVALRPDWAKGYSRLGAARLGLGDAAGAVAAYEKGLALEPSNGALKDGLAHARQARRPAPASGADAIGKVFQGPELWSRMAADPTTRPYLDQPDFMRMLRDVQRNPSSLNNYLSDPRMVQVLSLMLNLRLPNNDAPPRPPAQSTPPPPPQQQHQPETKAREPEPEPEPMEVTEEEKKRKERKAAAQEEKEAGNAAYKKKDFETAIQHYTKAMELDDEDVSYLTNRAAVYLEMGKYDECINDCDKAVERGRELHADFKIISRALTRKGTALAKIAKCFKDYDVAIETYQKALTEHRNPDTLKKLNDAERAKKELEQQEYYDPKIADEEREKGNEFFKQQKYPEAVKHYSEALRRNPKDPRVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKALETYQAGLKHDPNNQELLDGVRRCVQQINKASRGELSQEELQERQNKAMQDPEIQNILKDPIMQQVLTDFQENPKAAQAHLKNPGVMQKIQKLVSAGIVQMK >ORGLA04G0171700.1 pep chromosome:AGI1.1:4:18964556:18968956:-1 gene:ORGLA04G0171700 transcript:ORGLA04G0171700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCWVWGFVVALLAVAAAADGEEEEGKWEPLIRMPTEEGDDAEAAAPAPAPAAADYGGTRWAVLVAGSSGYGNYRHQADVCHAYQILRKGGVKEENIVVFMYDDIAHNILNPRPGTIINHPKGGDVYAGVPKDYTGHQVTTENFFAVLLGNKTAVTGGSGKVIDSKPEDHIFIYYSDHGGPGVLGMPNLPYLYAGDFIKVLQKKHASNSYSKMVIYVEACESGSIFEGLMPENLNIYVTTASNAVENSWGTYCPGEEPSPPPEYITCLGDMYSVAWMEDSETHNLKKETIEDQYELVKKRTSNANKLNEGSHVMEYGDKTFKDEKLFLYQGFNPANGNITNELIWPVPKATVNQRDADLLFMWKRYEQLNGVSEDKLRALREIEDTVAHRKHLDSSIDFIGKLVFGFENGPLALEAARSSGQPLVDNWDCLKKMVRIFESQCGSLTQYGMKYMRAFANICNNGVSEAKMMEASINACGRYNSARWSPMTEGGHSA >ORGLA04G0171600.1 pep chromosome:AGI1.1:4:18961356:18964038:1 gene:ORGLA04G0171600 transcript:ORGLA04G0171600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLDRLCYAVVVLVVIGATVAEAATGTFIYAGCSPSKYQPGTPFEGNLNSLLASIANAAPNGGYNSFTAGSNGTGDGAAAYGLYQCRGDLGNADCAACVRDAVGQLNEVCAAAYAASLQLEGCYVRYDSSNFVGQPDNAMVYRKCSTSTSGDGDFLKNRDAVLAALQGGLANGYKVSSSGNVQGVSQCLGDLAAGDCTTCLAQAVGQLKGTCGTSLAADVYLAQCYVRYWANGFYFRPSQDYSQDDVGRTVAIIVGILAGLAILVVFISFLRKSC >ORGLA04G0171500.1 pep chromosome:AGI1.1:4:18957262:18957753:-1 gene:ORGLA04G0171500 transcript:ORGLA04G0171500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVHACMRWRRDENAGAPLHGARTPSLSLPSHARAVRRTGEQATVRCLVRTKGLVMLPRRNTLPQHRERRPQNHDASLAGVAVPNVSPTVFGGVVIFLTPLRRRNTGYPRLAGGDLYLTAPSSVRAVILLTRAVVESGRAILHLVEVCWVNPEKAISVPSCRGML >ORGLA04G0171400.1 pep chromosome:AGI1.1:4:18945754:18946359:1 gene:ORGLA04G0171400 transcript:ORGLA04G0171400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLPAPALAGLPAPADDVGWVRRRLQGGERRSQEAGPGAAVGAVAGAAAEPRAEGRRAAADSGRARVDAQGAGGGVRRRRQPRRVAEVRGAGGVLQPPHVRGASEGGRGGVRLPAPRRHHHPLRRVAVRARRRRGGRREEGVRQVVASGGGWGWCKYAFHQKGLILFSCSVLCFSMDLASHLEANFFLDMCVPGSSWNH >ORGLA04G0171300.1 pep chromosome:AGI1.1:4:18943567:18943840:1 gene:ORGLA04G0171300 transcript:ORGLA04G0171300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTVHRSSVEQEHHTADAQMAGNQSTKQQPEPAIRSRQQVHRSRITQEA >ORGLA04G0171200.1 pep chromosome:AGI1.1:4:18925785:18927362:-1 gene:ORGLA04G0171200 transcript:ORGLA04G0171200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCDRYSCSIRLKAGCCWPREALHAAASYEAVAIAGARAQVHINLLPVRELYFDPRKKMDAFSHVPPGFRFHPTDEELVDYYLRKKVALKKIDLDVIKDIDLYKIEPWDLQEQCKIGNEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYVKNCLVGMRKTLVFYRGRAPNGQKSDWIMHEYRLETNEYGAPQVSLCTSACMLPRIQTSDT >ORGLA04G0171100.1 pep chromosome:AGI1.1:4:18925205:18925783:-1 gene:ORGLA04G0171100 transcript:ORGLA04G0171100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVQEEGWVVCRVFKKRVATVQRAAGDGGDSPFWFNEHVAFMAPAPGLDSPYHGHRQSHPCKLEVEYHHHLLPQEAAPFMHLPRLESPKLPAADIIGATAASSALQPCGHTTAQQLQLQIEPVYVTADASAADWRDLDKLVASQFGHGDSTAKEPSYCNPVQVFQVEGKQEDSLDYVSTSASCGGEEDLWK >ORGLA04G0171000.1 pep chromosome:AGI1.1:4:18918797:18920841:-1 gene:ORGLA04G0171000 transcript:ORGLA04G0171000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSAPETFSLDHLSQHQQQQPPPLAEQEQLCYVHCNFCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPAAASTANQLPFGQALLSPTSPHGLLDEVPSFQAPASLMTEQASPNVSSITSSNSSCANNAPATSMASAANKATQREPQQPKNAPSANRTSEKRQRVPSAYNRFIKDEIQRIKASNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKTGIQSQDGAGECMLFKDGLYAAAATAASSMGVTPF >ORGLA04G0170900.1 pep chromosome:AGI1.1:4:18905255:18911547:1 gene:ORGLA04G0170900 transcript:ORGLA04G0170900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAISPMMDGAAPLLPETSPESRQQRDPERGKRRTPVLPAVVASAVVLLGLAALFLVYGFHDGGDGRAAVLAPGTVEVAASSSRGVVEGVSEKSTTPALRLGGGAVRDYAWTNSMLSWQRTAFHFQPPNNWMNDPNGPLYYKGWYHLFYQWNPDSAVWGNITWGHAVSRDLIHWLHLPLAMVPDHWYDINGVWTGSATQLPDGRIVLLYTGATEESVQVQNLAEPADPNDPLLREWSKAEANPVLVPPPGIGLTDFRDPTTAWRNPADSAWRITIGSKDRDHAGLALVYKTEDFLHYDLLPTLLHVVKGTGMWECVDLYPVSTSPAVEDGLETSTPPGPGVKHVLKASLDDDRNDYYAIGTYDGETDTWTPDNADIDVGIGLRYDYGKFYASKTFYDPVGRRRVLWGWIGETDSERADILKGWASLQSIPRTVMLDTKTGSNLLQWPVVEVENLRMRGKSFDGLDVSPGSVVPLDVGKATQLDIEAVFEVDTSAADGVVTEAGAAAYSCGTGGGAVGRGLMGPFGLLVLADDQLSERTAVFFYLVKGVDGNLTTFFCQDELRSSKANDLVKRVYGSLVPVLDGENLSIRILVDHSIVEGFAQGGRTCITSRVYPTKAIYESAKIFLFNNATNVGVTAKSLKIWELNSAYIRPYVD >ORGLA04G0170800.1 pep chromosome:AGI1.1:4:18894462:18896845:-1 gene:ORGLA04G0170800 transcript:ORGLA04G0170800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine triad nucleotide-binding 4 [Source:Projected from Arabidopsis thaliana (AT4G16566) TAIR;Acc:AT4G16566] MKKLFCFRGGTVARRSERAPMAEWCVFCPIARRDPACNTVLLYSDDRVMAFKDINPSAFRHYLVIPIEHIPTVNNLQRTTEDHQLVSHMLAVGRDLLNRDAPNSEEHRFGFHQPPFNSVDHLHLHCLALPFTPSWRQVKYTPLGPLGGFIEVESLLERIRP >ORGLA04G0170700.1 pep chromosome:AGI1.1:4:18886754:18888235:-1 gene:ORGLA04G0170700 transcript:ORGLA04G0170700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT4G16563) TAIR;Acc:AT4G16563] MRLLLCFLCFGLLLVSSSLQCHGLLLPLTNTLSSLRPANDTATIHRLLRSSSLRSSARHRGRRHGTRRAPPPPPRHRQLSLPLAPGSDYTLSLSVGPPSTASSVSLFLDTGSDLVWFPCAPFTCMLCEGKATPGGNHSSPLPPPIDSRRISCASPLCSAAHSSAPTSDLCAAARCPLDAIETDSCASHACPPLYYAYGDGSLVANLRRGRVGLAASMAVENFTFACAHTALAEPVGVAGFGRGPLSLPAQLAPSLSGRFSYCLVAHSFRADRLIRSSPLILGRSTDAAAIGASETDFVYTPLLHNPKHPYFYSVALEAVSVGRKRIQAQPELGDVDRDGNGGMVVDSGTTFTMLPSDTFARVADEFARAMTAARFTRAEGAEAQTGLAPCYHYSPSDRAVPPVALHFRGNATVALPRRNYFMGFKSEEGRSVGCLMLMNVGGNNDDGEDGGGPAGTLGNFQQQGFEVVYDVDAGRVGFARRRCTDLWDTLSRR >ORGLA04G0170600.1 pep chromosome:AGI1.1:4:18872938:18875516:1 gene:ORGLA04G0170600 transcript:ORGLA04G0170600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFILAHRRELELXDNAQKGGNXNCVSXSWWXMXRWINYWYXHEFPXHISXGVLEQNRGCDYRLLPRPXIIPDPTPKLNPDYSKADTNDIYKLIHLLCLPEMKIFMDTTRLLAKHTNDLVGEICNYIKHGKLLQTAVLLLAAQEHIRGSXRNGLVKLLVNAFKGIQS >ORGLA04G0170500.1 pep chromosome:AGI1.1:4:18861466:18862071:-1 gene:ORGLA04G0170500 transcript:ORGLA04G0170500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRKPVGLEMSSVWCGCGLWSRRRCRVVVGMAGVGGVAVASSSPRRWWWQRRKGERRRDGAARWWGRGRSEEEGRREMRDGGGEIAGSQGSEDGRPAVGGGALWMRRSAAASGWRCAAPGLPPDLSDFSLSTLFSWVSSLGWAGWGLGEGLWTIDWMGLFNFGNSDKFSGMFPTDSEFRRKLRLSYSIPFPRKYFRFRFRF >ORGLA04G0170400.1 pep chromosome:AGI1.1:4:18859620:18860288:1 gene:ORGLA04G0170400 transcript:ORGLA04G0170400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 11B [Source:Projected from Arabidopsis thaliana (AT3G47430) TAIR;Acc:AT3G47430] MSAGDTLDKLVVFLAKRDGIDKLVKTFQYVSKLAHWAAESSSPGLAGRAKNWETSAGLSRKAFRTGRFLTGLNGLRRAPGEFGALAVLANAGEMVYFFFDHFTWLSRVGVLDAWLARRMSFISAFGESVGYVFFIAMDLIMIRRGLRQERKLLREGGKDKDKEVKKIRMDRVMRLMATAANVADLVIGIADIEPNPFCNHAVTLGISGLVSAWAGWYRNWPS >ORGLA04G0170300.1 pep chromosome:AGI1.1:4:18856121:18857116:-1 gene:ORGLA04G0170300 transcript:ORGLA04G0170300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLICSQIKLSKAHIRNRRQIHENGQIRLPKSLYWKPLHSGHFENIVLRCTQNLSWEASLPYASAEDGANIIKGTEVVEPIDTEEAPEIPILQSDQDFVEVIKEPSMQLTTFKLPMWLLGPSILLVTSIVPTLWLPLSSVFLGPNIAGLLSLVGLDFIFNMGAMLFFLMADACGRPEANSSELIKQIPTSYRFWNLAASIVGFLVPLALFFASHKGTLQPHIPFIPFAVLLGPYLLLLSVQVLTEMLTWHWKSPVWLVAPVVYEGYRVLQLMRGLQLADEITAPGWMVQSLRGLVSWWVLVLGIQLMRVAWFAGLNFASTSRYGASDDNK >ORGLA04G0170200.1 pep chromosome:AGI1.1:4:18852426:18854971:-1 gene:ORGLA04G0170200 transcript:ORGLA04G0170200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSKELLGIEPLELRFPFETKKQISCSMQLTNRTDDYIAFKVKTTSPKKYCVRPNSGIVPPRSTSDVIVTMQAQREAPADMQCKDKFLVQSVVVTEGTTTKDVTGEMFNKGSGNVVDERKLKVVYVQPPRPPSPVREGSEEGSSPRASLSEGGNLNYEDTTRESDEPPLLSATRAHKDAEDVTSDSETSALISRLTEERNSAIQQNNKLLEEMDPVRREISKQNGGFPFVFVVVVALLGILLGYIMKR >ORGLA04G0170100.1 pep chromosome:AGI1.1:4:18848778:18851616:1 gene:ORGLA04G0170100 transcript:ORGLA04G0170100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calmodulin-related [Source:Projected from Arabidopsis thaliana (AT1G73440) TAIR;Acc:AT1G73440] MAGEEIGASEEHGCAAGGEPMNDYERQRLARIRENEARLQALGIRRLAASPLLHSPASSAGGKGKRKSRAADADEEYLPSDGGEDDEEEESSSTSDQDGEEEEDAEASSRSRKKGKKKVLNSGKSSQVTHRKENAHLADSVDDDAALQQAIALSLAESLENSVPAMSGETPSTGMKGSGSTPCKKNNTVPIQDSAKTMRIKKQGKSRIQLTEDDVVAFFFSFDEVGKGYITPWDLERMATVHDFIWTDSEISKMIHCFDSDRDGKINLEDFRTIVSRCNMLQEPEKSG >ORGLA04G0170000.1 pep chromosome:AGI1.1:4:18842823:18847032:1 gene:ORGLA04G0170000 transcript:ORGLA04G0170000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLGVSLLVAGLGCALLVAPSESAADDGIDCPSHVYLSALLAFKKAIFEDPLAKLSDWNSKDENPCGWTGVGCSPFDSRVVTLELANSSLKGFLALEIESLSSLQKLILDHNTLMGPIPKGIGKLRNLIMLNLSTNQLDGPIPIEIGDMPKISKIDLRANRLDGAIPPEIGNLTSLTELQLSNNSLTGTIPGSNDSSMVSTNRDGQIGLCRLTQLTDMDLSYNYLAGDVPTCFMQIRRLSLVGNCFENNDTTNRPDNQCENSQKGNESSRVDGNQQKSFQQPLWLLILEVITAISLLTVLTLCTIAGLRRCKARSSRNSGTWTRAISWKENTVISIDDDLLANVPKISRQELAEACEDFSNIIGSTHDTVVYKGTMKDGSEIAVVSLSASVHYWTSYVELYFQKEVVEMARLSHENVAKMVGYSKESDPFSRMLVFQYPPNGTLYEHLHDGEGYQLSWPRRMKIALSIARALRYLHTEMQPPFAVAALTSSSVYLTEDFSPKVRLICLGLVTWQ >ORGLA04G0169900.1 pep chromosome:AGI1.1:4:18837304:18839681:1 gene:ORGLA04G0169900 transcript:ORGLA04G0169900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARARVTASFRLLVLGGRAFVHRFRPAFQTRDLFTIWGVLQLLRRYPGRVPDLDLMFDCADWPVVRTHLYRGKHAAFMPPLFSYCGDDRTLDIVFPDWSFWGWPEINIKPWDALRQDLKDGNNRVKWLDRVPYAYWKGNPAVAVTRQELVNCNVSTTKDWNARIYKQDWFRESKAGYKDSNLGSQCTHRYKIYIEGSAWSVSQKYILACDSMTLLVTPRYYDFFSRSLMPIQHYWPVHNDNKCDSIKYAVDWGNSHKQLAQSIGKQASDFIEEDVNMDRVYDYMLHLLTEYAKLLRFRPIKPPEAVEICPDSLACQAEGLEKKFLMESMVKSARDAGPCDLPPPFNPQELAMIKRRKENSIKQIQTWERISGRA >ORGLA04G0169800.1 pep chromosome:AGI1.1:4:18829512:18831863:1 gene:ORGLA04G0169800 transcript:ORGLA04G0169800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKVGRLTRSSLQAASCPAVNGGVVVFFAAVVAGALVSASWMSTGARVTSIPMIATRNMAQHAAASPEPEPTLPRLGNLAPPPRQPPPPAPAPAPDAAAAASPSSSCPAYFRWIHEDLRPWRDAGITREAVDGAARRYGAKFRVTVVAGRLHVARYGRCFQTRDVFTQWGVLQLLRRYQGRVPDLDLMFDCQDLPVVNAGNRRGRTSSSPPPLFGYCGSEPTLDIAFPDWSFWGWPELNIKPWETLRGEIADGNAAVNWTGRAPYAYWKGNPTVGADRRNLLRCNASGKRDWNARIYEQDWRKEVRDGFRESDLAKQCTHRYKIYIEGRGWSVSEKYILACDSVALIVRPRYHDFFSRGLMPLQHYWPIPGGGRGMCRSIKFAVDWGNAHADKAQEIAGNATRFIQEDLTMDRVYDYMFHLLTEYAKLLKYKPTVPDRAVEVTVESMTRGRRGLERQFMVDTMVEAGSGTGEPCELPPPFSSEELETLRRRQADAVRQVETWEKR >ORGLA04G0169700.1 pep chromosome:AGI1.1:4:18824246:18824818:-1 gene:ORGLA04G0169700 transcript:ORGLA04G0169700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQMSDTKMKQKAMETVADIYGIDSIAADHKDQKMTVIGEVDTVKIAKKLKKFGKVDIISVGPAKEEKKDDKKGDKK >ORGLA04G0169600.1 pep chromosome:AGI1.1:4:18822123:18823219:1 gene:ORGLA04G0169600 transcript:ORGLA04G0169600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCLQVAWIAGSDAITEQSNFIFSPMCLRAGLALLATGADGETLRQMLAFLGSEHIHQLNATSAGLLAEMQAWPQLVFAAGIFVDRSLRLRPEFKSTAAAAHEGIHAICGLPEPDHEGALNQRHPPWHLEQRHDVRPRERHALQGEVGSDVRVVEHHAGNVPPPRRHDGAGAVPVGPRDALRRQGAKFEFHGLEFKVLQLFYKMVGRDGQVDFGFGAPCFCMLVFLPIKRDGLRHLLRMAVTEPDFVMRCVPRSRQIVTPCKVPKFKFSSQLDAGGALAQLGLGAPFDPDAADLSRMAVNTRGSTCRP >ORGLA04G0169500.1 pep chromosome:AGI1.1:4:18818983:18820333:1 gene:ORGLA04G0169500 transcript:ORGLA04G0169500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCLQVAWIAGTKAITEQSNFMFSPLGLRAGLALLATGTDGETLRQLLAFLGSQHIHQLNAASAGLLAEMRAWPQLSFAAGIFVDRSLRLRPEFQSTAAAAHGAFPRSVDFQNQANAAAAEVNRFISQATNGRLNNTISPGTFGSSTKCVLANAMHFKATWGRKFESYDTQRRRFHRQDGTRVTVPFLSDPRTHYAARFDGLGFKVLQLFYKMVGHDGQVHFGAPCFCMLVFLPIKRDGLRHLLRMAVTEPDFVMRCVPRSEQEVSPCMVPKFKFSSELDARGALAKLGLGAPFDPLAADLSRMAVSVNTPPERLYVSAMRQKCAVEVDEEGTTAVEATYSCCSPTYSGPESPKPRPMSFVAEHPFMFAIVEYEKAQVLFLGHVMDPSNKE >ORGLA04G0169400.1 pep chromosome:AGI1.1:4:18817023:18818217:1 gene:ORGLA04G0169400 transcript:ORGLA04G0169400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAHVLVFPAPAQGRLGASAGGGAPQPPRLRFLSMLDGLPDDDQLLVDGLLRKARALLLNMTISMEHQALTRLARHMHDLFAIGPLHRRSWRCGPLLGPLLAAAVEGRNCQEREAYGGHDDDDEDMGGTPRGRMDQWRESEMAVVQRMDEAVQLGQPRPCPRRPQATTHLPAPRRKGGEEARWSGGR >ORGLA04G0169300.1 pep chromosome:AGI1.1:4:18813617:18815520:-1 gene:ORGLA04G0169300 transcript:ORGLA04G0169300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLGVKAAPFTYVAHALAVAAAVMVLVWCISFRGGLAFEADNKNLIFNVHPVLMLIGYIILGSEAIMIYKIFPKLNHDTTKLIHLILHAIAIVLGAVGIYCAFKFHNESGIANLYSLHSWLGIGTISLYGIQWIFGFVAFFYPGAAPHVRRGALPWHVLFGLFVYVLTLATAELGLLEKLTFLQSSGLDKYGAEAFLVNFTGLVVALFGAAVVVAAVAPAHVEEPEDYAPIPVN >ORGLA04G0169200.1 pep chromosome:AGI1.1:4:18808862:18810666:-1 gene:ORGLA04G0169200 transcript:ORGLA04G0169200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLINREENLQKVPEQVGPSVKLQMKWMELVACRHPSLSSSISRKAAMHPTRRGTRDDVGRRFLKTALHKLLGLSVQGFSSRVYEDPQLNAATPGICTRTDRGLARSSSYRPNPVRSPVRKALARYTCRPLHPARCPFGPGPGGSSRWTGRMRYQCGRQRDERATCSVPVREGASSMW >ORGLA04G0169100.1 pep chromosome:AGI1.1:4:18804007:18807108:-1 gene:ORGLA04G0169100 transcript:ORGLA04G0169100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKVEVEVTEAPPAAAAAAETEPAAKDVAEEKAVIPAPAPPAEEEKPPVDDSKALAIVEKVADEPPAEKPAQGGSNDRDVALARVETEKRNSLIKAWEENEKTKAENKASKKLSAILSWENTKKANIEAQLKKIETKAYAFAPFQEQLEKKKAEYAEKMKNKVAIVHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGHAPKKLIGCFGA >ORGLA04G0169000.1 pep chromosome:AGI1.1:4:18798977:18800375:1 gene:ORGLA04G0169000 transcript:ORGLA04G0169000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 17 [Source:Projected from Arabidopsis thaliana (AT3G61250) TAIR;Acc:AT3G61250] MGRTPCCDSKVLKKGPWTPDEDKLLVDYVQANGSGNWRLLPKLAGLNRCGKSCRLRWTNYLRPDIKRGPFTPEEHKSILQLHAIVGNKWSMIAAQLPGRTDNEIKNYWNTNVKKQLRQGQAAAVGEQAALASLGGGAASCPAARHMAQWETARLEAEARLSLLSGTTSVATASVAASSSSSSTAAAGGAEAPPDIYLRLWNSEVGDSFRKSARSAAREDQEPANASDEAAPVSATFARPGDDSSAASNVTAAAAADEYQVFLDLAAEDFELFHGRHGGFPLFPAVDMLGETSLYTAFD >ORGLA04G0168900.1 pep chromosome:AGI1.1:4:18783807:18789053:1 gene:ORGLA04G0168900 transcript:ORGLA04G0168900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGIGNGRGGNPNYYGRGPPPSQHQQHQHQHQQPPHPHHHQYVQRQPQPQQTPHNSQHQQWLRRNQIAAEAAGASEQKAPPVADGIDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIVRLYQPVHLLVGTPGRILDLTKKGVCVLKNCSMLVMDEADKLLSPEFQPSIQELIRYLPSNRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKSAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDRAIYCQ >ORGLA04G0168800.1 pep chromosome:AGI1.1:4:18781706:18783181:-1 gene:ORGLA04G0168800 transcript:ORGLA04G0168800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATWCSTDEDGVGVEPGRGPGSMTIDKFEGPSVYFFRKIIGLMGTARPWTVPAWLFWPGHVTLAQRASTAQHGLRSGHGTTSTSEQKAETTVHKSQXEEKELGGGVAVEREELG >ORGLA04G0168700.1 pep chromosome:AGI1.1:4:18777683:18779740:1 gene:ORGLA04G0168700 transcript:ORGLA04G0168700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACQKPKVHYRKGLWSPEEDQKLRDFILRYGHGCWSAVPVKAGLQRNGKSCRLRWINYLRPGLKHGMFSREEEETVMNLHATMGNKWSQIARHLPGRTDNEVKNYWNSYLKKRVEGAEAAARKSAEPADVVTGSPNRSETGQERVAADRPASSESSGPVESSSADDSSSLTEPAAGLAAVRPHAPVIPKVMFADWFDMDYGTSLAGTAPGLSYQGSSSVQVDGPCGGAVDSLHGLGDGGFCWDFDDAADHMQGGGGLCDLLSMSEFLGIN >ORGLA04G0168600.1 pep chromosome:AGI1.1:4:18762386:18763603:-1 gene:ORGLA04G0168600 transcript:ORGLA04G0168600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCTACDKTVHFIDLLTADGVPYHKTCFKCSHCKGILSMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFAPGCRSTDKELARAPSKICSAFSGTQDKCAACQKTVYPLEKLTLEGESYHKSCFKCSHGGCILTTSSYAALNGVLYCKIHFGQLFMEKGSYNHMKKKSESQEVLPEVVPEEQPAPPPPDENREDN >ORGLA04G0168500.1 pep chromosome:AGI1.1:4:18758655:18760053:1 gene:ORGLA04G0168500 transcript:ORGLA04G0168500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATSSLRSQRVAVVTGGNKGIGLEVCRQLAASGITVVLTARDETRGVEAAERLRGMGLSSVVFHQLEVTDSSSVARLADFLKTRFGKLDILVNNAAVGGMEYAQGVDNNEEQFVGMDVLQRLQWMRKQGRETYDTAKNGVQTNYYGAKHVIQGLLPLLLSSSEGKIVNVSSALGLLRFLGNEDLRKELDDIDNLTEERLDEVLASFLKDFEAGELEAHGWPMGSAAYKVAKVAMNAYTRISARKHPALRINCAHPGYVKTDLTINSGFLTPEEGARNVVTVALLPDGGPTGAFFDEGKEASFV >ORGLA04G0168400.1 pep chromosome:AGI1.1:4:18757071:18757481:-1 gene:ORGLA04G0168400 transcript:ORGLA04G0168400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTGSSWLPSSTARGGDKGEGGKLVVAVIDSGPEPPLRRLANLTAGASFSGPTLSRRFEEAAATTGSSLFPFLFATTVGRRCTGRSPQQPTRSGWQWKGRAQAELAGVKEVMGATQAELARAAEMGKLRASTVAT >ORGLA04G0168300.1 pep chromosome:AGI1.1:4:18753114:18754894:-1 gene:ORGLA04G0168300 transcript:ORGLA04G0168300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIFSSTHTRIAVVTGGNKGIGLEVCRQLAGNGATVVLTARDEAKGAAAVEKLHGLGLSSVIFHQLDVTDASSIARLAEFLESRFGRLDVLVNNAAVGGIVPVDDPSFGLLPTEEKFSGMDGHQRIEWMWKNCRQTYDAAKAGLKTNYYGTKNVTEALLPLLQSSSDGRIVNVASSFGLLRFFTNEELKRELNDADSLSEERLDELLGMFVRDFEAGAVAERGWPTEFSAYKVAKAAMSAYARILARKRPALRVNCVDPGYVKTDLTRNSGLLTPEEGASRVVAVALLPAGGPTGALFDGGKEASFV >ORGLA04G0168200.1 pep chromosome:AGI1.1:4:18745422:18746818:-1 gene:ORGLA04G0168200 transcript:ORGLA04G0168200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDITSLPTKRVAVVTGGNKGIGLEVCRQLAADGITVVLTARDETRGVEAAEKLSGMGLSSVVFHQLEVTDSSSVARLADFLKTRFGKLDILVNNAAVGGMEYVQGVDTNKEQFVSMDKKQRLAWLNKQGRETYDAAKNGVQTNYYGTKIVIQALLPLLLQSSGEGRIVNVSSDFGLLRVVNNEDLRKELDDVDNLTEERLDEVLDSFLKDFEAGALEAHGWPTAFAAYKTAKVAMNAYTRILARRHPELRVNCAHPGYVKTDMTIDSGFLTPEEGGRNVVTVALLPDGGPTGAFFAEGKEASFLG >ORGLA04G0168100.1 pep chromosome:AGI1.1:4:18740069:18740377:1 gene:ORGLA04G0168100 transcript:ORGLA04G0168100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPRHCAAVESHLQTDVVSAHHSDRIDRLAMPPTSHQAQIAHRHAPSIPHPSCRRRPAIAPPSIPASRLTAPLAPARILRRRQDSSPLAPFCTAATGALSTTT >ORGLA04G0168000.1 pep chromosome:AGI1.1:4:18737598:18738533:1 gene:ORGLA04G0168000 transcript:ORGLA04G0168000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDISSLPTKSARVAVVTGGNKGIGLEVCRQLAADGITVVLTARDETRGVEAAEKLRGMGLSCVIFHHLEVTDSSSVSRLADFLTTRFGKLEILVNNAAVSGMEHAQRVDTNEEQFVGMDKQQRLEWLNKQGRETYDAAKNGVQTNYYGTKLVIQTLLPLLLQSSGEGRIVNVSSDAGLLRVGSSN >ORGLA04G0167900.1 pep chromosome:AGI1.1:4:18734325:18736836:1 gene:ORGLA04G0167900 transcript:ORGLA04G0167900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLLGRGVHIPRPAAANRGGTTTMEAAAISNPPSKSIIRIALVTGGNKGVGLETCRQLASRGLRVVLTARNEARGLEAVDGIRRSGAADSDVVFHQLDVTDAASVARLADFVRDQFGRLDILINNAGISGVDRDPVLVAKVKDQIEGMDVDQRVEWMRENSKETYDEAKSCITTNYYGAKLVTEALLPLLLLSSSGRIVNVSSGFGLLRNFNSEDLRKEFDDIDSLTEKRLEELLDLFLDDFKVNLIEAHGWPTGGSSAYKVAKAALNAYTRILAKKYPTLRINCLTPGYVKTDISMHMGVLTPEEGASNSVKVALLPDDGPTGAYFDRNGEASFV >ORGLA04G0167800.1 pep chromosome:AGI1.1:4:18730348:18732558:1 gene:ORGLA04G0167800 transcript:ORGLA04G0167800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHTTSKPGIMVGVRCSCIDSNSESSVQTFDAMTRIAVTTHHMPCANCNSQTEANLPMGNHRLLLLLLLLLAVVGSDHGGVLAADEFTYNGFGGANLTLDGMAAVAPNGLLVLSNGTNQMAGHAFHPTPIRLRGGAAGGAVQSFSAAFVFAIVSNFTVLSDNGMAFVVAPSTRLSTFNAGQYLGILNVTDNGNADNNIFAVELDTMLNPEFQDMNSNHIGVDINSMKSVQNHSAGYYDEATGAFNNLSLISRQPMQVWVDYDGATTVLNVTMAPLDVPKPSKPLISAPVNLSSVVTDTAYVGFSAATGVIYTRHYVLGWSFSQNGAAPSLHTSSLPALPRFGPKPRSKVLEIVLPIATAAFVLALVIAAFLFVRRRVRYAEVREDWEVEFGPHRFSYKELYQATKGFKNKQLLGTGGFGRVYKGVLAKSNLEIAVKRVSHDSKQGMKEFIAEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLYDKTKPVLDWGQRFQIIKGVASGLLYLHEDWEQVVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGVDPQTTHVVGTMGYLAPELVRTGKATPVTDVFAFGVFVLEVTCGRRPLGCIAPDDQNVLLDWVQEHERRHAALDTVDARLCGKYDADEARLALKLGLMCAHPLPDARPTMRQVTQYLDGDAPMPEVAPTMVSYTMLALMQNDGFDSFAMSFPSTVTSTASPMSADVSAVSGLSGGR >ORGLA04G0167700.1 pep chromosome:AGI1.1:4:18726981:18729002:-1 gene:ORGLA04G0167700 transcript:ORGLA04G0167700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPKPEMPFFVLLLFLGLGCLRPAAAADEQFVFNGFTGANLSFDGMATVTPNGLLMLTNGTNQLKGHAFFPAPLQFHRAPNSTAMQSFSTAFVIGIIGAFEDLSSHGMAFIIAKSKNLTSALPGQFMGLVNSANNGNATNHLFAVEFDTILNSEFNDMSGNHVGIDVNGLNSVDADNAGYYDDGTGDFKNMSLVSRRPMQVWVDFDGQTMQVNVTMAPLEVARPKKPLLSKIVNISSVIDDTAYVGFSSATGILFCRHYVLGWSFKMNGAAPALNISSLPSLPITFPKPRSKTLEIVLPIASAVLVFAVAAAVFVFMRRRRMFSELKEEWEVTFGPHRFSYKDLFHATDGFSDKRLLGIGGFGRVYRGVLPSSKAEVAVKKVAHGSRQGMREFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKQLYDQGKITLSWAQRFRIVRGVASGLLYLHEDWEQVVVHRDIKASNVLLDADMNGRLGDFGLARLYDHGTDPHTTHVVGTMGYLAPELGHTGKASKASDVFAFGAFMLEVACGRKPVAQDARDNRVVLVDWVLDRWRAGAITDTVDPRLHGDFVESEASLVLRLGLLCSHPLPGARPGTRQLVQYLEGDVPLPELSPTYQSFNMLALMQDQGFDPYVMSYPMTSTSAGTFSDLSGGR >ORGLA04G0167600.1 pep chromosome:AGI1.1:4:18719645:18724035:1 gene:ORGLA04G0167600 transcript:ORGLA04G0167600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-dihydrouridine synthase [Source:UniProtKB/TrEMBL;Acc:I1PNA7] MEYRDKLVLAPMVRVGTLPFRLLAAEYGADITYGEEIIDHKFLKCERVTNESLGTTDFLERGTDTVVFRTCPQERDRVVFQMGTSDAVRALKAAQLVCNDVAAIDINMGCPKSFSLSGGMGAALLSKPELIHDILTTLRRNLDTTVTCKIRLLNTRQDTVELARRIEKIGVPALAVHGRKVKDRPRDPAKWDEIADVVSALSIPVIANGDVFEYEDFKRIKDATGAASVMVARGAMWNASIFCPKGKTPWEDVKRECVRKSILWDNDLKSTKQTIKEMIMHYSCLEFPEGKGVNKCDTIADLAKLYGEEEYYNFVLSNRN >ORGLA04G0167500.1 pep chromosome:AGI1.1:4:18717210:18717506:-1 gene:ORGLA04G0167500 transcript:ORGLA04G0167500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTGAALLLAALLLLLASELATLGCGHRMTRADVAAWKRHALVAPTKKTTTMAASRAATTTTTFPIPTVAGTGDTAAALGDGESKRLVPQGSNPLHN >ORGLA04G0167400.1 pep chromosome:AGI1.1:4:18712943:18714892:1 gene:ORGLA04G0167400 transcript:ORGLA04G0167400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGTLEVLLVGAKGLENTDYLCNMDPYAVLKCRSQEQKSSVASGKGSDPEWNETFMFSVTHNATELIIKLMDSDSGTDDDFVGEATISLEAIYTEGSIPPTVYNVVKEEEYRGEIKVGLTFTPEDDRDRGLSEEDIGGWKQSS >ORGLA04G0167300.1 pep chromosome:AGI1.1:4:18707096:18708121:1 gene:ORGLA04G0167300 transcript:ORGLA04G0167300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PNA4] MRVLAVALLAAAVLAAEAAAELPEFREAPAFRNGAGCAGAPTIHIAMTLDATYLRGSLSGVLSVLRHAACPESIAFHFVASSASPARRLAALRRALAAAFPTLPATVHRFDARLVRGKISTSVRRALDQPLNYARIYLADLLPRSVSRVLYLDSDLLVVDDVARLWATDLGPDAALAAPEYCHANFTSYFTDAFWSHPEYSSIFTNRGRAPCYFNTGVMVIDLDRWRAGGYTVKLEYWMEVQKQEARIYELGSLPPFLLVFAGEVKAVEHRWNQHGLGGDNVAGQCRELHPGPVSLLHWSGKGKPWLRLDAGRPCPLDALWAPYDLLRRRGARDDLLAAVA >ORGLA04G0167200.1 pep chromosome:AGI1.1:4:18698313:18701778:-1 gene:ORGLA04G0167200 transcript:ORGLA04G0167200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G10560) TAIR;Acc:AT5G10560] MSTVPLLLLLVVASAGAGDGAAPASNARPCASPAASAYPFCNATLPFPARARALVSLLTLDEKIAQLSNTAAGAPRLGVPPFEWWSESLHGVCDNGPGVNFSSGPVRSATIFPQVILSAAAFNRSLWRAAARAIAVEARAMHNAGQAGLTFWAPNINVFRDPRWGRGQETPGEDPAVVSAYSVEYVKGFQGDYGEEGRMMLSACCKHYIAYDLEKWRGFTRYTFNAKVNAQDMEDTYQPPFKSCIQEGRASCLMCSYNQVNGVPACARKDILQRARDEWGFQGYITSDCDAVAIIHENQTYTASDEDSIAVVLKAGMDINCGSFLIRHTKSAIEKGKVQEEDINHALFNLFSVQLRLGFFDKTNENQWFTQLGPNNVCTTEHRELAAEAVRQGTVLLKNDNGFLPLKRSEVGHIALIGPAANDPYILGGDYTGVPCHSTTFVKGMQAYVPKTTFAAGCKDVPCNSTDGFGEAIEAAKRADVVVLIAGLNLTEETEDHDRVSLLLPGRQMDLIHTVASVTKKPVVLVLMGGGPVDVSFAKHDPRIASILWIGYPGEVGGNVLPEILFGKYNPGGKLPITWYPESFTAVPMDDMNMRADASRGYPGRTYRFYTGDVVYGFGYGLSYSKYSYSILQAPKKISLSRSSVPDLISRKPAYTRRDGVDYVQVEDIASCEALQFPVHISVSNDGAMDGSHPVLLFASSKPSFPGSPIKQLVGFERVHTAAGRSTDVEITVDPCKLMSFANTEGTRVLFLGTHVLMVGDEEHELLIEA >ORGLA04G0167100.1 pep chromosome:AGI1.1:4:18695678:18697753:-1 gene:ORGLA04G0167100 transcript:ORGLA04G0167100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thioredoxin M-type 4 [Source:Projected from Arabidopsis thaliana (AT3G15360) TAIR;Acc:AT3G15360] MASALAASTAVCSSPLASASASASSARRLRAVPPSRGIRYQALRADSGFAGNRRGGGRGASVVCAVQGQDTSIQVPEVTKSTWQSLVMESELPVLVEYWATWCGPCKMIDPVVGKLSKEYEGKLKCYKLNTDENPDIASQYGVRSIPTMMIFKNGEKKDAVIGAVPESTLIASIEKFVER >ORGLA04G0167000.1 pep chromosome:AGI1.1:4:18687756:18688517:-1 gene:ORGLA04G0167000 transcript:ORGLA04G0167000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIYMAAVNNKTSLPDDEPMKKISGDMPVTAGNACFDCNICLDFAAEPVVTLCGHLYCWPCIYEWLCPGVGSTASNNSSLARRQCPVCKATLSPDMLVPLYGRGGSLKKSLNGVPIPRRPTVQREAVEHQNTHNNIDDRHHGNMEPSPPPQPLRHSSHHSSATEFDFIYPPSPIGRGLIHSTAGGVLGGMAVAVLPWAFRGQVPPSMFMSPHYVTAHNMSSRARRHQMEVERSLHQIWFFLFVFVVLCLLLF >ORGLA04G0166900.1 pep chromosome:AGI1.1:4:18683749:18686584:1 gene:ORGLA04G0166900 transcript:ORGLA04G0166900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRTEDFKESVRVAALGHGYTESQLAVLMSSFIIRKPAPKLPFTKAAIKTLESIRELEKFIVKHRKDYVDLHRTTEQERDNIEHEVSVFVKACKEQIDILKNRIHEEKGGSTKTWLGTSDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRTMRFQDAINRAMPRKRIQKKRETKAAEPSKPNLVLKSDVSKVEDQEVSTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYSK >ORGLA04G0166800.1 pep chromosome:AGI1.1:4:18679465:18683315:-1 gene:ORGLA04G0166800 transcript:ORGLA04G0166800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQNGSAAGEGEAAAAVAARSRSKSIEADEEERSKGRRDRDRDRRGKSKRRDEEEEEEGDAGSESSGEDSGERRKRRRKEKERRHRRRRSRSASSGSSSESESESESSYSDCSAGSESETDSEEERRRRRRRRRKEREEEERRRRRKDKERRKRKEKEKERERKKKEKKKRRKEEKKNLGKKAAVTNSWGKYGIIREVDMWNKRPEFTAWLSEVKQVNLEALSNWEEKQMFKEFMEDHNTATFPSKKYYDLDSYHRRVMEKEKKKGLKNALGTERTVFNDEEQRRLELLRERERQKEEEVAALKRSMQTGMAQAMKEQARLREEMMYQYRLGNFEAAAAIQKRLDPDAPLQ >ORGLA04G0166700.1 pep chromosome:AGI1.1:4:18678008:18678418:1 gene:ORGLA04G0166700 transcript:ORGLA04G0166700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFDLQVKERAKELKHLKGAVMKGVKVVGDSCKKAWNKGSACQYSILLA >ORGLA04G0166600.1 pep chromosome:AGI1.1:4:18672585:18676707:1 gene:ORGLA04G0166600 transcript:ORGLA04G0166600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:expansin B3 [Source:Projected from Arabidopsis thaliana (AT4G28250) TAIR;Acc:AT4G28250] MAAASSRSFSLCVLLLLLLLAPPISASFLFDGGKSKSAAAAAAVDMEWRPATATWYGDAEGDGSTGGACGYGSLVDVVPMKARVGSVSPVLFKDGEGCGACYKVKCLDHGICSRRAVTVIVTDECPGGLCAFGRTHFDLSGAAFSRMAVAGAGGHLRDRGQLSVVYRSVQIYQDCVQVRREEHSLPCKRGLDELLAVTAGRVRGRPGRHWIHADKAGELSGVAGHEACVGGHVVPRAGPACRALLGEVDDAVGPEGAHGPGRHPQELEAHGHLHLAPQLRGRPLIGWGSAGPGPTFYRPGKLPGGPAKAGPTWRSVRQESQASSIVMQLIILVGLIIHDSIHG >ORGLA04G0166500.1 pep chromosome:AGI1.1:4:18666196:18669731:-1 gene:ORGLA04G0166500 transcript:ORGLA04G0166500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETHEKDKQFEKEGEEGEEEEYVLLELDDCLYSDVQPNASYVLSGLDTLTPTLILGDGLKMIGEYQETIGTCYLFSETNAPPKPIHGEMAPPEENKDKQASCSKEVPSKEVKHLASVQKILKFRSINADHEQRRAYRDNEREI >ORGLA04G0166400.1 pep chromosome:AGI1.1:4:18664995:18665462:-1 gene:ORGLA04G0166400 transcript:ORGLA04G0166400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSEYRRMEAEEEEELDKEEWARRAEAQRRRRRSGGRYVFTCALFASLNAILLGYVYIVQCTEI >ORGLA04G0166300.1 pep chromosome:AGI1.1:4:18661229:18663276:-1 gene:ORGLA04G0166300 transcript:ORGLA04G0166300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20780) TAIR;Acc:AT2G20780] MAGAEAANGRNKYAVLDPSDEPEGRRRPSAWERRSKERFVLACAIFASLNAILLGYDVGVMSGAIIYIQKDLHITEFQEEILVGCLSVVSLLGSLSGGRTSDAIGRKWTMALGAIVFQAGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMVSAVYIAEISPAAARGTLTSLPEICINLGILLGYVSNYAFSGLSEHINWRIMLGVGILPSVFIGFALFVIPESPRWLMMEKRVPEARAVLLQISESEAEVEERIAEIEEAANLLKSTKSEDKAVWMELLNPSPAVRRMLYAGCGIQMFQQITGIDATVYYSPTIFRDAGIKSDQELLAATVAVGFTKTVFILVAIFLIDKVGRKPLLYVSTIGMTMCLFVLGIALTLQKHAMGLISPRIGIDLAVFAVCGNVAFFSIGMGPICWVLSSEIFPLRLRAQASALGQVGGRVSSGLVSMSFLSMARIISVAGMFFVFAVISTVSLAFVYFCVPETKGKTLEQIEMMFEGGKEWRGSEIELEDTQHLIQSSKKSVSLG >ORGLA04G0166200.1 pep chromosome:AGI1.1:4:18659099:18660505:1 gene:ORGLA04G0166200 transcript:ORGLA04G0166200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVATKANAMAPAPPPPTMAPPPTPRKPLPLLLLSLSLPFLLLLLSLVFLLSHTTFSLLLCPLLPRPTSRPNATTMATTTVAAAPPTLDVSMNKSLRAFHASSPPPPPPTPTTTTTPTPTPTPPLPQPAPPASPSKSNKKASAKRNKSLLKLLLRETPRTRRFAARAGELFASPRPCTRRFFMTWLSPLARFGRRELLVVESLFRSHRDACLLIASDTMDSDGGGDRLGPFLDRGLRVAAASPDMAYLLNGTPAEAWLGAVQRGDVSPGSIPLGQNLSNLLRLALLYKYGGVYLDADVVVLRPFSDLRNAIGAQAVDASTGDWMRLNNAVMVFDRGHPLLREFIAEFAAKFDGSKWGHNGPYLVSRVAARWRRRRRPEAEADLTVLPPAAFYPVDWNKIGGLFVAPKDRKGERWVKAKVESIKGESFGIHLWNRESRSLEMEEGSVIGRLLSDSCLFCNSSMFAKYE >ORGLA04G0166100.1 pep chromosome:AGI1.1:4:18656699:18657692:1 gene:ORGLA04G0166100 transcript:ORGLA04G0166100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKIHSKSPDELLYGRAGYLWACTFLNKHLGDNTIPPTTTDTVMRDIIRDVRTLSTIGCPLMYEWYGEKYWGAAHGLSGIMHVLLDMDLTKDDTECVKGTLRYMIQNRFPSGNYPVTEEDKHDRLVHWCHGAPGISLTLAKASQVFPEERFLEAIAEAAEVVWNRGLLKRVGICHGVSGNAYTFLALFRLTKKKEHLYRAKAFACFLLDRAKQLIADGIMHSGDEPYSLFEGQLGMAYLFLDMINPLDSRFPGYEL >ORGLA04G0166000.1 pep chromosome:AGI1.1:4:18640356:18649797:1 gene:ORGLA04G0166000 transcript:ORGLA04G0166000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal domain phosphatase-like 1 [Source:Projected from Arabidopsis thaliana (AT4G21670) TAIR;Acc:AT4G21670] MESRPTAIADEPPPPLVAMHAACLRDGKTAVFPLGAEEIHLVAMTSKRNLPNLACFWGYKVPSGLYNSCLSMLNLRCLGIVFDLDETLLVANTTRSFEDRIDALQRKLSNEIDPQHISGMSAEIKRYQEDKSILKQYIENDQVIDGGKVYKVQTEVIPPLPDNHQPMTRPIIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLTDRLVCVKSGSRKSLLNVFHDGSCHPEMALVIDDRLKVWDEKDQCRVHVVPAFSPYYSPQAEANFSVPVLCFARNVACNVRGGFFKEFDEVLLPRISEIHYEDEINDFPSAPDVGNYLITEDENAAILNVNKDPLAFDGMADAEVERRLKEVSCSVQAVNPIPTNADVMPVAPNQQLITSSVPEAPSLGMIPLNNDQGPQPPSSWPVAQSAPVDPSQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPAPPCPAGSPVQTSVLPVQSHGNWSHVEDEMNPRSLNRTSTGFHLESDDINYDKKQPHNPPYFPDEDNLITSDRYNRRIHRYPSQLPHSEDHHMLNRSSIAYRSFPGEDMGNRFGPSNHRSSKIEPGHQFVQNAETSAGVLEEIAVECGFKVEYQSTLCSTAELQFSIEVRILGEKVGEGIGKTRKAAKRQAVNMSLRNLAEKFLTSDPDKMMILKENGFSSNSNSFRYSGGSRDDTSPVASTSNESRYMGERVDTLRKPAGSVAALKELCTVEGYNLVFQEQPSRPRGSSGKEAYAQVEIGGQILGKGVGATWEQAKLQAADEALGNLKSMLGIFAHKSSGFQRSSVSNFNRFKPDFQRSLQTIPSGWDSRNNGRVL >ORGLA04G0165900.1 pep chromosome:AGI1.1:4:18631333:18635897:1 gene:ORGLA04G0165900 transcript:ORGLA04G0165900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSCSSTSASDDDDHPRRGPDAAAAAAAAAAAALDVWTSEPAPVQERRRRLLQMMGLSGDPSLARLEMGRSASYDGPIRPETVSPISRSRSDGSVPASATKPPLAARSRQTSSDSSEATPGGDDADPRCLIRNLDDGSEFVVKEESALREVGTGRQLTMEEFDLCVGRSRIVQELMRRQNVASSGSSNGASALIQRSSSDSSNGATRHRRRGSWLRSIRNVAGSMVVGSRDRRSSDEKDTSSEKGGRRSSSATDDSQESASAVRRGPERVKVRQYGKTCKELSGLFMNQDIQAHNGSIWSIKFSHDGRYLASAGEDCVIHVWEVSELERKREGNGVCNQLVAVVCNGSPEPILALASVDGSCWEKKHRARILETRKSASSDRLMFPEHVFALSEKPVKTFEGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHMSRTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPGREIVDWNDLHEMVTAACYTPDGQRALVGSHKGSCHIYDTSDNKLLQKKQIDLQNKKRKSNQKKITGFQFLPGSSSKVLITSADSRIRVVDGFELVHKFKVLHWNPGVXSYARKSXXVFEIPTAKYQLACLRTGGQKQGRCVCHKFV >ORGLA04G0165800.1 pep chromosome:AGI1.1:4:18623350:18623839:-1 gene:ORGLA04G0165800 transcript:ORGLA04G0165800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTWVGKAARLGGAAGDGDSAAAAVLVPSRAAAGATHHLQRIEGRDRGRDETIQGSPRYACCLLKTVADFVVPE >ORGLA04G0165700.1 pep chromosome:AGI1.1:4:18595867:18597270:-1 gene:ORGLA04G0165700 transcript:ORGLA04G0165700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSGESGGGGGGGGGGRRWKGKGVTPIQPRRQLGTVLEDSSAALLRPLKKIGRSPDRLLRSASSLSTSSSAPPSPRSSSASDAPVRVISSSPSSPSPPSARHVFPFAYEASTTTVGGSPRLHPLSWQQSSMSQPASPQQQQQQPLQHQQMISFGASPPCSTTQFVVPENAQQQQMLLRYWSEALNLSPRGGPGGVPPWLYQQLLRVPPPPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPDRFLGKGRAGGSGRTSVSSSAAAAASCSSSSPSPPETPDDANTQQQAPQQREQRDTAGVSMEKKQPQPPAPTSRQEGCSGGDAAAPYPAEMLHAPAACGGMWVAPDESWFSTWGPGSSFWDDYDMDNARGLFLHPRFTGDETSMDHSGTQATVPAVAATAAGMSMPCDDVPVTSSSSDLPPQGTPQTPTFMWKED >ORGLA04G0165600.1 pep chromosome:AGI1.1:4:18594150:18594680:1 gene:ORGLA04G0165600 transcript:ORGLA04G0165600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRAKPASSPPSRAAPAAPGRCRRRRRRPRDRGCPLLPNPRRAAPNPRRAGGNGGRAEPDPNDGNGNLGDDGGGWRQLATVQTTATAAGDLDNDGGASAWVQDARRRSLRHRPALLRRIEEVVAAPAVALRSWLCTAAAASSRGWTAGETKEMGGGGESVWGPRLASDLWLAKFG >ORGLA04G0165500.1 pep chromosome:AGI1.1:4:18588407:18588901:-1 gene:ORGLA04G0165500 transcript:ORGLA04G0165500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPTRPPTVPMAPATATAVASPSTSSRLLHRSLLSPTPTAARCLRPPLCRGRLRTVRQVVANGDVSSPSSDVAAEESAAAPKIGKRVRVTAPVRVHHVSKAPDLDICGMEGVVKQYVGIWKGKRITANLPFKVEFELRVDGQDKPVRFFAHLREDEFELVEDE >ORGLA04G0165400.1 pep chromosome:AGI1.1:4:18578667:18580726:-1 gene:ORGLA04G0165400 transcript:ORGLA04G0165400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSKKQQVRRRREEQQQPPCHEARKAGGGKKAGAKEVAIVPEAAKRAPPPRKAVPKAEEPAADKRTVFVVKAAAAAAAAEVAASASGEAADEEAKRPAPEEEEAKPIVVSRVPVRTSSCTKEEVDAILIQCGRLSRSSSSSGKVASGEGGGGHRRYSGSKRSYDFDRERRGGGGGGVDDDCDWERQGAAVSRPSPRRRTPERKRSGSHERSGGSGSRRVSRSPGRRADSVPATASGERASRQQPGKMVSVPAREKGRAPSPVPAASGKRYPSPRSNSPARTGAAGNENAAAQLAHGPSLSRSSSRKAEHSPYRRNPMAELDENTLGNHHSCNNNGRPQKKPTESGGALPQKVAERAKDQVAASRTAAKEKQEIVEVPVASSDTKGGNSGRMKATHSVSIVAESVVNQKGRSSRRSSHDFDNNGNSYASLLLEDIQNYHQQSTGSAAAPAPAFSLPACVSKACSILEAVADLNSSSSENKSFELDRSANDKCSANGRYGDGKVAGGGTLVVESEVVVKDDLMEPSLHRYVSVRDIRGEAEPQESAGSNSFAGNAWTPSWEPSSVDSTDRTWTASQSNNGDEVEQLSSGAVSPLELSWQGKQKLPSQEPSGGGRSRVGPTGNAQRGRSAHRGGGGAVNARSDVRAAPVSSSIA >ORGLA04G0165300.1 pep chromosome:AGI1.1:4:18574740:18576910:-1 gene:ORGLA04G0165300 transcript:ORGLA04G0165300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hus1-like protein (InterPro:IPR007150); Has 129 Blast hits to 128 proteins in 49 species: Archae - 0; Bacteria - 0; Metazoa - 57; Fungi - 10; Plants - 47; Viruses - 0; Other Eukaryotes - 15 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G52530) TAIR;Acc:AT1G52530] MKFKAFFTDDGIALLDKRFLPAMDKVGRVCHVYLTPTHAMLLHNLLGPTGTGPDGGGPQCVAQFAKDLLFREYSVSSRNGNRVAFAVDVALLHRALRSALAVHAQSPAAGDASAAIQVKLVNKLPAGSRSASPFLTFETKGARSAVVQDVPISRPLSRSDVERLQAALDSAQELPQTLVQVPDLPQLQSLVDRLKNVGDLLTVAVTQYGDLHLHVATSLVTVGSEFRKLRILGVRATAPVGDQNLSASTRTDMAIERGEALSVQVNMKHLAKSLQCHLAKPDCTFYGIAAGGACLTVIFQYFIPGTRLTDKSISFYCRLPVLDPGS >ORGLA04G0165200.1 pep chromosome:AGI1.1:4:18567746:18573580:1 gene:ORGLA04G0165200 transcript:ORGLA04G0165200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:white-brown complex homolog protein 11 [Source:Projected from Arabidopsis thaliana (AT1G17840) TAIR;Acc:AT1G17840] MRAGGGGGGDTRRTAAGQAMVELQANASSAGGAMVVGLSPLSETLWRDSKAMPGAAAALIGDVSARLTWKDLSVTVALGPGKTQTVLDELTGYAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFLSGNVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSAMLRLPDKMPREDKRALVEGTIVEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDSSSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSSGKTVYFGQASQACEFFAQTGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKARIERSDDPLDRMTTSEAIRKLVASYSRSQYYYAARERVNDISRLKGTVLDSGGSQASFLMQAGTLTKRSFINMSRDFGYYWLRLLIYLLVTVCIGTIYYDVGTKYTSILARAACTAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVAAFVISNTISALPFLVLICFLSGTICYFMVRLHPGFSHYIFFVLNLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPYDIPKPVWRYPMQYISFHYWALQGQCQNDMDGLVFDNQYPDQPKIPGDFILKYIFQINVHRSKWIDLSVIFSMIFIYRILFFLMIKVNEDALPWIRGYIARKRLQKKEPLGKTPSLRGYVVDPELGPNES >ORGLA04G0165100.1 pep chromosome:AGI1.1:4:18564046:18564510:1 gene:ORGLA04G0165100 transcript:ORGLA04G0165100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTAVTSRGVTAMTRSCFLIVLLLAVAMGGSKLSLAAGESGGGGIPTTLGRELRELISKASDLLGAAPRRAGADGWHAAAATGDGADAIRASLRSTARGRPARKSAANCIPAAMCRKKKVLCGKRCYRSSSSSSLSHIPSTKCVVKCKKCVPTC >ORGLA04G0165000.1 pep chromosome:AGI1.1:4:18559314:18560161:1 gene:ORGLA04G0165000 transcript:ORGLA04G0165000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSAVLLLPNSRAPAALSRRRAPVPRRGFVVGFEGRSRRGAAGTVRACFSPPGDERILREALKEPVAFMGGVFAGLLRLDLNEDPLKEWITRTVEASGVAEDSRTDESSEGSQNDAPQQIEIE >ORGLA04G0164900.1 pep chromosome:AGI1.1:4:18553589:18557656:-1 gene:ORGLA04G0164900 transcript:ORGLA04G0164900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATADSRSGIKLFEACINSNLRSFLHSVTPTLEPYTVAKPGGYSGRVPELGRCFFLVDLWNHFYPLSAYGVGTPVRLPSGQEIEQYFVPYLSAIQLHTISDFTSCNEIVVGNNLFDANNYGWCSAADNWNGQYATTSLARYDSPRSMNGGPCFQYFECDSPYERMPLADKVYELCYNFPPLSYLSSIELSPSSWMSVFWYPIGHVPAMNKKDLTTCFLTYHSLSTLEDRTPFDSKDPLTLPPIGLATHKTDGDVWTSANSGDQELTTSLVGAADSWLKKLDVQHHDFNYFLNSNRNLIHYRSLTEASTSAV >ORGLA04G0164800.1 pep chromosome:AGI1.1:4:18551928:18553089:1 gene:ORGLA04G0164800 transcript:ORGLA04G0164800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRHTPRRADAAAAAAAMEPLVPGATRAALSEFVATAVFVFAAEGSVYGLWKMYRDTGTLGGLLVVAVAHALALAAAVAVSRNASGGHVNPAVTFGVLVGRRISFARAALYWAAQLLGAVLAVLLLRLASGGMRPMGFTLGHRIHERHALLLEVVMTFGLVYTVYATAVDRRSGGGDIAPLAIGFVAGANILAGGPFDGAAMNPARAFGPALVGWNWRHHWVYWLGPLIGAGMAGALYEFVMAEQPEPPAAADTRLPVAAEDY >ORGLA04G0164700.1 pep chromosome:AGI1.1:4:18547387:18551109:1 gene:ORGLA04G0164700 transcript:ORGLA04G0164700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSFFQPLTTPIAGAGAGGAARLRRGTLALPFPTRTRPLRRPTPLLVARAKRPGSRTAAASRQPANPSDVPKREADEEVEVEEEMPWIQDKALDLVEFTGTVTQAIPGPRVGSSPVPWLLAVPLAYVGVSFVLAVVRTVRRFTSPRTQKKRRVSKNIFLLKSLDELFQKGREAVDFPALQELMEKTGFDMDDVVRKYIRYTLNEKPFNPDVVVDLIHLRKASMLEDAEVAEILNEISRRIVREKGPVVMDLAGFTEQGFKRKLAVQTLFGKILYLSELPEFCSRDGSLVVKEIFGVTDEDADSIRIHTLSETSDIESLEKMVDDSELGHGPSPLS >ORGLA04G0164600.1 pep chromosome:AGI1.1:4:18543763:18546496:1 gene:ORGLA04G0164600 transcript:ORGLA04G0164600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19-like CHCH family protein [Source:Projected from Arabidopsis thaliana (AT5G23395) TAIR;Acc:AT5G23395] MGQGLSQPAQAVEEPSPPAVEAAPSSSPSPAPAPSSLEALAAEAMSFDEDGNESIDVKVQKALDCPCVAELKNGPCGSQFVDAFSCFLKSTEEEKGSDCVKPFIALQDCIKINPEAFSKEILEEEENDEEAEKSNLKVRAPAWSRG >ORGLA04G0164500.1 pep chromosome:AGI1.1:4:18540849:18543402:-1 gene:ORGLA04G0164500 transcript:ORGLA04G0164500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT4G15010) TAIR;Acc:AT4G15010] MGKPLGDSVFAGHAAAGVAAITASAVAVHPLDTVKTLLQLSATGSQQKMGLGQVVDRLMSASGPAGFYSGIGWSVLGKLPGLGARFGTYELLTAFYKDGKEDNYVSYSEAMLAGIAAGAVESVLCTPFELLKLRSQVGSVKPMKATDPANITKVAFPLLSKLLPGYVPDIRVWNSSVSLLSNLSPKHPDMMGALKQHPWMLTGSGKPPLPSDVQVPSRVIALEGWGALWRGLRPGMTRDCVFGGMFFSTWQFIHTAMLTWSAVNMNPQPSKLEEAGPVSPFASSLAAGFSGVVAAAASHTFDTAKSRSECTVIPKYIAMERRLLKWKVPGNWIERKTGISPADRNVLFRGIGQRMARSGFASFLLVGSYYFVIDQFL >ORGLA04G0164400.1 pep chromosome:AGI1.1:4:18536721:18539239:1 gene:ORGLA04G0164400 transcript:ORGLA04G0164400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLSREKDAGGGPRRRPGSVGDVVVFLPGLRVPRSVDFSQALAGRLDEASSRLSSLRARVVDMAMQESAAALKPKRRAAARHGSSTANLLQALEDYLPVLLGLVKEGSELRHGVHFVWTNQEDNAEETAMADAWYEVLSVLHLMAMVCLLQANSLLLPRSYGDGYAPRVSEESRRATVDVFLKASGYLDCAIRQVLPQISSELRRQLPVDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWHQIQESIPEIPVSDGWGKKHLLFVKWKYVEAKAAAYYFHGLILDEGNTEKSHGMAVAALQASEEFLKESKRASEAFHATPPTSRSPTPFGTAKYMLDKIPKDASSKVKINQDLYTQERVIGTPPPLPDFALALKPDDYDLPPLDPLWNKEDSRQ >ORGLA04G0164300.1 pep chromosome:AGI1.1:4:18523253:18523936:1 gene:ORGLA04G0164300 transcript:ORGLA04G0164300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANENGFNGMVRCWKTSGFGEDMDRRQGTSIRMKTYDLGISSTQIDTCHDTITXFPIRTVVACYIEQENGKXRFSI >ORGLA04G0164200.1 pep chromosome:AGI1.1:4:18519680:18520445:-1 gene:ORGLA04G0164200 transcript:ORGLA04G0164200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAACNEHVIGIPVSNRAFGIEEPDFPSEGAAAYHAEAKSSATARTSSRFGRTGDRLAQGIKEHVTLGPKLYETMKGKLTLGARILQAGGVEKVFRRWFAVGKGEKLLRASQCYLSTTAGPIAGMLFISTERIAFRSDRSLALTTPSGDTVRVPYKVAIPLRRVKTAKPSENKHRPEQKYVQVVTDDGFEFWFMGFVSFQVTLKNLELAVAQAQ >ORGLA04G0164100.1 pep chromosome:AGI1.1:4:18514788:18515716:-1 gene:ORGLA04G0164100 transcript:ORGLA04G0164100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSINGVHVIGVPVTAKAFGIEEEVSLARGQSFRKADGDHLAVSLSHPSPYTSFGYKHSSKGQVIHWVSKLSRRAQGFREHVTLGPKLSETVKGKLSLGAKILQAGGIERVFRKAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSTKKVAFRSDRPVTVTSAKGDVARVPYKVVVPLRRIAQVRPSENADKPEEKYIHVVTVDGFEFWFMGFVSYQRSCKYMQQAISELQ >ORGLA04G0164000.1 pep chromosome:AGI1.1:4:18510425:18511027:-1 gene:ORGLA04G0164000 transcript:ORGLA04G0164000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRLPLILLSLLAISFSCSAAPPPVYDTEGHELSADGSYYVLPASPGHGGGLTMAPRVLPCPLLVAQETDERRKGFPVRFTPWGGAAAPEDRTIRVSTDVRIRFNAATICVQSTEWHVGDEPLTGARRVVTGPVIGPSPSGRENAFRVEKYGGGYKLVSCRDSCQDLGVSRDGARAWLGASQPPDVVVFKKARPSPPD >ORGLA04G0163900.1 pep chromosome:AGI1.1:4:18506710:18507750:1 gene:ORGLA04G0163900 transcript:ORGLA04G0163900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1PN70] MSSSSVQTSPSPSHEVDAETDEELYKQFTDLVSSWPSSEPMPFLPLYRHDNGWYSSLMPMVGAMVADARFAARPSDIIVATLPKSGTTWIKALLYATVHRREHPADAAADHPFNSLGPHECVNFLEYQLYTNNRVPDLGRLPDPRLFATHVPFTSLPSAAAASGCKVVYVCRDPKDNLISMWDFANKFRAREGQEPMSPEAIAELFCLGVSPSGPYWDHVLGYWGAHVARPEQVLFFRYEEMKLDAAAHVRRLAEFVGLPFSAEEEEGGVVDAIVRLCSFDHMIGLEATKSGKTELVVGTAANSSFFRRGQVGDWANHLSPEIAQRIDAITEARFNGSGLRPSGTK >ORGLA04G0163800.1 pep chromosome:AGI1.1:4:18503195:18504511:1 gene:ORGLA04G0163800 transcript:ORGLA04G0163800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLCRSQFSHCRIFFLTELPDIFSSGLYSTFVFQKPDPDAMPTILTPNLPDPENAQEVVCSAFSTVDFLLYVMCVNCSEIAGMPPEAAGISGRWKGLRASDADRYDSHGMVRRVSLVVPGPADISGHRRGSDADSNSHGSCEEHPWSSACRCEALEFTDEYLGIGAWLNLELAMCILCIYAGYLGVSVALGPSVTRLAFGGPSDVQTIIACAGSSTPRVLDVFGGVESASCTDAFLLRTGFLMLKSTACGKCDFCIIIRERRDVCTLWFHWLDDTCG >ORGLA04G0163700.1 pep chromosome:AGI1.1:4:18497569:18498189:1 gene:ORGLA04G0163700 transcript:ORGLA04G0163700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDAATFQVYRPMAMPTPAALPPSSQQITMPFTAAPVDAVLPAPRKAAATQGGKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTIEWLLRQAEPSILAATGSGTTPAVFSCSSAPSTASSSFLLGKRPRQEDHEAPTFWEALQQQPRPAVSSWGALVSPSQEAQAYASSVAQVHHLNLLSALSGAATRRPAQEESR >ORGLA04G0163600.1 pep chromosome:AGI1.1:4:18494178:18494651:-1 gene:ORGLA04G0163600 transcript:ORGLA04G0163600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAATVALCRAAGAPVVYTRHVDPMPRSGPLDEWWPGDRIADGTPAAELLPGSGRREGDLVVEKSTYSGFAGTGLEEALRRMGVEEVIVTGVMTNLCCETTARDAFVRGFRVFFSADATATASQDLQEATLANMAYGFAYVVDCQRLEAAFGKVK >ORGLA04G0163500.1 pep chromosome:AGI1.1:4:18488571:18491310:-1 gene:ORGLA04G0163500 transcript:ORGLA04G0163500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 35 [Source:Projected from Arabidopsis thaliana (AT5G08260) TAIR;Acc:AT5G08260] GFWGGPGCSSVAYGAAQELGPFLVRSYGENLTLNAYSWNKAVNLLFLEAPVGVGFSYTNRTSDLRRLGDRVTAQDSYSFLLNWLNKFPEFKNRDFYIAGESYAGHYVPQLAELIYDGNKGASRDRVINIKGFMQIGNAVLNDATDQMGMVEYAWSHAIISDELYSAVRRECDSFKEEEDGGKPSKGCSPAVRAFLRAYDDIDIYSIYTPTCLSSSSSSPASASPRRSSPGLVAAPRLFSKHVKEAWRRMQRVPAGYDPCTEEYVKGYFNREDVQRALHANRTGLSYPYSPCSEAISKWNDSPSTVLPILKKLMGAGLRIWVYSGDTDGRVPVTSTRYSLNTMKLRPRLMRKTAGDGAGEESEWGGWRAWYDRQQVGGWAVEYEEGLTLVTVRGAGHQVPLFAPRRSLAMLYHFLRGSSLPASRSR >ORGLA04G0163400.1 pep chromosome:AGI1.1:4:18485553:18486470:1 gene:ORGLA04G0163400 transcript:ORGLA04G0163400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:I1PN65] MLPVAPARQPLTASSWPRLAAAGPTPPRPNLPLNPAPPPPNPSPAAMLRRLAAAAPRAFFSSSTPHAPPPPAGYTQRREYGLVPMVIEHTSRGERAYDIFSRLLKERIVCIHGPITDDTASLVVAQLLFLESENPAKPVHLYINSPGGVVTAGLAIYDTMQYIRSPVTTLCIGQAASMASLLLAAGARGERRALPNARVMIHQPSGGASGQASDIAIHAKEILKVRDRLNKIYAKHTSQAIDRIEQCMERDMFMDPEEAHDWGLIDEVIEHRPVSLVSDAVGSDLPNLGGGGDGANKATDEPSPA >ORGLA04G0163300.1 pep chromosome:AGI1.1:4:18479637:18480395:1 gene:ORGLA04G0163300 transcript:ORGLA04G0163300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSPLLVLRRIFRHEQGGQLRVLRAVGEAKPHPLRDGTGVRRPRPQIDGASVARLHRVDEVGLDLFQGTGAPNEALGEVRCPGVHRDAIEAEPHTEHQRDAKSALQAAPLDEVDFNPATLDVALRNGFLAMAVLLELDEESLGMVERDSHIALAKLELVQERLLDVLRPRRHRRARGPGNSRGLTPLAQLLINGVRGGDEDGVFLRACGRVAELRHPGCPRSRRGRRKLEWKGRAIARLQLAHAMTGRRTLA >ORGLA04G0163200.1 pep chromosome:AGI1.1:4:18477871:18479003:-1 gene:ORGLA04G0163200 transcript:ORGLA04G0163200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVIALVPPTWTTSLILSTPSQTWQRSLCLPASRSFTPRQEIPASPPTSTGDQLLRLALVADKAMAAPPPTATEDLAIRSSTRTEAWFGGTAWKPVPVARVFGRIRKALPAMPTVETPTTCQQIEEALMRLELAAAAARTPGNDTLLPQPMSPAPLAASPPRHLEDLASDAAADKILPAPLPEALLPQEMTLMPATPPSLALEPGSLTESASSPCAIAGLFILPPPAIIASPPRSTLPCLRPVVLTRKVKLWPRQHSQVTRRSERLAKQPARPTMERCXRVLFRRLGILHDEEDASVERVLSQYMAMFDRPLPPHAIAALTVIFGLDNDDECAMDAALLPLMGEGITNVADEVEEMLA >ORGLA04G0163100.1 pep chromosome:AGI1.1:4:18464788:18472397:-1 gene:ORGLA04G0163100 transcript:ORGLA04G0163100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22250) TAIR;Acc:AT3G22250] MGAEAIQQQPAVLLVPFPAQGHVTPMLNLARALAAHGVAATVAVPDFIHRRIAGAAAGGGRARDNQAVGGGVELASIPSGIPHLPAGESGGGRHADDQPGFGAIVHAMEHHMPEQLERMLLSTAGRGRVACLVVDVLASWAVPVAERCGVPAAGFWPAMLASYRAVAAIPELLRKGVISESGTPAVSSNQLDDKDGREEEQVVRGLGILPAQLELSTKELPWLVGDSATQRSRFAFWLQTLRRARGFRSVLVNSFPGEAVTGTAAAEDDDGPQRQAACPRVLPVGPLLVLAGCNVERAKCAGDDGCVAATNINNHPQPCSKNPSMWQADSTCIRWLDAQPAASVVYVSFGSWVGPIGHDKIRELALGLEATGRPFLWAIKDDPSWRAGLPAGYAGSVAGRGKLVDWAPQDDVLGHAAVGCYLTHCGWNSTVEAIQHGVRMLCCPVSGDQFINCAYITRVWEVGLKLGSVRRDVVRDCIERIMGGAEGTRLQEKMDALRQRAVTAEARCLAQGNLRSFVNEIKRDHPLLTQIYNIL >ORGLA04G0163000.1 pep chromosome:AGI1.1:4:18462439:18463862:-1 gene:ORGLA04G0163000 transcript:ORGLA04G0163000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF177) [Source:Projected from Arabidopsis thaliana (AT3G19800) TAIR;Acc:AT3G19800] MARACSPALRLQLPPNPPITPQLPSCRTHSAGARCRGFAAAHSQPPAAGRPDEPAAEPSPKQPEIAQTQNLRRSRRRGPGSRQSLVSVGTSCGGGDQWSSDFDLTLRQLHLDDLIEDGQNDDADVLVHLLVQQHTQFGMSIKGRVVTSFSKICDSCSSPYCAKIDEQFNLTVLSSTRKEQSEMPDIGDSDPSVIYVRPGVEVDLDSVIQETIRLTASAKSSCSEACEKSTVVWQYGGNQKKRYSQRWSKLLDLKKTLDKAAN >ORGLA04G0162900.1 pep chromosome:AGI1.1:4:18457976:18460929:1 gene:ORGLA04G0162900 transcript:ORGLA04G0162900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAANNSSSAAYDAAETGGLLRRRNTTAAARGNAGEEEAEAVAPSVEQAFADKPVPSWREQLTVRAFVVGFLLSIMFNIIVMKLSLTTGVIPSLNVSASLLGFFLVRLWTAAIERVGFLKQPFTRQENTVIQTCVVSAYGVAFSGGFGSYLFGMSETIAKQATEANDPMNIKNPHLGWIIGFMFLVSFVGLFALVPMRKVMIVDYKLTYPSGTATAYLINGFHTPEGADLAKKQVRTLGKYFSISFLWAFFQWFYTAGDNCGFSSFPTFGLEAFKNRFYFDFSPTYVGVGMICPYIVNVSLLIGGIISWGIMWPLISKKKGSWYPETLPESSLLGLQAYKVFITIAVILGDGLYNFVKVFGYTIKGFIVMYKNKNSNTLPISDNGTPANATEEESFDDKRRNELFLKDQIPKTVAIGGYVVLAVITSGCLPLIIPQLKWYYILIAYIFAPIMAFCNAYGSGLTDWSLATTYGKLAIFVFGAWAGASHGGVLVGLAACGVMMNIVGTASDLMQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKSFDIGASDGAYPAPYTIMYRNMAILGVNGLSSLPKYCLTLCYIAFVAAFIINLIKDLVPERVAKYIPIPMAAAIPFYLGPYFAIDMFMGSVILYFWEWRNKDEAQSFGPAVASGLMCGDGLWALPQAVLSLVNVNPPLCMKFLSRAANAKVDTFLGN >ORGLA04G0162800.1 pep chromosome:AGI1.1:4:18453788:18456116:1 gene:ORGLA04G0162800 transcript:ORGLA04G0162800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFGTYLFGMSETIAKQATEANNAQNVKNPHIGWMIGFLFLVSFIGLLALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKELGKFFLFSFVWGFFQWFYTAGDGCGFQSFPTLGLQAYKNRFYFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIRNKKGSWYAASLSETSLHGLQGYRVFISIALILGDGLYNFVKVLIRTTAGFVVMMKKNSTLPVSNNGSPVVATEAVSFDDERRTELFLKDQIPKTVAFGGYVAVAAVSIGTLPQIFPQLKWYYILVAYVFAPVLAFCNAYGAGLTDWSLASTYGKLAIFIFGAWAGASNGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKAFADIGVSGTEYPAPYAIVYRNMAILGVDGFSSLPKHCLTLCYIFFAAAIAINLARDLAPSKVARFIPLPMAMAIPFYIGSYFAIDMFIGTVILFVWEMVNKAKAEAFAPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSRSVNAQVDGFLGN >ORGLA04G0162700.1 pep chromosome:AGI1.1:4:18444896:18445288:1 gene:ORGLA04G0162700 transcript:ORGLA04G0162700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XLQKNRSTCTQIRRGTVRKESERNENDTCLVANASEVPNGIGDAGAWSRKMQVRRTQAIQFIDKHYHHMRRRSDWGTKGEEVAPHTDAWAFDSTVSTSGENSRRQFSCMGGGAEPRERKRSGGGGGARRG >ORGLA04G0162600.1 pep chromosome:AGI1.1:4:18439105:18443709:-1 gene:ORGLA04G0162600 transcript:ORGLA04G0162600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPTPSEAHGGATPTAADVEMVEASELRRRGKPSGDRVPGPSRDGAAAAAEEAAAPSVERVFADRPVPSWREQLTVRAFVVSFFLVIMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTAAIERVGLLRQPFTRQENTVIQTCVVAGYDIAFSGGFGNYILAMSERIAGLGTEANNAQNIKNPHLGWIIGFLFLVSFIGLFGLVPLRKVMIIDYKLTYPSGTATAFLINGFHTPHGAKIAAKQVKKLGIFFILSFFWGFFQWFYTATDDCGFHKFPSLGLQAFQHKFFFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIAKKRGDWFSAVLPDGSLHGMQGYRVFIAIALILGDGLYNFLKMIILTAFSLRSQIKKKNASTLPVSDDSMVTTTAAVSYDEERRNELFVKDQIPWYVAYGGYAVVAAISIGTVPQIIPQLKWYQILVAYIVAPILAFCNAYGTGLTDWSLVTTYGKLAIFAFGAWTGASHGGVLAGLAACGVMMNIVSTAADLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKAFDNIGISGSDYPAPNAAVFRSIAILGVDGFSSLPKNCLNLCYAFFAAAIVVNLIRDLVPKKVSRFIPIPMAMAIPFYIGSYFAIDMFIGTVILFVWQRVDRAKADTYGPAVASGMICGDGIWVLPQSVLALAKVKPPICMKFLSRRTNDKVDAFLTTLGK >ORGLA04G0162500.1 pep chromosome:AGI1.1:4:18435106:18437401:1 gene:ORGLA04G0162500 transcript:ORGLA04G0162500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASPSLSSLAAASSSSSAASAAAVAISSSSSPSNPSRELSPRLFSPLPPKPHSLSCSRPQAPRATTGDGSGAAGDRGSGSGGNSGKGGGGGSGSGGGDDDDDYEEAEFGPLLGFDEVLRLAAARGVSLPADMMEAAKDAGIREVLLLRYFDLQAGPWPLAAMIRAFSMLRNRMLADPSFLFKVGTEVVIDSCCATFAEVQKRGEDFWAEFELYAADLLVGVVVDIALVGLLAPYVRFGKASASTGPFGRFNRMAGSLPSSVFEAERPGCRFTVQQRIGTFFYKGVLYGSVGFVCGIIGQGIANMIMTAKRSVKKSDEDIPVPPLIKSAALWGVFLAVSSNTRYQIINGLERVVETSPIAKRVPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVQ >ORGLA04G0162400.1 pep chromosome:AGI1.1:4:18430496:18431279:1 gene:ORGLA04G0162400 transcript:ORGLA04G0162400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRSRGVERGGAPHVLAVDDSSVDRAVISGILRSSQFRVTAVDSGKRALELLGSEPNVSMIITDYWMPEMTGYELLKKVKESSRLKEIPVVIMSSENVSTRINRCLEEGAEDFLLKPVQPSDVSRLCSRVLR >ORGLA04G0162300.1 pep chromosome:AGI1.1:4:18413614:18415089:-1 gene:ORGLA04G0162300 transcript:ORGLA04G0162300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PN54] METATSKPHFVLVPWIGSISHILPMTDIGCLLASHGAPVTIITTPVNSPLVQSRVDRATPHGAGITVTTIPFPAAEAGLPEGCERLDLIPSPAMVPGFFCASRGFGEAVARHCRRQDARPRRRPSCIIAGMCHTWALGVARELGVPCYVFHGFGAFALLCIEYLFKQRRHEALPSADELVDIPVLPPFEFKVLGRQLPPHFVPSTSMGSGWMQELREFDMALDGVVVNSFEELEHGSAALLAASAGKKVLAVGPVSLSHQPILDPRAASDDARRCMAWLDAKEARSVVYVSFGSAGRMPAAQLMQLGMALVSCPWPTLWVINGADTLPGDVHDWLSENTDADGVAHSKCLVVRGWAPQVAILDHPAVGGFMTHCGWGSTLESVAAGMPMVTWPFFAEQFINERLIVDVLGIGVSVGVTRPTENVLTAGKLGGDEAKVEIGAEQVKKALARMMDEGEDMRRKAQELKEKARAALEEGGSSYMNLEKLIHSSV >ORGLA04G0162200.1 pep chromosome:AGI1.1:4:18406904:18408409:-1 gene:ORGLA04G0162200 transcript:ORGLA04G0162200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PN53] MDCHRNESQRELEMGTKPHFVVIPWLATSHMIPIVDIACLLAAHGAAVTVITTPANAQLVQSRVDRAGDQGASRITVTTIPFPAAEAGLPEGCERVDHVPSPDMVPSFFDAAMQFGDAVAQHCRRLTGPRRPSCLIAGISHTWAHVLARELGAPCFIFHGFCAFSLLCCEYLHAHRPHEAVSSPDELFDVPALPPFECRLTRRQLPLQFLPSCPVEYRMREFREFELAADGIVVNSFEELERDSAARLAAATGKKVFAVGPVSLCCSPPLDDPRAASDDDAKRCMAWLDAKKARSVLYVSFGSAGRMAPAQLMQLGVALVSCPWPVLWVIKGAGSLPGDVKEWLRENTDADGVADSQCLAVRGWASQVAILSHRAVGGFVTHCGWGSTLESVAAGVPMAAWPFTAEQFVNEKLIVDVLGIGVSIGVTKPTGGMLTAGGGGEETAEVGTEQVKRALNSLMDGGVEGEERAKKVNELKAKAYAALEKEGSSYMNLEKLILSAV >ORGLA04G0162100.1 pep chromosome:AGI1.1:4:18399715:18404320:1 gene:ORGLA04G0162100 transcript:ORGLA04G0162100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKAMYLYAAVLAVLLCSSVNFIQSPTDVLGPVALLEPTPSSARDFGAVVSDAPFAVMRPESPDDIALLLGALSSTAPSPRATVAAVGAGHSLHGQAQARDGIVVETRALPRDVHVVSARAHGGDDDATVRAYADVGAGALWVEVLEECLKLGLAPPSWTDYLYLTVGGTLSNGGISGQTFKHGPQISNVLQLEVVTGKGEVVTCSPTEIPELFFAVLGGLGQFGIITRARIPLQLAPPKVRWVRAFYDSFETFTGDQELLVSMPEQVDYVEGFMVLNEQSLHSSSVAFPAQLNFSPDFGSKGRKKVYYCIEFAVHDFQQDGSRADHVVELVSAKLSYLRPHVYSVEVSYFDFLNRVRMEEESLRSRGLWDVPHPWLNVFVPKHGITQFKGLLMDTVSADDFEGPILVYPLLTDKWDGNTSAVVPAAPDGVMYIFGVLRSTDPARCGRACVDSIMARHRRVADEACRDGGGGGRGIGAKQYLARQPSPARWRDHFGAGWGRFAARKARFDPLHVLGPGQGIFPRTDSAGSM >ORGLA04G0162000.1 pep chromosome:AGI1.1:4:18381121:18385628:-1 gene:ORGLA04G0162000 transcript:ORGLA04G0162000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHDCPHLAGGMKWGRRKMRTGRKMMKRHLCQLEGTTYVRPKNRDDKKKSRKHISRENDAVRNGDLERAKARVTEGANLDTLIQKLPGCSSRDLIDQLTVEFCYLNSKANRKKLAWALFNVPRTSLELLPYYSRLVATLSTYMKDLPSITLSMLEDEFNFLINKKPSSSDCSTAGLSHYHEDFAVAVVDEVLEEIRVGLELNDYSMQQQRLAHMQFFGELYNYEHIGSSIIFQTLYLIIVFGHRTPEPLGCKFNISGEELDLFAHLGSNMTRYSSMEELSVALIELEANGYVASAEKCGNEWHSGSKEQTKQSDYVSFDANHKSSRDRIDENGNDNEELAVRAIQMEASIRMDMKTLIFQAKGDPMEDLRRMTMAMITCLSKDLRATELENGGENASVSINDGDGGKVCIKVLVKKGHKQQIKEMFIPGDCSLVQSTKQQEAAELEEKQSIKN >ORGLA04G0161900.1 pep chromosome:AGI1.1:4:18370228:18374148:-1 gene:ORGLA04G0161900 transcript:ORGLA04G0161900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPSLDFGEFPQPFCSQQVVSFQPSVTTSGSGGMPVYLDCSSGMDSNTVMLSTTPSVVVSTTSSNTVADPGQNLKYGGPLAADWSRLELDVLKDGLQKYGNEQGIMKYIKIAASLPSKTVRDVAMKCQWLGKRENSRRRKSEDHHTGRKMKERKPQNNIELFHRTRRNINGLLQTMNQMPGIMSKMPPLPVSVNENLASFVLPGLTVPQFLGGSQLKEEPRGW >ORGLA04G0161800.1 pep chromosome:AGI1.1:4:18369003:18369649:1 gene:ORGLA04G0161800 transcript:ORGLA04G0161800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like 3 [Source:Projected from Arabidopsis thaliana (AT2G01918) TAIR;Acc:AT2G01918] MALQLAAQALSAILLSGAQPSSRRATPPGNGQRSRRPPATGRRRLAASLLASQLLLLPAAATSVAGAFEFDLRITVPEQSGEEAEAVVKLHARNLVRVKGLIDARSWRELQAALRSSAANLKQDLYAIIQASPASRRPELRRLYSNLFNSVTSLDYAARDKDELRVQEYYSNMITSLDEIFSKVM >ORGLA04G0161700.1 pep chromosome:AGI1.1:4:18366522:18367508:1 gene:ORGLA04G0161700 transcript:ORGLA04G0161700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGIELKGCICRINNCAVELFSMEEDLVIDDEDSWDLLARDLRLKVTFLYIDLGRVICSCEIDEHKKMLTGLANKFFYFMDELANAVSSRSIPLMQVCYSDTTLLLREVLSALVPSQ >ORGLA04G0161600.1 pep chromosome:AGI1.1:4:18358262:18362265:1 gene:ORGLA04G0161600 transcript:ORGLA04G0161600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPLRQKLPPRRRRRRRPQRRRRGRVRRGRGRVWWILGMIWRALFAGRGDDYERWLQYLSKEEAAVHARLRRSPAVASATSSSSPSSARQSFCLVSQEVHCSFITHSSVKIFKHGLGDTKLEQKDQKLLERLREERKAKIDELKERTNYYLTQKLIQKYDLDPAAKAAAASVLATKLGADSGLKVSEAVGDHLEAMEPSRVVGHYQSSGLARKEDFPHVTYCCPHCHALNMSNQTIGRWSGSNSGQLTSSAQVSGTNPVADNELGNQTEGQEIYVEENSGEGQGIYVEENSG >ORGLA04G0161500.1 pep chromosome:AGI1.1:4:18343774:18347056:-1 gene:ORGLA04G0161500 transcript:ORGLA04G0161500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFADIAIDPPLADSYRALALLRRDGDGGIAPPAVQMVGSGGAVLERDLPMVDLERLTRGGAGERKACAGAMARAASEWGFFQLTNHGVSRELMEEMRREQARLFRLPFETKEKAGLLNGSYRWGNPTATSLRHLSWSEAFHVPLASISGADCDFGDLTSLRGVMQEVAEAMSRVANTVAAALAEELTGRGGGGASAAPWFPAGCDETTCFLRLNRYPTCPFAADTFGLVPHTDSDFLTVLCQDQVGGLHLMKDSRWVAVRPRPDALVVNIGDLFQAWSNNRYKSVEHKVVANAKTDRLSVAYFLCPSYDSLVGTCGEPSPYRAFTFGEYRKKVQEDVRTTGKKIGLPNFLKHSSVQ >ORGLA04G0161400.1 pep chromosome:AGI1.1:4:18335943:18336303:1 gene:ORGLA04G0161400 transcript:ORGLA04G0161400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISRRIAPLLFLMLLILVASEMGTTRVAEARHCVSQSHRFVGACMRKSNCEHVCMTEGFPWGECRFHGIERKCFCKKRC >ORGLA04G0161300.1 pep chromosome:AGI1.1:4:18333965:18334453:-1 gene:ORGLA04G0161300 transcript:ORGLA04G0161300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGSCLAFVMALALIGTNVSYAARLLADATESATPTASPAAVPGSIPAVPKLPVPTAMPPMPAVPAATVPQVTMPPMPAVPAVTLPPMPAVPAVTVSTVTVPPMPAVPKVTLPPVPAVVVPKVTMPPIPAGIPKVALPPMPAIPAANVPVPFLAPPPSA >ORGLA04G0161200.1 pep chromosome:AGI1.1:4:18330320:18333645:1 gene:ORGLA04G0161200 transcript:ORGLA04G0161200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-leucine-resistant (ILR1)-like 3 [Source:Projected from Arabidopsis thaliana (AT5G54140) TAIR;Acc:AT5G54140] MAAPLFLLLLLLLVSSASAGYEEEALLRRAEEERDWMVGVRRRIHAHPELAFREHHTSALVRDELERLGLTARAVAGTGVVADVGSGLPPVVALRADMDALPVQELVEWEHKSKVDGVMHACGHDVHTAMLLGAAKLLSERKEQIKGTVRLLFQPAEEGGAGASYMIKDGVLDGVEAIFGMHVDYRMPTGVIAAHAGPTQAAVCFYEAKIEGKTGKAETPHLNVDPIVAASFVILSLQQLISREDDPLHSQVLSVTYVKGGNTIDATPPVIEFGGTLRSLTTEGLYRLQKRVKEVVEGQAAVHRCKGVVQIKRDDYPMYPAVFNDEKLHHHVETVGRRLLGPDKVKPGEKIMAGEDFAFYQQLVPGVMFGIGIRNEEVGSVHTVHNPKFFVDEDVIPIGAALHTALAEMYLTERSTEGEDGSQHSH >ORGLA04G0161100.1 pep chromosome:AGI1.1:4:18326807:18327280:1 gene:ORGLA04G0161100 transcript:ORGLA04G0161100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLPPKQQAPPLADLAVAIHRAAEATAALSAPSSSSQAAAAAVVALRDAHATIGGFLYRLDVSVPASSSSSDDQPMADGCEEEGEGEQQMVEEVEEGLRECALQGSKRRKRPVPPSWPLGRRSSGGCVVPEAAPAPLDVEGRRRAAMDLLLQFHG >ORGLA04G0161000.1 pep chromosome:AGI1.1:4:18323502:18326248:1 gene:ORGLA04G0161000 transcript:ORGLA04G0161000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFIGLESRLRRSVKVADSVMMGLVNAAMEDAYKKSLWKDGDLERLVQKLRFAELAIMQLEWSLRFVRGEMESGSGGGGDGDCHEQLLDDLLETRDRIQARLDEAELAVADKDRDYMLRKHEELASSRREAAVPAVSGRASALRREADDDEERRVFGELKGSVDRQMARMRFRLEDARSTLMALMETVSGEASPMARLQEAGHEGDGVKCLSGFYSMAQLLMEFQEMVLDAGAVSDSVTSSFEFMERSVSSLKEAMDEQQWLANVEKEMYAATINGFLREISAGFPVLNDCSSPGERQPPTENIWEETEHLKEKTEHNQKSLKGDQCGISGSEYLTTTRPAATGQCYSEEPSICHEEVERLIEEKIDSEIRCELQHVLHSEIFRDLVRKLAVLDVQKLTEENGELNIRVELLCEIYTTVFKDLVSKLSSESAEHFIRTFIKDEVEAVIFARTLKEFKSVTEMVRSEKHIKEENNCSFPGEIEKGLEQNIDFNVLRFPDENACTNNLGRFGMIGNIEQLYTIKMQTSGASEDKCTDYYQVPLEKEILSSPGNCDRQDSEENYLLAEISTGKDGVSDAWNGNVEQSLQQQDHRKLHVGDTALNLSIPPEEANTENAEMTLILNEKLDVIHSTGSNSMLAEQDHFDLQMALVSFTGFQEVFMNFEVVTCEKLETAMLRLNYLKKQQGNLIEQMRSLKMSEQSYQIAFIRRYHDLQTAEAEVDLLGDEVELLLGLLRKTYKALDRYSPVLEHYLGVREMLKLLGKELALRHQV >ORGLA04G0160900.1 pep chromosome:AGI1.1:4:18319260:18319790:1 gene:ORGLA04G0160900 transcript:ORGLA04G0160900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSLRIRDSFKHAYLDKLEFNLARMKRARGEDQDQDQQQPPGDGKAPLLEGLRAPRSSFVAGALELAMDAAMLLTCCCCCFCCGVFLNALKYFHLYFYLNQTEQNHVIDYDCDSS >ORGLA04G0160800.1 pep chromosome:AGI1.1:4:18314617:18316680:1 gene:ORGLA04G0160800 transcript:ORGLA04G0160800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAAAAEGGEYTAKDYSDPPPAPLIDAEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQSDPGANAADAACSGVGILGIAWAFGGMIFILVYCTAGVSGGHINPAVTFGLFLARKVSLVRAVLYIVAQSLGAICGVGLVKGFQSAFYVRYGGGANELSDGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNQHKAWHDHWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSSSSFRG >ORGLA04G0160700.1 pep chromosome:AGI1.1:4:18313139:18314287:1 gene:ORGLA04G0160700 transcript:ORGLA04G0160700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VARQRRRWESDSSPPSSFASSSPPASSSTIAPQLVTRQRISAGGGWRQGGAGGGRRSGCAQAATVAWRHTAGAWLATRRRGRRPARRRRDCAWRRPTAWRWLTTWRRTASKPDGYPSGMPVPDRHEHGNQTADQAMTNDSRCQTNGNNTKTFRLIFVVTNDSPEQIFKRTEGLKPTKQGVGSELVKNSREPGDRGTFIRELNPNVEHISHPHNIAGLNLASDY >ORGLA04G0160600.1 pep chromosome:AGI1.1:4:18306019:18307413:1 gene:ORGLA04G0160600 transcript:ORGLA04G0160600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATEEGRPDGEEDSPRAEAFLEILGRVQPGDAEAELSSCGIGPTAEVAEQVLRSRVCYSRPKSAVRFFVWSGRSVKHTGYAWNLLVDILGKADMEEPMWDAVRTMNKEGGGLVTVATFASIFSSYCASGNLRKAVEAFDVMGKYGVEPDAVALNSLLSAMCRGEGRAQAAQDLFERTKATVAPDADTFGILLEAWEKEGNAQRAKSTFGEMIVRVGWDAGNMPAYDAFLSTLVRGDQFGEALKFLQVMRSKGCLPGLKFFARAIDLVVRKRDYANSLAIWQMMISDAGLVPNLPMYNAMIDLCCSVGDTDYALRMLDEMPFNGVFADFITYNAILEGLIKQRKAREAEAFLAEMSKNEQLPSPTNCAAAISMFSKEFNPSAAIDVWHCIVEHQITPADESARELIVGLLDFGRFAEVKKYTDEMLDMGIELPQSTIDNMKRTFDKVGKRHTYDHIARRPKRC >ORGLA04G0160500.1 pep chromosome:AGI1.1:4:18292207:18292731:-1 gene:ORGLA04G0160500 transcript:ORGLA04G0160500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSARSAAAKHAYRMFAPSRGAAARCPGSPGADEFDESDVWGSYGAAGVESSPAELGARGRAIPSARAGRKAPLDRAAGSLPVNIPDWQKILGVEYRDHQAAAAEWELQGDGDDDYEYGKVAGVGGVVIPPHELAWRGRAASLSVHEGIGRTLKGRDLSRVRDAVWKKTGFED >ORGLA04G0160400.1 pep chromosome:AGI1.1:4:18281516:18285629:-1 gene:ORGLA04G0160400 transcript:ORGLA04G0160400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal RNA processing 4 [Source:Projected from Arabidopsis thaliana (AT1G03360) TAIR;Acc:AT1G03360] MRDLQLSLNQTQRVRLEAALHELQTVAPAAAVTVADTIPVNDEDNILKGHGTSDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRTIFEENDVICAEVRGFQHDGSLHLQARSQKYGKLERGQLLVVPAYLVKRRKQHFHHLEQYDVDLILGCNGFIWVGEHVVVGENANMMENKLNLSAEVENFTPLVTRKHICRLANAVRVLSALGFTLTVELIIETAEASVSSNIEINNMLGAEFYVQTAEREVKRRADLLRKKSGAR >ORGLA04G0160300.1 pep chromosome:AGI1.1:4:18268622:18278043:-1 gene:ORGLA04G0160300 transcript:ORGLA04G0160300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20660) TAIR;Acc:AT5G20660] MVFLHGSWSVYRFQFANLPLPLDAEQAGKRGFSEASALEHVKYLAALGPHPVGSDSIDLAVQYVYAVADKIKKTAHWDVDVQLELFHTDIGANRMAGGLFNGKTMLYSNLKHVILRVVPKYLPEAEDNLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHRWRNSVRFAIDLEAMGISGKSTLFQGTDHWALESFASVAKYPSAQIASQDVFQSGAIKSATDFQIYQEVGGLPGLDFAYTDRTSVYHTKNDKMKHLKPGSLQHIGENMLAFLLHAAASPKFMKDAIQAKQEGAEKTKAVFFDILGKYMVVYPQRLATMFHNSIIFQSLLIWGTSLLMGGRAGLVSFGISCLGIVLMLISSVTLSVVVAIALPHICSFPVTFVAHPWLVVGLFGSPALLGAFIGQHIGFIILKRHLKHVYSITKPGLAHNMLEHIVNLEAERWIFKSGFVQWLIVLILGTYLKVGSSYIALIWLVSPAFAYGLMEATLSPARSPKQLKVITLVLALAAPVVSSAGLVIRMVDVIIGSIVRIDRNPGGLPDWLGNVVVSVAIAIVICFTFVYLLSYVHISGAKRTLGFLLCIFFGLALALVSSGILPAFTEDIARSVNVVHVVDTTTVNSGNTEPSSYVTLFSNTPGKLTKELVDLRDEEFSCGRNRAIDFVTFTMKYGCLSYEGTNTGWSKSEVPVLSLKSDSVTNDARQTIISVDTKSSTRWSLAINKQEIDDFTVHVDSENLVPLGNKSEIDGWHTIQFAGGKDSPTKFQLTLFWASNSKDAFPKQVESEDHSFLLKLRTDVNRVTPKVGRVLEKLPGWCAPFGKSTSPYTLAFLTALPVNI >ORGLA04G0160200.1 pep chromosome:AGI1.1:4:18257529:18258710:1 gene:ORGLA04G0160200 transcript:ORGLA04G0160200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1PN33] MLPFMELAGPTEGDGGGSVDSQLWAACAGSMSSVPPVGAAVYYFPQGHAEQASAAVDLSSARVPPLVPCRVVAVRFMADAESDEVFAKIRLVPLRPGDAVVDVGEAAAAEARREEENSSRPRPTSFAKTLTQSDANNGGGFSVPRFCAETIFPELDYSSEPPVQSVCAKDVHGVEWTFRHIYRGTPRRHLLTTGWSPFVNKKQLTAGDSIVFMRDEGGNIHVGLRRAKRGFCSIGGDDESLSSMPGWDQYRGLMRRNATATATGGRTPPKGKVPPENVLTAATRATTGQPFEVLYYPRASTPEFCVRAAAVRTAMAVQWCPGMRFKMAFETEDSSRISWFMGTVAGVQASDPVRWPQSPWRLLQVHIHGTNMRQPQLDHDRVVEFLINWPPRR >ORGLA04G0160100.1 pep chromosome:AGI1.1:4:18246575:18248020:1 gene:ORGLA04G0160100 transcript:ORGLA04G0160100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIVHESKTRFIVDEKNHGFSKKVQKLQEQDILDDVVTLPPSGTSLMKLYMDCPFYGSVGDKLIALCDYVRRTKGRRSEETRESDMAPH >ORGLA04G0160000.1 pep chromosome:AGI1.1:4:18237532:18241208:1 gene:ORGLA04G0160000 transcript:ORGLA04G0160000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:I1PN31] SVQEDMRLLREQPGKKKSYQDAAVELGKELVARNIDLVYGGGSVGLMGLVSQAVYNGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEEFISPSARHIIVLAPTPKELLEKLEAYSPRHDKVVPKMQWEMEKMSYCKSCEIPGLKEGNKATIQAQRGSML >ORGLA04G0159900.1 pep chromosome:AGI1.1:4:18222816:18224724:-1 gene:ORGLA04G0159900 transcript:ORGLA04G0159900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWIGRKIHIYNVTVGLYMLDWWERYLFNILMLCLLWYILRYVLGFFQSNLKTILQGGNYLVQGRKLQ >ORGLA04G0159800.1 pep chromosome:AGI1.1:4:18221639:18221806:-1 gene:ORGLA04G0159800 transcript:ORGLA04G0159800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELVISIPAILLVLVIALGCYLLGRNRGRAEAASPQQFAPPAPPQQFAPPTPPK >ORGLA04G0159700.1 pep chromosome:AGI1.1:4:18207429:18209665:-1 gene:ORGLA04G0159700 transcript:ORGLA04G0159700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:I1PN28] MECENGHVAAATNGSSLCVAKPRADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVTIEGASLTIAQVAAVASAGAARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGNGDDGHVLPAAATRAAMLVRINTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVAVTPDGRKVDAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANVLGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDVLKLMSSTFLIALCQAIDLRHLEENVRSAVKGCVTTVARKTLSTSATGDLHKARFCEKDLLQAIDREAVFAYADDPCSANYPLMQKMRAVLIEHALANGEAERNVDTSVFAKVATFEEELRAALPREVEAARAAVENGTAAKANRITECRSYPLYQFVREELGTEYLTGEKTRSPGEEVNKVFVAMNQGKHIDALLECLKEWNGEPLPIC >ORGLA04G0159600.1 pep chromosome:AGI1.1:4:18198547:18200188:-1 gene:ORGLA04G0159600 transcript:ORGLA04G0159600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPPPPYCRRSAASLPELCRSSAGLSLRAAAEAGLGPSSTSLPRPTSRLPDHHLLQHHIVALDFCLIAVTETFINPRWPWYHDAAVTADTIPVMSCLMLNHEGFSKSWKLSNSTRQSSCRNGEKYGEAAVKLLAATLRDQWALAYKRMHINNNWWRQKRRLVKSASCNYVTENMLERSIPPSLLTKGM >ORGLA04G0159500.1 pep chromosome:AGI1.1:4:18192278:18195110:-1 gene:ORGLA04G0159500 transcript:ORGLA04G0159500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:I1PN26] MASQIADAAGFVASDPLSWGKAALEMTGSHLDEVKRMVAQSREAVVKIEGSSLRVGQVAAVSAAKDASGVVVELDEEARPRVKASSEWILNCIAHGGDIYGVTTGFGGTSHRRTKDGQALQVELLRHLNAGIFGNGSDGNSLPSEVSRAAMLVRINTLLQGYSGIRFEILEAITKLINTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTVDGKKVDAAEAFKIAGIQGGFFRLEPKEGLAIVNGTSVGSALAAMVLFDANVLAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILAGSAFMPHAQKVNEVDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNTRLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLVSARKTAEAVDILKLMSSTYLVALCQAVDLRHLEENLKSAVKNCVTTVAKKVLTTGPAGGLHSARFSEKALLTAIDREAVYSYADDPCSANYPLMTKIRAVLVEHALANGPAEKDDGSSVFSKITAFEEELREALPREMEAARVAFETGTAPITNRIKESRSFPLYRFVREELGCVYLTGEKLKSPGEECNKVFLAISERKLIDPMLECLKEWNGEPLPIC >ORGLA04G0159400.1 pep chromosome:AGI1.1:4:18183742:18187332:-1 gene:ORGLA04G0159400 transcript:ORGLA04G0159400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSYEGVLLGMGNPLLDISAVVDEAFLAKYDIKPGNAILAEEKHLPMHNELASKVNVEYIAGGSTQNSIRVAQWMLQIPGATSYMGCIGKDKFGEEMKKDAQAAGVNAHYYEDDNAPTGTCAVCIVGGERSLVANLSAANCYRSEHLKRPENWALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAATNKVFMMNLSAPFICEFFRDAQEKALPYADYIFGNETEARTFAKVRGWETENTEEIALKISQLPKASGAHKRITVITQGCDPVVVADDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIDECVRAACYAANVIIQRSGCTYPEKPDFN >ORGLA04G0159300.1 pep chromosome:AGI1.1:4:18182068:18182463:1 gene:ORGLA04G0159300 transcript:ORGLA04G0159300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGSKRRFLRAFLHSWKKLGAAAAAAAPAAGEWAPLDGDGEGAIPSDVPRGHTVVYVGEELRRYVVRVSSLDHPLFRELLDRAREEYQFAAGAGADARLCIPCDEDIFLGVLCHVDSKQEHWRLISFCR >ORGLA04G0159200.1 pep chromosome:AGI1.1:4:18167334:18167888:1 gene:ORGLA04G0159200 transcript:ORGLA04G0159200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSRHFGPLHRHDRCACRPAAAPHAVLAASCRRSTTPRRCTAVPSAIRRHHHRQAGEGKEQEPEKEYEIKRGKAGRQSVLVAVRPRLAPQEPRRLPRRPTQGRPSPATAPSAAHRHRRLHRRPAAPRDARLPPPHGRATPFRTRSAPAPSSRVTSRPPAADQRCVPLQWAACRRPPPAPARR >ORGLA04G0159100.1 pep chromosome:AGI1.1:4:18161962:18164468:-1 gene:ORGLA04G0159100 transcript:ORGLA04G0159100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCQTAIPNGMYYYEVGFDSRFNTSQTWRSTGRCSYATLMEATEFNFSTAYINSTKLIDTYAGGAPMVLDWALRDVESCVTAKRNETGNYACLSRNSVCVNSINGEGYFCNCSHGYEGNPYLPDPDGCHGVVVGFFVLMIIAFCGHMIIQRRKLNKIKQEYFRQHGGLLLFESMKSEKGLAFTVFTEVELIHATNNFDKSRIIGQGGHGTVYKGIIKDNMTVAIKRCALIDERQKKEFGQEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFELIHGKNRGLQISFNTLLRIAHEAAEGLHFLHSYASPPILHGDVKTANILLDENYMAKVTDFGASILAPSDKEQFVTIVQGTCGYLDPEYMQTCQLTDKSDVYSFGVIILEILTGQMPLKLEGPAIQKSLSSVFLSAMKENNLDSVLLSHIKGQETMELIRGLAELAKQCLDMCGTNRPSMKEITDELGRLRKLSLHPWVQVDTEMETESLLSGPSTIYSGLEIEASSTGYLGDERENLPMNPGSTYYAR >ORGLA04G0159000.1 pep chromosome:AGI1.1:4:18156923:18157936:1 gene:ORGLA04G0159000 transcript:ORGLA04G0159000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEELKRDFEIGEEIGRGRFGVVHRCASRSTGEAYAVKSVDRSRLSDDLDRSLAALEPKLARLAAAGNPGVVQVHAVYEDDDWTHTVMDLCTGPDLLDWVRLRCGQPVPEPDAAAVVAQIAEALALCHRRGVAHRDVKPDNVLLDATGDGPPRVRLADFGSAAWVGDGISAEGLVGTPHYVAPEVVAGGEYGEKADVWSAGVVMYVLLTGGALPFGGETASDVFAAVLRGNLRFPPRLFSGVSPAAKDLMRRMMCRDVYRRFSAEQVLRHPWIVSGGGARDVQPT >ORGLA04G0158900.1 pep chromosome:AGI1.1:4:18149544:18154838:1 gene:ORGLA04G0158900 transcript:ORGLA04G0158900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PN20] MRSPTPARLALALVAALAAAALLGGVAAAAATEEAYVTLLYGDEFVLGVRVLGKSIRDTGTRRDLVVLVSDGVSDYSRKLLQADGWIVSHITLLANPNQVRPKRFWGVYTKLKIFNMTSYRKVVYLDADTVVVKSIEDLFKCGKFCGNLKHSERMNSGVMVVEPSETVFKDMMRQIDTLPSYTGGDQGFLNSYYADFANSHVYEPDKPYTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLVKPVGVWQDVRQTLEESLPGTGGGRSPHDQLVVKVLFILPVLLLSFGYYQSCFQTNKELLNIRSLCAFARRDRYKYKSEEAFPSYSVMGVSSSAFANSNQRFSNGMHSKLPSYFGALTVLACFMSAGVSFAFAFAIIPKQIMPWTGLLLMFEWTFVSFFLLFGSYLRFVYRWGSLDANHVGHSRFDSSENHMVTGRHHNMSDCDIDATFYWTGMAIIAIVTVLLPTLLGVTALFAKLGLMVAGGVVLASFMTYASEHLAISAFYKGQRDRNVSRSRSICFLF >ORGLA04G0158800.1 pep chromosome:AGI1.1:4:18145038:18149049:-1 gene:ORGLA04G0158800 transcript:ORGLA04G0158800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT2G31190) TAIR;Acc:AT2G31190] MNILERIRGGGDRAAVGEGPREPEPWVEISESVSRLCSFDAGRVSCNVKVIQDSRPIHDKMIDSFLNKFFPSGYPYSVNEGYLTYTKFRALQHFSSAMLHVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHAGKLICSGMGARMDSEPKSWRILADVLYDFGTALEVISPLCPQLFLEVAGFGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVMGIGAGIGLASTVCSTTQGKLIAGPLLSVVHIYGVVQEMRATPVNTLNPQRTAMIVADFIKSGKVSSPAELRYREDLLFPNRLIEEAGSVKIGQPVRRVLSPQRIEQLKATFSKEKFLLSRKDNSAYMVLEQSATGEDALRGWLVAAFASEMERSGVGSGDTVLNVAYERMENVFPMFVAEVKSRGWYTDQFLDGNRSRIAYANPISGSAL >ORGLA04G0158700.1 pep chromosome:AGI1.1:4:18132580:18133991:-1 gene:ORGLA04G0158700 transcript:ORGLA04G0158700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGIKKGPWTPEEDKVLVAHIQRHGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSKEEEDTIIHLHELLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLDAPAQGGHVAASGGKKHKKPKSAKKPAAAAAAPPASPERSASSSVTESSMASSVAEEHGNAGISSASASVCAKEESSFTSASEEFQIDDSFWSETLSMPLDGYDVSMEPGDAFVAPPSADDMDYWLGVFMESGEAQDLPQI >ORGLA04G0158600.1 pep chromosome:AGI1.1:4:18124954:18126683:1 gene:ORGLA04G0158600 transcript:ORGLA04G0158600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRSLACFLFLLCSSFTFEAVNGRMYGGGDVVEEEEDDSRTVADGARGGAGGWPGYLYTRAVGRCTPQFWSSGAEQWPNIIPQEAAVSKVFGSRSIDRYGPRLTVLEATTRTDDNGSSSAFAKLVKQGSAALLNAYARKGFPLDSWEVKALLLEALVSEDAAAAQADRFEQANESCI >ORGLA04G0158500.1 pep chromosome:AGI1.1:4:18122392:18123888:1 gene:ORGLA04G0158500 transcript:ORGLA04G0158500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPASVVVASCLCVGASACVSSGLAFCATFAVSLPFVARELSPEHIDGVFAAVDACLQKGACYARAAVEAETRRLRDPARCHPALAFLYARAEGGARRACALVTDAVDRLETRAAESKWRDMTDASAAALRWLRLIAGAINLAVAVLITMSERRAASGLRRSGAHGIRTTPNSEAMTTSSSKLDATLFVVWITATFTYSTPVFFQCAVTSGMASLAECFACFATMCCFALMQANKVHLWSSRDAAGRNAVMAEVPHAWGLLWSEITLVTYLVDACLLCITLDSRASRPVALAFLAACNLATLKVASQVEAIGSAGVIRRRGHAVAVCAMGIAKVFVVCFVLDFRLGALRFAFLCSVIAFLLNKAAGSLPDVSTPVDASAGDADVAGDVELLPEYVSNSEELSNHATFNHKVEEDSSSPAAGDRENEHDSSNSATIDGGEDDTTTKEYFDGSDSEEQRQEEEEEEDYGGGMDEWNLVEIDPVMPINVNGGANVKFKRW >ORGLA04G0158400.1 pep chromosome:AGI1.1:4:18116185:18118499:-1 gene:ORGLA04G0158400 transcript:ORGLA04G0158400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNVVDLRSDTVTKPSDAMRAAMAAADVDDDVLGADPTAHRFEMEMARITGKEAALFVPSGTMANLISVLVHCDTRGSEVILGDNSHIHIYENGGISTIGGVHPKTVRNNPDGTMDIDKIVAAIRHPDGALYYPTTRLICLENTHANCGGKCLSAEYTDEVGEVAKSHGLKLHIDGARIFNASVALGVPVHRLVKAADSVSVCISKGLGAPVGSVIVGSTAFIEKAKILRKTLGGGMRQVGILCAAAYVAVRDTVGKLADDHRRAKVLADGLKKIKHFRVDTTSVETNMVFFDIVDSRISPDKLCQVLEQRNVLAMPAGSKSMRLVIHYQISDSDVQYALTCVEKAAEEILTGSKKFEHLTNGTTRNSYGH >ORGLA04G0158300.1 pep chromosome:AGI1.1:4:18111927:18114729:1 gene:ORGLA04G0158300 transcript:ORGLA04G0158300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNPHLHKTRRGDDSSYLIPFKELVLTISPPNRIKRFCVVTKQGLNVPTASLSYLSPPLTETDGQGRRDAAGYSNTYCAKNDKDISVGLLMIAPVKGRAAEEGKRKKQLSEELKIVCPTSAGEDLDDVAAVLLLRAGEVPGKRRDIGEVRGDITGEALTTCDTLVEEVVRLHLRVLDAKHERGDLALLSSPRSC >ORGLA04G0158200.1 pep chromosome:AGI1.1:4:18091896:18092504:-1 gene:ORGLA04G0158200 transcript:ORGLA04G0158200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPNPDSPPSGGGNGGGGGSSSSNSSPSMGAGAPQSPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHTAACPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQQQQLQGGDSSGLHGHQHHPPPPPPAGAAC >ORGLA04G0158100.1 pep chromosome:AGI1.1:4:18074366:18076668:1 gene:ORGLA04G0158100 transcript:ORGLA04G0158100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIESTLPPGFRFYPSDEELVCHYLYKKVSNERASQGTLVEVDLHAREPWELPDVAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSPATRAVVGMRKTLVFYQGRAPNGVKSGWVMHEFRLDSPHSPPKEDWVLCRVFQKSKGDGEQDNPTSAASPAATFAGSSQAAVPGQAAYSSDDHTGSSMGFAPRQNEILDSSSHQLLNLAMLQCNSVLDHFPQEVNSSPMMGLAGSIGIGNEYGFFYDTGFEETASLGGMMFPQGWS >ORGLA04G0158000.1 pep chromosome:AGI1.1:4:18054591:18056698:1 gene:ORGLA04G0158000 transcript:ORGLA04G0158000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKKATTVEEVRGVEEETKKEEAAASDVSLKELSKKLDDFAKERDWEMYHAPRNLLLAMIAEVGELSELFMWKGEVAKGLPGWKESEKEHLGEELSDVLLYLIRLSDMCGVDLGDAATRKIVKNAVKYPAPSKST >ORGLA04G0157900.1 pep chromosome:AGI1.1:4:18051968:18053670:-1 gene:ORGLA04G0157900 transcript:ORGLA04G0157900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQINFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKNKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKEAAA >ORGLA04G0157800.1 pep chromosome:AGI1.1:4:18045209:18048734:1 gene:ORGLA04G0157800 transcript:ORGLA04G0157800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNLTLLVGSRRWFVKNCFSHGQVTVSYAGVPMGEGRVRGGGFCAEPRSEEEAEVSAVARGRQGGHRAAARQPEEADGGRAAVGGRGVRRRSQAVPQRRRHARLRHALVQGALFSSRRVVGDGRISQPAPSQRAPRRLIGDHGRKPLTLHEGRREAFATPPKSYGRLLGRRRRHRDLPRPARGVDHPAVTLRGLHPSSETGDIRRRGWVLRTRRRRRPRP >ORGLA04G0157700.1 pep chromosome:AGI1.1:4:18036434:18040128:-1 gene:ORGLA04G0157700 transcript:ORGLA04G0157700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVIGGKFKLGKKIGSGSFGELYLAVNIQNSEEVAVKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKLSLKSVLMLADQMIARVEYMHTRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPVEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKKLFRDLFIREGYQLDYVFDWTMLKYPQIRDNKLRPSGKTSGLVGRSAERTERTTGEALARRTGSGSGRNGEPTKHRTLLDSLMSSKATADTDKTRPTSLSRNGSTSRRAVVSSSKPNCGDPSDTNRTSRLFSSSSSRPSAAQRALQSAGAELRSSSLSKTRKSSRDDPTIRSFEMLSLSADRRK >ORGLA04G0157600.1 pep chromosome:AGI1.1:4:18022381:18023760:-1 gene:ORGLA04G0157600 transcript:ORGLA04G0157600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLVAAGHCVGVHDAAIHRVALPEDARAAACRGSFGNWLALVPMSPPPYQPFLLNPFTTARIQLPVWTEGTIIKIVVSSAPDSENCTVAAVVGSEFNNERRLGNVSVCRLRQKKEGSSSPWWCITKTFYLEDIVFFEGKLHAVDGAEQTYVFEDDELEEMRKWPLFHRDRVAPLSIHKRYYLTPCHGKLLMVSRSFGINRVPGGAYHTIGFKVSEVSEHSYGRIIPPPPVAVKKFDGHALFVGDACCRAFAITDEGSKIKEDQIFFSDDESNTSVVLGGGGTFQVVNHEGINCYRPLRLLQSYDLHTDCFRRYRQLRPTGQWQCVRVQRLLHRDALPPPPATDQWGAMLLLWEVMSSLGASRPLCYWSRMPSHVPNISVIPGNVIMSVTVIVYDQSWCFTQSWRSVQEAKQLAASEAVSFLRSRFRSVLDDSPWSGVPHCHSHVSEDEYEDDDEDENT >ORGLA04G0157500.1 pep chromosome:AGI1.1:4:18017305:18021391:-1 gene:ORGLA04G0157500 transcript:ORGLA04G0157500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAISCLQPLCDCLDGTGLLDAAGREVASFLRLKSNWGDLDKARESLGAVERMVRGRVTAELNKLNVCDPQVELWLRRVDELKLGAIDEDYSSLMNYSSICQCTRHAARRSWIGKRIVEALDEVNKLIEEGRRFKKFGFKPSPEIVERLPQTKTFGLETMLVQLHDLLEKADSNIIGIWGQGGIGKTTLLHAFNNDLEKKVHNYQVVIFIEVSNSETLDTLEMQKTISERLNLPWNEAEITVKRARFLVKALSRKRFVLLLDDVRKKFRLEDVGIPTPDTNSQSKLILTSRFQELSTEACAAVESPSPSNVVRDHAIAIAQSCGGLPLALNVIGTAVAGYEEPRDWNSAADAIKENMKFEGVDEMFATLKYSFDRLTPTQQQCFLYCTLFPEYGSISKEHLVDYWLAEGLLLDDREKGNQIIRSLISACLLQTTSSMSSKVKMHHIIRHLGLWLVNMEDRSFVVKAGMALDNAPPAIEWKEATRISIMSNNITELSFSPKCENLTTLLIQNNPKLNKLGWGFFKYMRSLKVLDLSHTAITSIPECDTLVALQHLDLSYTHIMRLPERLWLLKELRHLDLSVTVALEDTLNNCSKLHKLRVLNLFRSHYGIRDVDDLNLDSLRDLLFLGITIYSQDVLKKLNETHPLAKSTHRLNLKYCGDMQSIKISDFNHMKHLEELHVESCYDLNTLVADTELTTSCLQALTLSVLPSLENVLVAPMPHNFRYVRKLSISQCPKLLNITWVRRLELLERLVISNCDEMLTIVEEANSTEEQQYGTQTIKMQGYYSEEQDDHAMAESSRNEWNDDYQSVNGESTNGATRQPDFPKLRSIVLTDVKKLRSICTPRDFPCLETLRVEDCPNLRRIPLCSTHNCGKLKQICGSSDWWKKLQWEDKEAVAHMESKYFIPI >ORGLA04G0157400.1 pep chromosome:AGI1.1:4:18013170:18016265:-1 gene:ORGLA04G0157400 transcript:ORGLA04G0157400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09040) TAIR;Acc:AT3G09040] MRSAARVRLAPKPRANQPPPPPPPPPFRLAPAAVAALGSHPDASSYASLLSSLSRECLASHARHPFDASPPRARHSQTCRALHGRILRGGSPLLGRLGDALVELYCKSGRVGYAWSALGYAGERASGAASSLLSCHARSGSPGDVLGAFRYIRCTAGGRPDQFGLAVVLSACSRVGVLAYGRQVHCDVVKSGFSSSAFCEAALVDMYAKCGDVPNARRVFDGIACPDTICWSSMIACYHRVGCYQEALALFSRMDKMGSAPDQVTLVTIISTLASSGRLDHATALLKKMPTPSTVAWNAVISGHAQSGLEFNVLGLYKDMRSWGLWPTRSTFASMLSAAANMKAFVEGQQMHAAAVMHGLDANVFVGSSLINLYAKCGCPSDAKNVFDLSCEKNIVMWNAMLTGFVQNELPEEAIRMFQYMMRYTLQTDEFTFVSILGACTYLSSFYLGKQVHCVTIKNCMDISLFVANATLDMYSKYGAIGDAKALFSLIPYKDSISWNALTVGLAQNLEEEEAVCMLKRMRLHGITPDDVSFSTAINACSNIRATETGKQIHCLAIKYGICSNHAVGSSLIDLYSKHGDVESSRKIFAQVDASSIVPINALIAGFVQNNNEDEAIQLFQQVLKDGLKPSSVTFSSILSGCSGSLNSAIGKQVHCYTLKSGVLYDDTLLGVSLAGIYLKSKMLEDANKLLTEMPDHKNLFEWTAIISGYAQNGYGDHSLVSFWRMRHCNVRSDEATFASVLKACSDVTAFADGKEIHGLITKSGFGSYETATSALIDMYSKCGDVISSFEAFKELKNKQDIMPWNSMIVGFAKNGYADETLLLFQKMEELQIKPDEVTFLGVLIACTHSGLISEGRHFFGSMRKVYGLTPRLDHYACFIDLLGRGGHLQEAQEAIDQLPFRPDGVVWATYLAACRMHKDEERGKIAARKLVELEPQYSSTYVLLSSLHAATGNWAEAKVTRESMREKGVAKFPGCSWITVGNKTSLFLVQDKYHPDNLRIYEMLGDLTGMMKKDNDIDEYGLLYSAEMLA >ORGLA04G0157300.1 pep chromosome:AGI1.1:4:18009966:18011902:1 gene:ORGLA04G0157300 transcript:ORGLA04G0157300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 5 [Source:Projected from Arabidopsis thaliana (AT4G13670) TAIR;Acc:AT4G13670] MIVATATIPFFPSFHRPRFRPGGLPRRVVVLRCSASSWEEREEARWLREEQRWLREEQRWLREESRWRAERESLLAEIAALRLRLGTVEAGPLPLPSVDAAVASPAPSPAVAAVPPPPPPPAAAPRPPLVVEEEVEVRKEVVVVEQKAAKAKSGGGDGGGRRTLRVGAEGEDVRAMQEALEKLGYYSGEEDMEFSSFSSGTERAVKTWQATVGVSESGIMTSDLLDMLFTGQAGQDVKTKDGINGAAIPSVTEIAEIQQTVVKGNGVSGVGLSENRVFLIGENRWEDPSRLTQKNKPISSATNASTKKCISCRGEGRLMCVECDGTGEPNIEPQFLEWVGEDTKCPYCEGLGSIVCDVCEGKTVATN >ORGLA04G0157200.1 pep chromosome:AGI1.1:4:17994420:18002615:-1 gene:ORGLA04G0157200 transcript:ORGLA04G0157200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSKTRIHASLVSTLLLLLPLASAIHRSDFPASFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHLPGNIKDGSNGDIADDHYHRYEEDVELMNSLGVNAYRFSISWSRILPKGRFGGVNPAGIDFYNKLIDSLLLKGIQPFVTLTHYDIPQELEDRYGAWLNAEIQSDFGHFADVCFGAFGDRIKYWTTFNEPNVAVRHGYMLGTYPPSRCSPPFGHCARGGDSDAEPYVAAHNVILSHATAIEIYKRKYQSKQRGMIGMVLYSTWYEPLRDVPEDRLATERALAFETPWFLDPLVYGDYPPEMRQILGGRLPSFSPEDRRKLRYKLDFIGVNHYTTLYAWDCMFSACPQGQETQHALAAVTGESNGLPIGTPTAMPTFYVVPDGIEKMVKYFMRRYNNLPMFITENGYAQGGDSYTDAEDWIDDEDRIEYLEGYLTKLAKVIRDGADVRGYFAWSVVDNFEWLFGYTLRFGLYYIDYRTQERSPKLSALWYKEFLQNLHENQ >ORGLA04G0157100.1 pep chromosome:AGI1.1:4:17980035:17984030:1 gene:ORGLA04G0157100 transcript:ORGLA04G0157100.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDVDILHNLAVNSYRFSISWARILPRGRLGGVNSAGIAFYNRLINALLQKGIQPFVTLNHFDIPHELETRYGGWLGAAIREEFEYYSDVCFNAFGDRVRFWTTFNEPNLSTRHQYILGEFPPNHCSPPFGNCSSGDSRREPYAAAHNILLSHAAAVHNYKTNYQAKQGGSIGIVIAVKWYEPLTNSTEDVRAARRALAFEVDWFLDPIFFGDYPREMREILSSNLPKFTPEEKKLLQNNKVDFIGINHYTAIYAKDCIYSPCTLDTYEGNALVYAIGRRNGKIIGKPTALHGYFVVPEAMEKVVMYVNDRYRNTTIYITENGYSQHSDTSMEDLINDVERVNYMHDYLKYLSSAIRKGANVGGYFAWSIVDNFEWVYGYTVKFGLYQVDFDTQERIPRMSAKWYRDFLTSSSLTDGLQVRSRRADS >ORGLA04G0157000.1 pep chromosome:AGI1.1:4:17971428:17976389:-1 gene:ORGLA04G0157000 transcript:ORGLA04G0157000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAATRIAVVVVAALAALAPGARGLRRDDFPVGFLFGAATSAYQIEGAYLDDNKGLNNWDVFTHTQAGRISDRRNGDVADDHYHRYTEDVEILHNLGVNSYRFSISWARILPRGRFGGVNSAGIAFYNRLIDALLQKGIQPFVTLNHFDIPQELEIRYGGWLGAGIREEFGYYSDVCFKAFGDRVRFWTTFNEPNLITKFQFMLGAYPPNRCSPPFGCCNSGDSRREPYTAAHNILLSHAAAVHNYKTNYQAKQGGSIGIVVAMKWYEPLTNSTEDVRAARRALAFEVDWFLDPIFFGEYPREMREILSSNLPKFTPEEKKLLQNKVDFIGINQYTAIYAKDCIYSPCALNTYEGNALVYTTGVRNGAKIGKPTAFSTYFVVPESIESAVMYVNGRYKDTTIYITENGYSQHSDTNMEDLINDVERVNYLQGYLKYLSSAVRKGANVGGYFMWSLIDNFEWVFGYTIKFGLYHVDFDTQERIPKMSAKWYRDFLTGSNVTDDTQVRSRRADS >ORGLA04G0156900.1 pep chromosome:AGI1.1:4:17968007:17968563:-1 gene:ORGLA04G0156900 transcript:ORGLA04G0156900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1PN00] MANITMYGDCSKKSIITGSKNIADGVRIWKTATFAVDSDRFTAMRLGIRNTAGEEKQQTLALRVKADKSIFFNCRIEGNQDTLFAQAYRQFYRSCVILVKPSLPGKPTVVTAHGRRDRQQTTGFVVHHSQVVAAAPPAAFARPRAARLRPPAAARGEDR >ORGLA04G0156800.1 pep chromosome:AGI1.1:4:17962686:17966611:-1 gene:ORGLA04G0156800 transcript:ORGLA04G0156800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWLVVLLTVHRLLHLSGVSAVDRSQFPPDFLFGTSSSAYQVEGGYLEGNKGLSNWDVFTHKQGTIEDGSNGDIANDHYHRYMEDIELMHSLGVNSYRFSISWARILPKGRFGDVNPDGVAFYNALIDGLVQKGIQPFVTICHYDIPHELDERYGGWLSPEIQKDFSYFAEVCFKLFGDRIKFWTTFNQPNLSIKFSYMDGFYSPGRCSEPFGKCALGNSSIEPYIAGHNIILSHVNAVSVYRNKYQGKQGGQIGIALSITWYEPFRNTTIDLLAVKRALSFGASWFLDPILLGDYPTEMREVLGQSLPKFTSKQKKRLQSTKLDFIGLNHYTTCYVKDCIFSPCEIDPVNADARVFSLYERDGVPIGKATGAPFFHDVPRGMEEAVTYYKQRYNNTPTYITENGYSQASNSNMTAKDFTNDTGRITYIQGYLTSLASAIRKGADVRGYFVWSLLDDFEWNFGYTLRFGLYHVHYKTLKRTPKLSVDWYRKFLTGSLLRRKFRDESQLHKFNSY >ORGLA04G0156700.1 pep chromosome:AGI1.1:4:17959414:17960717:1 gene:ORGLA04G0156700 transcript:ORGLA04G0156700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPPAAAAASPTCWVSLHAPAPRGRSASFPAAPCSARRFSRFVARSSGGGGGTNPGPKPGDDESKAVLDAFFLGKAFAEALTEKVESVVGEVFSVVGQWQAEQQKQVQEFQEEVIQRAQKAKERAAMEVVDEKSPKTLREPSKTFVAPAPATPTPPPPTPTQEE >ORGLA04G0156600.1 pep chromosome:AGI1.1:4:17955970:17958924:-1 gene:ORGLA04G0156600 transcript:ORGLA04G0156600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGGERMVDELRDVLDDFAFRAKRLAAPLLQPFGRASEAVALDGPEIDWLRRIRAALRAAEERVVTDDFVRLWLRELEDLERMAEDVLEELEFEALRASRPERFKLQLLRSSAGKRKRELSSLFSSSPDRLNRKIGKIMERYNDLARDRDALRLRSSDGERRREPSPLTPTSCLTKCSLHGRERDKKQVIKLLLSDEYNCQGVYSVVPIVGAAGVGKTSLVQHIYNDEALRSKFDMKMWVWVCQEFDVLKLTRKLAEEATESPCGFAEMNQLHRIIAKRLEGKRFLLVLDDVWDESLVRWTSLLVPLKSAAPGSRIVVTTRSAKVARMMAFKIHQLGYLTDTTCWSVCRDAALQDRDPSIIDDGLISIGKSVAAKCKGLPLAANAAGSVLSIAIDRKHWETVEQSDLWANNEVIDHTLPALLVSYNSLQKPLKHCFSYCSLFPKEYVFRKDKLVRLWLAQGFAAADGESDAEDIACRYFDNLVERFFLQQSPSYDHNEQRYVMHDLYRELAEYVAADEYSRIERFTLSNVNGEARHLSLTPSETHSHEIGEFHASNNKYMNESQYPGLRTLLVVSSWKKNFEHTKTKCSVQSFCMFKGSGFE >ORGLA04G0156500.1 pep chromosome:AGI1.1:4:17949653:17953087:1 gene:ORGLA04G0156500 transcript:ORGLA04G0156500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGDEGRNQGGSLSHGETNAGQESETSDRTPPNFSNTKTSGVQEIAAAASKDVPTIHFVRVSSGDADHHCQLLFSPPAYCNSYYPPLPPXPGSLATNQIVARLMAQMNYEEGTGLGKYGHWIIDPINPTKKYEKGGVGKFDSSYDSDSDYDTGPPVEHKLERGTGEAEPEAVVDVEEVRAMDTLQREREAYAAARAWERRHEKVRAYNMRGQHPPKHATAADDWEGMTSRYTAIKRALKVVRELSESGKLMLGGLIHEFAGVKVKFPEDYRTNRMPYKAISFAAPLLHSQLSWQYNAGWRTEEIWKDFKQVGGPRHREDTRHAARRERRLRYQAGAEDASCERQRQHGPAPGAVEPRRGGPRAGLGGGGDRQRHGGDPGGDLVEDAHAGRPHLRVRGVEGEVPRGVRDVPARANGGSPHGAVAPPAAAPTGRTLGHPAEASFGAGVGAVAEEHTARGGGCAVGGHVGVRDAHRQHVERHPPAIGAGLHYPGEGGHAGAGRRRGGQSQPASG >ORGLA04G0156400.1 pep chromosome:AGI1.1:4:17941833:17942228:-1 gene:ORGLA04G0156400 transcript:ORGLA04G0156400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSEKLEAARVAVGKRKERELLAQAPANKALAEPGTNKPAPGPVPNNKLLAGHLAHEFLTRGTLQGRRVEPTKLSQAAASHPGEPVPEPEPDAAKRRRYAEVSWLLMASGARVPGVVNPTQLGRWLQIKE >ORGLA04G0156300.1 pep chromosome:AGI1.1:4:17934768:17938410:-1 gene:ORGLA04G0156300 transcript:ORGLA04G0156300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRRFLNPLVLNLQKMELELTCPVCLKLLNAPTMLPCYHTSCSKCATTRTMDGYSCAICKSAYRSQDLRPASHLEAIVNIHRSLSSTVSSMVTQQEAQADIPVAKTSFQGTPESGNRNGAEKSDQVKSYTPVASKLAYNQSTGLAYGNVDGVKERNPALETRGAADVTAMPTILVQKGPCRSQSSDGPRDLDCDSNDLEGELITSRSSPQSVLKREPNTANDDNRELKRQKSTDQDDRQPAVAGAWKCEFCHSSKTTESTGPLSHYLHGEPLEDNQAWKPNVLHVHEKCIEWAPQAFFTGDIANNLEPELARASKIKCSVCGLKGAALGCLVKSCRKSFHVPCAHGISGCRWDDENFVMLCPSHSSKKLPCERSKSKNKKTSLQRSSSDTMLDDLNSPSTIHMDGLWTASPFLTSEWVICGSALSSQEKEILDQFEHQTGITVTNGWRSNVTHVIANTDERGACARTLKVLMAILAGKWVLNINWLKACMEAKEPVPEEPYEISSDVHGSFDGPRMGRLRAMQNAPHLFAGLTFYFSGHFMPNYKVHLEDLITAAGGSILDKADLSSTSLIIYSMEPPQGSDPDTLNEVIRKRKAEAEELAATIGSRAVPHTCVLDSIASCTVQLTM >ORGLA04G0156200.1 pep chromosome:AGI1.1:4:17931613:17934037:-1 gene:ORGLA04G0156200 transcript:ORGLA04G0156200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein C2B [Source:Projected from Arabidopsis thaliana (AT2G33385) TAIR;Acc:AT2G33385] MAFFSSGSRALVEILTGLQSVERPMPVDHTLFEFGSIRYHLQASITDSENIYLSISTPSLSYEASPSSGLPEITLQETRKMYHKFAEIIEPAKEGYTLTLRLNFSGLTRPKDRTKAINQISLLQSVILSSQLKDMLASLGSSGTMKLVYNQRDPFFVSKTPVKISAIFPMRFRDDTDLAIASSFFQELQDLGSTSSSRAPRCSWSPIPPPELRGEYVHHLTTNGGFVSFDILARHVKGRRAARTAWILLNFQSYVKYHIKCTRSYIQSRMRKRLEIMTEVIDDAKFRGNDESRKKLQVRKRSKRRSIKFARAKKLQKGFKAVIDKIKRLRLRIRVKGLDRFRRHCQCFPVLKLTMAQRKEQKYQKLE >ORGLA04G0156100.1 pep chromosome:AGI1.1:4:17922340:17927808:-1 gene:ORGLA04G0156100 transcript:ORGLA04G0156100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RYQTARRKHLIVDRSLDFEQVDRERSWDDQIILNGLLFYLGYAIIPNFRLMPVWRTNGALITILLHTGPVEFLYYWFHRALHHHFLYSRYHSHHHASVVTEPITSVIHPFAEHLAYFLLFSISILPPIFMGCGSVLAGVLYITYIDFMNNMGHCNFELMPKWMFQTFPPLKYLIYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDSSSDELYERSLKGTKETPDIVHLTHMTSLKSTYHLRIGITSISSKPCNDSVWYMWMLWPVAWLSMVLAWIYGSSAFVVERLKLKKFSMQGWALPRYNFQYGLSWERKSINNLIEKAILDANEKGVKVLSLGLLNQAEQLNGSGELFAKKYPRLRVRLIDGSGLATAVVLNSIPLGTKQVFLCGSNSKVTRATAIALCQRGVQVILNQEKEYGMLKSRVPESRAIYLKFSNDETPQIWIGDSIDDAQGRAPKGTIFIPTSQFPLKKARKDCTYLSNPAMKIPETMQNVHTCENWLPRRVMSAWRIAGILHALEGWEMHECGDDMMNIEKTWSAAIKHGFKPLTKPCSLNSGTDL >ORGLA04G0156000.1 pep chromosome:AGI1.1:4:17900953:17902951:-1 gene:ORGLA04G0156000 transcript:ORGLA04G0156000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLACFGDRRRRRQPRRQSPARSPPPRPDHVALLGKALTAVDVEAKEEVEPPPLLQEAKDVLLAPPPMPVEAAEEVVTGARPGKELSEQKASPASSLLPEKQVTPPLSPVAHSPPLAEAVVCTPDPELREVGGEESRSSGKKKVTFDMNVTAYENTAPADQEEEPPEPVSMVENEDGKHMQKDVLLPENHRYRNCSDSEEEEDEYGEDDNYGDDSDEEEEDFVDCKIDLVDKDELYTEDSKQESHESLFSLQMYRDQQNDNEVSSPAPKSSSTSVEEQSPLIQRNNHRDRGQYVRPVLNPVQNMSQWKEVKAQAAPAKKSNKENVNSVPKVGATPENSIKKEVSVDASLSTWLVSSDNSTVDKVQSGSPCSISTVNRDERPVLGALTVDDLKQSSAKSSPRRSPSHNREEAILGTVGSYWSSTKQDNAHCSSRSDSGTNGIPNTTSKYREDKRVNWHSTPFNVRLDRALKKSSA >ORGLA04G0155900.1 pep chromosome:AGI1.1:4:17893828:17898055:-1 gene:ORGLA04G0155900 transcript:ORGLA04G0155900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDINPDHIFEVPDTPDRIQQSTCPVSSPAARRGIAKAAGNPLPSRRIKFKITNNSIQGQSSRGNASSVSPAPLDAGDIFKQAELARLLPVAEDPEARSSLLKSGRTIETSVENEKVPQKSGLDQSMNISNNINCRGSGERDRSCQIRKGDISARDANSCNADFLCLGSGLPTTTVGKPRNRMGIITFKKPKEVVGANVCSVSSPREGKGEEITDKGTTGISSSTPSIVPQRHVGQRKLVRNGCISPSNIAKRSLKVDEKREICSTSGLLHYPDTQVDASGKGNVIDLTDSSPIIRRQGNTATDMEKRSGRKLAIGRAGETVIPLAANQVNSIFSEGNKNKGKEISHDVVGAKQSGEAYMRRVCPRSMGDSSSVANNDHTGIGSEQGWRTTHNNTSNIPMPLSGKMTNSCGRESGSSEQSNLDRASAAGDSNNSIEGGDNNNSIGGAKTLQIASFVNRTIRISSRKRKHIASSYHPGESSSSVDVDQPRVASSDSTAARNHTTHRHHIPVVDIDDICSPEVRPSLSGIGSSNRTSVDPNIREQLESDELLARQLQEQLYNETPHVVPTEEIDAIIAMSLQHEGNAQPTSRTARRFQSTTRGPRVLRSTVPQHANRVRYDSNNRRIIYQRALSRYPAAHIQPNIDLNDYDALLALDENNHQHAGASESQINNLPQSVIQSNIEEPCAVCLDNPSIGDTIRRLPCFHMFHKECIDEWLRRKKLCPVCKSGIT >ORGLA04G0155800.1 pep chromosome:AGI1.1:4:17887792:17890262:-1 gene:ORGLA04G0155800 transcript:ORGLA04G0155800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGATLADKAEFKECLRLTWSQPYILQLVFSAGIGGLLFGYDTGVISGALLYIRDDFTAVEKSTVLRETIVSMAVAGAIVGAGFGGWMNDKFGRKPSILIADSLFLAGALIMALAPTPFVIIIGRIFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFMAYLINLAFTKVKGTWRWMLGIAGLPAFIQFILMCMLPESPRWLYRQDRKEEAEAILRKIYPAAEVEEEIDSMRRSIEHEKQLEGSIGEQSLVGKLTKALSSKVVRRGLMAGVIAQVAQQFVGINTVMYYSPTIVQLAGFASNNTAMALSLITSGLNAIGSIVSMFFVDRAGRRRLMIISLVGIVLWLAVLGGTFLGAAHHAPPVSDLETRVFANQTCPEYSPSARWNCMNCLKAQSTCGFCAHGGNKLLPGACLAAGEASRRTCHAGNREFYTEGCPNNFGWLALVALGAYIVSYSPGMGTVPWIVNSEIYPLRFRGVCGGIAAVANWVSNLIVTQTFLSLTKALGTSATFFLFCAVSFFALVVVFFTVPETKGLQFEEVEKMLGEKDYKPWKRYRPDVSSKGRDIGLSVP >ORGLA04G0155700.1 pep chromosome:AGI1.1:4:17883537:17884971:-1 gene:ORGLA04G0155700 transcript:ORGLA04G0155700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAASKAAPTDALSSVAAEAPVTRERPVRADLEVQIPKPYLARALVAPDVYHPEGTEGRDHRQMSVLQQHVAFFDLDGDGIVYPWETYGGLRELGFNVIVSFFLAIAINVGLSYPTLPSWIPSLLFPIHIKNIHRAKHGSDSSTYDNEGRFMPVNFESIFSKNARTAPDKLTFGDIWRMTEGQRVALDLLGRIASKGEWILLYVLAKDEEGFLRKEAVRRCFDGSLFESIAQQRREAHEKQK >ORGLA04G0155600.1 pep chromosome:AGI1.1:4:17881551:17882230:1 gene:ORGLA04G0155600 transcript:ORGLA04G0155600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIRCSAVWWLKRRRCHEPARLRWRDGIPRAVMEIGGGPLPGAARAEVDAKAGADGIDRQESAAGPARVVARRSPGPYSPTAPSPWVASAVPLPVDADPPPPVVSTASAGGRQGAMTAGSGTRAVGDSSGGGVGGGPLAPASSPPVATTVASSPVAAASPPDSRLPTGLLPTHRLGC >ORGLA04G0155500.1 pep chromosome:AGI1.1:4:17874950:17876297:-1 gene:ORGLA04G0155500 transcript:ORGLA04G0155500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTTTTKAGRNGDRAGTEAPGATRATDGRGGAAVAARKVGDMRGVSGVEAKDSLTIVALQSPVTVERPVRGDLEEHVPKPYLARALAAPDIYHPDGTTTDDHEHHHLSVLQQHVAFFDRDDNGIIYPWETYEGCRALGFNMIMSFLIALVVNVSMSYPTLPGWLPSPFFPIYIHNIHRSKHGSDSGTYDNEGRFMPVNFENIFSKYARTSPDRLTYREVWQMTEGNREVLDLFGWFAAKLEWTILYVLARDEEGYLAREAIRRMYDGSLFEYVAMQREQHAKMS >ORGLA04G0155400.1 pep chromosome:AGI1.1:4:17871319:17873724:-1 gene:ORGLA04G0155400 transcript:ORGLA04G0155400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13330) TAIR;Acc:AT4G13330] MLRAAASRCARGAIRRLSSAASPASVAAGARRQPPLDEGDWSYHREWWGEDEGPGEGAHTVFRRHSEHGNGVVSVSAYPASRPASDEWTVTERWLQERNARIYPNSFGANQFKILGYQWRVMRFNDHTRQSVAKVMACYRSSGDTGMYLMQQPHCLAVPYVKSMVSAGLTALPCCSYDLHQAVSGQNNMKILCIGHGGGSLPLVLARKFRGAVVHIVEIDPVVASASIDSMGFPSLPVKGLPPEPTKPANGNELLWGGIHDRLFLHIADAEDFVANDSNVYDLVFIDAYDGDDIFPRKLWDAEGTFLKNLEMKVHPVHGTVVVNLHSDSELSVAGVEKTSSVDSILPLGKHVSQVCRAYKQHFGLAFTAAVPWLCNITLVACRDRAIASGARLGLSRRDFVLGKLLSKSNMVEHALDLPFPCLQYIKNGFTLVD >ORGLA04G0155300.1 pep chromosome:AGI1.1:4:17869412:17870536:-1 gene:ORGLA04G0155300 transcript:ORGLA04G0155300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPFLHSFLSPSSAAAAPSSLQLRRAFSDGHLPSLHPSSDGGGGSKNNTTGLHTELSFSIYNTFNKMAPPPPPVQEKEEAEQQQAPGEPKLPLFLARGLGIDRIASGLFTAGGGGDGGNGGAGRMSAVEEEHAEKVAALDAQYKRMMDEQPGDALFLRNYAQFLHEVKGDARRAEEYYSRAMLADPSDGEIMSQYAKLVWEVHRDQDRSLTYFHKSVQAAPHNSNVLAAYASFLWEQDDDDDDDLGEGKQDVAGAAQPGHVRQLASVAV >ORGLA04G0155200.1 pep chromosome:AGI1.1:4:17863582:17868472:1 gene:ORGLA04G0155200 transcript:ORGLA04G0155200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRYHMEMPTGMRELDRVQQQIASHPYAFEVCSYFLQGYYNVLANSPELACQFYTDYSTAVRLDCQTMKSSFGETVEEINDMIISMNVHKIEVKTANFVQSWGGALQMLVTGLVQLKDYPVRKRFAQTMLLAPQDNGYYVFSDIFKLICDEYDYYEGADYSHTDNILQMDAHNTMTETASDCMPEELEAKEALAPADIEERGPAFMPENHEVQQQDPLEYGVVIDDDSPSEELTPSFPSSTDSKQDAPLGPIVHPSVTTPEEEPMGEPTKQTYASVLRTKGHPSHQAIHSIPLNKATASSVESQLNGHMTKQVQPVHEKANLDTRYDASGPEDEEEFLSVYIGNLSPSTSVFDLEKVFQAFGRIKPDGVAIRSRKEAGIFFGFVEYEDMSGIHNALRASPIELNGHLIHVEERRQIYRGGGARRGRGRPTDFSRGQSGGRYDGDYATRSKGNGYQRRV >ORGLA04G0155100.1 pep chromosome:AGI1.1:4:17855503:17861336:-1 gene:ORGLA04G0155100 transcript:ORGLA04G0155100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16840) TAIR;Acc:AT3G16840] MAAAPPPPPPPPPPPQLQSSDPSSPPQETSQVRKGKKSRGAKKPRRAAAAAAASMVEDPFLVLAGGKEGGFLELEEIDEADFGIFGGAVEDLGEVDRKAGKDQKKKKRKKRKRGDDDYALPGDGDLVVECEEEGEKGEKRVKKKRGSRKKRKVKEMEEKLESKEDVSDDNVEDMQDGNDMEQDNNDGLVLGEDEVYAWRELRLHPLLITAVRRLGFKEPTPIQKACFPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEQEKAMRLSREDESTQDENSRESPLRALILTPTRELAKQVCDHLKEAAKFLRIQVVPIVGGLSMEKQERLLKRKPEIVVGTPGRLWELMSTGNQHLIKLHSLSFFVLDEADRMIERGHFHELQSIIEMLPVTNGSDEQTVGTTPSCETVPILQIKKRQTFVFSATLALSANFRKKLKRGLVTAKASASTDLSSIEALSKQAGMKPNAEIVDLTKASILPEKLEESFIECSDDDKDAYLYYILSVHGQGRTIIFCTSIAALRHLSSTLRVLGINVLTNHAQMQQRARMKAVDRFRESENSILVATDGFARGMDFDDVRTVIHYQLPHSTDVYIHRSGRTARKSMAGCSIALISPADKAKFYSLCKSLSKENLQQFPVDHAYMPAVMNRLTLARQIDKITRKNSQENANKSWLQRNAESMGLLLETSDSEEERVQGHKQRKATSANLQKLQQDLSELLQRPLQPKTFSRRYLAGAGVSPLLQKQLEELSKRNVKGSASVNANKGSRFVVIGQDQIEPLQALQNSGQEVCVSIDKQREKRRLAENWRRKKQKEKKSTREQKRKEKRIAKERD >ORGLA04G0155000.1 pep chromosome:AGI1.1:4:17847098:17854483:1 gene:ORGLA04G0155000 transcript:ORGLA04G0155000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQATAKSFMAEGKVSADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEIAAAYLEAQQTKAREHQQQMQMQQLQLIQQRHAQLQRTNATHPSLNGPISGLNSDGILGPSTASVLAAKMYEERLKHSHPMDSDGSQLLDASRLALLKSASTNHSGQSVPGTPGSVSTTLQQIQARNQQNIDIKSEGNMSVAQRSMPMDPSSLYGQGIIQPKPGLGGGVLNQGVSGLPLKGWPLTGIDQLRPNLGGQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLGNSTNLGDMDPRRLSALTRSVLNGKDGQPAGTDGCITSPMQSSSPKVRPDQEYLMKTSSQQTQEQLQQQHNQQQQQQNQQGNRKRKQPTSSGAANSTGTANTVGPSTNSPPSTPSTHTPGDGLGMTGNMRHVPKNLMMYGVEGTGLPSSSNLDDLEQFGDMGSLDDNVESFLANGDGDARDIFAAPEKSPAEPNPVASKGFTFSEVNCWRTNNSKVVCCHFSSDGKILASAGHEKKAVLWNMETFQTQYTAEEHAVIITDVRFRPNSNQLATSSFDRTIKLWNAADPGFSLHTFAGHCSGITSLDFHPKKTDLLCSCDSNGEIRYWNVSQLSCMRAMKGGTAQVRFQPNTGQFLAAATENVVSIFDVETNGKKYTLQGHNSEVQSVCWDSSGQYLASVSQDLVKVWSISSGDCTHEVSSNGNKFHSCVFHPGYTDLLVIGGYQSLELWNMVKNQSMTVQAHEGLIAALAQSPITGMVASASHDNSVKLWK >ORGLA04G0154900.1 pep chromosome:AGI1.1:4:17843941:17844432:1 gene:ORGLA04G0154900 transcript:ORGLA04G0154900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWLKPSSVDASSSSLPTSSSVSTTSSPLWRDASARAAAASPWRAFTLAQKLATSSSASTAGPALTGGEQCGRSGPHPTPRPPPAVVGPTGHADDETLRRNRRREGFRLTRVSRRPRPHAHAKSHRAFIPQPQPQTASAPRCRPSPTPTHQPSTAGFLFRARR >ORGLA04G0154800.1 pep chromosome:AGI1.1:4:17843057:17843362:-1 gene:ORGLA04G0154800 transcript:ORGLA04G0154800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRCIGGGGDSSATAGMVVWMRRLCCIGGGGDSSGSEGNGLDATAALHRREAGDGWMPMKLIKFKDKRATLTTGWNALVGANLFEQADVCVFLFTEVPM >ORGLA04G0154700.1 pep chromosome:AGI1.1:4:17842179:17842863:-1 gene:ORGLA04G0154700 transcript:ORGLA04G0154700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCHNCSLPELIAPLAGITATNLNLLLEIKLRPTRFKYLLYESCTRYKQQWLKQLMHTAYNSLHQMVEKMPESSGWLRRRMGRGGGGDVDVPATADAAGRGGDVYAAALKPRRPWRGRCDGCSAASMQRRRGGLDTTAADACATH >ORGLA04G0154600.1 pep chromosome:AGI1.1:4:17836886:17837323:-1 gene:ORGLA04G0154600 transcript:ORGLA04G0154600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTVEALGLLEPAVWTPLYPGSLYEPARFRSMTPEGFAYDPVFPFASTEEAGFLICDEHGFRVETEEDVVVADDIASFCARVKVLQDEAAARLGSKAVIDVEEVACDDDDDYDVLEEAGFAACFGLIIESVEELLEDDGEHP >ORGLA04G0154500.1 pep chromosome:AGI1.1:4:17825648:17827144:1 gene:ORGLA04G0154500 transcript:ORGLA04G0154500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:I1PMX6] MATCAADLAPLLGPVAANATDYLCNRFADTTSAVDATYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGKQFFGLKHMPQTGFDYDFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWIWSADGWASASRTSGPLLFGSGVIDFAGSGVVHMVGGVAGLWGALIEGPRIGRFDHAGRSVALKGHSASLVVLGTFLLWFGWYGFNPGSFTTILKTYGPAGGINGQWSGVGRTAVTTTLAGSVAALTTLFGKRLQTGHWNVVDVCNGLLGGFAAITAGCSVVDPWAAIICGFVSAWVLIGLNALAARLKFDDPLEAAQLHGGCGAWGILFTALFARQKYVEEIYGAGRPYGLFMGGGGKLLAAHVIQILVIFGWVSCTMGPLFYGLKKLGLLRISAEDETSGMDLTRHGGFAYVYHDEDEHDKSGVGGFMLRSAQTRVEPAAAAASNSNNQV >ORGLA04G0154400.1 pep chromosome:AGI1.1:4:17818441:17818902:1 gene:ORGLA04G0154400 transcript:ORGLA04G0154400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSSPDTMAAAAGPSLSITVEKNPPEARLLQLGIKSWPKWGCPPGKFPLKFDARLTCYLLKGRVRASVKGTGRCVEFGAGDLVVFPKGLSCTWDVVVGIDKHYNFDSS >ORGLA04G0154300.1 pep chromosome:AGI1.1:4:17798644:17814770:-1 gene:ORGLA04G0154300 transcript:ORGLA04G0154300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 4 [Source:Projected from Arabidopsis thaliana (AT5G20320) TAIR;Acc:AT5G20320] MGDAAAAAPAAAAAGPSSTRGEPKDPRTIARKYQLDLCKRAVEENIIVYLGTGCGKTHIAVLLIYELGHLIRKPSREVCIFLAPTIPLVRQQAVVIASSTDFKVQCYYGNGKNSRDHQEWENDMREFEVLVMTPQILLQSLRHCFIKMNSIALLILDECHHAQAQKRHPYAQIMKEFYNSNSVEKFPRVFGMTASPIIGKGVMPSHSFTEKGGRSPCQPLIFFLPKGGSNKLNYTKCINSLEELLHAKVCSVDNEELESVVASPDMEVYFYGPVNHSNLTTICIKELDSLKLQSERMLRASLCDFKDSQKKLKSLWRLHENIIFCLQELGSFGALQAARTFLSFDGDKLDRREVDLNGSTSSFAHHYLNGATSILSRNKTDGSHAGSFDLEKLEEPFFSNKFSVLINVLSRYGLQENMKCIVFVKRITVARAISNILQNLKCLEFWKCEFLVGCHSGSKNMSRNKMDAIVQRFSSGEVNLLVATSVGEEGLDIQTCCLVVRFDLPETVASFIQSRGRARMTKSKYVVLLERENQSHEKLLNGYIAGESIMNEEIDSRTSNDMFDCLEENIYRVDNTGASISTACSVSLLHRYCDNLPRDMFFTPSPVFFYIDGIEGIICRLILPPNAAFRQADGQPCLSKDEAKRDACLKACVKLHKLGALTDFLLPGPGSRKNKVSVTNNSSNNKVEDDSLREELHEMLIPAVLKPSGLKLDSLLNLHFYYVKFIPIPEDRRYQMFGLFVINPLPVEAETLQVDLHLARGRIVKAGIKHLGKIAFEKEKMMLAHKFQEMCLKILLDRSEFTSPHVKLGNDVTLEINSTFYLLLPIKQKCYGDRFMIDWPAVERCLSSPIFKDPIDVSVHASYSSNESLRLLDGIFSKTDVVGSVVFSPHNNIFFFVDGILDEINAWSEHSGATYAEHFKERFRIELSHPEQPLLKAKQIFNLRNLLHNRLPETTESEGRELLEHFVELPPELCSLKVIGFSKDMGSSLSLLPSLMYRLENLLVAIELKDVMLSSFPEASQISASGILEALTTEKCLERISLERFEVLGDAFLKYVVGRHKFITYEGLDEGQLTRRRSDVVNNSHLYELSIRKKLQVYIRDQQFEPTQFFAPGRPCKVVCNTDVEVRLHQMDIHPDNRENCNLRCTRSHHWLHRKVIADVVESLIGAFLVEGGFKAAFAFLHWIGIDVDFNNSALYRVLDSSSINLSLMDYTDIAGLEELIGYKFKHKGLLLQAFVHPSFSQHSGGCYQRLEFLGDAVLEYVITSYLYSTYPDIKPGQITDLRSLAVGNDSLAYAAVEKSIHKHLIKDSNHLTSAISKFEMYVKLSNSEKDLLEEPACPKALGDIVESCIGAVLLDSGFNLNYVWKVMLMLLKPVLTFANMHTNPMRELRELCQCHGFELGLPKPMKADGEYHVKVEVNIKSKIIICTAANRNSKAARKFAAQETLSKLKNYGYKHRNKSLEEILIVARKRESELIGYNEDPIDVEADISVKMKSPHIHEENIPFQNTETSFTRSSKFHNQIIAGSGKHDVNNGRNNQPKLATQSGRLPSEATEKSNKKVYHGDMVHKTARSFLFELCAANYWKPPEFKLCKEEGPSHLRKFTYKVVVEIKGASATLLECHSDGKLQKKAAQEHAAQGALWCLKQLGHLPKEEDVRV >ORGLA04G0154200.1 pep chromosome:AGI1.1:4:17793318:17795721:-1 gene:ORGLA04G0154200 transcript:ORGLA04G0154200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAATEEQPRITGSVVPGVGEVEEVDEATEGGRLGRGDDDEILRFMDSVDGYLLLMDSLSSVLRPGWLDLASARHSMGTSRVSSTLFDHKEQSAASKLQVVDSADSQSSEPNPHFILSKWCLQEKSNSNDAVGVRDTTKPKLRYRGSAATPEDGSHDSDATPAESATSVDTSSHVQKARSKALSTFGALVSPKLRSAQMSFETALELIVELANSRSDMLSSFSQIKGE >ORGLA04G0154100.1 pep chromosome:AGI1.1:4:17784347:17792524:1 gene:ORGLA04G0154100 transcript:ORGLA04G0154100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:triglyceride lipases;triglyceride lipases [Source:Projected from Arabidopsis thaliana (AT4G13550) TAIR;Acc:AT4G13550] MAFAAPATCACRMAPPPPLAAASVSGRGRSPSSASSYRAAARWWPGARRGRRRRWAGLRARCAGQSVVKPGSESAAGEGLVGEDPPRPPFDLNLAVVLAGFAFEAYTSPPEDVGWREIDAAECQTVFLSDSFLREVYDGQLVVRLKKGVNLPVMDPWIVISVIDQRRTKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGSNHNVTVELEGLGGGGTIDVEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPPSLDIRAEAPKRAEESVDNSAGSNELEQYKMDSKASGDDSDCCSEAESTATVVNTEGSSSPNMKETDEYFWKAFTSVLNQNVLQNFGFSLPEVKQLDGFDLLSSLGLKSSEIAEKEYLESGLATVDASISEGHETTPKDAIDVDKEDGTIPIKENLPKEEVQAPFPDVSKVSRDVLSQTENILGALMLLSRSLSPQDKESVMVDDGSNKEDSVKEEQCASDYTDNDDDAVSTEVFTDAQKAEDRQRLFESAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQLKSLKVAIWRDCSRRRLVVAFRGTEQSKWKDLLTDLMLVPAGLNPERLGGDFKQEIQVHSGFLSAYDSVRNRIIALVKYAVGYQDEEDGENIPKWHVYVTGHSLGGALATLLALELSSSLMAKSGVIFVTMYNFGSPRVGNRRFAEVYNAKVKNSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEETIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEGYCRSASLADRYQETLQTMEPVQDQFRGYGRTRK >ORGLA04G0154000.1 pep chromosome:AGI1.1:4:17777729:17783409:1 gene:ORGLA04G0154000 transcript:ORGLA04G0154000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRAALLLLALAVYVPLGTASSTTIASYLLGLWSRAHRHSLPAPAPAPAPAPAPAPETHRPGIRHPVPRHHRKRPHVAPPLPPSSSSERQDCSGISCTAPLTSTPIGSPCGCVYPMQIQLDLVVAPYQLFPRIDELEIEIAAGTFLKQSQVRIMGAGSSLQDPEKTTVTVDLVPLGQKFDRTSALLTSNRFLQKKVPINSSIFGDYNVIYVHYPGLPSLVPSVPGSLGPISSSQYPFSANVHNRRHQKINSKSVAIIALSAVVLVLMSFGICIIWKYKGFEKSRGTGRVSNSSATRKTGMRSSFSSMTSSTASFVSTIATCPPTVKTFSISELEKATENFSFSKIIGEGGYGRVYRGTIDDEVDVAVKLLTRKHQNRDREFIAEVEMLSRLHHRNLVKLIGICIERSTRCLVFELVPNGSVESHLHGSDKIYGPLDFDTRMKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASEGMDHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMTQPPGSENLVTWARPLLTDRDGLQQLVDPSMPAASYGFEKLAKAAAIASMCVHVEASHRPFMGEVVQALKLIYNGNNDETCTSGSFGGGGGEEYEDEEASSPWNNRSWSHDFAATPPPASRRLAFPRAPARPTTMDYSSDPADGAAGTSSSSARRQRSTSSLVLDKIESLAAYDWSGPLRASRGRNFYRLRGSMSEHGGHPSEDCSMEGYWM >ORGLA04G0153900.1 pep chromosome:AGI1.1:4:17764967:17768200:-1 gene:ORGLA04G0153900 transcript:ORGLA04G0153900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:general transcription factor II H2 [Source:Projected from Arabidopsis thaliana (AT1G05055) TAIR;Acc:AT1G05055] MYGVGGGGGGFNAPYTASARRRNHEDDEEEEEEEESGEGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKTLVHAQYRRRLLLRSAASAAARIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKYAEVFIREFFDQNPLSHVGIVTMKDGISHRLTEIGGSPESQIKALMGKLECSGESSLQNALELVHGYLDQVPSYGHKEVLFLYSALNTCDPGDIMETIAKCKKSKIRCSVIGLAAEIFICKYLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVQPFDEVSSVHPNRLGQKGGQKCYGCQQSFINPDSQSSLHVRCPKCNQHFCLDCDIYIHESLHNCPGCESQRSSS >ORGLA04G0153800.1 pep chromosome:AGI1.1:4:17753464:17758495:-1 gene:ORGLA04G0153800 transcript:ORGLA04G0153800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAWRKAKRALGLGLCVHVPEAEEGEREDCSSERRRRLPAAASGARCRGEAAVTVGPESVPAPSDVPVPLPLPGVVRRSKSGSSRSSSKRKCAICFDSMRHGNGQALFTAECSHMFHFHCISSNVKHGNYFCPICRAKWKEIPFNRSLSSNIPHGRIGVSRARLTQQDANMALLHQVPNHHQRVRRPHTSEPADFNDDEPLQQPEVFDNLNVRSTKTAEINTYPEFSTIPQSSSKDDFAILIHLKAPSANPDQGTGKLANESSAGSSRNRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVVQHLGPSDRLSVIAFSSSARRLFHLQRISHHGRQQALQAINSLGASGGTNIADALKKAMKVIEDRSYKNSVCSIILLSDGQDTYNISSSVQGASPDYKSLVPSSIINDARHTVPLHAFGFGADHDSDSLHSIAQASGGTFSFIEDEGVMQDAFAQCIGGLLSIVIQEMRVSMECVHPGVQLSSIKSGSYPSKVARDGRNGSVDIGHLYADEERDILLSVNIPQSRHQTSALKVSCAYRDPVTGETIKIQGDEVKINRPTTSNISEHVSIEIDRERNRIQAAESIECARAAAERGALSEAVAILEDCRRTLSQSFASRSGDRLSLSLDAELREMQERMANRQLYESSGRAYMLSGLSSHSWQRATARGDSTDSSTVIYSYQTPSMVEMLQHSQNHLPSPQGRQRPRPR >ORGLA04G0153700.1 pep chromosome:AGI1.1:4:17749351:17749965:1 gene:ORGLA04G0153700 transcript:ORGLA04G0153700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSPRDDGRREVARAAGVAAALIAASLFCLFIALVLQSTSTSGGGGGGGGVREERGGAAYSAAVTVLSGLHPSNDLLHIGTLFPVFNLTVHVEVPPGGKAGGVCLGGHSVAAVVSYGGAFLGEGSVGRVCVEPQQQEGDVAATAWGRDVWMPWVLRRRLAEEMKRGEAELEVAVPMRGGDVLVCKAKIGGDLSPCTLEEASN >ORGLA04G0153600.1 pep chromosome:AGI1.1:4:17746170:17747522:1 gene:ORGLA04G0153600 transcript:ORGLA04G0153600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSLAVAATAVVALLLALPVALAEIKTTPIVADSRPVILFEEFGFKPGGVSAVSVRGVSWRVAEGSKLQAADPGLMGFILISNSLFFQINNESDYAEATGGAFCPLTSKYVLPLFRLKDIAPDGNGKGSVTIDDDDQYTVLFSSCQDGVEVTMEVRTEMYNVRPGGGRGVREYLPVGLLPLPGIFAAASAVYFVFLGAWAWACARHRATAGQIHAVMGALLLFKALKLACAAEDAWYVERTGTPHGWDVAFYVFGFFKGVLLFTVIVLIGTGWSFLKPYLQEREKKVLMIVIPLQVVENIASAVIGETGPAGRDWLAWNQIFLLVDVICCCAVFFPIIWSIRNLREASKTDGKAARNLKKLTLFKQFYLVVVGYLYFTRIAVSAFAAVLSYRYQWVVTVAMEAASLAFYIFVFYNFKPVENNPYLYVGEDEEEEASGQLEMEGTFEI >ORGLA04G0153500.1 pep chromosome:AGI1.1:4:17735605:17737642:-1 gene:ORGLA04G0153500 transcript:ORGLA04G0153500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVQSAAGWGRQLQQDGGGWRKGPWTSQEDALLVEHVRQHGEGRWNSVSKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESIIVQLHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQQSQQQQQPKLMPTPTPQSKDIIVAETGDARTDDDAGGAAAAVAPSSSSSSLSMAGREAEDLIMHQDAMDDLMMCPAMSYHLLLHGAAVAGHQLSDGGGSCCASTSEDYGSSEDDGATWGSLWNLDGAAGACTLW >ORGLA04G0153400.1 pep chromosome:AGI1.1:4:17730432:17731619:-1 gene:ORGLA04G0153400 transcript:ORGLA04G0153400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMRPRASQAAAPETAAAAESLREEETEDGWVFLAGRSRATRPPPPPPSPMARAVASGGSGGGGGQPFDPTAEDIVNRYLPLRRALRCDALPRQVHDADVYGAHPALLASVYPAANERFEWFFFVCRRRCPGGRRRAGPGDYRLSQEAKHRGNAFCHSFRYYEYEDAGGGFRETEWRMVEYGDRGRDAGAGGSEGFELVVCKVYPARGGALHERLGADRAVLATRHRADEDAKPQVLVQLYLASLRLGNPLACRVHRADDVFDAHPAVITAALPAANDRCEWFFAAVRPRGHAQGHGDGAPPRPRKAGPGAYVPVRECRVVDGRRGDMGCRLVFWYREDDEEARRASRRTEWWMDEYRFGPDFPYGELPAPMARGEDEELVVYKVYPRLVGNRR >ORGLA04G0153300.1 pep chromosome:AGI1.1:4:17727284:17729799:1 gene:ORGLA04G0153300 transcript:ORGLA04G0153300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKAKETVASAPVVVYSKSYCPFCVRVKKLFEQLGATFKAIELDGESDGSELQSALAEWTGQRTVPNVFINGKHIGGCDDTLALNNEGKLVPLLTEAGAIASSAKTTITA >ORGLA04G0153200.1 pep chromosome:AGI1.1:4:17719483:17725319:1 gene:ORGLA04G0153200 transcript:ORGLA04G0153200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:I1PMW3] MRHLLLLRCGMAPLYGSSSSAAATKALLLNPAAAHAFVPSSPGPRALRRGGASLRCYAAAAAAVAEQHRIKVHNPIVEMDGDEMTRVIWKMIKDKLIFPYLELDVKYFDLGLLNRDATDDKVTVESAEATLEYNVAVKCATITPDETRVKEFKLKSMWRSPNGTIRNILNGTVFREPILCKNVPRILSGWKKPICIGRHAFGDQYRATDTIINGPGKLKMVFVPDGAEPVELNVYDFKGPGVALSMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEEKWKDKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMSSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNDRLLDFTKKLESACIETVESGKMTKDLALLIHGPKVTREFYLNTEEFIDAVAQQLREKIQIPAVV >ORGLA04G0153100.1 pep chromosome:AGI1.1:4:17715300:17718256:1 gene:ORGLA04G0153100 transcript:ORGLA04G0153100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGNLFGRVLSYVVNEFIVEGLANNRTFQRFAVRTNKSLENLSSKAKEVREELSEQWRNSRGNDDAVKLVIL >ORGLA04G0153000.1 pep chromosome:AGI1.1:4:17711766:17714640:-1 gene:ORGLA04G0153000 transcript:ORGLA04G0153000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) [Source:Projected from Arabidopsis thaliana (AT1G60790) TAIR;Acc:AT1G60790] MAGAWRKAWLSVLDRGGGSGAGGGGGGSSGSLHVHLHGLLSPSSSSSSLNGYKRGGGKHGGGGGCGGGHVMTSSKAVLACFSVALVVAFFYVSVASGPTADAASFPSPTGASSSSLLSWLSSNSTSAAALPRRSLPPHPPIPPAGGGADLRNTARRTQSGAEGSGLPAPAAEQTAKPRVSDLRSGAGNATVGDVDGDQRVGNGTRSRQQRVETATPMPRWQRRDEEKNSTHHAIVAAPGNSTDAPAPPLNSTATPRAAAAAAATPSTPPERKADTHHSHRRGIRHKQQHHHQHPRRRKDTVLLAAAAARQEAPDRRDDGAAMALPAATIINTSTVGDNRVVWTSGVQSGLVSFAKCDVFSGRWVRDDDEGGGAYPFYPPGSCPHIDDDFNCHKNGRADTGFLRWRWQPHGCDIPRLNPIDFLERLRGQRIIFVGDSLNRNMWESLVCILRHGVRDKRRMYEASGRNQFKTRGYYSFRFRDYNCSVDFIRSIFLVKEMINETKGGAVVDAKLRLDELDETTPAYRTADIVVFNTGHWWTHWKTSRGLNYYQEGNYVHPSLEVMDAYKRALTTWARWVDKNIDSTRTQVVFRGYSLTHFRGGQWNSGGRCHRETEPIFNRTHLAEYPEKMRILEQVLGRMRTPVIYLNISAMTDYRKDAHPSVYRVRYETEEERMAAVAKQDCSHWCLPGVPDSWNELLYASLLQAGRGSWSL >ORGLA04G0152900.1 pep chromosome:AGI1.1:4:17704540:17706230:1 gene:ORGLA04G0152900 transcript:ORGLA04G0152900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63010) TAIR;Acc:AT5G63010] MDLGSCYLGGNADAVEFCPHRPFRHVLAAATYTLQEQGGERQDRAGSVSLFAVDAGEEDAPRRLRLLHTVETAGVFDMKWSPVAPLLAQADAHGRLALWRLEQEDGSDKGAVLRDVCSGDISSSMCLFVDWNQTAKALSVGLSDGSLSVVSMREDRLEVSEQWTAHQYEVWTCYFDRANPHLLYSGSDDCSFSCWDLRQNPSNAVFQNKKSHNMGVCCIAQNPLEGNMLLTGSYDEFLRVWDIRSMVKPVNVKSLNLGGGVWRIKYHPLIADVVLAACMHNGFAIVKVGTGDAAVVETYCKHESLAYGADWQISEGAEQNKNFSVVATCSFYDRLLRVWQPENLGNL >ORGLA04G0152800.1 pep chromosome:AGI1.1:4:17702466:17704357:-1 gene:ORGLA04G0152800 transcript:ORGLA04G0152800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G23360) TAIR;Acc:AT1G23360] GHPSRRDFGGPRGARPAARRRRRRGFAAVSCGSSSAADERQALFSRIAPVYDHVHDVLSLGQHRTWKRICVSWSRARRGDRVLDLCCGSGDLAFLLSQKVGLDGQQLQTAASRQDQRWKPCYKNIKWIEGDALDLPFTDCYFDAVTVGYGLRNVVDKPKAMREILRVLKPGSRASILDFNKSSSLFTTSLQSWMIDNVVVPLASGYGLTEEYKYLKSSILHYLTEKELEELAKEAGFSAAKHYELGGGLMGDLVATR >ORGLA04G0152700.1 pep chromosome:AGI1.1:4:17698656:17701503:1 gene:ORGLA04G0152700 transcript:ORGLA04G0152700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSLVGFLMAAVAALLVAAATPAAAAPRAFFVFGDSLVDNGNNNYLMTTARADAPPYGIDFPTHMPTGRFSNGLNIPDIISEYLGSQPALPYLSPDLRGENLLVGANFASAGVGILNDTGIQFVNIIRIGQQLDNFENYQRNLAAFVGEDAARQVVQQSLVLITLGGNDFVNNYYLVPFSVRSRQFAIQDYVPYLISEYRKILTRLHDLGPRRVIVTGTGMIGCVPAELAMHSIDGECATDLTRAADLFNPQLERMLAELNSELGGHVFIAANTNKISFDFMFNPQDYGFVTAKVACCGQGPYNGIGLCTPASNVCANRDVYAYWDAFHPTERANRLIVAQIMHGSTDHISPMNLSTILAMDERRN >ORGLA04G0152600.1 pep chromosome:AGI1.1:4:17692936:17695124:-1 gene:ORGLA04G0152600 transcript:ORGLA04G0152600.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRVLSIQIGPAPLLIGSIERLAARLFMVTVRLWAVTGHARAIWAEPSVCTDMPGSLWAVPLPREQPCRLKSLSKQFLVSGDIPNFTAGCSLSGYRRSARRLMGPEMREEVLQQAIRQITELVLLHGGPVTGSTAFIDAGRRLDEKEPLPKPPKESIYTGLQDERAVITGFTVEPRDSNKT >ORGLA04G0152500.1 pep chromosome:AGI1.1:4:17679912:17690688:-1 gene:ORGLA04G0152500 transcript:ORGLA04G0152500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKERLAGVERLHEALDAAARQRGLTAGEVTALVDTCMDLIRDANFRVAQGGLQALSAAAVVAGDHFKIHLNALVPAAVERLGDGKQPVREAARQLLITLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLMNDSNQSVRDAAIYCIEEMYTHMGSQFHEELQRHNLPPYMLREINSRLERIEPKVPTSDGNIMQYKAVESRSVSVNPKRGSPRTKSTPRESTLFGGDTDITEKPVEPVRVHSEKELLREFEKIAATLVPEKDWSVRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNVLSKELLGDFEPCAELFIPMLFKLVVITVLVIAESADTCIKTILRNCKVSRILPRIADTAKNDRSAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRATARSCYRLFAKTWPERSRRLFMSFDPAIQRTINDEDGGVHKRYASPSLRERVVQPSRSLSHASGTSALGYGTSAIVAMDKTAAISSDSSFSSNTLRLSQSKTVGRSSERSLESVLNSSKEKVSAIESLLKGVSISDRQNISATRSTSLDLGILFSMIKKISFLFPFNPSAGVDPPSSRDPPVPLAATASNHLSLQNSALLDSSVPSTINASARNGGSRLLESMTTQLGTRERSRSPYLGNISSESMTSLSLPFPRRSLERPQEGGRMDEGSDIRSTRRFPQTQNYVDMPYRDAIHRDSHNNHVPNFQRPLLRKQVMSRASASIRHSFDDSQVQSGDVSGYTDALASLNDALSEGLSPSSDWVVRVSAFEFIRNVLQQGQRGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFSTLAELIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSSTLDVVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSEGYSNSGFLKLWLSKLAPLVHEKNAKLKEASISGIISVYSHFDSTAVLNFILNLSVEEQNLLRRALKQYTPRIEVDLVNYLQSKKDRPRPKSYDQADYGTSSEDGYALASKKSYPFGRYSSSSLDAEGGKWMNSVQESTPRNAPMARTTSDMSIDHTSQSIELDTGSEVLLTRSRESKNNTSSLVETARSWPNYPEKTDAPLDDETAISTPCLDLSHRAASDGHNAVGSTAEENVQEGDIAVKLSSIKTSLHADNELSIPQLLHQISNGTEVSSLEKREALQQLVKASVDNDISIWAKYFNQILTAVLEVLDDSDSSTREIALSLVAEMLNNQSGAMEESIEIVLEKLLHVTKDMVAKISNEANQCLNVLLAKYDPFRCLAVVVPLLVSDDEKTLVVCINSLTKQVLLTAGSVWIILQLVGRLSEEELMNQLPTFLPALFDAFSNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANQ >ORGLA04G0152400.1 pep chromosome:AGI1.1:4:17666481:17667922:-1 gene:ORGLA04G0152400 transcript:ORGLA04G0152400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLAFTARRGDPELVAPAGPTPRGLRRLSDIDDQRSFRFYRSIIYFYRSGGGDPARVIRGALAAALVHYYPIAGRIRELPGGKLVVDCTGEGVSFVEADADVSLEEFGDSLCPPIPCAGELLTLPESNSTVVTDRPLLYVQVTRLRCGGFVFGTQICHNLVDAAGITQFWQAVGELAQGAAAPSVRPVWARELLDARHPPRPAYDHPEYEPASDEASDKLRPGDELVHRRFLFGPDDVAALRAQLPARLGPRCSRFLLLSAFTWRCRTAALGYAPGDEVRFMFVVNGRGRGHGGRPLPEGFYGNALTFGVARTTAGELCSGPLSRAVELITAARARTMADGYAQSAADAVVLRGRRRFTTARTYLVTDLTKSPLHEVDLGWGRPLFGGPATTTLATFHMPARGGGIAVPMCLPPRAMERFAGAVRAGLAAGVPRAAEEDAALSKM >ORGLA04G0152300.1 pep chromosome:AGI1.1:4:17658623:17659934:-1 gene:ORGLA04G0152300 transcript:ORGLA04G0152300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF3493 (Inter /.../R021883); BEST Arabidopsis thaliana protein match is: tetratricopeptide repeat (TPR)-containing protein (TAIR:AT1G02910.1); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G28740) TAIR;Acc:AT4G28740] MAPPPPSPPRSLTSVSLRTPLSPLLFLRPASCNPSAVSGSCSSGACRGVRCSAANKPSPSTAPGTEVSSTSMAKIRSEVLSPFRSVRMFFYLAFMASAGLGALIALTQLIPALSSPARAAAAGETLKGLGIDVAAVSVFAFLYWRESKAKDAQVAKLTREENLSRLRIRAGEGRPPVPLGELRGTARLVIVAGPAAFVTESFRRSKPFLKDLMERGVLVVPFSTDGNAPDLQFDEADEEEEEAAAAAGKMKRRLWQLTPVYTSEWAKWLDDQKKLANVSPDSPVYLSLRLDGRVRGSGVGYPPWQAFVAQLPPVKGMWSGLLDGMDGRVL >ORGLA04G0152200.1 pep chromosome:AGI1.1:4:17641579:17657851:-1 gene:ORGLA04G0152200 transcript:ORGLA04G0152200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 1 [Source:Projected from Arabidopsis thaliana (AT3G24320) TAIR;Acc:AT3G24320] MAIQRLLASSLVAATPRWLPVAADSFLRRRHRPRCSPLPALLFNRRSWSKPRKVSRSISMVSRKMNKQGDLCNEGMLPHILWWKEKMERCRKPSSMQLTQRLVYSNILGLDPTLRNGSLKDGSLNTEMLQFKSKFPREVLLCRVGDFYEAVGFDACILVEHAGLNPFGGLRSDSIPKAGCPVMNLRQTLDDLTRCGYSVCIVEEIQGPTQARARKGRFISGHAHPGSPYVFGLAEVDHDVEFPDPMPVVGISRSAKGYCLISVLETMKTYSAEEGLTEEAVVTKLRICRYHHLYLHSSLRNNSSGTSRWGEFGEGGLLWGECSGKSFEWFDGNPIEELLCKVREIYGLEEKTIFRNVTVSLEGRPQPLYLGTATQIGVIPTEGIPSLLKIVLPPNFGGLPSLYIRDLLLNPPSFDVASSVQEACRLMGSITCSIPEFTCIPAAKLVKLLESKEVNHIEFCRIKNVLDEVLFMGSNAELSAILNKLLDPAAIVTGFKVEADILVNECSFISQRIAEVISLGGESDQAITSSEYIPKEFFNDMESSWKGRVKRVHAEEEFSNVDIAAEALSTAVIEDFLPIISRVKSVMSSNGSSKGEICYAKEHESVWFKGRRFTPNVWANTPGELQIKQLKPAIDSKGRKVGEEWFTTIKVENALTRYHEACDNAKRKVLELLRGLSSELQDKINVLVFCSTMLIITKALFGHVSEGRRRGWVLPTISPLCKDNVREEISSEMELSGTFPYWLDTNQGNAILNDVHMHSLFILTGPNGGGKSSMLRSVCAAALLGICGLMVPAASAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSLVCQATARSLVLIDEICRGTETAKGTCIAGSIIERLDNVGCIGIISTHLHGICDLPLSLHNTDFKAMGTEIIDGCIQPTWKLMDGICRESLAFQTARKEGMPDLIIRRAEELYLAMSTNSKQTSSAVHHEISIANSTVNSLVEKPNYLRNGLELQSGSFGLLRKEIESVVTTICKKKLLDLYHKRSISELIEVVCVAVGAREQPPPSTVGRSSIYVIIRRDSKLYIGQTDDLVGRLSAHRSKEGMQDATILYILVPGKSIACQLETLLINQLPLKGFKLMNKADGKHRNFGISLVPGEAIAA >ORGLA04G0152100.1 pep chromosome:AGI1.1:4:17631845:17636108:-1 gene:ORGLA04G0152100 transcript:ORGLA04G0152100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKNVLTDRTHRDGSRVHKSRQDVNRTVDPKIGCVEDKLQVVASTRNSSSNKSTISPMNRLVAMEMSKGVESKRKPPSVVARLMGLDDDLPAKEPALQSSRRNLRRSHSLDNLATTNRPQQQQEQHYSRTTPNIHIGPKETVEFKDVYEVSEDPLKRHHILGQNFPWERSSGNKSDTRIEAVRQKFMEAKRLATNENLIHSKQFQEALEVLSSNRELFLKFLEEPSPAFLKQLDGLDTTPAPPPTKRITVLKPIKSVENNGIRETRTHQVINEENELVMGKTHQRSYSADDNFSKSTRIVVLKPSPGKPNRTGARLTARAAPSEQTRRIDFHGGLQDDASILGSRELLHGSVQHMPESRHRRDESLISSTYSNGYGGDESSFSGSEVDYIDGGSPSDSDAVSPMSRHSWDYIRRHNSPHSASTFSRAHSHSPESSVIREAKKRLSERWAMVSYNEINQEQVPLRRSSTTLGEMLSLQVAKKEEAVAGIISVSSNRSSGTGNELAMKDACKSTLREYDENGKSSPRNLAKSKSVPVSSSIFDNVAVNAQSANSEGTPKVFTKSGRAKLSFTGKISSFFFPGNKRPTKEKTSLSSDSSGEIFGCIGHMVPQSDHNLGPDEQMAFCKDEADNSTNHAPCSTKDSGSIEVPVSSDCVSGDVDEVKSNGDLKSIHDETSPTSILDTVFEDSNSNEPESSRRTSCTERVALRCPAIDSVARSFSWEDTNSGSPLLGGLKHSNVDDADYDYDELKCYSLVQEIVSSAGLCHLQLSMVFTGWYLPESPLDPALCDKFLDRKEEDAKSRERRSHQKLIFDCVNMALVEIGQDTLLCSYPWSRECLRTWREKLSETLGEEVWNIVSDWLYGDGSFAANKDDNAGIILERIMQEEVEGKGWIKLLTMETDEITEQIASEVLEDIVTDSVEHLSICCSEHGISMPVANL >ORGLA04G0152000.1 pep chromosome:AGI1.1:4:17624533:17625687:1 gene:ORGLA04G0152000 transcript:ORGLA04G0152000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHWSHPSCGLLLLVTVFCLLLVFRCSQLRHSGDGAAAAAPDGGAGRNDGDDVDERLVELAAVDPAAMAVLQAAKRLLEGNLARAPERHRDVALRGLREWVGKQERFDPGVMSELVELIKRPIDRYNGDGGGGGEGEGRRYASCAVVGNSGILLAAEHGELIDGHELVVRLNNAPAGDGRYARHVGARTGLAFLNSNVLSQCAVPRRGACFCRAYGEGVPILTYMCNAAHFVEHTVCNNASSSSSGAADATAAAPVIVTDPRLDALCARIVKYYSLRRFARETGRPAEEWARRHEEGMFHYSSGMQAVVAAAGVCDRVSVFGFGKDASARHHYHTLQRRELDLHDYEAEYEFYRDLESRPEAIPFLRQRDSGFRLPPVSFYR >ORGLA04G0151900.1 pep chromosome:AGI1.1:4:17618829:17620511:1 gene:ORGLA04G0151900 transcript:ORGLA04G0151900.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCTEVVPGCMVQHGLEVHPGMGGQPREPSRRRRRLVAARHLRRRQPRHLEPRQQQLHDSCSLVQPGQHHDEQQHRRRSPEQRQPRPLRRLEFLHHLLESFSHMTDTFLPGAKMGWNKATGFTHGLVSSKNSGDLSPGVYSATPSSDFVNPGLFLAWNSSVVYWSTGPWNGDYFSNTPELTARALFTFDFVSNDHEEYFTYRLRNDTMVTRYVLAASGQAKNMIWSSVSEDWVTFYAKPGAQCDVYAVCGAFALCREDMLPFCNCMEGFSIRSPQDWELGDQTGGCVRNVPLNCGVTDRFYAMSDVRFPANAKNMEAGTADGCKQACLNDCSCTAYSYNGSCNVWSDGLFNVARQYNYNQSSSGGILYLRLAAEDDVSESSKHTRGLIIGVVAVASVLILSLFTIVIMFVRRNKRNCSSVGRIICGTVAFRYKDLQHATKNFSERLGGGSFGSVFKGVLTDSTVIAVKRLDGARQGEKEFRAEVRSIGIIQHINLVRLIGFCCEGSNRLLVYEYMPNGSLDSNLFGSKVASLDWSTRYKIALGVARIGLHAWELSGLHHTL >ORGLA04G0151800.1 pep chromosome:AGI1.1:4:17616463:17616684:1 gene:ORGLA04G0151800 transcript:ORGLA04G0151800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVDGDSIWHTMEVLCAYGIRSRIWKESKFGTIGYVKFVSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA04G0151700.1 pep chromosome:AGI1.1:4:17605692:17608590:1 gene:ORGLA04G0151700 transcript:ORGLA04G0151700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVDGDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFVSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA04G0151600.1 pep chromosome:AGI1.1:4:17599850:17603646:-1 gene:ORGLA04G0151600 transcript:ORGLA04G0151600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein [Source:Projected from Arabidopsis thaliana (AT2G33205) TAIR;Acc:AT2G33205] MIKVQGPVVLYQDGVHEAARRRRSLRARYAYGLIFFATNLLAWFVRDYGAKLLRGLHHVPVCGAGDSKCFQSGGVLRVSLGCFIFFWVMFATTFGTRKLHEVRNSWHSGCWILKFLVYAVSIIIPFIVPNIFIQLYGEIARMGAGIFLILQLISVSHFISWCNKRWMPDSQSNQCGLFGLFLSTISFIASFAGIAVLYVLYVPNSSCAFNIFTITWTATLVAVMMAVSLHSKVNEGLLSSGIMGLYIVFLCWSALHSEPQTGKCHTRLIFANDSDWATIVSFIIAICAIVMATFSTGIDTRSFQFRNDEDQLEDDVPYSYEIFHIVFAMGAMYFAMLFISWELNHPTRKWSIDVGWVSTWVKIINEWFAASIYVWRLISPVILRKQAANNEELVPRTLIVQCSR >ORGLA04G0151500.1 pep chromosome:AGI1.1:4:17573236:17576721:-1 gene:ORGLA04G0151500 transcript:ORGLA04G0151500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAAARTPWALQLGVALAFLLATTCHGLNHEGWLLLTLRKQIVDTFHHLDDWNPEDPSPCGWKGVNCSSGSTPAVVSLNLSNMNLSGTVDPSIGGLAELTNLDLSFNGFSGTIPAEIGNCSKLTGLNLNNNQFQGTIPAELGKLAMMITFNLCNNKLFGAIPDEIGNMASLEDLVGYSNNLSGSIPHTIGRLKNLKTVRLGQNAISGNIPVEIGECLNLVVFGLAQNKLGGPLPKEIGKLTNMTDLILWGNQLSSVIPPEIGNCINLRTIALYDNNLVGPIPATIGNIQNLQRLYLYRNLLNGTIPLEIGNLSLAEEIDFSENVLTGGVPKEFGKIPRLYLLYLFQNQLTGPIPTELCVLRNLSKLDLSINTLSGPIPACFQYMSRLIQLQLFNNMLSGDIPPRFGIYSRLWVVDFSNNNITGQIPRDLCRQSNLILLNLGANKLIGNIPHGITSCKSLVQLRLADNSLTGSFPTDLCNLVNLTTIELGRNKFNGPIPPQIGNCKSLQRLDLTNNYFTSELPQEIGNLSKLVVFNISSNRLGGSIPLEIFNCTMLQRLDLSQNSFEGSLPNEVGSLPQLELLSFADNRLSGEIPPILGKLSHLTALQIGGNQFSGGIPKELGLLSSLQIAMNLSYNNLSGNIPSELGNLALLENLFLNNNKLTGEIPDTFANLSSLLEFNVSYNNLTGALPTIPLFDNMASTSFLGNKGLCGGQLGKCGSESVSSSQSSNSGSPPLGKVIAIVAAVIGGISLILIVIIVYHMRKPLETVAPLQDKQIFSAGSNMQVSTKDAYTFQELVSATNNFDESCVIGRGACGTVYRAILKAGQTIAVKKLASNREGSNTDNSFRAEILTLGKIRHRNIVKLYGFIYHQGSNLLLYEYMPRGSLGELLHGQSSSSLDWETRFMIALGSAEGLSYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPYSKSMSAIAGSYGYIAPEYAYTMKVTEKSDIYSYGVVLLELLTGRAPVQPLELGGDLVTWVKNYIRDNSLGPGILDKNLNLEDKTSVDHMIEVLKIALLCTSMSPYDRPPMRNVVVMLSESRDRARMSSSSSPASDHSSKKDNL >ORGLA04G0151400.1 pep chromosome:AGI1.1:4:17570923:17572380:-1 gene:ORGLA04G0151400 transcript:ORGLA04G0151400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PMU5] MAAEGEEARSPHVVLFPFLADGHIPAFLRLAGHLQTLRPGLAVTLVSTPRLLGSLSLPATSPPIRLHALPFAPADHGLPDGAESLADLHVHQFITLFRASESLRPAFDGFVAGIRPPVCVIADSFFAWTADVARARGASHAVFLPGGAFGHAAFFSVWEHLPHTLTAGGDEFPLLPDFPDVVLHRTQIPQYMLAATGADPWTAFFRRVIPCCRKTDAVLVNTVQELETSGLDMLRASFGVQTWAIGPILAAPDPSKSQDDDDTSIIRWLDAHPRRSVLYISFGSQNSISIRQMAELALGLEASGRPFVWAVRPPVGFDPKDGFDPGWLPDGFEDRMARAGRGLVVREWAPQARILAHPSTGAFLTHCGWNSILESLRHGVPLLGWPVGAEQFFNAMVVVEWGVCVEVARGNLESSAVESGEVAEAVGAVMGETEKGEAMRRKAGEIARAMAAAWEGPAGSSAASLERFLRCVEASALRDSCLGAS >ORGLA04G0151300.1 pep chromosome:AGI1.1:4:17569214:17570458:-1 gene:ORGLA04G0151300 transcript:ORGLA04G0151300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAIIALMEDMELPPPSPLIEDEAVSMPLLSSKTTTDHHCRQVQGRIGEEVSFLLLMFDKFETPRSSAIGFGIDRAWRHHLQVPGLVLIGTTPSTIGYQPDCVAANSYVRSTGNSLRFDQLENDLMGYGD >ORGLA04G0151200.1 pep chromosome:AGI1.1:4:17563480:17565048:-1 gene:ORGLA04G0151200 transcript:ORGLA04G0151200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRAQRTMLVVAGDAPPTAGGGARFAAPPPERDHTQDLPDEILSLVFASLTPTDRNACSLTCARWKEVDASTRHRLSLDARAALGYAAQGIFARFTAVSKLALRCARGSGTDSLSDDGARQVAAALPSARLARLKLRGLRQLSDDGLASLAGATPVIRKLSVASCSFGPKAFVAVLRSCPLLEDLSVKRLRGLPDTAGATTAITEEILFPPASSLRSVCLKDLYSALCFVPLVASSPNLRSLKILRCSGSWDLPLEVIAARVPGLVELHLEKLQVGDRGLSAVSACANLEVLFLVKTPECTDAGIISVAEKCHKLRKLHIDGWRTNRIGDHGLMAVARGCPDLQELVLIGVNPTVQSLRMLGEHCRSLERLALCGCETVGDPEIICLAERCAALKKLCIKGCPVSDRGMWALNGGCPSLVKVKLKRCRGVSYECIENLKVVRGGSFSISLDIVLERDAGGAIENGGQEAGQVQITELTDQMAAMDLPTNATNAQSSAQASSRMRSVMSALRRRFGNPPAL >ORGLA04G0151100.1 pep chromosome:AGI1.1:4:17550836:17552515:-1 gene:ORGLA04G0151100 transcript:ORGLA04G0151100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKDVLSWYLITVKLKETVDANLNKSAPPPGALPLWQALNRNLPLLTNGEAAGAGGDALPPRQEREREVKVQVQSPAHSPKPQDPEWVVAIRGKLAQARAEEAACPWARLSVYRVPKSLRDGDERAYMPQVVSIGPLHRGRRRLREMERHKWRALHHVLKRTGHDVTAYLDALRPMEERARACYDGRVAGMQGNELVECLVLDGTFVLELFRGAQDGGKGFGDDLGYSRHDPIFAMRGAMHAIRNDMILLENQIPLFVLDLLLGLQLGNPEQTGAVAGLAVRFFDPLMPTDEPLLRKDRSKLESSIGASPAAAAFEFDPLSGPMLHCLDVFRRSLLRAGLQPTPPPPARLWLKKWSGLRRVADKRRQQFVHCVSELREAGIRCRRRNTDRFWDIRFHDGVLQIPRILIHDGTKSLFLNLIAFEQCHMDIATPGGNNITSYAIFMDNLINSADDVKYLHDRGIIEHWLGSDAEVADLFNRLCQEVVFDINDSYLSGLSDQVNRYYDHKWSTWIASLKHNYFSNPWAIVSVVAGVLLLLLTMTQTFYGTYSYYRPMH >ORGLA04G0151000.1 pep chromosome:AGI1.1:4:17542906:17546492:-1 gene:ORGLA04G0151000 transcript:ORGLA04G0151000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSVRGRVLQQTAEPDEEESMYFLKGVAPMSGSEYSSSDSEATLDDIPPIVIDADLEEEENMSDMSSMLNLQGPDKLGNNQPLDIVPLNSIPFRQEVAFHQKVDSSKEEVPVPQWMKQLDNYKDGDWTVFLQIRDDGHKDWKIVKYWLPKILEKVNRAIWVETPSWVITCCGWQLKPVMLINVDAYIDKAHIYITPEHMSSYSLSLPSIIKSTKPSSCSREMGF >ORGLA04G0150900.1 pep chromosome:AGI1.1:4:17535455:17536819:1 gene:ORGLA04G0150900 transcript:ORGLA04G0150900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLSAARCLTLFCLLGACIAPGARANGRHSRRDLDINLGNGNGGGISIGIGGSGGGGGGGSSGGSSGGGGDLRPCDFENERLYKAYKVIQKFRRTVTCDPQNIISSWSGADLCSTYKGFFCERPPNITDRTIASVDFNGYNLQASSLKEFVDALPDLALFHANSNNFGGAVPDLSRLQYFYELDLSNNKLSPATFPTDVLKLKNATFVDIRFNSFYGELPGGVFCSFPQVQAIFVNNNQFSGSLPDNIGDSPVNYLSLANNNFTGEIPKSIARMANTLFEVLFLNNKLSGCLPYELGLLAKATVIDAGTNQLTGPIPASFACLRKVEQLNLADNLLYGEVPNALCELAFSWSGRLRNLTLSNNYFTSLGSCCWDLIKEGKLNVDRNCIPYAPNQRSHDECAAFFHRTKTSACPCNTYVPCGHNKHSAAGAGSEQDTAAAEEDKYRTYSALHP >ORGLA04G0150800.1 pep chromosome:AGI1.1:4:17528384:17531134:1 gene:ORGLA04G0150800 transcript:ORGLA04G0150800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDSLRSCTEQLLRVREEKERLIIEAADKISLEQKKVWSLQQKLEDANKRFAKVTTENYNLRNIVNSKDKVITELSESAALLNQKLIDATARLEFTHKQCGSLQYEVRILQKELEIRNKEREYDLKSIDAAQKHQQESTKKITALETECQRLRTMVQKRLPGPAALAKMKDEVERRGSNCVENRRRKPHSSAQSSPQMVTPTHPVSEGYLVKMQELDDENRHLRQLLAKKENDLQFVQLQYADEASKLSVVQGQLKELVGSHELDDDNRPEPWANSSLVSKGEHFRVGKQHASHSRGRRIAGSDMQLLVDISEIEKLEMISRPSSAPHQCVPDASDTESKTALTETVCQDRILEDGLSDKYPEWIQDVLELIIKKHQVLKISVDIIIDEIRSALRTEISDKGNDAANVTYDQAVIDSMVATLVERVSCMIERSSGNNVLSSQSFLHEKSELTCHLEHLICVCSDVLDGKANLRKFIEEVCLTLEWTVNQYIYCVDALETVDCITNDFDGNVSLRSLNMQEKQQMQRTSPKVAVGVQQEVQKEPFLIPGDPVENHSQVQFVTCKLDKELLAVRQDHGDNCQEKQSIHYEESATADGSMQLLPEEEGKQLTNSAISAAADKLAECQETITSLSKKLQALKCPANADAVDKRKSDNLHLLVANQNFSSPPSIEAACKKENDERVTTEKNLLQEQDVGTGHKVDNNGSTQIAPRPVIPKSPLTTVSVDMKKRKKKKQGGSLLSRLIFGKKA >ORGLA04G0150700.1 pep chromosome:AGI1.1:4:17512265:17518083:-1 gene:ORGLA04G0150700 transcript:ORGLA04G0150700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:I1PMT8] MAAQMAAVAVNGGSPGAVTAAAAAGGVGVAVGLGGGGAQSLYVGDLEASVTDSQLYELFSQAGQVMSVRVCRDISSRRSLGYAYVNFNNPVDAARALELLNFAPLNGKPIRVMYSNRDPSSRRSGSANIFIKNLDKAIDHKTLHDTFSAFGNILSCKVATDEMGQSKGFGFVQYDKGEAAQSAIKSLNGMLINDKPVYVGPFLRKQERENSVDKTKFNNVFVKNLSESTTKEDLVKIFGAYGNITSAVIMVGMDGKSRCFGFINFESPDDAARAVEELNGKKINDKEWYVGRAQKKSEREIELKRRFEQSMKDAADKYQGLNLYMKNLDDSIGDDQLCELFSNYGKITSCKIMRDANGVSKGSGFVAFSTREEASQALTEMNGKMISGKPLYVAFAQRKEDRKAMLQAQFSQVRPVPMTPSMAPRLPMYPPMAPLGQQLFYGQAPPAIMPPQPGFGFQQQLVPGMRPGGAHMPNYFVPVVQQGQQGPRPGIRRSGAGSAQGQQSAQPFQQQMLPRGRVYRYPPARNMPDVPPMPGVAGGMIQSYDMGGFPVRDAGLSPAPIGTLTSALANANPEQQRTILGESLYPLVELLEQNHAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMDVLRNVAQQHNANTPTSQLAALSLGDAIIS >ORGLA04G0150600.1 pep chromosome:AGI1.1:4:17508877:17509942:-1 gene:ORGLA04G0150600 transcript:ORGLA04G0150600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQAVSEMHVVMDLEGTTKRIPPMQTPRARLLRSCGESILAMARGAYRRVEAMRCPVGCVARGASRAAAPVLSPLRLRCLSALAFADRQLLVVQDVAAVLFPAAERVLGRGADDLVLLVESLPARLDGAIDALEALLAGAAGLFVLPKRCRRYRADEDGDDGVGGAVFRDIWCDEKEAASLHRSAMEEEARRHSDDVARKELESLEVVTADDGGGGGNTVHGDKAPVDGEGEAATPAKRGDASGGQECGVEDVQRVETPAAEITDAMKDSTEIVKDEDQERGGSEREEEETFAMARTESREEALLGLFDIAWQQKLA >ORGLA04G0150500.1 pep chromosome:AGI1.1:4:17505032:17508355:1 gene:ORGLA04G0150500 transcript:ORGLA04G0150500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHAAALLQHPPPPPPPASLPSTACTSSSRRRASPWGGAGRLIRLRLRGHCPSPASARAARVVSPRCSSYGAAADAGESPAEALRRVLESPGAHQAPACYDALSARLVGRAGFKVCFTSGFSISAARLGLPDVGLISYGEMIDQGRLITEAASIPVIGDADNGYGNCMNVKRTVKGFIKAGFAGIILEDQVSPKACGHTQGRKVVSREEAIMHIKAAVDARKESGSDIVIVARTDSRQALSLDEALWRVRAFADAGADVLFIDALASREEMKAFCAVSPGVPKMANMLEGGGKTPILSPAELEETGYKLIAYPLSLIGVSMRAMEDALIAIKGGRIPPPSSLPSFEEIKDTLGFNSYYEEEKRYVVTPAQSSSYRSGYYDNTSEASSPGDAKSRTETPQEPVIDILPQLYDLGSTGGRGPSAGMWSRTLRLRITGRDGVQKIDARIPAGFLEGMTKVIPGLAGANIMERLRNAPIDSENPQNGQILLDFEDAMGDRIQVFIE >ORGLA04G0150400.1 pep chromosome:AGI1.1:4:17499615:17503494:1 gene:ORGLA04G0150400 transcript:ORGLA04G0150400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADNWLGFSLSGQGNPQHHQNGSPSAAGDAAIDISGSGDFYGLPTPDAHHIGMAGEDAPYGVMDAFNRGTHETQDWAMRGLDYGGGSSDLSMLVGSSGGGRRTVAGDGGGEAPKLENFLDGNSFSDVHGQAAGGYLYSGSAVGGAGGYSNGGCGGGTIELSMIKTWLRSNQSQQQPSPPQHADQGMSTDASASSYACSDVLVGSCGGGGGAGGRASSHGQGLALSMSTGSVAAAAGGGAVVAAESSSSENKRVDSPGGAVDGAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEDKAARAYDLAALKYWGTTTTTNFPMSNYEKELEEMKHMTRQEYIAHLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDIYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSSTLPVGGAARRLKEAEAAAAAAGGGVIVSHLADGGVGGYYYGCGPTIAFGGGGQQPAPLAVHYPSYGQASGWCKPEQDAVIAAGHCATDLQHLHLGSGGAAATHNFFQQPASSSAVYGNGGGGGGGNAFMMPMGAVVAAADHGGQSSAYGGGDESGRLVVGYDGVVDPYAAMRSAYELSQGSSSSSVSVAKAANGYPDNWSSPFNGMG >ORGLA04G0150300.1 pep chromosome:AGI1.1:4:17495471:17495959:-1 gene:ORGLA04G0150300 transcript:ORGLA04G0150300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPSFNPFTLLERNDPGDNPLSKEKEPVAYRKPPVPPAAWPSKSAPAPKKKNDDKKKKNNNNSKNKKKPQEAGKGAANAAAGNKKPSAVKKEDTAKYIGYQYRAPIRTKKPDPKADKKKEQEAAAAPPSPPPPPPRPATPPPSFDDAAHFPTLGKNSKKK >ORGLA04G0150200.1 pep chromosome:AGI1.1:4:17492184:17492825:-1 gene:ORGLA04G0150200 transcript:ORGLA04G0150200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAAETETEGGGGAAAVEPQVVVVERVVTVEYLEPSMSRGLLGMFPDSSAFDFDYSQSGIWSPLNKVPRPPPPPPRSGGGGAEGSRDFLIANPKRRARAAIGGRSSRSRRRRLRLRREDGSFLNLHETGCARLDFSPPPPSSPLPAKEEGWRRVLKAAIRKFKSRQRRSRPAPLLQMMLPTL >ORGLA04G0150100.1 pep chromosome:AGI1.1:4:17491413:17491778:-1 gene:ORGLA04G0150100 transcript:ORGLA04G0150100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGCGGGHSGQPAKVAAAGEARQCICSPTTHEGSFRCRLHRRGGMPRSASCQQLQLGAESSPLLCSAAAMRRSASQLQFANQHPLDPGMSRSASEKELPPCAGVPRSASWQDFAQKEDH >ORGLA04G0150000.1 pep chromosome:AGI1.1:4:17483289:17487282:1 gene:ORGLA04G0150000 transcript:ORGLA04G0150000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEDISNDSKSSCGCEDGTVEAPAAAAPKENGRAADPRLQAISDAIRVVPHFPKPGIMFNDITALLLRPAVFKDAVDMFVERYRGMRIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYILEYGTDCLEMHVGATEPGERVVVVDDLVATGGTLCAAIKLLERAGADVVECACLIGLPKYKNFYKLNGKPVYILVESRK >ORGLA04G0149900.1 pep chromosome:AGI1.1:4:17465003:17467516:1 gene:ORGLA04G0149900 transcript:ORGLA04G0149900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFAIVSKNDIPIYEAEVGSAPKKEDLAYQHQFILHAALDVVQDLAWSTNAMFLKSVDRFNDLVVSVYFYLYNNIVFFNLMTHARFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARSSLPVNSNLININSDRCVCGVMVRTANISRQLIGGRRRSQERFWEHTSSDEPIAACQVNQEMRCYTTKHTLARLITVT >ORGLA04G0149800.1 pep chromosome:AGI1.1:4:17460745:17464006:-1 gene:ORGLA04G0149800 transcript:ORGLA04G0149800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIE2/ALG10 family [Source:Projected from Arabidopsis thaliana (AT5G02410) TAIR;Acc:AT5G02410] MGRLTVAAAVAAWAIPLAALVASIVPDPYMDEIFHVPQVQRYCRGDFLIWDPMITTPPGLYYISLAYVATLFPGAWVTRIAEAFDALCTTALLRSTNVIMAMICGVLVHDLLLCIRPKIGKRKATAFAILVTLYPIHWFFTFLYYTDVASLAAVLAMYLFCLKKQFWVSAAFGAFSILLRQTNVIWMIFFAANGAIAHAQYLYVKDNVCYENSELTDKSNKEASHMDNKTTAPGLRRRRNNNPINKREVVSESNIMYSSFTEEIWDAIFKLWNSKCEVLIAFIPFAMVLLVFVAFIVWNGGIVLGAKEAHVVSPHFAQFLYFGLVSAAALLPWHFTPTRASDLFHWCRKNKTYSSFAILVALGLSLVAVHLFSIAHPYLLADNRHYTFYIWRKVIQVHWMMKYILTPVYVYSWFSIVNILGKSQTRLWVMSFVLSVALALIPAPLVEFRYYTIPLVILVLHSPVISNVKLLALGFLYAAVDFFTLAMFLFRPFQWQHEPGTQRFIW >ORGLA04G0149700.1 pep chromosome:AGI1.1:4:17456658:17459279:1 gene:ORGLA04G0149700 transcript:ORGLA04G0149700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPCFGSRRKDGSEDPVLGRDGNSLNSSELRSMSDRVPPSPLRVPASPSRFSLSSSPSRNEPLNLSLEQVIKLTHNFAPDLMIGEGYFGKVYRAQLRDGHIVAIKRAKMEHFASLRAEFSNEIALLKKIEHRNLVQLLGYIDKRNERIVITEYVPNGTLREHLDGQRGLVLSFNQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLNEGFRAKVADFGFARTGPTEPDQSQIQTDVRGTAGYVDPEYLRTNHLTVKSDVFSYGVLLLEILSGRRPIEVRRAARERITVRWAFEKYNRGDVKEILDPMLTESVNEDILNKIFDVAFQCVAPTRADRPTMKEVAERLWKIRRDYAKTQRRAEMAL >ORGLA04G0149600.1 pep chromosome:AGI1.1:4:17442065:17448519:-1 gene:ORGLA04G0149600 transcript:ORGLA04G0149600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACSRKRGQLLVDEEDLYSARFSKSSSFKWLLHTLPRSGSDVHRKVQGPVPARCPSLMELCVAKVREDIGKYSDFSLLPRDLSQQVFNELVEWNILTEELLGAFRDCALQDICLADYPGVRDAWMEVAASQGQSLLSVDISCSDVTDGGLNQLKDCINVQSLSCNYCDQISEHGLKTLSGLSNVTSLSFKKCSAVTAEGAKAFANMVNLGSLDLERCPKIHGGLVHLKGLRKLEKLNLRYCNGITDSDMKHLSDLTNLREIQLSCCKISDLGVSYLRGLSKLAHLNLEGCAVTAACLEVISGLASLVLLNLSRCGVYDEGCEHLEGLVKLKVLNLGFNYITDACLVHLKELINLECLNLDSCKIGDEGLAHLKGLLKLRSLELSDTEVGSNGLRHLSGLRNLQSINLSFTLVTDIGLKKISGLNSLRSLNLDNRQITDNGLAALTCLTGLTHLDLFGARITDAGTNCLKYFKNLQSLEVCGGLITDAGVKNIKDLKALTLLNLSQNGNLTDKSLELISGMSFALVRNESIQHTIYLFICIPSMCTGLTALVSLNVSNSRVSNSGLHHLKPLQNLRSLSLESCKVTAIEIKKLQLAALPNLVSVRPE >ORGLA04G0149500.1 pep chromosome:AGI1.1:4:17437848:17438144:-1 gene:ORGLA04G0149500 transcript:ORGLA04G0149500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPCRWMGVRRSMVARRWVGAGRQLRAPTTARRSPPPFNIVAIKDVCEDGRAVHIVMELFIVGELLDKIQEEGHYNERKAAEIKDDGLSIKGDRHP >ORGLA04G0149400.1 pep chromosome:AGI1.1:4:17437184:17437847:-1 gene:ORGLA04G0149400 transcript:ORGLA04G0149400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAEIKDDGLSIKAIDIRVLQTRSGFTELIGSPYYVAPEVLHRYVIDQNLRYCTYGPKSDVWSATVVLNVLMSGVPPFWAETQQGKYLMQF >ORGLA04G0149300.1 pep chromosome:AGI1.1:4:17427792:17431420:1 gene:ORGLA04G0149300 transcript:ORGLA04G0149300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLPRGAALLLLLRSAARRPAAASSRGFLSSAAAGGREGALAAAAVAVVGSGLGLWLVPPSLADSGEAVADAPAGQISVGSGSGAVGAVEEQGRKRRFLLGDSFRRRVFFNYEKRIRLLSPPEKIFEYFASVRNPEGEVFMLPADLMRAVVPVFPPSESNIVREGRLRGERNPGELHCAPSEFFMLFDTNGDRLISFAEYIFFVTLLSIPESSFSAAFKMFDVDHSGEIDKEEFKKVMALMRSYNRQGANHRDGLRTGLKVGQPVENGGVVEYFFGNDGNEPLHYDKFTNFLKGLHDEIIRLEFSHYDVKSSNTIPAKDFALSMVASADMNHINKLLDRVDNLGNDPDLKGVRITFEEFKSFADLRRRLEPLAMAIFTYGKVNGLLTKQDLKRAAHHVCGVDLTDRVVDIIFHVFDTNHDGNLSSEEFVRALQRRETDVRQPATPGSMGLLSYWLNFNKCSSLTQMLLK >ORGLA04G0149200.1 pep chromosome:AGI1.1:4:17419319:17424027:1 gene:ORGLA04G0149200 transcript:ORGLA04G0149200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGVGKVKAGSRPPWVGLAAAVWVQVAAGSAYVFPLYSHAIKEALGYNQKALTMLGVANDVGENVGLVPGVLANRLPPWLILLIGSACALLGFGTLWLAVTKTLVMPYWVLCIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLQNSPTNLLLLLALGIPTACVLVMYFVRPCTPSLDEDNAAEHSHFMFTQISSVVLGVYLMVATILGDTLKLGDAITYLLFGIMILLLLAPLAIPIKMTIYPNKPKREKTSTLALSYSTDSLSGPDQENSEPLLGGTSTFVTGANDSDEATDVDLLLAEGEGAVNLKKKRGPRRGDDFTFREALVKADFWLLFIVYFCGVGTGVTVLNNLAQVGMAVGADDTTILLCLFGFCNFVGRILGGSVSEYFVRSRMLPRPFWMMCTQIIMVITFLLFATGLHSLIYVSTTFLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAAKQQPGVLEPSTCLGPDCFRLTFYVCAIVCCCGTLVSVVFIARIKPVYQMLYASGSFRHPRSQQLH >ORGLA04G0149100.1 pep chromosome:AGI1.1:4:17403460:17405344:-1 gene:ORGLA04G0149100 transcript:ORGLA04G0149100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTERAFLKQPKVFLCPKKTTKGKKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSKSGAGKKVFTAA >ORGLA04G0149000.1 pep chromosome:AGI1.1:4:17394094:17401400:1 gene:ORGLA04G0149000 transcript:ORGLA04G0149000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRS120 [Source:Projected from Arabidopsis thaliana (AT5G11040) TAIR;Acc:AT5G11040] MEPGVSIESGSAIRVAVLPVGGPISPARLRDYAALVARHARVDLASLRPYYSEHQKSPFAHQPWGGGCLRLKFVLGGCVPSPWEDFQSSRKVLAVVGICHLPSSPDLGRVAADFVDAARSYPSALASRCFAFCPTDAQLVQKKRDNIIMFPPSDQQSLELHMLTMIQDLSASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVIKAKKRRLGRAQKIIGDYCLLAGSPADANAHYATAIELARLTGDVFWHAGALEGSVCALVVDRMAESDPVLEDEVKFRYYTIIQLYRRATLQDNAQRVSPVSFELEAALKLARYLCRRQCAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGTLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTTTTTAYHVQSRKTSKMDHGLLKSVVSLFESQWSTLQMVVLREILMSSIRAADPLSSWSAAARLLRSFYPLITPAGQSGLASSLSNSADKLPSGTRCADPCLPFIRLHSFPLHPSQREIVKRNPNKKEWWTGGGPSGPFIYTPFTKGGTSGTSKQEVNWIVGEPVQVMVELANPCSFDLIVESIYLSVHSGNFDAFPVSVNLPPNTSKLVLLSGIPTQVGQVSIPGCIVHCFGVITEHLFKEVDCLLLGAAQGLVLSDPFRCCGSSKFKSVNFPSISVVPPLPLLVANVVGGDGSILLYEGEIRDVLITLTNAGTVPVEEANVALSGKNQDSVISIAHSTWKSALPIKPGGEVTFAVTLRAWHLSPTDLEADGSRSPANSRRIAREGSNPFLDIHYAGPSGNSESNDVSLPPGRRLVVPLNICVVQGMRLVRARLLSMELPARFTDAHLRSVSSKDNLSNGSDAIRNDISLLKIDPYKGSWDLRLLELELFNPTDVVFDVDVSVHLDGTSVEQKILPEDKTASSACHKTRIDRDYSARVLIPLEHFKLPVLDTSFFVKENGSDEPLGSRAATLAEKNAKAELNASINNLISKIKVKWHSGRNSSGELNIKDAIQTALQASIMDILLPDPLTFSFRHAKDGTTAKTDSSKEPGDGSSRSADESVLRCKDPIFANEMTHMEVQIRNNTKETIRMNLSISCKDVAGENCFDENSATVLWAGVLSDIYLEVQPLQEVVHPFSIYFLVPGDYSLQAASVIIDATDVLRARAKAESPDEPILCRGSPFHIHVVGTA >ORGLA04G0148900.1 pep chromosome:AGI1.1:4:17390748:17393174:1 gene:ORGLA04G0148900 transcript:ORGLA04G0148900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGSSSSMSSCSSSTTASTGPDQRRLSAAAPTFDPSMASSSSMAATTAGVPGSSPAMAPLLTSARMSSGSSASSTTSFDPAGSSALAPARSPRPGLSASAPAFYPTTASSSSTPVMPAVPGFLPQIPEPTASCSDFPPEMLWHHPCGEQKIKGGRPDLLGREFGILAEQKRLIQRHLDLKEEMHLRHNKEIENAFISETRLARGVEQDLLGDCKKAVLVQQDQSGKEEESHQCLRQLQDVNGEQLVGVPKLGLPGAEENVACNNTASHKEFSEFPGPDIKFGSINIRDIPLLQGRQAAVILPNPQLDRRTSGLTPAGASSLDQETDQELNEKLL >ORGLA04G0148800.1 pep chromosome:AGI1.1:4:17386177:17388360:-1 gene:ORGLA04G0148800 transcript:ORGLA04G0148800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPIHQHTIANVRKLNRLWEDAKRAACKITMAGIMDEENASSTNSIKLELRIYMHCKACERSVRRAIEKIDAQSILPEISTNYTYAGVEKVEVERGENKVTVTGGGDFEPEKAVRRIKKKTGKKVEILALEEEDDDHEEDGGGGADAQAHHEFQRHGYYVPYYHHRHHHHLVPVPCAYVPSCYDHLVPVPPPDNGGGGTADVAHEFQRRGGVGHYGYYAPCYYDGGGGGGDVAHEIQRPVRSAWDLHGFDDENTQACRVT >ORGLA04G0148700.1 pep chromosome:AGI1.1:4:17383212:17385091:1 gene:ORGLA04G0148700 transcript:ORGLA04G0148700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGAAVAAACGRWCLVILAVASALGVSGPAFYWRYKKGFASSSSSSSVSASAAAVVSPSCPPCSCDCPPPLSLQSIAPGLVNFSTSGCGKNDPELSKEMEKQFVDLLNEELKLQQIVAEEHSHHMNATLVEAKRQATQYQREAEKCNAATETCEEARERSEAAISKEKKLTALWEQRARQLGWQDSRPRVTTI >ORGLA04G0148600.1 pep chromosome:AGI1.1:4:17374877:17380450:1 gene:ORGLA04G0148600 transcript:ORGLA04G0148600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:I1PMR7] MDPAPATPRWNLERPYLTGRFHQEAKVAAAAQGAGSKPYSLDSFSGGGGGGGGAESVIGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQELTRRIFPLCEDFVLVYHFVESRSHFKSGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALAVLVEKAISNNTSGSATLNLLQSQAKAMAGDSAVRSLLEKMTECASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDGIPSFLTNVAATILTTGKYLNVMRECEYTVQVPLSESSKLMGFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISVEKLQSLVDIALRSTAAASDPSHEDLTCCVERSSLLKKLSTLKDLDCAYPSDKLVAADVDHPMPLSVTGLETFCLSYKVQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCTAWQIQQGFRSVKILGTPVLRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLQTARSIDEVIQIHDFFLQKCLKECLLLLPELLVKIEKLKALCLQYATSIQLLIPSIDVAKPENTSKSRMPRSKIKETKNRGQQLKLASENVVMSESILKFEAEFNSELQSLIPTLSNSSQAEPYLTHLGQCILGVGVDQ >ORGLA04G0148500.1 pep chromosome:AGI1.1:4:17365396:17371748:-1 gene:ORGLA04G0148500 transcript:ORGLA04G0148500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAQMEVERRLRDIGARFTSLPDADDELLRLIEEAETWLARVDQSPPESMHKALRPTMSALIKKELLDHSVPDIKLAVASCLTEVTRITAPEAPYDDDVMKDVFTRVVEAFEKLDDMESPSYARRVAMLETVAKVRSCVLMLDLDCDDLIRDMFHHFFRTISNTHQENVITSMETVMKFVIDESEDVQQDMPSCLLQDLASYLLKNLKKEEKETLPASFELAEKVINKCYEKLKPVFTPLLRGTPLDEYSEVVTSLFEDALDAGVADNSDAPGKDTVADGKLSHKIVSDESAQESSKLEQDANCPGKDGTPPNNTSTSAVSNGCALIDRVKSPSGPSSSDKKAELPSDDNQAKDTDDLISGAKEIPEPITTEPEKPSDHNLKKSHKLDTSTDSEVVDHSKAVNNNEDILVSRELSPETDDGDNKLPPETGNRAADDKSKHVDNTPAGKGKRGRPPASKSHEKKNVGKGKVSGLESKKADAVSDSGGRATRRLAKDDDIKSSFKKTGEGESSKKKQKENLKQQEDTPPDEDTDEDLSLKDIVSPKSSAKTGKNKGQAGDSGGSKRKRAQEAEETPQPKKNKILKGNLVGSRIKVWWPDDRKFYKGVVESFDVASKKHKVVYDDGDVERLHLKNEKWEFIDEGRDNNPDASSDMPRGRRGRVSLGEQTKEGKIETPSSGKHRGTDVADPPKKRGRPKGVRSSNSSQNDDSPLKGKSAENDDEDISKTPRSGSALKNEGGRSSRSTGKTKDGLLKGSNKDETGNTKSASKSKNDGGSKHKDSKDEAKSSGSNPKGASTPKAADGSKTNGLSTKRKQKEKEGESSEEEEHVSAKISTGKKRRRKAHN >ORGLA04G0148400.1 pep chromosome:AGI1.1:4:17361325:17362689:-1 gene:ORGLA04G0148400 transcript:ORGLA04G0148400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRVRFNVGGQVFETTTTTLANAGRESMLGALLDSSWNLAPTAGGGGGGGGGGGGGGVAEYFIDRNPACFAVLLDLLRTGSLHVPPQLPEKLLYREALYYGLLDHVRAARWGAFDGDRLRLAASVPGRAPGDGTAIRAAPDGGCCVAHGGAVHVYNWMLDERRPVSLDHSQVNDVAYLDEATLLIAARERLGKCDGGMAAFSAVSGDLRHRFRVAHDRQAKSFTAGALAFDQDSSIFASCKGRLNEYGIGVWDRATGEQADFFYEPPGCALGDADKLQWLDATNALMVATLFPKTDNCFIGLLDFRDKNVAWSWSDAGMAASLDDKRVLHAIAMEDERSVCVINQYDDLGFLDLRSNAGGVRWSSRSKFMNRKVPSEESCYPKLATHGGQLFSSMNDSISVFSGPECVLTSTLRRSHGGAICDFSIGGDRLFALHNEENVFDVWETPPPPII >ORGLA04G0148300.1 pep chromosome:AGI1.1:4:17343739:17346352:1 gene:ORGLA04G0148300 transcript:ORGLA04G0148300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGANARGWLSPASGGGGDSEPRSAGSRTRSVSATRGRKPSPRPGRDAAAAAAEEKKPAAVPTLLPSLSVPAGMRRQELLLRSGLSLDASCSSDASTDSFCSRASTGRIGRPTFGARKKKTLCQTDHKIVSMLEREVGLASANDVPGLKRRCSWVTANTEPCYAAFHDEEWGVPVHDDKVLFELLVLSGALAELTWPTILNKRPIFREVFMDFDPVLVSKLSEKKIIAPGSPSSTLLSEQKLRGVIENARQILKIVEEFGTFDKYCWSFVNNKPILSRFRYPRQVPVKTSKADAISKDLVRRGFRSVGPTVVYTFMQVSGMTNDHLISCYRFAECAAAATGSNTTVGSETNSDSSNRATEQQMNGTNGLAADIARTIDELSIS >ORGLA04G0148200.1 pep chromosome:AGI1.1:4:17337673:17341358:1 gene:ORGLA04G0148200 transcript:ORGLA04G0148200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDPKERLLLPPRAAAAAAANGPHRRAAPAAGGGGGGVAIDVHGLKRRGGGRRSWVRVDAATGASEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERIRCIITADEALILRDPDVAGGGAETEEAVRRYVAELQRRLVDRADDLPFEFIALEVALEAACSFLDAQAVELEADAYPLLDELTTKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMEASLLEEQAFQGMGNSGFGSSFSAPVSPVSSPPASRRLEKELSFARSRHDSFKSADSSQYSIEELEMLLEAYFVVIDYTLSKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVSGVFGMNFEVDLFNVPHAFEWTLVITGVCGLVIFCCFIWYFKKRRFFPL >ORGLA04G0148100.1 pep chromosome:AGI1.1:4:17328701:17329587:-1 gene:ORGLA04G0148100 transcript:ORGLA04G0148100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAPAKKGDAKAQALKAAKAVKSGTAKKTTKKIRTSVTFHRPKTLKKSRDPKYPRVSTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >ORGLA04G0148000.1 pep chromosome:AGI1.1:4:17324070:17327083:1 gene:ORGLA04G0148000 transcript:ORGLA04G0148000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQRPLQVPDITKSTHSGGNTVLAYASSAMQGYRSTMEDAHATIENLDAPTNTSFFGVYDGHGGSAVARYCANHLHNKVLEQEDFSSNLANALRQSFFRMDEMLRNQAASKELTEYGSGNEYWRTAGRSWLRCAPCVQGPVYCGPLAEGCTACVVLIRNTQIVVGNAGDARCVISRNGQAIALSNDHKPNFPEETQRIVAAGGSVSFSRGSHRVNNGIAVSRAIGITYMFVGGDLSYKNNKKLRPEQQLLTCSPEIRADQLTDDTEFLVIACDGVWDVLANQAVVDFVCLHLNNGVELSVICESLLQEAITRDPPSTDNMSVILVRFLHPEGNRGARAATSSTSTGTVPSRHSKSISL >ORGLA04G0147900.1 pep chromosome:AGI1.1:4:17314655:17319511:1 gene:ORGLA04G0147900 transcript:ORGLA04G0147900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVRRSTMVRPAWETPRVRLWNSNLDLVVPRFHTPSVYFYRRGPEGGGAPEGFFDGERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNGEGVLFVEADAPDASVDDYGDFAPTMELKRLIPAVDYTDDISSFSLLVLQVTYFKCGGVSLGVGMQHHVADGMSGLHFINSWSDLCRGTQIAIMPFIDRTLLRARDPPTPSYPHVEYQPAPAMLSSVPQSVTANKTTPPPTAVDIFKLTRSDLGRLRSQLPSGEGAPRFSTYAVLAAHVWRCVSLARGLPSEQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLAEAGKVTSGLADGAAVIQEALDRMNDSYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANKDGSLSIAISLQAEHMEKFRKLIFEV >ORGLA04G0147800.1 pep chromosome:AGI1.1:4:17302178:17302483:-1 gene:ORGLA04G0147800 transcript:ORGLA04G0147800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSTRQMLEKKARQVGTAACPAATRVSRDDQERALAHPLACYVVPCAPPERSTAPLRARFRHPPRHPLTTNGQRAARRERVIVYARASSFGISSLRVPQL >ORGLA04G0147700.1 pep chromosome:AGI1.1:4:17297267:17297917:-1 gene:ORGLA04G0147700 transcript:ORGLA04G0147700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CIPPSLLLILCTAVASFLIFLCGGDGGAAAAAGGPRSVKMASSLYVPQLTRWRVALGGVSRGVVEHEGKVHLVVSRGEDDDRVVAAAGKEEEKEEEDEDPRERVEIGGRLFPVVDETEVVLHGGKVVRAVEYGERRGSPAAPLLLTVTEGKEKELAEVVGAPDGGGVLRVVGCGCYADPVTGTVQHMVDVQGSEAFVLLVSVREELGRIVSIKRLN >ORGLA04G0147600.1 pep chromosome:AGI1.1:4:17296055:17296763:1 gene:ORGLA04G0147600 transcript:ORGLA04G0147600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTILFYCVALSVVAAAAVVSSAAEEAEGPQDEAGRFLSAATLASSDSDAKTSRRALTSQEEIIAKPCPVEFEQVKGFGELGAKCNDKQTMKECCELFKKIACPYNHLLNDITNVCANEFFYLIHTKGKLQPGTILENCNEGPMGINC >ORGLA04G0147500.1 pep chromosome:AGI1.1:4:17293264:17293937:1 gene:ORGLA04G0147500 transcript:ORGLA04G0147500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMGSSIGRATIFYCVALSMIAGAAATQVPPTEAESVEAAELASSAFKADTLPSASRKLMAVSDVPLAPVCPVRFDKMKGPAIELGKKCKTTGVKVCCEAFKTFACPHNKLINDVNNGCTDEMFYTIHTYGQLSPGTIFKKCLEGPHGMKC >ORGLA04G0147400.1 pep chromosome:AGI1.1:4:17291626:17292387:-1 gene:ORGLA04G0147400 transcript:ORGLA04G0147400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPASRVRREDVARAVAALLRWLQHHPTPAPEPIYLLVTLKRAPARRFEHTLRLPRSPFPSISLVSDRLPADLPDDIDPLPSPALGSLPPAARRGLVLVDRRLRVRPGGKGKAAAKAARVVPVDLADQAWAESAREAARRVELRVEGGTCRAVRVGHAAMAREEAVENVVAAVEAAAACVPRKWRNVRALHVKAPESVALPLYSAVGTGGGDDGGNGEAEDAKRKGVAVKEQGIVKRRKKSSSVSVGGDQL >ORGLA04G0147300.1 pep chromosome:AGI1.1:4:17290096:17290338:-1 gene:ORGLA04G0147300 transcript:ORGLA04G0147300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPADDAVLAHLADHGYGRNLHLVAELRIERADSAVAFSTPCAAAGGGKKRRCLLLPSSTGHRSTIAFLACGDVGFFRPL >ORGLA04G0147200.1 pep chromosome:AGI1.1:4:17283317:17283827:1 gene:ORGLA04G0147200 transcript:ORGLA04G0147200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRLYRPSAAASGPTRSGGGRRHRAERPPSAPSRPCRIVGVEQLRQRPPLLRPRRRLLVHPLRHCWIRGQQQRRPSAGVGELCPSVKGAARKNWNGVLLLVHAIAIFFYRIGANEFAKLEVTGCLDWLI >ORGLA04G0147100.1 pep chromosome:AGI1.1:4:17278761:17279423:1 gene:ORGLA04G0147100 transcript:ORGLA04G0147100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITTGDRKEKRNVGFKIPKKKFRFCVRDEYGTKALAEQVMVKSTSLWREIELRTETRMITAFFYHGCGLRTWSAYRQNIPIFNKLHAALNNILRRYKSRRVEIGLFYLQSNMKCFQFTVRSPLDNNNEFLKKLRKIKAHECNMREDNAEKTGSLSPIIMGTLRLVSKRHVLGFMFVIFLSCVIIFL >ORGLA04G0147000.1 pep chromosome:AGI1.1:4:17266788:17272189:-1 gene:ORGLA04G0147000 transcript:ORGLA04G0147000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative eukaryotic translation initiation factor 4 gamma [Source:UniProtKB/TrEMBL;Acc:Q1MSJ1] MEKDHQPVISLRPGGGGGGPRPGRLFSPAFAAAASGSGDLLRSHVGGASKIGDPNFEVRERVRYTRDQLLELREIVDIPEAILRIKQEIDIELHGEDQIWGRPESDVQVQTQTQAQPHNRYGETDNRDWRARTVQPPAANEEKSWDNIREAKAAHASSGRQQEQVNRQDQLNHQFASKAQVGPTPALIKAEVPWSARRGNLSEKDRVLKTVKGILNKLTPEKFDLLKGQLMESGITTADILKDVISLIFEKAVFEPTFCPMYAQLCSDLNEKLPSFPSEEPGGKEITFKRVLLNNCQEAFEGDESLRAEIAKLTGPDQEMERRDKERIVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGSGPDKKACPEEENVEAICQFFNTIGKQLDENPKSRRINDTYFIQMKELTTNLQLAPRLRFMVRDVVDLRSNNWVPRREEIKAKTISEIHDEAMKTLGLRPGATGLTRNGRNAPGGPLSPGGFPMNRPGTGGMMPGMPGTPGMPGSRKMPGMPGLDNDNWEVPRSKSMPRGDSLRNQGPLLNKPSSINKPSSINSRLLPHGSGALIGKSALLGSGGPPTRPSSLMASPTHTPAQTAPSPKPVSAAPAVVPVTDKAAGSSHEMPAAVQKKTVSLLEEYFGIRILDEAQQCIEELQCPEYYSEIVKEAINLALDKGPNFIDPLVRLLEHLHTKKIFKTEDLKTGCLLYAALLEDIGIDLPLAPALFGEVVARLSLSCGLSFEVVEEILKAVEDTYFRKGIFDAVMKTMGGNSSGQAILSSHAVVIDACNKLLK >ORGLA04G0146900.1 pep chromosome:AGI1.1:4:17263415:17265074:-1 gene:ORGLA04G0146900 transcript:ORGLA04G0146900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of rudimentary homolog [Source:UniProtKB/TrEMBL;Acc:I1PMQ0] MAGRHTIILMQPSQNRATRTFMDFNSVNHALDGICGLYERKIRDINPMARDLTYDINDLYNFIDGLTDISALVFDRSLHAFLPYDRRWIKQEMFQHLKRLAQQ >ORGLA04G0146800.1 pep chromosome:AGI1.1:4:17260690:17262814:1 gene:ORGLA04G0146800 transcript:ORGLA04G0146800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQEEEDDDAALREAGGGASFPGGWLRRLSRELHWSFVLAVVAVYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKLGIMPAILALTAQSAGAAVADVTVDALVAQNSITHQPLAADMQSLCGFSSSVGALLGFSISGLLVHSMGSQGALGLLSIPSALVFLAGVLLKERRVTDFDYKQVHRKFYKAIQSMGATLKCAEVWRPCVYMYVSLSLSLDIQAGMFYWYTDPIVGPGFSEEFIGLVYSVGSIGSLLGVLLYQISLKDYPFRGVLFWGQVLSSLAGMLDLIMVTRLNTRIGIPDYVFAVIDNSVSQMVGRLKWLPLLVLCSKLCPPGIEGTFYALLMSIQNAGLLMSGWWGGLMLHLLNVTRAEFSNLWVAVLIRNLSRLLPLMLLFLVPQSDQNSMLLPAEMLQDNESTEARKGGQDTAEFSVLVADDSSCHALNVAVEDERIKVVDAGTGTVELIPLMNEIQDRGS >ORGLA04G0146700.1 pep chromosome:AGI1.1:4:17256306:17258371:-1 gene:ORGLA04G0146700 transcript:ORGLA04G0146700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGEKGMQPKISAFFKRQAPEPETSSGGDTHREGTCGMEVKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLRMCSVCGMTYARGNDDDEKAHKAYHKSYFEGVPFKGWRNETVVARSEGGDRIILVTDENSCARNSKVQEVIKVVQKELGFGEGQLLHKLCKVYLFISSQRIVGCLVAEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEDVPAHCGFRAIWVVPSRRRKRIGSQLMDAARKSFLEGETLCISQCAFSPPTSSGKALARSYCKTSAFLVYKEQDA >ORGLA04G0146600.1 pep chromosome:AGI1.1:4:17252330:17255823:1 gene:ORGLA04G0146600 transcript:ORGLA04G0146600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle 48B [Source:Projected from Arabidopsis thaliana (AT2G03670) TAIR;Acc:AT2G03670] MEEVRGRESKDHRGGGGGGGDGDGERTGRWRAEEVIAGNRAVLEALRELVMYPVLYAREARVLGLNFPRGLLLHGPSGTGKKSMVRAVVRECNAHLTVIDSISVHKPHAGEGEKFMREAFTEAYSQASQGRPAVIFIDELDDICPPRGSRREQGSRIVGQLLTLMDGKSSKLLPHLVVVASATRVDAIESALRRPGRFDSEIEVTVPTAEERFEILKLYTKNLHLGECVDLQSVAASCNGYVGADLQALCREAARRAYGRLSSSSESENVLTLIMEDWESAKSVAKNSVTRGVTKEIPAVSWDDIGGLKAVKKKLQQAVEWPIKHAASFDRLGISPIRGVLLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRRTFQMARLASPSIIFFDEADAIAPKRTGPSGNSSGNATVGERLLSTLLTEMDGLELATGIIVLAATNRPNAIDAALLRPGRFDMVLYVPPPDAEGRYEILRIHTRKMPLGDDVDLWKVAEHTELFTGADLEGLCREAGMAALRESLRSERFVCDDIHFQAALRSLRPSLTQSVVDEYSNAAIHGPLTRRKH >ORGLA04G0146500.1 pep chromosome:AGI1.1:4:17245861:17249480:-1 gene:ORGLA04G0146500 transcript:ORGLA04G0146500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1R303] MDQMRRQRRRECIGVLCSALFFSVLAVAVAAGSGSSSQPPSSSPAPAASSATPAARAPSQRTPPTPATPAKAASPPATNSSSSPRTPAAPAPRPPQPPPATSPAPTKPSSPPAPKPPSPPAPSPSTTPSSPPAPKPSSPPPAATPTTKPSPPPSSPPAPRPSPPLPPRTPPPPPPAAAPKPSPSPPPAPTNSTTNSSSPSTSTPVQLSPNFYAQSCPSVELAVRDVVRSASTLDSTIPGKLLRMLFHDCFVEGCDASVMVEGSGTERTDPANLSLGGFNVIDAAKRLLEAVCPATVSCSDILVLAARDAVTFTGGPLVPVSLGRLDGLVSLASNVRANIIDTGFSVDAMARSFSAKGLTLDDLVTLSGGHTIGSAHCTTFGERFRVDANGSTVPADAAMNADYAGGLIRACSAVNNTVSSTAAVDCDEGSASRFDNAYFANLLAGRGLLRTDAVLVQNATTRATVEAFARSEGSFFASWAASFARLTSLGVRTGADGEVRRTCSRVNG >ORGLA04G0146400.1 pep chromosome:AGI1.1:4:17241062:17242674:1 gene:ORGLA04G0146400 transcript:ORGLA04G0146400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSRSLMYEAPLGYSIEDVRPAGGVKKFQSAAYSNCSDQPAPMGVLSAADPPPVSAIGFEGYEKRLEITFSEAPVFADPDGRGLRALSRAQIDSVLDLARCTIVSELSNKDFDSYVLSESSLFIYSDKIVIKTCGTTKLLLTIPRILELAEGLSMPLAAVKYSRGMFIFPSAQPAPHRSFSEEVAVLNRYFGHLKSGGNAYVIGDPAKPGQKWHIYYATQHPEQPMVTLEMCMTGLDKEKASVFFKTSADGHTSCAKEMTKLSGISDIIPEMEICDFDFEPCGYSMNAIHGSAFSTIHVTPEDGFSYASYEVVGFDASTLAYGDLVKRVLRCFGPSEFSVAVTIFGGHGHAGTWAKELNADAYKCNSMVEQELPCGGLLIYQSFDATEDVPVAVGSPKSVLHCFEAENMVNPAPVKEGKLGNLLPWGEDALEENDGVFDE >ORGLA04G0146300.1 pep chromosome:AGI1.1:4:17237834:17238499:1 gene:ORGLA04G0146300 transcript:ORGLA04G0146300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVYSKSQFKGYIYAPDQVSSGEMDNLAQKEAFLKFFAEQLLHYLNKNREVATTTIPNQTRVGDSMQNEGRRPLGRSSRIPAWGSEVSGGGKRRDRRGAMKRQGLRGLSAPDLDD >ORGLA04G0146200.1 pep chromosome:AGI1.1:4:17230156:17233805:-1 gene:ORGLA04G0146200 transcript:ORGLA04G0146200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit [Source:UniProtKB/TrEMBL;Acc:I1PMP3] MAEQIEIKTAPADFRFPTTNQTRHCFTRYIEYHRCVNAKGEATADCEKFAKYYRSLCPAEWVEKWNEQRENGTFAGPL >ORGLA04G0146100.1 pep chromosome:AGI1.1:4:17228543:17228728:1 gene:ORGLA04G0146100 transcript:ORGLA04G0146100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIAIAVVPAGSQAGSSWLMMMRRMMSSSMVGLLVSSLEKKKKKKKTPKSMVRCHDASCC >ORGLA04G0146000.1 pep chromosome:AGI1.1:4:17220059:17222202:1 gene:ORGLA04G0146000 transcript:ORGLA04G0146000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) with plant pleckstrin homology-like region [Source:Projected from Arabidopsis thaliana (AT5G57770) TAIR;Acc:AT5G57770] MELDRSLIACEEPPSEPMDLLSSAWCSSAIQVLQTGSMDCSMALVENPVMAPDNERRDLLPKNDRSLVVDSSGFSTTQWKYDDLKSWIWLQKAIHPELDYDLKKKWLPRKMAPWSGISLKKWVKERKQKRKEEARLHKAEVHAAVSVAGVAAALAAIAAESSTPAATMKETAVASAAALVAAQCAKVAEAAGATRDQVAAAVNAAVAATDASNVITLTAAAATSLRGAAALRGRRSGGGGGSQNERMDHASSALSQDDLDFDFNYARSKAALAKGDEMFVAMPDGKWKLHTVSAATSKGGKVVMRIKKMNLVMAFSNAKESVIHDVQPCAPEKPSRDEDATYPIEVTTSKGKVELRADDYGVYKRWVTALTHMLAAPTAICKAHELPPPPPPHRRN >ORGLA04G0145900.1 pep chromosome:AGI1.1:4:17216033:17217919:1 gene:ORGLA04G0145900 transcript:ORGLA04G0145900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASGSATLLYPKTPQSPRLLRRNPHYSGLRLVHPLLLATVSPPPPAALRRRRNSTTIHASSSSAAAAAASFPASPTPHPRPPRTDPPEEHPTVARAGRSKKHRKPSGGRIEGGGDVRREAKSRARIRSRRLGENAFYRRKRRAAKENQADAFTDAELEMIGLGYDRSVRFMDGPDDPRLRHRHDWYRFGRYGPYSWRGIVVGPPIRGRFSDDRVSLMSEVSDHDEWDRVEQFDMSNQFSNRLNELDAVVGFRYYWVFVRHPRWRPDELPWEQWTLSAEVAIQASEEQRLDKWNLMGRLGNPTRELITRCAAWTRPDIIYVKRPLYQSRFEPQKNFYSQLRPLVDPVTENQFLFDLEHDGQVIRTTYFGGLCRIVKASPKAYVDDVVNAYSKLSDVDKNRCLEFLLTNHPMELLHPYTKEWKVKLEEMELGCDAPDDNESDDEGGDESGTEVVDWVEDDGFDEGGDTDDDEEPGYDDDEVIDVREEVETEEVESDDESEKYWDEQWKQAMKSSDKMEKLVKTSIEASNEYNRRRMQQEKEMELRMARANTMVMKQDQTEDEDEQQEQIEDEDEQQESPRGRSAKDKRKSKAPGHFLRAAVRPFTYRNLVKEIVLMRHFIVDGEID >ORGLA04G0145800.1 pep chromosome:AGI1.1:4:17210017:17211112:1 gene:ORGLA04G0145800 transcript:ORGLA04G0145800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDKSAVVGGAYWGLAARACDACGGEAARLFCRADAAFLCAGCDARAHGPGSRHARVWLCEVCEHAPAAVTCRADAAALCAACDADIHSANPLARRHERLPVAPFFGALADAPKPGSGAHGGDAAAADDDGSNDAEAASWLLPEPDHGQKDGAVGATDELYADSDPYLDLDFARSMDDIKAIGVQNGPPELDITGGKLFYSDHSMNHSVSSSEAAVVPDAAAGGGAPMPVVSRGREREARLMRYREKRKSRRFEKTIRYASRKAYAETRPRIKGRFAKRTKGGAGADADADADADGEDEEMYSSAAAAVAALMAPGGSDADYGVDGVVPTF >ORGLA04G0145700.1 pep chromosome:AGI1.1:4:17202961:17206603:-1 gene:ORGLA04G0145700 transcript:ORGLA04G0145700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAAVPLDEAKAKELLRQVEFYFSDSNLPRDNFLRKTVEESEDGLVSLALICSFSRMKKHLGLDANVKQETMPEETVLAVAEVLRRSSALRVTEDGKKVGRSIELSKLDEIMEQVDSRTIAASPFPYNVKLEDVQSFFAQYGKVNSVRLPRHIADKRHFCGTALVEFSEEEEANAVLKNTLVFAGADLEIKPKKEFDTEREAKKEAYEKSQPTKNGHDEGYPKGLIVAFKLKIIQIDGGMAENGGDKEGETDDANKSRTGHDEKIPENSDIKEDLSDDVEKSKEAAAQSVKKGESPSENADDPISREDFKEEFGKFGTVRYVDFSIGEDSGYIRFEDSKAAEKARALAAISDEGGLIMKGHLVTLEPVSGQAEKDYWSAIKGGQGKYRDNRSNRGRDWKNNRGGRHFGGKRGRHSDGHERANKARKVDAAA >ORGLA04G0145600.1 pep chromosome:AGI1.1:4:17198371:17201535:-1 gene:ORGLA04G0145600 transcript:ORGLA04G0145600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6,7-dimethyl-8-ribityllumazine synthase [Source:UniProtKB/TrEMBL;Acc:I1PMN7] MAAAAPATTSSAAARPSSSSSFSRQSDAPLRAATVSFPYSPRPAALAAGARASRVSPVVVAAGGGHQRLMGSLTNTQGLRFGVVVARFNEIVTNLLLQGALETFERYSVKKENITVVSVPGSFEIPVAAQKLGKSGKFDAILCIGAVIRGDTTHYDAVANSAASGVLSAGMSAEIPCIFGVLTCDDMDQALNRAGGKAGNKGAEAALTAIEMASLFQHHLA >ORGLA04G0145500.1 pep chromosome:AGI1.1:4:17196414:17197577:-1 gene:ORGLA04G0145500 transcript:ORGLA04G0145500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSTTVRDGTLSHGDFVASAKALIEKWKEIDVDDALPDWRWKPCCKMGVPSEEEGYLALEGVYRNHGGSQVQSCSGNLHFYDYHVVYSFSYKVPVLYFQGHQSGGQLLTLDEIKEDLPSLSLKLLGESRWTFITREEHPHFSRPWFTLHPCGTSDCMKLLLEGMQDKDQQVRYLPAWLTVVGKAVGLKIPLGLHCNS >ORGLA04G0145400.1 pep chromosome:AGI1.1:4:17195477:17195881:1 gene:ORGLA04G0145400 transcript:ORGLA04G0145400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYHFCRAINQDMATKYIVGSVTASFAFAYVSGVYFADKKVLGGTTPRTVADKEWGKVTEEKLDAWPRVAGKPVAMNPVTRQNYVLVKKKKASGSKKASEP >ORGLA04G0145300.1 pep chromosome:AGI1.1:4:17184520:17187845:-1 gene:ORGLA04G0145300 transcript:ORGLA04G0145300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-hairpin glycosidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G65610) TAIR;Acc:AT1G65610] MYSANHWGGSFEIAADGAAEDDHSRNMDLDRGALSARQHQLDETQQSWLLGPPEAKKKDKYVDLGCVVVKRKLLWWVLWTLLAAFILIGLPVIIAKSIPKKKPHAPPPDQYTDALHKALLFFNAQKSGRLPKNNGIKWRGNSGLSDGSDLTDVKGGLVGGYYDAGDNIKFHFPLAFSMTMLSWSVIEYSAKYKAVGEYDHVRELIKWGTDYLLLTFNSSASTIDKVYSQVGIAKINGTQPDDHYCWNRPEDMAYPRPVQTAGSAPDLGGEMAAALAAASIVFRDNAAYSKKLVNGAAAVYKFARSSGRRTPYSRGNQYIEYYYNSTSYWDEYMWSAAWMYYATGNNTYITFATDPRLPKNAKAFYSILDFSVFSWDNKLPGAELLLSRLRMFLNPGYPYEESLIGYHNTTSMNMCTYFPRFGAFNFTKGGLAQFNHGKGQPLQYTVANSFLAALYADYMESVNVPGWYCGPYFMTVDDLRSFARSQVNYILGDNPKKMSYVVGYGKKYPRRLHHRGASTPHNGIKYSCTGGYKWRDTKGADPNVLVGAMVGGPDKNDQFKDARLTYAQNEPTLVGNAGLVAALVALTNSGRGAGVTAVDKNTMFSAVPPMFPATPPPPSKWKP >ORGLA04G0145200.1 pep chromosome:AGI1.1:4:17178563:17179817:-1 gene:ORGLA04G0145200 transcript:ORGLA04G0145200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNKKIVLRNHVTGFPKESDMELVAATGAAPSRVPEGTDGAVLVKNLYLSCDPYMRGRMSSHDGSYVDAFVVGEAITGYGVGKVVDSSHPGFKAGDLVWGMTGWEEYSLIKDPSRALFAIRHPDLPLSYYTGLLGMAGFTAYVGFYEICAPREGERVYVSAASGAVGQLVGQFAKLMGCYVVGSAGSDDKVRLLREKFGFDDAFNYKKESDLSAALKRCFPEGIDIYFENVGGAMLDAVLLNMRVRGRVAACGMISQYNLEHPDPVHNLTAIVTKRLRIEGFIVSDHYARYREYEEKAARYVKEGKIGYVEDVAEGLENAPAALIGLFSGRNVGKQVVVVARE >ORGLA04G0145100.1 pep chromosome:AGI1.1:4:17167635:17173278:-1 gene:ORGLA04G0145100 transcript:ORGLA04G0145100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKYALEHTNIPVLLRHSSISTFGQEKFGCEVEQSTASQNSRESNHEKAQYILRKQGLLGLSILCHPTRGASLASYESKPQTFGFPLGARYFLQSVRPTSSTAGQPKVGILDERSENQNQNQGKKEASPEECDQAVEGLSTAKAKAKAKLVQEVQKSDQSIIHKFWSILLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLLADVRISSRLLVKLAGGKSLTRRERQQLTRTTADLFRLVPFAVFIIVPFMEFLLPVFLKMFPNMLPSTFQDKMKEEEALKRKLKARMEYARFLQDTAKEMAKEVQTSRSGEMKQTAEDLDEFLNKVRKGGHVSNEEILSFAKLFNDELTLDNMNRARLVNMCKYMGIQPFGTDHYLTFMLRKKLQEIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMQNQLRDWLDLSLNHSVPSSLLILSRAFTMSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSDRKRKLEFLEMQEELIKEEEKRQEKEDKAKLEVPKATEEDVALKEMTEPTAREEKELKKAKVEHDRKEQLCDISQALAVLASASSVAKERQEFLNLVNKEIELYNTMLEKEGTEGEEEARRAYKAAREESDHAAEIAAGEKVSSALIERVDAMLQKLEKEIDDVDARIGNRWQLLDSDRDGKVTPDEVAAAANYLKDTIGKEGVQELISNLSKDKDGKILVEDIVKLASQTGKSNEQEETPRQ >ORGLA04G0145000.1 pep chromosome:AGI1.1:4:17164797:17165423:1 gene:ORGLA04G0145000 transcript:ORGLA04G0145000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDGRGPEQSDGAAVPLRRLLMSTGLDADMRRGREGDAASVAVVEEGVGGGGGGRKVCNGGGDGRRDANAYYRRMIQADPANPLLLGNYASFLKEVEGDAARAQEYWERAIVANPGDGDALALYAGLVWETTRDADRADAYFTXGRGPATARPPPPSQLLDVAAYKHAAAGGRAVTYALVIEMQLVMGFFATAFCTVGVVMDSPACR >ORGLA04G0144900.1 pep chromosome:AGI1.1:4:17161838:17163780:-1 gene:ORGLA04G0144900 transcript:ORGLA04G0144900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARLGSSSGAPGATDSPEDGMTDGSFHSPEWHAARLASLNKTHTVTWEEFKKKQKEDELKRGEMEADKDKMMREYRAQLDAERAQKLALGRNHSRSKSSSSKKEKKDKDAKKRSKKRRKHRSSSESSSSSSSESSSSDDEDRDSRKSRSRSRSKRTKKDKKYRSRSKHRGSDSEEEGPVRLSKFFGNPKK >ORGLA04G0144800.1 pep chromosome:AGI1.1:4:17155045:17159903:1 gene:ORGLA04G0144800 transcript:ORGLA04G0144800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G16260) TAIR;Acc:AT5G16260] MEGGDEVGWYVLGPNQEYVGPYALSELREHFANGYISESSMLWAEGRSEWMPLSSIPDLLAVVTKKDQPDEADAPGIEDDFDKFQKEVIEAEAEVEASTDKAADNDVNQEHGADDPDDRPATPPDGEDEFTDDDGTVYKWDRVLRAWVPQDDLEGKNDNYEVEDMTFAHEEEVFQAPDIAGSTTLEENNVSAEIEIKEPTKVEKRADKKRKSSEKPADKKEANKPPDSWFDLKVNTHVYVTGLPDDVTAEEIVEVFSKCGIIKEDPETRKPRVKIYTDRETGRKKGDALVTYLKEPSVALAIQLLDGTSFRPGGKTLMSVSPAKFEQKGDVFISKKTDKQKKRKSKKVEDKILGWGGHDDKKVTIPTTVILRHMFTPAELRADETLLPELEADVREECMKLGPVDNVKVCENHPEGVILVKFKDRKDGIKCIDKMNGRWFGGNQIQASEDDGSINHALIRDYDAEVSRLDRFGEELEEST >ORGLA04G0144700.1 pep chromosome:AGI1.1:4:17151175:17151756:-1 gene:ORGLA04G0144700 transcript:ORGLA04G0144700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSVIAPPAGDSASPAHRRARRAFLVSNYMILGAASGCGFLTLSLRLVPSVDGFLLILLHAITVAAAVAGCAVIAAPDPPRGRVYTTHMAGTVFVSILQGAAAVLTFSRTSDFLADGLKSYVREEDGAVILRMIGGLGVAIFCLEWIALALAFVLRYYAYVDRECGGNPLRRSAKVGGEDGAGTWPWPFQV >ORGLA04G0144600.1 pep chromosome:AGI1.1:4:17133743:17139214:-1 gene:ORGLA04G0144600 transcript:ORGLA04G0144600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSSKEPSPARAWWTRETVAVVTGANRGIGLALAARLGEHGITVVLTARDAERGEAAAAALRARGLHVVFHRLDVADPASVQAFAAWLRDAIGGLDILVSQSAITSNQTPFPFSEVSARPSLLRLLHSPDDANVNNAAVSFNEIDTNSVEHAETVLRTNFYGAKMLTEALLPLFRRSPATSRILNISSQLGLLNKVSDPELKRLLQDEERLTEAEVEGMASRFLAQVKDGTWRGQGWPKVWTDYSVSKLALNAYARVLARRLQARGDRVSVNCFCPGFTRTDMTRGWGKRTAEEAAEIGVRLALLPPGELPTGTFFKWCTPQLYSKL >ORGLA04G0144500.1 pep chromosome:AGI1.1:4:17129373:17130244:1 gene:ORGLA04G0144500 transcript:ORGLA04G0144500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTTTQQQQQTRSSKEAGGGGAARSRGVSSKKSPWYQRAVEVLLLIWKQPTGAGTGTTTKAAAAAGVTGGKAAASGMGARLRKSSSLNVAASFTRVCLCAPISSYNEQALYFQAGDVAPRRSYSYPRASSASASGCGGGGNSNPLVAPPPAAEQRALMRTPGPGGESARRPVFRGKSLTDDILMRRFVVDEEATRRRNEMEVIRRRHAAAAKRRRLGPSPLRRMALAESESEADDEEETTTTEAAEPTSRRKPQAVPVAA >ORGLA04G0144400.1 pep chromosome:AGI1.1:4:17119473:17124444:1 gene:ORGLA04G0144400 transcript:ORGLA04G0144400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPTPSNRAGCNGNTGGSMGPSDDPYGAAAMNLDCYSEIYSPSVADQLFSLLNDPAAHRMFAMWPSMGSSPCAAGTSEDMPLDAYSGLGEAVEEPSQIMSVNPTEAEKTGKSSGELGSDDGAHQGSSMVPRSVVGSSLADRMLMALSLFRESLGSGALAQVWMPVEQEGHVVLSTCEQPFLLDQVLAGYREVSRHFVFSAKEEPGLQPGLPGRVFISGVPEWTSSVLYYNRPEYLRMEHALHHEIRGSLAMPIYDPSKDSCCAVFELVTRKEKPDFSAEMDNVCNALQAVNLKATKGSSNQKFYTENQKFAFTEILDVLRAICHAHMLPLALTWVPTSNGIDGGYVVGKDGASFSQSGKTIIRIHESACYVNDGKMQGFLQACARRHLEKGQGIAGRALKSNLPFFSPDIREYSIEDYPLAHHARKFSLHAAVAIRLRSTYTGNDDYILEFFLPVSCKGSGEQQMLLNNLSSTMQRICKSLRTVYEAEVDNVNAGTAAVFRKNNESCLPTGHTESSSHGDQSITGASFEDTSLANKPGVMEPELAEQVQPSSIGHAEKKRSTAEKNISLDVLRKYFSGSLKDAAKSLGVCPTTLKRICRHHGISRWPSRKINKVNRSLKKIQTVINSVHGVDRSLQYDPATGSLVPVVSLPEKLTFPSCDGLPTPSVGKTVEENSDLKSEEGCSLPDGSQRQSCQLQISDVKKSNEDEFHIGSGNSDFYGANATAKSNSEVTQGPLCPTGAFSALHLKGTDCTNPSSSLRPSSESTRNQIVGRNSPSIQQEDLDMLDNHEAEDKDHMHPSTSGMTDSSSGSASSHPTFKQNTRSALKDAASPALTVKATYNGDTVRFKFLPSMGWYQLLEEIAKRFKLPTGAFQLKYKDDEDEWVILANDSDLQECVDVLDSIGSRIVKLQVRDLPCIVSSSGSSTCLQLAAHSS >ORGLA04G0144300.1 pep chromosome:AGI1.1:4:17108113:17111545:-1 gene:ORGLA04G0144300 transcript:ORGLA04G0144300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGHPPPASAAAQNGSHSSGGGGGGDGGGGGANPSPGGTVAALRHDPGLAREWSPEEQSTLDELLVKYASDAPVIRYAKIAMKLPDKTVRDVALRCRWMNKKESGKRKKEDHSSSKKSKDKKEKVSDSSLKPPVHIAGRPNVPPYPLPALPIDDDEISSKAIGGPTGEILETNAQVLSQISTNLSTMQIQDNISLLCQTRDNILRVLKEINDAPDIMKQMPPLPVKINEELVNSMLPRPTVPMQ >ORGLA04G0144200.1 pep chromosome:AGI1.1:4:17101984:17105450:-1 gene:ORGLA04G0144200 transcript:ORGLA04G0144200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRIFGGLKPTKSRLLGPTIRGPPPNGAATHAPRRILFSFSFSPESQWLSQSSTRACLPPLRSPCLPAPSAASPAYPRLASSAASASAPARLRSRITLPGGRSPVPPMDPAASAAESSSLSSRDVAAMPDSPPRRAARHRRAQSEILLGAALPDDIAFDADLGVVGEVGGGGGDDYEEEEEDDEEEEMEGAGGSRMFEMFLEAGGKLETPEPAAPLPPPPARPRHQHSMSMDGSTSLASSAAGVAGRMGADAKKAISDAKLAELALVDPKRAKRILANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLSMLQRDTTGLTSENSDLKIRVQTMEQQVRLQDALNDRLRDEIQQLKVATGQVNANCGKVGNFGLSSFGGANPQGYQRSHIQSLLAAQQLQQLQIHSQHQQQQMHLQQHHHLSAVQQQLLQEGLPLPGDLKMKGIVAASHAQNDGASESHALRSEP >ORGLA04G0144100.1 pep chromosome:AGI1.1:4:17098541:17100918:1 gene:ORGLA04G0144100 transcript:ORGLA04G0144100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASCSSSSAKHLFLLCLLLGFCFAFAASQQEQQQSDSCSSAGVAVAHLVPFNSSAFRCLTVWKQEDFVLRYKNTGESQWSFILSAPDKGSYVAVGFSGKGLMVGSSAVVAWSSGGKGTVKQYYLTGKSPDECYPDKGRLTLVKNKAVAVSRSGRLYLAFQLSTDLPQPHLIYAVGPEGNLPPSDATLPMHRSMHSHAFNYTSGMASSSGGSGGGGFPPERKHGLLAMMAWGVLMPLGMMAARYFRRVDPYWFYAHMAIQAVAFTVGIASVVLGFRLNEDGLKNVDVHRALGIAILAMASLQVMAFLARPDKTSKVRRFWNWYHHYIGRAAILVAIGNIFLGLHIAQEVSAYIVSYGVFVAVWVIAVAAFEMNRCYSDDD >ORGLA04G0144000.1 pep chromosome:AGI1.1:4:17093182:17093670:1 gene:ORGLA04G0144000 transcript:ORGLA04G0144000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNPSKRVDAALRGAPAFAAACDDAFGRCLADAQYAFAGVRPYQLADASAHLHSSLRGSLPLVRRWVPSPPPRARVDSALRAAGLEDAAVLSRGQFREFAAELFREAVLAGAAQAALVRAPAGAAGLVGVGLATRAGAGVVGRLVAIYTAGVAAAVYLSLG >ORGLA04G0143900.1 pep chromosome:AGI1.1:4:17091665:17092158:-1 gene:ORGLA04G0143900 transcript:ORGLA04G0143900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPTKPVAPQRHTLGIKKALVLVFYACDGSRSIGLCEYQLANVGRAIAGTKKRSLRKNKGGEGGGVGHDVLTTALTHPLMGRKAHAGVGDRAQQPLPRRGRGRGGIRVVH >ORGLA04G0143800.1 pep chromosome:AGI1.1:4:17088346:17088928:1 gene:ORGLA04G0143800 transcript:ORGLA04G0143800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLALSCSDVAIAWAALCCSPGSRRAASTAVCRIVDDRATSSKKTARILSLWDDMIIDRSIAESEFALIAVGKRGRSVAFSWVGQWTVCTYRPTIGRLMYRDGNRIRRVQV >ORGLA04G0143700.1 pep chromosome:AGI1.1:4:17082827:17085107:1 gene:ORGLA04G0143700 transcript:ORGLA04G0143700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQCPRPQARTPNPLCAATPWPRAGRRANWRGIFCSRWSPSCRDAFRTIQPARLAASRTHPPCTDITSCNKQQLLYISSEVRVLDRVTRAHDHGLGEVHALPGSCGGGARWRGCTGGLLCHRWPSTKRQLRARAGQVPAEPNWEISGFVEYIGSGHKEQDMILAVPEGAYAVRLGNDATIRQRISVTRHMYYSVTFSAARTCAQAEKLNVSVTPEFSVLPIQTVYTSTGWDSYSWAFKAEHSAVWLSIHNPGVEEDPACGPLIGLVAIKTLPPPHHTRGGTMLRNGDFEEGPYIFADTPWGVLVPPMDEDVHSPLPGWMVMSTTKVVKYVDSARHAVPSGAHAVEMVAGRECAQVQEVATVPGRRYTLSFSVGDAGNGCIGSLAVDAYAARATLKVSYESRGTGGHERAELVFAAVANRTRVVFHSSNHHMKSDGTLCGPVVDDVSLVSVDKHTVRRLLM >ORGLA04G0143600.1 pep chromosome:AGI1.1:4:17079074:17080421:1 gene:ORGLA04G0143600 transcript:ORGLA04G0143600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSARSVVALLFLLVGSAARADSAVTDGLLPNGNFEDGPDKSQLNGTVVTGRYAIPNWEISGFVEYIESGHREQDMILAVPEGARAVRLGNDATIRQRLSVTRRAYYSITFSAARTCAQKEKLNVSVTPEFGVLPIQTVYTSSGWDSYSWAFRAKHSVVWLSIHNPGEEEDPACGPLIDSIAIKNLYPPRRTKGNMLRNGDLEEGPYIFPDATWGVLVPPIFEDEHSPLPGWMIMSDTKVIKYVDSPHHRVPQGARAVELVAGRETALVQEVATVPGRSYRLSFSVGDAGNGCKDSLAVEAYAARATAKVPYESQGTGGHKRAQLDFAAVANLTRVVFQSFNYHTKPDGTLCGPLVDDISLVSVRKRAARL >ORGLA04G0143500.1 pep chromosome:AGI1.1:4:17076820:17078224:1 gene:ORGLA04G0143500 transcript:ORGLA04G0143500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKTEMVRSTCCVVLLLLLSVAARSASAIMDGLLPNGNFEEAPDKSQLNGTRVIGRYAIPQWEISGFVEYIGSGQMQGDMLLPVPEGAYAVRLGNEASIQQRLTLTRGMHYSVTFSAARTCAQSELLNITVTPEIGEVPIQTVYTSSGWDSYSWAFKARRSDMSLIVHNPGVTDDAACGPLIDSFAIKTLQSPPSTKDNLLKNGGFEEGPYIFPNTSWGVLVPPMDEDDYSPLSPWTIMGYTKSVKYVDAAHYAVPGGARAVELVAGMEAALVQEVCTVPGRSYRLEFSVGDAGDGCVGSMSVQAYVSHGSVKVPYESQGRGGNKRGVLEFTATDKRTRVVFVSMAYTMKPDGTLCGPVVDDASVVGVHSHRRLLL >ORGLA04G0143400.1 pep chromosome:AGI1.1:4:17072790:17074207:1 gene:ORGLA04G0143400 transcript:ORGLA04G0143400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKTEMIQTTPCVALLLLVGVAFAARSASAITDGLLPNGNFEEAPDKSQMNGTRVTGRYAIPQWEISGFVEYIGSGQKQGDMLLPVPEGAYAVRLGNEASIQQRLTLTRGMHYSITFSAARTCAQSELLNITITPESGEIPIQTVYTSSGWDSYSWAFKAKHSVVLFIVHNPGVSDDEACGPLIDSFAIKTLNPPQRTKGNMLKNGGFEEGPYIFPNTSWGVLVPPMDEDDYSPLSPWTILSTTKSVKYIDAAHYAVPGGARAVELVSGMETAMLQEVSTVPGRSYRLEFSVGDAGDGCSGSLTVQAYASRGSVKVTYQSQGTGGYKRGLLEFTATEKRTRVVFVSMAYTTKSDGTLCGPVIDDASLVSVRSHRRFLL >ORGLA04G0143300.1 pep chromosome:AGI1.1:4:17057878:17060310:1 gene:ORGLA04G0143300 transcript:ORGLA04G0143300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYNGASVVALLLLVSTAARAAGDGLLLNGNFEYQPSKSQMNGTRVMAEYAIPYWKITGFVEYISSGQKQGDMLLTVPEGAHAVRLGNEASIEQQISVTRGMYYSITFSAARTCAQSEKLNVSVAPGPESGELPIQTVYTSSGWDSYAWAFKAKRGLVSLIIHNHGEDDDPACGPLIDSVAIKTLYPPQATQNNMLRNGDFEEGPYMFPNAAWGVMVPPISEDDHSPLPGWMVMSDTKAVKCVDSAHFTVPHGARAVELVSGLETALMQEVRTVPGRSYRLEFSVGDASDGCVGSMQVKGYAGQGCTTVTYSSQGTGGHTRASLEFAAVANTTRVVFVSSTYITKWDGTLCGPVVDDASLVCVSQQQPPARRLLRL >ORGLA04G0143200.1 pep chromosome:AGI1.1:4:17052912:17054369:-1 gene:ORGLA04G0143200 transcript:ORGLA04G0143200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWAEPSSHRFCSRDPPSTATGGADPATLPLPHVSRLLSARLSRGSVASDDERVRSGDLFPSRNCCACCEPLLLLHVLILGARPWSCMDRGMRATSPLLPLLPPHPTIRKSILWFFELLYWRLMST >ORGLA04G0143100.1 pep chromosome:AGI1.1:4:17044912:17045866:-1 gene:ORGLA04G0143100 transcript:ORGLA04G0143100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPTPTMLAFLALGLALLLSATGQASAQNCGCQSNMCCSKWGYCGTGKDYCGDGCRSGPCYGGGGGGGGGGGGGGGGGGGSGVSVESVVTEAFFNGIKNQAPNGCAGKNFYTRQSFLNAAHSYSGFARDRTNDDSKREIAAFFAHVTHETGHMCYINEINGASMDYCDKNNKQWPCQPGKKYYGRGPLQISWNYNYGPAGQNIGFDGLRDPDRVAQDPTISFKTALWFWMNNVHQVMSQGFGATIRAINGALECNGKNPGAVNARVNYYKDYCRQFGVDPGGNLYC >ORGLA04G0143000.1 pep chromosome:AGI1.1:4:17021730:17022874:-1 gene:ORGLA04G0143000 transcript:ORGLA04G0143000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPTLTMLVFLAIGLSLVLSAASVSVESVVTEAFFNGIKNQAPNGCAGKSFYTRQSFLNAARSYSGFANDRTNDDSKREIAAFFAHVTHETGHMCYINEINGANMDYCDKSNKQWPCQPGKKYYGRGPLQISWNFNYGPAGKNIGFDGLRDPDKVAQDPTISFKTALWFWMNNVHQVMSQGFGATIRAINGALECNGKNPGAVNARVNYYKDYCRQFGVSPGGNLYC >ORGLA04G0142900.1 pep chromosome:AGI1.1:4:17013007:17015271:-1 gene:ORGLA04G0142900 transcript:ORGLA04G0142900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFQATTYKPHNGIIVDKVAIGLGSTCKLLHERAKCSYSNRFIKLQEQVYPRLLLVAACHNRIGPVYASSGKGNSERVNDPFSMESLNKAIAGTKKQWPIQDMLIDQISKIRGSGSGGNGGGNKNSHEGSGGGSEDESLTESLYEMVQVLLATIAFILMYIHIIRGEELYCLARDYTRYLVTGKRTSRLKRAMLNWHNFCEGITNKDSVQESTFERSTSEPMWWQQPLKFVHRIEELYRGYFRPHAQES >ORGLA04G0142800.1 pep chromosome:AGI1.1:4:17000484:17001412:-1 gene:ORGLA04G0142800 transcript:ORGLA04G0142800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTEVANHSKRNHNESYFTGKAAVTSSSEEFGSMTSKKPRNTSPRGAPVSPKEKKDKIGERVAALQQLVSPFGKTDTASVLQEASGYIKFLHQQLEVLSSPYMRAPPVPGAAPEDPDHYSLRNRGLCLVPVDQTLQLTQSNGADLWAPANTTRRR >ORGLA04G0142700.1 pep chromosome:AGI1.1:4:16993151:16994151:-1 gene:ORGLA04G0142700 transcript:ORGLA04G0142700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCDACEAAAATVVCCADEAALCARCDVEIHAANKLASKHQRLPLDAALPAALPRCDVCQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFSSLCSANADHLPPPAPKGNSKPPASGIAAAAAPKPAVSAAAQEVPSSPFLPPSGWAVEDLLQLSDYESSDKKGSPIGFKDLEWLDDIDLFHVQSPAKGGSTAAEVPELFASPQPASNMGLYKASGARQSKKPRVEIPDDDEDFFIVPDLG >ORGLA04G0142600.1 pep chromosome:AGI1.1:4:16989375:16992056:1 gene:ORGLA04G0142600 transcript:ORGLA04G0142600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVLLLRRMEKGWRPMSRALYPSPGSTSVAPPHELRAPRRCSPSYTSHKVFHRDVGFFSGWQSYNLQIYCCIHTSRPVNSQNHTIAEPQQKQEDVALVDESGRPKAKRKKLKGRRAVTRFLKSLRWKKKREFQRMTAEEKILYKLKLARNKEERLVAALTKIEPDDPSEPTHDPEVLTPEEHFYFLKMGQKSKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFTPDEVKEIASELARLSGGIVLDIQEGNTIIMYRGKNYAQPPPEIMSPKVTLPRKKALDKSKYRERLRALRRYIPRLEQELVDLHAQMKLARDYKGQNAAEDITCISDSVNSTSAKEYSSCSVRKRSVSDLLSESIEGSGRLEDENYEVSADSASESITYSESKDLSDIFETDSEEEQVQESKEQPLYLDKLDKYPSENNDNEPDDFEEHLRKIASLSDRTDSSAKELKVSELDEIDKIFLRASSLLKKR >ORGLA04G0142500.1 pep chromosome:AGI1.1:4:16985109:16985864:1 gene:ORGLA04G0142500 transcript:ORGLA04G0142500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQVPTVPASPVVKFPLLPRGLLSYLPANLSSILPVARGAASTCEASSTTTTTMPPAPPASPPPPPKKMSPPGAGAGAGSKKKQQQQADAAELARVFELFDRNGDGRITREELEDSLGKLGIPVPADELAAVIARIDANGDGCVDVEEFGELYRSIMAGGDDSKDGRAKEEEEEEDGDMREAFRVFDANGDGYITVDELGAVLASLGLKQGRTAEECRRMIGQVDRDGDGRVDFHEFLQMMRGGGFAALG >ORGLA04G0142400.1 pep chromosome:AGI1.1:4:16965873:16979958:1 gene:ORGLA04G0142400 transcript:ORGLA04G0142400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSARKKKKKKGGGGRKAAKDHGGQLEGDQAALADELTALGSIFLEDFKVTSESPQTRFTICIRPYSDGMGFGDSNVSATLDVTCFAGYPHKCPKLRVLPEKTLSREDANRLLSLLVDQANIYSREGRVMIFNLVEAAQEFLSEIAPANDSTSMAPWLGSGKVQQTTDVDVKVKLDNGSYHGIAYMHNSFDLYSQLYDGGSWSTQGPDPATDSAGKIVGSQVKSNLKSKRKTIIEKSRVSSDEVNVAKGLLPDNAGQKNIMKHDVIRETVPSLHVVAEETENDSKTVSTSNRENTSGTRERSFSSVHQLEDSDLPDEDWNDEDSGSGSGFSNTPSFDMFDDASRNKKKDLILILSEWAKDLISKSPAVFGETFGHFFGPQMTSSECSLFWRADNSSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILREVATLSRLQHQHVVRYYQAWVETEYGQHHVLNAAGSCTAESSMYSYDNISLSDAGGGNKQESTYLYIQMEYCPRTLRQDFETYTSSFRVDDAWRLFRQIVEGLAHVHSQGIIHRDLTPNNIFFDVRNDIKIGDFGLAKFLKLEQLDHDQYLPSEGMGVSMDGTGQVGTYFYTAPEVEQKWPHINEKVDMYSLGVIFFELWYPFSTAMERHLVLSDLKQKGDSPLSWATQFPGQSNLLRRLLSPSPSDRPSAVELLQNDLPPRMEDEWLTDVLRMIQTPEDTYVYDRVISTIFDEERLIAKTQCQLEGSKKSTCKSDNSELLDSIIEVSKEVFKRHCAKRFQISPLHTLEGKFTENRGKTVKILTQGGEMLELCYELRTPFVMSVAANQILSFKRYEVSWVHRRAVGHSIPYRFLQGDFDIIGGASPIPEAEIVKVTLDVGAHFYDPKAIIIRLNHSKLAEAVCSWAGVPQERRQNVAEFFSSTLVQYWPNKADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADQVLARLRGTLFYDKSACKALDEISAFLKCLRIWSIEEHITIDVLMPPSECYYTDLFFQIYVKEGNPGSSSHEKLLAIGGRYDWLIEQAWDRTYKSKPPGAVGVSIALEKFLPNSPSSDIGFPRIEPSISVLVCSKGGGGLLNERMELVAELWEANIKAQFVPQEDPSLQEQYEYASDHDIKCLVFITEAGVSQTELVKVRHLDAKREKEVKREELVKFLSDAICLQFKNPTIWS >ORGLA04G0142300.1 pep chromosome:AGI1.1:4:16963049:16964939:1 gene:ORGLA04G0142300 transcript:ORGLA04G0142300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPSLLVQCFPGLLPSKATSCVPIVSERDLQLPSPAVEIIPSKSAHPYKYAGEKVDVQGLDIFKGKVSVADMIAFSPSEVVASKYDGSLKYWESSITLVNIIKNEIRDGQLSFRGKRVLELGCGSGLAGIFACLKGASTVHFQDTNAETIRCRAIPNVLANLEQARDRQNRPSESPVTPSRQLLAPNVHFYAGDWEELPTILSVVHPPAAPTNLSFSEDDFMDGCSSHDGSSIVGVDNCPRRSRKLSGSRAWERASETDQADGGYDVILISEVPYAVNSLKKLYALITKCLRPPYGVLYVASKKNLVGSNGGARQLRALMEEEGVLGGHFLTELADREIWKFFFK >ORGLA04G0142200.1 pep chromosome:AGI1.1:4:16939653:16949746:1 gene:ORGLA04G0142200 transcript:ORGLA04G0142200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:I1QFD4] MARPEEKLRCTKEPFIEDVGTRRIKSIRFSMFSGNEVRQSAEVQVWNSRIYNHEMKPVPNGLLDTRMGAANKLGECSTCHGSFAECPGHFGYLKLALPVFNVGFFNCILDVLKCICKSCSRVLLMEKDRLEFLKKMRNPKADPLQKSAIMKKVRDKCKLSRCPWCGFINGNTGVAKKGRAGLIILHDCSKTLDGSTEELRDALSHKKEKLSISAVRMLDPAIVLSLFKRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVFVAGGRMSNEDSITVILKSIANTNSILKENLQTGGQFMKCFDCWQHLQLQVVEYINSDAPSLPESQHRGLVQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLRITEVAIPILMARVLTYPERVSYYNIEKLRQCIRNGPHKHPGANFIIQPDGTKLHLKYCDRRIAARDLKYGCVVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDNFYDRSSFSLLCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNACTKVFLNLTVKEKIYKTPKGSTLEPEAMCPNDGFVYFRNSELLSGQVGKATLGNGNKDGMFSTLVRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNQEKKMKIDGGYKDCHDLIASYSKGALRLQPGCNAAQTLEQSITRVLNEIREEAGKVCMNTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPNGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGKPLNLDQLFMKVMATCPQRGQNTLSPGEILQILNDKLSEHDASSDDGCSEKFKQLLTYFLEDRIKLLKSTRRALLLDEDHVGERHSSFEESIAANISGISAKQLQVFLDTCLSRYHLKKIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITAELLSEKDVLSARIVKGSMEKAVLGEVAEAIKIVLKSSQPNLVVKLDMQRIEALHMGISADSVQLSILNHPKIKLKSEHVRVIDKSKLRIYPAGIDKSKLLYELHHLKSMLPKVIVKGIPTVERAVISETGEENDKRYKLLVEGTNLLAVMGTPGVDAMKTKSNHIMEVNRTLGIEAARRSIIDEIQYTMKSHGMNIDSRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTAEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHLPEFKYQPDPILA >ORGLA04G0142100.1 pep chromosome:AGI1.1:4:16935054:16935755:1 gene:ORGLA04G0142100 transcript:ORGLA04G0142100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVMSMLLASSLLAAASAARADHHSPAYAPYPHHHAPWPAPAQSPSAPDHGAHGHHAPAPAPVHADQPARAPEWHHHAPAPAPVRDDKPSPSHHHHHHGHHHHRHATATAPAHAPSSHHDRHAPAPTPVHSSWPWPAHAPAPAPAVIHGTNSHLAPPAPSSHVQYSPAPTPGDGRHQSPPPPPSPPSADEGAQAPSYNPSPAPAPAQESSSAAVAFAGGAGVLAVTAVALLL >ORGLA04G0142000.1 pep chromosome:AGI1.1:4:16927444:16933996:1 gene:ORGLA04G0142000 transcript:ORGLA04G0142000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMLDANDSADDDFYSGGEAGLGGSDDGDADYDFADHDSDDSAELLSHRQQQNYSILSEADIQQRQEDDINRVSTVLSISKSEACVLLRNYNWSVSKVHDEWFADEEHVRKVVGFPEKLIEMPNDRELTCGICFENCPCTSMSAAACGHPFCSACWRGYISTSINDGPGCLMLRCPDPSCTAAVGQDMINSLADDEDREKYGRYLRRSYIEDNRKTKWCPAPGCEYAVEFVMGSGSYDVNCNCSYGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSEHGERTGGFYACNRYEAARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRQKALGDLLSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLEAESPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLNDVGPSTSHGTCSKSATSKSLGSKSKSSKSRASSTSSKTGGSSRGVDESNIWTCDQCTYANPRSARNCQACNNQHR >ORGLA04G0141900.1 pep chromosome:AGI1.1:4:16917732:16919399:1 gene:ORGLA04G0141900 transcript:ORGLA04G0141900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAASNVEFIRSRSDKREYRRVVLPNALECLLISDSDTDKAAACMEVGVGSFSDPEGLEGLAHFLEHMLFYASEKYPGENDYSKYMIEHGHGGYCDAYTYSETTTFFFYVNAANFEEALD >ORGLA04G0141800.1 pep chromosome:AGI1.1:4:16909412:16909651:-1 gene:ORGLA04G0141800 transcript:ORGLA04G0141800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPGLPLLPPGCEVKRRRAPPVARVGSPPPVPRREQPHQAQPHHYCVCSPTAHRGSFRCRWHRRGYEWGAGRRRSVAP >ORGLA04G0141700.1 pep chromosome:AGI1.1:4:16906386:16909030:1 gene:ORGLA04G0141700 transcript:ORGLA04G0141700.1 gene_biotype:protein_coding transcript_biotype:protein_coding KCYHQIEGLNQKNENSSVVQYIQVHLDDALSNIKLQLFALIASQPAFNQLRTVEQLGYIAGLSLRSDCGVWALEVVIQSTVKDPSHLDARIDEFFKMFESKIHELSDKDFKRNVKSLVDSKLEKFKNLWEESHFYWGEIEAGTLKFDRVESEVHLTVLQVALLRELKKEEFIEFFDQHIRVGAPQRKTVSVQVFGGEHLAEFKKAIAEADIPKTYRITDIFGFKRSRPLYRSLKGGPGRITMD >ORGLA04G0141600.1 pep chromosome:AGI1.1:4:16897582:16900965:-1 gene:ORGLA04G0141600 transcript:ORGLA04G0141600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTIDLSMPGSSGLLDDVGGKKHMNFFSNRYVLALTGAAGIGGFLFGYDTGVISGALLYIRDDFPAVRDNYFLQETIVSMALVGAIIGAAGGGWINDTYGRRKSTLVADMLFALGSLVMCAAGGPYILILGRLLVGLGVGIASVTAPVYIAEAAPSEIRGGLVSTNVLMITGGQFFSYLINLGFTEVPGTWRWMLGVAAVPAILQFVLMLFLPESPRWLFWKDEKAKAISVLEKIYDSDRLEEEVELLASSSMHEFQSDGTGSYLDIFKSKELRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFTSNKLALLLSLIVAGMNAAGTIVGIYLIDRCGRRRLALTSLAGVVVSLAILAMAFILQSSSDICSNALNGACQGAVGWFAVAGLALYIAFFSPGMGPVPWAVNSEIYPEAYRGMCGGMSATVNWVSNLIVAQTFLSIVGLVGTGLTFLIIAGIAVLAFIFVALYVPETKGLSFEQVELLWKERAWGNQGNRQSLLGAAP >ORGLA04G0141500.1 pep chromosome:AGI1.1:4:16891243:16895442:-1 gene:ORGLA04G0141500 transcript:ORGLA04G0141500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGFVDWRGNPIDRKVHGGVRAAWFMFFLSVVTNMENIPNMLNLVTYLHGTMHMGVSSSATTVTNFIGATSGFALLGAFLSDSYITRSRTILLFGPLEFLALGLLALQAYLPSLHPPPCNIEAELSNCEEVHGFNTVILHIGLYTWAFSEGCIRACTPSLGADQFDHEDPSESRQQSSFFNWFTFGISLGGFIGLILIVWLENYKGWDIGFGVCALLILLGLLIVATGLPFYRNQVPEGSPLTRILQVLVVAFKNRKYELPEKLEEAQENRNGLDSIEVPRPTNFLKFLDKASINHGEDGAWSVCSTMKVEETKIVLRMLPLFISSMIGYISNPLLLTFTVQQGSMTNTRLGKIHISPATLFVIPITFQMLMLAVYDRFLVPFMRKRTGYACGITHLQRVGLGFASMIVASAVAAVVERKRKEAAVQMSLFWLAPQFFLLGVSDVTSFVGLLEFFNSEAPKDMKSIGTALFWCELGLASWMGTFLVELVNKATRHGHHRGWLEGTSLNNSHLDLFYWVVAVIGLLGFLNYLYWAKKYAYRHNPRMVTPSADQDSP >ORGLA04G0141400.1 pep chromosome:AGI1.1:4:16877569:16879884:-1 gene:ORGLA04G0141400 transcript:ORGLA04G0141400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGFLDWRGNPINRKVHGGVRAAWFVYFLTVVTSTVNVPNMLNMVTYLHGTMHMGISSSSTTVTNVLGATSGFALLGAFLSDSYIIRARTILLFGPLEFLGYGLLALQAYLPSLRPPPCNAEAEVSSCREVHGRNAVLLYAALYISAFGDGFMRACMPPLGADQFDHEDPSESRQQSSFFNWYTFGISFGGFIGLILIVWLENSKGWDVGFGVCAFLILLGLLVVAAGLPLYRNHVPEGSPLTRILQVLVVAFKNRKLQLPEKLEEAQEERSTEQGGSTEVTEIASQTNSSLKFLDKACINGGKDGPWSVCSTKNVEETKAVLRVLPVFISSLIGYMSNPLLFTFTVQQGGLTNTRLGRIHVSPATLFIIPSAFQMALLPVYDRFLVPLLRRRTGYASGVTHLQRVGAGFAAVILASAIAAVVERKRRADAAAAGQMSLFWLAPQFFLLGVSDVTSFPGLLELFSSEAPRGMKSIASALFWCELGLSSWLATLLVQVVNRATRRHGGGGGGGWLEGATLNTSRLDLFYWVVAAVGLLGFVNYLYWASRYKYRQDPRVVVDVEPSADHDSP >ORGLA04G0141300.1 pep chromosome:AGI1.1:4:16876568:16877119:1 gene:ORGLA04G0141300 transcript:ORGLA04G0141300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSLQRPRRFIMAPIASRGSGGISRCYTAATTAATMASGGVRLRRRGFVLCAVDHHGVRRAVVGGQFVRKSELRRQDELLVSLHELVGVFRELQRKLGFRQWDEFRRAQPELDVLYSRLRFQGKRYRSRIYGEPMPDFDDAVHAAVRAPRIGDRRVCSRCSSCDCWYSDLANLHCLVRKFV >ORGLA04G0141200.1 pep chromosome:AGI1.1:4:16873093:16875849:1 gene:ORGLA04G0141200 transcript:ORGLA04G0141200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHRSIEKDVFEPLVMRISEIAQHYFGTVGSSETGEKGPTVPEFPEKIKWEVQHLEELFEGIKEDKEEVYEGFKSVSLAISEWQRRLAIAYQNAARDPRPFEGMKWAMEYHEMWVEDNNIIGAGDEILDFDEHELFESLRYVKTAQGGSEAHLLESIKSGMQCIKNVLATIRSRKEADNRSWCIVEQVFSPLLKLLKTINHLVSEAAARNNKSENYKILVKIDAEVNCLQDALDLIDRNKNEVYENFRLIEDLILPLLTFLKATYNDQSESLSFLDAVKHGVNYLEGVLDKIEQKQRDGNDNFHIVKAAFSPLLTCMYTFRRISLETLAHEDKSDAFILLDRIRDDLSQLKDVLQMVQEKENGIYSNFDAIEEHIDEIYDGHMNVEGSLKLNQMGGLRDKLQLIHEEITNIRGKVDDSFKVQEVSCHVMRMAAAHEASSSHQLSASNTFCITIESAQMWQLKVIIDELETRLRHCLLCLAVFPVDAIIKKRLLIHWWIGEGFVTSVSEGKSFFNKLLLSNGFITPVKKYHCDKVHSCKVQPWIRGLLIEAAKSKAFVELSSDGSSRNDFTRTRRACLHAGKILTNFHPDVLTIYNIKQQYVELNKTWFSEKNRLTTLQLGQWQDASYDPRAHHVEINNAKFLKQVKSCKQLKYLSLRGISRIEALPNSIGKLSRLVILDLKACHNLEDLPKEIVKLVKLEYLDVSDCYLLSGMPKGLGKLFQLEVLKGFVLSNAKSKDPCHLNELVMLKKLRKLSIRIGYSIDSGQFANFGELCALRSLTLIWGAHPISTHGSSPSHAAPHAMPCVLPLGLEKLELRCFPLVELPHWVSPEKLRKLKKLYISGGNISDLGDLKSWEVTVLRLRFLKHMNYSWTALHDSFRKLDVLEARECENLQPWPSCGKGLWRKEPNGTIAPVLT >ORGLA04G0141100.1 pep chromosome:AGI1.1:4:16869230:16872358:-1 gene:ORGLA04G0141100 transcript:ORGLA04G0141100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKLYAESAQEQGKLLCIESLLQDLHTHFRMVHRKISGINDSALLMISANNEQYHQLLQRLWSLTLDIDDMLNKVSCYLTKTRVLSIQVHSSFILRRLPFQRRIVHKIKQSIVELQECYAQTYRIRFPAKHRDISTPMVCQGAHSIRPEGILGREKAVDDVLTMMQADHGKAGLSVLPITGMAGIGKTTLAQLVFSHPWAVKTFGDDRIWVVVSSSFDDMIILSRLAEFLNTRQCNTVDSESLQCLVKQRLCGRKFLIVLDDVWGQNLQKWKLLIEVLESAKSGSKMIVTSRVPDVVKMTNSLRPYTLKRLLPIDSSNLLTQWMQNSAELPPRLIPIRKMIADTCCGVPSLLLSASNKLKSIRKTEVAWQHVLSRFDLVFYADPLLLDATYVSYQQLPSNIQQCFLYCSLFPVHSFTPEQLTGMFVADDLIKLSSSKSDMHMYFSKIMTEHYYDVMQKPRHKAYAIYKMHPGMQLLAQMISRGFHLAIDARKELVWPVENAKKSARCLSLLVDSKTTELPTELFEMGNLRTLILLRDEKMLLSDKKCSITDIPEEFCKCLIDMRVLHMQSCRIKRVPKLIGMLKKLAYLNLSHNDIEIIPDSICNLQFLKNFNLSRTEIAELPESVGKMQALQVLDLSHCEKLLHLHESVSNLVNLQILNLEGCHYLAILPRSMKNLKSLAYLNVLECPLLTQMPCQMNQLRNLEILPRYIAAENHEHTISELRPLVSLKELGICNMENASFDDARNVILQKKNRLVSLALSWTGSCTDPMISSKAQQILELLKPNRGLKVLCIFSCPAKKLPSWITSMPPYLKSLTEIKLVNLACECLPPLGQLPLLKIVELSGINAVTRVGDEFYGDDGTFASLEKLSFFHMRNLEIWLPSQREAIFPNLQELTITQCPKFRAVHVKLPVVKSLIMLLNNDKLIGSRGALEGFSQNLKSLSVSLCDGLLECSECEGLRELRGIEELHISRCTELISLPHGMQHLSFLRTLTITECTNLETFPEWLKNFTSLRSLHISNCPKLHIPKSLNNLSNLEISLE >ORGLA04G0141000.1 pep chromosome:AGI1.1:4:16866614:16867233:-1 gene:ORGLA04G0141000 transcript:ORGLA04G0141000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRSEGSSSSSARQPYGSPIPYRVGPFEYEPVVLCRCELKAARWISWSVDNPGRRYFKCRNAREGGCDFYAWHDGSTSSFLREVLNDLRGVVHSLRREKADAVKEVEELRVKSEEQCRELASVGRELASVRELVSELDVKNDVLIDSKCRLEKERTVLIWCILSCMCVVILLVLGKN >ORGLA04G0140900.1 pep chromosome:AGI1.1:4:16859658:16861450:1 gene:ORGLA04G0140900 transcript:ORGLA04G0140900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAADLAAVERGGHMVPSKAAGVDGDGEPRRTGTMWTASAHIITAVIGSGVLSLAWGVAQLGWVAGPAVMLLFGAVIYCCSVLLVECYRTGDPYTGQRNRTYMDAVRANLGGTKVVFSQIPNLHKMWWLSTLASAMSLSYSAIGIALGVAQIVVDMFEIEFAANGGIRGTITGVFVGAGAGVTSMQKDTVKPVAPPSTETKVMRKAVAVSVATTTAVYLMCGCVGYAAFGNDSPDNLLTGFGFFEPFWLLDLANAGVVVHLVGTYQVVAQPVFAFLDGRAAAGAWPGSAALGKRRRVLRVGSLAEIEVSPFRLAWRTAFVCVTTAASTLLPFFGSMVGLIGAASFWPLTVYFPVEMYIAQRRVPRGSAQWLSLQALSAGCLVVSVAASAGSIAGVVEAFKAHNPFCWTC >ORGLA04G0140800.1 pep chromosome:AGI1.1:4:16853333:16855574:-1 gene:ORGLA04G0140800 transcript:ORGLA04G0140800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRASPTFLPSTSAASPSPQQAPSSPTFGRSQYRRGGGLVSVSSPVAGQRGAARRRSVMAAAGAVPAAKLEDADALIDSVETFIFDCDGVIWKGDKLIDGVPETLDMLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLQSIDFPKDKKVYVIGEDGILKELELAGFQYLGGPSDGDKKIELKPGFYMEHDKDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAILGSTKQEPLVVGKPSTFMMDYLAKKFGITTSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSVQMLQSPDNSIQPDFYTNQISDFLTLKAATV >ORGLA04G0140700.1 pep chromosome:AGI1.1:4:16850584:16852566:1 gene:ORGLA04G0140700 transcript:ORGLA04G0140700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVLTYLQSLWPLSALLREDDLRASARLLRGVPVPEETKQFVLALRDREPGSGSRGGVIYILAAQNLSERSASDADSLIRRVRPAAVVTQLAHTAADDVRAEEECLEGGGAGGVPASPFQVIKRCVTEKRSKDQYVKAAACQVLQEIFGVGFYGHVLAAKRAAEETGSCFLLLESPYERNCNGGASGGQSTMEEGSGQQLASRCSLAQSSTDDGTGGQSQGSCLLTQSTSSIVSSHVRKICLVDDIGGQLVKSLAPTVNLLMSQAISSDGVSECKLAECKPSDRYEAPPFAQTVYPLLADLYDIFVDIPSIGKAMASAQELLRQVHDGKPISTEMLSDVYVFRIAIEALRIGLNNAGRSHIDTRDNHGSKKLDFSELNSEEKCHILLVQALRSQVREFGSVVAVVDASCLAGIRRHWDTPVPSEIAQLASSCFKQYGNKNDSEDNELPSSVDSTDKKSWVAEKPVVVVGAGGTAILGFSSLSKTVQASAFLKLAPYKTPVVLKYGLIQLQRHASIVLSKVLSNGVFSASSNASVLQFTASSEKIRAVTHTVISSAKRTSLMAMRTSFYEIMQKRQKQPFRITPWATFGCSMAACAGLLMHGDGIECAAEVAPSVPMIATLGRGLEILRLTSQEVRQTRGQHIKEALGALMSNLKKTAK >ORGLA04G0140600.1 pep chromosome:AGI1.1:4:16840748:16845038:-1 gene:ORGLA04G0140600 transcript:ORGLA04G0140600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVMECSVCHSKVVAPSPRSVSRAYDKHRSKISSKYRALNFLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVTKVIFAIVMLIIQSRKQKVGEKPLLSLSTFVQAARNNALLAVPALLYAINNYLKFIMQLYFSPATVKMLSNLKVLVIAILLKFIMRRKFSIIQWEALALLLIGISVNQLSSIPDGTKSFGLAVTTIAYIYTLIFVTVPSLASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVIFQGPESFDILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTVNFLLGISIVFISMHQFFSPLAKVKDDKPAGALEPEDAQNHRSSDSSFVNMTAGAADDASHLTATDERKPLLPI >ORGLA04G0140500.1 pep chromosome:AGI1.1:4:16836885:16839302:1 gene:ORGLA04G0140500 transcript:ORGLA04G0140500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMGLWESVRSLFGEGGNGCLPRIGKKESEDLYSYPVDHEKRKGADRAAAEEVVTVEVPEVPVRELNEITNSFSNENLIGQGSYAKVYRVLMRGARPAVVKKLEKPSKHASNVVFLKQLSVASRLKHENFVRLLGYTISGDLRVLVYEYAAMGTLHDVLHGPRDGQGWGGEAKAVVSWEQRVRIALDAARGLEYLHEKVQPAVTHKDVRSTNVLLFEGFRAKIADYNMFSQAADMARLNRSTHTLGSFGYQAPEYAMTGQMNDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLVNWATPILTEDRVQDCIDPNLGDKYPPTGALKLGRIAVQCLQYDPTFRPSMGTVARVINYAVVRDQQGVV >ORGLA04G0140400.1 pep chromosome:AGI1.1:4:16830081:16832531:-1 gene:ORGLA04G0140400 transcript:ORGLA04G0140400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome recycling factor [Source:Projected from Arabidopsis thaliana (AT3G01800) TAIR;Acc:AT3G01800] MALFLRRGAALAARSIRAAAASSASTSVHRLPSVGSLAGAGELAPTKLFLLEARRGFAKGKKSKDDGRGDTVQDAPDIGPTVKSAATQQMEAAVVALSRELSKLRTGRASPGMLDHIMVETTGVKVALNRLAVVSVLDAHTLSVMPYDPSSMKSIEHAIISSPLGINPTPDGNRIIANIPPLTKENIQALCKVVTKSAEDFKQSIRRARQKALDTIKKSASGMPKDDVKRLEKEVEELTKKFIKSADDMCKAKEKEISGN >ORGLA04G0140300.1 pep chromosome:AGI1.1:4:16821835:16829395:1 gene:ORGLA04G0140300 transcript:ORGLA04G0140300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ankyrin repeat-containing domain (InterPro:IPR020683), Ankyrin repeat (InterPro:IPR002110); BEST Arabidopsis thaliana protein match is: XB3 ortholog 2 in Arabidopsis thaliana (TAIR:AT5G57740.1); Has 66374 Blast hits to 25 /.../oteins in 1201 species: Archae - 121; Bacteria - 8133; Metazoa - 29530; Fungi - 5885; Plants - 3349; Viruses - 785; Other Eukaryotes - 18571 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G14230) TAIR;Acc:AT5G14230] MAVLLRPAAAIAGGRQVWPVAEDHHRQLRDEAEAEAASQRLVEAVARGDAREAGELLASGRADVNYAGVVWLKARRVAEAALRDGAAAELRAAHEEIRADVSPLFLAAGNGDAALVRALLAKGADVNGKVFRGYPATAAAREGRAEVAALLVRAGASQPACEEAVVEAALQGQAALAVIFMGSDLVRPRVAVHALVSAAARGFVDVVDSLIKCGADPNATSRVLLRSLKPSLHANVDCTALFAAIVSRQIAVVRQLLQAGVKRDTKVRLGAWSWDTATGEELRVGAGLADPYDAVWCAVEYYESTGAILRMLLQNGYSSGATHLGRNLLHHAVLCGSAGAVQTLLASGVDHEVAVKTSRSSRSRPVHMAARLGQPEILEMLIGKGCDVNARAEGGDVAAILAARHKREDCLRILVSAGADVALLNSAGESAASVACSGGWKAGFERAVLGVIRSGTIPRSSDRNVFSPMMFTARCGDAAAMEVLLAQPDVDVDEQDVDGCSPIMAAAKEGNVDAFRALVFAGANVKLSNKRGETAIGLAQQSKKRDLFEQVMLEFALEKGMPGGFYALHCASRRGDTAAVRHLASAGCDVNIPDGDGYTPLMLAARKGHAAVCELLISYGARCDTRTPRGETALSLARATAAFNKAEDVIMDELGRQLVLGGAHVKKHTKCGRGKPHGKSLRMVAAAGVLRWGGSGRRNVVCREAELGGSSAFQLHRQRRGCDAYEPGLFRVATATGREVHFVCQGGEEEAELWVRGIRAVTRAVYGKRGKE >ORGLA04G0140200.1 pep chromosome:AGI1.1:4:16806505:16814158:1 gene:ORGLA04G0140200 transcript:ORGLA04G0140200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MAASDDPRGGRSVAVVGAGVSGLAAAYRLRKRGVQVTVFEAADRAGGKIRTNSEGGFIWDEGANTMTESELEASRLIDDLGLQGKQQYPNSQHKRYIVKDGAPTLIPSDPIALMKSTVLSTKSKLKLFLEPFLYEKSSRRTSGKVSDEHLSESVASFFERHFGKEVVDYLIDPFVAGTSGGDPESLSIRHAFPALWNLENKYGSVIAGAILSKLSTKGDSVKTGGASPGKGRNKRVSFSFHGGMQSLIDALHNEVGDGNVKLGTEVLSLACCCDGVSSSGGWSISVDSKDAKGKDLRKNQSFDAVIMTAPLSNVQRMKFTKGGVPFVLDFLPKVDYLPLSLMVTAFKKEDVKKPLEGFGVLIPYKEQQKHGLKTLGTLFSSMMFPDRAPNDQYLYTSFIGGSHNRDLAGAPTAVLKQLVTSDLRKLLGVEGQPTFVKHVHWRNAFPLYGQNYDLVLEAIAKMENNLPGFFYAGNNKDGLAVGNVIASGSKAADLVISYLESCTDQDN >ORGLA04G0140100.1 pep chromosome:AGI1.1:4:16801352:16804837:1 gene:ORGLA04G0140100 transcript:ORGLA04G0140100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1PMI2] MEKVEVDGNFIGTGNWKLHGALCKQLHKVVLEVLDVIPVLEATRPGNSSGLLALSSLRIAVEKAKNLLQYCSECSKLYLAFTAENVLAKFEKARYALLESLHQLEETLPEAASSQILDIAKDLEKAVFTLDLIEKQAGVDVNQLVQNEAKSNGFLHDNELEFFRQTAFRVGVASSATALTERRALRRLLERAHAEEDIKKESVASYLLHLMRKYSSIFRSETTDFTNTSMCSSPSCSSRSLSSSIDLHGNGHVIEKSISRVGSFNLRQIKGLSGSMPLPPEELRCPISLQLMHDPVIIASGQTYERACIEKWFSSGNTTCPKTRNELSQLSMTPNYCIKGLIASWCEQNGVLVPSAPPDSPKLKYLRISSLNSSKCLVTNGVSTVLFEDTCAEDDIKDGGKVASEECTRQNSGEAPSEICEVDQASPEKHPHENSEKVAEATCELWLRVLSKDDDECVDEQREVIEQIRFLLKDDNELRKYAGANGITELLIHFVKKAVCRDDVQCQVVGTMAVFNLAVSNDRNKKQLLSGGVLPLMEQMIQKPETYEAAVAMYLNISCLAEAQAIIGQSEAAPLLIKGLQGDGFRMSKTCCLDALLTLYNLSLQSSNIPTLISSGIMQSLHDVLTPSSPTTEKALAVLINLALTRAGKKEIMADSDMVGAIVVILENGDPAEKEKAVSCLWIICSGDDGGSQMVLQEGVIPALVSLTANGTGKTKDKAQRLLLLFRGKRQREVEQLQPRVQLHEVVSQATAQHEEQQQQQQEESSEPGSDKMSRLRNSKSKLRRFTRALARLLKKWGIR >ORGLA04G0140000.1 pep chromosome:AGI1.1:4:16785700:16793326:1 gene:ORGLA04G0140000 transcript:ORGLA04G0140000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAPSRAPQRQQRGGEMSARYGGGLQFFADAPPAGVEGGAATARTFFPVPGGGGEQQPPERAMRQQHYGGGGSGAAEISLGHGHGHGHGHGGQHHFHQFGVEAKDGGGGDQSGFLTRHNSSPPGFFSSPVMDNGFSSSARPAGSSLGEVRHGAMSSSSNNNKKMKAPLSFASSRQGSGGLSQISEDGIPDLTDSIHGAAHHHGRSEENVSTHDHVVRSFSSGGFSIGSWEDSNSIVFSTSTGKSGAHGNDDIIATLSNYESQQLVAPREMAGVEKYLQMQHDQVPFRVRAKRGCATHPRSIAERERRTRISEKLRKLQALVPNMDKQTSTSDMLDLAVDHIKGLQSQLQTLKEDKEKCTCSCKQASRNRPAD >ORGLA04G0139900.1 pep chromosome:AGI1.1:4:16777105:16777501:-1 gene:ORGLA04G0139900 transcript:ORGLA04G0139900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPFRIRGVRRMSSALAAVNVAVAAAGAAAEWVGVTERCGRREEAAVGAAVALAAVRIVAMVGTARAQEVTALAVVSAGGGGGGGEGPTVEFAKRETRLRA >ORGLA04G0139800.1 pep chromosome:AGI1.1:4:16764621:16772832:-1 gene:ORGLA04G0139800 transcript:ORGLA04G0139800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDPVAAAAAAVASSSSSAGAAAASFRLGMVRVVSFLVGGLNVAVLLLGLYLIDGVLPPGCGGGLALAAAPALAGIRVLAMIGTARAQHATADAIARRHLDEAAASVAADAVARHEIRVRYKRWLWWTRFGMAVGAMQLVGAIYLMLVIVRDISNERRATSCFFGQDEADQVSKRALIALFLILSWVVVVVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAQYLTVLEEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQKNENLPHLQTDLTEAPLNLMQEAAILHPFAEACYTGPLLDVGRNPILFPCAWVYRQGVLTPWSRRRRPALDGDNWWRGHAAAFLRFVHIPAAALLRGRVCQSKREAAYFVVVLHDKKTVVIGVRGTETPEDLITDGLCRECAFTMEDLDGLVKCEQLPATTRERVISTFPHYGHGGILESARELFMQLNDCTRDNANPDNSSSLTVGFLPALVGEGSECHGYKIRVVGHSLGGSVATVLGMMLFGRYPNVHVYAYGPLPCVDFVIAEACSQFVTTIVCNDEFSSRLSINSILRLRSAAIRALSDNSPADTAMIQKLARRILNVNKYHDNGPDGGIMEDYSARHHTFEGRAVSTERRFPHQDAPCTSEPDLPNLQNGINGYNGSNSSIDVMSSQGLHTDCDARTISLHGLDSGSEQRHTSYRDIPVEPPEMFLPGLVVHIVRQRRSLFPLWKCWSLQGSEPPYKAFFAKRENFTDLAVTPSMFTDHLPWRCHYAMQRVLEAQTAASCPDSPVERLV >ORGLA04G0139700.1 pep chromosome:AGI1.1:4:16758684:16758896:1 gene:ORGLA04G0139700 transcript:ORGLA04G0139700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMLEVEKESSVAVEEVSVGQRAEEERGGGGQWSGKVMDALSKITSGQRNGEKKGKIKWEGLTVGPYGG >ORGLA04G0139600.1 pep chromosome:AGI1.1:4:16755368:16756401:1 gene:ORGLA04G0139600 transcript:ORGLA04G0139600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELAENNPRAAIWYFLVYHSCLITPAAAARWMEHVPDALTAEALAAKEALELAMEVGCDRVILEVNCAELKVILEDSEGFRSCIAGICFDITELARSFVDFKVEWVPREANSVAHCCSSLVSSAERSMFWFDDIPDWLEGLVSIDCTPMNN >ORGLA04G0139500.1 pep chromosome:AGI1.1:4:16748284:16749791:-1 gene:ORGLA04G0139500 transcript:ORGLA04G0139500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAMAPSPPEPALPRELSLGDLRAVSMLGRGAKGVVFHVVPAAAGEEEASMALKAVSREAARHKKNGSGGEDGHRRIWFERDVLMSLRHPLLPSLRGVLATDAVVGFAIDRCGGGDLNSLRRRQTEKMFSDSVIRFYAAELVLALDYLHSLGIVYRDLKPENVLIQDSGHIMLVDFDLSTRLPTPPPPPEEQDATIADSMPEPPPSSPSPNRAKGKRQPGAALCFPFCSVGATKPAASADSPSPTSTSRTASASSSSSSSTATTASSSTAAGVRSPAKSNSFVGTEDYVAPEIIAGSGHDFSVDWWGLGVVLYEMLYGRTPFRGLNRKETFYRVLSKQPELVGEKTPLRDLIARLLEKDPEKRIGARGIKAHPFFNGVDWDRILRVARPPFIPPPPEDEDEAGEVLDVEKVVNEVFAANDGGAAAGVVEKPSPEAGGTLAVGDGEQRRDPSKEGDFSVFF >ORGLA04G0139400.1 pep chromosome:AGI1.1:4:16734772:16735239:1 gene:ORGLA04G0139400 transcript:ORGLA04G0139400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF565) [Source:Projected from Arabidopsis thaliana (AT5G43050) TAIR;Acc:AT5G43050] MACASKTISIGFANSGLYGEARLLSPSYKNYPRRSSYKFIKVRAVQGNDGRRRLVDIIRTIPELSRNYFRSRSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYVTKFYYSRPKVTFPFALLNNFKMGFTYGLFIDAFKLAS >ORGLA04G0139300.1 pep chromosome:AGI1.1:4:16729819:16733336:-1 gene:ORGLA04G0139300 transcript:ORGLA04G0139300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G06710) TAIR;Acc:AT1G06710] MIRRRAAAVATLRASLRRTCSHAAGDSEDPLLKDFGYRPSKVTYNALVQVLSSAGQVDLGFRVQKEMSESGFCMDRFTVGCFAHALCKEGRWADALDMIEREDFKLDTVLCTHMISGLMEASYFDEAMSFLHRMRCNSCIPNVVTYRTLLSGFLKKKQLGWCKRIINMMMTEGCNPNPSLFNSLVHSYCNEKDYAYAYKLLNRMTTCGCLPGYVVYNIFIGSICGQEKLPSPDLLDLAEKIYGEMLAANCVLNKVNVANFARCLCGVGKFDKAFQLIKEMMRKGFVPDTSTYSKVITFLCHATKVEKAFLLFQEMKMVGVTPDVYTYTILIDSFCKAGLIEQAQCWFEEMRSVGCSPTVVTYTALIHAYLKAKQVPQANDIFHRMVDAGCRPNDVTYGALVDGLCKAGNISKAFEVYAKLIGTSDSADSDFYFPCEDRHTLAPNVVTYGALVDGLCKAHKVDHAHELLDAMLSSGCEPNHIVYDALIDGFCKAGKIDSAQEVFLQMTKCGYLPSVHTYTSLIDRMFKDGRLDLAMKVLSQMLKDSCTPNVVTYTAMIDGLCRIGESEKALKLLSLMEEKGCSPNVVTYTALIDGLGKAGKIDLSLDLFTQMSRKGCSPNYVTYRVLINHLCAAGLLDKARLLLGEMKQTYWPKYLQGYRCAIQGFSKSFIASLGILEEMESYGTVPIAPVYGMLIDCFSKAGRLEIAMELHKEMMEVPSSVKTDNDMYASLIQALCLASQVEEAFRLYSEMTRRGFVPELSVFVCLIKGLVEVKKWDEALQLCYGICHEGVNWQGNKSFHGG >ORGLA04G0139200.1 pep chromosome:AGI1.1:4:16726857:16727144:1 gene:ORGLA04G0139200 transcript:ORGLA04G0139200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLLSTTVAISPVDEGKCSTKPQRLPAAIGLLWVRKQHQGFWVFQIRKQRKRLALRIRKRPLVCAFGIQIRYMRRRHLLHRVYALLLLQHCCS >ORGLA04G0139100.1 pep chromosome:AGI1.1:4:16722488:16724333:-1 gene:ORGLA04G0139100 transcript:ORGLA04G0139100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDILDPFNKSASLKVLYNNKELTNGSELKPSQVANEPRIEIAGRDIRNLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESANASYGNEVVSYESPKPTAGIHRFVFILFRQSVQQTIYAPGWRPNFNTRDFSALYNLGPPVAAVFFNCQRENGCGGRRYIR >ORGLA04G0139000.1 pep chromosome:AGI1.1:4:16719991:16721787:1 gene:ORGLA04G0139000 transcript:ORGLA04G0139000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38010) TAIR;Acc:AT4G38010] MPASSLRLRALLASPAPLPARALLAAHALLLTSGLAADSALLAHFARHLASAAARSSSSAAAASAFRALLLLRPRCAHPFNALISSLTHAGDPSAAFRAFALLLVASGAGAGARPDGYTLPAALKACARLGGGLREGCQAHAVAEKAGFLGRVPVQNALVTFYGACGQCGDARKVFDEMAERDVVSWTALLSAFTRGGMFMEALGVLAEMDVTPNEVTLASVLVACGKLGTARAGKAVHGWYFRREKELNLIVGNALLDMYVKCEKLDLARRVFDMLLARDIVSWTVMISGLVQCKRPSEALEVFNAMQISGVKPDKVVLSTVLSACASLGALESGRWVHEYIERKGIEWDVHVGTSVVDMYVKCGCLDTAVSIFQEMPLKNVSSWNALINGFALHGRGREALDCFDRMVASGLHPNEVTFITVLGACCHSGLVQEGRQLFELMTKSYKLSPWEDHYGCMVDLLGRAGLIQEAYDVIKAMPMRPGVFTWVTLLSACQAHGRMDFLQQILMHIHELESSGNGIYVLLSNMYAVSDRWADVGKARGFMNEKGMQKEPGSSVIEVNGKTCEFLVGQQNHLYMDDVRAMLSILMKQIHLDGL >ORGLA04G0138900.1 pep chromosome:AGI1.1:4:16710901:16716981:1 gene:ORGLA04G0138900 transcript:ORGLA04G0138900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSKVLLSKKGVLGTVWVAAVSGVAALSRDQVVRTNVVACVDKILPDDNDKTTYRVLGLLLLGIVRIYSKKVEYLCHECNELLGSYGSAHCNELSIPTGGATNRVSKQAKKPVRARRLVVRQEGAYKVKIPMQAARTTRAETRATSQIAEVRDTHATPDLPTFTIPKRFELDSFDLGIPEDRDDDDVDHHQLPHQGTMLEDENHHTSCLFESYKMMTCSYADLDSACIMPVRVTIPTEMMSVISEVNSLLCLSSIGSEPENHNAESACFTPVKDILPPEMVDTMAEVNDPSDKSTRGKKPQRELNRDENGNSACHIPLSGSKEVQIPENIVENVTFPSRDANCPTIEESENGSLHGTNTNPSCDGFEEPGSLEQPTLRCKTKLINELSPSTPEPMTEGGTGLPCSPKFMVTTPAKKEKHRVTRKRRRGLYNKDYIPTDRGDKRKVRRRGTWVLYDENIVLPNETLRNTIEDASDLVQQRRKAPHTCLYTWKEGKIRSLPVTFMDPLILYPTSVYVRHTITADTPENSCRESVKSRRRLSLELSESNNICDDAKNVEGESIPDEPRKRKLDELTDSVQATVGCYTESAQYHNDEDYRFNDDTVKEKDFSIGGHESHSTELQERLNALKSKNPQLDEALDADIDSMEEDTHMDEQHARDEGLLRSTRTRTVARYFHQLLVDQKCQQRNNSVCLGQALEGTKRKTSARFFYETLILKSGSLIEVNQEQTYGDIIVSATPRLEAALRSSEKQ >ORGLA04G0138800.1 pep chromosome:AGI1.1:4:16702989:16705751:1 gene:ORGLA04G0138800 transcript:ORGLA04G0138800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAEASRRSKEQQHHHHPSGRHQRGDSDPRCEADRRRDGGRSRGGRELSNGYGHRRSPPPRSRLSARLGDREPGEVLSGSASDDSGGRPHRARENGVSSSSRDGESVVAASASSPSKKRKFSPIIWDRDSPKPMHSDVAKGKKAVDSVPTELPPPPPPPLPPQDHIPEMLAAEKSPMDVEPAVASESPEQLQEHAESRVMEEEEEYSTMRNISTSRWAGANDDEEEGAPHRKKKSASPADSAELGQRKKALSPELGEVVASDISGGRTMSRSSDSGRLGADENEDLEVDKDDYMDVDRDDDGNSDIANHQSGTDSEYEVRRSETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKMFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNNLLTYDPEKRLSADAALQHEWFREVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >ORGLA04G0138700.1 pep chromosome:AGI1.1:4:16697206:16697796:1 gene:ORGLA04G0138700 transcript:ORGLA04G0138700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGAMASLVFLHLLCAGWRMLRMWTAAGRRIWTLGGVRTLDQALRPQCKLCKQKMMAGAVVRALSCDHVFHKACVDERLRNRKHGMRCRICNRVARCMLPWKASPANLIDHNAQRFQHIRARGGVRTLDRALNDADACPICQHRMVARDDVRTLSGGHDFHEDCDIAKWLRDNKKA >ORGLA04G0138600.1 pep chromosome:AGI1.1:4:16694588:16695184:-1 gene:ORGLA04G0138600 transcript:ORGLA04G0138600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGFIAIFVAFGLINLGLHLYERAPGWLVWMLGGVTTLDRALGDCSMCRYGMVAGDVVRTLSCGHVFHKDCDYSVDKWLREHGLSCPECRKKARSVRVLPWRARPQQPLPEEQNPPPQETSASSSSSSSSTHVRIAPEEPGDLDLEAQDQLLPPPATGSPKGPEEQHPPRPAAATSSSSADTSSLEEPLLRPSASP >ORGLA04G0138500.1 pep chromosome:AGI1.1:4:16689987:16691132:1 gene:ORGLA04G0138500 transcript:ORGLA04G0138500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESTKIKYCRADSGSGSKPLSAETKTRLMADGKKIDEEKKDGTAVRRVLFWFAVLVIRFALLMAMHYYHDVTSSRCDGARAGGARTGRSALSRVRGRRRGGGTPDTRPYCDSGTACLDFRKGGCNHGDACEFAHGVFDNSSADLA >ORGLA04G0138400.1 pep chromosome:AGI1.1:4:16687336:16688193:-1 gene:ORGLA04G0138400 transcript:ORGLA04G0138400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGWHLPEPPSSTVRSVIDGGGAHHPRLISSQVGQPARPTSPSPLPPRHRHRHIPKAEAVQGERQSPRHGSMELSASALGFGLCLDPHPVGGVRRRLRRGGGRHTSRSDCRRRLGYVPRPAHFPVSGVGGGFRGFLHRAPPGSARNDKGCARRHKTASSSATSAEPMDEGEADLLQFLFVTSGVSWGGIVGVVVAVNASVPAARVWMLPGVTTLDRELGGDDCSMCQYDKDAGAVVRTLSCDHVFHKACIDVWLREHGMACRLCRRTASCVLPWKTGGRRRHG >ORGLA04G0138300.1 pep chromosome:AGI1.1:4:16680732:16681419:-1 gene:ORGLA04G0138300 transcript:ORGLA04G0138300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGAMASLVFLHLLRAGWRMWTAAGRIWTLGGVTTLDQALRPACAECKEKMMAGAVVRKLSCDHVFHKACIDERLRNREHGMRCRLCNRVAGWVLPWNASPANLTDHNAQRFQHIRARGGVRTLNRALNDECPICQHMMVADVRTLSCGHDFHEDSDIAKWLRDNKNFS >ORGLA04G0138200.1 pep chromosome:AGI1.1:4:16667720:16670209:-1 gene:ORGLA04G0138200 transcript:ORGLA04G0138200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEETSRLFRIRRTVMQMLRDRGYLVTELDIDLPRGDFVARFGDPVDRDHLVFSRHKKDNGADQIYVFFPKDAKPGVKTIRSYVERMKQESVFNGILVVQQALSAFARSAVQEVSQKFHLEVFQEAELLVNIKDHTLVPEHELLTPEQKKTLLERYTVKETQLPRIQITDPIARYYGMKRGQVVKIIRASETAGRYVTYRYVV >ORGLA04G0138100.1 pep chromosome:AGI1.1:4:16663071:16664939:1 gene:ORGLA04G0138100 transcript:ORGLA04G0138100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPLLLLLAVLLAAAPAAAQSATPREDDVRCLKEVKAELRDPDGRLSAWSFGNTSAGALCLLSGVSCWNPQESRIIGLSLSGFGLQGGIPSALQFCSAATTLDLSNNALVGVIPPALCDWIPFVVNLDLSGNQLSGQLPSELANCRFLNSLKLSGNSFSGQIPDSLGRLDRLKSLDLSDNRLDGQIPPQLTTFGKDSFAGNKGLCGRPVSSRCGRALSGAGLGIVIAAGVFGAAASLLLAFFFWRCTGKSKGGRRRRRGGSESGGGSAEDGSWWAERLRAAHNRLAPVSLFQKPIVKVKLADLMAATQDFSTSHIVVAGSSRAGTAYRAVLRDGSALTVKRLHSCPLSEKAFRAEMGRVGQLRHPNIVPLLGFCVVEDERLLVYKHMESGALSSVMKEPGEAPLDWATRLRIAVGAARGLAWLHHGFQVPQIHQNLSSSAVLLDEDYEARITDVGLTRLVRMAPGEGGDTSPFLNGDFGEYGYVAPECASNPVATMKGDVYAFGVILLELVSGQEAATVTGDAAGEGFKGTLVDWVNQLKASGRIGDAVHKSLRGNGHDSEIDEFVKIAFACIMVHPRERFSMYRVYHSLKSIGQGRDVSEQFDEFPLAYNKDESDTM >ORGLA04G0138000.1 pep chromosome:AGI1.1:4:16654635:16658508:1 gene:ORGLA04G0138000 transcript:ORGLA04G0138000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAATAAAPKAPPPKHLIALAVVAILGLVLVADFLWASSSPAAPAWSSRIDLPGRPAALVPPSGKKQTKEKISIGSTDINATFADLPAPELQWEEMAEAPVPRLDGAAMQIKNLLYVFAGYGTINHVHSHVDIYNFSDNTWGGRFDMPKEMAHSHLGMVTDGRYVYVVTGQYGPQCRGPTARNFVLDTETKEWHDLPPLPVPRYAPATQLWRGRLHVMGGSKEDRHEPGLEHWSIAVKDGKALENEWRSEIPIPRGGPHRACVVANDKLLVIGGQEGDFMAKPGSPIFKCVRRSEVVYSNVYMLDDGMKWKEFPPMPKPDSHIEFAWVNVNNSLIIAGGTTEKHPITKKMVLVGELFRFNLNTLEWTVIGRLPFRIKTTLVGYWDGWLYFTSGQRDKGPKDPSPKKVVGCMWRTKLHL >ORGLA04G0137900.1 pep chromosome:AGI1.1:4:16649277:16649534:-1 gene:ORGLA04G0137900 transcript:ORGLA04G0137900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAPPPLRRATMEAAVFAPPPDRHPGTTSGGGGGGRRCCTREDGREWRELDIQGRGCNEEVHPWTMTDRCVQRMRARRELCSW >ORGLA04G0137800.1 pep chromosome:AGI1.1:4:16640878:16643018:-1 gene:ORGLA04G0137800 transcript:ORGLA04G0137800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate synthase [Source:UniProtKB/TrEMBL;Acc:I1PMF9] MATNAAAPPCPCYDTPEGVDILGRYDPEFAAILTRDALAFVAGLQREFRGAVRYAMERRREAQRRYDAGELPRFDPATRPVREAGGWACAPVPPAIADRTVEITGPAEPRKMVINALNSGAKVFMADFEDALSPTWENLMRGQVNLRDAVAGTITYRDAARGREYRLGDRPATLFVRPRGWHLPEAHVLVDGEPAIGCLVDFGLYFFHSHAAFRSGQGAGFGPFFYLPKMEHSREARIWKGVFERAEKEAGIGRGSIRATVLVETLPAVFQMEEILHELRDHSAGLNCGRWDYIFSYVKTFRARPDRLLPDRALVGMAQHFMRSYSYLLIQTCHRRGVHAMGGMAAQIPIKDDAAANEAALELVRKDKLREVRAGHDGTWAAHPGLIPAIREVFEGHLGGRPNQIDAAAGDAARAGVAVTEEDLLQPPRGARTVEGLRHNTRVGVQYVAAWLSGSGSVPLYNLMEDAATAEISRVQNWQWLRHGAALDAGGVEVRATPELLARVVEEEMARVEAEVGAERFRRGRYAEAGRIFSRQCTAPELDDFLTLDAYNLIVVHHPGASSPCKL >ORGLA04G0137700.1 pep chromosome:AGI1.1:4:16636375:16640115:1 gene:ORGLA04G0137700 transcript:ORGLA04G0137700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAVNYPLVAALVAFALAQSSKFFTTWFKEKRWDARQLIASGGMPSSHSATVTALAVAIGIQEGYRSATFATSVIIACVVMHDAFGVRLHAGKQAEVLNQIVYELPEEHPLSETKPLREILGHTVPQVVAGCILGILIAVVMRLALWSS >ORGLA04G0137600.1 pep chromosome:AGI1.1:4:16627583:16633931:1 gene:ORGLA04G0137600 transcript:ORGLA04G0137600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G27880) TAIR;Acc:AT1G27880] MYSPPHAADSDSEGSLLSDVSASPPRRRSPPRPAPPPPPPPPPKHRTRPAAPTKPKLKPTPPAASAPAPAPPPPPTLRAAALSDPHGLAARIAAGSALTAASGTASSSSFRRLVQSRNPSFDPATAFTAPASSAPSEVPSAAPRPPPTAATDAPPQTRPKRVHPNSVSEVAAASAAAEQPKRARGGSEGNFVRLNINGYGRRRTFKNSQAKRSTKCRSWRRQRAAGATPRSQGDEEGDLVAEALLEREKQAASDSVLEAVESVREDPSEQNLKSLLNAAYGHDSFRQGQLEAIQQIVAGESTMLVLPTGAGKSLCYQVPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTSDEFHDTLQRLRAGEIKVLFVSPERFLNEEFLLIFRDTLPISLVAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATTQTLEEIMNALEIPSDNLIQTSQIRENLQLSISTSDNRLKDLMLLLKSPPFVDMRSIIVYCKFQAETDFVSKYLCDNNITAKSYHSGLLIKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYSLPESLEEYIQETGRAGRDGRLSHCHLLLDSATFYKIRSLSHSDGVDGYAMSKFLYQIFSSENTTGCICSLAKELTSRKFDIKEEVLLTILTQLEIGDQQYIRLLPQFSVTCTLYFHKTSPQLLADKDILIRSVLNRSEMKDGHYVFDIPRIANDLKITMNEVFDHLHKLKFSGEISFELKDPAYCYVILWRPDDFNALSANLTKWLSEVESSKISKLDAMFALANFAVKGCKRTGGCSGSQHTPCIQKKIMEYFSKDDGTSENDCRTQLQKSSPFLQADIKVFIQSNSFAKFTPRAVARIMHGISSPAFPSVTWSKNHFWGRYVEVDFPLVMEAAKAELVKLVGKGEQC >ORGLA04G0137500.1 pep chromosome:AGI1.1:4:16624445:16625014:-1 gene:ORGLA04G0137500 transcript:ORGLA04G0137500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLSDLPDDVLLLILDKLDTRDAVRCSLLSRRWSRVPGMLANIELDVDSFAPDPDDDHDDGFTSTLSESARSNHAMVRAVQSILAAHESRHAIRRLGLSFFSRDESVGIVRAVDDAMARGRRIHDLWFTVSSEKPELLCAGRDVARQGARLASYRDKYPRVFAGLTRLHVECVKLGAPRVSAVSEMI >ORGLA04G0137400.1 pep chromosome:AGI1.1:4:16620397:16623688:1 gene:ORGLA04G0137400 transcript:ORGLA04G0137400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PMF5] MAKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHEVKVKDSKTLLFGEKEVTVFGCRNPEEIPWGETGAEFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPDIDIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPASYDQIKAAIKEESEGKLKGILGYVEEDLVSTDFQGDNRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSSRVVDLIRHMYNTQ >ORGLA04G0137300.1 pep chromosome:AGI1.1:4:16616633:16617862:-1 gene:ORGLA04G0137300 transcript:ORGLA04G0137300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding HORMA family protein [Source:Projected from Arabidopsis thaliana (AT3G25980) TAIR;Acc:AT3G25980] MASRTASKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFTKVKKYGLTMLLTQDEGVKTFIANLNTQLSEWLEAGKLQRIVLVIMSKATSEVLERWNFSIQTDPEVVDKGVIKEKSDKEIMREIQAIMRQVASCITYLPCLDEPCIFDVLAYTDMDVAVPFTWVESDAKLIENPQMVKLHSFDTKIHKVDTLVSYKVDEWDEE >ORGLA04G0137200.1 pep chromosome:AGI1.1:4:16611442:16615478:1 gene:ORGLA04G0137200 transcript:ORGLA04G0137200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGPSPQQPTPPLLLPESSGEDGGHDSSSRAATSGGGGGPKKRAETWVQDETLCLIALRREMDSHFNTSKSNKHLWEAISARMREQGFDRSPTMCTDKWRNLLKEFKKARSHARGGGGGGVGGGGAGIGGGNCPAKMACYKEIDDLLKRRGKPTGGGGAAVGSGAVKSPTVTSKIDSYLQFDKGFEDASIPFGPVEASGRSLLSVEDRLEPDSHPLALTADAVATNGVNPWNWRDTSTNGGDNQVTFGGRVILVKWGDYTKRIGIDGTADAIKEAIKSAFGLRTRRAFWLEDEDEVVRSLDRDMPVGTYTLHLDTGMTIKLYMFENDEVRTEDKTFYTEEDFRDFLSRRGWTLLREYSGYRIADTLDDLRPGVIYEGMRSLGD >ORGLA04G0137100.1 pep chromosome:AGI1.1:4:16609110:16610542:1 gene:ORGLA04G0137100 transcript:ORGLA04G0137100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPRAPGDRKRCRRASGPVPRWASLPEDLVDLVASRLLAGGDLLDFVRFRAVCTSWRSGTASPRGRGVADRRFHPRRWMMLPEGHGLYPGHPSLRGYARFLNLDTGTLVRARIPLLRDGYVAIDSVDGLLLLLLDPDPNQEGAVRLLHPFTGDTAELPPLGTVLPHLGSRLLDCPAPYRIRSLARVVCASVSCSATGAGAGAITVLLALSVVSRVAFATSLDRQWSLSTYECVTLSSPIASHGKIYLMHTDRSCGEKMHQILRIDHPPAAAQDGSGSGAGRALQEPKLVATIPARKLDHFQGLVECGSEILVLGYKNWSTSRISVFKLADLVLQRFMPIKSIGGHTLFIGERNISVSSKILPTVKGDNLVYLNSGLVKYHLSRGSLSLAIDNCSLYGRAPGPSSLVHYIYSCCIRNRWSRGLICRKDAPEWLVQDED >ORGLA04G0137000.1 pep chromosome:AGI1.1:4:16597076:16599234:-1 gene:ORGLA04G0137000 transcript:ORGLA04G0137000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNYSSCSLTSSFLMNEDCAGMMCGCGCWSEEASPLSSGGVNSLWWDELEFELELEEEEEFDPVDLLPTDPFGMNLETTFTAAIASCIEDLTVMSSAGRFGDSRDDAVFADLSYYLNQAFVLSPEFQFGGYRGVFEGPLGFGGLSAGEGDSFGFMKNPSSSGNADDSFGFVETPPTSGNAALECGDAVEVVPVQEGGVPHEGMLFALDYLGLRDILSVERVCKTLHSAVRNEPLLWKSIHIEGDLRQRISDAGLLHLTQKCPDTLQCLSIACCVNITDQGLKAVLESNPRLTKLSILGCPRLTLDGLISNLKSFNTKAVFGIKHLRVGTLFSLRKEQYEELLSLLNTDKTQEVHNRGPRFLHANRFLSDCNDGYALDIEMCPICQNYKLVYDCPDEGCDDRRSGNCKGCTVCILRCYECGRCVDKLAFKESFSLDWVCPNCQEKKDLSPPMK >ORGLA04G0136900.1 pep chromosome:AGI1.1:4:16593444:16595180:1 gene:ORGLA04G0136900 transcript:ORGLA04G0136900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGFWEKKKKIVQKYPANSYNVYSCTYQFFSFIRDKRGRRQISLDQTVNGIFVVRHKSYAIFIQTAPSFSMMSNHCSVPYLFRILLPIPTAFRSTTRVRLTSPAFLPSRRNFEGYIPQSCSGSSLQIYSRSSLLSLSPSSALMVSSQLNSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRSELWSEKVFGSTEIKLEDAARSAMNWGTVNESVAIEQYTSITGRSVGSLGFAVHTEANFGWLGASPDGVLGCDPDGGILEVKCPYNKGKPELALPWRAMPYYYMPQVQGLMEIMGRDWVELYCWTPNGSSLFRVPRDHGYWELIHEVLRDFWWGNVMPARELVLLGKEAEARSFEPQPKHRLTNLVIFRSRKLASEAKLLCKDIGGHVEFFP >ORGLA04G0136800.1 pep chromosome:AGI1.1:4:16582679:16583053:1 gene:ORGLA04G0136800 transcript:ORGLA04G0136800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRHQALLHRISPLKHPAGWPARGIGCYYATEPEGRKPKTAPLQVIAEIRVSYLESFGDELYICTKYFSQDIDSENNGLNTLFTTTAQQHNKNFS >ORGLA04G0136700.1 pep chromosome:AGI1.1:4:16578269:16580243:-1 gene:ORGLA04G0136700 transcript:ORGLA04G0136700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGDVPENANDHCPGTQSEAAGKADACAGCPNQQICATAPKGPDPDLLAIIERMNTVKHKILVLSGKGGVGKSTFSAQLSFALAEMDHQVGLLDIDICGPSIPKMLGLEGQDIHQNDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQIAGIDGAIIVTTPQQVSLIDVKKEINFCKKVGVPILGVVENMSGLRQAFSDMKFVKPSEAGETDATEWALNYIKEKAPELLSVVACSEVFDSSKGGAEKMCQEMEVPFLGKVPMDPQLCKAAEEGRSCFTDQKCSASAPALKSIIKKLVKTK >ORGLA04G0136600.1 pep chromosome:AGI1.1:4:16572953:16577687:1 gene:ORGLA04G0136600 transcript:ORGLA04G0136600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PME7] MSGGSSPRSRRSSFNSLSRDLELPSEQGCLSVIVLGASGDLAKKKTFPALFHLFAQGFIQSGEVHIFGYARSNLSDDGLRERIRGYLKGASEEHLSDFLQHIKYVSGSYDSGEGFEKLNKEISEYEKSNKSESPRRLFYLALPPSVYPSVCKMIRTYCMNPSGWTRVIVEKPFGKDLDSAEELSAQLGELFDENQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNIQIVFREDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKHDEVVLGQYEGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALSSRKAEVRVQFKDVPGDIFKCKRQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDEGKVKSIPYQPGSRGPKEADELSERVGYMQTHGYIWIPPTLA >ORGLA04G0136500.1 pep chromosome:AGI1.1:4:16563537:16567296:1 gene:ORGLA04G0136500 transcript:ORGLA04G0136500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant UBX domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT3G27310) TAIR;Acc:AT3G27310] MEAEHPHQITYTTTTTTSTSSLCPRRRKRGDDEAAHHLVFPMDLDSAAAAAAAAAHQQQQQQTTSQDKLKALAYEYGHEFRVFSSVTFESMTSNLPAADQEEDDDFYELQPADYFNLVSNRIGEQSKVLKTRKMREAELAAQRAKIKKAVMRVRFPDGYILEADFHPSETVQSLMDFLKKVISRPDLPFYLYTVPPKKRIKDTSLDFYTIGFVPGANVYFSYDLPEGSELNTDSVKSGPYLREEIRMLDGLPIVQEPVHQPIDSTMNSSSAHQSDVSQSDFAPPANKKPAKPKWFKR >ORGLA04G0136400.1 pep chromosome:AGI1.1:4:16554617:16557537:-1 gene:ORGLA04G0136400 transcript:ORGLA04G0136400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPRKPGKAGDSRDAAGPNGNEPSNSYSVARSVEQGNKRSGNGDYVVPAGLTPNPMMNGTVVYHSNEPLPAFKDVPASEKQNLFVKKVNLCCAVYDFADPTKNLKEKETKRQTLMELVDYVTSANGKFSEVVMSEITKMVSINLFRSSSPTPRENKAIEGVDLEEEEPLMDPAWSHLQIVYEVFLRFVASQETDAKLAKRYIDHSFILRLLDLFDSEDPRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGVAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQPAEFQRCMVPLFRQIACSMNSSHFQVAERALFLWNNDHIENLIKQNYKVILPIIFPALERNARGHWNQAVRSLTLNVRKIFSDHDSAFFGECTQKFNDDELKQEESNSKREALWKRLEEAAVPRSDNNNPVGTPNGKFSQAAG >ORGLA04G0136300.1 pep chromosome:AGI1.1:4:16551449:16553840:1 gene:ORGLA04G0136300 transcript:ORGLA04G0136300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSVGEEGKQQPHLVLAHKLFLLSHPDVDDLAKVDLRADVLAAVKSDDMASLYESLGAGGVLETEAALLAEMRGRIEEEIRKLDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFHTLQIGFFYMDFDLISKSIDKAKKLFEEGGDWERKNRLKVYEGLYCMATRNFKKAASLFLDSISTFTTYELFPYDTFIFYTVLTSVISLDRVSLKAKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFAAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMASAFGVTVDFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >ORGLA04G0136200.1 pep chromosome:AGI1.1:4:16547690:16551065:-1 gene:ORGLA04G0136200 transcript:ORGLA04G0136200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:radical SAM domain-containing protein / GCN5-related N-acetyltransferase (GNAT) family protein [Source:Projected from Arabidopsis thaliana (AT5G50320) TAIR;Acc:AT5G50320] MATAVAAAGGGGGGEQPRRRKPAPGRGGVVLPAGLSEEEARVRAIAEIVSAMGELSRRGEDVDLNALKSAACRRYGLARAPKLVEMIAAVPEADRAALLPRLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVCYSEHGAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFREFFENPAFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPELLVDIVARILSMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHKIRPDEVELVRRDYAANEGWETFLSYEDTQQDILIGLLRLRKCGRNVTCPELVGRCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIARKEHRSKKIAVISGVGTRHYYRKLGYELEGPYMVKCLV >ORGLA04G0136100.1 pep chromosome:AGI1.1:4:16539725:16546830:1 gene:ORGLA04G0136100 transcript:ORGLA04G0136100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:anaphase-promoting complex/cyclosome 2 [Source:Projected from Arabidopsis thaliana (AT2G04660) TAIR;Acc:AT2G04660] MQLVDDADGALDSWARFCDLSDELFGGAGDLSAGPRLAPVVADLCARGLAELLRDQFIRSLEGIFRSNAVKKFWQQFHPYCNSSAVERIKFCVSVQENWPEDILSKALEDICLEKNYQEKCVLALVHSLQSYEDRSPHRKSKALDCSSSLMPRYQLMVSSVLLTTLPLSFPEILNVYFKKKLEELNIMMAGLDGSDPFDNHDLFERNSTSAWHSEMDIDGQEPGISESRNLVKNIGKVVRDLRYLGFTSMTEDSYSSAIIWLLKSKVHELAGDDYRIPVLGCVKKWIQAVPLKFLHALLTYLGDSLDNESGSSGLKSPLASRPSSFPGIGVPSEALVRWHMRLEYFAYETLQDLRIGKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNANGTGNAGDNLLEELNRDAENQENVDYDDHTNIDEKQAWLNAESWEPDPVEADPLKGSRNRRKIDILGLIVSIIGSKDQLVNEYRVMLAEKLLNKSDFDIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLSKTSQTVGTVQEETELSHEVLDATIISSNFWPPIQTEDLTVPASVDQLLSDYAKRFHQIKTPRKLLWKKNLGTVKLELQFEDRSMQFTVAPVHAAIIMQFQEKPSWTSKTLATAIGVPVDSLNRKISFWTSKGVLTESVGPDADDPTFTVVDSTSDFNKNSTVNQLSERFQITEEEGESSIASVEEQLRKEMTVYEKFIIGMLTNFGSMTLDRIHNTLKMFCIAEPSYDKSLQQLQSFLSGLVSDEKLEMRDGSYLLRK >ORGLA04G0136000.1 pep chromosome:AGI1.1:4:16533297:16535188:-1 gene:ORGLA04G0136000 transcript:ORGLA04G0136000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVPAAPSPEAPSPAAPAARPSYSSVLRLVSNNSDEDFDREVYRLAHLRPLVSKAASQRSQAMVDDFTNFSSVDEFEYSSGVLVDSVSDASCDDFSDEDFQYEEVDARYYRVSKRTLKAGGLVGLEGGKLGTAYYMS >ORGLA04G0135900.1 pep chromosome:AGI1.1:4:16525234:16529105:1 gene:ORGLA04G0135900 transcript:ORGLA04G0135900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGTSVAEGDKANQEDRLSALPDDILIHILDRLKTHDAVRTSVLSRRWRHLPGVLSKIILHVGSFKPKDGSMLAKDDLRIRSNISVIEATQSILAHKSQRKINFLSVGFYLREESISIAHSINDAMANREIVSTKFMILPEKHGIQRMEDYKIICGKRFMSFSYACPRAFGCLKQLILTCVRLGNSDFTDVLSTCKKLEYLQLISCDFQPSVLQMEHPTLIKMELVVCTFESVDLKSLPKLRTLIVDTWMGLEEIYPLSFGYVPQLSTLKLTYKGTTRDKNIKLSEFLGNAAIGALHLDFECGRIWIQPEHPKLLAPVLRNLQIASLTCIHEECNLTWIFFLLEAAPLLETMHIKMWDHECKTSEDEELYQKEGTFIASMRKNIMHKNWRKDSRKVVCTVDDVCKPIFITNIIDTRKNIELQPFENICSYFVPIFNYVMQTSPKIAMQISPKTDLIPTSVEL >ORGLA04G0135800.1 pep chromosome:AGI1.1:4:16521251:16523645:-1 gene:ORGLA04G0135800 transcript:ORGLA04G0135800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYEAVCLCADVQSSLLSVVCCELKAVVFMVTELEPREQRPLFRGKEREDSDHLKDMVLLLEDPALKDMKLRATFVARATI >ORGLA04G0135700.1 pep chromosome:AGI1.1:4:16518288:16520099:-1 gene:ORGLA04G0135700 transcript:ORGLA04G0135700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNLPDNVLLDILDKLDTREAVRCSVLSRRWRRVPGMLPNIKLDVDSSFEHDDDGFTSTLSDAARNNYAMVGAVQSLLSRESRHDIRRLDLSFFSREVSVGIIHAIDDTVRSLVGEVVLGTPAQGQGQAREAPSALLRRLPARVRQPHPTAPGVCHGARDSLLRLDRRLLSTIDLEFCACYTIELEWLPKLAELSIAVWSWTSHEYPLVVGHAPRLRLLDLSHAGMVNSKILRLSKLLDNTTSLQELWLNFETEKVWIQPETPKHLAAFMRNLTLVDVHRIHPNCGINWTLFLLEAAPLLKILSISVTDHLCVPVEEELIKRFVICKKSNINWEPSDFKHSNLSKLTIHGFQPNNIFMGYIRRVMKAAMNLEEILLHDDWCEDCESYYPVARYPQTKIERDLVKKAINEGITSPIKSIQFFHTSEAGTINIID >ORGLA04G0135600.1 pep chromosome:AGI1.1:4:16511431:16514548:1 gene:ORGLA04G0135600 transcript:ORGLA04G0135600.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDKLRFASRSANARLQPWICPWRTGHSWRRSTTNSIFEGHGPYRGRPKQPASESDVGVGIGNESNDYAAAASQTNRRYGLLLPKNISTPAAVQAFRGQDTMSLSDLPDEALLVILNKLDTREALRCSVLSRRWRRVPGMLPNIELDVDSFTPDHDDGFTSTLSDDARNSYAMVSAVQSLLSHESRHDIRRLDLSFFSRDESVGIIHAIDDAMARGRRILKMCFDVVSEKCYLECPDRDRVKQGKRLLYCFDAYPHVFAGLTSLHLECITVQGPCFSNVITACEKLSYLSLVYCDFGEETPLTIHHEHLRVVKLEFCTCDTVELEVPDLLKLMMSVWSWSPRRYPFVFGHAPRLQRLELAHAGLIDSKMLQLSKLLDNCTSLRELWLNFEREKIWILPETPTRLAPLLNNLTFVGVHRIHPNSGITWTLFLLEAAPLLKMLSIKVTDHQCKPIEGELLKRTLCEKNNIYWEPSDFKHYSLTMLIFYGFQPGKKCMEYIRQVIKRAVNLEDILLHDDRCEATREATTRPPPLLAAAGLLASSAQADRGPAQGGDGNDHQIRTAPTESCGAAAGDGDGDGSEDGDGRGEQRRAGMRPMAAGMATAVVESADPAAARRWTVLQAACPTSGAGICGAPTLVVALWRQQAVVVRRTARSSSRFRSDASLVRSGVAWSPVVVIFDM >ORGLA04G0135500.1 pep chromosome:AGI1.1:4:16508828:16510653:1 gene:ORGLA04G0135500 transcript:ORGLA04G0135500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSDLPDKALLVILNKLDTREAVRCSVLSRRWRRVPGMLPNIELDVDSFTPDHDDGFTSTLSDAARNNYAMVSAVQSLLSHESRHDIRRLDLSFFSRDESVGIIHAIDDAMARGRRILDLRFDVLSEKSYMECPDNDRVKQGRRLLHCFDRYPRVFAGLTSLHLECVTVQGPRFSDVITACEKLIDLSLVRCNFGKETALTIQHEQLSVIDLEFCTCDTVELEWLPKLSELSMSVWFWSPRQYPLVFGHAPRLQRLELTHAGLVHSKVLRLSKLLDNCTSLRELWLDFECEKVTDHHCVPLEEELLERMFICEKNNINWEPSNFKHNNLTKLIIYGFRPENRFMSYIRRVMKAAVNLDEISLHDDRCEICESYYPITRYPHTKKERDLVKRAINEGRTSPIKSIQFFHTSEARTIKIID >ORGLA04G0135400.1 pep chromosome:AGI1.1:4:16507272:16508119:1 gene:ORGLA04G0135400 transcript:ORGLA04G0135400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEREEALQVCEAARGHLVDSRLADERRWLAAVSSPSSSPPDKRRSWLRRHRKQIIGNYLIEARAAFAAAAPLNGECGDHSAATTALGLVEAVLELSPRMEAALELRACSLLAFRRYRSVADMLRDYIPSCTKPTCFLYCFDISNLKHCVLADGEAAAHRRQWAQAAGEGAMRGRRAQAAGGGAMRGRRPAGSPYAAPPTAAAITADARCRGTVGGREGRKMRKREKRGKERGV >ORGLA04G0135300.1 pep chromosome:AGI1.1:4:16500387:16506563:-1 gene:ORGLA04G0135300 transcript:ORGLA04G0135300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50310) TAIR;Acc:AT5G50310] MGKKQKKPGKGKEKTERKTAKGEEKRARREARKVGEEDDIDAILRSIQKEEAKKKEVHVDENVPAPSPRSNCSLTINPLKDTELVLYGGEFYNGSKTFVYGDLYRYDVEKNEWKLVSSPNSPPPRSAHQTVAWKNNIYMFGGEFTSPNQERFHHYKDFWSLDLKTNQWEQILAKGCPSARSGHRMVLYKHKIVLFGGFYDTLREVRYYNDLHVFDLDNFKWEEIKPRPGCLWPSPRSGFQLMVYQDQIYLYGGYFKEVVSSDKSASEKGTVHADMWTLDPRTWEWNKVKKTGMPPGPRAGFSMCVHKKRAVLFGGVVDMEIEGDVIMSMFMNELYGFQLDNHRWYPLELRKDKPAKNKTKDIKRKEPSNNVEDNLGNEEDEIMEDSETTGGQSEVHGVSNHLTKSLTLNKAGSGNSSDILSDSTTQEVLPEAVKPGGRINACLAVGKDTLYLYGGMMELKDREITLDDMYSLNLSKLDEWKCIIPASESEWLEISEDEDDEDDDDDDNENDSEDDANQTDEDDEESDEDAEKNVDMSTAVSLIKGERKNLRRKEKRARIEQIRVMLGLSDSQRTPMPGESLKDFYKRTDMYWQMAAYEHTQHTGKELRKDGFDLAETRYKELKPILDELAVLEAEQKAEEEASASTSSKKDTKKSKQKSGMR >ORGLA04G0135200.1 pep chromosome:AGI1.1:4:16480059:16486493:-1 gene:ORGLA04G0135200 transcript:ORGLA04G0135200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPPPPPPLPHAHSDSEEAPVSLFIDTDLGTRFALLAAGDSTMRDLKSTVAAEHATAFPDIGPVAVKSFQVRRKGALYHLSDLMTIRSAFAKIKAGCFLHVKMTVVVTDSHCCRDTSMEDRGKSSEGCPGAEVHVDKCVLKIPVLIPQIANRRLPGLENSSTAGMEKKRKRSEPEATREVVSAQEMVKPSSGAVEVPGSIGQVLLQKNNQELQGDGAYNVELTSRDNSGCEGTKHVQLMSGAQGATDLASDQGIDDLVHKAYKEPITRYMTNSSGVVAGAEKPTQGRRDEGAVETSKMEKASTSKSILEEIQSAGNPSQGRKRKKAKKVNSVDMASLDIADQCGTEHVQLMSDAQATANLVADQGIDDLVHKEYKDPTMGDMVNSSEVVAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGIETSKLEKSSKSILEEIQSVGHTSQQKKRKKAKKVSSVDMESLDISGEKDQCGYGENLVKSDKLATQGKIVNDPVDQHISSNMLLEGPNVIENPCGDGRRKKKKKTKHHSESSKDVGPTHDVTKSLITNEISMQNTNVSPLDPKQITPATTGVGTIGHQTKFDVSLDVAAAKVIDEVLADLRCTDNISKDLDQCQLTKQKHQGSDVLGVHGNTVDKGALSAVLPLKYPAAIHSDAPISSPSHNKAKGEKLEVLPTAHDSSHFSGGVPEENANAELRESVSLRPSDNTSVSNNISTENVVVQDDDKNKATKRQRKKISLKHVPTDNDKTIQSLDEQVNQVAIEDLNGSNATKADLVQGGSVIDGPAGTVENVQKKSRSTKIRTPKVQKANPSAHFEDSKSAKDSQGKCVSYIGESGTHSNETAVGAPTQSFAVQEDATALRTSTPSALKGRKKSSKTGLQSQNASLDHGSDVDLMNYKAEHITASPKKSAVAVEPNEKINFLDHFSPKGTNDQYVSAENKENGREETVREVEDESNKREVDLQSQLADNAKPNDLLQSHHIEKTTSTNNSPGDVGVPSDSTQNVDIADGNDKKGKQKKRKKKSDLLNSVPQKVDPNSDHRDIDNGIQDFSFSVAQEGRMEHDRKENNNNVIWNSSMLTRDPKDATCDSRVKKLNQSKSGSDNQGNLPIDKDHALMDKGQRKSSSQTKPHAESKNFDRFSNGKADPNSKSIRNLVKSFSMSPPASSDSTQGTPQNSRFRLAARKVPRKRYEQTSGKSKKDKGTGTIFNDASSDGSDDELGIGSEKAAIETSSDDASSSADSGISSAAHDSGEPDDDGNASLSQKSRKGGLGSILRGSCSYKKAKQKQAVQLDDTEVPDSQPMDIF >ORGLA04G0135100.1 pep chromosome:AGI1.1:4:16475603:16479329:1 gene:ORGLA04G0135100 transcript:ORGLA04G0135100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGFWASLGSFLKFLPYFCGLLILGVIKGILICPWACLIMAIGLSALILGLWPMHVIWTYYCIIRTKLVGPVVKLLLLIAATATLILWLIVGIPGSILAGLVYGFLAPIMATFGAVGEGKEKPFVHCFVDGTWSTITGSCTIVRDVKDLLFHSYFSIMDDLRLQAPPDNKPYEIRLLDIPGALLSAACGLILDGIMFTLIAIYKCPVMLFKGWKRLIQDMIGREGPFLETACVPFAGLAILLWPFAVVGAVLASILSSIPLGAFGAVVAYQESSLKMGLSYVVSSVSIFDEYTNDVLDMAPGSCFPRLKYRKREDSSHGGSLSRPTSFNKEKQEGKKPPARVTSFKNSIEDFNPFKLLEHLFVECKHQGETLVNEGVITMKDIEETKSGKVGTGVLNVGLPAYVILNALLRSAKANSVGLLLSDGSEITSDNRPKHILYEWFFDPLLVIKEQIKAENFTEEEEKYLKMRVLLIGGPDRVKGSLPDVPSLDERKKAEIDAFARRLQGITKSISRYPTAKRRFDILVKQLLSELERTVGGGQSTNGSQSQSLRGGIARMLSQKSMGKAANVRDEDPEAQMTSHDRTP >ORGLA04G0135000.1 pep chromosome:AGI1.1:4:16461260:16462790:1 gene:ORGLA04G0135000 transcript:ORGLA04G0135000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKRLFRRSSSKGSTDSSSSSSSSSDGDVGGRSGGGGSGEIEWEVRPGGMLVQKRDGRGGVEVITVRVATGFSWHDVSIGATCTFGELKAVVSMVTELEPREQRLLFRGKEREDSDHLHMVGVRDKDKVLLLEDPALKDMKLRAALAARATVQSPYQPFIQV >ORGLA04G0134900.1 pep chromosome:AGI1.1:4:16454444:16457082:-1 gene:ORGLA04G0134900 transcript:ORGLA04G0134900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVASTATSFSYHKPRFAVECRKKDRDRDRDRERPEREKEHKYPFKVVEITPPPRCLGVRCFPTNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYLLNLYLDGLLDKS >ORGLA04G0134800.1 pep chromosome:AGI1.1:4:16449201:16452963:-1 gene:ORGLA04G0134800 transcript:ORGLA04G0134800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGARSPTRMLAEGHLRVATGGGAPADGGIAVRHLPHHHASKREGAGGKNEQYNLEDVDSVPSKMSNKLVNGNNKVPATLDDYKRLLVPVIEEYFSTGDVELAASELRSLGSDQFHSYFIKKLISMAMDRHDKEKEMASILLSALYADLLGSSKMSEGFMMLLESTEDLSVDIPDAIDVLSVFVARAVVDEILPPVFLTRARALLPEFSKGIEVLQVAEKSYLSAPHHAELVERKWGGSTHFTVEEAKRRIQDILKEYIESGDIDEAFRCIRELGLPFFHHEVVKRALTLSMENLSSQPLILKLLKESTAGCLISSNQMSKGFCRLAESIDDLSLDIPSAKILFDKLVLTATSEGWLDASFTTSSAPNEDMRNASGEKIKHFKEESGHIIQEYFLSDDVPELIISLQELSSPEYNPIFLKKLITLAMDRKNREKEMASALLSSLSLELFSTDDIMKGFILLLQSAEDTALDIVDAPSELALFLARAVIDEVLIPLNLDEIGNRLRPNSSGSQTVQMARALLAARHSGERILRCWGGGTGWAVEDAKDKIAKLLEEYNTGGDLGEACQCIRDLGMPFFNHEVVKKALVMAMEKENEARILALLQECFGEGLITINQMTLGFTRVKEGLDDLILDIPNAQEKFGAYVDLATERGWLLPPFA >ORGLA04G0134700.1 pep chromosome:AGI1.1:4:16444624:16447987:-1 gene:ORGLA04G0134700 transcript:ORGLA04G0134700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ku70-binding family protein [Source:Projected from Arabidopsis thaliana (AT3G03420) TAIR;Acc:AT3G03420] MAGTGGRTGEPEATGVEAASAPERSESSRCMPFEDCVAGIKSSLKNPTVRFLMERMDKAGCPMPPGMITARNCGTADKNGSYGSRIGITVCCEEIRYKDEITQLLIHELIHAYDDCVVKDMDWKNCAHHACSEIRANHLSGDCHYKRELLRGFMKMRGHEQECVKRRALMSLRNNPHCSGTAAKDAVEAVWSICYNDTRPFDRAP >ORGLA04G0134600.1 pep chromosome:AGI1.1:4:16440767:16441117:-1 gene:ORGLA04G0134600 transcript:ORGLA04G0134600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPASGIVRLVALVFLLIFSSSLQQQAGVGAIRLHDRKQHGEQWEEERTQMRSFMTMDYSSVRRRRPIHN >ORGLA04G0134500.1 pep chromosome:AGI1.1:4:16431221:16432917:1 gene:ORGLA04G0134500 transcript:ORGLA04G0134500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQWADLDALRPAVAADVQVVTSDGKSIAAHSFVLGTASPVLERMIERARRGWNAECTIRVLGVSSDAVFAFLQLLYASRVTPEDEEVVTSHGPQLLALSHAYRIGWLKRAAEASVTARLTPEHAVDMLKLARLCDAPRLYLRCARLAAKDFSAVERSEGWRFARRHDAALELEILQLLEDADQRRERWARERASREAYRQLGEAMDSLEHIFSDDGCSCADADADADADTDAPPCRGLRLLMRHYATCGARKAAPGGGCTRCKRMVQLFRLHASVCDRAAPHDDGDRPCRVPLCSHFKGKMRAEKADKTWRLLVKKVTRARAMSRLAAGREREVVPEVVAASWARYSSSGGAARLR >ORGLA04G0134400.1 pep chromosome:AGI1.1:4:16425610:16426277:-1 gene:ORGLA04G0134400 transcript:ORGLA04G0134400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDTGADGGAANPALGPDADAAASEGLELAQFAAGCFWSVELTYQRLPGVARTEVGFSQGHHHEPTYDDVCGQGTGHAEVVRVHYDPKACPYGVLLDVFWAKHRPTTLIRQGDEAGTQYRSGIYYYTAEQERVARESLEAKQEEWKEKIVTEILPARRFYPAEEYHQRYLEKGGQSAQKGCTDPIRRYG >ORGLA04G0134300.1 pep chromosome:AGI1.1:4:16423797:16424665:-1 gene:ORGLA04G0134300 transcript:ORGLA04G0134300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAPVVARCRQPPRMVRGAAPLLACVSFYVSSTSXGSAVWPSEWLRRTAAQLVRGRLPRAGSALPLTVLVADGPIYQFGRAVYNWHAPCTSREGHGFATMDSSVQISISAHPTKQPYPPTAPTRWLELNYERTKAKVFHELVFIYLVDSGYQFHYSGANLRIFIAADKIVAPSDKLPSKEFD >ORGLA04G0134200.1 pep chromosome:AGI1.1:4:16422864:16423261:-1 gene:ORGLA04G0134200 transcript:ORGLA04G0134200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGEKRNCNPQSSHTTYLQAISVVTASKSSTVCVSVQGKSAADDLSYFKSTQTHTISYMKNKIPHIDVFLTEQDFKNNEI >ORGLA04G0134100.1 pep chromosome:AGI1.1:4:16419573:16421314:-1 gene:ORGLA04G0134100 transcript:ORGLA04G0134100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSNPGAANPALGLDADAAAGEGLELAQFAAGCFWSVELTYQRLPGVARTEVGYSQGHRHEPTYREVCGGGTGHAEVVRVHYDPKACPYEVLLDVFWAKHNPTTLNRQGNDVGTQYRSGIYYYTAEQEKTARDSLAEKQKEWKERIVTEILPATRFYPAEEYHQRYLEKGGQSAKKSCNDPIRCYG >ORGLA04G0134000.1 pep chromosome:AGI1.1:4:16417545:16418582:-1 gene:ORGLA04G0134000 transcript:ORGLA04G0134000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLRSLVAVCAAVTAAMWYARFAARRLRPGLPRLAAFVPVLAVLPFLPLAFRALHPRAISGFFLAWLAEFKLLLLASGQGPLDPSLPLPAFVAIATFPVRQRDPTKNAAGSGLGPVTSAVMAALLAVIVLLYRYKERMNPYALLVLYSLHVYLALELVLACAAAAVRAVMGMDLEPQFDRPYLSAHLRDFWGRRWNLSVPAVLRPCVSRPVRARVGEGAAGVAAGVLAAFFVSGVMHELMFYYITLRPPTGEATAFFTLHGALAVAEGWWAAREGWPRPPRPVATALTLALVMSTGFWLFFPPITRAGADKLVIAESEAVVAFVRDTGIWAAASVHSALSLL >ORGLA04G0133900.1 pep chromosome:AGI1.1:4:16414653:16415702:-1 gene:ORGLA04G0133900 transcript:ORGLA04G0133900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGDLRSLLAVVAAVAAAMSYVRFVARRLRPGLPRLAAFVPVLAVLPVIPLAFRALHLRVTSGFFLGWLAEFKLLLLASGHGPLDTSLPLPAFVAIASLPVRRRAQRDSENAPRPGLGLVTSAVMAALLATIVSVYPHKERMNEYVLLMLYSLHVYLALELVLAFAAAAVRAVMGMDLEPQFDRPYLSASLREFWGRRWNLSVPALLRQCVSRPVRARVGGGVAGVAAGVLAAFLVSGIMHEAVIYYATLRPPTGEPTAFFALHGACAVAEGWFAAHKGWPRPPRAVATALTLAFILATGFWLIVPPITRTGTDRVVIAESEAMVAFVRDAGSWAAASVRSALTGHS >ORGLA04G0133800.1 pep chromosome:AGI1.1:4:16410107:16414310:1 gene:ORGLA04G0133800 transcript:ORGLA04G0133800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGPDGRQGEAVVPAAGSLRRRARPVPDGAGRSGQLRRWHDAAAVNAGARRHRQQLRRRRRRRQCPERLQLRRRRQEGLCWTRTAERQASRMRRLYLEAVLSQEVAFFDAAPSSPSSPQAQAQATTFRVISTVSDDADAIQNFLGEKLPMVLANATLFFGALAVSFVFAWRLALAGLPFTLLLFVTPSVLLAGRMAAAAGEARAAYEEAGGIAQQAVSSIRTVASYTAERRTVERFRGAVARSAALGVRQGLIKGAVIGSMGVIYAVWSFLSWIGSLLVIHLHAQGGHVFVASICIVLAGMSIMMALPNLRYFIDATAAASRMQEMIEMLPPLEGAEKKGATMERIRGEIVFKDVHFSYPSRPDTLVLNGFNLTISEGATVGLVGGSGSGKSTVISLLQRFYSPDSGEISMDDHGIDTLNVEWLRSQIGLVSQEPVLFATSIRENILFGDETASLKQVVAAAKMANAHEFIVKLPHGYETHVGQFGTQLSGGQKQRIAIARALVRDPRILLLDEATSALDAESERTVQDALDRASVGRTTVIVAHRLSTLRKADTIAVLDAGRVVEAGTHDELLGMDDGGEGGVYARMVHLQKAPPVAAREERHRAVDVVESEMVSFRSVEIMSAVSATEHRPSPAPSFCSVEHSTEIGRKLVDHGVARSRKPSKLRLLKMNRPEWKQALLGCVGAVVFGAVLPLYSYSLGSLPEVYFLADDGQIRSKTRLYSFLFLGIAVVCITANIVQHYNFAVMGERLTERVRGQMLAKILSFEVGWFDEDENSSAAVCARLATQSSKVRSLVGDRMCLLVQAGATASLGFSLALAVSWRLATVMMAMQPLIIASFYFKKVLMAAMSKKAKKAQVQGSQLASEAVVNHRTITAFSSQRRMLRLYEAAQQGPKKDNVAHSWFSGFCLCLCQFSNTGSMAVALWYGGKLMAKGLITPTHLFQVFFMLMTMGRVIADAGSLTSDLAQGGDAVRSVLDTLDREPTIKDDDNDNERKKKKRKEIKGAIEFKNVHFSYPTRPEVAVLAGFSLEIGAGKTVALVGPSGSGKSTVIGLIERFYDAQRGSVLVDGEDIRSYSLARLRSQVALVSQEPTLFSGTIRDNIAYGAAEEHATEDEVARAAALANAHGFISAMERGYDTRVGERGAQLSGGQRQRIALARAVLKDARILLLDEATSALDAASERLVQDTVDRMLRGRTCVVVAHRLSTVEKSDTIAVVKDGRVAERGRHHELLAVGRAGTYYNLIKLQHGRSPCLSPM >ORGLA04G0133700.1 pep chromosome:AGI1.1:4:16402715:16404127:-1 gene:ORGLA04G0133700 transcript:ORGLA04G0133700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G24530) TAIR;Acc:AT1G24530] MRLLPRLCMATGDSAAAAGEGDSSNSGSKQQAAAVSSSSSTVSTSSSAAAAAVSEASSSMSLPSLPSLSDVTGSTSASLAASFAHVTTLCPLSTAAAAASAAAVAAADYSVHGGGGLVVVVARPAAVVLHDVYTMEATSTSDMADDTSAAGSVKCVAHLHGGKAAVTGHQDGRLRLWRMSSRAPDRLRLAAALPTVSDRLRRFPVPSNHVTVRRHHRRLWIEHADAVSGVAASADGRLLFSVSWDKTLKVWAVPSLRCLQSLPAHDDAVNAVAVAHDGTVYTASADRRVRVWAPRAPAAGPDRALRRPGKKPAYHLVATLSRHAAAVNAVAVGCGGQVLYSGGNDRCVVVWEREDSASHMVAVGALRGHRRAVLSVACAAGDAADGALVVSGAADQTVRAWRRGADGRGYYCVAVIDGHGSAVRSVAAALVTAQKKRRADDDGGDEEWRVCSASFDGEVRLWSLRVAAAS >ORGLA04G0133600.1 pep chromosome:AGI1.1:4:16394420:16396105:-1 gene:ORGLA04G0133600 transcript:ORGLA04G0133600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAARGGETFDPDLIRAIFKLVWSRRGERGGGGGDAGDEVIEVEPAPETSRRNRSATANASALKVSCELLRIFVTEAVQRSAFIAEAEGTTTIEPTHLERVLPQLLLDF >ORGLA04G0133500.1 pep chromosome:AGI1.1:4:16391660:16393457:-1 gene:ORGLA04G0133500 transcript:ORGLA04G0133500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-L-isoaspartate O-methyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PMB6] MCLAAAIASASASPARCLSPSSAALPRRFLHHLLLAATPPRPTPPPPPLRCIPFHRMAQFWTQGSLDKNKALVEYLKQYGAVRTDKVAEVMESIDRALFVAEGLTPYTDSPMPIGYNATISAPHMHATCLELLKDHLQPGMHALDVGSGSGYLTACFAMMVGPEGRAVGIEHIPELVAASTENVQRSAAAQLLKDGSLSFHVADGRLGWPDDAPYDAIHVGAAAPEIPQPLVDQLKTGGRMVIPVGSYFQELQVVDKNADGSVTVQNDASVRYVPLTSRSAQLQDS >ORGLA04G0133400.1 pep chromosome:AGI1.1:4:16389697:16390886:1 gene:ORGLA04G0133400 transcript:ORGLA04G0133400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G01660) TAIR;Acc:AT3G01660] MLRAPPQAPRASRRPPPPRCSPAAGASPPPSAGGIRRLVLTPEGRAKLDARPDRDFYAFPRLVKHVDDGFLAALADLYRERLRPGWDVLDLMSSWVSHLPPERELPLRRVVGHGLNAQELAKNPRLDYFFVKDLNREQRLELQTSSLDAVLCTVSVQYLQSPEKVFAEIFRVLKPGGVCIVSFSNRMFYEKAIGAWREGTAYSRVQLVTQYFQCVDGFTEPEVVRKLPSDAAGGKRASPLDAVMRLFGMAGSSDPFYAVISYRNFKPM >ORGLA04G0133300.1 pep chromosome:AGI1.1:4:16384535:16388340:-1 gene:ORGLA04G0133300 transcript:ORGLA04G0133300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLERGSLVVGGRELLERAPPSVALRRPAVVASPGGAAFLGATAPAPSSRHVFSLGTLASGWKWLSLFRFKIWWMIPTVGEDAAGVPAETQMLLLESRSEAGAALYALMLPVLDGGFRASLQGSPENELQFCFESGDPEVQTLEAVDAVFINSGDNPFKLMKESIKMLSKIKGTFSHIEDKEIPANLDWFGWCTWDAFYKSVNPVGIEEGLKSLCEGGAPPRFLIIDDGWQETVNEFKEVDEAFIEQTVFAERLIDLTENDKFRGETCKNLGDHVKKIKEHYGVKYVYIWHALHGYWGGVLTTPDAMKKYNPQLVYPVQSPGNVANLRDIAMDSLEKFGVGIIDPAMIYDFYNDQHSYLSSVGVDGVKVDVQNVMETLGKGFGGRVALTQKYQQALEESIARNFKGNNLICCMSHNTDSIFSSLKSAVARASEDFMPREPTMQTLHIATVAFNSLFLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGVHDFSVLKKLVLPDGLILRAKHAGRPTRDCLFNDPVMDGKSLLKIWNLNKFSGVIGVFNCQGAGNWTYPVKENAHVPTTVCITGDLSPSDVELLEEIAGDDWNGETAVFAFNSCSLSRLQKHQTMEVSLSTMTCEIYTIALIKVFGGFVQFAPLGLVNMYNSGGALENVTSTGDCSEITIQIQCRGPGRFGAYSATRPEICSVDEHEVEFKHTDDGFLAFDLSHGSSQDNLRNIEILYRAS >ORGLA04G0133200.1 pep chromosome:AGI1.1:4:16381717:16384021:1 gene:ORGLA04G0133200 transcript:ORGLA04G0133200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVVWLAAVAVLGLANHGRPAAAAATTTLSTASRWIVDEGGNRVKLACVNWPSHLEPMLAEGLGKQPVGGIAKDVVAMGFNCVRLTWATFMVTNASYSSLTVAQSFQRLNLTESLAAIRVNNPSLVDLKLIDAFKAVVSSLGENGVMVILDNHVSKPGWCCGNNDGNGFFGDAYFDPDVWVDGLTKMATMFAAVPSVVAMSLRNELRGPRQNSADWYKYMQRGAEAVHAANPRVVVILSGLSFDNDLAFLNSRQVNVSFAGKVAFEVHWYGFSDGQAWRTGNANQVCARVAASVSRRALYLLDQGWPVFLSEFGVDNRGGNVNDNRYYGCVAAVAADLDLDWALWTLQGSYYLREGVLGLDEVYGVLDWAWCKPRNDTALTRLHALQRPFRGPGLAEAAPYTVMFHPTTGRCVVRRSSSVVQTTLELGSCGEAEAWAYTASQQRLSPRDSPLLCLRAEGAGRPARLGLSCGDELARWSLTSDSKLHLAVNASSSSSSSETSNGGMLCLDVGDDGRSLVTNPCRCLSADNSCDPESQWFKLVTSTRSVAATNTMLAQLPPKLRSWKIRSL >ORGLA04G0133100.1 pep chromosome:AGI1.1:4:16376301:16379742:1 gene:ORGLA04G0133100 transcript:ORGLA04G0133100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSACPTPLLLLLLLLPLAAAAASLPPLPLSTASRWVVGADGRRVKLACANWASHLEPVAAEGLSRRGLGDIAARVAAMGFNCVRLTWPTYLATNATLANLPLRWSLERLGMRESAAGVRVNNPGLLDLPLIDVFQEVVSALARNNIMVILDNQMTTPGWCCSTTDGNGFFGDKYFDPEEWLNGLKTMATMFRKTKNVVGVSLRNELRGPYENVSLWYRYMKEGAEAVHTANPDVLVILSGLEFDNTLNFVVPNQVHLSFTGKLVFEQHWYGFSDGGNWESQNQNDVCGMVVGFIKNKGLFLLQQGWPLFFSEFGFDMSGTHTGDNRYLTCFLSVAAEMDLDWAIWALQGSYYIREGTLAYDESYGLLSWDWCTARNPSFIKRINSLQSPFQGPGLPNSQEPYNVIFHPLYGLCVVVKSSEALELGPCDESNAWNYTSTHELVLQHTGQCLQAKSVGENAQLGTDCSKSSSKWQLISNSGMHISTELTKNGTRVCLDATPDGIITTNPCKCLTGDPNCNPESQWFKIILSSRHTGTSILQLPSDGPWSPTSSS >ORGLA04G0133000.1 pep chromosome:AGI1.1:4:16371408:16375136:1 gene:ORGLA04G0133000 transcript:ORGLA04G0133000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPLLLLLLLLPLAAAAASLPPLPLSTASRWVVGADGRRVKLACANWASHLEPVAAEGLSRRGVGDIAARVAAMGFNCVRLTWPTYLATNATLANLPLRASLERLGMPESVAGVRVNNPGLLDLPLIDVFQEVVSALAKNNIMVILDNQMTTPGWCCSEKDGNGFFGDTYFGPEEWLKGLSAMATMFRNTKNVVGMSLRNELRGSKQNVSLWYRYMQLGAEVVHAANPGVLVILSGLNFDNTLNFLVPNQIQLTFTGKLVFEQHWYGFSDDGNWGSQNQNDACGMVVDSIKKKGLFLLQQGWPLFFSEFGFDMSGTHVADNRYITCFLTVAAEMDLDWAIWALQGSYYIREGTLAYDESYGLLSWDWCTARNPSFIKRINALQSPFQGPGLPNSQQPYNVIFHPLSGLCVLVKSSEALELGPCDKSNAWNYTKGYELILKQTGQCLQAKSVGENAKLGTSCSKSSSKWKLLSNSGMHVSTELTNNGTRVCLDASPDGNITTNQCKCLTVDPNCNPESQWFKIILSSKHIPGGTSILQLPSHGPWSPTSSS >ORGLA04G0132900.1 pep chromosome:AGI1.1:4:16365474:16367308:-1 gene:ORGLA04G0132900 transcript:ORGLA04G0132900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASLQAPEFLASCLLLLATILLFKQLLAPSSKKRAASPSLPCPRGLPLIGNLHQVGALPHRSLAALAARHAAPLMLLRLGSVPTLIVSTADAARELFRDNDRALSGRPALYAATRLSYGQKSISFAPDGAYWRAARRACMSELLGPPRVRGLRDTREREAAALVAAVAAAGASPVNLSDMVAATSSRIVRRVAFGDGDGYESMDVKAVLDETQALLGGLWVADYVPWLRWVDTLSGMRRRLERRFHQLDALYERVIDDHINKRKRASDEEDDLVDVLLRLHGDPAHRSTFGSRTHIKGTLTDMFIAGSDTSAVTVQWAMTELVRNPDVIAKAQHEVRRVVAAGDKVREADLPELHYLRLVIKETLRLHPAAPLLVPRETTEPFRTAHGVEIPARTRVVVNAMAIHTDPGVWGPDAERFVPERHRDDADGCAQQHDGFALVPFGIGRRRCPGVHFAAAAVELLLANLLFCFDWRAPPGREVDVEEENGLAVHKKNPLVLIATKGKRNTGGH >ORGLA04G0132800.1 pep chromosome:AGI1.1:4:16363108:16363594:-1 gene:ORGLA04G0132800 transcript:ORGLA04G0132800.1 gene_biotype:protein_coding transcript_biotype:protein_coding FASHSSAPSLKNDEPLGDLSLSPVTHTPKSTAKQQTSVLCRFRGGSQWGLTVCQAECTSFEAKGSSRHGFAADPCRLAPFSVVRLFQEDCFRSSVNLPFSGVALLISVFILGYVMGFIP >ORGLA04G0132700.1 pep chromosome:AGI1.1:4:16357053:16360175:-1 gene:ORGLA04G0132700 transcript:ORGLA04G0132700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASFQAPEFLASCLLLLATILLFKQLLAPSSKKRAASPSLPRPRGLPLIGNLHQVGALPHRSLAALAARHVAPLMLLRLGSVPTLVVSTADAARALFRDNDRALSGRPALYAATRLSYGQKNVSFAPDGAYWRAARRACMSALLGAPRVRELRDAREREAAALIAAVAAAGASPVNLSDMVAATSSRIVRHVAFGDGDESMDVKAVLDETQSLLGGLWVADYVPWLRWVDTLSGMRRRLERRFRQLDAFYERVIDDHINKRKHASDEEDDLVDVLLRLHGDPAHRSMFGSRTHIKGILTDMFIAGSDTSAVTVQWAMTELVRNPDVLAKAQHEVRRVIAAGGGVDKDGAMVREADLPELHYLRLVIKETLRLHPASPLVQRETTEPFRTAHGVEIPARTRVVINAMAIHTDPGVWGPNAERFLPERHRAHDADGQQQHEHDGFALVPFGIGRRSCPGVHFAAAAVELLLANLLFCFDWRALPGREVDVEEENGLVDWVKTVQEAAASVVRTGRLRGTDKRMVVRPGRRSPLVFPYDRIWMAWGSAGVGPPEANINVQNTAGVCGGCMRDACTLDRRAGSAKRW >ORGLA04G0132600.1 pep chromosome:AGI1.1:4:16349246:16352577:1 gene:ORGLA04G0132600 transcript:ORGLA04G0132600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRTSRIHVYGFIIILLLLVQATAAATSRCPAQQAAALLRLKQSFHHHHQPLLLPSWRAATDCCLWEGVSCDAAASGVVVTALDLGGHGVHSPGGLDGAALFQLTSLRRLSLAGNDFGGAGLPASGLEGLAELTHLNLSNAGFAGQIPIGVGSLRELVSLDLSSMPLSFKQPSFRAVMANLTKLRELRLDGVDMSAAAAAAAGDWCDVLAESAPKLQLLTLQSCKLSGAIRSSFSRLRSLAVIDLSYNQGFSDASGEPFALSGEIPGFFAELSSLAILNLSNNGFNGSFPQGVFHLERLRVLDVSSNTNLSGSLPEFPAAGEASLEVLDLSETNFSGQIPGSIGNLKRLKMLDISGSNGRFSGALPESISELTSLSFLDLSSSGFQLGELPASIGRMRSLSTLRLSECAISGEIPSSVGNLTRLRELDLSQNNLTGPITSINRKGAFLNLEILQLCCNSLSGPVPAFLFSLPRLEFISLMSNNLAGPLQEFDNPSPSLTSVYLNYNQLNGSIPRSFFQLMGLQTLDLSRNGLSGEVQLSYIWRLTNLSNLCLSANRLTVIADDEHIYNSSSSASLLQLNSLGLAYCNMTKIPAILRSVVVNDLDLSCNQLDGPIPDWIWANQNENIDVFKFNLSRNRFTNMELPLANASVYYLDLSFNYLQGPLPVPSSPQFLDYSDNLFSSIPENLMSRLSSSFFLNLANNSLQGGIPPIICNASDLKFLDLSYNHFSGRVPPCLLDGHLTILKLRQNKFEGTLPDDTKGGCVSQTIDLNGKQLEGKLPRSLTNCNDLEILDVGNNNFVDSFPSWTGELPKLRVLVLRSNKFFGAVGGIPVDNGDRNRTQFSSLQIIDLASNNFSGSLQPQWFDSLKAMMVTREGDVRKALENNLSGKFYRDTVVVTYKGAATTFIRVLIAFTMIDFSDNAFTGNIPESIGRLTSLRGLNLSHNAFTGTIPSQLSGLAQLESLDLSLNQLSGEIPEVLVSLTSVGWLNLSYNRLEGAIPQGGQFQTFGSSSFEGNAALCGKPLSIRCNGSNARSPSLEHSESWEARTETIVLYISVGSGFGLGFAMAFLFQVFRGK >ORGLA04G0132500.1 pep chromosome:AGI1.1:4:16343406:16345446:1 gene:ORGLA04G0132500 transcript:ORGLA04G0132500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGKAAAAEAAAPDAGVSSPQGGGGEKEGSFLLGSPTWEDAGGGRWRCKETGHELPEREKEAYGRSRACRLALIDQAVARKKPPLNAFKPHPEHKSKLICNITGDIINKSEEHIWKHINGKRFLNKLEKLEEKMASGEMAEGEAEQSNEGENKTKSRKKKDKKKAAVVNPSLPREPKPEIDDSDNSDDPDFWVPPVGSRWDDDDGKDRWTSSPVKDKDDAAEDEDGDDDGDDMADKDDEETREIASRTKRLSVEAVGPSSFASRKKKTKKEQ >ORGLA04G0132400.1 pep chromosome:AGI1.1:4:16338233:16342291:1 gene:ORGLA04G0132400 transcript:ORGLA04G0132400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWITCH/sucrose nonfermenting 3A [Source:Projected from Arabidopsis thaliana (AT2G47620) TAIR;Acc:AT2G47620] MSPPVAGAASSGDGPPGRPPRELYTIPASSGWFQWDEIHETERRALPEFFGGAGGSGFGTASRNPRIYREYRDYIISRYREDTSRRLTFTEVRKALVGDVTLLRKLFAFLDSSGLINFSASPSRPEAQQQQRQTEAEAVVEAPVGLQVTPRPPPSYFAEEKGGGGNENGFRLPPLTSYSDVFGEWAPGMAPICGLCGMECRDGNAQILKDGFKVCSKCYANNDNKGEANIHPGDKKERIDNHSSSAWTDAETLLLLEGVLKHGDDWDLIAQHVRTKNKSECVARLIQLPFGEHMLGTVNGKLDNRLHKIQTTDGKVNKSTVKESSSQPTETVDDMQIDGNEDGADKSVEEHPTKHRRLFSSIDTTVSLMEQLAHLTTSTSPDVVAAAADAAIKALGNENPQARRAFQLSEKEYQTRAFSSNHARQSDDVGGGDRDVEMHGHPDKKQGKMFISTTYQVRAAVATSIGVAAARAKMLADQEEREMELLMASIIETQLKKIQYKIKHFEELELIMDQEYATLQQMKSSLVDEWQKVLKRAFETGVPISRDEVLIKLFQNKPNL >ORGLA04G0132300.1 pep chromosome:AGI1.1:4:16335988:16336979:-1 gene:ORGLA04G0132300 transcript:ORGLA04G0132300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrate transmembrane transporters [Source:Projected from Arabidopsis thaliana (AT5G50200) TAIR;Acc:AT5G50200] MARFGAVIHRVFLPLLLLLVVLGACHVTPAAAAAGARLSALAKALVVEASPRAGQVLHAGEDAITVTWSLNATAAAAGADAGYKAVKVTLCYAPVSQVGRGWRKAHDDLSKDKACQFKIAQQPYDGAGKFEYTVARDVPTASYYVRAYAFDASGARVAYGETAPSASFAVAGITGVTASIEVAAGVLSAFSVAALAVFLVLENKKKNK >ORGLA04G0132200.1 pep chromosome:AGI1.1:4:16330587:16333880:1 gene:ORGLA04G0132200 transcript:ORGLA04G0132200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPWGGVGAWALDAERAEEEEREQAAAFPAPEPPAPAGGAASFPSLREAAAAAGGGKQKKKNKGTTLSLSEFSGYGAQGQRRGGGGAAPVEPRGLTPEEMMMLPTGPRERSAEELDRSRGFRSYGGGFGAGGGDRRGGFDDDRRGPGRSSDLDMPSRADEADNWGTNKRFTPALGDSGRRDRFGGPSPAGRSDDIDDWSRDKKPMPSRYPSLGSGGGGGGGFRESPGFRDSPGPSDSDRWSRGGSFAPMPHNGERERPRLNLDPPKRDPLATATPPAEVARNRPSPFGAARPREEVLAEKGLDWRKMETEIEQKTSRPTSSQSSRPNSAHSSRPGSPGSQVSAVGSEGAPRSRPKVNPFGNAKPREVVLQEKGKDWRKIDLELEHRAVNRPETNEERILKEEINLLKEKLKESEANKTDGPDQASPEDPEDLSEKITQMEKQLELLTIELDDKVRFGQRPGSGAGRVSAVPPAIAEEPQIVVSIVDRPRSRGGMEPFPKPAEERWGFQGSRERGSFGGSRSSDRPMTRQRW >ORGLA04G0132100.1 pep chromosome:AGI1.1:4:16322851:16324971:-1 gene:ORGLA04G0132100 transcript:ORGLA04G0132100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSGPSFTLGDFDPNYLSGSYENDATGSAPTPPILDEVPVASDGSGTVSGSASTNTGSKRSRTSGVWQHFDEVAVIGPDGKQVTYAKCRICKHNLSAKSTGGTGHLRRHVESCAKKQGIQLRQQQLLLNPYGTVRTWEYDPMVAQKSLARLIARQDLPLNFGESPAFENYIKTAHNPRFQAVSRQTTTRDLKNVYDEGSQSLKELFSTCTFSVSMTSDIWSGKAKEDYVSVVVHFINDDWQMQKRVLGLRLIDVSHTGENIAERIREVVNEYHLADKIFAVTLDNASANSKAMEILQPLFCVYAESFLLHQRCACHIINLIVKSGFKRVNVHIDAVRQAITWLTSSNPWIAQWKRYCVASEELPRKFITDAEHRWNATYLMLKNVLPYKDLLTVFLQTRNVLTRDGQPLLTDHTWYVVERFFQFLETFHDCTILSSQVYHPTANLILHNILEIATLLKEYENDELLGSAVFSMKQKYLKYWKNLPMLYAFAFILDPRGKLRGLLNLLSLIGETINVDYSNYYSDVKTKLYEVFRKYELKFQGVRLQRPPPAPTAGKKKLQWSRIWGGSSSSNNGGGGSSSAPSVDAGAVGELSNYLDSDAIRHESSDFNVLAWWNDHKMSYPMLSKLARDVLTVPVSTVSSESAFSLTGRIIEDRRTCLSSDHVEMLLSVKDWELAAEHAQYTADNQELVAQFENLYLDQEEPE >ORGLA04G0132000.1 pep chromosome:AGI1.1:4:16320963:16321601:-1 gene:ORGLA04G0132000 transcript:ORGLA04G0132000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLFTISGLREAAMLYASRDDPIKVIVTDVELRQAPALLELLTKASRLPRGWDLVRDHKLRQLARLANEIVVIVDVLVPMLRENALHHDAARLLLQVRDKVADLNTMVVFIPELGLDDGPDGGEDDPEFGGDDGSDDGEDGPESGSPQGDNEEADDA >ORGLA04G0131900.1 pep chromosome:AGI1.1:4:16314844:16317796:1 gene:ORGLA04G0131900 transcript:ORGLA04G0131900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLALNRLMPLRRDRRRRRRHHRPQIRARSGGLIASTGKRKTSPCQQDDYDGDSQAGKIMRNSIPDLPEDILFRIQSFMSMREAARAACVSRAFLHSWRCHPNLIFNKDTIGLKRNAFGENFHGKIGRILRNHSGISLKTFQLDYSGMCGFDGTSYLDSWLQIALKPEIEELTLFLPETNRQYSFPCSLLSDGVRDSLRYIKLRCCALHPTPELGPLRSLSSMHLLYVSITWAELECLLSNSLALEHLELNHCKGIICLKIPCTLQQLSSLNVVECSGLKVIESKAPNLSSLFVRGSRVNFSLVETLQIKKLDMGRAICDARAKLPSIMPNLETLIIESGHEVLYTDYISNTCCVINLIHAIFVVQVVDAPMLPTKFLYLRHLTIHMITGSTISRPYDYFSLVSFIDASPSLETLILNVTQVRMVHESIFTDSQLRHIPGHRHGHLKSVKITGFSSAKSLVELTCYILNNAVSLECLTLDTIYGPRCDQDKYRRCFPMIDGVLTEAPRGLAAIRTYIEDKVPSTVNLIVLEPCSRCHVRRRG >ORGLA04G0131800.1 pep chromosome:AGI1.1:4:16308211:16309787:-1 gene:ORGLA04G0131800 transcript:ORGLA04G0131800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPDRLMSQRRGRRRRRHRRPQIRARSAGFIASTGKRKISPCEHDGDGHSQAGKMMRNSIPYLPEDILSRIHSFMSMREAARAACVSRAFLRSWRCHPNLIFNKDTIGLKRNAFGENFHGKIGCILRNHSGISLKTFKLDYSGMCGFDGTSYLDSWLQIALKPGIEELTLFLFDKQTIQLPMLTFV >ORGLA04G0131700.1 pep chromosome:AGI1.1:4:16300025:16302806:-1 gene:ORGLA04G0131700 transcript:ORGLA04G0131700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAADAAKLSVSGAALAALLACCGSADGDSDGLLFGVASRAAAAPPSFYDDDDDDQARASSGPSLSISVAGHASVAQPSSLADPLGRFTRSSYSPDPSAAIGFFSSSRRRSPLRPSMREAALARSLSKTLVLAHPLVFLLVAPSSSAAGLSVHSFDYRAFLLVDSRLVPASLSVVNAGPGFREQYHAFAPESPLPSLPEQPVKQGYSIGEQKALDGMVEGFGLERVGAMVTSASVLTSEMEEMYSGMLRKLEGLARQVEGSNERVLDQEKQNTLLRKKVAGLK >ORGLA04G0131600.1 pep chromosome:AGI1.1:4:16294935:16298242:-1 gene:ORGLA04G0131600 transcript:ORGLA04G0131600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLLRRILSSPAPAPAHHGGAGARRTVTYMPRPGDGNPRAVTLIPGDGIGPLVTGAVQQVMEVMHAPVYFETYEVRGDMPTVPPAVIESIRRNKVCLKGGLATPVGGGVSSLNMQLRKELDLYASLVNCSNFPGLPTRHQDVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVASKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNENILEQKKANPIALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGTSTTQEVTDAVIANLD >ORGLA04G0131500.1 pep chromosome:AGI1.1:4:16290191:16291968:-1 gene:ORGLA04G0131500 transcript:ORGLA04G0131500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGQLDGRQASGLMRDGAFPAASLSGRQPLDRAATALEILEKKLAEQTAEAEKLIRENQRLASSHVVLRQDIVDTEKEMQMIRAHLGDVQTETDMHMRDLMERMRLMEADIQAGDAVKKELHQVHMEAKRLIAERQMLTVEMDKVTKELHKFSGDSKKLPELLTELDGLRKEHQSLRSAFEYEKNTNIKQVEQMRTMEMNLMTMTKEADKLRADVANAEKRAQVAAAQAVAAQAGVAHVTASQPGAAQAAAVPAASNPYSSAFTGHPSAYHQGATQAGVYQQGTTQAGAYQQGSTQAGAYAYPTYDAATAYQMHAAQANAYAGYPGYPVAGYTQAALPGYPGAYAAPQQPISSGVTTDVASMYGAISSAGYPAGVVQSSSGAANAGQAPATYPVAYDPTRAGQR >ORGLA04G0131400.1 pep chromosome:AGI1.1:4:16285316:16289484:1 gene:ORGLA04G0131400 transcript:ORGLA04G0131400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24710) TAIR;Acc:AT4G24710] MSAPMEVSFSAPPPPDAASAAAAAAAAPSLVPAVAAAAAATTVSCSPQPPTGSPSADDRILVSVAVEVLLHATSTARAEDVCAAVERMLEARSLSYVDGPVPIPNDDPFLLANVKRIQICDTDEWTENHKVLLFWQVRPVVHVFQRVDFQRYILHLSEDGPGEEPGEDDTLSSFNEWALPAKEFDGLWESLLYEVGLKQRLLRYAASALLFTEKGVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRVGILTHTQGGNSLCLLSYFSLMENQHCPEVADPHGSVHLSGLLHKAAEICEGLSGRTLRKLPFLAHASVANPSCCDASAFLHALIQTAQRELSESRG >ORGLA04G0131300.1 pep chromosome:AGI1.1:4:16282795:16283803:1 gene:ORGLA04G0131300 transcript:ORGLA04G0131300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSSRLASRAARLAAAAASRAARLAAASASRVGDGDPSARATATAASRAARLAAAAASHAGGPTARAIAAAASRAARSVSSKLLDDDIADRLSFRYSTEPPDDGKCVTAKDLESDQAVWALYERWCKSYNKKRDHAEMIRRFDIFKLKASLVHNWNNYVHKDRGISSCKKEKGFRQTCRSLVPTRGIRPIRRWGRHC >ORGLA04G0131200.1 pep chromosome:AGI1.1:4:16269232:16271511:-1 gene:ORGLA04G0131200 transcript:ORGLA04G0131200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETGPYGHKKTDGICDGVCGEPASKAVLTMSRLRCALRGFDFRALLALLIGVPILILMIYAHGQKVTYFLRPIWESPPKPFKTIPHYYHENVTMEKLCKLHGWKVRETPRRVFDAVLFSNELDILEIRWNELSPYVSEFVLLESNSTFTGLKKPLHFKENRHRFGFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAKIEDDDLLIMSDVDEIPSGHTIDLLRWCDDIPEILHLQLRNYLYSFEFFLDDKSWRASIHRYRSGKTRYAHFRQTDELLADSGWHCSFCFRYISDFAFKMQAYSHVDRIRFKYFLNPERIQDVICRGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPSYLLQNVDRYRYLLPGYCRRESG >ORGLA04G0131100.1 pep chromosome:AGI1.1:4:16257915:16258627:-1 gene:ORGLA04G0131100 transcript:ORGLA04G0131100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQYNLGVEEGGEDGELVVSKVFYQLSSKQTGSPEMDSVTEEASDALTIRSDPITPITNPPLPRCLMNSPCDTEQNGTISHDREGECSTSTLRPTVEPGNRAGCSAGASTTGDFDEDLLQRCEFPGDPVPTLDDTLPFLYTDETDLFSWEDFQFGSQESFGWVDGDHT >ORGLA04G0131000.1 pep chromosome:AGI1.1:4:16255722:16257320:-1 gene:ORGLA04G0131000 transcript:ORGLA04G0131000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G15630) TAIR;Acc:AT2G15630] MAPPTSAAAAVAAAARASPTSAAALALFKSALSADQALSPLAVLPHLDDAPPSLPNLLLTASAAARPHATSLRLYSRMKSLSVPISTSSLHPLLSALPSAPAFALFADMFRLRLPLCTTTFNIMLRHLCSAGKPARALELLRQMPRPNAVTYNTVIAGFCSRGRVQAALDIMREMRERGGIAPNQYTYGTVISGWCKVGQVDEAVKVFDEMLTKGEVKPEAVMYNALIGGYCDQGKLDTALLYRDRMVERGVAMTVATYNLLVHALFMDGRGTEAYELVEEMGGKGLAPDVFTYNILINGHCKEGNVKKALEIFENMSRRGVRATVVTYTSLIYALSKKGQVQETDELFDEAVRRGIRPDLVLYNALINSHSTSGNIDRAFEIMGEMEKKRIAPDDVTYNTLMRGLCLLGRVDEARKLIDEMTKRGIQPDLVTYNTLISGYSMKGDVKDALRIRNEMMNKGFNPTLLTYNALIQGLCKNGQGDDAENMVKEMVENGITPDDSTYISLIEGLTTEDERAIDDERLAAADAAKV >ORGLA04G0130900.1 pep chromosome:AGI1.1:4:16253930:16254421:-1 gene:ORGLA04G0130900 transcript:ORGLA04G0130900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDDVEKQQPLLSAAAADEKPSSGCDCGTTASPPLATGALALVVLAAGAAFAAQLAAREEYVLLAVLASQVVSFGVFTSLLALCALPEEEEEGKPRSIAGAPGARARVLLLWPFAMALPVSMACWAAESAPAAVGLALLTLALAAVLACYAEVVRSLWPRQ >ORGLA04G0130800.1 pep chromosome:AGI1.1:4:16248434:16250608:1 gene:ORGLA04G0130800 transcript:ORGLA04G0130800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMKLGNRPDTFFLSGPVRSVSTDLATDMQILVDGCLFRLHKFPLLSKCMWLQALCVESGEGGGAVELPAFPGGAEAFEACAKFCYGVAVTIGPHNVVAVRCAAARLGMSEAADRGNLAGKLDAFLSSCLLRRWKDALAVLHSTRRYAALCEELGVTSRCVDAVAALAVADPSGDASGAVPAGSSSSSPPWWVRDISELGVDLYWRVMVAVKATGTVHGKAIGDALKAYARRWLPIAAKNHHAAEQTAAGGGGGAANADRATKNHRLLVEKIASLLPAERNAVSCGFLLKLLKAANILGASPASKEELTRRVASQLEDANVSDLLIPATPPCAGGALYDVDAVVTILEEFALRQAAASGRPEGSPRRAGRHRRSMSAESGELEGARRSTSMAAASHGAMVRVGKLVDGFLAVVATKDARTPLDKMIAVAEAVPDFARPEHDDLYRAIDTYLRAHPEMDKSSRKKLCRVLNCRKLSEKASMHAAQNELLPLRVVVQVLFFENARAAGLSSGHGNRVAARFPGDVSALLARPRTTEENGKDEQRPAGSVAADGDWSVEGRRDWSVVASRVASLKMRLEEEDGEDAGDEAFVHRTRAGLARSASSRITAAAGRSKRMLSRLWPTSRTFT >ORGLA04G0130700.1 pep chromosome:AGI1.1:4:16237743:16241836:-1 gene:ORGLA04G0130700 transcript:ORGLA04G0130700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQDAAPTAREGGRDLVVKVKFGGTLKRFTAFVNGPHFDLNLAALRSKIASAFKFNPDTEFVLTYTDEDGDVVILDDDSDLCDAAISQRLNPLRINVELKSSSDGVHQTKQQVLDSISVMSTALEDQLAQVKLAIDEALKFVPEQVPTVLAKISHDLRSKAASSAPSLADLLDRLAKLMAPKSKMQSSSGSADGSSGSSSGRGQTMGRLNIKNDTELMAVSASNPLDMHNSGSTKSLGLKGVLLDDIKAQAEHVSGYPYYVDTLSGWVKVDNKGSTNAQSNCKSVTSSAVPQVTSIGHGAPTVHSAPASDCREGLRSDLFWTQLGLSSEPFGPNGKIAGDLNSTCPPPPLFPRYPLQSLRADKSSYKGGSSYPPCICKSNTSKPENLSHYPVQSLQADRSFKGGRYFPPCTCKNNTSKPDNLSPVGLYGPYSEGSSCNRCPYRDLSDKHESMAQHTLHRWIQCDGCGVTPIAGSRYKSNIKDDYDLCSTCFSRMGNVNEYTRIDRPSFGSRRFRDLNQNQMLFPHLRQLHDCRFIKDITVPDGTVMAPSTPFTKIWRIHNNGSSMWPYGTCLTWVGGHLFARNSSVKLGISVDGFPIDQEIDVGVDFVTPAKPGGYVSYWRLASPTGQMFGQRVWVFIQVEHPGKTSSNKQSAAINLNMPPEGSNTEWKHSVDTNIQSADIVDEYSGSTITDPLAHTLYHEATKPMEPELVSSGAPSVPRTFESVLVPATDLLTSSAGAEKASKPAAVPAPAPQAIPLPKPVSIPASGPAPAPVSATTAAPIGAAAAPISEPTAPAAAIGMPSATARVASRLPTEPSSDHISAVEDNMLRELGQMGFGQVDLNKEIIRRNEYNLEQSIDELCGILEWDALHDELHELGI >ORGLA04G0130600.1 pep chromosome:AGI1.1:4:16234303:16236870:1 gene:ORGLA04G0130600 transcript:ORGLA04G0130600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLRHPSVLRAMTRAVADVSAARSALQVLGPRPDHELVDSSRAIVAAADAEAGGSRRVPEGDLEACRAVVRLEETHDAYEALLQEAEGRLEAVYRSAMEGKDLEEPDGRDESAAAAAGDDAAVQEEVIAVLRQAEEGKPVESFRLVDRQLRHLPEAFGRIQGLRVLDVSRNQLEVIPDAIGGLDHLEELRLASNALISLPDSIGLLLNLRILNVGSNRLRSLPDSISKCRSLIELDASYNGLAYLPTNIGYELVNLRKLWVHMNKLRSLPSSICEMRSLYLLDAHFNELCGLPSAIGKLSSLEILNLSSNFSDLKDLPASFGDLLNLRELDLSNNQIHALPDSFGRLDKLEKLNLEQNPLSMPPMEIVSKGVDAVKEYMLQRWLDILLEEERKSIAAAESPQAPTTPSAWLARSVSWVSDVSGSLVGYLSGENKTEKDAYLDQQY >ORGLA04G0130500.1 pep chromosome:AGI1.1:4:16220515:16222926:1 gene:ORGLA04G0130500 transcript:ORGLA04G0130500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHHSDQYAKSSLQLQFRDTSVSFENNNSVLDKHDITSPQVGMTFETADLAYRFYLEYGYRAGFGVSKRTSHSVDGVKYRATFVCYKGGIARIKPGLKARRRLVAKTGCKAMMVVKYNTTENQWEVVFVELEHNHPCNPEMVRFMMCFKDLPDWQREHRPFNAKTRLNPKIHSGRGRPPNQNKDFMVKKTFSQSNYSIEAAGKAGKLRFAEGDVEALLVFFDKMQAQNSNFFYNWDMDDEGRLKNVCWVDARSRAAYQHFCDVVCFDTVYLTYQFVIPLVAFLGINHHGQFVLLGCGLLGDESPETFSWLFKKWLKCMNDKSPEAIITTHSRPVIKAVAEVFPNTRHRYNLWHIMKELPEMSGRVEDKEAISLRMKKVVFDTITSTDFEREWVEMVNQYNLHDNHWLTTLFEERAKWVPAYVKDTFWAGISTVRRSERLEAFFDGYITPETTIKTFIEQFDTAMKLRSDREAYDDFRSFQQRPQVLSGLLFEEQFANVYTINMFQKFQDHLKQLMNVTCTEVSRNGSIVTYTVTVIGKERKYDYKVMYNSAEKEVWCICRSFQFKGILCSHALAVLRQELVMLIPYKYILDRWRKDYKCPEESKETPISPKAIKATGKGTKPENAREDKVDNLYKHGHQYFADIVEMGATDPDAMEYVLSVMKEAKEKVRKFEESRKDRRPGDSPVSTGKRNGKSSRPSNEDGGNGISDSTPATTTVATVAVATSTTMQATPTMVAIAPTSATVPAGMFLVPMHPHPMVFPPFTPAVPPVVAPAVPPPAPAANVGDVASNSTRKRKKRKGNK >ORGLA04G0130400.1 pep chromosome:AGI1.1:4:16213404:16217234:1 gene:ORGLA04G0130400 transcript:ORGLA04G0130400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: nucleus; CONTAINS InterPro DOMAIN/s: Ribonuclease H2, subunit B (InterPro:IPR019024); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; /.../ia - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G20325) TAIR;Acc:AT4G20325] MASWCGDLAAPPRLLVAPRPSDGNCQGNVLSLRHPRSDEETGYLFIDGQLHEFNWFKERFGSWFLGDYVCEDGSLYYCTVVDPIFILLPILKAARMSNGKDPGKFRQLDEILYVEGYPGYQHLMGIAGNHIDLVCEVKEVANVKFFRLDDSKVLSWLCCKVHNLKEVFPKLGKNYAAQGEKELLKDAVQIIREYLNDEPWLTLLCKKLQLDIKEIIEANKTSEASFCAENSPVPLRPAEEKLGSSSTRSSKGRPAKKQKAEVESKNIKDMFRRVTRKGT >ORGLA04G0130300.1 pep chromosome:AGI1.1:4:16199158:16205228:-1 gene:ORGLA04G0130300 transcript:ORGLA04G0130300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:I1PM84] MAATQEKAPVVVCCGGGGAAAARRVDGGPGSSSRGAIVAAPAAAAAAGKVSMVSGDDPRVAAAAGGGGGAVMEEIAAAVQPTTAKVSSKGKRVKCLKWITMPVQRWTNRLVDYVNHGAISNMESALYLYAPLGTGRIPIMTRAQRSHPLDPLSAAEIAVAIATVRAAGKSPEERDGMRFVEVVLLEPEKNVVALADAYFFPPFQPSLLPRTKGSAVIPSRLPPRRAKLTVYNRQSNETSIWIVELSEVHAATRGGHHRGKVISSEVVPEVQPAMDAMEYAECEATVKSYPPFIEAMKRRGVDDMELVMVDAWCAGYYSDADAPSRRLGKPLIFCRTDSDSPMENGYARPVEGIHVIVDMQNNVVIEFEDRKLVPLPPPDHLRNYTPGETRGGVDRSDLKPLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKRGCDCLGFIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGESRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEAHNQVVEVNVKVENAGTHNVHNNAFYAEEKLLKSELQAMRDCDPSSARHWIVRNTRAVNRTGQPTGYRLVPGSNCLPLALPEAKFLRRAGFLKHNLWVTQYKSDEVFPGGEFPNQNPRIHEGLATWVKKDRPLEETDIVLWYVFGLTHIPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPGSSDADIKEAESPKAIQNGLISKL >ORGLA04G0130200.1 pep chromosome:AGI1.1:4:16195711:16198092:1 gene:ORGLA04G0130200 transcript:ORGLA04G0130200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G70590) TAIR;Acc:AT1G70590] MDAASRTWPPPTPSPPPFSSRPRVVGPPPPPPPPSDPPPLPPPHHHHHHRRRHRHSKKPKPQPQPQPPPSPLPPQQQQQEPAAGAGAGADFSALPPELVHRALAAAGASDVAAASRACRAWRDALRPLREAAALHARGRRVKHAAGAAAAAGAEGRKAERQRALGLFQRAARLGSAAAMVDAGLMCWEEGRRDEAVGCYQKAAELGHPVGMCNLGVSYLEADPPKAEEAVRWFYPAAAAGNARAQYNLGLCLQNGKGIKRNQREAMQAKWYLRAAEGGNVRAMYNISLCYNYGEGFSQDQVRSKRWLQLAADCGHKKALYECGIKLCAAGDKVRSLMYLELATRRGEAAASHMRDVILESLSLVNAQRALSDADKWRPRALYTRR >ORGLA04G0130100.1 pep chromosome:AGI1.1:4:16190018:16191355:1 gene:ORGLA04G0130100 transcript:ORGLA04G0130100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHADDDMPTHRRWADLHAGLVSRVADLCALRGYASCRAVCASWRAALPPPTSRPLAPVADTASRHGPLSLAVCSVHAQRWSRLLGLRQPSGLANATGASRCVGARDGWVVLAAANAKGAAAAAASVVLLFNPVTGVEIPLHASLYDPNCERAPAASTSPAPKVVFSPCPTARDLAAVSICRPNRLAVQRTTDGHSSALVLDTAALMDSDDLADVAFDGNGRVAYCLTRHGAVHVLRLNRRRHRGRLRPIEIEPLVAGAVFPSPYDTIARFTDAKNLVLCGGALYQVWRRPSGAGSAVAPAGICDQQLLRIPEGAVFVLRYEPPASAAAGGSRRPPCWSESKDLGGHAVFLGANDAAAAARCGDGAAELMRGDCLYYWASRAEGDYEAFVYSMADRMSTRLPPATGGVSSPLWYFLPAGAANVEATTTTAMEAASEEVSVAVITV >ORGLA04G0130000.1 pep chromosome:AGI1.1:4:16188843:16189379:1 gene:ORGLA04G0130000 transcript:ORGLA04G0130000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAELGVGMAKAAARHNDDLARRXRRRGRCSHDSTWAGMALEAHGGHGDGVEGEAREAARGGPLLLAGLAWSSVALSPWLRWFREAGARERREGVRWSAGTRFQAMVEGGRQGRQGKRGARASGPFRRRAAMEAAEAALKSLGTQRMRDVGRKIWLWVPQSHSWRASFGWPSLAT >ORGLA04G0129900.1 pep chromosome:AGI1.1:4:16185491:16187128:-1 gene:ORGLA04G0129900 transcript:ORGLA04G0129900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASCRRVRLRFRSALATAAASPVEASISTSDSDVDPESHGPADATLLRRRMRASAAEGNLAAALDALALLRPAPAGAHDYNALLHAYLRSGQAAAQHVAAAEHVAAVLCHMRSVGPAPNALTFNTAFNGLLRLGHLDASHAVLEEMWSRCGFVPSFTTVDRLIKKAVSGSNFELALKVFDLMLSLCYFPTLPIANAIVSILLKSGSAEIAYEVFMVLVNRKFVPDVYMYNQILFGLCKSGCSNKALTLFCNLKKRGLSLNVYSYTALVLGLCKEKMWADAYRALEKMCDEECKPSVVTYTVIVNFLCRDGKIDAAMHVFRMACKNGCCLDSTICNVLLHALCCEDRIPEARVIVDLMEEAGLVPDYFTISSLAAGFLKTGDVMTCQNFIRMVKKALALVSGMMERGLVPSTTTYNTILKGFCMELDLQGALQMLDHFSSTGVPCDSVSFNTILSAACRQQNASVIRMVLYRMHVEGINLDAISMTCLLRYFHKCGKFAESVNLVESLRIQGSLLFVHGT >ORGLA04G0129800.1 pep chromosome:AGI1.1:4:16178891:16180109:-1 gene:ORGLA04G0129800 transcript:ORGLA04G0129800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIPAIDLRLVGGGGGAEETARLRDACARLGCFRVSGHGVPPGLQAEMKAAVRALFDLPDDAKRRNADIIPGSGYVPPGTANPLYEAFGLCDAAAPADVDAFCARLDAPPHVRETVKAYAERMHSLIVDVAGKVAASLGLHGASFQDWPCQFRMNRYNYTQDSVGSPGVQVHTDSGFLTVLQEDECVGGLEVLDPAAGEFVPVDPLPGSFVVNVGDVGQAWSNGRLHNVKHRVQCVAAVPRVSIAMFLLAPKDDTVSAPGELVDGEHPRRYREFKYDDYRRLRLSTGERAGEALARLAA >ORGLA04G0129700.1 pep chromosome:AGI1.1:4:16175218:16176858:-1 gene:ORGLA04G0129700 transcript:ORGLA04G0129700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRSKNRKPTQRGRYLSTEAIQAVQSLKRAALRGSPSAAAAAVPVEPKLRRLLKADMVAVFRELAAQGEALLALQVFEEIRKEHWYKPKLLLYVDIVTVLASKGLRSEVDKVCSYLKREQLEPDTEGFNVLLKALLDAEFTQLTMDCFRLMKLWDSDPDRITYRTLIKGLESLGEMGLSADIKLEAQNDYGDLDFLDEEEMIDTLEQKSIWRGSSLIAENRRARISS >ORGLA04G0129600.1 pep chromosome:AGI1.1:4:16173482:16174330:1 gene:ORGLA04G0129600 transcript:ORGLA04G0129600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAHMAAVLNLPWGYRFRPSDRQIIANYLGPMAIHGADSLPQRGDVVEGVDVFATRPAAIPFEPRRHVFGRDEVRAYFFGDQPTDSRGREVPGGAWLPCGGGDKAYSGGADGGEAVAYRRKYEFRAANEEADRAGEEAATPARPRWRMKEYRLNKSAAAFRRAYAQPNPKANMDCVVREIYTKAVPPPTPPSGRSGDEEMQEGSDYSVMDEDELVDYLLQGFEDGNFDEDQDQPAAAEDGDYSDEDEDQPAAAEDGDYSDEDQDQPAAAEDGDYSDEDEP >ORGLA04G0129500.1 pep chromosome:AGI1.1:4:16171447:16171977:-1 gene:ORGLA04G0129500 transcript:ORGLA04G0129500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRARCRAPQSSTSPTWPRRVEALTHILIHPSHSPSLHSQLFLASRVPCPPPGSTYPPFLCPGAGDGAGLLRWALASVFLPRAARLCLPPSSWRSRCPFQLPPPVVPSAAIEPAPERWGEAELRGYARRQRAQRGPMRARPPHSIAGAVLTIVPNVVIVAAVIRELFWVRPNRI >ORGLA04G0129400.1 pep chromosome:AGI1.1:4:16166403:16168868:1 gene:ORGLA04G0129400 transcript:ORGLA04G0129400.1 gene_biotype:protein_coding transcript_biotype:protein_coding CDNIHCCETSASLQRISKLARALSRELRCGGPPGTGCSPDEKPEVPPXAEETEWIISEWSEEEEDARVLPWRRWWWWQDQVHPDHQGPHQERTPAAAHTVNAWCYMKAMSLKVKMGGGGGSHGRTRRRRRGKRPEEEDEEEVVEMEGKDIAAAAAPSASAKIAPAQAQEADGNEATTSGGGGDDDRRRGKDEQGGRGQEHRDKCCCPPEDGAGVVEEDEAMATTDHAMAAAAAEEEESDHEWVAEPEPGVLMTLVARPDGTNHLRRIRFSEELFDGARAAQRWWADNYDAIVELYSVVQPEPSHDGGDDDDDSESVPATPCQSEDDDHRRRREQGSDSASNFSGPSSGSGSGSGSGGRSASTVGSPILGLVTAPGGGGGAPATPTEHSPT >ORGLA04G0129300.1 pep chromosome:AGI1.1:4:16163457:16165862:1 gene:ORGLA04G0129300 transcript:ORGLA04G0129300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PM74] MEHLFTLIFLLVFTVAPSKAQQNITKGSFLTTEGVNISWVSPSGDFAFGFQLINGNNSYLLAVWFDKTVDKTLAWYAKTNTQVPELVVVPSGSRLQLSSNGLSLLDPGGHELWNPQVTSAAYANMLDTGNFVLAGADGSIKWGTFASPADTILPTQGPFSEVQLYSRLTHTDYSNGRFLLQVKDGDLEFDLVAVPSGNPYSTYWTTNTGGNGSQLFFNATGRVYFTLKDRTEINITSTIMSSMGDYYQRATLDPDGVFRQYVYPKEAARKWNNIGWTTVDFIPRNICQAIRSDDGSGACGFNSFCNFNWSLNETVDCQCPPHYSFIDQALKYKGCKADFQPQSCDLDEETMIDQFDLIPMNGVDWPLADYEHYTSVGMDECKKLCLTDCFCAVVVFNNGNCWKKKLPMSNGILDSSVDRTLYLKVPKNNNTQSQLNSNSIKWKKQKKHWILGSSLLLGSFFLMCILLASFIIFQNYFAMESKKTDLPKQSSSTGGLALKSFTYEELHEATGGFSEEVGRGGSGVVYKGQLQDPLGTYVAVKKIDRIMPDIEKEFAVEVQTIGWTFHKNLVRLLGFCNERAERLLVYEFMPNGSLTGFLFDTVRPSWYLRVQFAIGVARGLLYLHEECSTQIIHCDIKPQNILLDNNLTAKISDFGLAKLLRMDQTQTHTGIRGTRGYVAPEWFKNIAITAKVDVYSFGVILLEIICCRRNVEKDMTNDDREILTDWANDCYRSGRIDLLVEGDEEASFDIKRVQRFLAVALWCIQEDPAMRPTMHKVTQMLDGAVEIAMPPDPASYISSLQ >ORGLA04G0129200.1 pep chromosome:AGI1.1:4:16153377:16155788:1 gene:ORGLA04G0129200 transcript:ORGLA04G0129200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PM73] MMEPLLTLLVLLLVFAIAPSKARNITLGSLLTTEGVNTSWISPSGDFAFGFQLISTNTYLLAVWFDKTVDKSIAWYAKTNTQVPEVVLVPSGSQLQLSSNGLSLLDPGGHELWNPQVPGAAYANMLDTGNFVLLGADGSTKWGTFDSPADTILPTQGPFSEVQLYSRLTQAAYSNGRFLLQVKDGNLEFDLVAVPSGNKYRSYLTPNTGGNGSQLLFNETGGVYFTLKDGTEITITSTIMGSMVNYYQRATLDPDGVFRQYVYPKKEAVTRGWKYIGWTAVDFIPRNICDVFTTSDGSGACGFNSYCSFNWNQNETVECQCPPHYSFIDEARKYKGCKANFQQQSCDLDEATMIDEFDLIPMKGIDWPSADYESFTSVGMDDCQKLCLTDCFCAVTVFNEGNCWKKKLPMSNGRMDSSVDRTLYLKVPKNNNSLSIINPGSIKWKKDKKYWILGSCLLLGSFLLVLILLISFILFGHYFAKKSKKIDPPKQSYSTGGLPLKSFTYEDLHEATGGFCEEIGSGGSGVVYKGTLQDQLGTHIAVKKINKVLPDIEKEFAVEVQTIGWTFHKNLVRLLGFCNEGAERLLVYEFMPNGPLNEFIFCTIRPSWYQRVQFALGVARGLLYLHEECSTQIIHCDIKPQNILLDNNLTAKISDFGLAKLLQMDQTQTTTGIRGTRGYVAPEWFKNIAVTAKVDVYSFGVILLEIVCCRRNVEQDIIDEDRAILTDWANDCYRSGRIDLLVEGDEEASFDIKRVQRFLAVALWCIQEDPAMRPTMHKVTQMLDGAVEIAVPPDPASYISSLQ >ORGLA04G0129100.1 pep chromosome:AGI1.1:4:16145958:16150683:-1 gene:ORGLA04G0129100 transcript:ORGLA04G0129100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEVVMLGGILLPLLLVVAVSGELPPISRRSFPEGFIFGTASSSYQYEGGAREGGRGPSIWDTFTHQHPDKIADKSNGDVAADSYHLYKEDVRIMKDMGVDAYRFSISWTRILPNGSLSGGINREGISYYNNLINELLLKGVQPFVTLFHWDSPQALEDKYNGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPLSFCVAGYASGGMFAPGRCSPWEGNCSAGDSGREPYTACHHQLLAHAETVRLYKEKYQVLQKGKIGITLVSNWFVPFSRSKSNIDAARRALDFMLGWFMDPLIRGEYPLSMRELVRNRLPQFTKEQSELIKGSFDFIGLNYYTSNYAGSLPPSNGLNNSYSTDARANLTAVRNGIPIGPQAASPWLYIYPQGFRELVLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIDYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKKSAHWFKEFLQK >ORGLA04G0129000.1 pep chromosome:AGI1.1:4:16134410:16139179:-1 gene:ORGLA04G0129000 transcript:ORGLA04G0129000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPMPGGLLLTFLLLAVVASGAYNGAGEPPVSRRSFPKGFIFGTASSSYQYEGGAAEGGRGPSIWDTFTHQHPEKIADRSNGDVASDSYHLYKEDVRLMKDMGMDAYRFSISWTRILPNGSLRGGVNKEGIKYYNNLINELLSKGVQPFITLFHWDSPQALEDKYNGFLSPNIINDFKDYAEICFKEFGDRVKNWITFNEPWTFCSNGYATGLFAPGRCSPWEKGNCSVGDSGREPYTACHHQLLAHAETVRLYKAKYQALQKGKIGITLVSHWFVPFSRSKSNDDAAKRAIDFMFGWFMDPLIRGDYPLSMRGLVGNRLPQFTKEQSKLVKGAFDFIGLNYYTANYADNLPPSNGLNNSYTTDSRANLTGVRNGIPIGPQAASPWLYVYPQGFRDLLLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDARIEYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGRKRYPKNSAHWFKKFLLK >ORGLA04G0128900.1 pep chromosome:AGI1.1:4:16124088:16130026:-1 gene:ORGLA04G0128900 transcript:ORGLA04G0128900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMAVAGAMVMSGALLLLHLLAFTCVACNGGSELPPISRRSFPKGFIFGTSSSSYQFEGGAALGGRGPSIWDTFTHQSPDKITDRSNGDVACDSYHLYKEDVRSMKEMGMDAYRFSISWSRILPNGSLSGGVNREGINYYNNLINELLSKGVQPFVTLFHWDSPQALEDKYKGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPWTFCSMGYASGIMAPGRCSSWEVGKCRVGDSGREPYTACHHQLLAHAETVRLYKEKYQFTEGNVRQLQFIRDNNLNRRSAKLSFIIALQKGKIGIILNADWFVPLSQSKSSSDAARRALDFMLGWFMDPLVRGDYPLSMRELVGNRLPEFSKEQSGMVKGAFDFIGLNYYTSSYADNDPPSHGHNNSYNTDSHAKITGSRNGIPIGPQAASFWFHIYPEGIWEMLLYVKENYGNPTIYITENGVDEVNNKTMPLEEALKDDTRIEYYHKHLLALLSAMRDGANVKGYFAWSLLDNFEWADGYTLRFGLNFVDYDDGMKRYPKNSARWFKKFLQK >ORGLA04G0128800.1 pep chromosome:AGI1.1:4:16116862:16122133:-1 gene:ORGLA04G0128800 transcript:ORGLA04G0128800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGAMVMSGGVLLLLLAFTCAAYNDAGELPPISRRSFPKGFIFGTSSSSYQFEGAAAKGGRGPSIWDTFTHQYPDKITDKSNGDGACNSYHLYKEDVRIMKEMGMDAYRFSISWSRILPNGSLSSGVNREGINYYNNLINELLSKEVQPFATLFHFDTPQALEDKYKGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWNFCSMGYASGTMAPGRCSSWEKGKCRVGDSGREPYTACHHQLLAHAETVRLYKEKYQFTEEAIRQSLFIRDNNLNRRSAKFMDPLIRGDYPLSMRELVGNRLPEFSKEQSEMVKGAFDFIGLNYYASSYADNDPPSYGHNNSYNTDSHAKITGSRNGIPIGPQAASFWFYIYPEGLRELLLHIKENYGNPTIYITENGVDEINNKTMRLKEALKDDIRIEYYHKHLLALLSAMRDGANVKGYFAWSLLDNFEWSEGYTVRFGINFVDYDNGMKRYPKNSARWFKKFLRK >ORGLA04G0128700.1 pep chromosome:AGI1.1:4:16102535:16102801:-1 gene:ORGLA04G0128700 transcript:ORGLA04G0128700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVAAALPPPAAATGASSSAHGDGAAPGSRLLIGIVVDGGHRNASGSSRTSLLRLGRQQPDRRLIGSGGSERAPPAWALRESRGEES >ORGLA04G0128600.1 pep chromosome:AGI1.1:4:16091310:16099721:-1 gene:ORGLA04G0128600 transcript:ORGLA04G0128600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRSIDDQFSKLHPSLPVDTRIGIVGAGPSGLSAAYALAKLGYRNVTLFEKCHTVSGMCESIDIEEELGSDFEEMDTHKLSLIDSQTGNIRDLEVAEDYVSMVSLTLKLQDEANKSGRAGLHALSGLASDPTHEFLKQNGINSMPKSVAYGYTASGYGFVQDMPYAFIQEFTRTSMAGKIRRFKHGYMSMWERLSKSLPFEVFCDTEVLNVKRNSCGANVTIKNNNGEKQVLEFDKIILSGAVAFKNSKTYRSSSLTDGESEVVELNNLERELFSKVQTIDYYTTVVKINGFEHIPKGFYYFGEFMEDPTTIGHPVAMQRFFADTNIFLFWSYGNSADIKGSFVAKCVTDVVSSMGGNVQKVILQRRFKYFPHVSSKDMKDGFYERLESQLQGFQNTYYVGGLLAFELTERNASYSIMAVCKHFAIDGEGELTPYVKRLFPLSHNRNPSPPRDLGELEGVEFPDLPSLDGYLQYWGTHKVTEKNVIYTWINEEGKLMNRRTYQELHGNASYIAQKLLTSTKPVIKPGDRVLLIHLPGLEFIDAFFGCIRAGVIPVPVLPPDPMQSGGQALLKVENISKMCNAVAILSTSSYHAAVRAGYIKNIVTLAKRVQKCSAQWPDIPWIHTDSWIKNYRRSSDSFNSDTVLFTKPQPSDLCFLQFTSGSTGDAKGVMITHEGLIHNVKTMKKRYRSTSKTVLVSWLPQYHDMGLIGGLFTALVSGGTSVLFSPMTFIRNPLLWLQTINDYHGTHSAGPNFAFELVIRRLEAEKNKVYDLSSMVFLMIAAEPVRQKTVRRFIELTQPFGLSEGVLAPGYGLAENCVYVTCAFGECKPVFIDWQGRVCCGYVEQDDTDTLIRIVDPDSLTEHQEDGVEGEIWISSPSSGVGYWGNSEMSQRTFFNQLKNHPNKKFTRTGDLGRTIDGNLFITGRIKDLIIVAGRNIYSADVEKTVESSSEVLRPGCCAVVGIPEEVLAQKGISIPDSSDQVGLVVIAEVREGKAVSEEVVNNIKARVVEEHGVAVASVKLIKPRTICKTTSGKIRRFECMRQFVDNTLSLAKGNHVSKKKGLFRSLTTGTSMESKRSLLRQTVDLTISHWPKSQVKNSNEITEFLTQIVSEHTGISKDKISLTDSLPSYGFDSIAVVRAAQKLSDFLGVPVGAIDIFTASCISELASFLENLVHKSQPQLAPWPKSKVKNSKEIIEFLTKIVSDQTGIPKDKISPTDSLPSYGFDSIAVVQAAQKLSDFLGVPVGAIDIFTAGCISELATFLENLAHKSQSQLAPGASCYIEDETQVDPMDAISPEFSVLGTGILQLLALTYVCFVLLLPAYLASSTYMNIFSTVSLVRSPLLSYLSSLVMAPIVWIFYISLTSLSLSILGKSFLQPNYVLIPDVSIWSVDFVKWWALNKAQALAAKMLAVHLKGTIFLNYWFKMQGARIGSSVVIDTVDITDPSLLTVADGAVLAEGALVQGHEVCNEVLSFRPIWIGCEASIGPYAVLQKGTVVEDGAVVPPLQKTGAGKSTRRTSRTSVSIKKEAAKANMILEHLVSIYAVGILGALSGAIVYTLYTHLSGKAASPLHFSFACIAGAFHWLPAAITAYAVIVQETPTSALSFALFTAFAYLSYGVILSILTSITSRALAAKPGTKQNGIASLIHRCITISAHVRFAKMLSGTEAFCVYLRLLGAKIGRHCSIRAINPVANPELISVGDGVHLGDFCNIIPGFYSKGGFTSAEIKVQENTVVGSASLLLPGCVLQENVILGALSVAPENAVLRRGGVYVGSQSPAMVKNTLLDEDERIEEMDQAYKKIVGNLAANLAITTMNVKSRYFHRIGVSGRGVLRMYEEIPSFPRHKIFASGKSFPVIVRHSNSLSADDDARLDARGAAVRILSDNDGEAPLLDLTLKSGKAFYARTIADFATWLVCGLPAREEQVKRSPHIRDAVWGSLRSTDSYTVLHYYSNICRLLRFDDGREMYAKFKLRPADPDVPEDSGKVVPRGILPPETGAIPRDEDDTRPLLFLADDFRRRVGSPDGVRYVFQLQLREVPTDAAARDVALDCTRPWDEAEFPYIDVGEVSIGRNLPTEETEKLEFNPFLRCPEVDVIPATSCAQSASIDHGRSLVYEICQRLRNGEPLPASWRAFLEQSDTKIDLSGCPVAAAATPTRSNAGDATKVTLARTWYQALWATLCQPLLQTLVPYSVLGLVIFLPLRGLLAVAAATRFPLYWLLPAFWAASGVAAMATCAAAKWALVGSRVDGDTAHIWSPAVFLDTVWQAVRAATAEYFAELTPGSAPFAAWMRVMGASVSPGDGVYVDSMGALLNPEMVRLERGAAVGRDALLFGHVYEGEAGKVKFGAVSVGEDGFVGSRAVAMPSVTVDDGGCLAALGLAMKGETVKHSM >ORGLA04G0128500.1 pep chromosome:AGI1.1:4:16089786:16090290:-1 gene:ORGLA04G0128500 transcript:ORGLA04G0128500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPHCAVKPCCCCCCLLVAAVLTVAVFLAMSPPAAAASSSQPAAAAALQRAETTATMYTAKELREKQDVTKGAEEDVTTTTTTTGFGAESEREVPTGPDPIHHHGRGPRRQSP >ORGLA04G0128400.1 pep chromosome:AGI1.1:4:16074065:16075968:-1 gene:ORGLA04G0128400 transcript:ORGLA04G0128400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L6 [Source:UniProtKB/TrEMBL;Acc:I1PM65] MAPTSKLSQGIKKASRSHTYHRRGLWAIKAKHGGAFPKAEKPAAAAAAAAPKFYPADDVKPRQPSTRKPNPTKLRSSITPGTVLILLAGRFMGKRVVFLKQLKSGLLLVTGPFKINGVPIRRVNQPYVIATSTKVDISGVNVEKFDDKYFSRDKKQKAKKTEGELFETEKEATKNLPEFKKEDQKVVDAELIKAIEAVPDLKTYLGARFSLRDGDKPHEMVF >ORGLA04G0128300.1 pep chromosome:AGI1.1:4:16070748:16073318:1 gene:ORGLA04G0128300 transcript:ORGLA04G0128300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAGDGKSRPVSRPDGEPETTVSRVMACPYVFRAQAPPAMAKEAMATPTRPQVRETWPAGGGGGGGGGWMGVGSGERVASAYDLVEQMHYLYVRVVRARGLTAAASTVAGGGGCNPYVEVRLGNYRGTTRHHERKAAPEWNQVFAFSRERVQASVLEVFVRDKDAVAAVARDGYVGRVAFDVGEAPVRVPPDSPLAPQWYRLEDVGGGGGRAVQGEVMLAVWVGTQADEAFADAWHAGAASVRGGGDGVAAVQSTRSKVYVTPKLWYLRISVLEAQDVVPGAVAGAGGDKGRHGEAFVVVKVQVGGVTLRTKPCCRPTSPSWNEELVFVVAEPFDEPAVLVVEARAAHPGKDEIVGRAVLPLTLFERRLDRRGAAAATHTQSQWFSLEPFVHRPRHSPEEPAFAGRVHLRACLDGAYHVMNEPAMYASDTRPTARQLWRPPIGVLEVGVLGAQGLPPMKTAADGGRGTTDAYCVAKYGHKWVRTRTVVDSSTPRWNEQYTWEVYDPCTVLTLAVFDNCNLGNGGGGGKDQRIGKVRIRLSTLEMDRVYTNAHRLVVLHPSGLRKNGDVCLAVRLTCLSLASVVRLYGEPLLPGAHYVHPFAVAQLDSLRRQAVGVVAARLGRAEPPLRREVVEYMLDAGSHLWSIRRSRANFLRATALLSGAAGAARWLADVCQWRSPATTIFAHLLLVTFACFPELILPTAFLYASVAGAWSYRRRPRRPPQADAGLSCAEAAGADELDEEADTFPTSRPDGVVRARYDRLRTVAGRIQAVVGDVATQGERVRSLLAWRDPRATAVFTAACLAADVVAYATPPRVLALVAGLYLLRHPRFRSRMPSAAGNFFKRLPSRADTML >ORGLA04G0128200.1 pep chromosome:AGI1.1:4:16066025:16068882:1 gene:ORGLA04G0128200 transcript:ORGLA04G0128200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGFLRFGGPLFCPFFDELFAGCSDASVCLCRCRSGEVFESEQEAEEDFRGIGGEGTLARIHTSRNYSNMPEMRDSKRTALGELSGGGGFFIRRVASPGALAARGPGKPLARRFIRPSNNKENVPPVWAVKATATKRRSPLPDWYPRTPLRDITAIAKAIQRSRLRIAAAQQRSQTPEQNTPHCTEVRDSLDVEPGINSTQIVATPASSLAKDSLKIFSSPSETSLITPSKPMDPVLLDDMEKKLSSSIEQIEKMVRRNLKRTPKAAAAQPSKRAIQRRTLMLTIAWCSARGPSSRINSWKCQNILKYSKNAQYLLPIVKHQNCHEEDWGVPEKERKTGVAVADKA >ORGLA04G0128100.1 pep chromosome:AGI1.1:4:16063980:16065566:-1 gene:ORGLA04G0128100 transcript:ORGLA04G0128100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEHKIPQPGNRLEPSNPSGVAAPDQPPAPPIADAADAGAAAMAPFRRWADLPPDLLCRVGDRLDLKCYASARGACTAWRSALSPASPALLVLSDARMCPSAASLPTRRCFDLAAILTGGRCVGSSNGWLVLSIALYGGQSAFVLFNPITTTEIVLPPLIYESRWVSKVVFAPSPAKDDYAAAAICDIDRIAYVTAGARRWAVLDPVRLVTGDQLTDVVYHDKGKVYCLTRCGDVHLLRLPERRRRKPADEPGPSEQPPEAPVVPLPECAVAIRARRTQLHHNFRMVCYDHLGPRDQMMPMKLTVCSETLIPFNYRRFAIGPLEPDLNAPATVEPLLPEGNLPFDPATSFAPPYNTVSAFTNAKNIVLCEGNLYQIWRNASCTVTLQLPGGGHRRVSENEILVLRYYPRRQPCWDAVTDLGGYSVFVGRNNAVSMYAEGVHGLKGNCVYWIGGRGRDQGMVFDMETGRSTPCYPLVGGMPGPLQSTICWFFLSDIVNASNNSGGRRVYQTRSRSRAERAQDMEE >ORGLA04G0128000.1 pep chromosome:AGI1.1:4:16060344:16063395:1 gene:ORGLA04G0128000 transcript:ORGLA04G0128000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G06940) TAIR;Acc:AT5G06940] MATTAAAANLLLFSAVLLLATPSNAASAAAPAVAAMPVQPQELLLSFRASLNDPSGALSTWSRSTPYCNWSHVTCTAAAGGGGVAVGLSLQGLGLSGDIAAEPLCRVPGLAALSLASNTFNQTVPLQLSRCALLVSLNLSSAGLWGPLPDQLAMLASLASLDLSGNDIEGQVPPGLAALRGLQVLDLGGNRLSGVLHPALFRNLTKLHFLDLSKNQFLESELPPELGEMAGLRWLFLQGSGFGGAIPETLLQLEQLEVLDLSMNSLTGALPPAFGHNFRKLLSLDLSQNGFSGPFPKEIGKCVMLQRFQVQGNGFTGELPAGLWSLPDLRVVRAESNRFSGRLPELSAAASRLEQVQVDNNSISGEIPRSIGMVRTMYRFTASANRLAGGLPDTLCDSPAMSIINVSGNALSGAIPELTRCRRLVSLSLSGNALTGPIPASLGGLPVLTYIDVSSNGLTGAIPAELQGLKLALLNVSYNHLTGRVPPSLVSGALPAVFLQGNPGLCGLPADGGCDAPAAPPSRNRLALAATVASFVTGVLLLLALGAFAVCRRLHAAAKLVLFYPIKITADELLAALRDKNAIGRGAFGKVYLIELQDGQNIAVKKFICSSNQTFGAVKNHMKTFAKIRHKNIARLLGFCYDSHGGGGEVSVIYEHLRMGSLQDLIRAPKFAVGWNDRLRIAIGVAEGLVYLHRDYTPRLLHRNLKSSNVLLGDDFEPRVTGFGIDRVVGEKAYRSSLASDLNYSCYIAPVIHFAQKQNFIRIAISTAELHSRFHKTLALCALIPLKLFALLLQPEVNCTKKPTHLMDVYSFGVILLELITGKPAGQPASDDSVDIVRWVRRRVNVAGGAAQILDPAAAVSHAAQQGMQAALELALRCTSVMPDQRPAMDEVVRSLQLLHSPQTLPPLPPFTGVAVEP >ORGLA04G0127900.1 pep chromosome:AGI1.1:4:16050185:16057194:1 gene:ORGLA04G0127900 transcript:ORGLA04G0127900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGERTFKANFTGEGVRLLRARVKEKLRELMGDYSDDTLAEYVVVLLRNGRRKDEAAKELEVFLSDNNDAFVSWLWDHLSSNLHLYVQPKAISSNNEVNSTRSNARGMPAQNMTSSTQAIREPVAGTQKTTGIHQRREWGGIVRDQSETVPLRSVVTTVLHAEEKDVNKSHARRRTHSPDMHHQRKRSREDDERQIKRTSHQDIDAPRRLLQFAVRDAVRPVQPITPRSESASKRLRSVVSTMPSDSPLDVRLQRTNSDVRVPGVTAAALRAAAEAAEDVLKEKYSGSVFRRLGRKGMVNSAEESFGFSEQGREREYGDIDNVQAENQLDVHGRNHYAGDAYMYDREAAKGTDSASDIDRHDDTGAARYNDLVSCRSTLPSSIGKESVVVGFNTVEGTTTIRSRRSIMQDPHASSGRGPSERINMVNNITHKPANQATRRNAVKIEPQVPTEMKHTDSRKSTATLAHVNNTPMTDKSKDSMCSSSMVEEQKLPSLAVGSCSTGQPEGGTDSRTVFLSNVHFAATKDALSRHFNKFGAVLKTLIVTDIAGQPTGSAYIEFLHKESAEQALTLDNTSFMSRMLKVVRKNSLEVSQQSGWPRGSRGSTFPSRLTRTAYPRPAFPGAMRGRLPLRGGARSLQWKRDNADTVDAAKPGHSTPIPTGNQLISPVVRSFTYTRAEQKQDVGATI >ORGLA04G0127800.1 pep chromosome:AGI1.1:4:16046557:16050173:-1 gene:ORGLA04G0127800 transcript:ORGLA04G0127800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRHPTLPSPSTLDSAAPAPLPTRAPLPAAAARSTTHSSRRVRRRRRRSLPIPRTASAAAAGGGVEEGRRGFVAAERRGAGPRGRREAERERERERGGALMARALLAMSCVVRRLDGEDVGGGGGVEEAWATSGWRPPRADEAGRHLVVRESMRYAIYG >ORGLA04G0127700.1 pep chromosome:AGI1.1:4:16040583:16044431:1 gene:ORGLA04G0127700 transcript:ORGLA04G0127700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSHVCSVVSSLVFLWLGVAAAQKASSWKTLSGNAPAIIAKGGFSGIFPDSSEFAYQFALIASSPDTILYCDVRLTKDGLGICLPDIKMDNCTNIPDFYQQGRKSYLVNGVSTAGWFSVDYNGTELGQVSLKQSIFSRSPRFDPSFFPILAVEDIASKFKPPGMWLNVQHDSFYSQFNLSMSNYILSVSKRVIVDYISSPEVSFLTKVSGKLSNNTRLVFRFLDESTIEPSTKQTYGSMLKNLTFVKTFASGIIVPKKYIWPVSPDNYLEPHTSVVDDAHKAGLEIYAADFANDFMFSYNHSYDPLAEYLSFIDNGAFSVDGVLTDFPVTPSEAIGCFTNLKKRKTDHGKPLIISHNGASGDYPACTDLAYQKAVDDGADVIDCPVQLTKDGIPICMSSINLMDDTTVAKSQFASQTAVIKDIESVLGVFTFNLTWDDIIKNLRPKISTPFSSFKLDRNPRYRNAGNFMRLSDFLDFTKDKDLSGIMISVEHAAFVAEELGFDMVDSVIKTLDAAGYSNQTAQKVMIQSSNSSVLVKFKQQTKYDLVYMINEEVKDAAPSSLAAIKKFADAVSVEGNSIFPENRHFTTYQTNLVESLQNAGLPVYVYTLMNEFASQPYDFFSDATAQINAYVQGAGVNGVITDFPATARRYKLNTCMHMGNNTPSFMAPARPGDLLQIISKPAQPPAMSPMPLLTGSDVAEPPLPPARTAQAPSLASRMQAHAAIVVTLAMLLACHPLV >ORGLA04G0127600.1 pep chromosome:AGI1.1:4:16033497:16034279:-1 gene:ORGLA04G0127600 transcript:ORGLA04G0127600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSAVLAVAALCLALALLLPRVALSQRLATADAPAPAPAPRHVDLAELLSLAGPYGTFLGYLTKTGVITTFQSQANDTAAGAPGVTVFAPEDSAFAAVGGGAALSNLTADQLRTLMLCHGVPRYHPLSSFSALAASGPAPTFAGGQQYAVNVTDAAGTVRIQSGWATAKLVSSVYSTSPVAVYALNRVLLPEQIFPTAPKVAPVPAPAPAPVHGDKANDGAPGAGEHGASDVKSSSCRVGAGRLLAILAVMVSSFLMI >ORGLA04G0127500.1 pep chromosome:AGI1.1:4:16031739:16032909:-1 gene:ORGLA04G0127500 transcript:ORGLA04G0127500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAVATFLATALSLFLLLAGALARPPPAPVRTDAGGGTAPAPQDKGGNLTDVLNVAGPFSTFLMYLRQTNLVAVFEHQAYRTHQGITIFVPVDMAFAAIEPSVLAGLSRNQLKHLLMYHSLAKHYTLAEFDGLSQSNPVKTLAGGRYAVNVTYDGGVVHVMSRWSSARVVGSVYESAAMAVYELDTVLLPDALFHAHPPVAATPPVPALPSPPPHADHPPPDDDGDIDDYVPAPPPDPAAGKGGAERSASGPAVVAHKAASYGAAAAMTLLVSCL >ORGLA04G0127400.1 pep chromosome:AGI1.1:4:16016978:16024164:-1 gene:ORGLA04G0127400 transcript:ORGLA04G0127400.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDNDHDAGSAAAXPPRCRLPPRQRSXRXICCRLLSSLAPLGRADPPPAPLLAGEGRGGEAATRRRGNSSSPDNDDDCRNDDDYRDDDVGAMTDEGGDTASPLPMAMKTATTMAPTPVEAAADGGGEPSSAGGGGGXIXCLRRYCPPTKIIYFRRPLLAGKMNGSSRSLWSSLLDAHHLSLKNPCALFRLRLWSLWPLPIWLSPPCWSMAFLNLDRLCSVCHLGEMEGDIAMEEWKDSNHRGADYLMTMPMQNFLADAFPPPELLEGEGGFEKHGLSVAVGSPPPTPPPPEDGCSPLPLTPQFGQKFGSGGGGGGGGSLADRRARGGFSNVARISVPYNQPAADVSSAGAPSPYVTIPPGLSPTTLLESPVFFSNAMGQASPTTGKLHMLGGANDSNPIRFESPPIEEGSGAFSFKPLNLASSHYAAAEKTKSLPNNQHQSLPISVKTEATSIQTAQDEAAANQLMQPQFNGGKLSRAAPDNGGDGEGQPAEGDAKADSSSGAAAVAVVAAAAAAVAEDGYSWRKYGQKQVKHSEYPRSYYKCTHASCAVKKKVERSHEGHVTEIIYKGTHNHPKPAASRRPPVHPPPPPPATTTTTTTPLPPGDEQADHAPDGGGGSTPAGAGQAGAEWHNGGVVGGEGLVDATSSPSVPGELCESTASMQVHDGAAAAQLGESPEGVDVTSAVSDEVDRDDKATHVLPLAAAAAAADGESDELERKRRKLDSCATMDMSTASRAVREPRVVIQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCLVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHPAGSASPGGGAGSSSQPHGVGVGGRRPEVPSVQESLMRLGGGCGAAPFPPHFGLHPPPPPPRDPLAPMSNFPYSLGHAPSPALRGLPPPPPPPPSASALAVAGLGGVVEGLKYPMLAPPSVHSLLRHRQGGGMEAVVVPKAEVKQEAMPPAAAAAGAGRGAAVYQQAMSRVSLGNQL >ORGLA04G0127300.1 pep chromosome:AGI1.1:4:15991168:15996085:-1 gene:ORGLA04G0127300 transcript:ORGLA04G0127300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEEPSGPSCSSIQGVSAVPATSPGESPASQDPTDLVQPCAKFSIRDYVFASRSKGIKRSWPFHPRSLQLCLKRGVKDLLPPFEPPDLIRSRSLSTTINVEQSAASSEANAPVGLVKTRDDGSSIVNASNINFQSCQPVAESLGPSQYTSPEDGKSAVDQGENTNGLDHTDEVMPVDLQVNSCTKAIRQTEVAVLSWRSKNLDSSREPSEKKCKLVVKVGSLTRTEEVASNSSTVSDPMASKTCPVCKVFASTSNTTLNAHMDQCLSVESNTEPVEKVILKPKVKPRKKRLMVDIYKTARLFTLEDLDQRNGTNWAIELATPTTNKEVCTENRSPEVVPFDPRDDKREGDVYVDSNGIKIRILSKSSDASLVLRDEHNSRKVAKNETGKSMLMSKTCLKSKICKNKRLKFPGKKHSKTNRLNTQVRTHTDGDMHEHTSEDEEESTMHMQKPTESTSYGGSETIRQWVGSKRSGLSKNCAREVTDKASKSITPGTKKLARSSIRGFDDSQISDSPPEAFSSQPPEEMTTTSEANDDEERNGTSRLLRSIPRWSSKTTPSSNVIPKVPRSAAALAKRKIKEIGRRESYRSDNYDTVRNSTSIRNSVRRGPSSSVAGLSDGSNRVASTKKFRKNRSLLRTGRREFSPSNSGLVHGFGQDHGSNPNHTNKRFRVSNKETSKKLKHTQEDTADNDFSYESDVPALGQGDDQYDAAQQAGISQMYYEGEEPETEMQCASPSRSDPVDCSNDMSSDSLSPENNETADDVLVEGYSVAIVDPCSNEKSAYHAHIPNDVANNEVEEWQIDPSSTKESSACLTNNRDMGLGAPQDNSSITSNREDSNLDHGLVFDRGSSGSPVSTASTLSPSTSLRDSRTNRSEPGPSTVSLPTVEERVSGSSNQETKSTPLAREGEQLPEKSCCCNCRESISRDSQVHDQSAMARPVPAFTGRPVPQLNIGLRASSSFSTYQRTSTKANPCLDSHDQTLAGKVSAEPTMTHPSYTTDCMSPSIQTQLPSPSNPILRLMGKNLMVMNSEESGHPQAPSSDYIMRGNYMAPGCFMPQNYQHIGDPAFMNTTPSTANHQIPLSSVQAGNFSAPTLHNGSMVQSDYHSPQKPYRNLLPVMHHPSYMMKEVIMIDDSPEHRSDPQISMLLPSAPSPATISVPNTVASRPFYCLPSQNQLLPRESAVGPLPVFTNINPIVGVSSSSQGNNAEVAHPYMSNPFYVQSPAGYINPSVYYSQNLR >ORGLA04G0127200.1 pep chromosome:AGI1.1:4:15981636:15983191:-1 gene:ORGLA04G0127200 transcript:ORGLA04G0127200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSYDGDQSEDRQSEEVQSAYKSHGGGVLRGYFNLDRSEEQNEENEWSWIPQDGDPLAESMSSLQTTQEALENEMQKLSDLSKELGADNFSSDNRANNAFVSPDEDDVLETNQKMSHLEQKLEEASNTIREKNSVLSQLQELIDGMHIATPAERAFDIDQLETDLDRQLQEKIEAEIQSLVMLKARQSWQVRTEDQLALKEHKLSSGGDNGDGDCDSDNARMMMMVKLRETESKIVKLKEQVEKLEVHERELFGTTQVLRMQSRTLKICLFGLLQLVLLFLSLKAFFAQVSDPFDDVVPT >ORGLA04G0127100.1 pep chromosome:AGI1.1:4:15979241:15979558:1 gene:ORGLA04G0127100 transcript:ORGLA04G0127100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVNRYQSQETVLVSQEDRISQQQRSLYYRVGVQSCWAADRSRAHNCITKGKRKPRLGPNITGATWELGRNPKTHRIRLAPGRTPRQRDPLHLLQQLGGIIYIEQG >ORGLA04G0127000.1 pep chromosome:AGI1.1:4:15977788:15978087:1 gene:ORGLA04G0127000 transcript:ORGLA04G0127000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQTTAADEEAVDGSEKATAPAAXEDGKDVVDSSEKAAAAAALQDGEEAVDGLEKVAAVQEESRAAACTRESSRPRVRIILSPVGRHGSSLNTQQYTNN >ORGLA04G0126900.1 pep chromosome:AGI1.1:4:15962685:15967839:1 gene:ORGLA04G0126900 transcript:ORGLA04G0126900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGQVRCLLPLRVALSPPLPPWPPTTMVMPPLLAAAAAMAASGQVRRFLSLRAALPSPWPLATMAPSSSCHCHHGCRCPGFQYAFVREKAAGDHLSLGYMQHGDNNNKKKKGDLSNNLDLSSSPLLMDSRGQACYHRAAAAAAAVAAGDATDGEEPAAAELAPKAVRSKKKLAVEAQGGAAVRDDLTCPECGKVFMSDKAMYGHLRSHPLRKHKGAARLAAVAAAAADDASVAAGVKPRPWKVPRTKEEAELGDDRHPGRSPVTGKRGRPASSASSSGSAPAPAPPASSRLQLVITEEEEAAMTLLDIASGCSLDHQPTQPAHVADAALLAPASDQMPSVDVEQGVLAVAEHRTWEAEKPALVEHVFGIVKEHVAAVAADAEPQSPEAKTPVKLGPVTDQAVPVLGDKNDDGHADMPVSPGGGTTKKPLKRRLQDVETKHPTAPPPPPVKRIPSPASKRKYECSECHKTFSTHQALGGHVAAHKRQKKSCAEQQQEAVAAAAQVARHNFLAHQRPAGVVVAVDATVVAAAGGGIAIGPLGEEGLVGPPPPPAPAPAPAPAPQQHQCLRCPMVFPTGQALGGHMRKHFLEAKEQEQLLAIAIANANANANAAPEPPPMMANAAPVPPPSMANGAGPVPPPIAVAPPPAPPIAGAAPPPPMANGAAAAAPPGGNPAPPAGPQPGVNMFDLNELPNEDAGENQQP >ORGLA04G0126800.1 pep chromosome:AGI1.1:4:15948560:15958248:-1 gene:ORGLA04G0126800 transcript:ORGLA04G0126800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDGDDGAAAAAVPLISDRPKHAAIVRSAGTEWTAAAHVITAVIGSGVLSLAWSVAQLGWLAGPGMMLVFAAVTALQSALFADCYRSPDPEVGPHRNRTYANAVERNLGSSSAWVCLLLQQTALFGYGIAYTITASISCRAILRSNCYHTHGHDAPCKYGGSYYMLMFGAAQLFLSFIPDFHDMAWLSVLAAVMSFSYSFIGLGLGLANTIANGTIKGSITGAPTRTPVQKVWHVSQAIGDIAFAYPYSLILLEIQDTLKAPPAENKTMKKASIISIVVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIILHLLGGYQVYSQPIYQFADRFFAERYPASRFVNDFHTVKLPLLPPCRVNLLRVCFRTVYVASTTAVALAFPYFNEVLALLGALNFWPLAIYFPVEMYFIQRHVPRWSPRWVVLQSFSVLCLLVSAFALVGSIQGLISQKLG >ORGLA04G0126700.1 pep chromosome:AGI1.1:4:15946046:15947354:-1 gene:ORGLA04G0126700 transcript:ORGLA04G0126700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 103 [Source:Projected from Arabidopsis thaliana (AT5G56110) TAIR;Acc:AT5G56110] MGRVPCCEKDNVKRGQWTPEEDNKLLSYITQYGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFTDAEEQTIIKLHSVVGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKSFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRPSDFPSPAVHDGAGAGASASALAAPCFPAAPPHHPQADDTIERIKLGLSRAIMSDPSTASAAAAAAAPSAPAEDKPWPPGDMSEGLAGMYATYNPAAHAHAQAQAEFRYDGASAAQGYVLGGDSDQGTSMWSHQSLYSGSSGTEEARRALPEKGNDSVGSSGGDDDAADDGKDSGKGAASDMSGLFASDCVLWDLPDELTNHMV >ORGLA04G0126600.1 pep chromosome:AGI1.1:4:15942223:15945030:1 gene:ORGLA04G0126600 transcript:ORGLA04G0126600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAIDNIFRPLRNLFTRTVGYILFCESYIRALESEARWLKSQRDDVMKEVRLAERQGMEATNQVSHWLEAVASLLVRAIGIVAEFPRGGAAAGGLGLRAAYRLSKHADEARAEAVSLVEQRSTFQKVADAPVFACTEVLPTAAPSIGLDALLARVANAFQEGGTSVIGIYGAPGVGKTTLLHHFNNTFLSASAASMDIHLVIYVEVTERYSAGAVQKAIGGRLGLRWEDGKSTKEKALALCTYLHRWNFVLLLDDVWEPLNLAELGVPVPGRHGKSKVLLTTRLEHVCDQMDVTRKIKVECLSAADSWELFKNKVGNAFVTSREIQPLAQAMASRCGGLPLGLITVARAMACKRVTREWEHSMAVLNLAPWQLDGVEANLLVSLKRSYDSLRDDSLRICLLYCSLFSGETSKELLVESFIGEGFVSDVSADDMDDLYNKGHYMLGILVTSSLLEAAGDYHVTMHPMVRAMALWVVADCGRIDNKWLVRAGLVTSAAPRADKWTGAERVSLMRTGINELNDAPTCSVLKTLLLQSNRLLGRICHDFFSFMPCLRLLDLSDTLITALPSEINLLVTLQYLRLNNTTIRSLPAGIGALVNLRFLLLSNVPVQTIAAGVLNPLTALQVLCMDHCWSSWMDVGSCEPESGDSRKRRRHDLRQRVNLRELESLKSLQMLDISVQTLHSLEKLSQSPHLAEHLRNLHVQDCSDLPSIQFSPSSLWRHMSRLKGIIISGCCNLENVIITGGEYKGEQPWSLDRTVSMMRYRVPDKPLDVDSVYRPQTSQSLDMDCRKLVPLLPSLQSIILRKLPKAKIVWQGGSLEYLSSLSISSCSVLEHLISYDTEGLSHGSPAETVFPSLKELELHDLPNMRSIGPESIAVNFPSLASLKVVRCSRLKKLNLVAGCLKELQCTQTWWNKLVWEDENLKTVFLSSVKPLA >ORGLA04G0126500.1 pep chromosome:AGI1.1:4:15935611:15937848:-1 gene:ORGLA04G0126500 transcript:ORGLA04G0126500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane proteins 14C [Source:Projected from Arabidopsis thaliana (AT3G57280) TAIR;Acc:AT3G57280] MALAAQLYGSAAAAAYRRLPLYGVPSSCRWPRTPLAALPKLSISTGGMGMNPFGVGAKVSIKCTNGTTQVDELNFRSNQTEELVEGDADTVTQKRSAKIHDFCLGIPFGGLLFCMGLLGYIFSRSTISLVLGVAPGLATLFLGTLSLKFWRSGKSSFIFILGQAAISAVLAWKYSHAYILTNRILPWAFYASLSTAMACFYAYVLLSGGNPPPKKKMAATPSS >ORGLA04G0126400.1 pep chromosome:AGI1.1:4:15932832:15934554:-1 gene:ORGLA04G0126400 transcript:ORGLA04G0126400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRVKAKKPTAGKPLGRGRGRGRGRGRGRGR >ORGLA04G0126300.1 pep chromosome:AGI1.1:4:15928382:15930358:1 gene:ORGLA04G0126300 transcript:ORGLA04G0126300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITVDSRPVKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRAVSYEEGEQFAKEHGLIFMEASAKTAQNVEEAFVKTAGAIYKKIQDGVFDLSNEANGIKLGYTVPGQSGGAGSSSSQGGGCCSS >ORGLA04G0126200.1 pep chromosome:AGI1.1:4:15904346:15908191:-1 gene:ORGLA04G0126200 transcript:ORGLA04G0126200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 724, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G14400) TAIR;Acc:AT5G14400] MVGGELVLAALVILLALLLTLVLSHFLPLLLNPKAPKGSFGWPLLGETLRFLSPHASNTLGSFLEDHCSRYGRVFKSHLFCTPTIVSCDQELNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALHIVGSWHGKSKDKGMVNVIAFCEEARKFAFSVIVKQVLGLSPEEPVTAMILEDFLAFMKGLISFPLYIPGTPYAKAVQARARISSTVKGIIEERRNAGSSNKGDFLDVLLSSNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSAQDLELVKREHEGIRSKKEKDEFLSSEDYKKMEYTQHVINEALRCGNIVKFVHRKALKDVRYKEYLIPSGWKVLPVFSAVHLNPLLHGNAQQFQPCRWEGASQGTSKKFTPFGGGPRLCPGSELAKVEAAFFLHHLVLNYRWRIDGDDIPMAYPYVEFQRGLPIEIEPLCSES >ORGLA04G0126100.1 pep chromosome:AGI1.1:4:15900128:15902152:1 gene:ORGLA04G0126100 transcript:ORGLA04G0126100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:I1PM42] MDPTAPNSGDANGGAAADATAPSNTTVTLPPLTLRDVPLLPSAAAATDTIPNPISRHPYFHPPATFYISPGDVSLRHAFFDLASASPSPLVAYRRAGPRAGVAVDPARARAAVVTCGGLCPGLNTVLRELVVGLRELYGVRDVFGVAAGYRGFYGPDADHARLDLAAVDHWHKKGGTVLKTTRGGFDLNKIVDGIVARGYTQVYAIGGDGTMRGAVAIFNEFKRRGLNISITGIPKTVDNDIGIIDRSFGFQTAVEIAQQAIDAAHVEAVSAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPEVDFYLEGKGGLFEFLYERIKQKGHAVVVVAEGAGQELIPRTDDQKREQDESGNIVFLDVGPWLKSELGKWWKREHPSELFTVKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGIMAGYTGFVPGPINGNYSYIPLEDVAVAKNPVDVNDHKWAWVRSVTNQPDFMKPKY >ORGLA04G0126000.1 pep chromosome:AGI1.1:4:15895099:15897918:-1 gene:ORGLA04G0126000 transcript:ORGLA04G0126000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63370) TAIR;Acc:AT3G63370] MATTAALPFHPTPRRKLPPASAGASLRQLCKDGDLREALRQLAARSARGRAPPPTDHYGWVLDLVAVRRAVSEGRQLHAHAVATGALGDDDAGFLATKLLFMYGKCGRLPDAHRLFDGMPARTVFSWNALIGACLSSGGAGEAVGVYRAMRASEPVAGAAPDGCTLASVLKACGAEGDGRCGSEVHGLAVKSGLDRSTLVANALVGMYAKCGLLDSALRVFEWMRDGRDVASWNSAISGCVQNGMFLEALDLFRRMQSDGFSMNSYTTVGVLQVCAELAQLNHGRELHAALLKCGTEFNIQCNALLVMYARCGWVDSALRVFREIGDKDYISWNSMLSCYVQNRLYAEAIDFFGEMVQNGFNPDHACIVSLLSAVGHLGRLINGREVHAYAVKQRLDSDLQIANTLMDMYIKCYSVECSARVFDRMRIKDHVSWTTIIACYAQSSRYSEAIGKFRTAQKEGIKVDPMMMGSILEACSGLKSISLLKQVHSYAIRNGLLDLILKNRIIDIYGECGEVCYALNMFEMLDKKDIVTWTSMVNCFAENGLLHEAVALFGKMLNAGIQPDSVALVGILGAIAGLSSLTKGKEIHGFLIRGKFPVEGAVVSSLVDMYSGCGSMNYALKVFDEAKCKDVVLWTAMINATGMHGHGKQAIYIFKRMLETGVSPDHVSFLALLYACSHSKLVDEGKFYLDMMVSKYKLQPWQEHYACVVDLLGRSGQTEEAYKFIKSMPLEPKSVVWCALLGACRIHKNHELAMIATDKLLELEPDNPGNYVLVSNVFAEMGKWNNVKEIRTKMTEQGLRKDPACSWIEIGNTVHTFTARDHSHRDSQAIHLKLAEITEKLRREGQYVEDTSFVLHDVSEEEKIDLLHRHSERLAISFGLISTASGTPLRIAKNLRVCGDCHEFTKLVSKLFEREIVVRDANRFHHFSGGTCSCGDFW >ORGLA04G0125900.1 pep chromosome:AGI1.1:4:15885386:15885932:-1 gene:ORGLA04G0125900 transcript:ORGLA04G0125900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVCAPCEGCRAKALEVAARAADGVISLAITGDDRDKLEVVGVGVDVTRLVICLRKKVCYAEILLVEEEKEEEEKKEPECKPCYWPPYWCPPPEDPTCKPCYPRYSYAPPPPAVVVCDEPSACSTM >ORGLA04G0125800.1 pep chromosome:AGI1.1:4:15880423:15881587:-1 gene:ORGLA04G0125800 transcript:ORGLA04G0125800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKMVIKVSMPCGKCRSKAMALVAGATGVSSVEVTGDGKDRLQVVGDGVDPVCVVNRLRKKIGHAEIVQVEEVKEKKPDPPKKPDPPKPEPPKPPVRRGFPGPHEPEKIHRASLLLSGQEVQTFCTKDRSAPQGHNNPHI >ORGLA04G0125700.1 pep chromosome:AGI1.1:4:15877761:15878302:-1 gene:ORGLA04G0125700 transcript:ORGLA04G0125700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKACMPCDGCKAKALGVAAKADGVISMAITGDDRDRLEVVGDGVDVTCLVTCLRKKVRFADVLQVEEVKDKKPEEEKKPEEEKKPPECPCQATLPVPWCPPPPCYYPPPSMVYCEEQPSPCSIM >ORGLA04G0125600.1 pep chromosome:AGI1.1:4:15872071:15872580:-1 gene:ORGLA04G0125600 transcript:ORGLA04G0125600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVSMPCEKSRSKAMKLVVMASGVSSVEVTGDGKDRLQVVGDGVDAACLVTCLRKKIGHAELVQVEEVKEKKPEEKKPEEKKPEEKKPEPCYCPHPCYYHHHYGGIPVAVGDQPSDPCSIM >ORGLA04G0125500.1 pep chromosome:AGI1.1:4:15867038:15867202:1 gene:ORGLA04G0125500 transcript:ORGLA04G0125500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMDDLSGQKRICVIRDVYRHHRLGFPSGSGLLCCWAAGLWESAKMKKALGAA >ORGLA04G0125400.1 pep chromosome:AGI1.1:4:15862078:15862530:1 gene:ORGLA04G0125400 transcript:ORGLA04G0125400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFRYAPSGPAGPSLATSVYETNLDLAALSWTRTSLGLSLCAVLRLSSPAIPEETDGVRPERRSGGRRRGGGGGDRRRHEVLRGARGTSGLGRRRPRRRVTLPTVLLLCAPRRPRRRVPAHRGAPQCRQICRIQPKKSCAAGRRCLSN >ORGLA04G0125300.1 pep chromosome:AGI1.1:4:15857910:15859150:1 gene:ORGLA04G0125300 transcript:ORGLA04G0125300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKPTDRDVFGRDTIFLAGFSVLSKQITFLGGFAGSFVQRGVVDPVDKLTQRGGTHIQHRRSRLRAPTTRRPPRPSRPPPLRPPGAPRRRDPPRPILPQHLLRR >ORGLA04G0125200.1 pep chromosome:AGI1.1:4:15853571:15854236:-1 gene:ORGLA04G0125200 transcript:ORGLA04G0125200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLINLFCVIDEPSRGGIRDARRRGQEPAAGDRLRGGLQRPHREAAEEGRPRRGGGAAHRRRRRAHARRRRQPVPVPLLPRRAAPPGPVLRQRRVPSSSPARRRRRQRRWLLHSDDDGHGWLLQRRRRRRVPAVRAVVVVPAVRAVVVVLPAGGGGDDEHAHRRAPPVRQQRPGQLRHHVAAPASLRSMLLLLAREHAGRRRLDRHDDQMIGGHIATESSS >ORGLA04G0125100.1 pep chromosome:AGI1.1:4:15838557:15839487:-1 gene:ORGLA04G0125100 transcript:ORGLA04G0125100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRLATNPFNWLLVIKQLYICITSMRTEILIWIQLSSERCRSKALKVAATVNGVQSVTVAGEERNLLLVIGDGVVDASRLTRRLRNHVGYAEIVELTTSSSTAVPPVDVAAAAVTEDAVRPRYHGLVGGGGGLPWFARVGCPVTAHSVVASHAAPAAVLWPGAGEVGGSWAASYSAHPSPCYRSSPLAGGYTLDVARSHAANYSPLIERHAGRGGHYPAHSCCSRRKLLRRSVPSCCTIQ >ORGLA04G0125000.1 pep chromosome:AGI1.1:4:15832324:15833202:-1 gene:ORGLA04G0125000 transcript:ORGLA04G0125000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIIIRMRPDSDKCHHKALKVAAAVSGVESVTVAGRDRDLLLVIGDGVDESKLTKKLRREVGEAEILELRTLDAGGSRGGGGAASLQLMTAAGARNGKGGGAVVFAQSSPYHGWHGHPATPGRSVPGVGRIMYPVTTTTTATAASPGAARWPGGEQYRSSSPQAALYYPRNPPNAYYYGGLGVRDGLAVARSHPANYSPMVERHDHGAVGRGGRRRRAGRRPSCCSIL >ORGLA04G0124900.1 pep chromosome:AGI1.1:4:15822227:15827804:-1 gene:ORGLA04G0124900 transcript:ORGLA04G0124900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G44730) TAIR;Acc:AT3G44730] MEAAAAESLPERPSEEEFCVALRNGLVLCNVLNHVNPGAVPKVVENPIVAVQSSDVAAQSAIQYFENMRNFLVAVSEMNLLTFEASDIEKGGSSMKVVDCILCLKAYHEWKLSGGIGIWRYGGIVKIASSKRLPSYSSRGGGSADLNQQMLEFVHLLSEVSLEESRVGESQHSLFQQFVLRVVRAFLQEWGEAEGLPLDDMVLETILEQACKEFTILLASHRNQVRSLLRKMMKDENGAHSKQELIEVISKSMKENSECFLTSLRLPCGRRKQLDDGGGLEHQQEELEKLKVSFNEMKLQVESTRSQWEEDLRRLESYFEAHNHNAYHKLLEENRKLYNQVQDLKAEVFADTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPDITTEETWGVNYRSLNDLFAISQNRADTTTYDVKVQMIEIYNEQVRDLLMVDEIRNSSHVNGLNIPDANLVPVKCAQDVLDLMRVGHRNRAVGSTALNERSSRSHSVLTVHVQGKEIASGSTLRGCLHLVDLAGSERVDKSEAAGERLNEAKHINKSLSALGDVIAALAQKSSHVPYRNSKLTQVLQDALGGQAKTLMFVHMNPEADAFGETMSTLKFAERVATVELGAAHANKEVGQVKDLKEEISKLKLALDDKEREASKLRDIANRVASEKRNARTRSPLTTTLSSKPEAGQDSSVDTCTSEIRSSSSGKQRRFRSPLSVRELDEKSPVINRELYLSAKFKTPSPPVRSSLSAERVGIAKSVERSENIDCTPVSRIEVPPKVQHSSSRKTPSSVLTAQSLRKFRDSEENRSAKPSVRESMTKTRLDSATKPPQKEEQTANKNTGTRVRSEAKIPRNISDIENEFANSEPTFHSNRKAWKLPPQSTRQSQSIDLRASVREMEPLTEGKPRRSKAPHGDRTNVPLPETRRSVSLPRGKMALV >ORGLA04G0124800.1 pep chromosome:AGI1.1:4:15820374:15821655:-1 gene:ORGLA04G0124800 transcript:ORGLA04G0124800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAPERKRPRDGDVGPSTAAAAAAASGEAQYVYLPIADALKAPGARVCLFAAVSEIGAAVRSRGTDFTLTLRIVDHSRASAISVTFFADNTALLPCVRSSGDVISLHNVVITMHHGEFFVTYNKRFSSFALFEGKVSTGCIPYQHSMKYHGSKHDSEFLTHLRMWLVYNPPGEYVTAS >ORGLA04G0124700.1 pep chromosome:AGI1.1:4:15812483:15815573:-1 gene:ORGLA04G0124700 transcript:ORGLA04G0124700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAAGRGGPAPPRCARAETDSEDAVATASSPHSAEAAGAAEQGNGGPAPVADAADVEGVDGIRIRRRPVTGPPVHYVGPFQFRLENEGNTPRNILERIVWDKEAEVSQMKERRPLYMLKGPLENAPPVRDFVGALKASFDRTGLPALIAEVKKASPSRGVLREDFEPVQIAQTYEKNGAACLSVLTDAKYFQGSFDYLDAIRNAGVQCPLLCKEFIVDAWQLYYARLKGADAVLLIAAVLPDLDIKYMLKICKILGMAALVEVHDEREMDRVLGIDGVQLIGINNRNLETFQVDISNTKKLLEGERGQTIAQKGIIVVGESGLFTPDHISFVQNAGVKAVLVGESLIKQEDPGKAIAGLFGKDISPVSAA >ORGLA04G0124600.1 pep chromosome:AGI1.1:4:15807119:15811736:-1 gene:ORGLA04G0124600 transcript:ORGLA04G0124600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G55870) TAIR;Acc:AT1G55870] MQRRRHPLLLLPRRRPPSRTLLSRLLSSSPPASGGGGGGGGGGGGGVAVKQVTRGNLAEALEELRARVRGAAFVGIDLEMSGVTSAPWRDTLELDRADVRYLKLRDSAERFAALQLGVCPFRWDPAKSAFVAHPHNFFIFPRNEPLNDCSTHEFLCQTTSIDFLAKYQFDFNTCFREGIYYLSRTQEEEALQKLYTLHNNETSAYPNTSEEEEDAPLKSAADVLFTERMKIKFNEWRNSIVSNQRVDDHRSENFKFADQFQTVFFKMRPAIMLNGFTSHQLKLIRQILRTHFRDLVYVCTFLEDGVSEKRVVYTDTNEDKISLMKNVREDLLKSREAKVKSAVGIRHVIDLLASERKLIVGHSCFLDIAQIYSKFVGPLPSSMEEFALSINRMFPHMADTRHLMSVNDAVQYRMRHKSKSLSSAFSLLCPALHAPDEKSSTLPSVRIEVEADETVLSCFTSGAKHEAGYDAFMTGCVFVQLCAYHGIKFEQLSPLEDLATNINLKKHINLLPPCWNSGTVLDLSTGTERPDAGYKRRYPAAVYDNVILIWGFQSKVRPKDIKDCICKVFGRASVTSVFPIDSTAVLVQFSKQESVNDFLDLKATLESADSAISVLHPLSTILEGGKTRAEKYDTYRDICRSSVSKFSFADQAEAVCSTSNSESKFKECNAADGSGAYGSALDGTVPASVQQSGGAKSGSKNKGDDDFSYQDILDALQDGKTSVGKRMRNA >ORGLA04G0124500.1 pep chromosome:AGI1.1:4:15804365:15805828:-1 gene:ORGLA04G0124500 transcript:ORGLA04G0124500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGELTAVDAAFPPAEAIAAVHDSHAPSPTTEDDCDDLYGDVDLGFLPLSPPSHYPTSPPKTPSPGHSAMSPSPPPPPPPPRRGPLPDPTAKAEPEPPKPTPQQQPQPLLPAAKPAPPRASPPTTAVFIGELPYWTTDAEVEGALAPHGALHGLHFFTDKLTGKSRGFCRADFLSPDAAASAAAALHGRTFDGRHCVASLSCPPALLPLGGGGGGDDPHVHAPRAARGRGRGRGGGHGGNSTTARGNVGPPLGDPPALAPPPRPQLPFGGGMLGGGGGAGYGGFAPMIGQCNAAIGTSMMPSVVSPHVNPAFLAASGMAMGGTGMWYDQRMTGMWVGQQPWNFGGYGMPRHQQKPPMQQPNRNGDYGTVRGTAWRGRPAGGRNEGDTGNANGNERGYPDRRQCGRGRDGFDLSRKHGHEERGRYRPRVLEEEREHERNWDESDRYGGDRRRYQEYPERDFERRGRVRSRSSSRDGDDDDHPGRHC >ORGLA04G0124400.1 pep chromosome:AGI1.1:4:15781113:15785148:-1 gene:ORGLA04G0124400 transcript:ORGLA04G0124400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMKIDDGRAYHSNVFHELVSNGGPKVDGEIERETKQHILPDTMVQQTNPSEYSFMKAGQQNVDKAIQIRPEDVSYDKDVVEIKLPDIMVSSNYGVQFVKDVCIDEGVLADQKAIAEKVSLNLNSSKGDTNGIRIKETADEPAKSVNDLKSQIVVLPEACVTDGDTVEQYHPCKLHDLEGNNTVDGLTVVNVEKSTPKQLVSNDNAEYCQQMGADVSESSENHGPNLNGEPVDQVPSNDSHETGASIASAITNVNGLSVESTADGHSGVVTEDGVSGVALNKTEINQINHYNPFIAYGSLEDTWEPKYSLPTIVDDVYSVPCPVEKTDSFSDIVNGALRGFNFLETGESIAEDSTLDSVVENSSMTDVQASEEKDEARSDDILSDERKNPVDQRSPVENSDSLSDPVDRALSSTETDGARNEDSRLDSTEASPSRSYVQPSEDRNDQVDNFVYGIRTDAAHGTSSGTSPLTGKTEPIDAKSENDPKCEIDSVQDGHDFNPREANDGTNISEDNKDSKSSTRQTGPVTEQNEPDSAKMTMQTEPVAQRNEPDSAKVTMQTESVAQPNEADSAKVTARNVIRNPFESSFSGPSIISGPLTPSGHIPYSGNISLRSDSSTTSTRSFAFPVLQTEWNSSPVKMAKADRRRLRRDRGWGYRILCCKF >ORGLA04G0124300.1 pep chromosome:AGI1.1:4:15779639:15780300:1 gene:ORGLA04G0124300 transcript:ORGLA04G0124300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRTSAANLLAVALLIISLLLLPLLHLPVAHARHVAVLKATDSSSAISIRSGHVEPTPASGAVQRRPASSGASNRGGGGRRRRAAASSRSTVEMRASAWAKHHRDEVARMHEMLKRDYASKARRRSPINNGEPSLEEEDLP >ORGLA04G0124200.1 pep chromosome:AGI1.1:4:15769237:15776335:-1 gene:ORGLA04G0124200 transcript:ORGLA04G0124200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSRAIVLPEQSMASERAGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVAKHPSELKLHKVAIVEKLQERICDTDKVVRESLFSILQSLVFPSLKEDNAISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPGYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLSGLGQCLSQVAYATENGDASNRLVHNLSGRELWKCTLDEDNSGSRAFAMSNILMKLQNLFQILVSSVEVSVSELFAKSTIDAQSSEAFLSALHCLDLICNICIQEVKKPQMKFGRSKTQVGPEWLKSSVLVYAKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDNTIFPADEFCQFVSSLLAKAKTLRNKDTMEKHLSPLITFIPDLVSNAPDDSKGYLLEAFTDAFRDCKVDCKLIFPYLDAVGKMLFPEKTGILFVENDSGSEYHGVWVKELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTMEFGNLRSFIKLFGVKSSSGTIELGPFVNLPRDCQELAISCLYYFSNLLPDTMELLASCCFSDVLEPTILFRIIEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGSFCTQDNSQKGSNMNTFKSLNRLILNSLSEMGDGSLVLELMWDNLSKEIAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDAIKTIAGYLIDAAMDLSKTIELGFQSDRTRLFQYFIKPCIIIFCQNEKVLCCALEMLKSFATGDDHVLSSSSKLNYPGELSHRICVVTTILIFLCNDGKLHKNLSLGKCVIKGILQHTRHLMDSNVLDVTYEDKQKLRFAFEQLKTKALQLNCWDRSELEGFSSTT >ORGLA04G0124100.1 pep chromosome:AGI1.1:4:15764937:15768127:1 gene:ORGLA04G0124100 transcript:ORGLA04G0124100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:porphyromonas-type peptidyl-arginine deiminase family protein [Source:Projected from Arabidopsis thaliana (AT5G08170) TAIR;Acc:AT5G08170] MAKATTMEGRPAKMGFRMPAEWEPHEQCWMGWPERRDNWRELAGPARKVFARTAIAISKFESVTICASAKQYPYVHELMLHQPNIRVVEMSMNDCWFRDIGPTFIVRKGAPGLGITEKNIAGIDWEFNAWGGATDGCYVDWSLDSYVAKKIVEIERIPRFPHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVAKVIWIPHGLYGDDDTNGHVDNLCCFIKPGVVLLSWTDDENDPQYQRSVDALSTLSKSVDAKGRQIEVVKIHVPGPLYMTKEESEGVVKTEHAIPREPGTRLAASYVNFYIANGGIVAPAFGDKWDEEACAVLQKAFPDHEVVMVEGAREIVLAGGNIHCITQQQPVRPS >ORGLA04G0124000.1 pep chromosome:AGI1.1:4:15761511:15762092:-1 gene:ORGLA04G0124000 transcript:ORGLA04G0124000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILFPSSFSLSLTSLSLSLLFLLVCTPRQLAEGRSDGSEQEGGRRLETERRQRGIEAELPLVVLKAEPQHVLPLRRWREVRSGLQRRLPLTKWSDNDELQRGALSPSYLTPDAFHQAIIDEGDLLIPLVYVWNNTTFDDSLSSRSSWLPQYPVVTAVRKGDKEHLRPKVDVATGCDIDVEIGHIEVDVLRLSS >ORGLA04G0123900.1 pep chromosome:AGI1.1:4:15757688:15760643:-1 gene:ORGLA04G0123900 transcript:ORGLA04G0123900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAAAAACLSPVCAAAASVPRARVCFVSPPGSWSCLAASNGRGLLRGGNGMRLRWRAPVRAKVDEDKEAGLGFREPERRRMRLRLRPRLRLLWWRLRRLSPRDLPGDAAAALRRAARRVPPAAAAPIVLAVLLLAARLALPKNAAKEVAYSDLLAGLRAGAVTAVAFEEDSRRIYFRRAADDGGGSDDADAGADAGEARRSAAAAARWPCYARRVPHDEGFLLGLMRDGGVDYRSAPRPAGRLLVDMLSTLLTLWVSLLPMMWFIQRQMSAGGGAEKRRRPRKQRVGFDDVQGVDEAKEELVEVVSCLHGSLNYKKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGIPFFSVSASEFVEVFVGRGAARVRDLFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDMKVIVMAATNRPKALDPALCRPGRFSRKVLVGVPDLEGRRNILAVHLRDVPLEEDPEIICDLVASLTPGLVGADLANIVNEAALLAARRGGNTVAREDIMDAIEREKYGVNGRQENADSERQGLTKLFPWLPKPGNRPTNPDDIGGVMGYHTLS >ORGLA04G0123800.1 pep chromosome:AGI1.1:4:15751183:15751419:1 gene:ORGLA04G0123800 transcript:ORGLA04G0123800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSFLCIFSFSRRSRRYYADDEAAAASDWERPAATRLRKVRSSDEDNGWWIGERDVDQKASDFIARFHHHQTSLVV >ORGLA04G0123700.1 pep chromosome:AGI1.1:4:15743528:15747472:-1 gene:ORGLA04G0123700 transcript:ORGLA04G0123700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKPHEKLGTKYCKKFLEARAFKFSSYLLVIILTEERTQIERVTFRCITRGEEELLAKEYLFEEAFEGYEILLGCLITVVIVGVVSISCHLKRRAHNLKRSKKDIEVTAVSVEYEEVTCKQMCTKEIYDATENLSPLNVIGQGIAGKVYKGVLANGWPVAVKHIVKNEHAETFLREVTSLSHVRHPNLVSLRGYCDGQEECFLVYELCINGNLSEWLFGKDKNLSWIQRMQIALGSACGLWFLHIYPEGCIVHRDVKPTNILLGVDMEPKLSDFGLSRVIDIGVSHVSSEVRGTFGYVDPEYRHNHKVNAAGDVYSFGMVLLQLLSGKRAINIMNTAKPMSLDRMASMLIREGNVLEFADPRLNGEYSTEAFDLSLKLALSCTGYKKQRPSMEQVVSHLEKALKISMRDDDKHNSISIIESHS >ORGLA04G0123600.1 pep chromosome:AGI1.1:4:15734303:15739520:1 gene:ORGLA04G0123600 transcript:ORGLA04G0123600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G11130) TAIR;Acc:AT4G11130] MPTAAAAATASLRVSNIPPSAVAAELLAFFDSAVAGAGGAFACEIAAAHRGWLSRGHGTVQFGSAAAAAAAAGLASSGRLPRFLGALLSVSPSPVDLLPRASDLSLRAAGAGLVVGDRVAERVFEAADAWDGVRAEVIPGKRRVDLYLEHDSQRYKLEVLFEDMKDCLGCTLDGMGAILLQLNYAPRIHTAISGPAVNSRFMDDRFHACKEDAKFSWVRALDFTPNYSFGRCSTLVLKLGKSALVSDILKSLPFSGNLGELTMNSMDGVGASSNVVPLVHCPRDYSVPYEVLFRLNSLMHMGKIVAKHVNADLFKALQELPVDVSRRIFEKMHKLESTCYEPLQFIQQEAYSMKRSHNVLLSNEGEGEGERKLMKCYRVNITPSKIFCLGPEEEVTNYVVKHHSAYASDFVRVTFVDEDWSKLSSNAISARIEQGFFSKPFKTGLYYRILSILKKGFSIGPKNFEFLAFSASQLRGNSVWMFASNASLNAGGIRRWMGHFENIRSVSKCAARMGQLFSSSRQTFEVLRWDVEVIPDIEITTDGSKYIFSDGIGKISLRFAKRVAHHVGLDPTNLPSAFQIRYGGYKGVIAIDPMSSIDLSLRPSMKKFESESRMLNITSWSKSQPCYVNREIISLLSTLGIRDEIFVAMQQDEMRETEEMLTNKEVALSVLGKLGGSETKTAVKMLLQGYEPSSEPYLSMILKAHQENRLTDIRTRCKIHVPKGRVLIGCLDETGVLEYGQVYIRITKNSKEQKDSNQSYFYNDDGKTATVVGKVAITKNPCLHPGDIRVLEAIYDPDLVGMVDCLVFPQRGERPHPNECSGGDLDGDLYFITWDDKLIPEKVDTPMDYTATRPRIMDHVVTLEEIQKHFVDYMINDSLGAISTAHLIHADRSPLKARSPECLQLATLHSMAVDFAKTGAPAEMPRTLRPREYPDFMERWEKPMYISNGVLGKLYRSAMGHMEKSGDSGALSSSSAQPSPTYDPDLEVPGSDEFLQAAEEYYELYEEKLTTLMNYYRAEHEDEILTGNIRNKMLYLKRDNKRYFEMKDRIVAAVDALHREARGWLLSSRKEEDASRMASAWYRVTYHPDRRRGKRFWSFPWIACDNLLAIKASSQLRRRRRQKDDDSTAVVQMDCSA >ORGLA04G0123500.1 pep chromosome:AGI1.1:4:15731784:15732399:1 gene:ORGLA04G0123500 transcript:ORGLA04G0123500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVELVVEVKSPADKLWTALRDSTELFPKIFPEQYKSIETVEGDGKSAGTVRLLKYTEGVPMVTFAKEKVEVADDEKKVVSYSVVDGELVSFYKNFRVTLQVTPKGGAAAPAADGAVVSWTMDFDKTSEEVPDPDVIKETAAKTFHDLDDYLLKN >ORGLA04G0123400.1 pep chromosome:AGI1.1:4:15729042:15730594:-1 gene:ORGLA04G0123400 transcript:ORGLA04G0123400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G24040) TAIR;Acc:AT1G24040] MAAASAAFLLLLPAVSPAAPTHPRLLFSCPPCRSRPRHRARLAASRSSSDGEGDGGIVTGPAGGGGGVFLSPRALSQRDELAAFRYAHSFPHGRLTVRALTPAGDDDESDALVRLLASSFAENVRWAPAQRYEQLLAFVIRRYLFERRGLAPHAAVLVGYYRPAATGDGDEEEGEGNEDDDDYGEMACTAEVSLDAVGAPGAPPTPTPPLDFPYICNMTVKTSLRRRGIGKQLLKACEDLIIKMDAKRHVYLHCRIIDQVPFNMYRKAGYNIVQTDSILVWLSLQKRKHLMSKELLQTSVSSRSTAKDFDDNKLTS >ORGLA04G0123300.1 pep chromosome:AGI1.1:4:15725829:15728583:1 gene:ORGLA04G0123300 transcript:ORGLA04G0123300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GXGKKFSTKSPWPKLHSKCKRCISLRKKNTRNDAALSATCACSLSIFSXADFPLPSXXXXXXXXXXXXXSSXQCKSLRSVQWNMGXGFWRPDLHXHNMPNDTRGKELCKVRKANGLCKLDVETAWLCHGKIRTPSILDYCQREDIGFCWGFDCTEPDGIIALFTVSEILSDSCDXRPXHNSTSFSRSHNFTLMVLWTKFIVEDSQRQINGTVVEEHDIHLDKLDPRLATNLHQINILVISTSRWFFRRNYLYEGEELIGCIYCSEDNITSFSVPMAIQRVFRTALKNLKESQECRLQLTVVRTATSAHFENGLWNTGGSCNRTEPLREEAMIDQTEWAIRNAQVEEADRTKKNNGKGGVKIEIIDITKAMSMRPDAHPGIHWNNQWMRGYSDCSHWCLPGPIDMWNELLLAVLNKYKNSLEDQ >ORGLA04G0123200.1 pep chromosome:AGI1.1:4:15722703:15723175:-1 gene:ORGLA04G0123200 transcript:ORGLA04G0123200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:I1PM13] RQECAWWGEVGVSGVSASSTAAVGRVGKHWLALRSGANLFMHSLELDEPEKSVVKSWRKGANPLPPRCTVVVIRFRGESRVLGEGNGVVTPLHVPASGYPMMSMDEQTSLSFALFKDAAFNASRRGVRASDVACLPISFG >ORGLA04G0123100.1 pep chromosome:AGI1.1:4:15702521:15703019:1 gene:ORGLA04G0123100 transcript:ORGLA04G0123100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIRVTVESEGAFVINFKGVRGSPMVCAICIRKTVAIAEQVLDRQADQLRSVSQKYEDANKWAAAISNLENKIKAMKQEQTLLSLEAHGRANTVPELSKMVGAVQALG >ORGLA04G0123000.1 pep chromosome:AGI1.1:4:15693033:15693564:-1 gene:ORGLA04G0123000 transcript:ORGLA04G0123000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKLAVFALLASLLLLNTIKAADYPPAPPLGPPPHKIVDPGKDCVGACDARCSEHSHKKRCSRSCLTCCSACRCVPAGTAGNRETCGRCYTDWVSHNNMTKCP >ORGLA04G0122900.1 pep chromosome:AGI1.1:4:15686454:15687461:-1 gene:ORGLA04G0122900 transcript:ORGLA04G0122900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PM10] MGALLLRCALLALAAGLVAARGGGGGGAGAAGRLSPNYYRHSCPRVERIVADVVAAKQRANPSTAAGTLRLFFHDCFVGGCDASVLVSPLSADRSPERAAEINLSLPGDSFDVVARAKAALEVACPGTVSCADILALAARDLVGILGGPRFPVALGRRDARRSDARDVEGNLPRTNMSARAMAVLFARKGFTPRELVALAGAHTVGFSHCGEFAHRLYSFRSADGYDPSLNPAFARALQSSCANYRSDPTISIFNDIMTPGKFDEVYFKNLPRGLGLLASDAALWEYPATRVFVQRYADNRTAFFEDFAAAMQKLGAVGVKTGRQGVVRRHCDVL >ORGLA04G0122800.1 pep chromosome:AGI1.1:4:15679510:15684920:1 gene:ORGLA04G0122800 transcript:ORGLA04G0122800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSSRHQVTITLGRSGQVVKRRAVSDIDNDDGVHLGRKRSVRDRLGNNMVGSESYDGQQRNKRRQIETNGLQHGDNDCQVGRDDLRLKLMKKGLSSNGGAEQNGVDLREKLSRKPKNIRRYDARGHVPESRSRYDGRDKIPELRSRYGMRERLPEPRTSALPSRIPSARSMDDLLKLGSSREAYSSWSGNLRHRSPEKLKSARRDMSPSRTYDHIRSMPPIRSAGTSRTSGLITRDAPDALRTQPYAGKSTISIDTTQPANGVASSATVMPTAPVMPEVPLTVTGLLNSLGLEKYVFLFHAEEVDMAALSQMGDSDLKEIGVPMGPRKKILQAVAPYSKRRR >ORGLA04G0122700.1 pep chromosome:AGI1.1:4:15676824:15678630:-1 gene:ORGLA04G0122700 transcript:ORGLA04G0122700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKRXRDATQIPENPMEGIPQTAAAAAAAAEASEPPRKRARVDGGGGGAGEEEEDRLSDLPDCLLEDILAHLGSRQAVQTSVLSRRWRNLWRGVRVVVIDVGSFRLPGADGDPPRFRLDRIEDFADGVLSPSLHPGAARELDALRMRLDEDAVTTNFQRWIRRALWRRPATVDLYYLPRRSFSWPPAVPLTPVTAVSRLKTLRIFGLRPTVVFGADEFPALEDLHIERCSYAHGTIASPTLKRLALVSPINGCFVREQRLTAPGLTSLRLVLPYSREEGVRVITDAPLTSLVDASITIVDTDPGDPRNRRVNQFKVDFLVAISNLLGRLTSVRNLDLTGLNATALLDNKSQEFPMFPYLTTLLLNECDIGYKYHVLRSILQNAPNLEQLRLHNCKFVGKSRRKAGQTQSKEKTSKCSSSTLSSACSSLKSVEIKHPRGEPSHDLLHEFLKEIPHNQWRKRSIDEETISIELNRK >ORGLA04G0122600.1 pep chromosome:AGI1.1:4:15670236:15672048:-1 gene:ORGLA04G0122600 transcript:ORGLA04G0122600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASDEPPRKRARSAGAEDRLSDLPDCLLHDILALVGSRQAVRTSVLSRRWRGLWRSAPRVDIDQREFRRACGGEEGEPVVDCDGFEDFADGILSPTLLGGTGTPPLDAFRLHLLYEGRFITFGRWVRRALTRRPASVDIHVEYGGTVDWPPALTLGDGAGTGRLKRLHLFGVHLGFISGDGGRLAELLPVLEDLRMESCTFGHEPSSPTTTIAIPTLRSLALAVVPRRTARPYALTVASPRVASLRLFLPFSRTRAAAVRVAPAEEGEALASLVTASITVLETDQELNRRMNKHKLDFLASTRNMLDRFPNVRNLDLSGFPTIALLDKASQEFPTLPSLTTLLLSECDVGANCYVLKSVLRNAPNLEHLRLHRCKFLGTPKRKRGNSRSKGKSSSTCLDSLSSKCKSLQSVEIKFRPIDNVRHHDLVGLLKEML >ORGLA04G0122500.1 pep chromosome:AGI1.1:4:15662585:15668257:-1 gene:ORGLA04G0122500 transcript:ORGLA04G0122500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM family member 2 [Source:Projected from Arabidopsis thaliana (AT3G01370) TAIR;Acc:AT3G01370] MLLLFLPHPSPPLLPSAASRTRPPPRLLLPPIHASPSPELLAKSALRRISDKLRSLGYLEADHPEAAPGPAAPEAGAGASPGEIFVPTPAQLPRHRVGSTLDPSWATGDGEGAAASRRRRRGGRDSSAAASAPPSAAELALPRDELRRLQGAGIRLRNRLKVGKAGVTEGIVNGIHERWRNAELVKIRCDDVSAMNMKRTHEILERKTGGLVIWRSGSTIILYRGTDYKYPYFHDREMKNDMDESSEHTSSDDEDADLAIIASEQSGSEEDSDNPAEHGSNHTEEGDDLTRRFGVDALEGNLDIGSAEQSINSATKDQQAILHTSTNVSRPSEISGRARSTLVAGVGSPNKFRLQLPGEVKLAEEADKLLDGLGPRFSDWWGYDPLPVDADLLPAIVPGYRRSSNLQGLAASMIKLWERCEVAKVAIKRGAENIDSDLISEKLKGLTGGTLLSRDNESIVFYRGKDFLPTAVSLAMEKRRKYGNSTISNPKLNFDKSTPQNSSKLKMATDVSLDGHECYEKKHKDETAVSDNRAESLNVFAQNVEARLSQAIAEKEKTEKLIEELEMSSEPSRAETREVISEEERYMLRKVGLKMKSFLLLGRRGVFDGTVENMHLHWKYRELVKIICKEHNIKDVEYAARTLEAESGGILVAVERVSKAHAIIIYRGKNYQRPSTLRPKSLLNKKDALKRSVEYQRYKSLKLHVLNLSKNIDYLKDQMFFKQMEVQPVTPTNGMNSGHHNQGILDLNVNSGTLVDKKEEVSEVLPECAKSVVVECSSGESETEGTSVLTKSGVPLDVMQNKLLCFSKHTDDLSETTSSCLTESTSTSSESTHQSPLSSSVTHNSDSHRVSGSKFVGTLTPVHELKLDEKSSQLPSAAAPLSNRERLMLRKQALKMKKRPVLAVGRNNVITGVAKAIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSVLVSREPNKVILYRGWGADVAQNSLSGNNSTEQVEKEVISPQLLEAVRLECGLHPGESE >ORGLA04G0122400.1 pep chromosome:AGI1.1:4:15641102:15642097:1 gene:ORGLA04G0122400 transcript:ORGLA04G0122400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT4G12240) TAIR;Acc:AT4G12240] MFPPFSHLRRRLLAAIPNPNLLFQPSRSLSTSTTPATRAPATPVAVLWDLAASRPPSTLPLYDAAVRLHLAATSFGRVRLSAAFVHPGHRLPAPSPSAAAAAVHLCRVCGRRFRARDTLLRHFDAIHAREHAKRLARIDSSRGDRRVRLAAALSLKLSKYEKAARELTAAADPCSPADDLRRARVAVELSPTPSVSLLERAHEVLDGGSVRCLMLVSARDELAPLLRLAREKGVRSVVVGGESGPARWADVGFSWAEVIAGKARKAAPSVSGKWRDRDVLKRLEWRYDDDDEEVVFEEDGDEDGIDELTRNSKGKPWWKLESDGEDSSACR >ORGLA04G0122300.1 pep chromosome:AGI1.1:4:15636160:15640588:1 gene:ORGLA04G0122300 transcript:ORGLA04G0122300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNGGGGDKKPASGGRGGPTIRTLADISRGPSGFPGGGGGGGSDSDEPQEYYTGGEKSGMLVQDPTRRNTVDSIFEQARQMGALQDQPPPFEDQSSSSRSFTGTGRLLSGETAPAAPPPPGNVLHNIQFWNNGFTVDDGPLRDYDDPANADFIESIKKSQCPQELEPADRRTPVHVNVIKRLEDYQAPLRPPSPFQGVGRTLGGGSSAEESQAPAPATQEPRRSVGIVVDDSLPFTSIQLRLADGTRMVARFNMHHTVGDIRSFIDASRPGATRPYQLQTGFPPKQLADPAQTVEQAGLANSVIIQKM >ORGLA04G0122200.1 pep chromosome:AGI1.1:4:15628491:15632488:-1 gene:ORGLA04G0122200 transcript:ORGLA04G0122200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSRVSAGVHGGDDGVVVDFRGNPVDKGRTGGWLGAGLILGTELAERVCVVAISMNLVTYLVGDLHLSNARSANIVTNFLGTLNLLALLGGFLADAVLGRYLTVAVSATIAAIGVSLLAASTVVPGMRPPPCGDAAAAAAAAAESGGCVAASGGQMAMLYAALYTAAAGAGGLKANVSGFGSDQFDGRDRREGKAMLFFFNRFYFCISLGSVLAVTALVYVQEDVGRGWGYGASAAAMVAAVAVFAAGTPRYRYRRPQGSPLTAIGRVLWAAWRKRRMPFPADAGELHGFHKAKVPHTNRLRCLDKAAIVEADLAAATPPEQPVAALTVTEVEEAKMVVKLLPIWSTSILFWTVYSQMTTFSVEQASHMDRRAGGFAVPAGSFSVFLFLSILLFTSASERLLVPLARRLMITRRPQGLTSLQRVGAGLVLATLAMAVSALVEKKRRDASGGAGGGGVAMISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLATLAMGFFLSSLLVSAVDAATRGAWIRDGLDDGRLDLFYWMLAALGVANFAAFLVFASRHQYRPAILPAADSPPDDEGAVREAATTVKGMDFXLELEQRVMLKLPKSCNYRL >ORGLA04G0122100.1 pep chromosome:AGI1.1:4:15621748:15626188:-1 gene:ORGLA04G0122100 transcript:ORGLA04G0122100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAIPRRGLFIGGGWREPSLGRRLPVVNPATEATIGDIPAATAEDVELAVSAARDAFGRDGGRHWSRAPGAVRAKYLKAIAAKIKDKKSYLALLETLDSGKPLDEAAGDMEDVAACFEYYADLAEALDGKQRAPISLPMEKFESYVLKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASLTCLELGGICAEIGLPPGVLNIITGLGTEAGAPLASHPHVDKIAFTGSTETGKRIMITASQMVKPVSLELGGKSPLIVFDDVDIDKAVEWAMFGCFANAGQVCSATSRLLLHEKIAKRFLDRLVAWAKSIKISDPLEEGCRLGSVVSEGQYKKIMKFISTARCEGATILYGGARPQHLKRGFFIEPTIITNVSTSMQIWREEVFGPVICVKEFRTEREAVELANDTHYGLAGAVISNDLERCERISKAIQSGIVWINCSQPCFVQAPWGGNKRSGFGRELGQWGLDNYLSVKQVTKYCSDEPYGWYRPPSKL >ORGLA04G0122000.1 pep chromosome:AGI1.1:4:15615677:15616143:1 gene:ORGLA04G0122000 transcript:ORGLA04G0122000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQKIVIKVSMPCEKSRSKAMALVARASGVNSMEVTGDGKDRLQVVGDGVDPVCLVACLRRKIGYAEIVQVEEVKDKKPEEKQPEPPKPVPCYYPAPPCYYPPATVVCSDEPSPCSIM >ORGLA04G0121900.1 pep chromosome:AGI1.1:4:15611295:15613087:1 gene:ORGLA04G0121900 transcript:ORGLA04G0121900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISNTSKRCCPGSSVGAGLLGHGDGGEAVNGVIVRADQPRLAADGGVAGEEGYHLILYKYHMIRDRNRLIPYRYLIPHKYPNVIAYEIYDDTYQVSCDSYHVERDTCELSGIARFLRRIGVILARYHMIPTRYRSWALRRQGIVADGRVLPRPCSTLNPNLIAGSRILPRPRSTSELVAGDRVLRRDLVTGGHVHRPRLSRWLRPPRRSSSPVPPPSLRRILRRPRPTPELVAGAVSVTTRRILRRPCDYAPRRNSLAATSSMPELGDSGGCVRAADVSLICAAPMVAFVLASEEGGQGAIPLGQYPNGIPVYSSSPTESIDSGEDG >ORGLA04G0121800.1 pep chromosome:AGI1.1:4:15605106:15609803:-1 gene:ORGLA04G0121800 transcript:ORGLA04G0121800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39952) TAIR;Acc:AT4G39952] MPPTPPLAALHRFLASPSPPPLPSLLNLHALAVTSGLSPRPDFAAKLVSAYSSAGLPALAALAFAASPCPDAFLWNSLLRSRHRASDFASALSAHRRMRASGARPSRFTAPLVASAAAELGALPVGAAVHAYSVRFGLLEGDGSVAVASSLVYMYARCGSVRDAVRLFDEMPERDVVAWTAVISGCVCNGQCGEGLSYLVRMVRSAGDGSARPNSRTMESGLEACGVLGELSVGTCLHGFGVKAGVGHCPSVVSSLFSMYTKCDSTEDAWILFPELPEKDLVSWTSLIGAYCRAGHAEKAVELFLGMEESGLQPDEVVISCLLAGLGNDAKVRGGKTFHAAIVRRNFGDSVLIGNALISMYAKCKQVDIAATVFRMLHQRDTDSWSSMVVAYCKAGLDLKCLELYREMQFRDKDEFEYDTNSLISIISSCSRLGRLRLGQSAHCYSIKHLAGENSSVANALISMYGRCGNFDVARKIFGLVKTKDVVTWSALISSYSHLGHSKDALLLYDQMLTEGVKPNSATLVSVISSCANLAALEHGELIHSHVKDVGLECDLSISTALVDMYMKCGQLGIARKMFDSMLERDVVTWNVMISGYGMHGEAIQALQLFSMMERGNVKPNSITFLAILSACCHAGLVDKGRELFTRMEEYSLEPNLKHYACMVDLLGKSGHLQEAEDVVSAMPIEPDGGIWGTLLGACKMHDNFEMGLRVAKKAFASDPENDGYYILMSNSYGSAEKWNEIEKLRDMMKNHGVEKSIGWSTIDICGFMKNQLTQWQHSLFEQSEFRSSEDMCISFVSGIWSESMVNGLTEWGNEVVDSSEFRNKAQRLKVAAMLMFLRHSTEPGELGHGSCSIMAVNFCFVLMVTSSCNKILKLCPMARGTSHVEGHISYQSYEVEWVIIPKSPHAFGATYPQRSELVALVALHWSK >ORGLA04G0121700.1 pep chromosome:AGI1.1:4:15600255:15601626:-1 gene:ORGLA04G0121700 transcript:ORGLA04G0121700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVDASAAAAAARDAKKKRGNRSSAKLKQCKLDARREQWLSQVKDGKEAKASTSPTGTEPNAGSMTVPSPHPPLPRRRVDVRSKGGDPEEDREERGAARQELGSSYLDSPVHSPSSDNSGSVGGMHRKHYNNGGGLNLSSSSSVWSSSRSVSEAEDDDTGGPEEENGVLDDWEAVADADALTVDDCHSHQSSGHVAPPAAPNVCTAPANQTGRQDPIQRTKAWAPDDIFRPQSLPSISRQVSFPASIGNGWMGAAQQANLSTPLTCPICCEDLDLTDSSFCPCPCKFRLCLFCHNKILEADGRCPGCRKEYVAARLSRSCSMGPRY >ORGLA04G0121600.1 pep chromosome:AGI1.1:4:15596042:15597219:1 gene:ORGLA04G0121600 transcript:ORGLA04G0121600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRARWVAKYTKGLVDVLHENNISHYRTQNGWRTDGWRKIVSEFNDRYPDAKFTKVQIQEHETQLKRDYRLVKLILQREGVTWDQNASMIRTTDEIWDEIIEEMPKARKYQFKSFPLLQSLEVLFEGDIPEGEHNLMPSKPQLAGRNVDEGGNNMSTALSIPGRPCSTVIAGIDDGENNIGILQRTPELGQQGLDDVDILQNPTEEVLERPQHGADPKPQSADEPAHSSSCIEPQKDKRKKRKGPDIQQTMEAFLEFRMKQARLKEQAKKEKKDGEPFSISTCIKALHSMTDVSDQVKILASDVFKDAANREIFLSYDLRLRTLWIKREVNRLLT >ORGLA04G0121500.1 pep chromosome:AGI1.1:4:15589668:15592853:-1 gene:ORGLA04G0121500 transcript:ORGLA04G0121500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSHLAAAAAAASPAAARSPAASSAATASAFARLSATPRVASGGLAVRGQRGVAAVVAAAAGAAAATPVADFEERRATEKQPIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTIEDVKRKNPRGILISPGPGEPQDSGISLQTVLELGPTIPIFGVCMGLQCIGEAFGGKIIRAPSGVMHGKSSPVRYDEELGKALFNGLPNPFTAARYHSLVIEQETFPHDALEATAWTEDGLIMAARHKKYRHIQVYI >ORGLA04G0121400.1 pep chromosome:AGI1.1:4:15584544:15587129:-1 gene:ORGLA04G0121400 transcript:ORGLA04G0121400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDGGGLPLLADKAASHSHHHHPERHFTSGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGYLAAKSEADHYQREMKREQEEIIAVPDTEAAEIGEIMSQYGLEPHEYGPVVDGLRRNPQAWLDFMMRFELGLEKPDPKRAIQSALTIALSYVIGGLVPLLPYMFISTAQNAMLTSVGVTLVALLFFGYIKGRFTGNRPFLSAVQTAIIGALASAAAYGMAKAVQTR >ORGLA04G0121300.1 pep chromosome:AGI1.1:4:15581797:15583626:1 gene:ORGLA04G0121300 transcript:ORGLA04G0121300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09680) TAIR;Acc:AT1G09680] MQRALRLRHRPRRPPPVPAQAPPPSPRPWYAAPPPPPQAAAADPLLVAASEVALALPVHPAPLPSAAPAPLLRLLPAFTSDHFLSLLRLNPLSLPPLPLHSLFRLLLVASPPGLFRHTPASFLSMACHLLRHRLPHLAHPLLRLLASRLGRSSPPRVLPLLLSAAAAAPGDPASLLSALSSAYAEEGLLPDACTLVLLALRRGIRLEPTSCTGLMSRFPTAPEACAFYLQLLDAGLPPEAKLFNVLMRDLVRLGELASAQNVFDEMQSRGVRRTVVSFNTMISGMCRAGDLDGAETLHRRMSEAGVTPDVYTYGALIQGLCRVGRIEDARGVFEKMCGRGMKPNAVVFTILIDAHCKKGDAETMLELHREMRERGVRPDAVTYNAIVNGLCRARDLKSASGIVVEMRSAGLRPDTVTYTTLIDGYCKEEELGMAMEIKQNMVAEGVGLDEVTYTALISGLSKAGRSADAERVLGEMMEAGLEPDNTTYTMVIDAFCRKGDVKTGLRLLKEMQNKGRKPGVVTYNVIMNGFCKLGQMKNADMLLNAMINIGVSPDDITYNILLDGHCKHGEVTDIEELKRAKGTVPDLGVYTSIVGEIVKKKTTKNYHDR >ORGLA04G0121200.1 pep chromosome:AGI1.1:4:15578774:15579685:1 gene:ORGLA04G0121200 transcript:ORGLA04G0121200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNRISGGGGGGFLKPLAGVSFAFMPGVGAFYFLVGSVLGFLAMVYSSESDEAGGDWASAERWVALARSVSAPQMFVGIPLLLLATGVWRLGKRCEAVEGLVGNADATVQALRVGGVVCAVCGTKILALKKKGGLPPSPTPSPARSKGSCSDKPVARSLAAELEQEADAEEDECAAAGDANGGCGSSAEEGGSVERLRRRLAAERRRREAALEELEKERRAAASAADEAMAKIACLRNEKALVEREARQFREMAQQKQMYDRQQIESLQWVIQRFGMPCGEAEVSSERAVSETSEDDRDRK >ORGLA04G0121100.1 pep chromosome:AGI1.1:4:15571533:15573626:-1 gene:ORGLA04G0121100 transcript:ORGLA04G0121100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPAAAAAALLLLLALSAGANDIASDAAALQAFIAPFGSATVSWNTSQPTCSWTGVVCSGGRVVEVHLPGVGLRGNVPVGALGGLDKLAVLSLRYNALSGPLPSDLAKCAELRVINLQSNHFSGELPPEILALPALTQLNLAENRFSGRIPASIAKNGRLQLLYLDGNLLTGELPNVNMPLLTSFNVSFNNLTGGIPSGLSGMPATSFLGMSLCGKPLAACRTPISIPPSQAPALSPEGAVSAGGRGRGGRRLAGGAIAGIVIGCALGFLLVAGVLVLACGALQRKPRRHHSRDVAAELALHSKEAMSPSVYTPRVSDARPPPPPPAVVPAIQPAVAANVAGKKKLFFFGRVPRPYDLEDLLRASAEVLGKGTYGTTYKAALETGPVVAVKRLKETSLPEREFRDKVAAIGGLDHPNVVPLQAYYFSKDEKLMVYEFVAMGSLSSMLHGNRGSGRSPLLWESRRRIALASARGLEYIHATGSKVVHGNIKSSNVLLSRSSVDARVADHGLAHLVGPAGAPSSRVAGYRAPEVVADPWRLSQKADVYSFGVLLLELLTGKAPTHAVLHDDEGVDLPRWARSVVREEWTSEVFDTELLRHPGAEDEMVEMLRLAMDCTVTVPDQRPAMPEIVVRIEQLGGAGSARTARSVSMDDADDRPLRPAGSIRES >ORGLA04G0121000.1 pep chromosome:AGI1.1:4:15567976:15568830:-1 gene:ORGLA04G0121000 transcript:ORGLA04G0121000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPAAVSFLTNIAKAAAGLGAAASLLSASLYTVDGGERAVIFDRFRGVLPETVGEGTHFLVPWLQKPFVFDIRTRPHNFSSNSGTKDLQMVNLTLRLLSRPDVVHLPTIFTSLGLEYDDKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRDALIRRAREFNIILDDVAITHLSYGIEFSQAVEKKQVAQQEAERSKFLVAKAEQERRAAIVRAEGESESARLISEATAAAGTGLIELRRIEAAREIAAELARSPNVAYVPAGDNGRMLLGLNAAGFGR >ORGLA04G0120900.1 pep chromosome:AGI1.1:4:15564175:15564555:1 gene:ORGLA04G0120900 transcript:ORGLA04G0120900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQWHVGPGRTQPSVRVRAPGAFDKLSGRASCDHRKPAPRRVAVRIRKPVGERRKASHAAPRPAEDRVARIGRGRKELDQNLTAPYGWRRKGRTGRVLARTVGFRSGISPLRILYLYNEYNWHS >ORGLA04G0120800.1 pep chromosome:AGI1.1:4:15560588:15562672:-1 gene:ORGLA04G0120800 transcript:ORGLA04G0120800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASEELERRSRYLSSLVRRTKLADPPEPEPEPEPEPEREREREREVAAKESGGGEGKGGKLVEEKEVKAAKEKKEKEKEAKVPRGEGGNGEEKKVAVRVRAADMPPALQRRAIRVALEATAAMPRIDSKRLALALKKEFDTTYGPAWHCIVGTSFGSYVTHSLGGFLYFSVDKLYILLFRTAVEPLSYQR >ORGLA04G0120700.1 pep chromosome:AGI1.1:4:15550902:15553333:1 gene:ORGLA04G0120700 transcript:ORGLA04G0120700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKDYRGKIETELTKICDGILKLLESHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDTAEEIREAPKRDSSEGQ >ORGLA04G0120600.1 pep chromosome:AGI1.1:4:15544424:15546421:-1 gene:ORGLA04G0120600 transcript:ORGLA04G0120600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G25530) TAIR;Acc:AT1G25530] MVTSSVLPKVVDDAGEGEANPRRAKWWYATFHSVTAMVGAGVLSLPYAMAHLGWGPGTAALVVSWGMTLYTLRLLIELHECVPGVRFDRYRDLGAHALGPRLGPWLVVPQQLIVQLGCDVVYMVIGGKCLMKFAESVSSWSRAPQLHHQSYWICIFGASQFLLSQLPSLDSITAVSLAAAAMSVGYSTISWAACLARGTPAAAEGGGGGVSYAYKDGTAADSVFRVCSALGQVAFAYAGHGVVLEIQATIPSTPTKPSRGAMWKGAVAAYLVTALCYFPVAIAGYWAFGRDVSDNVLVALRRPPWLVAAANMMVVVHVLGSYQVYAMPIFETLETILITRIRLPPGALLRLVARSAYVAFTLFVAVTFPFFGDLLGFFGGFGFTPTSYFLPCILWLKIKKPPRFSASWFANWGCIVVGVLLMIASTIGGLRSIIQDASTFQFYS >ORGLA04G0120500.1 pep chromosome:AGI1.1:4:15540276:15541970:-1 gene:ORGLA04G0120500 transcript:ORGLA04G0120500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARTLALAFPLPLCAVSSPRRATPLALPRRGAARPLASLAQCPARGHSPSPRRAAGRALAPCAAMRGSGGGGGGGEFASDDGGGARALLRATLWGAEAAYILWLFLLPYAPGDPVWGISQATISDLIGLSLNFFLILPLLNSAGVHLLESPVLHPVAEGLFNFVIAWTLLFAPLLFTDSRRDRFKGSLDLLWGFQMFLTNTFLIPYMAIRLNDPDKDKSPPQTSKLGSVMVRGAPIVGLTGGLVCILSIAWALFGRADASFGGIAERWQYVQSYVFSERFAYAFLWDILLYSIFQPWLIADNIQNVKASATEFVNSVRFLPVIGLVAYLFCLEDRDD >ORGLA04G0120400.1 pep chromosome:AGI1.1:4:15539293:15540042:1 gene:ORGLA04G0120400 transcript:ORGLA04G0120400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVVAACVVVVAAALLLVTAPGAAAQPGGASSGSGCNAGLIRLLPCLGFVGGNDAAPSNTCCANLGSMVHDEPLCLCQALSQSGGGGAIPVPVNRTRAVQLPLLCRLDLPPAAAACPGFDLGGAAPSPPVSVPRSMPNSTAPSTPTPVTVTRAPPQQMTPSPKTSSQTPEYSSGLKLIADCVPVALGFMALVSALTF >ORGLA04G0120300.1 pep chromosome:AGI1.1:4:15536192:15536416:-1 gene:ORGLA04G0120300 transcript:ORGLA04G0120300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTYMAEILIYYSIFLFRFLPYQSHHRMISNYYGVGVELPTCHSAIKCWYEMCLGLALRAILILMISDAMLD >ORGLA04G0120200.1 pep chromosome:AGI1.1:4:15517524:15518491:-1 gene:ORGLA04G0120200 transcript:ORGLA04G0120200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGYPAGGEQPSGRLVVLRPLRLLRRRRRLLPDLLLPVRRLREDRGDRRPGSHIVLRERDAVHVAGDGDGVRVRLLLLLPLQAAPAVRAPGEALRRLLRPLVLRALRPLPGVPRAQEPRLRHVPRMAGEHGEDGEGRRDCPAADAPGDDSLVRVCVRGHVGLRCADQASACVGSVASSRQGMPTCKVDVKLVFSFCYISSCRESHEL >ORGLA04G0120100.1 pep chromosome:AGI1.1:4:15504534:15506048:1 gene:ORGLA04G0120100 transcript:ORGLA04G0120100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAKGGSKLETTTAQLARKSSKNRTEHPSKADRPNLQRRVNITFSSPVLSAWQLMGQDLSGLGVKELQTLENQLEMSIRCIRTKKDQLMIDEIHELNRKGSLIHQENMELYRKVNLIRQENAELYKKLYETGAETEANRDSTTPYNFAVIEEANTPARLELNPPSQQNDAEQTAPPKLGLQLHP >ORGLA04G0120000.1 pep chromosome:AGI1.1:4:15499298:15500393:1 gene:ORGLA04G0120000 transcript:ORGLA04G0120000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLVIFSSTGRLYEYASTSMKSVIDRYGRAKEEQQHVANPNSELKEFCSVFIYITEN >ORGLA04G0119900.1 pep chromosome:AGI1.1:4:15485565:15487688:1 gene:ORGLA04G0119900 transcript:ORGLA04G0119900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMSVHPAATPALASRARISRPKLSAASPCTTSSSSTPLLVHLGSRRLPLRSLRGLAAAAASGAVEAEEGEEEKQVGGGDASAGEEAQEYKVKVPERQDPMLVLKFIWMEKNIGIALDQLVPGYGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLST >ORGLA04G0119800.1 pep chromosome:AGI1.1:4:15482497:15484577:1 gene:ORGLA04G0119800 transcript:ORGLA04G0119800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEGLKKGPWTPEEDQKLLAYIEQHGHGCWRSLPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRSDVAGAGGGGGGAAGGAAGAQHAKAAAHLSHTAQWESARLEAEARLAREAKLRALAASATPGAPHLPAPPASAAAHGLDSPTSTLSFSESAALATVLEAHGAAAAAAARAAMQPMQAYDEACKDQHWGDVDAADVGFPGAGAGFTGLLLDGSLNQIPRPAGRDAEADGEFQETEEEKNYWNSILNLVNSSSAPMSTAVVVPASHAYSPAPDF >ORGLA04G0119700.1 pep chromosome:AGI1.1:4:15459108:15460393:1 gene:ORGLA04G0119700 transcript:ORGLA04G0119700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHQGQAGMDLPPGFRFHPTDEELITHYLAKKVADARFAALAVAEADLNKCEPWDLPSLAKMGEKEWYFFCLKDRKYPTGLRTNRATESGYWKATGKDKDIFRRKALVGMKKTLVFYTGRAPKGEKSGWVMHEYRLHGKLHAAALGLLHGKPASSKNEWVLCRVFKKSLVEVGAAGGKKAAVVTMEMARGGSTSSSVADEIAMSSVVLPPLMDMSGAGAGAVDPATTAHVTCFSNALEGQFFNPTAVHGHGGGDSSPFMASFTQYGQLHHGVSLVQLLESCNGYGGLVDMAASGSQLQPAACGGERERLSASQDTGLTSDVNPEISSSSGQKFDHEAALWGY >ORGLA04G0119600.1 pep chromosome:AGI1.1:4:15450724:15452187:1 gene:ORGLA04G0119600 transcript:ORGLA04G0119600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor of nitrate reductase and xanthine dehydrogenase 3 [Source:Projected from Arabidopsis thaliana (AT1G01290) TAIR;Acc:AT1G01290] MSVLRSILPTVARNNGWRCFATGFPSDTIAELNKEMESVFGESPSPSPLGSTPQQPARPTNGSGDGQSMLTHIDSSGQAKMVDVSSKHDSTRVAIATCRVLLGQEAFDLVASNKIAKGDVLTVAKIAGITGAKQTSNLIPLCHNLNLSHVRVDLTLNEEDSSVMIEGEASTSGKTGVEMEAMTAVAIAGLTVYDMCKAASKNICITDICLQHKSGGKSGSWSRS >ORGLA04G0119500.1 pep chromosome:AGI1.1:4:15447398:15448220:1 gene:ORGLA04G0119500 transcript:ORGLA04G0119500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1PLX6] MTKDGSVMEHGEISSKAPLVAPVAAGVNRAVAVVDTFLRFIAIIGTIGSAIAMGTTNETLPFFTQFIQFEAKYSDLPSFTFFVAANAVVCTYLVLSIPLSIVHILRPRARYSRLFLVFFDTAMLALLTAGASAAAAIVYLAHKGNVRANWFSICQQFDSFCERISGSLIGSFAAMVLLVVLITLSAFALARRH >ORGLA04G0119400.1 pep chromosome:AGI1.1:4:15442882:15444940:1 gene:ORGLA04G0119400 transcript:ORGLA04G0119400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGAAPPHPPRGGGGGSPEDSSTARRPDFEQPLLQAHAAVPARGKQEPVERDHEAQCSPEADGDGATFVRTCFNGLNALSGVGLLSIPYALSEGGWLSLVLLLAVAMVCCYTGLLLRRCMAASPAVRGYPDIGALAFGAKGRLAVSAFLYAELYLVAIGFLILEGDNLDKLFPGTSLAVGGLVVSGKQLFVVVVAVVILPTTWLRSLAVLAYVSASGVLASVVVVFCVLWAAVFDGVGFHGKGRMLNVSGLPTALGLYTFCYCGHAIFPTLCNSMQEKDKFSRVMVICFVACTVNYGSMAILGYLMYGDDVKSQVTLNLPEGKISSKLAIYTTLINPFSKYALMVTPVATAIEEKLLAGNKRSVNVLIRTLIVVSTVVIALTVPFFGHLMALVGSLLSVMASMLLPCICYLKIFGLTRCGRGETLLIAAIIVLGSLVAATGTYSSLKKIFYEF >ORGLA04G0119300.1 pep chromosome:AGI1.1:4:15433128:15433925:1 gene:ORGLA04G0119300 transcript:ORGLA04G0119300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGTARTCMNGLNALSGVGLLTVPYALSEGGWVSLALLAAVAAACWYTGILLCRCMDADDAIRTYPDIGERAFGRTGRLLVSAFTYVELYLVATGFLILEGDNLDKLFPGARVTLGTVSLAGKRLFVVLVALVVAPTTWLRSLGVLAYVSATGVFASVVIVLSVLWAAAVDGVGFSGRGTTTPLRIAGLPTALGLYIFCYGGHPMFPTLYASMKRKSQFPKVYPYTHAH >ORGLA04G0119200.1 pep chromosome:AGI1.1:4:15427498:15427821:1 gene:ORGLA04G0119200 transcript:ORGLA04G0119200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLNLALAVLVLVVVLLASFSDEVLAVRTPAVFAASRRSASPPTERPRELVEGGNAVAATATFDASVKAAAATATATGSSPSKVFDPDRMSKRRVRRGSDPIHNKC >ORGLA04G0119100.1 pep chromosome:AGI1.1:4:15420548:15425800:1 gene:ORGLA04G0119100 transcript:ORGLA04G0119100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein with PDZ domain [Source:Projected from Arabidopsis thaliana (AT5G39830) TAIR;Acc:AT5G39830] MHCLACAAPAARAPGSRVGGGGRRRMAIECAASSPFTRDGEETAPRSMMETYGEMSSKPVLLASRRKLIALSSFCFCLHSSRYFSALALGDPSVKIDDVTPKIFPSGPLFPTEKRIAQLFETNTYSVVNIFDATLRPQLNVTGVVEIPEGNGSGVVWDDSGHIVTNYHVVGNALSKKPKPGEVVARVNILAADGIQKNFEGKLVGADRSKDLAVLKVDAPTDLLKPINVGQTSALRVGQQCLAIGNPFGFDHALTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKIAPQLIQFGKVRRAGLNVEFAPDPIAYQLNVRTGSLILQVPGGSAAAKAGLVPTSRGFAGNIVLGDVIVAVDGKPIKGKSDLSRVLDDYGVGDKVSLTIQRGAETLEVTLPLEEASI >ORGLA04G0119000.1 pep chromosome:AGI1.1:4:15413678:15417155:-1 gene:ORGLA04G0119000 transcript:ORGLA04G0119000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIAAALLRRSTSSHNHRRILLLPLLSHLQRAAPRSPSPWNPPPHHRFFFSSDVTAEGDSKPRPPLDGKQLWREVSTSDARHRRVAPPKATWDAVVALLRRFGKDPAMSDQALALYIPASAFPTYARRFRHFLPARLSLESAEHLLSLPADDAHALLLPTFAEFCVTHLADELRKHESVMAAADLTAPHAWYPFARAMRRRVVYHCGPTNSGKTHNALTRFAAAKSGVYCSPLRLLAMEVFDKVNALGVYCSLRTGQEIKEVPFSNHVACTIEMLSTEEPYEVAVVDEIQMMADPVRGYAWTRAVLGLKADEIHLCGDPSVLKIVRKICADTGDDLEVHQYERFKPLVVEAKTLLGDLKNVRSGDCIVAFSRREIFEVKLAIEKFTKHKCCVIYGALPPETRRQQAKLFNEQDNEYDVLVASDAVGMGLNLNIRRVVFYSLAKYNGDRMVPVAASQVKQIAGRAGRRGSIYPDGLTTTFLLDDLDYLIQCLQQPFEEAKKVGLFPCFEQVESFASQFPDLTFNELLDKFRENCRVDSTYFMCHQESIKKVANMLERIQGLSLKDRYNFCFAPVNIRDPKAMYHLLRFATNYSQSRRVSIAMGMPKGSAKNDTELLDLETKHQVLSMYLWLSHHFEEDHFPHVQKAEEMSINIADLLAKSLAKASWKPTSRQQAKPRRENEEDNDVEQASDDNAKNDSEDGYERSISRIKPFMRKRLDRPSQDPSSLNFVA >ORGLA04G0118900.1 pep chromosome:AGI1.1:4:15408823:15412056:-1 gene:ORGLA04G0118900 transcript:ORGLA04G0118900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEQKGKKPRTDGAEAEPFDAALLQSIEKLQEIQDEIEKVNEEACDKVLELEQKYNEVRRPVYVRRNEIIKQIPNFWLTAFLSHPMLGELLTEDDQKIFKHLESIDVDDSEDIKSGYSITLTFSPNPYFEDTKLTKTYSFSDDEAVKVKATSIRWKKGMDIANDRAYTKKGDKRILIDESFFTWFNSEKNRSFAHGAMDEVADVIKEDLWPNPLKYFNNEFEEELELLDDDDEVSDDDDEEDDEDQGEGEEDGEEN >ORGLA04G0118800.1 pep chromosome:AGI1.1:4:15405105:15407409:-1 gene:ORGLA04G0118800 transcript:ORGLA04G0118800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT1G69680) TAIR;Acc:AT1G69680] MSGERCAGRPLFGGAISSTFPVRFQDASNIRQVPDHQEVFVDPARDESLIFELLDLKGEVEDGGSALWFLRDIANEQDAGDNLVVEHSGTIELGGLRFGDAPAVAGTAVGQLAISKGRQGREAQNIVRLYLANIRLKNAATDVVITAYEPLLINPLSESASAVAAGPAVPAEQAGCLAMSEIFKLAVMNFNVHDWNLFNGSS >ORGLA04G0118700.1 pep chromosome:AGI1.1:4:15402551:15404056:1 gene:ORGLA04G0118700 transcript:ORGLA04G0118700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PLW8] MASPMLSAATVPLQVSLGGGLSEFSGLRNSSSLPLRRNATSDDFMNAVSFRTHAFVLRRAQVGTSGGARRAPTEAKLKVAINGFGRIGRNFLRCWHGRGDSSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDNAISVDGKVIKVVSDRNPSNLPWGELGIDLVIEGTGVFVDRDGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADQYSPDEPIISNASCTTNCLAPFVKILDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTLAEEVNQAFRDSAANELKGILEVCDVPLVSVDFRCSDVSCTIDASLSMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANQWK >ORGLA04G0118600.1 pep chromosome:AGI1.1:4:15394887:15395096:1 gene:ORGLA04G0118600 transcript:ORGLA04G0118600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCSGGGEAEAVEVAGARQQGRRGGGGRRGLAAASSRRRRLRPTGLGSGDGDGGGDFKDDDAGDSGSLYL >ORGLA04G0118500.1 pep chromosome:AGI1.1:4:15379869:15385981:-1 gene:ORGLA04G0118500 transcript:ORGLA04G0118500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESGSCGGGGGGGGGCEAVKKRVDQSVAFHELFGFADPLDWLLMAAGSAGAVVHGAAMPVFFLLFGELINGFGKNQHSLRRMTDEVSKAQIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDPADGRCLDEVHGNIEFKEVAFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAAATSANAHSFIALLPNGYNTQVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSENIVQEALDRLMVGRTTVVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQEMARNRDFRGPSTRKSRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPKGYFFKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYFRDPNAMERKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAAILRNDVGWFDQEENNSSLVAARLSTDAADVKSAIAERISVILQNMTSLLVSFVVGFIIEWRVAVLILVTFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKVLSLFCTELRVPQMHSLRRSQISGALFGLSQLSLYASEALILWYGAHLVRHHVSTFSKVIKVFVVLVITANTVAETVSLAPEIVRGGESIRSVFAILNYRTRIDPDEPETEPVESVRGDIDFRHVDFAYPSRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPLAGKVMIDGKDIRRLNVRSLRLKIGLVQQEPVLFATSIFENIAYGKDGATEEEVIEAAKVANMHGFVSALPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVQDGRVVEQGSHGELVSRPDGAYSRLLQLQLHHG >ORGLA04G0118400.1 pep chromosome:AGI1.1:4:15375297:15377003:-1 gene:ORGLA04G0118400 transcript:ORGLA04G0118400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1PLW5] MAQKFLLGGVGAILVVAVVVGVVATVTRSGNKAGDNFTVPGEANLATSGKSVESLCAPTLYKESCEKTLTTATSGTENPKEVFSTVAKSALESIKSAVEKSKAIGEAKTSDSMTESAREDCKALLEDSVDDLRGMVEMAGGDVKVLFSRSDDLEHWLTGVMTFMDTCADGFADEKLKADMHSVLRNASELSSNALAITNTLGAIFKKLDLDMFKGENPIHRSLIAEQETVGGFPSWMKAPDRKLLASGDRNRPQPNAVVAQDGSGQFKTIQEAVNSMPKGHQGRYVIYVKAGLYDEIVMVPKDKVNIFMYGDGPKRSRVTGRKSFADGITTMKTATFSVEAAGFICKNMGFHNTAGAERHQAVALRINGDLGAFYNCRFDAFQDTLYVHARRQFFRNCVISGTIDFIFGNSAAVFQNCLIITRRPMDNQQNSVTAHGRTDPNMKSGLVIQNCRLVPDQKLFPDRFKIPSYLGRPWKEYSRLVIMESTIADFIKPEGYMPWNGEFALNTLYYAEFNNRGPGAGTSKRVNWKGFRVIGQKEAEQFTAGPFVDGGTWLKFTGTPHFLGFKV >ORGLA04G0118300.1 pep chromosome:AGI1.1:4:15373285:15374585:-1 gene:ORGLA04G0118300 transcript:ORGLA04G0118300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQRQDSFDDLINLADDVVVEAPTLSSEEMDRARREALEILRNNSPEEAFRIFTQGLIGQVVQSPVVGNATTPPTTNQAVTVSVPPKAGDGEPKTAPRPPNN >ORGLA04G0118200.1 pep chromosome:AGI1.1:4:15370624:15372826:1 gene:ORGLA04G0118200 transcript:ORGLA04G0118200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSPHPFTARRRRLAFSLSSRRSPPVCPLRRRRQEDPRTDERWNARLALRHPRRGALLLSCPAPSPSAAVPSSSGAGLSVPPPPALRPSLRQGQGGNRPSLVTNLAARG >ORGLA04G0118100.1 pep chromosome:AGI1.1:4:15367193:15369989:1 gene:ORGLA04G0118100 transcript:ORGLA04G0118100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase [Source:UniProtKB/TrEMBL;Acc:I1PLW2] MAGARLSLAFLCLVVVVVASALAGGAGARKTVGEYVLRKGDFSVKITNWGATMMSVVLPDSKGNLADVVLGLDTIAEYVNDTNYFGPVTGRVGQRIARGRFVLDGKVYHTYINDGRNAIHGGHRGFSKVIWTVKEYVGGGDSPYITLYYRSFDGEQGFPGDLDAYVTYQLSSPYVLALRMNATALNKATPVNFLQHTYWNLGGQGRGDVLGHTLQLSASRYTPLDEELLPSSGVVAPVAGTPYDFRHPTPIGARIRQVMGGRIAGYDINYVIDGEGMRKVAAARDGASGRALELWANQPAMQLYTGNWLNNTKGKGGKVYQQYGGFCLETQGYVDAVNHPEFPSMTVRPGQVYKHDMAFKFSF >ORGLA04G0118000.1 pep chromosome:AGI1.1:4:15358496:15363064:1 gene:ORGLA04G0118000 transcript:ORGLA04G0118000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase [Source:UniProtKB/TrEMBL;Acc:I1PLW1] MARPAPLLLLLAAVCLVAAASAGGADAAERKATTVGVYELRKGDFSIRVTNWGAVIMSVVLPDSRGKLDDVVLGYDTIAEYVNSSTYFGALVGRVANRIAKARFVLDGKAYHLYPNDGKNTLHGGHRGFSNVTWTVKEHVGGGDAPYITLYYHSFDGEQGFPGALDVYVTYQLSGPYVLSVHMNATAAGKATPVNLAQHSYWNLGGAGSGDILGNTVQLFASRYTPVDAELIPTGQVAPVAGTPYDLRAPTPVGARVHLVMGGLSKTGATIYGFDTNYAVDGGDDVDAHAHALRRVAVVQDGKSGRSLELWANQPGVQFYTGNFLTADVKGKGGKAYGQYGALCLETQGFPDAVNHPNFPSVIVRPGQVYKHEMMYKFSF >ORGLA04G0117900.1 pep chromosome:AGI1.1:4:15350832:15356647:1 gene:ORGLA04G0117900 transcript:ORGLA04G0117900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRKGDNQGGREVVKKASTSTSSQALSMVMEGEGNEAAGSGALISGLRGSEVVMLIVGVVLRTSQPIISSLFFSYSVIQLSFALTPLSYCQAVMDNSFVDIPNQPPMNNPFVLMEPSAQSYMVNLGKSTPHMDCLGSAMANYGHGNQNIQCIDSITTRDDGCRLVLGLGPTPNFYSAECQPTGVDKLKEAPSLSGQGLTITDPGTLRLGLQMDVSQTIQPLQAPDGTVHSFTVVDEASASPSVRSIGGYMPSLLFAPRSGSSAVNETHEAETQDSLDLTHSDNDNTQHVQHHLQLSPEPSAMTDTSFGVSSDVVTATTTSEQRNHPRHPKKCRFKGCSKGARGASGLCIAHGGGQRCHKPGCHKGAESSTAYCKAHGGGRRCEELGCTKSAEGKTDYCIAHGGGRRCEHDGCPKAARGKSGRCIKHGGGKRCTVQGCIRSAEGKAGLCISHGGGRRCQFPDCSKGAQGSTLYCKGHGGGKRCIFDGCSKGAEGSTPLCKAHGGGKRCMFEGGGVCPKSVHGGTEYCVAHGGGKRCSVAGCTKSARGRTDCCVKHGGGKRCKVDNCSKSAQGSTDFCKAHGGGKRCTWGTGCEKFARGRSGLCAAHGTLAAKQQERNAANNGAGMIPPGLFSGIVTLAATSSMTNEYSSSGISTASDCDGTVRSQAMMIPPQVLVPRSMMPSSSSEPTVHGGREGGCAVPEGRVHGGGLLSLLGGSFRNADMDKL >ORGLA04G0117800.1 pep chromosome:AGI1.1:4:15347729:15348233:1 gene:ORGLA04G0117800 transcript:ORGLA04G0117800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PWGRRLGRVNATREWEAACGMSTSGGHGESGAVRGTDGGERIARMSRAEPRLRMNSAGFSGSACGSNQEK >ORGLA04G0117700.1 pep chromosome:AGI1.1:4:15344614:15345518:1 gene:ORGLA04G0117700 transcript:ORGLA04G0117700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSNEKDACQPWVKVYRVVHVETGSTTEQVAPGEAERRADEITGNGFGINLVIHDSMQLPATSTPTCGATPTSEAEAAKRREDVIYRSNYPGHFGGQ >ORGLA04G0117600.1 pep chromosome:AGI1.1:4:15333305:15337970:-1 gene:ORGLA04G0117600 transcript:ORGLA04G0117600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARLLRRRRLCLAVAVVWVVAVAVSRVGANTEGDALYSLRQSLKDANNVLQSWDPTLVNPCTWFHVTCNPDNSVIRVDLGNAQLSGALVPQLGQLKNLQYLELYSNNISGTIPNELGNLTNLVSLDLYLNNFTGFIPETLGQLYKLRFLRLNNNSLSGSIPKSLTNITTLQVLDLSNNNLSGEVPSTGSFSLFTPISFANNKDLCGPGTTKPCPGAPPFSPPPPFNPPTPTVSQGDSKTGAIAGGVAAAAALLFAVPAIGFAWWRRRKPEEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERQPNDPPLEWQTRTRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKVEMLVDPDLQSGFVEHEVESLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEAELAPRHNDWIVDSTYNLRAMELSGPR >ORGLA04G0117500.1 pep chromosome:AGI1.1:4:15331673:15332059:1 gene:ORGLA04G0117500 transcript:ORGLA04G0117500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVPSFRSLCNAAGGQGSAGGLHNGGTAPSAAAANGSTTAAYDDSGAGGQTATFQVQQGAQPEEETTTEMGNAAEAATGSRLPDCTHACGPCSPCRRVMVSLRCAEAAESCPVAYRCMCRGRFFRVPTL >ORGLA04G0117400.1 pep chromosome:AGI1.1:4:15327887:15328450:1 gene:ORGLA04G0117400 transcript:ORGLA04G0117400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERGELRHQANNNLWHGLHRVGGGEPVGRRSLPLPKWEELMGRDWRYHIRSMSSFMDKDRDKNDHGRV >ORGLA04G0117300.1 pep chromosome:AGI1.1:4:15321315:15325901:-1 gene:ORGLA04G0117300 transcript:ORGLA04G0117300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARRLHKWAATARLVLLLLAAAAAAAAGRREVVTSPHGAVAADDGRCSRIGRDALRDGGNAVDAAVAASLCLGVVSPASSGVGGGAFMLVRLADGTALAYDSRETAPLAASQDMYGGNATLKARGALSIAVPGEIAGLYEAWKRHGKLPWKRLVMPAAKLARAFRVSPYLRKQMEATRDGILQNKGISGVYTSNGDILNVGDVCRNTRLARTLVAVAEKGPDVFYNGAVGDQLVKDIQEVGGIITMEDLKKYQVKIRRPLSENVLGLTVLSMPPPSAGGAGLMFVLNILTQYGLPAGFSGSLGIHRLIESLKHYFAIRMNLGDPEFVNVNEVVSDMMSPKFAADLKKTIYDNMTFDPKHYGGRWNILQDHGTSHLSIVDSERNAVSMTTTVNAYFGSLILSPSTGILLNNEMDDFSMPANTSANSPPPAPANFIRPLKRPLSSMTPTIILKDGNLKAAVGASGGSMIPAGTMEVLLNHFVKNMDPLSSVMAPRVYHQLIPNVVQYENWTTVTGDHFELDAATRADLQKKGHVLAPLAGGTISQLVVDDVERHGGLTAVSDPRKGGIPAGY >ORGLA04G0117200.1 pep chromosome:AGI1.1:4:15319668:15319913:-1 gene:ORGLA04G0117200 transcript:ORGLA04G0117200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAGDLVQAYVLKKACKEKMRAETNAGEAAAAAAAAMTGKKTAVGGGSSEKKTAPEVSKGERRGFSGLMMNKKKVHPK >ORGLA04G0117100.1 pep chromosome:AGI1.1:4:15315121:15317252:1 gene:ORGLA04G0117100 transcript:ORGLA04G0117100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1PLV2] MAFNEGMRSTVLITLRQLIATLFLAPIAYFRERKTRPKLTAEILVYLFFSAVLGASLTQWLFFLGLQYTTATFACAFINMTPIFTFIVALPYGLEKVDLKTGAGIAKVGGTVLGFSGAMILALYQGPSLTKLGPAAARSSSSSSSSSATAAVVGHGSGGGAHRWAIGSVALLGGSACWSLWFILQSRIAKKYPALYSGTALMFLLSFLQMAAVALAVDRISLSPWILTTKLQIITVLFVGIVGSGIAFLAMSWCVEQRGPVFTTAFTPLIQIIAAAINVIVLHEQLHLGIVIGSALVIMGLYFVLWGKSKEASSSSSSAAKEDVPPLRQQYGGHDQERTTNDVQMQTV >ORGLA04G0117000.1 pep chromosome:AGI1.1:4:15305344:15307489:-1 gene:ORGLA04G0117000 transcript:ORGLA04G0117000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFAAAGCAVVATARSRASMRGLEGDPRYLLLELDVRSDESARAAVADAVRELGRVDVLVNNAGVHLVAPLAEVPMDEFQQVFDTNVYGAMRLIHAVIPQMIEREQGTIVNVGSITALAPGPWAGVYSASKAALHALSDTLRLELKSFGVNVMIVAPGGTKSNLGSNSTSKYVQIRDWKYYKKFEESLRARTDASQGPGSTPAEDLAKRVVALVLKKNPPAWFAYGQFSAILSLLYYAPLWFRDYFYKIVMKC >ORGLA04G0116900.1 pep chromosome:AGI1.1:4:15298758:15299620:-1 gene:ORGLA04G0116900 transcript:ORGLA04G0116900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:light harvesting complex photosystem II subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G15820) TAIR;Acc:AT1G15820] MALASTSATASAAVLKTPFLGAKRALANAVGVAGAKPAPRRALVVSAKKSWIPAFKSDAEFINPPWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGVPWFEAGAQPEAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQAVEWATPWSRTAENFSNATGEQGYPGGKFFDPLGLGGETRDGVYIPDTDKLDRLKLAEIKHARLAMLAMLIFYFEAGQGKTPLGALGL >ORGLA04G0116800.1 pep chromosome:AGI1.1:4:15296539:15297882:1 gene:ORGLA04G0116800 transcript:ORGLA04G0116800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKGKAKAADAAAAAEAAPEQEEEFFSDSESGSESIEIADLKKRMWKDQMLLMKLEGRSGHEGALAAQDHRVVRGEEEAAAAAEEPPEARYRRKAMLRAQDGVLRHMLKMMEACNARGFVYGIVDESGVPVSGSSDSLRGWWKDDVAFDRAGPTALSGRGGRGSPRSPAAAAAAAASFLHGLLDIQDSTLGSLLSALIQHCEPPQRSFPLDRGLPPPWWPTGGEAWWGLQGEAQASQGPPPYRKPHDLKKAWKISLLSAVIKHLSPRFDQIRKLVWQSKRLQHKMSARDADTWSRVITQEEALSRHALSSLHITPLDDDDDEPNEGPTPRESHADKRKREVGGGGGEEMQLSLPADIDVVPEVDRSSIDEVMKLYYSCLQGTDTDGGGGEQGKDVAAGACGDGSVAPETVHVDDDDMLEGLLGVAQVVDMSDFPDSPIWHWGSSSD >ORGLA04G0116700.1 pep chromosome:AGI1.1:4:15288796:15289474:1 gene:ORGLA04G0116700 transcript:ORGLA04G0116700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSCLLIVSFAVVAALAATDDDAAAAAEGITVAEASSDPENKCVYTIYVRTGTIWKGGTDSVIGVTLLGADGSGVRIRDLERWGGLMGDGHDYYERGNLDIFSGRGPCMRQAPCRMNLTSDGTGPHHGWYCNYLEATVTGPHLGCAQQLFTVEQWLATDASPYRLYAVVDNCNKAKDAAADDDDADEPRVTVL >ORGLA04G0116600.1 pep chromosome:AGI1.1:4:15282831:15283472:1 gene:ORGLA04G0116600 transcript:ORGLA04G0116600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKLLCFAALISMAALAAGARSSDPEDTGALLLPGSTGSNQCVYTLYVETGSIWKAGTDAAIGVELYTAAGNGILIRNLQAWGGLMAAGHDYFERSNVDIFSGRGPCLGAPVCRMKLTSNGAGEHHGWFCKSVEVTVAGPHARCSRAAFDVQQWLATDAPPYQLYAERSVCGKISTAAAAAELES >ORGLA04G0116500.1 pep chromosome:AGI1.1:4:15278466:15278732:1 gene:ORGLA04G0116500 transcript:ORGLA04G0116500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRRYSPHANAFGPCATASNLHAAAPTTAAPPPWTAAADRAAWIGSRHFPHFIFIFFLFTVNARVYFGLRAIWSFLNCNGVKSTVD >ORGLA04G0116400.1 pep chromosome:AGI1.1:4:15270517:15275124:-1 gene:ORGLA04G0116400 transcript:ORGLA04G0116400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter protein [Source:Projected from Arabidopsis thaliana (AT1G22200) TAIR;Acc:AT1G22200] MEGLLSKLRSLDAYPKVNEDFYSRTLSGGIITLASSVVMLLLFVSELRLYLHAVTETTLRVDTSRGETLRINFDVTFPALQCSIISLDAMDISGQEHLDVKHDIFKQRIDVHGNVIATKQDAVGGMKVEQPLQRHGGRLEHNETYCGSCYGAEESDEQCCNSCEDVREAYRKKGWGVSNPDLIDQCKREGFLQSIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQKANVHVHDLLPFQKDSFNVSHKINKLSFGQRFPGVVNPLDGAQWMQHSSYGMYQYFIKVVPTVYTDINEHIILSNQFSVTEHFRSSESGRIQAVPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAIKKKMEIGKFN >ORGLA04G0116300.1 pep chromosome:AGI1.1:4:15265856:15268716:-1 gene:ORGLA04G0116300 transcript:ORGLA04G0116300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lipoic acid synthase 1 [Source:Projected from Arabidopsis thaliana (AT2G20860) TAIR;Acc:AT2G20860] MHGRRHLAASLARALTYAPSRSISSTPSLLQTLDPSTPSPAAAPPTAGRLAELRQRLQADAPSLGDFTYSVEVGTRKKPLPKPKWMKETIPGGAKYAGIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQKLKVLKPEMLIEALVPDFRGDPACVEKVATSGLHVFAHNIETVEELQRNVRDHRANFKQSIDVLKLAKEYAPAGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYVTPEAFERYRSLGVDMGFRYVASGPMVRSSYKAGEFYIKAMIEADRAKATTAI >ORGLA04G0116200.1 pep chromosome:AGI1.1:4:15263501:15264181:-1 gene:ORGLA04G0116200 transcript:ORGLA04G0116200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWATEQPGVELEVKVVRVAGVEARPEGGGGGGGGGLFVRYYVPAGDGKRRIRVDTREVPCGGGDGEEDGAFWGELARFERRGGGAPASVGGVVFELRWRPRRRSPAFLGMLGNGRPSSRVLARGELACQDDAAAAVTPAPASSGSTWLRLSPACRELSGCKAPKLLVEVRMIHAADNYGAVKATRSLGGVNHHYCCSDGERCAQCGWIGTEEDMFLAATFTHE >ORGLA04G0116100.1 pep chromosome:AGI1.1:4:15258165:15261482:-1 gene:ORGLA04G0116100 transcript:ORGLA04G0116100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRKLHTSTADLLTWSATGPDAAAAAASPAASSRPSLKPVGGITPAMFGAPVSEKEAEDLSKSERKFCSGSKLKEMTGSGIFAENSENDDSEASNPANKTSVRMYQQTVTGISQISFSADGSVSPKKPSSLPEVAKQRELSGTLETDAEAKMNKQHSEAKSKELSGSDIFGPPPEIPARPLAARNMELQGNLDFALPQPRSVHTSVKVSNPAGGPSNITFSEEPVVKTSKKIHNQKFQELTGNNIFKEDATPASAEKSLSSAKLKEMSGSDIFADGAAAPRDYLGGVRKPPGGESSIALI >ORGLA04G0116000.1 pep chromosome:AGI1.1:4:15253189:15253578:1 gene:ORGLA04G0116000 transcript:ORGLA04G0116000.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAASRRFAMPQPASSGSPSRRFGFPDSVLLDTVVHADGCGNDATTARAETSDGLPVEVSFVVADPPAFTRCVVRCSGLTAGEFLKEPPCIIGADGAFLLIRVIFPRRLERRCFTDFFVYRSGPGTPLLEL >ORGLA04G0115900.1 pep chromosome:AGI1.1:4:15251734:15251964:-1 gene:ORGLA04G0115900 transcript:ORGLA04G0115900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAWSWGPLGWVIPGEIFPVDIRSAGQAMNVSIGLGLTFVQTQSFLAMLCRFRYGTFAYYAAWVAVMTAFIAVFLLES >ORGLA04G0115800.1 pep chromosome:AGI1.1:4:15239669:15244658:-1 gene:ORGLA04G0115800 transcript:ORGLA04G0115800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGPARGWLPALARRIASVTGTLNPPASRVSRFPGSRNMASGSGVEGEGLGIPYRRRFIPFANDPIHTTKDNIISMDKHFPITQGKDSAATKEFISSYGHCRPTTYGDEDASRTAVEVTGGKQDPRGAVQNLIDGEVAVQELIDGEEIARNQRASEVSDNDGYEEFNDRAVNYHCDCHVWTPLIASSHRDGSIYDTRGTFGSGWKWDYRIADRNETRLEAMMLSHPTKDCDMRDGTCTWHLANRMLQIFSVKLAKTPVVDGSIELYGYIAARDLQDPLLNYIVKIGRDDPIIVEQGSLIEMTGPKRGIDFSCAVLVEYDMRIKTGEREEDDLQLIDGATDLDHILTSHVPVRNRIYGDCGAVDITQANLFYAFEATVEVVISEVQTSFDLCLSCFTSGLHEEIRLFDGAISESRDLRRYVIAVMEHECMDLKFKVGLGSGCFAEHCRSFKATNHGCASEQIKIEFASISVKVTWSAMEF >ORGLA04G0115700.1 pep chromosome:AGI1.1:4:15234392:15237438:1 gene:ORGLA04G0115700 transcript:ORGLA04G0115700.1 gene_biotype:protein_coding transcript_biotype:protein_coding APTSXLGSPSRRFDFPDSVLLDTVAHADGCGDDATTARAETSDGLPIEVSFVAADPPAFTRCVVRCSGLTAGEFSKGPPFIIGADGAFLVILVIFPCRSDRRCFTDFFVYRSGPGTPLLELLRRPYPVKHLSDHLGILSCGEHFLVVNPKWQFHADGQVRCDLHVFSSKTTSWESKVARLPCGVEAYIGDFIPTKVLSVEGRSIAWVDLWNGILLFDSVTSDPEVRLIQLPPLMPINGRYLRGGGLDDTYISVDPNRDITCSNGWFRFIEMGFPLLDGSTGQLNFRWQATMFKRLVRPEECQWEPCGTETDSAELVCADSCSLDLLPVIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSHLTKVLDKHTNREMIELLDTNLLAALEQLQNIETHIESLKRRYNWSMPLVSSNSASSLDPKIRYEADSSNSDSGSHAVSEYLRLMGTLPSDVLDKYFVERTSFSGPERTKDAAVQSQGSLRLL >ORGLA04G0115600.1 pep chromosome:AGI1.1:4:15231893:15232990:1 gene:ORGLA04G0115600 transcript:ORGLA04G0115600.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAAPVYLAETAPAKWRGAFTTGFQLFLGIGNLTANLTNYGAARIPRWGWRLSLGLAAAPASVILVGALLISDTPSSLLVRGREEQARAALRRVRGAKADVDAELEGVARAVEAARANEEGAYRRILWRQHRPHLVMAVAVPLLQQLTGVIVIAFFSPVLFQTAGFGSNASLMGAVILGAVNLGSTLVSIATVDRYGRRVLFLTGGLVMIACQVAVAWIMGSQIGRDGESAMARRYSVAVLALTCVFSAAFGWSWGPLTWVIPGEIFPVEIRSAGQGISVAVNLGATFVLTQTFLAMLCSFKYATFLYYAAWVAVMTAFVWAFLPETKGVPLEAMGAVWARHWYWRRFVQPPPAAKDAMLPEVLVN >ORGLA04G0115500.1 pep chromosome:AGI1.1:4:15229715:15230269:1 gene:ORGLA04G0115500 transcript:ORGLA04G0115500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFPVAGGAPPGDYAGGITFSVVVTCLMAASGGLIFGYDIGISGGVTAMESFLAAFFPGVLRRMAAARRDEYCVYDSHVLTAFTSSLYLAGLAASLAAGRVTRAVGRQAVMLAGGALFFAGAAVNAAAVNIAMLIVGRMLLGFGIGFTNQ >ORGLA04G0115400.1 pep chromosome:AGI1.1:4:15216371:15217276:-1 gene:ORGLA04G0115400 transcript:ORGLA04G0115400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRTTLVKSVLTAQPIYLLTALKITKESLEQLDKQRHRFLWAGTGDITGGKCKVNWTKTCMPTSQGGLGVLNLDKFTRALRLRWLWHEWKDQTKPWVGLETPCDEIDRNLFAASTNITIGDGNTVRFWESAWIGGRRPKDLMPLVYTISKNRGKSLRQGKEEDAWVQDLKLDSQSSITVDLVEQLVALWEAVRNVHLDVGEPDQITWKFTNNGHYTASSAYHAQRCGAPSTNFNSLIWKAWAPGKYKFHAWLIIQNRVWTSDRLATRGWQNNGCCPLCRRETETALHLIATCRYTKRI >ORGLA04G0115300.1 pep chromosome:AGI1.1:4:15201989:15206774:-1 gene:ORGLA04G0115300 transcript:ORGLA04G0115300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGSLLNSGGMADYGGGGGSGGGLTFPVVVTCLMAASGGLIFGYDIGISGGVSEMESFLEKFFPGLLKGTAHASKDVYCIYNSQALTAFTSSLYAFGMVGTLVASRVTRRTGRQAVMLIGGSMFLVGALVNAAAVNIAMLIIGRMLLGLGLGFSGQATPVYLAEMSPPRWRGGFISGFPLFISVGYLIANLINYGTSRIPVWGWRLSLGLAAFPAAVMVAGAAFIPDTPSSLVLRGKHDLARAALQRVRGKGVDVDAEFNDILAAVEHDRRNDEGAFRRILRREYRPYLVMAIAFPVFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGLMNIFGIVGSGFAMDRYGRRLLFMIGGALMFTCQVAMASIVGSQLGHGSKMAKGYAVTVLVMTCAFSASFSWSWGALYWAIPGEIYPVEVRSAGQGVAVALNLGLNFVQAQCFLAMLCCFKYGTFLFYASWLVVMTAFAVAFVPETKGVPLESMGHVFARHWYWGRFVKDHKFGDVST >ORGLA04G0115200.1 pep chromosome:AGI1.1:4:15200040:15201759:1 gene:ORGLA04G0115200 transcript:ORGLA04G0115200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLSKFFPEVLRGMKSARRDAYCKYDNQWLTAFSSSLFIAGTLSSLVASRVARAVGRQAIMLLGGAMFLTGSIINAAAVNIAMLIIGRMLLGFGLGFTLQSAPVYLSETAPARWRGAFTSAYNAFVVIGILSATITNYFTNRIPGWGWRVSLGLAAVPGTIIVAGSLFIPDTPSSLVLRGHHDRARAALQRIRGAGADVDAELKDIVRAVDEARQNEAGAFRRLFSRRYRHCLAVGLGIPVFYEFTGMIVISIFSPVLFRTVGFNSQKAILGSVINSMTNLASTLLSTSVMDRTGRRPLFIVGGVGMMLCEVAISWIMADHLGKHQGVTMPRSYATGVLVLICLCTFSFGLSWAPLRWVVPSEIYPVEVRSAGQALSISVALCLSFVELQVFIALLCAMKYGVFLFYAGWLLTMTIFVAAFLPETKGMPIEAMRSVWERHWYWKRFVNDGDHHDGRVVADEGTD >ORGLA04G0115100.1 pep chromosome:AGI1.1:4:15196385:15196749:1 gene:ORGLA04G0115100 transcript:ORGLA04G0115100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFAAAGGGSARDYGGGITFSVVVTSLMAASCGLIFGYDSGVTAGVRN >ORGLA04G0115000.1 pep chromosome:AGI1.1:4:15188278:15193499:1 gene:ORGLA04G0115000 transcript:ORGLA04G0115000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTEAARDYGGGVTASVVLTCLIAASCGLIFGYDIGVTGGVTQMQSFLTKFFPEVVKGMRGAKRDAYCRYDNQVLTAFTSSLYIAGAVASLVASRVTRMVGRQAIMLTGGALFLAGSAFNAGAVNIAMLIIGRILLGVGVGFTTQAAPLYLAETAPARWRGAFTAAYHIFLVIGTVAATAANYFTDRIPGWGWRVSLGLAAVPATVIVVGALFVPDTPASLVLRGHTEKARASLQRVRGADADVDAEFKDIIRAVEEARRNDEGAFRRLRGRGYRHYLVMVVAIPTFFDLTGMVVIAVFSPVLFRTLGFNSQRAILASIVLTLVNLCAVVVSSFTVDRVGRRFLFLAGGTAMLLCQVAVAWILAEHLGRSHAAATMAKSYAAGVVALMCVYTASLGLSWGPLKWVVPSEIYPVEVRSAGQALGLSVSLTLSFAQTQVFMSMLCAMKYAIFLFYAGWVLAMTAFIALFLPETKGVPLEAMRAVWAKHWYWKRFAMDAKLDAQVNCL >ORGLA04G0114900.1 pep chromosome:AGI1.1:4:15178648:15181076:1 gene:ORGLA04G0114900 transcript:ORGLA04G0114900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIVANDGDGSAVDHGGRLTFSVVITCLVAASGGLIFGYDVGISGGVSTMEPFLRRFFPGVVRRMAEARPGNEYCVYDSQALTAFTSSLYVAGLVASLVASRVTRAMGRQAVMVMGGALFFAGGAVTGFAVNITMLIVGRMLLGFGVGFTNQAAPLFLAEMAPTRWRGSLTAGFQFFLAVGVVIATVTNYFASRVPWGWRLSLGLAGAPAVVIFLGALFLTDTPSSLVMRGDTARARAALLRVRGAGADVEAELKGIVRAVEVARQGEDGAFRRMAARREYRPYLVFAVAMPMFFQLTGVIVISFFSPLVFRTVGFGSNAALMGNVILGAVNLVCLMLSTLVIDRYGRKVLFMVGGAIMIIAQVGVAWIMGAQVGKNGSEAMARPYAVAVVAFTCLHTAGFGWSWGPLGWVIPGEIFPVDIRSAGQAMNVSIGLGLTFVQTQSFLAMLCRFRYGTFAYYAAWVAVMTVFIAVFLPETKGVPLESMATVWARHWYWKRFAREQPKTSADEPTGTY >ORGLA04G0114800.1 pep chromosome:AGI1.1:4:15176234:15176476:-1 gene:ORGLA04G0114800 transcript:ORGLA04G0114800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPLFPNAPAPPPPHQPLPPTGCTGAATLLSTSDAGPTLSPPTPLTRSSAVAAAGLPRQPSPPPSYAGAAHWAAPRRLLL >ORGLA04G0114700.1 pep chromosome:AGI1.1:4:15174579:15176021:-1 gene:ORGLA04G0114700 transcript:ORGLA04G0114700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRTGSSSASASASSHPSGSPAPNSATDLSRGTSGPARASNLLNACRVIPPNDNENKPLWRYVELMEKTGKGQGGNVRFRCRLCGNIMHGSYSRVKAHLLKVGSNGVAPCPKVTIDVLSQLHDEMARAVVVAERNLPKDIPLPAEGASRGKRRAVSAIESSFNSDTRSNLDALIARMFYTAGIPFNVARNPYFRKAFMFACNNALGGYSPPSYNKLRTTLLVQEKTHVERLLNPLKSTWPVKGVSIVSDGWSDAQRRPLLNFLAVTEDGPMFLRAINTEGEIKRKEYIAEKMIAVIEDVGPKNVVQVITDNAANCRAAGLIVEQRYSHIFWTPCVVHTLNLALKNICAAKSSSGDAYEEFQWITEVAADASFIKNFIMNHSMRLSMFNEFRKTIKFKHSKSKRRF >ORGLA04G0114600.1 pep chromosome:AGI1.1:4:15170841:15172573:1 gene:ORGLA04G0114600 transcript:ORGLA04G0114600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLDAGGAVPAAAYSGELTLSVLVTCLVAASGGLIFGYDIGISGGVSQMKPFLATFFPKVLMRMADAKRDQYCVFDSHALTAFTSSLYVAGLVASLAAGRVTRWLGRRGVMLMGGALFFAGGAMTGGAVNVAMLIVGRMLLGFGVGFTNQAAPLYLAEMAPPRFRGSLTVGFQFFLSLGILIANLTNYGTARVPWGWRLSLGLAGAPAVFIVVGAFFLTDTPSSFVMRGKVDRARAALLRVRGHRADVDAELKAIVHAVEAARGSEDVGAFRRLVTWREYRPHLTFALALPLCHQLSGMMVLTFFSPLVFRVAGFGSNAALMGAVILAGVKFASLILSTLVIDRYGRKVLVIAGAALMIVCQNYCCFVIKVANAWIMGAKSGKHGEVAMPRAYSVALLVLTCVQGAGFGMSWAPLIWVIPGEIFPVEVRSAGQAVSVSVTLGLTFVQTQTFLALLCRLKYATFAYYAGWVAAMTAFVLVFMPETKGVPLESMGAVWAGHWYWRRFVGGGDGKPEQRR >ORGLA04G0114500.1 pep chromosome:AGI1.1:4:15160192:15168527:1 gene:ORGLA04G0114500 transcript:ORGLA04G0114500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGLSGLRGLEGFRSLAGSTSTAAKAPNPKPSSDIGGSTYGSFANLKITAEKLVKEQASVKTDLEMTVYFSAFTIIYGNFTGWEAKLQQAVNENAKLKVKQTEDSKLWQGLDSKVSSTKTLCNQLTETLQQLASQTERAEEDKKFFEEILGKNSKALEEFNCLLHDSSIKLECAEQMIISGKQEMLRIKQEKEEMDQSYKEQLYASDTTIREKNSLIKQLEDSIEQNKARLLYVDSRLECMEQELKLKEDVCICLKENLASTESEKNDLKLRNEGYTLEVQKLSKDNKELNELLSGFTVKVTELDKEHTSISSHVTQLISSFERYDGKVHEEKMLMIKSAKDKFEHLQNQYVNLISENNALQTEIEELKSRIIELQKTQEIVMVQHVEECQVAEDKIRRLESEAEISASNISQLEKVASDLEGRVQKLLEDSRSAENHKQELLQKILKLESDNQELLGRVQSVLNEKSNDTESLQGEIAKRDQQVETLENQVNQLCSIIDEKEQLHTCAVEREKNLEEQKLQVQASLAATESQLTEAKKQYDIMLEGKKIELSKHLKELSLKNDQAINEIRRKYELEKVEIINIEKEKAEKLIKEMENKCNEKISENRQDSESSFEMVARIQQDNEHKESTLRAYHKEELQRIQSQAENELRERLSSLRKDHEIQMKSLTKKHEENCQKLQDELELQKSKEEKQRALLQLQWKVMGETQQVDQEVNSKKEYSVSSIKRRDPYIRKEHQLQLVSPETKRKDVNLSGIIQSPITNMLRKVEKGTQDIPKHRKVTHHEYEVETANGRITKRRKTKSTVMFGEPNTQKSLHDTADKDPTKMKKVVAGSHPHPANIGELFSEGSLNPYAEDPYAFG >ORGLA04G0114400.1 pep chromosome:AGI1.1:4:15144612:15150306:-1 gene:ORGLA04G0114400 transcript:ORGLA04G0114400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCIPFREKLLEYYANNKTPGDAEENLLTCLADLFMQVSQSKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAAKDSPQSSSPEKVPNGPVQPLANGVRKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFFDLSVDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEESTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS >ORGLA04G0114300.1 pep chromosome:AGI1.1:4:15139703:15143542:-1 gene:ORGLA04G0114300 transcript:ORGLA04G0114300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLRDLSSLVSSMASGPPRGWLPTLARRAASISRAALNPPVRHVSRFPCGSRNMASGNAVKSEQLGKSDERQLTPSPNDPIHTTNGIINSMDKHFPITRGMDNEENGAATEFIARYGDGRPANVEENGRKIVNAKYSDEVFCRTTVKVAGVKQDPRGAIKELTDGEEDARNQSASEADDDDDDDEYEEFNDRLAKVFGVDGSMELYGYIAARDLRDPLLNYIVNIGRDNPIIVEQGSIIEMTGPKRGIDLSRAVLVEYDMRIKTGERDENDLQLIDGVSCVNEILTSSNPVINRIHGDYGAVDITRACLDYAFEATADVVISEVQTGFNLCVGCFTSGLHEEIQLFDGVIGESRGLRRHVVAVM >ORGLA04G0114200.1 pep chromosome:AGI1.1:4:15132506:15136390:-1 gene:ORGLA04G0114200 transcript:ORGLA04G0114200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGARVWRGESGTAAARGKRAAAEVALAAVIARRGNGSSNGSVRPEAAASGGRETREEGGDVGRTRERHGVGAGGAEESGSKIVGDVMYGDENVEELTDGEEDARDQSASEGLRGENLAPLPVLATATPSNAIHLLEGVAFGALISTPLQGNSPGENLRFVRIGRCRRSVGITFLKDSF >ORGLA04G0114100.1 pep chromosome:AGI1.1:4:15127101:15131478:1 gene:ORGLA04G0114100 transcript:ORGLA04G0114100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSSMGGGGGGDAGGRTVVWFRRDLRVEDNPALAAAARAGGEVVPAYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGAGKLVTRRSADAVVALLQLVRDTGATRLFFNHLYDPISLVRDHRLKEMMAAEGIIVQSFNADLLYEPWEVVDDEGQSFTMFAPFWNRCLSMPYDPAAPLLPPKRINSGDLSMCPSDDLIFEDDSERGSNALLARAWSPGWQNADKALTAFLNGPLIHYSVNRKKADSASTSLLSPYLHFGELSVRKVFHLVRMKQLVWSNEGNRAAEESCTLFLRSIGLREYSRYLSFNHPCSHEKPLLAHLRFFPWVINECYFKIWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPASVLQAAGVELGSNYPLPIVGLDAANARLQEALSEMWQLEAASRAAMDNGMEEGLGDSSEVPPIEFPRELQMEVDREPAQVTANVLTTARRREDQMVPTMTSSLNRAETEISADFMNSVDSRAEVPTRVNFEPRTEREENFRTTAGNVARTNGIHEHNNFQQPQHRMRNVLAPSVSEASSGWTGREGGVVPVWSPPAASDHSETFASDEADISSRSYLDRHPQSHRLMNWSQLSQSLTTGREVENSMQPNWIG >ORGLA04G0114000.1 pep chromosome:AGI1.1:4:15111135:15116772:-1 gene:ORGLA04G0114000 transcript:ORGLA04G0114000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFCCFGAGCSEFSGHASTSSGKGKGIQGQVKVSYGFYLVRGMTNHPMEDYHVAELAEEKGNELGLFAIFDGHLGDTVPAYLQKNLFANILNEEEFLTQPDRAIIKAYEKTDQAILSHTPDLGQGGSTAVTAILLNGRKLWVANVGDSRAVLLKGGRPIQMSTDHDPNVERSAIENRGGFVSNMPGDVPRVCGQLAVSRAFGDRNLKSLLKSEPDIKVEDIDYTAELLVLASDGLWKVMNNQEVVDVAKRFKDPQAAAKQLTAEALKRDSKDDISCVVVRFRM >ORGLA04G0113900.1 pep chromosome:AGI1.1:4:15107267:15107536:1 gene:ORGLA04G0113900 transcript:ORGLA04G0113900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARAMLLPAAAEVATVDVVGDGEERPWSGNGGNGGGGGGDSSSAMVGAVSVDLPTMWGDERRMKRELVAWAKAVASMAIRESCNSR >ORGLA04G0113800.1 pep chromosome:AGI1.1:4:15076816:15078359:1 gene:ORGLA04G0113800 transcript:ORGLA04G0113800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PLR9] MGSIAADGDKPHAVCMPFPAQGHVTPMLKLAKILHHRGFHITFVNTEFNHRRLLRSRGAAALDGLPGFRFAAISDGLPPSDADATQDVPPLCRSTRETCLPHFSRLLADLNANASPESPPVTCVVADDVMSFAVDAAREFRVPCALFWTASVCGYMGYRYYRSFLEKGIFPLKEEQLTNGFLDAPVDWTPGMSKHLRLKDFPSFFRATDPDEYMFHFALHVTERLAEADAAVLNTFDELEPEALDAMRAMLPPSVSIHTIGPLGFLAEQVVPKGSPLDALGSNLWKEDDSCFGWLDGKPPRSVVFVNYGSVTVMTNEELVEFAWGLANSGHDFLWIVRPDLIHGDAAVLPPEFMESVGGRGMLASWCPQEAVLRHEAVGVFLTHSGWNSTVESLCGGVPMLCWPFFAEQQTNRRYSCTEWGVAMEIDDDVRRDAVEAKILEAMGGDKGREMRRRAGEWKETGLRATRPGGRAHASLDALVADVLLSGGKAR >ORGLA04G0113700.1 pep chromosome:AGI1.1:4:15067485:15073125:1 gene:ORGLA04G0113700 transcript:ORGLA04G0113700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLKLEKVQRVLSLMGSRGLSGSGSDSGASDGGGGDRFLAHFLLFLVQPFDSLSMEKKALLVSDLLRKVNVDTLEEVRHLGSIECTSGEGRIIPASTSDQDISLGDPLQPNKKLKLHAEKLTVQEAPMVGFHAMRRANSTIEDFVRTVRSYFMFHGLDVNKAQSIFKFLPVLCFTESYIYQLDDLNEYNLQMVPGNDASLTVLERENRTSNEASLTGMLNVLDDLLQRQGLMTDQLRNELKSGIQYWSLERKLCQALSRNDKISIEDVMEAIHLKSFDYRVLNLLMYRLTGQQVNEVHMEFLSISEFLVEISDDLYDYEDDVMNNTFNILRMFAAIYGPSEAPKMLAKCIGEAEEKYERFSKKLDPTLSGSYWRRCEEATKEGGKMSGHAYGTWNIPPLIRDEEFFRLERSNKRDASAITIT >ORGLA04G0113600.1 pep chromosome:AGI1.1:4:15066330:15066706:1 gene:ORGLA04G0113600 transcript:ORGLA04G0113600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSRRWKNSSDDRLPHKAPTGRQDSRDSGPKWSCHTHRVLSFSSASAESLTLSVDLYAPRINLIF >ORGLA04G0113500.1 pep chromosome:AGI1.1:4:15061896:15063603:-1 gene:ORGLA04G0113500 transcript:ORGLA04G0113500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDSPCRSGSFEAGLRSPAPPPPTVSPKDSKPSPRLHRSRSTAAASKPPPSPPPDRRRDGAMRQKVSEMEEELRKEKDAAEKVQLLQREVEKAKESERKMLESLIYQTKQLEQTKISLEEAKLEITTLQQANASLEASAAAAAVSRRGGAVEQRSVKDLVFGGGDDEIRALRCELRTAMQGEEKSRKALDDLSVALSDVTMEAKQVKMWLSEAQAELEAANAEAERLRHELDAAEARLRDVSDEHDRCRLDAEECAAAWGDKERVLLDCVRASEEEVNRERQENTKLVESQRVIRDENARLRDILKQAVAEANVVKESLELARGENARLNDVVAEKDGALQSLRQEYECIKVSEAAAQGSLKELNSLLAATTTTTATACSTPASARTASVADYGFDQHLPSVRLVSSAKGTPEAASHRWTAADKSRTPSSRRYSIGEPAKFKGGFSQSARMGNLNHKDRVFASLSNIADLKSAAEAAMSDFDDEFDHVDESHYDSMDQSMKQKKKRPILRKFGDLFRRKSFYKANLAPVHT >ORGLA04G0113400.1 pep chromosome:AGI1.1:4:15058111:15058848:1 gene:ORGLA04G0113400 transcript:ORGLA04G0113400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:I1PBX5] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ORGLA04G0113300.1 pep chromosome:AGI1.1:4:15043579:15045662:1 gene:ORGLA04G0113300 transcript:ORGLA04G0113300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKSLVACKGLALCAPAIAQRHRNTPLSASAHRRQILITGLTMNSSGINSALPVRGAAQIPAVGSGPPAPSGGNLPIPSMPSWAKWVVGAIIVAIPIYRKIRALEDTVEKTAEVAIEVVDTVAEATEKVAGELADAFPGNENLKEVASKIKTVADVIEDDAEKAEALIQKVDEIKKELDAIVDPIIDKIDKEEL >ORGLA04G0113200.1 pep chromosome:AGI1.1:4:15039550:15042082:1 gene:ORGLA04G0113200 transcript:ORGLA04G0113200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVSWYGPLIDLSAAAGHLGGFVQLLASVRRVLPHQEQNAADGRAFQKTMLEVGDDTRSRFCVSLWPKRGSSVLADDVLLLQNIKIVEFRNGLEGDNTRSKLRRVVEWAWMQHNKCTLSENCHKLCKWQNYYATVLQVRLKNWKDEKEKESGNFLSISELLSQSKLHGVGVYASISKMVLTGSLASHFNRKFSVIERFSLKEHDDIFRDLVTAGCTLCGSPLYPKNLHGENTYPIDCPKSPKYLHVIGQIYKPFMIYVRDQSGQIPVLVRNKAAEILFANIIADDVSECYKNHHPMLLDTCDCGSLNTSGGETGIIKRKRTKEKPDWHLIWLIIIKCLLNQHKNSPFCLQISVNTDKSVEDGRFELVSLTMTIP >ORGLA04G0113100.1 pep chromosome:AGI1.1:4:15037691:15038941:-1 gene:ORGLA04G0113100 transcript:ORGLA04G0113100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHRRALHSNDCDDGGYGCSSWPPFPPPPSVILTPFASPSPAPWACPPAFPAPSPSPLHGAAGGRRDQGGYHGSPPGGGGGGDADEHRRRIINLIVVGAAALAFLSMILLVVIVAVRRRRLRRRRRQRQQALLAPAAPADAVAVNVEDGGDDDAEGGGGGGGGGVVHHIWYIRTVGLDEAAIDSIAATRYRAGAGLLGAADCSVCLGEFQDGELVRLLPKCGHAFHVPCIDTWLRAHVNCPLCRSDVLGPAATATESGGGDTGSMPQADPVANTIAAAQQAAAPGDAILERQEEEEEAEQEDQGAPPHMEENRQEQSSSPDPLPPPRNVRRAASMDAAIVSTAAEVAALERLPEAAPEEEQSGGGGGDKRGGAPGASCLKVSSSGRLSNLGAAERLPRSFFSRHCRARSSVLPL >ORGLA04G0113000.1 pep chromosome:AGI1.1:4:15030948:15032096:1 gene:ORGLA04G0113000 transcript:ORGLA04G0113000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRELLVVLAVVVMAAVGAGAQSSSASPAPATSQAPTVRQQTPFGRTMSTVITVSISVFFFLLFFCAYINQCRLAEAGDARAAAAAAAGGGAGGGPSRRGKRGLDPAVVATFPIVPYREVVKHKIGKSVLECAVCLTSFDDGDDLRLLPHCSHAFHPECIDPWLESRVTCPLCRANLEKPPPPPPLPAAAAASPSPERSPRCQPSPPPHALVIPVEDEEDDSDEDDRKEEAVELEMLRSERRAARLPRSHSTGHSLVASAAAAAESGDHERFTLRLPQHVRDEVLRSLRLRHAASLVNLSDMSSEGSSRGGRRALGLAFGNGGGSSHGGRRWQAFLARTVSWARGGGDGSVRRGWDGSTRRGKDDAESSRKGVTSPAAGRP >ORGLA04G0112900.1 pep chromosome:AGI1.1:4:15027644:15030082:1 gene:ORGLA04G0112900 transcript:ORGLA04G0112900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G09450) TAIR;Acc:AT5G09450] MAAVFLRAAARATRSSALVRAILASRSPLSSSSCAASPTTAAPVPGTAPRAAAAGDGDEGCAAAAATPADVGGDEDDLRSRVFRLRLAKRSATAALERWAGEGRAASAAELRGIARDLTRAGRYKHALEVAEWMKTHHESDLSENDYGMRIDLITRVFGANAAEDFFEKLPACVQSLEAYTALLHSYARSKMTDKAERLFKRMKDANLSMNILVYNEMMTLYISVGELDKVPVIAEELKRQKFSPDLFTYNLRISASAASMDLEGFKGILDEMSKDPNSNEGWKLYQNLAVIYVDAGQLVGSGNSLVEAEAKISQREWITYDFLVILHTGLGNRDRIKDIWKSMLMTSQRMTSRNYICVLSSYLMCGQLKDAGEVIDQWQRSKAPEFDISACNRLFDAFLNAGFTDTANSLRELMLQKSCILTSRQERSS >ORGLA04G0112800.1 pep chromosome:AGI1.1:4:15024377:15026841:1 gene:ORGLA04G0112800 transcript:ORGLA04G0112800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGEEGARPAAAAEGARRPRFLCLHGFRTSGEIMRKQVVGKWPADVTARLDLVFADAPFPAEGKSDVEGIFDPPYYEWFQFDKNFTEYRNFDECLNYIEELMIKEGPFDGLMGFSQGSILSGALPGLQEQGLALTRVPKIKYLIIIGGAKFQSPTVAEKAYANNIKCPSVHFLGDTDFLKTHGEKLIESYVDPFIIRHPKGHTVPRLDEKSLEIMLRFLDKIEKETALEHSSTDVDEKEMYL >ORGLA04G0112700.1 pep chromosome:AGI1.1:4:15021057:15021809:1 gene:ORGLA04G0112700 transcript:ORGLA04G0112700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPSSNSVVGGAGAGAGDVCCMCGDRGLPEELFRCRLCRVRLQHRYCSDLYPRATAYRRCNWCLVREPAPAAAGAAGHVHAMVDKPTTVRRKTASSSSPPPSSSMTDQETSPTTMSSEAERQRRLQEAAGWSASRRAPDTAGLGRPVKKQKAAADDDEGEEAPGARAAPAAKGNNGGNKEMQAAGKKTGVKVRVRRYKLLAEVISC >ORGLA04G0112600.1 pep chromosome:AGI1.1:4:15009662:15014278:1 gene:ORGLA04G0112600 transcript:ORGLA04G0112600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAATTTTTDSSQAAVPPHHPHPHAHPHPHAHPHPHHPMAQARWVVLPYPPPPPPMVAAPPPPPPQYAKHFAAGPPPAAAAGRRTPTPPAPAGSGGNGCEENKTIWVGDLQYWMDENYLHSCFGPSGEVVTIKVIRNRQTGQSEGYGFVEFYSHGSAEKALQNFTGHVMPNTDRPFKLNWASYSMGEKRSEVASDYSIFVGDLAADVTDEMLMELFANKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKSHAMTEMNGAYCSTRPIRIGPATPRRSSGDSGSSTPGHSDGDSTNRTVYVGGLDPNVSEDELRKAFAKYGDVASVKIPLGKQCGFVQFVSRTDAEEALQGLNGSVIGKQAVRLSWGRSPSHKQSRADSGSRRNNMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPVYGSQQLVS >ORGLA04G0112500.1 pep chromosome:AGI1.1:4:15006996:15007949:1 gene:ORGLA04G0112500 transcript:ORGLA04G0112500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAGDDDDVVHDFRPLIVVYKSGWLERPLATPPVPPGTDAATGVASRDVRLSAASFVRLYLPPPCAAVAGGERLPVVVYFHGGGFVIGSAASPAYHRCLNDLAAACPAVAVSVDYRLAPEHPLPAAYEDSAAALAWVLSAADPWLAVHGDLSRVFLAGDSAGGNICHHLAMRHGLTSQHPPHRLKGIVLIHPWFWGKEPIGGEAAAGEQKGLWEFVCPDAADGADDPRMNPTAAGAPGLENLACEKVMVCVAEGDTLRWRGRAYAEAVVRARGGEAAAVELLESEGVGHVFYLFEPGHEKADELLRRIAAFISAK >ORGLA04G0112400.1 pep chromosome:AGI1.1:4:14993596:14998073:-1 gene:ORGLA04G0112400 transcript:ORGLA04G0112400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVKAWAGANYAEPMGSVEQSLRVAYVVLSFCAAFFLGGIKAAVVGPVAAALMVLGNVGVILLLFPAHVWWTIYSLLKTERINAGLKLAVLIALPVLFGLWLGLGIFGSALVALGYGFFTPWIATFEAFRQESESKKFVHGVVDGTWGTIKGSCTVVRDFADLCFHSYPVYLKELRESSQNCEPHSIRVLDVPSCIAVGLLGLIVDIPLYTVIALIKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLLAIVSSIFVGLYGAVVVYQEKSFQRGVSYVVAMVAEFDEYTNDWLYLREGTVLPKPSYRKRKSSSSTEFSVRTNASVKGGDHPSSNEAPAMLVPTLAPARSVREAIQEVKMVQIWENMMKNCELRGRDLLNLNVITTVDLTEWLRTKESGHEAIGLGVPSYSLLCMILHSIKAGSGGLLIGNGIEINQYNRPQDRLIDWFLHPVLVLKDQIQALKMTEEEVRFLEKLTLFIGNSERANGWDNGAEIPQDPVRAGQIQAISRRLVGIVRSMSKFPTYRRRHRHVMKLLVTYSVEKEGSFRSSASNRSVPIFEITQLEV >ORGLA04G0112300.1 pep chromosome:AGI1.1:4:14986737:14990616:-1 gene:ORGLA04G0112300 transcript:ORGLA04G0112300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATVEAVGVAGGRRRRSGSMALGDLLRREASAERASASASAGAGGRERERWPSVAAGQACRAKKGEDFALLKPACERLPAGGAPFSAFALFDGHNGSGAAVYAKENLLSNVMCCVPADLSGDEWLAALPRALVAGFVKTDKDFQTRAHSSGTTVTFVIIDGYVVTVASVGDSRCVLEAEGTIYHLSADHRFDASEEEVGRVTECGGEVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIIPVPYVKQIKLSSAGGRIIISSDGVWDALTADMAFSCARGLPPEAAADQIVKEAIASKGLRDDTTCIVIDIIPPEKISPTVQPAKKAGKGLFKNIFYKKATSDSPCHADKDQCTQPDLVEEVFEDGCPSLSRRLDSEYPVRNMFKLFICAICQVELESGQGISIHEGLSKSGKLRPWDGPFLCHSCQEKKEAMEGKRHSRDSSSRNSGSSE >ORGLA04G0112200.1 pep chromosome:AGI1.1:4:14982434:14984460:-1 gene:ORGLA04G0112200 transcript:ORGLA04G0112200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRVRMSVARWLPSSPAHGKTKSRRSSSAVRPTLLVIAVTVIAVLLVAVVFGGAGRWTLSGGGDTSWVSAGARVVINAVSGQQGDGDDPVAAAVEPRNDRLLGGLLSPDFDDSSCLSRYRAGLYRRQSPHAVSPHLVASLRRYESIHRRCGPGTSAYERAVERLRSPPPSNTSDAECRYLVWTPLEGLGNRMLTLTSAFLYALLTDRVLLFHHPAGEGLRDLFCEPFPGSTWTLPEGDFPFSGMQGFNARTRESLGNALRRGEGAAKDHPPPPPPWMYVHLRHDYNRNANDPRFFCDDGQDALRRVGWVVLLSDNYFVPGLFLVPRFERALSRMLPRRDAAFHHLGRYLLHPSNTVWGMVARYHASYMACADERVGIQVRSFYWARISTDELYGQIMSCAHGENILPRVTQQGPNFTAAGDQPQPAARPGRRKAVLVVSLHGAYSERIKDLYYEHGAAGGESVSVFQPTHLDRQRSGEQLHNQKALAEMMLLSFSDVVVTSAASTFGYVGHGLAGLRPWVLMSPLDKKVPDPPCRLAATIEPCFHNPPNYDCRTRAKGDTGKIVRHIRHCEDFENGVQLVD >ORGLA04G0112100.1 pep chromosome:AGI1.1:4:14978313:14980187:-1 gene:ORGLA04G0112100 transcript:ORGLA04G0112100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSIRMAPAPSSSLATAGHGKTKSGRSSSSAVRPALLAIAVSVMVVLLMAVLFGARWTPSGGHGGGADTSWVSAGALVVLNAVSSQQGADPVVKVAQPHDRLLGGLLSPDFNDTSCLSRYRASLYRRRSLHVLSSHLVSALRRYESLHRLCGPGTSAYERAVARLRSPSSSNTTSDAPSECSYLVWTPHAGLGNRMLSITSAFLYALLTGRVLLFHRPGDDMKDLFCEPFPGATWVLPEKDFPIRGMERFGIRTRESLGNALGRGEGGRDPPPPWMYVHLRHDYTRPGASDRLFFCDDGQDALRRVGWVVLLSDNYFVPGLFLIPRYERELSRMFPRRDAVFHHLGRYLFHPSNTVWGMVMRYHGSYLAKAEERVGVQVRTFSWAPISTDELYGQIVSCAQGENILPRVRESSSGSDNATAIPGSGRQQQQRPARRKAVLVVSLHGEYYERIRDMYYEHGAAGGDAVSVFQPTHLGGQRSEERMHNQKALAEMMLLSFSDVALTSAASTFGYVSHGLAGLRPWVLMVPVRKKAPNPPCRLAATVEPCFHTPPHYDCQARTKGDNGKTVRHVRHCEDLKDGVQLVD >ORGLA04G0112000.1 pep chromosome:AGI1.1:4:14973953:14975873:1 gene:ORGLA04G0112000 transcript:ORGLA04G0112000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT1G14140) TAIR;Acc:AT1G14140] MSARAAGGGGDGDGGGRRKTLAKVSLSSLSAAAAEAATFPIDAVKTRLQLHRGTGGSGGGGGGVMRVAGELVRDGGIYRGLSPAVLRHLFYTPLRIVGYEHLRSTFAGRDAGLLEKALAGGVSGVVAQQVVASPADLIKVRMQADSRLLSQGIQPRYTGIFDAFTKIVRAEGFRGLWKGVVPNAQRAFLVNMGELTCYDQAKHFIIRKQICGDNLYAHTLASVASGLSATTLSCPADIIKTRMMNQGKDAKVLYRNSYDCLVKTVKHEGLTALWKGFLPTWARLGPWQFVFWVSYEKLRQASGISSF >ORGLA04G0111900.1 pep chromosome:AGI1.1:4:14966647:14973260:1 gene:ORGLA04G0111900 transcript:ORGLA04G0111900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeaxanthin epoxidase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:I1PLQ0] MALLSATAPAKTRFSLFSHEEAQHPHPHALSACCGGGASGKRQRARARVAAAMRPADAAASVAQAASPGGGGEGTRRPRVLVAGGGIGGLVLALAARRKGYEVTVFERDMSAVRGEGQYRGPIQIQSNALAALEAIDMSVAEEVMREGCVTGDRINGLVDGISGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNDSHVVDFIDDGNKVTAILEDGQKFEGDLLVGADGIWSKVRKVLFGQSEATYSEYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGTDPENGKKKRLLEIFNGWCDNVVDLINATDEEAILRRDIYDRPPTFNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKSWQESAKSGTPVDIVSSLRRYEKERILRVSVIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPLMLSWVLGGNSTKLEGRPLSCRLSDKANDQLRRWFEDDDALEQAMGGEWYLLPTSSGDSQPIRLIRDEKKSLSIGSRSDPSNSTASLALPLPQISENHATITCKNKAFYVTDNGSEYGTWITDNEGRRYRVPPNFPVRFHPSDAIEFGSDKKAVFRVKVLSTLPYESARGGPQILQAA >ORGLA04G0111800.1 pep chromosome:AGI1.1:4:14959226:14963345:1 gene:ORGLA04G0111800 transcript:ORGLA04G0111800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSESSRKALLPSFLYAAPAASSSPSFAAAAAVGVRGVPAPSLAGPAVWARSPREPAGKIEMYSPAFYAACTAGGIASCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADIALCPMEAVKVRVQTQPGFARGLSDGLPKFVKAEGYAGLYKGIVPLWGRQIPYTMMKFASFETVVEMIYKYAIPAPKSECSKPLQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVNKLGMWGLFTRGLPLRIVMIGTLTGAQWGLYDAFKVMVGLPTTGGVAPTPAK >ORGLA04G0111700.1 pep chromosome:AGI1.1:4:14955511:14958364:-1 gene:ORGLA04G0111700 transcript:ORGLA04G0111700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCGSSSGGRFTGGGGQKGRWPGFEGKRAAPVVKWSHAEAMKKKPSGRGDAAVTGDGAGWMRRLEEEEAGLELDGSCWGSWSEAAATRASGTATGGAGKHVRFRPEAEAEEKRERPFDAGEWGGGGGGEVGGDGGEGRMYEWRWTEAVSPEILALILRGRVDADEVARGAARVCRAWREAAAAPDVWGDVDIEAWCRRVNCRPRADAAVRRLVALARGTLRRLSAYRVGDAALAYVAASGKLLNVLQIPMSEISDQAVKKYAECLPALRVLDISNCLKISSSGIEALGRHCKLLVQLKRNMPPPDVPHGYNAVPNVVNDEALAIANTMPVLEHLELAYGLFSDTGLGAILTRCPRLQTLDILGCWNVRLEGDIEERCCALESFREPWEPMYSDCSSTGSDNDDDDEDNDSDN >ORGLA04G0111600.1 pep chromosome:AGI1.1:4:14952473:14954635:-1 gene:ORGLA04G0111600 transcript:ORGLA04G0111600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ChaC-like family protein [Source:Projected from Arabidopsis thaliana (AT1G44790) TAIR;Acc:AT1G44790] MAMWVFGYGSLVWNPGFAHDARLVGFVRDYRRVFYQGSTDHRGTPEFPGRTVTLEHQPGATCWGVAYKISTEQDKQTALEHLEVREKQYDEKIYLDLYTDSSPKTPAVKNVMVYLATTNKQSNQNYLGPAPLEEMAKQIYLAEGPSGPNKEYLFKLEDALNKIGVVDPHVQDLANAVRKYPDTAVSC >ORGLA04G0111500.1 pep chromosome:AGI1.1:4:14950607:14951992:1 gene:ORGLA04G0111500 transcript:ORGLA04G0111500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVVLLLLLALAALPASCAPPRSFRLELASVDASAADAANLTEHELLRRAIQRSRYRLAGIGMARGEAASARKAVVAETPIMPAGGEYLVKLGIGTPPYKFTAAIDTASDLIWTQCQPCTGCYHQVDPMFNPRVSSTYAALPCSSDTCDELDVHRCGHDDDESCQYTYTYSGNATTEGTLAVDKLVIGEDAFRGVAFGCSTSSTGGAPPPQASGVVGLGRGPLSLVSQLSVRRFAYCLPPPASRIPGKLVLGADADAARNATNRIAVPMRRDPRYPSYYYLNLDGLLIGDRTMSLPPTTTTTATATAPAPAPTPSPNATAVAVGDANRYGMIIDIASTITFLEASLYDELVNDLEVEIRLPRGTGSSLGLDLCFILPDGVAFDRVYVPAVALAFDGRWLRLDKARLFAEDRESGMMCLMVGRAEAGSVSILGNFQQQNMQVLYNLRRGRVTFVQSPAAPCD >ORGLA04G0111400.1 pep chromosome:AGI1.1:4:14947194:14947442:1 gene:ORGLA04G0111400 transcript:ORGLA04G0111400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVATTIMTAYGGALQGDVEAENVESAHEEMRTISYKAWARFLPPKLVDLGNGVTEQRRLVHLEHVGHHNTTASLARELEEG >ORGLA04G0111300.1 pep chromosome:AGI1.1:4:14944908:14946290:1 gene:ORGLA04G0111300 transcript:ORGLA04G0111300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT2G03200) TAIR;Acc:AT2G03200] MEARVLVLVTMAIAAACWATGGVAAAATATAATTAATTTTTSRLKGLRVHLTHVDAHGNYSRHQLLRRAARRSHHRMSRLVARATGVPMTSSKAAGGGDLQVPVHAGNGEFLMDVSIGTPALAYSAIVDTGSDLVWTQCKPCVDCFKQSTPVFDPSSSSTYATVPCSSASCSDLPTSKCTSASKCGYTYTYGDSSSTQGVLATETFTLAKSKLPGVVFGCGDTNEGDGFSQGAGLVGLGRGPLSLVSQLGLDKFSYCLTSLDDTNNSPLLLGSLAGISEASAAASSVQTTPLIKNPSQPSFYYVSLKAITVGSTRISLPSSAFAVQDDGTGGVIVDSGTSITYLEVQGYRALKKAFAAQMALPAADGSGVGLDLCFRAPAKGVDQVEVPRLVFHFDGGADLDLPAENYMVLDGGSGALCLTVMGSRGLSIIGNFQQQNFQFVYDVGHDTLSFAPVQCNKL >ORGLA04G0111200.1 pep chromosome:AGI1.1:4:14938257:14942057:1 gene:ORGLA04G0111200 transcript:ORGLA04G0111200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGEPSLKPEWLVRGHGTVAATSLWTGTSSPRADDQGRSISSRNQSSGRDRERSSQQSISRRSSGSIGPRRHDRDGTAKSRGYASFGRSNRDRGGEKDSESRNWESRLGPPDDPLYDGFKPFSSCRPERDRLNHTRLKVDTLNQAVGESLDNGVRSVSRKVSGGVSFEREFPHLGSDDKNGKQDVGRVPSPGISTLIQSMPLGTALDGRSSVLAEVPVLSGPTNCPVPSSLLRTGSSKQMEVPNCGTALSMAETVMQAPLKISTTPQLSIDTHKIEERTMKQCILRPRTPSSNKISVSSSSDKLKSKGARAGDSNGPVKGATQLPLQLSGSFIRAPVKHELVKPTQSGSFQVLSREQNGTVNTAKESTSNPASPVLGRSYSVEPLRKPIVNQKLKGVANGLPLQLQGSFGERKSSAKDKHKFFELLRSKSLNGSCTSTVSSSTLLDEQNNSCLELFDSGVKCMEHGSSSCEEANSCEGSQQHLSDNEEINPPWEPHDVFDEGMQEVLSDNRDFNSSSEIADTQDVYMKPHTNNAGSSPSIIPAEIYDGSMGSNCSDDETVMLFEPIGTGEEESYPAQDRPSPEEMAFLVSLGWKEDEIVPPLKQEEIADCVSVSYVLLISAIIFYSLPIHNLHV >ORGLA04G0111100.1 pep chromosome:AGI1.1:4:14934564:14935367:-1 gene:ORGLA04G0111100 transcript:ORGLA04G0111100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPRDRTLSSRRASSATSAVPSYYQKTKKASKENGLQLTSEKKDWKRATCSICLEHPHKAVLLLCSSHSKGCRPYMCDTNRQHSNCLEQFKNAYSRGKPACELSDAVAQASKKPQEMELVCPICRGDVKGWTVVEPARRFLNRKRRTCMHEGCSFGGSYRKLRNHVRSNHPSSNPREIDSASLAEWKELEYEKDRQDAISIITALNPGSTILGDYFIDPNSDSNDSFDYSSDSLTFSDSDPGTQLNGSTSSRRPARIIPNARVRY >ORGLA04G0111000.1 pep chromosome:AGI1.1:4:14932012:14933835:1 gene:ORGLA04G0111000 transcript:ORGLA04G0111000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTASPAAAREAMIEPASTPLLRWRGSYTRSMSHARDELGSFRSCLRWMCVEHSDGSSAVASWLVFTLLAVAVPAAARAALPRRAYDGQVQASLTLSAALAYLTLSRLVRRRGLRRLLYLDRLRHDSQDVRAGYTVELAGSFRLLACFVLPCFLADAAYKVYWYCANRPFPLWWSAAACALEMASWMYRTAMFFMACVLFRIICFLQILRMTGFARDFGQCADVADVLRQHRRIREQLRRISHRYRKFIVSCLLLVTASQFSALLAATRPHAQVNIATSGELQLCSLSLVTGLLICLHSAAKITHKTQAITSVAAQWHAEATINSQERDHENPRTPIKASSYLHAAGPVVPQPAPNASSSGDESEDETSPSDDGLDGTKIVSFHATHISFQKRQALVTYLENNRAGITVFGFVVDRTWLHALFMIEFSLVMWLLGKTIGIS >ORGLA04G0110900.1 pep chromosome:AGI1.1:4:14929658:14930089:-1 gene:ORGLA04G0110900 transcript:ORGLA04G0110900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQARLQSMIDAAAGRSSAASRPRPLPRHGTTETAAERQVQRGGPCRCACDYCGGHYDDGGGGASCGQRRPCVVLVAVDRRTSDPREEFRRSIAEVITAKRMAEPAELRALLNCYMSVNAREHRAAILEAFHEVCSGLFSRKR >ORGLA04G0110800.1 pep chromosome:AGI1.1:4:14927268:14929168:1 gene:ORGLA04G0110800 transcript:ORGLA04G0110800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:I1PLN9] MVLTHVEAVEEGSEAAAAVFASRYVQDPVPRYELGERSISKDAAYQIVHDELLLDSSPRLNLASFVTTWMEPECDRLILEAINKNYADMDEYPVTTELQNRCVNIIARLFNAPVGDGEKAVGVGTVGSSEAIMLAGLAFKRRWQNRRKAAGKPHDKPNIVTGANVQVCWEKFARYFEVELKEVKLTEGCYVMDPVKAVDMVDENTICVAAILGSTLTGEFEDVRRLNDLLAAKNKRTGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQFLRLGFEGYKSVMKNCMESARTLREGLEKTGRFTIISKEEGVPLVAFTFKDGAGAQAFRLSSGLRRYGWIVPAYTMPAALEHMTVLRVVVREDFGRPLAERFLSHVRMALDEMDLAARAPVPRVQLTIELGPARTAGEEASVRVVKSEAVPVRKSVPLVAGKTKGVC >ORGLA04G0110700.1 pep chromosome:AGI1.1:4:14920868:14923621:1 gene:ORGLA04G0110700 transcript:ORGLA04G0110700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVKPFLRLYSGRHRAHARAFLDRSVGRELARPAMAAVPEVALRHGAGRPMPAVGVGTADSAATSPETKRGAALAALEVGFRHFDTAALYGTEAPLGEAIAEATRRGLVASREEVFVTTKLWCTQCHPGLVLPSLRESLRNLQMEYVDLYLVHWPISVRPGPPMLPVKREDAVPFDFEGVWRAMEECHRLGLAKAIGVSNFTTKHLDKLLAVATIPPAVNQQVEMNPVWQQRTLREYCAAKGIRVAAYSPLGGQNWIGEGNDVMESPVLADIARARGKSIAQVSLRWIHEQGVTPIPKSYNKERLKQNLEIFDWELTKEDRLKISQIPQKKIVTAARMFSPDGEFASVDLSDMEIVEE >ORGLA04G0110600.1 pep chromosome:AGI1.1:4:14918241:14920110:1 gene:ORGLA04G0110600 transcript:ORGLA04G0110600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSSGRCSRTPGFPAGPLGGGGRAVPAVGLGTASLRSVGEESFRGALLAALEVGYRHIDTASVYGSERVVGEAVAGAARRGVIACRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRAPVPPGKPTEPANGVRGPVPDPLADQRQARANGVPGEERGRRAVRLRWRVAGNGGVPPARPRQGHRRQQLHHQAPRQAACSSNHPPCRQPGGDESDLAAEDSEGILXRXGHSCDGLLAAGRAELGRQRQLCDGILGAHGDRQGQRQEHRTGIIEMDL >ORGLA04G0110500.1 pep chromosome:AGI1.1:4:14915853:14917742:-1 gene:ORGLA04G0110500 transcript:ORGLA04G0110500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:I1PLN6] MALSTAQTGESMHSSTFASRYVRTALPRFRMPEKSIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNRMKAEGKPHDKPNIVTGANVQVCWEKFARYFEVELKEVKLTQGYYVMNPEKAVEMVDENTICVAAILGSTLNGEFEDVKMLNDLLTAKNAETGWNTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRNKEDLPDELIFHINYLGADQPTFTLNFSKGSNQIIAQYYQLIRLGFEGYKDIMQNCRDNATVLREGIEKTGHFDVVSKDSGVPLVAFSLKDSSRYTVFEVAESLRRFGWIVPAYTMPADAEHVAVMRVVIREDFSRGLAERLITDLTKTVADMDAHAVKKAAAEPAKKTVREIEKEVTTYWRSFVARKKSSLVC >ORGLA04G0110400.1 pep chromosome:AGI1.1:4:14914870:14915196:-1 gene:ORGLA04G0110400 transcript:ORGLA04G0110400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAQDAARRPRPRNYRCGPRRLASRWTTSPSTALAGSGPHPAAAPSTRAVQHRRGGRGSSSPLSRGFAWIVPTGGSRRRGGSGNGSRTTATTEEEVSSRRDLGGP >ORGLA04G0110300.1 pep chromosome:AGI1.1:4:14906082:14910071:1 gene:ORGLA04G0110300 transcript:ORGLA04G0110300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:I1PLN4] MRGGAGMCFASMEAAGSRGMGKGASSRRTARSTAPVGALVERVVVAPAPVEQQRGAGRPEAHPQSVAARAVMTVRRRRKEDAKDRFAEQLDALADRVGRSVLLELVSTETDPRKGTPKKSKPSALVGWFDKKDVKAERVVYTAEFAVDAGFGEPGAVTVLNRHQREFYIESIVVEGFPTGPAHFTCNSWVQPTRVSRDRRVFFSNRPYLPSETPPGLRELRLRELADLRGDGTGERRITDRVYDYDVYNDLGNPDKGVASARPVLGGEQMPYPRRMRTGRPSTATDASAESRVEYPEPIYVSRDEEFEEGKNEMLSEGAIKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALHDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQAFPPVSKLDPAVYGPPESAITEEHIIGHLDGMSVQEAVEGSRLYMLDYHDIFLPFLDRINAQDGRKAYGTRAVFFLTAAGTLKPIAIELCLPPMTDGCKRAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIIAAHRQMSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGNVCMEMSAFAYRELWRLDQEGLPADLIRRGMAVEDPSQPHGLRLLIEDYPYAADGLLLWSAISRWCEAYVAAYYPSDEAVQADYELQSWYAEAVQSGHADKRGAPWWPRLSTPGDLASLLTTLVWLCSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEYAHLVADPHRFFLSALPSLTQTTTFMTVIDTLSTHSADEEYLGERPDEAWTADPAALAAAREFAADVRRAEEEIERRNADPSRRNRCGAGVLPYELMAPSSGPGITCRGVPNSVTI >ORGLA04G0110200.1 pep chromosome:AGI1.1:4:14886782:14888111:1 gene:ORGLA04G0110200 transcript:ORGLA04G0110200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDKFIAVVKETKPRWDLRAATRCLEAVLARLLPPRQRRSSHSRRRPPNHGIDNDDDRDIGTDRALSRLFVKGISLATLMRPELPGGVYFPGCPGAVPFPKTQVHSLHVIVQLGCSLVMVITATATDFNCPQRLSSELTLCLVSEIREKFGES >ORGLA04G0110100.1 pep chromosome:AGI1.1:4:14865562:14867861:-1 gene:ORGLA04G0110100 transcript:ORGLA04G0110100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGRFAQKSLDGGAVCGSRRRGQNKYCQRFINNVEKVLQNYQGKMVEAFGIKFEFDSILVDNLNKWVSFAVSARTKHLSFDLAPIRFARRDDRFIFPFELFSGSICRLQHLQFSFISLQPPSWFGGLPNLRKLELNLVHVTRKELENMLCNCCCLEWLSMVRCHLKDDLRVDRPMSHLAYLLISCCVITKIELHATKLSTFIYEGEFVPIVLNHTSKLVNAHIFIFDAIFHHVVASLFHGLPNVHKLTLCIPDLQLENLWSLDNSLKFSCLKHIQLFAHILSHGSDKILYLAYVMRAAPFVEKVEVHFASGHSLWFAQEGPLRHELGHDEYKYLKNLCVTGFKGARGQLEFLLHVVENATALDVITVDTTERMLESDIKNEYLCSIARXTIELHIREALPPKAKLFVL >ORGLA04G0110000.1 pep chromosome:AGI1.1:4:14863124:14863837:1 gene:ORGLA04G0110000 transcript:ORGLA04G0110000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQWEGTCNRYGTFLLHIGQVQFSERNGPVPVVIDRTTTVSRGVGCTLLHLGMALGSGGEVVRRVGVACTAASMLNDTPGLLALLDARSQEQPLKILLKSVEDAKDFISNWKINKDVVEVDVCPALTLDEVRAIRRLFQVEKADKFLTGDVVKVKLGQYEAIQAARNLPELPVSAVCAILAAAEKGKNKGAEENGGEKAADVGAQGQQKPSACKFIMQHLMFYKYIAAELVLTVKC >ORGLA04G0109900.1 pep chromosome:AGI1.1:4:14856384:14860159:1 gene:ORGLA04G0109900 transcript:ORGLA04G0109900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1PLN0] MSSFWGAEVKPGKPYTHTHIPRLGRLRLTQATLGGEAGKVEKGGGGKKNVVQLQCTVKNKDPVFLCALVPGQSETCHLELEFEEKHVTFSVLGPRSVHLAGYYIGDVYGEEIDDSDTGSDSLQGSDDDAFLDTDDDDSGADDGTVLIPLSPGNSDGEDDDSEYDSEDDDSEDDDSEMIYNQRRGKSSVVIEEIQEDDKPAAGGAQKGSNKKQTSENGDDSKLQLVVRTPPAESLESEDEDGFPVSFSESKKRTDGSSKKKGNLNNKMSTEDRKRKSSAVGDHHDPSGEVKDENDGVSKKNKKAKAKKTAVGGVEKEIKQEDSPADLVDAKQKKNKNKNTSEAEAGAHQNTDKTKHIHTDAEEDTAQEASKKKKNKKKKTQEKNESENQTPKTQEKNAKGNQTSNTDLTGSESKKQPLQTRTFGNGMIIEEIEMGKPDGKKASPGKKVSVKYIGKLKNGTIFDSTVGRRAFDFRLGIGEVIKGWDIGINGMRVGDKRRLTIPPSMGYGNKRMGPIPQNSTLVFDVELVNVK >ORGLA04G0109800.1 pep chromosome:AGI1.1:4:14854988:14855350:1 gene:ORGLA04G0109800 transcript:ORGLA04G0109800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTAAAAAEEEEEEAEAVTVTATAEGAMAEVAGEAAAAAAGEEAAIGLRRRRGRRGVAAGGWSSRSRLVGVASGRGERLAPWEGRRHRGVGWVDGSEADLAGGDGNGGGGKEGEGDG >ORGLA04G0109700.1 pep chromosome:AGI1.1:4:14846147:14848691:-1 gene:ORGLA04G0109700 transcript:ORGLA04G0109700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAAATPAKASPKKANLLDPHSIKHLLDETISDVVKSKGYAEDTRLGNWKLAIGAAVIAIALLAQFYPKKFPQNREFLLGCIALYVVLNVVLLILSYTKEKDAIMFTHPPAGSFNSTGLVISSKLPRFSDMYTITIASADPQSISANKPVHFTKSVTKWFTKEGVLVEGLFWKDVERLIDDYNTERKGK >ORGLA04G0109600.1 pep chromosome:AGI1.1:4:14842982:14845189:1 gene:ORGLA04G0109600 transcript:ORGLA04G0109600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 4 [Source:Projected from Arabidopsis thaliana (AT2G06010) TAIR;Acc:AT2G06010] MASSSRRGGGGGVGPDGGVGDGPTTLDELYKINVVPAELHFKFRKELQGLRVGLNFEFYNLEVNDFEAKVVLKPLDYERKWKFHYKPISGDIQLLSKKIPVTKFLNLQVGIGHNFHLNATGWKWKLSTCLGGDGVSQIRNKSTLSMFPGFDLRVGWRAEYVLPEIHGAVGTGEPAFSMNYGRLQASIDRVEAIVTQSDRY >ORGLA04G0109500.1 pep chromosome:AGI1.1:4:14841051:14841356:1 gene:ORGLA04G0109500 transcript:ORGLA04G0109500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWSRGGREFPWIPRLYRSQPLAMTPSMAQERGEPLLQSGNGAAGGGAKGSPPPALARTVLKVLMWAVFLTWAAAIFFYPTKPAQAAFEGWMAATKQSLFGIT >ORGLA04G0109400.1 pep chromosome:AGI1.1:4:14834198:14835604:-1 gene:ORGLA04G0109400 transcript:ORGLA04G0109400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTKLRIPTSQQQQLDAAIMDGAHRSPAARPPAPPRSKMKVLLLVIATNLVSVYLFSGASLSLRLPAGAAAPSIHLWDSSALLRDLGATRAALAAARAEVAALRAQCNASSLLLESVLAGLGAAHGDKPAAADRGFNGWPEEPTGELRLATEPHRLPLGFSAKLGTDELHPGVGFACRNFQDELARYMAYDAGGECPDDADALELQLILKGCEPLPRRRCRPRSPARYVEPAPLPGSLWSIPPDTTVNWSPYACKNYTCLVGRARARGGGGGSYECKDCFDLAAGGKERRRWMSDNGGPGFSIDGVLASRAPGTVRLGLDIGGGAGTFAARMRERGVTVVTTTLDVGAPFSAFVASRGLVPLQLSLAQRLPLADGVMDIVHAMQLGGWVPGAVLELALFDVYRVLRPGGVFWLDHFACHGPRLNATYAPILDRVGFRRLRWKASRKLDLGAERNEWYLSALLEKPLT >ORGLA04G0109300.1 pep chromosome:AGI1.1:4:14828609:14829886:1 gene:ORGLA04G0109300 transcript:ORGLA04G0109300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESSYLPATTESIAKAQEAKDASESISILYRVLQDPSSSAEALRTKELAITNLTNYLTKENRAEELRNLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIPGTSELQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALTLLTGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEAIFPATLETISNVGKVVDSLYMRSAKIMA >ORGLA04G0109200.1 pep chromosome:AGI1.1:4:14820384:14825602:-1 gene:ORGLA04G0109200 transcript:ORGLA04G0109200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:I1PLM3] GGDGGDDNRGHADVDRRGGVLRHRAHLLRLRALAALPRQGAGAPEEDAVRGLAQAQRRADAAGVHLAAARRVPRADTEDMHRREPHGPLAALPERREGVVASRRRRRIGCRRLRCRREEAARRRDSRIWALFEQGESSIALAPCHRTDTHMFVLAITHVVLSAVTVLLGLLQMRRWRHWENAIKADGDFGPKMINRVQQFKFIQDRYKGFDKVTMVIIWMRSFFKQFYGSVTKDDYTAMRLGFVMEHFRGHPKFNFYDYMIKALEKDYKRVVGIKWYLWIFVMIFLLLNITGWHSYFWISLIPLVLLLLIGTKLEHIITQLAYEVATKHTAVEEDIAVSPSDNLFWFHSPRLVLALLHFILFQNAFEFAYFIWTMATFGFNSCIMDRLPYRVSRIVICVVVQVLCSYSTLPLYAIVSHMGSSFKSAVFSDDVADNLREWADEARRRTGRAAAGVGCLGAAAGSSRREGIHIQNM >ORGLA04G0109100.1 pep chromosome:AGI1.1:4:14817312:14817821:1 gene:ORGLA04G0109100 transcript:ORGLA04G0109100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLSPRNGDKEDEQEEIQELISDDEPPNLKLASCATAASSSSSGSDMEKGRGKACGGGSTAPPSSSGKSGGGGGSNVREAAASGGGGGVWGKYFSVESLLLLVCVTASLVILPLVLPPLPPPPSMLMLVPVAMLVLLLALAFMPTTTSSSSAGGGGRNGATTGHAPYL >ORGLA04G0109000.1 pep chromosome:AGI1.1:4:14808954:14813749:1 gene:ORGLA04G0109000 transcript:ORGLA04G0109000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRHLERSGSKRALDAGGGGGDDDDRAPKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAATPARIQGRSSPKRIEGPSGINLQLQFRSRLSLPLFTGGKVEGEQGAAIHVVLLDANTGRVVTSGPESFAKLDVLVLEGDFNKEQDEDWTEEEFENHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSSGFCEGVRVKEAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNSNGIYTVEHFLQLLVRDQQKLRTILGSNMSNKMWESLVEHAKTCVLSGKHYIYYSSDARSVGAIFNNIYEFTGLIADDQYISAENLSENQRLFADTLVKQAYDDWINVVEYDGKELLRFKQKKKSVTTRSDTAKASTSYPSSYGSTHSHKQLTGGPVNIEQSSMSSMSEDGTRNMSNGSQAARYAANPQDISQSITMPYDMSSLRPEEQFAGSSIQTQASRSSNMLALGPTQQQNFEFSALGQSMQPSPLNPFDDWSRLQENRGGVDDYLMEEIRVRSHEILENEEDMQQMLRILSMGGSSANMNHGDGFSPFMPSPAPAFNYEDDRARPSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >ORGLA04G0108900.1 pep chromosome:AGI1.1:4:14803478:14804005:1 gene:ORGLA04G0108900 transcript:ORGLA04G0108900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGMVEDAAAAAGEEGLTLSLSLQPSPPRFQALFSCCYCPRKFRSSQALGGHQNAHKLQRNLARRGREAAASLAAAAAAAAAAAASSGDQQQQGRTTAAAAAVLAGGESAPPAAARAAADLDGAGVWGGAGMRGRPAHHHRLMQGGYSSGGSSAAGGRGNGELADEMIDLSLKL >ORGLA04G0108800.1 pep chromosome:AGI1.1:4:14781509:14785227:-1 gene:ORGLA04G0108800 transcript:ORGLA04G0108800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT1G26230) TAIR;Acc:AT1G26230] MSRMAAPPPPLSGTGKPPTLPFSLKKPPPMPVYKDLHFNRDLSATKKLQAGVDLVARLVGVTLGPKGRNVVLSNKYGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGARTNDVAGDGCTTSIILAQGLIAEGMKVLAAGINPVQIARGIEKTASALVSELRLMSREIEDHEIAHVAAVSAGDDYAVGNMISDAFKRVGRKGMVRIENGRGTENGLEIVEGMQFERGYLSPYFVTDRTNMSAEFTDCKILLVDKKITDASEIIRILDSAVKEDYPLLIVAEDVEEKAMADLIKNKLKGTIKVAAIKAPSFGEQMTQCLDDIAIMTGGTLVREDMGYTLEKAGKEVLGSASKVVVGKDSTLIVTDGSTQHVIEKRVAQIKGQIENSSERYQKKILGERIARLCGGIAIIQVGAQTIIEMKDKKLRIEDALNATKAAIEEGVVIGGGCSLLRLSMKIDRIKESLDNMEQKIGADIFKQALSYPTALIANNAGVNGSFVIEKVLLNEDSRYGYNAAKNRYEDLMAAGILDPSKVVRCCIEHAAVVAKSFLTSDVVIVEAKEGKPVRIRPLMPPKSLIPPMLPSASGIRV >ORGLA04G0108700.1 pep chromosome:AGI1.1:4:14771642:14772104:-1 gene:ORGLA04G0108700 transcript:ORGLA04G0108700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COX assembly mitochondrial protein [Source:UniProtKB/TrEMBL;Acc:I1PLL8] MHPPLTLHRHPMCAEIIEAFQKCHVDHPVKKFFGECTDLKIKLDQCFRQEKALKRKANFEESKKFKEQLLAYKKEMAEQDKES >ORGLA04G0108600.1 pep chromosome:AGI1.1:4:14754349:14756979:-1 gene:ORGLA04G0108600 transcript:ORGLA04G0108600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAPLLTPYKMGRFDLSHRVVLAPLTRQRSYGNVPQPHAILYYQERTTKGGLLIAEATGISDTAQGYKDTPGIWTKEQVEAWKPIVDGVHAKGGIFFCQIWHVGRVSNNTFQPNGQAPISSTNKSLKPAVRANGIDVATFSTPRRLETDEIPFVVNDYRVAARNAIEAGFDGVEIHGAHGYLIDQFLKDQVNDRSDKYGGSLENRCRFALEVVQAVTDEIGADKVGIRLSPFASYSEAADSNPEALGLYMANALNKFGILYCHMVEPRMVKLGEKFETPHSLRPIRDAFKGTFIAAGGYNKEDGNKAVSTGYTDLVAYGRLFLSNPDLPERFEIDAPLNKYNRETFYISDPVIGYTDYPFLPSDV >ORGLA04G0108500.1 pep chromosome:AGI1.1:4:14723414:14726223:1 gene:ORGLA04G0108500 transcript:ORGLA04G0108500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKEWMSEIDKYANDSVCKLLVGNKCDLAESRVVETAVAQAYADEIGIPFLETSAKDSINVEEAFLAMCAAIKKQKSGSQAALERKASNLVQMKGQPIQQQQQPQKSSCCSS >ORGLA04G0108400.1 pep chromosome:AGI1.1:4:14720756:14722680:1 gene:ORGLA04G0108400 transcript:ORGLA04G0108400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein [Source:Projected from Arabidopsis thaliana (AT4G10000) TAIR;Acc:AT4G10000] LSINVATSSLSSLARLPWGSSVSTSSENNVNPTTSAQTLQLYEFEACPFCRRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGEGNSPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTYARIVREALCELEVPYILQNVGEGSSKMDLLQKISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTSG >ORGLA04G0108300.1 pep chromosome:AGI1.1:4:14720084:14720492:1 gene:ORGLA04G0108300 transcript:ORGLA04G0108300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARPVHHHHHPRLCPTAPWRSPPPARGARLRSRARGPQTLAARARPPRAEAGEAETAAGGTGATTTTGGGGGSVLSFLCPLLKLLGGGDPSQERNDIVE >ORGLA04G0108200.1 pep chromosome:AGI1.1:4:14707654:14708208:-1 gene:ORGLA04G0108200 transcript:ORGLA04G0108200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGGGCQYRGVRRRKWGKWVSEIRQPGTKTRIWLGSFESAEMAAVAHDVAALRLRGRDAQLNFPGSVDRLPRPASSRPGDIRAAAAEAADRVRREPALVVVRGAAAAGGELAAARWAGVEVEVEQQLGGSDEEFEVDSPRLWAEMAEAMLLDPPVWAVDVSEMEGPHCWAHGSLWDAC >ORGLA04G0108100.1 pep chromosome:AGI1.1:4:14699442:14700398:-1 gene:ORGLA04G0108100 transcript:ORGLA04G0108100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPITFFTNIIGVVTIVLISIVSILGLICLCHSLNFQLLIKRRRRNYYQANDEQLSYFNGPWLTRITLILVALWWGVGEVLRLTFVNGEGRFISDQTWQANVCKFYIVSNLGFAEPGLFLLLAFLLSAALQNQEVGALNRKWNQRTICAVFMLCSPSLIWEACVVFIGPHIASNDGQTSKVAKYWYSASSVHDGDVACTYPLLSSIFLGTFYTVLTLYVIFVGGQILSLVINRGLRRRIYMLIFATGILLPRAMFLGFSVLPWPGEIVHESLVFVSFLVLMIAAMLGIVILVYFPVAETFEVRNQEHIELQTSHSIAL >ORGLA04G0108000.1 pep chromosome:AGI1.1:4:14692035:14697193:1 gene:ORGLA04G0108000 transcript:ORGLA04G0108000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase methylesterase 1 [Source:UniProtKB/TrEMBL;Acc:I1PLL1] MEPAPLASLQEEGEGEPGESPSSAAAAVPPRPATHHSLHKYAPLDWSAYFDEERRVAIPDTEDVFNVYMAGSEGPVVFCLHGGGYSGLSFALAASRMKEKARVVSMDLRGHGKSTTSDDSDLSIETLSSDVIAVLRTLYGDSPPAIILVGHSMGGSVAIHVAAKKVIRNLHGLLVIDVVEGTAMASLIHMQKILANRAQHFPSIEKAIEWSVKGGPLRNIDSARVSIPSTLKYDESRECYTYRTPLEETEKYWKGWYEGLSEKFLSCPVQKVLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASHILNFIARNKIGPNGVEIPGLIKKWQH >ORGLA04G0107900.1 pep chromosome:AGI1.1:4:14686337:14688676:-1 gene:ORGLA04G0107900 transcript:ORGLA04G0107900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:I1PLL0] MSPSSSSSSWRALVLVAAAVLSFSGHVVVAAAAAGHPDYADALAKAILFFQGQRSGRLPPDQAVKWRSNSGLSDGSAANVDLTGGYYDGGDNVKFGFPMAFTTTMLSWGVVEYGGRMRGRVLRDARDAVRWAADYLLRAATATPGVLYVGVGDPDADHRCWERPEDMDTPRAVYSVSASSPGSDVAAETAAALAAASLALRAADPGYSRRLLAAARDVMAFAVRHQGKYSDHVGGDVGAYYASYSGYQDELLWGSAWLLWATRNASYLDYLASLGANDGVDMFSWDNKLAGARVLLSRRALVNGDRRLDAFRRQAEDFICRILPGSPSSTTQYTPGGMMYKSGHANLQYVTSASFLLTTFAKYMAVSNHTFSCQSLPVTTKTLRALARKQVDYILGANPQGMSYMVGYGARFPQRIHHRGASMPSVAAHPAHIGCQEGFSGYFNAGGANPNVHTGAVVGGPDQHDAFPDERGDYDRSEPTTYTNAALVGCLAYFAGNNRS >ORGLA04G0107800.1 pep chromosome:AGI1.1:4:14682166:14682657:-1 gene:ORGLA04G0107800 transcript:ORGLA04G0107800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMAAAAASTFLLIAALVVPTASASAAESAAGPYDPPTVPELMDRFGLPRALLPATARRYLLHDDGSFQLFLDGGCVAEAGGYRVGYGVKLSGAVAPGRATGLGGVRVRVLFAWVPVTAVEVAGGEVTVSLGPIKKSFPAAGFKSSPRCIAGAATPATASDG >ORGLA04G0107700.1 pep chromosome:AGI1.1:4:14678262:14680818:1 gene:ORGLA04G0107700 transcript:ORGLA04G0107700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPAGSASLLLECYGGDPPLSSMEGTSSGEEVEDGTGPSPEAEEDDDPAPYVGQRFPTHDAAHELYSGFARRRGFSIRRHRTEGKDGVGRGLTRRYFVCHRAGNPPAKPFAAGAGDRPQRNRRSSRCGCKAYMRIGRSAIAAAAAGEAEGEWRVTGFSNHHNHELLGQDQVRLLPAYRVVSGDDRDRILMLARSGISVQQMMRIMELERRVEPGNLPFTEKDVRNLIQSCRKSDQEESVDLIKMCRRFQEKDPDFKYEFTKGASNRVENVAWSFASSVQSYEMFGDAVVFDTTHRLPALDMLLGIWVGLNNHGMPCFFGCALLREESLQSYAWALKVFLNFMNRKAPLTILTDENMYLKEAIEKELPGTKQALCIWLIAARFPSWFDAVLGERYNSWKDEFDRLYNMESTMEFDLGWNDMMNSYGLHGNGHIASLFASRTLWALPYLRGQFFAGLLASPETSKSISVFIQRFSSAQTRLAHFIEQVAVVAEYKDQAGRQQMMQHNLQSITLKTATPMERHAAAVLTPYAFSKLQDELVVACQYASFHLEGNVFLVRHHTKTEDGGCNVTWSQREELISCSCNMFESAGILCRHALRVLSTLNYFQIPDHYLPPRWRRTWPSPSKALNGAYFDESPEIGRVKALQSMVSALVSEASKSTERMDIATREVSALLSRMRQQPVVMHVSGDGVHK >ORGLA04G0107600.1 pep chromosome:AGI1.1:4:14674178:14674582:-1 gene:ORGLA04G0107600 transcript:ORGLA04G0107600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVGNSHGRKRGHGEKKGKGKGSIIFKGKNKGKPRGKGELKKVTGESSGVKQDNCYRCGGRGHWSRNCRVPKHLVELYQQSMNEKKSQHESHFTIEPEAQIEKYDDMLINVKDGGDVRMDDDRDNLLEKDDIFGDL >ORGLA04G0107500.1 pep chromosome:AGI1.1:4:14665992:14667547:1 gene:ORGLA04G0107500 transcript:ORGLA04G0107500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQYSEASSLRQREWSKTGRPSESWIDRLARHLASLPQQIRHQWRRWRRPRVDRRRWQWRLLPPPLTSLPLQIQWRWRRPRVDSAAVVAAEGGSGGSGSSRGGSGGCCSSLAWWPADASLPGVLAAPARSGDLFPSRTDPMVFPFPLARIRRRLRRDGWIRRPPPLPLGSRRLSAPCSCGSAAGDGGSVQIWWCRPWSRPPRRRRFCTRGPYTAPCRLLLLQIGEDVRTACVYVESLTKELVFTTKDVTQLLVKGLSNRRTGATSANADSSRSHCVFTCVSKSESKVVLLIILSCTFNFYLVMSFFLRS >ORGLA04G0107400.1 pep chromosome:AGI1.1:4:14647709:14653254:-1 gene:ORGLA04G0107400 transcript:ORGLA04G0107400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:I1PLK5] MDGHPSPRSHHQPPPPERDGSFNYDIESMDGGGGGGAWRGRYESSEALLRYDDEAGGGPRQPLLRKRTMNTTSQIAIVGANVCPIESLDYEIVENDLFKQDWRSRKKKQIFQYIVLKWALVLLIGMLTGIVGFFNNLAVENIAGLKLLLTSDLMLKQRYFTAFLAYGGCNLVLATTAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIEFCRSGKCGLFGQGGLIMFDLSSTIPTYTAQDVVAIIVLGIIGGVFGGLFNFLLDRILRAYSIINERGPPFKILLTMIISIITSACSYGLPWLAPCTPCPADAAEECPTIGRSGNFKNFQCPPGHYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLHMLPLVMLVLLISKTIADSFNKGVYDQIVVMKGLPFMEAHAEPFMRNLVAGDVVSGPLITFSGVEKVGNIVHALRITGHNGFPVVDEPPVSEAPELVGLVLRSHLLVLLKGRSFMKEKVKTSGSFVLRRFGAFDFAKPGSGKGLKIEDLDLTDEELDMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMHEHIHGLFPNLSKSH >ORGLA04G0107300.1 pep chromosome:AGI1.1:4:14624314:14627052:-1 gene:ORGLA04G0107300 transcript:ORGLA04G0107300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGVTRVLLVDDSPVDRRVVQLLLSSSACAGSFHVIAVDSAKKAMEFLGLKEEGKEQAIDMVLTDYCMPEMTGYELLKAIKALSPLKPIPVIVMSSENEPQRISRCMNAGAEDFIVKPLQSKDVQRLRNCSPANTQCCDAGSDGKPPLLLLPSDHVVVDATAASPPPPPSRRRAHFAGVAMVLHSSSVELSHYFPFLFKFILLVYAILCLGELLHRWSNGCFLNLWCA >ORGLA04G0107200.1 pep chromosome:AGI1.1:4:14617732:14623567:1 gene:ORGLA04G0107200 transcript:ORGLA04G0107200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF482 (InterPro:IPR007434), Acyl-CoA N-acyltransferase (InterPro:IPR016181); Has 2165 Blast hits to 2163 proteins in 543 species: Archae - 0; Bacteria - 1044; Metazoa - 0; Fungi - 0; Plants - /.../ruses - 0; Other Eukaryotes - 1088 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G23390) TAIR;Acc:AT2G23390] MASPAVATRHPSPSIFGPDPRRRRGHSPSNLGFRRSGLQLKVTAIFGWIKGDTRTRELNPSAESYTLTGSASEADTKPREVSVAVVSSIMDIPSADWDACAVDSVDPDNFNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDENETVVGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILVRDTPYRDQVFEALVKELKSLTTKLKVSSLHITFPSEGEFSKLKDSEFLQRIGMQYHWRNRDYRSFDEFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSEHWDTFYKFYRNTTDNHWGRPYLTREFFHRLGEKMGDKVMLIVAEHDDKHVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGAAIGNYLAHETAQVKHVIKVLHDSGPYKEDILNEFLLTQGDGV >ORGLA04G0107100.1 pep chromosome:AGI1.1:4:14614740:14616623:1 gene:ORGLA04G0107100 transcript:ORGLA04G0107100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:smr (Small MutS Related) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G23520) TAIR;Acc:AT5G23520] MYRQKIPNSGWAAFDRRWRSKDGRGDDTDVNSFPALSDYIAPSAASSSVAENSRPKAKPFASVLRPSVDCAADGNENGNKHFTCHRENANCGLKSASENKIELLRGAHSWADSNLIEDVLASVNNDVGEASALLKAMASPCFPIREDGLPDQLSSEINKTHGLPSGNGTAENNLVNDSQLLPLPMNMSSVPIEPEVEELDDDYFNHRKDALKIMRAATKHSQAASNAFLRGDHAAAKELSLRAQEERSAAEELNKKAAKEIFRLRNSNNSIWKLDMHGLHASEAVEVLERHLHRIEFQPPGNNAASSDEVARSEPRVSGPSIEPGPGKVVFVRPIQAILEVITGIGKHSKGQASLPVAVRGFLIENGYRFDELRPGVFSVRPKFRRR >ORGLA04G0107000.1 pep chromosome:AGI1.1:4:14606204:14610864:1 gene:ORGLA04G0107000 transcript:ORGLA04G0107000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1PLK1] MAAAMEMAANPGGSGTCSDALFRELWHACAGPLVTVPKRGERVYYFPQGHMEQLEASTNQQLDQYLPMFNLPSKILCSVVNVELRAEADSDEVYAQIMLQPEADQSELTSLDPELQDLEKCTAHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPCQELVAKDLHGTEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGESGELRVGVRRLMRQVNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSEFVVSVNKYLEAKKQNLSVGMRFKMRFEGDEAPERRFSGTIIGIGSVPAMSKSPWADSDWKSLKVQWDEPSAIVRPDRVSPWELEPLDASNPQPPQPPLRNKRARPPASPSVVVELPPSFGLWKPPSEAAQTLSFSEPQRAREIFPSIPASIFSASSHVEFNSKNEPSILSNQFYWSMRDSKTDSFSASTNKARVERKQEPTTVGCRLFGIEISSAVEEALPAATVSGVGYDQTVLSVDVDSDQISQPSNGNKSDAPGTSSERSPLESQSRQVRSCTKVIMQGMAVGRAVDLTKLNGYGDLRSKLEEMFDIQGDLCPTLKRWQVVYTDDEDDMMLVGDDPWDEFCSMVKRIYIYSYEEAKLLAPKSKLPVIGDTIKLSSMNSSHESVDLDNHASVTNRDC >ORGLA04G0106900.1 pep chromosome:AGI1.1:4:14590542:14595573:-1 gene:ORGLA04G0106900 transcript:ORGLA04G0106900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAAVAAGAAAGQQPQGRAQAARQAGGGLGQTIAGIVRMAVFWYFAAKFFGPKRPPAEPGMLMSNLFQKGEPLDMWMYLSENEKFNDFSNEDALVWHEANIPYAVWGPTSTRTHTLTYYPSEAIKNNGSLYAHVYFARSGYPVDPTDPEYEQSSAFGRTHPVVAYLRKPKDGKKKSLLGDSSESNEQPPPKENKDSVDKDEGPVEYISYWKPNVTINLVDDFTRYPHNNVPPNVAPYLNVDPSSNNYYPTVFFNEFWLLRDKLIALNETVEELPLNLEVGPISMTKWQIFLQMEQSFQVHRSYGSMLEGEADELKRVFLEGNPYFLGLTMIVSLFHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFVCQLIIFLYLLDNETSWMILGSSGIGVCIEFWKIGKAMHVEIDRSGKIPMLRFRDRESYAQNKTKEYDAIAMKYLTYVLLFLVFCFSIYSLKYEKHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYLYQRWVYPVDKKRVNEYGFGGEDEPQAPQTLEGSDPAAASQQAGAEAEAETSTEDKKTK >ORGLA04G0106800.1 pep chromosome:AGI1.1:4:14571542:14576167:-1 gene:ORGLA04G0106800 transcript:ORGLA04G0106800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESRASSSPPPDAAADAAVDWRGRPCEPRRHGGMRAAVFVLGIQAFEIMAIAAVGNNLITYVFGEMHFPLSQAANVVTNFVGTIFLLSLLGGFLSDSYLGCFWTMLIFGFVELSGFILLSVQAHLPQLKPPPCNMAATDGGCEQARGIKASIFFAALYLVALGSGCLKPNMIAHGADQFAAAADGGGAAAADNAKRLSTYFNSAYFSFCAGELVALTALVWVQTHSGMDVGFGISAAAMAAGLVSLVSGAAFYRNKPPQGSIFTPIARVFVAAYTKRKQICPSSSSDPVNAGVCEPAHLAGGSFRHASKFRFLDKACIRAAEQGPNTKPESPWRLCTAAEVRQAKTLLAVAPIFACTIVFNTVLAQLQTFSVQQGSAMDTALGGAGSSFRIPPASLQAIPYAMLLALVPAYELLLVPLMRRATGARSGITPLQRIGVGLCTVPLSMVAAATVERRRRDLSLSAGGAPPRAMSVLWIVPQFLVFGVSEMFTAVGLIEFFYKQARGAGMQSFLTALTYCSYAFGFYLSSVLVSLVNRVTASRGGGGHGGWLGDNDLDKDRLDLFYWMLAVLSVINFFCYLLCARWYNSGGADDGCDASASAQVAAEGDGNGKEII >ORGLA04G0106700.1 pep chromosome:AGI1.1:4:14567308:14570903:1 gene:ORGLA04G0106700 transcript:ORGLA04G0106700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TEEVHWLNDLGLVMRYTVKLARKGEAHHAANLFAVSDSTLSSFRGKSLSAACINHVLLHSPSSSPLLPTRKFPVSLSVSATPVISQLSIDPIMGGGGGENRIDNHDDDDDDWELAAGAALADVTLVLVGKVGSGKSATANSILGDEAFESKCSYAGVTQTCQKKSTTVQDGCLIRTINVIDTPGLFDMDIKAEDVRREIVKCMDMAKDGIHAMLMVFSATSRFSCEDEKTIETLKSFFGDKILDHMILVFTRGDEVGGETSWKNMLSDSAPTYLQDILKLFENRVVLFENKTSSTQDRQAQRKKLLMQWTLSYQAIMGNHFQISCLLRFRRCITDRKMPILRCIHQCKRLTVTFHSXPRWXKKSXTVQSXGWNNSFXKSRKRGWIYRTRXRRQSXDQKRTSGDXGXAXRRLNKRVTMLERKTRDLENRRRLGKNRRSKQKLRFRSLKRRWRRTEKKGRNKERRRFAGLEMIWRKLARTGQGERRKAETKRLHHSL >ORGLA04G0106600.1 pep chromosome:AGI1.1:4:14565611:14566902:-1 gene:ORGLA04G0106600 transcript:ORGLA04G0106600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRTTTATMIPAASSSRKRARVPTTGAGDGGGGGGEGRLGELPDELLLSILSCLTTRQAVQTSVLSRRWRHLWRSTPRFDVDLAEFARPPPSSAPWLLHGRGSTDPWERLRRFTARLLMSHAAPVLGAFRLRVATPFHRRADVESWSTFAGDLRSGCPALVDMELDRCKCFFHELSSATLRSLAMESCLWMRRPSGTNGDRTVSVVAPRLAYLRLLTFGHGDCKVFRFESGDSISEVSIRGGFNLINLFRLLRMMPNVTTLRLSGFGPTSKYLRECSENFPDLHNLTTLLLD >ORGLA04G0106500.1 pep chromosome:AGI1.1:4:14557196:14561297:-1 gene:ORGLA04G0106500 transcript:ORGLA04G0106500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRRRRAPATVLEINVVTPSYPPGHYDGYTMPDLIITSRAWRRLTRLHLSHAWLDGGFGEQLGDGCPLLEDLALRRCAMAPGFRRIRCGSLRTLVLHYTGCGGGDAGGGDEEEEEETLVISAPRLASVRVKITSYACRHGVSFDGSTADSLVEASIRVGRRRALPTGVEAVLLAGMVNVTTLELEGIQAKGWYCFIVWGEFPCGGIDXCKXLSNVAKRRGHASRCPVQCHQFGVEGYSGNGNTGXGIGXIPIIQQPEKLVTTLLSSRQRXFEXQIQGSWKITTKVSXFGEAYFAGLLGIMVQKYKFCSRKNSGARGASVYPHPFTCCIRLKL >ORGLA04G0106400.1 pep chromosome:AGI1.1:4:14548038:14551465:-1 gene:ORGLA04G0106400 transcript:ORGLA04G0106400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSALPDGLLHAVMSFLPARQMAQTCVLSKRWVHLWRSVPSLNLDIREFRNLSKEDDDDDDETWGKMKDFIANLLMFHHAPTLDTFTVCTGVVVQAAVGNRSRRNHRHNDINYHAVADNRRRDVGRWIRGGIKYCPRVLDVAVAPSGSGCRPPPDLGSGSCCRRLERLRLSCFALDSGFARQVRDSCPVLRCLELHRCHIQFSHIESSTLNKLVIEGCIGCSLSLAISAPRLASLCLDLSYGAYKNGVSLNGLNSLVEASVTLNVFQISPEGEAMLLCGLFNVTNLELEAIHAKLGGVLGAKLSAFGSAKGGGRERRKFYGCCNVNEKVILHEKFDKFSSFNNLRTLSLDDCFQGMGDLKEKFKALGRLLEKCPNLEKLTLQHCWFLSGSTQRAEIETRTSGLCLQSQDQVTFHCQKLKLIEIKHDSELCCDHQLFQLMWGFWKDLKKATIILTKL >ORGLA04G0106300.1 pep chromosome:AGI1.1:4:14541446:14545856:-1 gene:ORGLA04G0106300 transcript:ORGLA04G0106300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVAKRARTGGGGGSAAVDRLSSLPDALLHAVMSSLPARQMVQTCVLSKRWVHLWRSVPSLNLDSREFLLPIYDRWQKMEDFTTNLLMFHHAPTLDAFSIRADVAVGKHGRHVDRWIRCGIKYCPRVLDIAVATVGSRYRLPDLASGSCRLGRLHLSYVALHSGFARQVRDSCPVLRCLELHRCLTKFSHIESSTLNRLVIEDSMGGSDSLAISAPRLASLRLVAFLFHTYKNGVSLSGANSLVEASVAVKSGRTSPEGEAMLFCGLFSVSNLELKGIQELAILHEKFDKSQSFHNLRTLSLDNCFQAEGDLKDKFRALGKLLEMCPNLEKLTLQHCWFLGGSTQRAERKTRTSGLCLENFSETLGDADVDAALDSWQPVRPILSLHRLEDKVTFVQEPDELSMFYNLKRACCCSIASSANVVISERLKRGEDGETDLDSVPDPHDFNTSQCPNLKVIEIKYKY >ORGLA04G0106200.1 pep chromosome:AGI1.1:4:14534373:14536253:-1 gene:ORGLA04G0106200 transcript:ORGLA04G0106200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFMPDXXFAGLAYSASMATARKRSCPDTISTGDRLSALPDALLHTILSSLKGRQMVQTSVLSKRWRHLWRSVPCLDIDQREFAAASENWAISRSDLEKFEDFADNVLAYRCGSPAKLDTFRLRICDRYHSLRSSDTDRWIRRGLKCSPREFHLHFDYRYDSYLLEMHKLGSNSGCLTKLHLTSVSLHECFMEHITTVCTLLEVLELNRCNLYLQEITHPKLKNLVLHGPAEMPSLVRASIRFSVVFPCKCNFLNVLFNVTSLELSGFREMVRLEFNQVEFHAFKNLRTLLLDRCRPSYNNELLRHLLQNSPNLEKLTVHCCKFSKGSLEWRKSSQHKNQVNCRKLKSTEIIYKDIDDVRELVDLLLDVSGHLPKNTIALTKI >ORGLA04G0106100.1 pep chromosome:AGI1.1:4:14529466:14530816:-1 gene:ORGLA04G0106100 transcript:ORGLA04G0106100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDTTRKRPRESGVAVDRLSALPDCLLHVIMSFMKARQVVQTCMLSKRWEHLWRTVQCLDVDHREFQSTGEAAQGDNEVWQNFEDFADNLMLHHQIAHLDTFQLHVNDVYRWGQHASRWIRRSIKYNTKVPGIPRPGLSCSSWSLKRLHLSNICLDDLFAKHISSMCCSLEDLNLKGCRFAFNEITSHSLKSLVIDSCDSKLCPSKLVVTAPAIASLCLIVKLWFFPGGLFVNEMPFLSKASILVSATYDGKNFQHNQSKFLGSPCNVTTLELSGFQTMIIPEEPVELPEFKNLKILSLDKCDLSDNFQLLKHFLQNSPNLEKLTLRLCELPKDSKKRKGKAKAKKTRLVDIRCENLKLTEIIYDADDVLQLVGLLLNDSANLPNNIKLSKVDR >ORGLA04G0106000.1 pep chromosome:AGI1.1:4:14515771:14522212:-1 gene:ORGLA04G0106000 transcript:ORGLA04G0106000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein [Source:Projected from Arabidopsis thaliana (AT1G33980) TAIR;Acc:AT1G33980] MAAARAHVKDPAHRTKVVLRRLPPAIAQQAVVEQVDARFGGRYDWSCFRPGNASQKNHRYSRLYLNFKGPEDVVEFAEVFNGHVFVNEKGAQFKALVEYAPSQQVPKSNTKKDARQGTIMKDPEYLEFLESISKPAEHLPSAEIQLERKEAERAAAGKEPPVVTPLMVYVRQQRAAKSMAQRSGSSRLSRKVAGVVTSSPSPSKRGSEKRRTSASTQYVLRENAKEKPTYILVPKRDEHAQREKDATSGGISGSAHVAENKKEKIVLLKGRARVDSNTSDVTSQQQSGTPMKNAAQSSSRQDPRLEGSGRIIKTILSNKEGRHVVTSQHDQEGHIITAEKRPPRIPNPRSIVKDQVVENAEKNHLDDKHSHLHGSGPISEKTERHARNRDRPDRGVWAPRRYDKSASGGTHSSSSEFSPMQQHSGENFCQQADGHGERKIDPRGHGGIRGGPVENGHRHANRRGPPRGLKEMEISASTSDGKPSKRASANYGAHERQVWVQKSSSAT >ORGLA04G0105900.1 pep chromosome:AGI1.1:4:14511494:14513901:-1 gene:ORGLA04G0105900 transcript:ORGLA04G0105900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYGLQLRTKPAASSSSRAPPPPPARPLAAFADDGDDDVEADILRQSYKKRSQQKVEEQQKKAMEEDPSVFAYDEVYDDMKQKAALPKMQDRVVRESKYIAALKEKAEQRKREQDIIYERKLQKERSKEDHLYGDKDKFVTSAYRKKLEEERKWLEEEKRRQLQEEKEDVTKKKDLSDFYFGLSKNVAFGAQTHDNTKHAKPEKLDEKVQDAKTSKVGAEVSDRSPKRKRDSGEGAETANESRSVEEPATTQSRDSAAARSTEKNADVSLDAPQTQTPENTQPAPQTQNPQNTQPAQITDEHYKRNADALAAARERALARKKAKAQQI >ORGLA04G0105800.1 pep chromosome:AGI1.1:4:14505293:14510561:-1 gene:ORGLA04G0105800 transcript:ORGLA04G0105800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT5G16620) TAIR;Acc:AT5G16620] MESLVLASSCSASPRLPPLSAARRRRPSSQTLPATAAASGRRGAGRSKLVVVAAAAAAARGSGNGFEGLKTNGFASMSSSTNSENMSTGTGSLPPMPPPSSYIGSPVFWIGVGVALSAAFSMVSSMVKKYAMQQAFKSMMTQAPPNTFGSNSPFPFAMPPQAAPAAPSSYPYSQPRKDTSPQSATVDVSATKVEATGTLEEADVAEQPKKKFAFVDVSPEELQQKELQSSLETVDVKSESKQSETMEDTEQKAPTNGTAFKMNEGSASGTTESSNSGPMLSVDTIEKMMEDPAVQKMVYPYLPEEMRNPDSFKWMLQNPMYRQQLQDMLNNMGGSPDQWDNRMLDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDKEVMDVFMKISQIFPQING >ORGLA04G0105700.1 pep chromosome:AGI1.1:4:14497513:14499981:-1 gene:ORGLA04G0105700 transcript:ORGLA04G0105700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHLLLLLLALLLSPPLLLASSSFPLPTIAIAAVSNSSSNPSKQHLACGLVPAAGGAAAGYRISCASVSNRSAAAPHVYAYGGDGTCSPYSAVVAGDGYLCSAAPTSSPPMSMRWWDLNEAGDGSKRVYRGRVLSAVSGGGESVCGLVGERMQCWRCPWGEGAPARVGFSAVAVGGGFVCGLVVGSGEVRCYGGGEVVGREPAGRRFMLLAAGERHACGVDDGGVVGCWGEAAAVAAASPPRISRAVSTVAVGDAVTCVLWGNWTVSCWPEGEASPPPALAGQQFVALEAKGKVVCGVLMSDYSLQCWGAGVAGGVRKVFDKVLPGPCAPSKSCSCGVWSGSAQLCAGSGGGGGGDVSVCYPCGYTPPPMALSPTSNSSSSSSSQSKGKRRPSNLAIALISAGAGSALVALLAALAAVYYLRRHRGSSSPVSGRIHAEPTGTAPRVERRLSALLSKGPNTTVEQFPLVALRAATDCFSPAKRIGSGSFGAVYRASLPDGREVAIKRAERRDTGGPSSSSAAAARRVDHEAAFVSELALLSRVNHKNLVRLLGFCADGGERILVYEFMPNGTLHDHLHRRAASAAAPLSPPLASWPSRLRLALGAARGIEYMHTYAVPPIIHRDIKSSNILLDSCWTAKVSDFGLSLLNTLDGDNAAAGDGGNAGDGDDEERCVTAGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELLSGCKAIQKYEGSGSPKNVVDMAVPHIEGDRVHRVLDARLPLPTPWEMEAVAYVGYLAADCVRLAGRDRPTMSEVVGVLERAVASCDEYEEGGAGAGGEPALSRSCTDGSTAT >ORGLA04G0105600.1 pep chromosome:AGI1.1:4:14482547:14484275:-1 gene:ORGLA04G0105600 transcript:ORGLA04G0105600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSAGLRASCCREGSTVAKRVNSACSTYDSIHILQEDFFEGLELNGRKDGSVSACKILKVIIGSGNTKMYEVGWIGQDNAVTNTSVLQADDLVIRKKARASRSMLKIYIRESTSQNSPWIIHANLAKKYGIPTEPPKDLLNGQGLPKLRRGLENGTTDDVRKKLKKGEPIDDLLLRPTADDPSLSKRRPLSTDFRVPVDSVGDLLMVWDFCMTYGRILCLSPFSLSDLENAICHKESNLVLLVELHAALFHLLIKDGGGYFMFLQNKRRKLKVTLVTWAEYLCDFLEM >ORGLA04G0105500.1 pep chromosome:AGI1.1:4:14480977:14482017:-1 gene:ORGLA04G0105500 transcript:ORGLA04G0105500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSVQSNSLGKDRYYNRYWYFRHEGRLFVESADSKEWGYYSTKEELDALIGSLNVKGIRERALKRQLDKFYNTISNALEKRTKDVTQKMLLQEAALRRSSRVQAQPRDNPSMLFLKYVNKWKEN >ORGLA04G0105400.1 pep chromosome:AGI1.1:4:14477196:14480034:1 gene:ORGLA04G0105400 transcript:ORGLA04G0105400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAMELPAVRAYDALGINETSVKSMPFENLATLMQSPATLQATKAVLDRFEKLLPLLSSPVINIDHLLKHLGSPKKKKTPPPAAAAASASRYSLRVVLCSYMILAHPGAVLSGQGEKEKLLMDSAGSFVKEFELLVKTVLEKQSTDAAAGQKMFSAQLADFDRAWCAYLYCFVVWKLKDAKSLEDDLFRAACKLELSMMQTCKLSSDGQSHNGLSHDMKAIQKQVADDQKLLREKVHQLSGDAGIERMNSALSDTRSKFFEAKESGNPLAASVANVSTPLSIGSSGSSFTAQSLPGAASSSSSSSLMKQPTDNEQMLNEMLHEDDVSFGGNSDNVSSAEKEFQAKVKSTMEKAFWDLVTDSMKGDKPDYTQLTNLVKEVRDSLHELASKELKEEILENIDLEILSQVLESGSQDTRYLGQIMHYSLDMIRKLSAPAKEDDMKRSHEKLLNELAASSEVNDNGISSFVIAVIKGLRFTLEEIKQLQSEVSKARIQLMQPIIKGSAGVEYLQKAFADRYGPPANASASLPITKQWVSATKSIVEQEWSSHLESLQALPADYAQRVVVPALRAGHGAPMAQASSSAASGSGLPECKGEKIDKLTRVGLLQLISNVEGLNMQSTPETFQINLPRLRAVQDQFQKVIVIASSILVLHQVLVSKVAAPELQNAISELYDALVKLLDSNPDASTEEIVEAMASSLATVGSLSLPEKQAQATAELATKMLLKSLQAGDVVFGKVSRAVYCAFRGVVLGGGGVKGKKLAEAPLRRLAAAKLADRVVKAGEVLVKMAAISEKVHGQWYKALAL >ORGLA04G0105300.1 pep chromosome:AGI1.1:4:14466934:14473093:1 gene:ORGLA04G0105300 transcript:ORGLA04G0105300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREPVAMEIPAVESSAAGRMPPRIRRRLLEGSRVGGGGPTSAEEIEAKLKDAELRRQQFHEWVSCKARKKPRSPSWSSQEEDQGQRLEAKLQAAEQKRLSLLAKAQNRLAKLDELRQAAKNVVEMRIEKEREELGTRVESRVRQAEANRMRLLHAHMQKRAAMKERTARSLVRKQTSERKYTERVKSLILQKRNAAEKKRLALLEAEKRKAQARILHIQRAAKTVCSKRESERRQLQEQLESKLQKAKRQRAEYLKQRVSPRSSAHADYIKHAEFLSTKLARCWKRFLKSNKTTYALVQAYDALGINEMSVKSMPFENLAMLMESPTTLQTTKAVLDRFEKRLLLSQPTGSSSAENIDHLLKRLGSPKRKAPASRSRVAAKKPAKGSETSKLSRYSLRVVLCSYMILAHPGAVLSGQGEKENLLMESAENFVKEFELLVKTVLDRPGGASTQSTDAASQKKFRTQLAAFDKAWCTYLYHFVVWKLKDAKSLEQDLVRAACKLELSMMQTCKLSSDGQSHDLSHDMKAIQKQVTDDQKLLREKIQHLSGDAGIERMNSALSDTRSKFFEAKENGNPLATSVANVSTPLSINSSGQVPNPTSKPTVEGSSFTAQSLPGAASSSSSTSPMKPPTDNEQMVNEMLHEDDVSFARNSDNVSSADDFQAKVKATMEKAFWDLVTDSMRGDKPDYSQLINLVKEIRNSLHELASNELKEEILENIDLEILSQVLQSGSQDTRYLGQILQYSLDMVRKLSAPAKEDDMKRSHEKLLNELAASSKVNDNGISSFVIAVIKGLRFTLEEIKQLQTEVSKARIQLMQPIIKGSAGVEYLQKAFTDRYGPPANASVSLPITKQWVSATKSIVEQEWSSHLESLQALPADHAQHVVPVLRAGHGAPAPQASSSAASSSGLPECKGEKIDKLTRVGLLQLISNVEGLNMQSTPETFQINLLRLRAVQDQFQKVIVIATSMLVLHQVLMSKIAPPELQNTISELYDALVKLLDNNADASTKEIVEAMTRSLASVGSLPEEQIQDTTELATKMLLKSLQAGDIVFGKVSRAVYFAFRGVVLGGGAKGKKLAEAPLRRLGAAKLADRVVKAGEVLIKMAVISEKVHGPWYKALAL >ORGLA04G0105200.1 pep chromosome:AGI1.1:4:14464452:14464871:1 gene:ORGLA04G0105200 transcript:ORGLA04G0105200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RECPSTPLPFFSLQPTCGPAHSVVLLLSPTLNVGRPFFLCPLPQSGSLSISPVSAVSTGKCGRSPSLPSPVISEGRDVEDADPNLPGFFKNPSRLSDNEIGEDGLPLAAEPDGFLGHDEGGDAPSEFDIAAELDDLDIA >ORGLA04G0105100.1 pep chromosome:AGI1.1:4:14454701:14455009:1 gene:ORGLA04G0105100 transcript:ORGLA04G0105100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPATRRDAEGSTAWTGRSPATRPGATESTGCNPATRRGAEASMASSPAKRRDAEESTASSQANRRGAKGSTAGTGRGPATRPCATESTGCNPATRPGATG >ORGLA04G0105000.1 pep chromosome:AGI1.1:4:14451786:14453281:-1 gene:ORGLA04G0105000 transcript:ORGLA04G0105000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVIDSASFSSEIEIQVQLHISGALDYMRPNTNGSLVGMVKMTTGNPRCPPQNQNIHSLRSGHRVPVDVLPPDGKLLASFRTSLGSFLAAHRSSLPREECGRRDEGPHGPPRAPLPDLHRGREQWRAPRLRPWPVDAHNLVIHLDNARVAEQHPREKKREEKKETRHVAAQASELCSLATARLDGCFLQPQIGSARRAA >ORGLA04G0104900.1 pep chromosome:AGI1.1:4:14444094:14448282:-1 gene:ORGLA04G0104900 transcript:ORGLA04G0104900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAETPNPDAEIPNTGAAAAAAAEPAAAAATTDPAAAGSPSPPLPPRKRRLSPTPSPTRRSSRSRSRSRSRSPRRGRSRSRSRSRSRGRSASPRYPDGKRRRHNDLNVEVCRDFLRDRCARADIECKYAHPHPTVAVDRDSKVTACADSLRNNCFRGRTCRYYHPPPHIQESLLRSIGVEDPKVKMQVCRDFTRGRCSRSANECRFLHHSPLEDCAIVCQDFLRGRCDRKSCRYSHVMAHPMPPPMRDIPMQYPDMVYMPPPAPLGVPMMMPPPSAPAAFSGNNYGVEVCRDYLKNMCNRESCRFAHPDLNNEVMNTQVEVCRDFKRGECNRPACRFYHPPASSNSIG >ORGLA04G0104800.1 pep chromosome:AGI1.1:4:14437034:14440539:-1 gene:ORGLA04G0104800 transcript:ORGLA04G0104800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETETGRDESEAAAAAASAMDDGPCGEREGGGDAAAKAVGEKDAGEGDEPKEEEEDGRDREEEEEEAAKRGWSEIRLAIEELSAVDVERRGGKPPPPSPPPPTLTFLALSHLLLQVLDKIGPTMAVLRLDVQRNIERLQELYLLDPSKCYNLEEILEKEVHEGTARKVDSCARAVLWLTRSMDFTIALLQRLEEDSDQKCFAQLVESAYMVTLKPWHGWISSAAYKIAMKLIPERRMFINLLVGNCQDCAALKEEIRKLAKLLKPFLDDIHAMMAKFRLDKLKST >ORGLA04G0104700.1 pep chromosome:AGI1.1:4:14364743:14366511:-1 gene:ORGLA04G0104700 transcript:ORGLA04G0104700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQGFGPGGSPKSFRYPRADFDLESGIARKGSRKPKNHDHPGLLTSTLMKIRYFYEAHPVAVAFILLSFGLSVLILLSVYETRFRMMRGSSGEVGEYPLPELRNLVMVAGHSVYTSESCGKIDREDSWFLEPYQKHPGQAATFLAHIKEGVGIAARDEKALLLFSGGETRKDAGPRSEAQSYWAIADSKGWFGNDENVRRRALTEEHARDSFENLLFSVCRFRELTGSYPQNITVVSYDFKEERFAQLHRSALGFPEGRFFFLGTPATLTARESAVKGEAAVRSQFQEDPYGCLGSLHMKRLKRDPFHRAIPYPNGCPELKGLFSYCGLVPYPGQLPWTQ >ORGLA04G0104600.1 pep chromosome:AGI1.1:4:14360731:14362173:1 gene:ORGLA04G0104600 transcript:ORGLA04G0104600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1PLH7] MPRSLGYGHGHGHELRRRRLLPVASAAAVLLLLALLIILPSTPPGGPRASSDPASLLRAAIAAHPEPGSYARPCADHLSLSLHRLRAAAASLDSDDHPAALHLASASLQYQYDCSHLLSLPAFPSHPITSRFLASLAPPRPGAAATTKPSSAYAYAAAFPATLRARATVCNASPSATTQRCDYSTVQAAIDAAPNHTAGHFVIKVAAGIYKENVVIPYEKTNILLVGDGIGATVITASRSVGIDGIGTYETATVAVIGDGFRAKDITFGNGAGAGAHQAVAFRSDSDRSVLENVEFRGHQDTLYARTMRQLYRRCRITGTVDFIFGNSAAVFEECVIKTVPRAEGARKSARNVVAANGRIDPGQTTGFVFWNCTLDGSKEFLALFRAKPESYRLYLGRPWKEYAITVYAGCYLGKVVRPEGWLPWRGEFALRTLYYGEFDSRGPGANHTARVEWSSQAPEQLVGVYSVENFIQGHEWIAY >ORGLA04G0104500.1 pep chromosome:AGI1.1:4:14358340:14360452:-1 gene:ORGLA04G0104500 transcript:ORGLA04G0104500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family UPF0090 [Source:Projected from Arabidopsis thaliana (AT1G77122) TAIR;Acc:AT1G77122] MDLVAGGVIRGLPSSWERIRSPRTPLFLSSWASRRRVAFAACSSCPLVVCRNASAVVPFAKKKRKGGSEEPPDEEEGDDFVDEMEGEDDDEEEEDVDDDDLLDDNEDDDDDYSFEDDFESDDEQDLYVGDGGAGGGISLAGTWWDKEALALAEEVSNSFDGDLKIYAFKTAANLTIRVRIEKMSTRYGSPTIDDIEAFTIAYRAKMDDAESAGRIPQNISLEVSSPGVERVIRIPDDLERFKERAMYVRYTITSDGGEGMTPQEGDGVFRLISYDMDLCECTWGIADVKINRQQAGKGRPLSKKQREWRLQTPFESLKLVRVHSDC >ORGLA04G0104400.1 pep chromosome:AGI1.1:4:14357001:14357474:-1 gene:ORGLA04G0104400 transcript:ORGLA04G0104400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERSYVTPPPPPPPPQSPTTHVTVIVIVVPIVGVICLGLLAALLFVVFRRRRRARRDEEEEEAKVEEVEDVEVKVTEHVRIVEGVVGEAGVAGVAAGGAIGCGGGGGGGISGGAAAVVAEAVAAGAVVVDDEIKVEEHVVKVTEASARRDHHDHE >ORGLA04G0104300.1 pep chromosome:AGI1.1:4:14351614:14351808:-1 gene:ORGLA04G0104300 transcript:ORGLA04G0104300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAEEETVEVDDVEVAHHVHAEEHVVAGPSGEMLKVLDVTDEVDVHEHIVRHEHERERDVVEREG >ORGLA04G0104200.1 pep chromosome:AGI1.1:4:14332661:14337283:-1 gene:ORGLA04G0104200 transcript:ORGLA04G0104200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVREITTYWFENQRASRVISRTPMEVAVQEIATYWFENQRASRIISRTPMEIAVREITTYWFENQRASRIISRTPMEIAVREITTYWFENQRASRIISRTPMEIAVREITTYWFENQRRTCRIISRTPIEVLELVYYKCVSCGQHDSHTQLVAYPDVRSQSCRVIKPTAFARNYPLTTFSRVVQPWPVL >ORGLA04G0104100.1 pep chromosome:AGI1.1:4:14321710:14327458:-1 gene:ORGLA04G0104100 transcript:ORGLA04G0104100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWPRKSRSKAKGVGKPPGAGAASAASSPRKSLDLVDLSASPSVTPRAREKARSLDSPSAAAPRHGGGRCGGGFGEVGYKLPVPVGDAGPGQGQGQGQEPELEPAPVRFEENGDVVGGVAGDASSEELSVCSHDSSDEATDQQVCRSTDPASFVRGRNMPSDSHKILNEDNHFMSYSMPREHRKFFEVPVTNMGELHLHCDDLSTSETSSRGRMLPEDFLAPRTRSLSPGPKGHTFAVNNVNSREFGFSPRSPVKMMDGLKSPPHPLPLPPGPATCSPLPPSPTAYSPHPLGPTTCLQSESQWKKGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVISDDPHSKERLKQLNQEIDMLRQLSHPNIVQYYGSEMTDDALSIYLEFVSGGSIHKLLREYGPFKEPVIRNYTGQILSGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFAEIRSFKGSPYWMAPEVIMNGRGYHLPVDIWSLGCTIIEMATAKPPWHKYEGVAAIFKIANSKEIPEIPDSFSEEGKSFLQMCLKRDPASRFTATQLMDHPFVQDHPAVRAAKSGALRNAFAPADGTHTTSNREFSRKSITPLKDIGVSARDFTGFSTAVPSPHTASPISVVRTNMSLPVSPCSSPLRQFKQSNWSCLPSPPHPTFSNGATSYNLSSYMMNETRRIPTISDTWQDISQLKVQSPYGSPKRF >ORGLA04G0104000.1 pep chromosome:AGI1.1:4:14319773:14320957:1 gene:ORGLA04G0104000 transcript:ORGLA04G0104000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEMMRVAQEQMRRMPPAALAAMQQQLTSNPSLLRFATEGIKTLTPDDLRRAGEQMSRTSAEEVLGMSRRLAAASPEELAATMKNAQAEQQRASSYAAVSGARALKDQGNALFRLARHADAAAKYALAVDNLRSVPSSASRSLRAVCGVNLMACHLKTGRLAECVELGSDVLGLDPGNVKAHYRRGQAYRELGRMEAAVADLRRAHELSPEEDAIADALRDAEEKLGAPRGLVIEEIVEEEEEAQGSEILPTSGATSSSTSGHSVPSPSPSPSPSAAAAAEMMNSMGDPAMGKMVASVVQGMDPETVSIIGKQFGVDLSRDDAARLQDAMKKLSPENLEKVMGWVNRARRAAEAARKAKELLLGSRRGWLVLAIVVLVLAFVLHHLLGFIGA >ORGLA04G0103900.1 pep chromosome:AGI1.1:4:14307596:14308876:1 gene:ORGLA04G0103900 transcript:ORGLA04G0103900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1PLH0] MSIPHLFRCPISLDIFTDPVTLCTGQTYDRPCIERWLAAGHRTCPVTMQPLGDATALVPNRTLRHLIERWLSTDQHHHHHLPEPAAPAAEAEADAEEPSLAALKRCLQQPDAAGAGKAKVGALKKVMTLASESDVGRACMVQLGFLPVLLQLVFHAPAAPPSERRGGEAAVVEELALQCALGLMPSSAASPQLGCLNVLKSEASLASLVALLERGRGRTRAGLCRLLEAVATAAATRELALVVAASPRVWQALLPLLRHDGPAPTPAPPHDAHAASDAAVRAVAAICASEPARGGAIHHGAVGALLGHLSWAASGKCASGGGAGAVPSALAAVEALAASEAGRRAVARAPGGTRALVRHVFMMNSSNDGSEHAVAALLAVCRESRAARSEAAGAGVVTQLLLLLQSQCGARAKAKARSLLKLLKSM >ORGLA04G0103800.1 pep chromosome:AGI1.1:4:14295150:14295939:1 gene:ORGLA04G0103800 transcript:ORGLA04G0103800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASNLPPGFHFFPSDEELIIHFLRRKASLLPCQPDIVPTLLLNLYDPWELNGKALQSGNQWYFFSHATQTRTSPNGHWKPIADETVISGGCNVGLKKTLIFFIGEPFEAIKTNWVMHEYHLMDGSTNCSSSSTSSSSSKRSHKKKGHSDTESKNWVICRVFESSYDSQVSFHEEGTELSCLDEVFLSLDDYDEVSFAK >ORGLA04G0103700.1 pep chromosome:AGI1.1:4:14293265:14293543:-1 gene:ORGLA04G0103700 transcript:ORGLA04G0103700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTSAITAQISSMMSVFLLMSCQRHPSPTCSFIPLDHSYYQLLSHIPAPTSFREFSEVVVKYKERHLEMKPLEFLAAASILCTCLQTAASK >ORGLA04G0103600.1 pep chromosome:AGI1.1:4:14287505:14289661:-1 gene:ORGLA04G0103600 transcript:ORGLA04G0103600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26540) TAIR;Acc:AT3G26540] MAAAAAAAASSASTASSISALVAAGRLFAALDALPPSYSSSQVPSAVYASLLRLATSHRSLAAARRIATHLASSTAPSTSHSFTATSTFLFNRAVESLAACGSLTDARKLFDAMPRRDGGSWNAIISAYSRGEEPTEAISLFSAMNSRGVRPKDVTLASVLGCCAECLDLRGARQLHGHIAKRDFQSNVILGTALVDVYGKCFALSEARRAFDGIPKPNDISWNVIIRRYLLAGMGDMAIDMFFRMVWAGVSPLVYTVSQALLACRDNGALEEGRRIHTFVLRHGYEQHVHVRSSVVDMYAKCGAIDLAQRLFNLAPVKDIVMSTSIVSGLASCGRITDAKRVFEGMEEHNLVSWNAMLTGYVRSMDLTSALQLFQQMRQETKELDAVTLGCVLNACTGLLDLGKGEEVHTFAFKSGFIGYPFLKNALLRMYSKCGCLRSAERLLLFEMGSERDSYSWNSLISGYERHSMSEAALHALSEMHYEATPNQSTFSSALAACANIFLLNHGKQIHAYMIRNGYVIDDILRSALIDMYSKCRLFDYSMRIFEAGPSQDVILWNSMIFGCAYNGKGEYGLELFFEMSKQGITPDSVTFLGALVSCISEGHVGLGRSYFTQMTDEYNIVPRIEHYECMIELLGKHGYMVELEDFIGHMPFEPTTAMWLRIFDCCREYGNRKLGERAAQCINDSNPLTPVRFEIAADYKYCDDDSDESMSVSWEG >ORGLA04G0103500.1 pep chromosome:AGI1.1:4:14271058:14276827:1 gene:ORGLA04G0103500 transcript:ORGLA04G0103500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LASATRILSQHCFPRNVMSVANAYINFALLSMLIGVAAGSFPRHFKCPLALSGNGVLQGLLFNVLAFNIESFTSLPPEVFKYTPAMDEQTIAIVWSVTAGISALIVIIVWTLATEDPVCVLVALRLMWYPIARMIDATRRKKEEAITWWRRPRENRSTGRRSRMHQRLLGCTNF >ORGLA04G0103400.1 pep chromosome:AGI1.1:4:14267096:14269986:-1 gene:ORGLA04G0103400 transcript:ORGLA04G0103400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHLLRKGKSKLQGQQLILGHLMPAILKAILSLDHKNLLKGTKIVKYLLACMPLPSTFFLDGREQVIFYPVLSQSLFKAYNMKFSMYEKIIISRPKKRSIQMSHVCCLLQSARTHCHLDLSSFLISARLELKRIYQKLLIWCKREEIWLRMIVFC >ORGLA04G0103300.1 pep chromosome:AGI1.1:4:14262609:14264666:-1 gene:ORGLA04G0103300 transcript:ORGLA04G0103300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G13600) TAIR;Acc:AT2G13600] MARPHHTGIHLVSHLRASAPLADLLRSAPGLRAARAAHARALRSPFAGETFLLNTLLSAYARLGSLRDARRVFDGMPHRNTFSYNALLSACARLGRADDALALFGAIPDPDQCSYNAVVAALAQHGRGGDALRFLAAMHADDFVLNAYSFASALSACASEKASRTGEQVHALVTKSSHGSDVYIGTALVDMYAKCERPEEAQKVFDAMPERNIVSWNSLITCYEQNGPVDEALALFVRMMKDGFVPDEVTLASVMSACAGLAAGREGRQVHTRMVKSDRFREDMVLNNALVDMYAKCGRTWEAKCVFDRMAIRSVVSETSMITGYAKSANVGDAQAVFLQMVEKNVVAWNVLIATYAHNSEEEEALRLFVRLKRESVWPTHYTYGNVLNACANLANLQLGQQAHVHVLKEGFRFDSGPESDVFVGNSLVDMYLKTGSISDGAKVFERMAARDNVSWNAMIVGYAQNGRAKDALLLFERMLCSNERPDSVTMIGVLSACGHSGLVKEGRRYFQSMTEDHGIIPTRDHYTCMIDLLGRAGHLKEVEELIENMPMEPDAVLWASLLGACRLHKNIDMGEWAAGKLFELDPDNSGPYVLLSNMYAELGKWADVFRVRRSMKHRGVSKQPGCSWIEIGRKVNVFLARDNIHPCRNEIHDTLRIIQMQMSRMSIDAEIADDLMNFSSEACG >ORGLA04G0103200.1 pep chromosome:AGI1.1:4:14259315:14261963:-1 gene:ORGLA04G0103200 transcript:ORGLA04G0103200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:I1PLG3] MAVNLVLSFALAILISSSPTAVGVDATEELKEAVLTLDAGNFSEVVAKHPFIVVKFYAPWCGHCKQLAPEYEKAASVLRKNELPVVLAKVDAYDERNKELKDKYGVYSYPTIKIMKNGGSDVRGYGGPREADGIVEYLKRQVGPASLKLESAEEAAHSVVDKGVILVGVFPEFAGMEYENFMVVAEKMRADYDFFHTSDASILPRGDQSVKGPIVRLFKPFDELFVDSEDFGKDALEKFIEVSGFPMVVTYDADPTNHKFLERYYSTPSAKAMLFVSFGDDRIESFKSQIHEAARKFSGNNISFLIGDVADADRVFQYFGLRESDVPLLFVIASTGKYLNPTMDPDQIIPWLKQYIVEYGNLTPYVKSEPIPKVNDQPVKVVVADNIDDIVFNSGKNVLLEFYAPWCGHCRKFAPILEEIAVSLQDDQDIVIAKMDGTANDIPTDFTVEGYPTIYFYSSSGNLLSYDGARTAEEIISFINENRGPKAGAAAAVDEKTQIDAVEEEVTSSSEPVKDEL >ORGLA04G0103100.1 pep chromosome:AGI1.1:4:14254541:14257154:-1 gene:ORGLA04G0103100 transcript:ORGLA04G0103100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04290) TAIR;Acc:AT1G04290] MDPEAVRRSLEPTALSKEVVGPASASLRYDAFALTGVRIDAAEHGRLLCSFVVTPRIASPAGYLLSGVTATLADQLGSGVFLSSGIGTSGVSLELNLSYVDVASIGEEIEVEGKLLRAGKSVGVVSVDFRKKMTGKLIAQARHTKYLAVSSKL >ORGLA04G0103000.1 pep chromosome:AGI1.1:4:14251124:14251993:1 gene:ORGLA04G0103000 transcript:ORGLA04G0103000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFTFPTTAAAAAAAADTAAAAAAAAAEPLRLHHHHHHHRRRGRLHFAASPLWFPSSCPVAAAPPDVPVADDADTADAAVASAVKDVDVVVFVRDAMEGKEQEQEDEEGGGGGQEEATSDAGRREEEEGKKEEEATATAAATGELSRRDGDEDGGDHGGGGAARDKEEKMDQLWENFNEELHQALHQRVGSCPRADARAAAAAGMELSPETSDAESEPAAAAALRGHIGCAPMLRPSSRAGAGGYRRTATSWVLLMKIFRRLFVIEKTISSSSAAAASASGRHGSARR >ORGLA04G0102900.1 pep chromosome:AGI1.1:4:14241147:14245780:1 gene:ORGLA04G0102900 transcript:ORGLA04G0102900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVMSAADDAGAASGREDPPPAVVLVSAGASHSVALLAGNVLCSWGRGEDGQLGHGDAEDRLVPTVLSGFDAAAPGITSVICGADHTTAYSEDEQQVYSWGWGDFGRLGHGNSSDVFTPQPVKALQGIKIKQIACGDSHCLAVTMAGEVQSWGRNQNGQLGLGTTEDSLLPQKIQAFEGVCVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLVPEKVSSVEGEKMVLIACGWRHTITVSSSGSLYTYGWSKYGQLGHGDFEDHLVPHKLEALKDSSISQISGGWRHTMALTSDGKLYGWGWNKFGQVGVGDTDDHCFPVQVKFPEEQKVAQVACGWRHTLAFTEKKNVFSWGRGTSGQLGHGEIVDRNKPVMIDALSPDGPGCKKLEPSTAVPFAAKVWVSPSERYAIVPDEKVPNSGEGAARGNGADANVPENDVKRMRMHS >ORGLA04G0102800.1 pep chromosome:AGI1.1:4:14224547:14225452:-1 gene:ORGLA04G0102800 transcript:ORGLA04G0102800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein [Source:Projected from Arabidopsis thaliana (AT1G77290) TAIR;Acc:AT1G77290] MQLYHHPYSLDSQKVRMALEEKGIDYTSYHVNPLTGKNMNVAFFRMNPSAKLPVFQNGAHVIYRAFDIIQYLDRLAVHLSGEIVPVNTEVYQWMQKVDSWNPKMFTLTHTPIKYRAFVSKFIRRVLIARMAEAPDLASMYHVKLREAYETEDKLKDPDIMKQSEEELSKLLDDVEAQLNNGKYLAGDEFSPADSVFIPILARITLLDLDEEYINCRPRLLEYYTLVKQRPSYKVAIGKFFGGWKKYRTLFKTSFFLCVRTLFRKY >ORGLA04G0102700.1 pep chromosome:AGI1.1:4:14203407:14204240:-1 gene:ORGLA04G0102700 transcript:ORGLA04G0102700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAITTTIFQRIVDIPDGSLEPPPGVEHPPEPDEAEAGAERDGGVVEVAGADRVRRREEEHGAGVADPQERDEAHRPRRAPEVEGPRREVLLPHHHAGEDGHGVAADPADGRDRRDRREDDVDPQDREAQQRARGGAEPDGVGGDAVARVDAAPHGGERDAAVAGQRVHDARGAGGDGEAAEEEGAPDDEEEGDGARGGAVPAVERVEQDLRRHERAGRLVARVEQVAEVLRTHRNRVHVRPFLGQVRDVRSHRQQKKIDIAKFMSTYFRIIYSRMF >ORGLA04G0102600.1 pep chromosome:AGI1.1:4:14194129:14195178:-1 gene:ORGLA04G0102600 transcript:ORGLA04G0102600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEQSPHEAAIYRRGNGGNALLVGVYVDDLVITGTKDAEVAAFKEEMKATFQMSDLGPLSVYLGIEVHQDDSGITLRQTAYAKRVVELAGLTNCNPALTPMEERLKLSRDSTTEEVDATQYRRLVGSLRYLTHTRPDLAFSVGYVVALSSCEAEYMAASAASTQALWLARLLGDLLGRDIGAVELRVDSKSALALAKNPVFHERSKHIRVRYHFIRSYLEEGSIKASYINTKDQLADLLTKPLGRIKFLELCSRIGMAQLPHKTTHKT >ORGLA04G0102500.1 pep chromosome:AGI1.1:4:14185166:14187775:1 gene:ORGLA04G0102500 transcript:ORGLA04G0102500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVVGCPRRCPPPSPAGRAQRPPPPHSVAPKRASSSSSSSTTPPLLTTRRAASAASLLLAALPPFPASSPKLPVASAKEDDEAEAREGEGELELELELERYTDRDEGFTLLKPVSWPKVEKAGATALFQQEGKGSNNIGIVVNPVRLSTLTEFGTPQFVAERLIQAEKKKIQDNMDVAAHIRKLFRLKVHASIDEHPKYSALITRSSVADQVQRA >ORGLA04G0102400.1 pep chromosome:AGI1.1:4:14178984:14182782:-1 gene:ORGLA04G0102400 transcript:ORGLA04G0102400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRLAALHAAAPSAFSSTSSASHGRPAARSSTTALLPVALPRAAATLRAAPSRLLPQEAKAAGSGRSVMCMASAASAAVASGAAELKAAREDIRELLKTTHCHPILVRLGWHDSGTYDKNIKEWPQRGGANGSLRFDVELKHGANAGLVNALKLVQPIKDKYPNISYADLFQLASATAIEEAGGPKIPMTYGRIDVTGPEQCPPEGKLPDAGPSAPADHLRKVFYRMGLDDKEIVVLSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTAEWLKFDNSYFKEIKEKRDQDLLVLPTDAALFEDPTFKVYAEKYAEDQEAFFKDYAGAHAKLSNLGAKFNPPEGFTLDG >ORGLA04G0102300.1 pep chromosome:AGI1.1:4:14165473:14175581:-1 gene:ORGLA04G0102300 transcript:ORGLA04G0102300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G21730) TAIR;Acc:AT1G21730] MVRNEYNPSIAYAFDKVFGPATTTRHVYDIAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFSIIQDVINDLLDPIGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLVSSRSHTIFTLTIESSPSGENDEGEVKLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHIEIKASQNKIIDEKSLIKKYQKEITCLKEELQQLRRGMMGNGYIPPTDQEDLVSLKLQLEAGQVKLQSRLEEEEEAKAALMGRIQRLTKLILVSTKSSISSNVSGKASLRRRHSFGEDELAYLPDRKREYSMEDDDVSLDSEFSVEGKLDSNNPDESLRFDRRNRRRGMLGWFKLKKSDQLSGLSTSVDSESTASGSPSFSRSSQQKNPLLDLKDGRRKSMTRKGDDPALTDSFPGRTQAGDLFSAASRARHHLPSGTTIVDQIDLLQEQVKMLAGEVALCTSSLKRLSEQAANNPDDSQIQCFFFIIPFLQEQIEKLKNEIDEKKSHIRVLEQRMAQSLETTEDPAIRTEMSQTFSKLSTQLSEKTFELEIMSADNRILQDQLQAKVSENAELVETVAQLRQEIDNLLKTAKNEDNVASMQSSEPSSTSSNPRDLANEVASHSKMPSRTTEDHTESPLKSQVLLQAAEIENLKLDKLRLAEEKDGLEIHSQKLAEESSYAKELAAAAAVELKNLAEEVTRLSYENAKLNADLAAAKDQTRSSIQSDTTRRDQENGIFVEELQKELVASCQREAVLEDTLSQRARRESELLKVIEDAKCREHDLENELANMWMLVAELKKENSQEDLFQFKATQNGYHSSKSDTGRMMSGMEASDNRNWDGVSVSTYEEAKAAYNVQRRRCKELEGIVSRLKGEDLRGLDVKVLEELQNFHIEALSRICQEKMANQVL >ORGLA04G0102200.1 pep chromosome:AGI1.1:4:14157434:14158291:-1 gene:ORGLA04G0102200 transcript:ORGLA04G0102200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDHLSLVPYEGGSAGGGGGGGGGGGGKYKECMRNHAAAMGGQAFDGCGEYMPASPDSLKCAACGCHRSFHRRAAAGIGGGPVFFRPPPPPQPHSHHAALQGFLPSSVPAPPPPPQLALPYHAVPAAAWHHAAAAAAGRAGSETPPRMDDFGPGSAGGSGSGGGGIFGRKRFRTKFTPEQKERMREFAEKQGWRINRNDDGALDRFCVEIGVKRHVLKVWMHNHKNQLASSPTSAAAAAAGVMNPGAGIGLGTGISGDGDGDDDDTDDSPPRAAVSSPSPSPISV >ORGLA04G0102100.1 pep chromosome:AGI1.1:4:14152219:14154039:1 gene:ORGLA04G0102100 transcript:ORGLA04G0102100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFYRGSLCFFALSSLHSTHWNWKLTSVRPMPRRAALAMMNVVAIGLVLSTLAAAGVWSPAPTPPPSTAGEHVVREGRRVVIVEYEREHPLYPGDAVKETHVLPPDSLDGGEGRLTDKARGAVSDAADRVADAAEGGKEKMSDAKESATGKVFGAVKRCKDRLCGAAREAEEGAKDKASAGEHGAEQAVPGVLPRRGVRRRPRARGAPARPRAQLPRRARPERQPPRRARPGPRQHAAPRAKSHQSDVREDEGGEGGRQGEGHGRHRRPANGHRRGGQHGHHHHRSHGGGAQAGRQQQHGGEVGGGGGAGGRADVQEPGGDAEPAAQEAERLLVSVQRAVSDGADVAPRAPGTSPPDGQRLLGSKLFVVRVVCCESDML >ORGLA04G0102000.1 pep chromosome:AGI1.1:4:14148445:14151759:-1 gene:ORGLA04G0102000 transcript:ORGLA04G0102000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthiotransferase [Source:Projected from Arabidopsis thaliana (AT1G72090) TAIR;Acc:AT1G72090] MEMEDIEDVLGPAGLAVGGAPPGLRLPLAAVAVKPKRPRSSRVAQTRPQPEARIPGTQTIYVKTFGCSHNQSDSEYMSGQLSAFGYAITEEPEGADLWLINTCTVKNPSQSAMTTLISKCKSANKPLVVAGCVPQGSRDLKELEGISVIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPSLDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYTIESLVDRVKIVVSEGVREIWLSSEDTGAYGRDIGTNLPNLLNGIVAELPADRSTMLRIGMTNPPFILEHLKEIASVLCHPCVYSFLHVPVQSGSDAVLTAMNREYTVSEFRRVVDTLCELVPGMQIATDIICGFPGETDEDFSQTVNLVKQYQFPQVHISQFYPRPGTPAARMKKVSSVEVKKRSRELTSVFESFSPYQGMEGKVERIWITEIATDGVHLVGHTKGYIQVLVIAPDSMLGTSADVKITSVGRWSVFGEVIEGSVVAKETQKHNHSELQEEYRPSQVEEATCCGTDSCNPGPERSENSTPQSCGDATHQEAVQSKLVRRNVEGAVKSSESDTAKQVGENQRLNVAARRFPDVDTILWGGLAVSFATTVTLIALLAYKISSTPSY >ORGLA04G0101900.1 pep chromosome:AGI1.1:4:14131849:14138398:-1 gene:ORGLA04G0101900 transcript:ORGLA04G0101900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDLVEIGMKEEDITTMLFGKKVIELTEDAFDGSKEERKIFEELFCRTGTSGASTRHPRRDGKSSSLRDASKELISTNTPSSSASNHKSARCRVVESFTYGNLSSYLVFCNNDKQKMQKTVGFPDMASASDLVIQWTPPSVERVYTRRAVARRNQRAKLCSVLDLERVDITSVRQRRDRGQHKYGHSHAVLWDHLRLHANLLMMDAGWKIDGKERWDKSKVDHIYESPDKVMRLFSLPRAWKCFGQWLLINSSGFGGNDYGRIWFNIHDFLSDLKNTLLCLEYEVRLPKQSLSFLNQWQLLDPFMAVVCIDKKVRALKKGVALKAVNSTVTFVSCIESELSNPRNADNPLGLNHSNNFNSTHPRSRKNLLPLLQSDDLDGNSSRSKHPSTVGKRKSSQYKMDERLPIMAEIQEKSIRSTAHRIVMGLQDSTAFPGSRTSLNMKNKPSYSKSNLTQSIQDKSDPLYFPPNYSPSDLVENAEINDPSFHAYDMIESANVDNSADSPDELLLGANLLFSHEVDEMLLDDISNEHYCTAAVSEPQAKNIDLEDGPSGPSSVVSEKDTGLKANKDVINNEQHDDGVVAESQLANTDVMDRPAGALSFLPTMDTVLEGNEMSSEEMTKGGQLSCEGTNNAMVISEPQLLFVSPHDGTLSFMNDSMCSQEMRSCLNASNGTMGTNVQLGIQSSVYEASLIRGFLYLDNEGSPICWTVLNPEPPRQLICSEPISKASELHGEMNMECGMLTSGPKQTSKLDSSKNNRKRSKKAANIEDKGSQKKQKVNDVPVSYCAIGQYMDHTTENPAGCLISNDKEQIGSASTEQVSLNLLPGNSNDMNQQVPIKNSDNDQARVRIGSAEKIVPEKTPKKDVKRQKASSRHKCKFDDNDLLVTAVIHKLTARYRNHFSRRLAKKSGFKRLPRCRWKSEERRDRKTFPKGARTVLGKLLEMGIVCKVNILQYRRPGSKNVLKDGNITKKGIRCRCCDMVFTMSMFKYHAGLRQEIPSLNLFLGSGKSYTLCQLQAWSIEHKARKERAKCTMPLQADENDDTCGLCGDGGELICCDNCPASYHQDCLPCQDIPDGSWYCYRCLCDICGEVINLKELRSSLPALECAQCERQYHAKCIYGKLLCNEEGGPCAWFCGRRCQQIYMNLRSRVGIPIHTIDGFSCTVLRNNGDQRVSTAADIAILAECNMKLVIALSIMEECFLPIIDARTGIDIIPPILYNWRSDFVHLDYKGFYTVVLENDDRIISVASIRLHGTVVAEMPLIATCLENRQQGMCRRLMDYIEQMLKSLKVEMLLLSAIPSLVDTWTMAFGFVPIDDLDRKNLSRLRLVSVPGTVLLKRNLYECPGTNAAGGGGGGGGGSKENLAFVDRSVAVTAADAGQQRPFEDRSPVSCAVDSLADELRSLKINSSCENRRRLGKTTVNSASTLYERPVGC >ORGLA04G0101800.1 pep chromosome:AGI1.1:4:14119815:14129640:-1 gene:ORGLA04G0101800 transcript:ORGLA04G0101800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPRVNWSDHANAVQSSCIKDEFLSSSFLFSLPTQRPNQEADCTGMLPLRSAACRIQGLERLQAPSIEKAWRSLRNTQVARKNYLRPGLSGKVKDCDSDHAHTYGTSSSYNVNKMDSVSRNRNPTQESMHQTTESGTMEKNSSHLPAGTKSCTRTYLNNYVVQADTITTTNQSLARTGPELFKTAPFIDNMCDDAKLDAMDEDELLASIDVDRIVMEHYQATNTPRGSSKSPLEKCNFNGFDENNLPQELSIMCDHGSKLAFCPEAKSHLLEMKDNLLAISHELIDGQLSPQQSDDLHQKRALLKKQIELLGEYTARLTQDEERQQSHSMASTTAHQGHHPTSILSSSFVKDTNIFQSPIYTRNEPGESGLCFSSAPYSYMDGLSMPLPSVQRDYTPRAIDISYTEGSGDKQWSSTHFAWTKELEANNKRVFGNRSFRPNQREIINATMSGNDVFVLMPTGGGKSLTYQLPALICNGVTLVVSPLVSLIQDQIMHLLQANISAAYLSASMEWSEQQEILRELMSPTCTYKLLYVTPEKIAKSDALLRQLENLYSRGHLSRIVIDEAHCVSQWGHDFRPDYQHLGILKQKFPQTPVLALTATATASVKEDVVQVLGLANCIIFRQSFNRPNLRYFVWPKTKKCLEDIHNFIHANHNKECGIIYCLSRMDCEKVAAKLREYGHKASHYHGSMDPEDRANIQKQWSKDRINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDSQLSSCVLFYNYSDYIRLKHMVTQGFAEQGTSAPRGGSSQEQALETHKENLLRMVSYCENDVDCRRLLQLIHFGEMFNPSCCAKTCDNCLKELRWVEKDVTNIARQLVDLVMMTKQTYSTTHILEVYRGSVNQNVKKHRHDTLSLHGAGKHLAKGEAARILRHLVIEEILIEDVKKSENYGSVSSVLKTNHKKSGDLLSGKHNVVLKFPTPEKAPKMGVLDESSVPRINKTNQQSQVDGSLAAELYEALQCLRTQIMDENPQLLAYHIFKNETLKEISNRMPRTKEELVEINGIGKNKLNKYGDRVLATIEDFLARYPNATRKTSSGGSNEHSEAVKKRRGFSVTNTSTNCDDFEERTVQSKKRAAKTRTRQEISDAASIVQDVRYIDLELDGCEQVNEVPYSVQKPVASGRVLPAWQSARIA >ORGLA04G0101700.1 pep chromosome:AGI1.1:4:14112227:14115452:-1 gene:ORGLA04G0101700 transcript:ORGLA04G0101700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYMLKSRRLVGVADAWSVDDGADGISGFPDAMSAAAHKQMVTGAEEAMLGKLELDSQSNLFSNSDDFLLSGSSKLGISASEDIDLPGKQGLPESTTDRPGSNGSSRVPRLRVLGTAGMAGFGKAVDILDTIGCLVTTSLSTDGGFISRAKTKGCPISILAFEVANTILKGATIMQSLSEDTVTYFKQVVLPSEGVQNLISSDMSVLMRIVANDKREELKIFSQEIVRFGNRCKDPQWHNLDRYFVKLESENPPQKQLKETAVAEMQKLMDLVHRTTDLYHELHALDRFEQDYRCKLTVKGNSYQKDNLPGENIEVVRIELKSQRNYVKSLKKRSLWSKTLEDIVEKLVDIVQYLHFEINASFGSSDEGELSSESTEDCQRLGPAGLALHYANIIIQIYSVVSRSGYIPPNTRDALYQGLPPRVRSALPNRLRTSSVPQELNIDQIRATMDKTLKWLVPMAINTTCARGFLRFSEWARSGTERVGRRPGQPDVVETLYHADKAKTEDYILDLVVWLHHLVNQSNRPANAKDKDKEQSTSPLTKSDLDKAQQE >ORGLA04G0101600.1 pep chromosome:AGI1.1:4:14102182:14104685:-1 gene:ORGLA04G0101600 transcript:ORGLA04G0101600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITPIAELRPGRYDYMICVRISRIWEFRGTNEDDNIKHLDLVLLDQKGDAIYAEILPDAIPLLNQYLQEGNIVYISKITVNNAKPSYRAVGNPYMIKLNKRTCIIEAKDQPMDFPKYTFDLVSFDKLHDFTSKTDRFLDVIGKIIAVSNAAMISTSSLDYRMRRIVKLQDLSGKTIDLSLSGKRAVEFDGETILEVGQNNHIIAIFVGTSMKILKGTYEFLSGTTACRWYINENDIPEIKMFQKCLPPHADPIQKLYLQSDEDMQRSIEHKTLAELKEIDPFVDKDEKYQCTATIIGIQERKTWCYQACKLCNCKMIWDGSILKCKKENCPCRQYEYKYKIPFIANDGTASLELVLFEKKGTELIGRTAETMKRQYDINQTPPEIKAWIGHKFTFIVKVLPNITINADEPSFEVLTIKERFGRQHSSQGFKATKNLPISSSSSQQLHNLPPLVPILSKKIQHEIEPPHDIQSMEVEPYNLETSTLSGKRAYEDPNSTDQENDEEESTDYGFIQTKGKKKRST >ORGLA04G0101500.1 pep chromosome:AGI1.1:4:14077190:14082053:1 gene:ORGLA04G0101500 transcript:ORGLA04G0101500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Etoposide-induced 2.4 (InterPro:IPR009890); Has 264 Blast hits to 262 proteins in 100 species: Archae - 0; Bacteria - 0; Metazoa - 130; Fungi - 16; Plants - 79; Viruses - 0; Other Eukaryotes - 39 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G06676) TAIR;Acc:AT4G06676] MESLASQAKPAAVLWLAGFLQAARLHRVVSFCASSRPLSARIAQCFLLNGFIFLGSLLTLKSVVIPILSWILPGDCSQLQEQHLCDHTVAVATYSFLRSVLIQIFYVLWFYPLYIFSFVLSTLWYNDIAKRALDVVKRKSLDATKALDAHTISESTEKPEGFDEVAIGIGEQVYSILLLTIFFIEVSVIGYIPYFGKPMNFLLLSLMYAYYCFEYKWNFFAVSLNERLDFFESNWAFFAGFGSPCVLPIFFFSPLTSYGVMAILYPLFVLTAAGTQAEKVIDQLKPSHGGKLQRIPVFFIAKRLTIQYSMLSVSIQNFRL >ORGLA04G0101400.1 pep chromosome:AGI1.1:4:14063466:14064569:-1 gene:ORGLA04G0101400 transcript:ORGLA04G0101400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPTVAGGKLLRSASAIVASKESGQHVLEISGYSSIKDAVSTSNCVQSRPFRVGGHGWYIRYYPNGFNSNVSDCISIYLVLDGHDYYYGGPIVRAELTLSLLDQEREPVTSYIYSHGLQIFDGYGRYRGSPRFIQKAVLERSEYLRDSRFTIRCDITVMKNPEAKDVEERVTLPPSDLARHLGGLLATGVGADVTFEVDGKTFLAHRSVLAARSPVFHQELFSLTEKGNAATGGAGVIIRVDDMEAQDFEALLHFMYTDSLPEMKGGDAAAMLPDLVATANRYKMERLRLVCEDKLREYVTVRTVAAMLAFAGEHHCPELKKKCLQLLEDPANLRKIVETEGLEHLTKSYPFVLKDLIAMFATKP >ORGLA04G0101300.1 pep chromosome:AGI1.1:4:14048156:14049477:-1 gene:ORGLA04G0101300 transcript:ORGLA04G0101300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATGSRTPVRSASAVIAGTESGQHLLKIDGYSRIKDELPTGSDIKSRSFRARGHSWHLRYYPNGFNSDCAECISIFLQLDHNVMKGVKAQYKFSLLDRARKPSYSRSSGKADIFLNTGWGYRTYIERELLESSSEYLVDDCLTIACDFTVFKDLRTEDIDVDETTPPPPSPPSPPTVVVPPSDLHQHLGGLLTTGEGADMTFEVEGKTFAAHRWVLAARSPVFRAALFDATTRGTGDVVRVDAMKAHDFEALLRYIYTDSLPEMKGGEAAAMLPDLVAAANRYKMERLRLVCEHKLCEYVNGRTVVAMLAFAGEHGCDGLKEKCLRFLDDPVKLREIVQAEGVENLSKSYPSILKDVIAKLAATAVAS >ORGLA04G0101200.1 pep chromosome:AGI1.1:4:14041082:14044267:1 gene:ORGLA04G0101200 transcript:ORGLA04G0101200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGANPPSPTPARIQEEANPATETVAEGHPSTTAVEQPEIHELPQEQHTSMSGGTSASRSSRNPRSQNIWPTTVQVIREVDTSGRPMAPKTVIGRWSNCCGLAARENFGILHKDIGKVTEAEKERAWTAMEKWFTFPAEAKDRLKRKAFQKMGKAWKNWKSKLFTEFVNSPGNHTPFDEYPQITEAVWEEFRSLKNTQEFRESSEAHRLLQQLNEHPHRLGTAGYIGKEAIWAHEDAAAAAANVPAPFSDIPEQRARNWARARGKVNPDGSVTFENKSDAVVYQELLGLVAEQASQSEVESTPKRREDDILTKALGTKEHPGRTRGIGSDVPWKHGLPQYSSQYRKRKVSKEERDARLKAELKVEVIQELEASMNARVEERVNKVLADMNIPRVTTPAVHPTPRAQHDASPSQHRSSCASTEVPAPGLPIAPLAAVDHIEGAAQCVLLARVHPTFAPEVTEGMAFKPSVTDKVHGADLLAGYAKVSIDTVKDTRSGYPLPVPPNDEIMTLGDARKTLIQWPKEDIVVKMTPRPSRPTELTPPKSKLSIEAPRGPALSVPHSPGGADMDLADIAQSLAPIKTTRKADSSRPLVKGKTRERGKGKFRELTPEPKRGKAATSMPLSKAGKVVRAPAQFELGMPLVEDNVLAVMGIACRELHKQYMELSNAKRKMRESSIVGHHDHQPFLSSPAYITIGFDDLFDLFRIRKLDTGLLKCYTLLCWIESRRLGNQVGFLDPSMVNEVNLQQSFTEVVDYVNRCLWAHQDKEYIMCAHNQERHWILLVIVPKWSRVTYLNSNKSKDYDFSEITKALNMAWGPYVEKGGRHKEGKDELYHDTKFACAQQIGDQCGFHVCHNMSTLLREVKDFDPEVVANGEKGRAGFKISPINPPLSEAKCAPSFLQK >ORGLA04G0101100.1 pep chromosome:AGI1.1:4:14026273:14028267:-1 gene:ORGLA04G0101100 transcript:ORGLA04G0101100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAIQNLLRPLRCRRRHGDPVLLRRVCSLGPPPPQRHAAGDVFQSNTAINEHFRAGRVAAARRVFDEMSERNVFTWNCMVSGLIRNRMLAEARKVFDAMPVRNSVSWAALLTGYARCGRVAEARELFDQMPDRNVVSWNAMVSGYARNGMIERARELFDMMPSRDDVSWLTMISGYIKRKHVCEARELFDSMPSPPTSVCNALLSGYVELGYMRAADVLFGQMQTRNPVSWNVMITGYARAGSMGIAQRLFDEMPEKDVLSRTAIMRGYLQNGSVDAAWKVFKDMPYRDTVAWNTMMDGFVRNDRLDDALKLFSEMPDRDQISWNAILQGYVQQGDMDSANAWFRRAPNKDAISWNTLISGYKDEGALSLLSEMIRGGLKPDQATLSVVISICASLVSLGCGKMVHLWAIKTGFEHDALVMSSLISMYSKCGLISEASQVFEQILQRDTVTWNAMIATYAYHGLADEALKVFDMMTKAGFKPDHATFLSILSACAHKGYLYEGCYHFRSMQEDWNLVPRSDHYSCMVDLLGRSGFIHQAYDFTRRIPSDHRTNAWETLFSACNAHGEIQLGELIARNVLQARPSDGGMYTLLSNIYAAKEMWSSAASVRGFMKERGLKKETGCSWIELKGEVVTFSSNDSNHPLIEQICQEVDSISVMIEEAT >ORGLA04G0101000.1 pep chromosome:AGI1.1:4:14023178:14025846:-1 gene:ORGLA04G0101000 transcript:ORGLA04G0101000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATALNRGLRSGIRLLATGAEASKTASRGFHATGMKRMGGHGHDEPYYLHAKHMYNLHRMKHQKPKVYLSVLGAVGIGIGVPIYAVVFQQKKTASG >ORGLA04G0100900.1 pep chromosome:AGI1.1:4:14019058:14021567:-1 gene:ORGLA04G0100900 transcript:ORGLA04G0100900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTRPLLLLLLLLLLSFHAASAAAEEFPRDGRVIELDESSFEAALGAIDYLFVDFYAPWCGHCKRLAPELDEAAPVLAGLSEPIIVAKVNADKYRKLGSKYGVDGFPTLMLFIHGVPIEYTGSRKADSLVRNLNKFVAPDVSILESDSAIKSFVENAGTSFPMFIGFGVNELLIAGYGGKYKKRAWFAVAKDFSEDFMVTYDFDKVPALVSLHPKYKEQSVFYGPFEGSFLEDFIRQSLLPLTVPINTETLKMLDDDDRKVVLAIMEDDSDETSSQLVKVLRSAANANRDLVFGYVGIKQWDEFVETFDISKSSQLPKLIVWDRNEEYEVVEGSEKLEEGDQASQISQFLEGYRAGRTTKKKVSGPSFMGFLNSLVSLNSLYILIFVFALLGVMIYFTGQDDTPQVRRAHEE >ORGLA04G0100800.1 pep chromosome:AGI1.1:4:14008852:14012389:-1 gene:ORGLA04G0100800 transcript:ORGLA04G0100800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGMRKASSHASMAAAAADPDDFDLTRMLNHRPRINVDRQRSFDDRSLAELSISGTASRGGGGGGGYPTMMESYESMYSPGGGLRSLCGTPASSTRLSFDPHPLVFDAWDALRRSLVCFRGQPLGTIAAVDHSSDEVLNYDQGVYGYPIEIQALFFMALRCALLMLKPDAPEGKETMDRVATRLHALTYHMRSYFWLDFQQLNDVYRYRTEEYSHTAVNKFNVIPESIPDWVFDFMPSRGGYFVGNVSPARMDFRWFALGNFVAILASMATPEQAAAIMDLIEERWEDLIGEMPLKISFPAIESHEWEFVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRLKIARRAIELAEARLARDGWPEYYDGKLGRYVGKQARKLQTWSVAGYLVAKMMVEDPSHLGMISLEEDRAMMKPVLKRSASWTV >ORGLA04G0100700.1 pep chromosome:AGI1.1:4:14004863:14006422:1 gene:ORGLA04G0100700 transcript:ORGLA04G0100700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPSSGSERSLASLVSAAAHSVKLNRAYLLAPAVAAGLLAAVLLSSLLDFSAFSASPRPAFPPPTAGAPANASALSAPPRAPVRTALDTLGTRPREPFTALRDAYARWDAAVGCAAFAEKHRSRSSPPPGPAALQDPEAAPCGSLRLPHVALAVRGVTWVPDILDGVYQCRCGLTCLWSRNEEALADTPDVVLYEIWPPPDTRKQGEPLRAFMDIEPTRKRSGHEDIFIGYHADDDVQVTYAGKFFRITHNYHVATHKRDDVLVYWSSSRCFEHRNKIARELFRHLPAHSFGRCENNVGGGDKALELYPDCARDGHGAAEWWDHLHCAMSHYKFVLAIENTIADSYATEKLYYALEAGSVPIYFGAPNARDLAPPGSYIDGAAFASAEELAAYVREVAGDPAAYAEFHAWRRCGVLGGYGRNRLVSLDTLPCRLCERASRMGGRHAPAPNATVS >ORGLA04G0100600.1 pep chromosome:AGI1.1:4:13986951:14002367:-1 gene:ORGLA04G0100600 transcript:ORGLA04G0100600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQQQQAPKQKQKPKHSSTSSSSSSAGGGAASAAPRLQISSENERRLRRLLLNSGATAAPAPAPADAPAARAESREQKARRLRGVYDKLSLEGFSSAQIEQALSALSDSATFESALDWLCFNLPGDELPLKFSSGTASSSGAGPLGTEGSVKVVSTAKDNWVPQSRESEEVQGSNERLEIIISRRREEDVTLDDGRSSQAAWIRQYMEQQEEEDDVNSNDSYTWEDHCPPSLETAEAKPSRRKKKGKQAKSSSGNSKEDLSSSDNVFPNSDIANAEGDLVDSGATGKKCESPVHMDGGSSLEKKMSKDVDETSTKEVEEEEVELDNLFFEDSSAWEAVAPEILKQQKIEKLSHDGYGHLLGNIDDIWKKGDSGKMPKAVLQKFCQKLGWEAPKYSKISEKDRKFIYAVNVLRGSTGRGKSRKAGGLTKVELTEQDKEYASVEEAQNRVAAFALYQFFADLSLRQLLIEPYASLVLRWQEGELSSSSSRVMDTEDSRRAGFVDKLLDMDANTTPHQVEDASDGATSVDSRSIEDSYSVHEKKETYLVNRTGSRSAEQVESTVLKKHLENKMKQSSYLKMLEARASLPISRFKDHFLQLLKENDVIVVCGETGCGKTTQVPQFILDDMIESELGGYCSIVCTQPRRIAAISVAERVSSERCESSPGSKDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNNDLSDVTHVVVDEVHERTILGDFLLIVLKSLVEKRSNQPGRKLKVILMSATVDSSLFARYFGDCPVINVEGRTHPVSSHFLEDVYEKMEYCLALDSPASGAYFQQHGEKWKNASSTVNNRRGKKNLVLSSWGDESVLTEDYVNPHYTTDCYQSYSERTNQNLKRLNEDVIDFDLLEDLICYIDENCPPGAVLVFLPGVAEIDMLIDRLSASVRFGRESSDWILPLHSLLAPTDQRKVFQSPPENIRKIIVATDIAETSITIDDVIYVVDTGKHKENRYNPQKKMSSIVEDWISRANAKQRRGRAGRVKPGLCFCLYTRHRFEKMMRPFQVPEMLRMPLTELCLQIKSLHLGGIKSFLLKAIEPPKEEAISSAIDLLYQVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSVAAFLSYKSPFISPKDEKQNVEKAKASLMNENLDGSASTADNKQSDHLLMVIAYNKWSRILRENGARSAHQFCRSFYLNSTVMYMVRDMRLQYGTLLADIGLLDIPKDSLRPVDGTRKNTLESWFANMSLPFNLYARYSSVVKSVICAGLYPNVAATLEGVDPGALGGRKPSDFLSGKDRPRWYDGRREVHIHPSSMNHSLKAGQYPFLVFLEKVETSKVFLRDTSVISPYSLLLFGGTMVIQHQTGVVIIDGWLRLAAAAQTAVLFKQLRVTLDAVLKELIRKPEMATFVDNEVVRSIIHLLLEEEKAQQA >ORGLA04G0100500.1 pep chromosome:AGI1.1:4:13981514:13983034:-1 gene:ORGLA04G0100500 transcript:ORGLA04G0100500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTLSLAIDGGGGGDAAAAAAAVAKKSKVVGGGAVVVDGVGSSAICGGDRGSRVRDRMVKKAEEFDHENGMAATSSDGGGGGGGMELVRLLLSAVAAGEAGDARAAAAALREVDRRASCRGGGDPAQRVAACYAAALAPRLAAGLRPARSSPAAPAAARAEQFLAYTMFYQASPFYQFAHFTANQAIVEAFESGGRRRLHVVDFDVSYGFQWPSLIQSLSDAAAAATSSSSHDDDDNGGGCGDGPVSLRITGFGASADELRETEARLRRFAAGCPNLRFEFEGILNNGSNTRHDCTRIDDDATVVVNLVFPASSREACAATRMAYINSLNPSMVFLIEKHDGGGGLTGGDNTTTGRSASLLPRFAANLRYFAAVFDSLHECLPADSAERLAIERDHLGREIADAVASLDHQHRRRHGGGGGGGDHASASWNWKAAMEGAGLDGVKLSSRTVSQAKLLLKMKSGCGGGGFRVVEGDGGMAMSLAWRDMALATATVWRRRRRRRRCR >ORGLA04G0100400.1 pep chromosome:AGI1.1:4:13972092:13977001:1 gene:ORGLA04G0100400 transcript:ORGLA04G0100400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYELLKDIGAGNFGVARLMRNKETKELVAMKYIPRGLKIDENVAREIINHRSLRHPNIIRFKEVVVTPTHLAIVMEYAAGGELFDRICNAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHVSQDCRQLLSRIFVANPAKRITIREIRNHPWFLKNLPRELTEAAQAMYYKKDNSAPTYSVQSVEEIMKIVEEARTPPRSSTPVAGFGWQEEDEQEDNSKKPEEEQEEEEDAEDEYDKQVKQVHASGEFQLS >ORGLA04G0100300.1 pep chromosome:AGI1.1:4:13962759:13965004:-1 gene:ORGLA04G0100300 transcript:ORGLA04G0100300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVQFGRKAWFVVRVLSGYEERRIRAHRLQMQQRIARAQAKKEELRKQPEQIILSEVRQMVQQMQALNQQLEEAETAIDEYFKPIDKNAKIIMDMQLEKEEKQIKEMTKVMQDQIAMQREIASKRAQATAIESKDTQTQTSDKIAEGLPKEETMK >ORGLA04G0100200.1 pep chromosome:AGI1.1:4:13958102:13961009:1 gene:ORGLA04G0100200 transcript:ORGLA04G0100200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRGRAIAVELTLDATVMEAFDKADEEDDFNAVDEGSRSEIMNVAEVIDQVLRDHKFMVVFHNGSGDCIDLGSFGLPSFRRYFMLWTFRKRFQGRERCSEIKDKVKNTHLFAYETDYYITRNKILFPVLQKEAAAIAAHYPCMREIDPERVVHCCLYGLFLYLCLPKHLENEWAARASVYWMCDGIIQGDQAWEISAALSKEIKWDLQPSLQDEVRQKLIESSSKNSVSIQRFKNKYFDGQKFYPWITITASHNINPETLQDIRSKNAEASSYFLAPERSSDITLVLSDGLFDQWNNLQVLQLSYCDFSFASPPFIGCQNLRFIGLDHCKDKKEGCKQSDLRKWQFLHSLLVLDLIDTIWYQVFSEDMADLFVNLRELNMVGVDCSHIWGQLQNKIEYVDLSRKRNIKLPSTLRFFRLDNRQPTPQSTPGIELSLKGCMGLESLFLSRISNLTELDLSGTCNQDTXLHNYGDGSHRFEATISTWLXATPXNQMGXNWFYCLGPRVTVYXHKTXNXISSVICXQEQIPRSLVSPCCYCGRENCXILMWSNKQHAIXCXYEYPCHLFDGIXXSSIRSNLQRXHXPIKXSCEHAATRPYFSRPVPXCPTXHGWRCPNAVTPPSSDNNVEPPYRDCTGEPQPGERAGCXFTDYNFSSSSETEGXVTACAXSLNHHSFARRRMGLSRAVSYREVPQNRNCLPXRRMGFXPSGNRLGVGSLDGPLHLEXRFSXLPSKICSTCACAAAQGSSSCSRCGSPPSRTWRPSTSSTAATSTTSSCWTTDITQSKXPSKVXHSRSXPPSTCTTSRCCGRFATSSSRWWLPRSRPSRSGDAGACAGCRPSSLRMDRSRPWRSRRTCGTRWSGTGWKPTTTLPSSRRRCTRATTGRSCPGAPSSG >ORGLA04G0100100.1 pep chromosome:AGI1.1:4:13949870:13951079:-1 gene:ORGLA04G0100100 transcript:ORGLA04G0100100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAHTYVPGSKRGRGLAARLCDAAFAHARRRGMRILPTCSYISETYLPRNPEWNELVITEKEPKPSSM >ORGLA04G0100000.1 pep chromosome:AGI1.1:4:13945598:13949278:1 gene:ORGLA04G0100000 transcript:ORGLA04G0100000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) TAIR;Acc:AT1G21760] HFYADVSVDVRPEFNSFDHLRSMRYIATDRPWLTLYGIRVQPVTPFSSLSSRPDLALIHQCLPDELLFEIFARMSPYSLGRAACVCRKWKYTVRNPTLWRNACLKTWQRTGMEANYQMVQSLYDSSWRKMWMLRPRIQYDGLYVSRNTYIHTGTAEWQFTKTVNVVCYYRYLRFFPSGKFLYKISPQKIKDVVKCMHFRASKGDCVFKGDYVLSEDGQIELALLYPGRRYTLVRMRLRLRGTTLGANNRLDVLKILTTGVNATELRNWKGSVLELVETWDEDETHDPEVPAVTHSRGLTPFVFVPFEEADTSVMNLPVEKMDYFVPG >ORGLA04G0099900.1 pep chromosome:AGI1.1:4:13941403:13943295:-1 gene:ORGLA04G0099900 transcript:ORGLA04G0099900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:I1PLD0] MAATFSAAAAAASPTPLRRPRTLAPPTSTPARLSPSSSSRRPAATPSLLSLRRSNAAPPPLRAAAADPKVVNGEDFPPMKDLLRLYKKAFLDGNDEALGGIESAIIAIEKERSNSAAQYESIATEITSGKDKFLRINADLENFRKQTEKERARFTSNIQVDVVQSLLTLVDSFEKVNQEITPETDKEQTISTSYQGIYKQLVETLRSLGVGVVETVGKPFDPSIHEAIAREESHQFKAGIVSHEVKRGFLLRERLLRPATVKVSTGSGTQETSSPSTEKPVEDSKEDAAV >ORGLA04G0099800.1 pep chromosome:AGI1.1:4:13937361:13940679:1 gene:ORGLA04G0099800 transcript:ORGLA04G0099800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEQKEEGVNLCFLARSGGGGMQYHQGGSRMPPFARGGAYSRGYKQLYAPPQQQPPPQEKHEVLMEAGRLAAEYLVAKGVLPPASLQRRGVGGGGWVQLPPQPPPPPPPPLPQGTLAFYGAQNGRRRLDDDDGNPNPRLRRNRGGENSNDDSSSSYNGRGKRKFGAYSRHSDWGRDKGRSRGNSDSRSYDDEDDDGPPGYRRERRGGGRFDDAGSSMSGVAASKTEATGESELEDTGSKVGSSSNFRKDVDPPQEVEGVDKLNKINEESNPSNSEVVEQMTNGESTSNNASCIVIDEEQTKAKYLPVPSDDKVSDEKPDDSSVLNEKIEDDETLAEKAEDDKTSDERVPGVKNNLRDDCNNLLSYCSYPNVPTRRRSIVAHRNAAPAHREVAVAEQIDLVSSEDETHMATDVTGHGSSLTNTQEGNKDGLACLEHTDTSTTCNQMVEPVRFQTEETQIGTDDLRGQKNIEQHYAVHESREENMLPPKVGVQQQVEEGMQIYNVDTPPQDEDLIASADKEKVAGVALLPSIKAEAVVAKEEDKFGQSSSFKICDLNLVGSPEVAELRNDPGLGQFSTAGCSMEPQNQQQEFRNTGNSADDTNMHAQIPLHNKVVQVIDLEDDSPIEAGACDTSKAKEENMANPAVTTDVLPGIQDGYNFAISDYLGADIPCYQPMQTDLPNGMSLNDSEGITVMDDSIYGSLSDIGFMEVWDQQPQDYEKFF >ORGLA04G0099700.1 pep chromosome:AGI1.1:4:13932434:13935629:1 gene:ORGLA04G0099700 transcript:ORGLA04G0099700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRHVAAGAGAPAPAAGEWAAVTAGGGAAWALSPVEEVGTKQELMRRTGLPPRDLRALDPALSSAASASSCRPSAITGRDRAVVVNLDRARAVITASEVLVPSPRDPAVAPLVRELRARLALVASPTPAPSPSPPQHGMAVGMDGSISPSPASRGGEEAAGNGKDGEALGGGDKALPFEFRALEVCLEFACKSLEHETCTLEKEAHPALDELTSKVSTLNLERVRQIKSRLVAISGKVQKVRDELEHLLDDDMDMAALHLTEKLAYQSSRFDIDKEASELEDHSSRDEEGVEGGGGGDGDDETIAGGGSFSPNTDELEILLESYFVQIDGTLNNLSTLREYVEDTEDYINMMLDEKQNQLLQMGILLSTGTLVSSCAIAVTGVFGINVHISLYDSPASSAAFPCAAAGIVAGSLALYLAALLCYKRAGILQ >ORGLA04G0099600.1 pep chromosome:AGI1.1:4:13925988:13928169:-1 gene:ORGLA04G0099600 transcript:ORGLA04G0099600.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLPETITACSWNEYVICSDIPVLIEFWASWCGPCRMVHRIVDEIAQEYAGRIKCYKLDTDDYPQVATSYSIERIPTVLLFKDGEKTHSITGTLPKAVYISGIILDSTILTVIFMHADAANQLKVFLLIWYVTSFSSSFTRLYFVSLFCTRICASEILPSGQLRNPCDLGGSWYCIXVYQDDSGNPQACTSTQAASADTQTDESKSWYHLIFXAVPLPHKXKRTKDISCYXSSQFGQSWYLGSAHQCSYRPALL >ORGLA04G0099500.1 pep chromosome:AGI1.1:4:13922573:13924906:1 gene:ORGLA04G0099500 transcript:ORGLA04G0099500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAWRSLSLVAFMAAVAAAAAAAGDDRRPYVVRMDVSAMPAPFATHDGWYRSVLSSASARDAAAAPAAEHLYTYSHAMNGFSAVLTARQVEEIRRADGHVAVFPETYARLHTTRTPAFLGLSAGAGAWPASRYGADVVVGIVDTGVWPESASFSDAGVAAPVPARWKGACEAGASFRPSMCNRKLVGARSFSKGLRQRGLNISDDDYDSPRDYYGHGSHTSSTAAGAAVPGASYFGYANGTATGVAPMARVAMYKAVFSADTLESASTDVLAAMDQAIADGVDVMSLSLGFPESPYDTNVVAIGAFAAVRRGILVTCSAGNDGSDSYTVLNGAPWITTVGASTIDRAFTATVTLGAGAGGARSIVGRSVYPGRVPAGAAALYYGRGNRTKERCESGSLSRKDVRGKYVFCNAGEGGIHEQMYEVQSNGGRGVIAASNMKEIMDPSDYVTPVVLVTPSDGAAIQRYATAAAAPRASVRFAGTELGVKPAPAVAYFSSRGPSPVSPAILKPDVVAPGVDILAAWVPNKEVMELDGGETKLYTNYMLVSGTSMASPHVAGVAALLRSAHPDWSPAAVRSAMMTTAYVKDNADDADLVSMPGGSPGTPLDYGSGHVSPNQATDPGLVYDITADDYVAFLCGELRYTSRQVAAIAGHRAGCPAGAGAASHRDLNYPSFMVILNKTNSATRTFTRTLTNVAGSPAKYAVSVTAPAGMAVKVTPATLSFAGKGSTQGFSVTVQVSQVKRSRDGDNYIGNYGFLSWNEVGGQHVVRSPIVSAFAQ >ORGLA04G0099400.1 pep chromosome:AGI1.1:4:13913684:13916549:1 gene:ORGLA04G0099400 transcript:ORGLA04G0099400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARSSAVGAVNEKESGSGERWSRNGVRLRRHPSMASSKWICYNVDDEGFHGRRQGCAEHVVVHSRLRRHGTRVSRWYQGLISRYKLDTQIPGTTSSSSPRPHASPPKTHRIRAKAKLSYHTVVAAHSSSSSSHCTRQRVTRTSWHARALQGGGRMHLHAKTDSEVTSSMAASSPPRAAYYVQSPSHDDGENKTAASSFHSSPAASPPRSLGNHSRESSSSRFSAAKSGSSRRTAAAGGDGGKGGVAAGRGGGGGGRRSPWMKEAAIEEEGLLMEDDDADGGGGGGFSSLPRRWRYALGFVGAFFALFFFFALILWGASHNQKPVVSINSITFHNFVIQAGTDASLVPTELSTVNATVRMTFRNTGSFFGVHVTAEPLTLYYYQLLMASGNVKYFYQSRKSSRHVAVAVVGDKVPLYGGGSGLSSTPVKGAPPAPVPLQLAVRFRSRAFVLGKLVKPKFLTNVQCSVRLDVAKLGKPVSLNKACSLV >ORGLA04G0099300.1 pep chromosome:AGI1.1:4:13910010:13910390:1 gene:ORGLA04G0099300 transcript:ORGLA04G0099300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAMFVQFPRWSWWLFHLHCSVSIEERTTSGTWAIQFVHGGWIPSLAFPSCSLQQLAARVVRPSRARVVHPPVARGADADAVGARAALRSEWHWHSTQEAGQARSIPPRPRTRACDSSSSRAMGRV >ORGLA04G0099200.1 pep chromosome:AGI1.1:4:13907116:13909733:1 gene:ORGLA04G0099200 transcript:ORGLA04G0099200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPGEHVTGVLSKHHRSYKLALFPAIGALVTGLAVILMIVLILLIRKKSRELEKIEGTNPLDAWSSCLKKGQEGSSTIFDRFTYRQMKKATRNFGTVLGGGEKGTIFKGKLSDGSVVAIRRIESSPKQGQLEFCKEMELLGRLHHRHLVGLKGFCLTRFERFQVYEYMENGSLKDHLHSSGKRLLPWKNRIQIAIDVANALEYLHFYCDPPLCHGDIKPSNVLLDRNYLAKLAVSGLVQCSNGDSTTISSTLVNVKIPATPGYVDPCYVVNQVVTPKSDVYSYGVLLLELVTGKPVAQGDDDGNGDSSSRSSSKNLVEWSRELIGTDYRLHELVDPAVADAFDLDELQVMADVIHWCTHRDGAARPSMKQVLRILYERLDPLSGGLARAVAGEEGYYYGGGQSGRKGKEGAEMLAGGGGDGGRCLPSSSSTSRSYCSRSVLLECNSPEEAPPQSSPRGLP >ORGLA04G0099100.1 pep chromosome:AGI1.1:4:13897645:13900560:-1 gene:ORGLA04G0099100 transcript:ORGLA04G0099100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKWQEAPAPGVRVLLFAVAAAALLLVVSARKVGETCAADRICDAGLHCETCVADGNVRPRCTRVTPVDPQTKARDLPFNRYAWLTTHNSFARLGTRSRTETAIATAWNQQDTITDQLNNGVRGLMLDMYDFRNDIWLCHSFGGACQNFTAFVPAVEVLGEIERFLARNPSEVVTVFVEDYVESPMGLTRVLNASGLTKYVFPAWRMPKSGGDWPRLSDMVRDNHRLLLFTSKSAKEAAEGIPYEWRYVVENQYGTKGMIKGRCPNRAESAAMNDLSRSLVLVNYFRDLPNFPVACKDNSAELLDMLTTCHDLSAGRWANFIAVDFYKRSDRGGAAEATDRANGGLVCGCGSVSACSGNGTCTTARHGGTPKGIFNATSGATALLRPPTETLQWQQLIILVPSTLAALLLSL >ORGLA04G0099000.1 pep chromosome:AGI1.1:4:13894891:13897085:1 gene:ORGLA04G0099000 transcript:ORGLA04G0099000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPKKNRNEICKYLFQEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRSSGGRPGFGRGGGGGFGAGPTSSSME >ORGLA04G0098900.1 pep chromosome:AGI1.1:4:13888734:13891605:1 gene:ORGLA04G0098900 transcript:ORGLA04G0098900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSQSTVVFGLHLWELVGIGVGAAFVLLLVLLSLLCLVASRRRRRRRGVAVATPVLHLTTAVAPPKHPGKPPKDIQEVPSRAAAAAAAAAPKAQPAQVIQAPPPPAESIQIETGKEHRITFREQPQQPPPPPYHQRSGGPSSRGGSGESRGGGGGGGGGGAEPGVPEVSHLGWGHWYTLKELEDATAMFADEKVIGEGGYGIVYHGVLEDSTQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVNNGNLEQWLHGDVGPVSPLTWDMRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKTWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVEWLKTMVSNRNSEGVLDPKMTEKPTSRALKKALLVALRCVDPEARKRPKIGHVIHMLEVDDFPYRDERRGGKAPGQVKSGEIPPVEAGYSSGNNTPKETPKGQPKDEPFKWRNQAAA >ORGLA04G0098800.1 pep chromosome:AGI1.1:4:13874672:13882519:1 gene:ORGLA04G0098800 transcript:ORGLA04G0098800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSRMAGANGSAAAEAAARRVGAPTNPMATALLTDQYQFSMAYAYWKASKHADRAVFDLYFRKNPFGGEFTVFAGLEECIKFIANFKFTEDDILFLQSVMPMCEDAFFDYLRKTDCSDVEVYSIPEGSVVFPKVPLMRVEGPVAVVQLLETPFVNLINYASLVTTNAARHRHVAGKSKVLLEFGLRRAQGPDGAISASKYCFMGGFDATSNVLAGNLFGIPLRGTHSHAYVSSYMSLDEIPDKSLKSKDGSRVCKDFVSLVKEWLQKIQLADSLCGVFGETNQSELAAFASYALAFPTNFLALVDTYDVMRSGIPNFCAVALALHDLGYKASGIRLDSGDLAYLSIEARKVFRTLEKEFNVPAFGKMIITASNDLNEETIDALNKQGHEVDSFGIGTYLVTCYSQAALGCVFKLVEINSRPRIKLSEDVAKVSIPCKKRCFRLYGKEGYPLVDIMMRESEPSPKAGERILCRHPFLESKRAYVVPQHVEELLQCYWPGTSNKPRVELPSLEEIRGRCMQQLEKLRPDHIRRLNPTPYKVSVSAKLYEFIHSLWLNEAPVGELQ >ORGLA04G0098700.1 pep chromosome:AGI1.1:4:13849651:13853430:-1 gene:ORGLA04G0098700 transcript:ORGLA04G0098700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAAAAATGSTTRSGGGGGEGTRSGRKKPPPPPLQERVPLGRRAAWAWRLAGLAVLLLLLALLALRLLRHHGGAGGDGGVWRVALVCEAWFAALCALNVSAKWSPVRFVTRPENLMAEGRTPSTTAAEYGELPAVDMLVTTADPALEPPLVTVNTVLSLLALDYPRAGERLACYVSDDGCSPLTCHALREAAGFAAAWVPFCRRYGVAVRAPFRYFSSSSSPESGGPADRKFLDDWTFMKDEYDKLVRRIKNTDERSLLRHGGGEFFAEFLNVERRNHPTIVKTRVSAVMTNAPIMLNMDCDMFVNNPQAVLHAMCLLLGFDDEASSGFVQAPQRFYDALKDDPFGNQMECFFKRFISGVQGVQGAFYAGTGCFHRRKAVYGVPPNFNGAEREDTIGSSSYKELHTRFGNSEELNESARNIIWDLSSKPMVDISSRIEVAKAVSACNYDIGTCWGQEVGWVYGSLTEDILTGQRIHAMGWRSVLMVTEPPTFMGSAPIGGPACLTQFKRWATGQSKIIISRNNPILATMFKRLKFRQCLAYLIVLGWPLRAPFELCYGLLGPYCILANQSFLPKASEDGFSIPLALFISYNTYNFMEYMVCGLSARAWWNNHRMQRIISVSAWTLAFLTVLLKSLGLSETVFEVTSKDKSMSDDDDNTDGADPGRFTFDSSLVFIPVTALAMLNIVAVTVGACRVAFGTAEGVPCAPGIGEFMCCGWLVLCFFPFVRGIVWGKGSYGIPWSVKLKASLLVAMFVTFCKRN >ORGLA04G0098600.1 pep chromosome:AGI1.1:4:13848263:13848733:-1 gene:ORGLA04G0098600 transcript:ORGLA04G0098600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPWLRWEDAWRPWTASRGCRRRLHLAGVAAFGGGVRDRLGAERRNRWQRRPARRERRGRRRWRPAWHERRGRWREAGLAREAHLVEEAGLAREARPAVEEATSVRGGAAGGCGAVFGARRLAGRGRRCRGPTCRQRLRGGGSIGASAVDSQVVSSG >ORGLA04G0098500.1 pep chromosome:AGI1.1:4:13844704:13847503:1 gene:ORGLA04G0098500 transcript:ORGLA04G0098500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEGADLSQDVDESPYHTAVVTTNNLVRSIKAEKSNSSSSSGKPVGTDIGLKVASPTMFGFNTKIEGTGKNMAVKREEGEGGGRPGVSSGVSTRDTNGKGKNAMNMENALHIWTERERRKKMKNMFSTLHGLLPKIPGKTDKASIVGEAIGYIKTLEDVVQKLETIKTERVRAHQWAAAAAAAVAANGGGEGSSHSHSQPPRHATAVTVAVAEPAPVAAAVNAQAPQKKAAAAAAPTLQTWSAPNITLTMAGVDAFINMCLPRQRASFTTVAFVLEKHQIDVVTSTISADHDKSLFSVHVRLNEASLQSTEGLTPEAKYKLAVSELMVRLAE >ORGLA04G0098400.1 pep chromosome:AGI1.1:4:13839461:13841690:1 gene:ORGLA04G0098400 transcript:ORGLA04G0098400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEGPNNHPQGTQETHDCPFTIATNTPLGSTKMGYSDSRKSDENNTSSKPASPVVSSDVVEIKETWENVVVADEEGEGGEDGFASDASESKSKSSSDEVDYELLDLLAGAREGCSGGNNNDEVKNFAYADHKMHTDKATIVEATINYIKNLQDKIHKMEMLKVEREHAIALAAAATAATATATAAASADTALQAPPPPSEEENEEEHDSVVAAATREMALADMVHAWEQQQEAAATGGSHGGHAVPPPPPPAASLQTWTGPNMTASLTGDDGFITLSLPHQGGQKNLVAGAVSVLERHHIDVVTATVSASEQGDNLISLHCHLSPGSSSSQNLTPLDKFKLAMSELMLWVISV >ORGLA04G0098300.1 pep chromosome:AGI1.1:4:13832628:13835822:1 gene:ORGLA04G0098300 transcript:ORGLA04G0098300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSEHGNLLVSLSDGLPHYSLAAAVGLAIGVAGLCKALHSSLVIPPVSQKKLLSNSDRLYYTGGLKNLGNNCFLNVILQALASCDGFVSSLDNLLGSEDVLPEEKSEKMPLIFALSSLIKDLSVVRDKRTELSPHRVMDALSFYVGHFNLTREQDASEAFHHLLTSLRDEFSRCYVPNRSSLADITMFPSKVYSQREGNQPECKRWKQNLFGPFDGTIGSILSCRNCSSVLSLDFENFYCLPLSPVATINGDIINGCSLVDCLEHFTALEHLDNYRCDHCWHNVAAKYLSLKSEVDEDKINKLRTCVDYGTCSCRHIFTPEEMTCSISSQATKQLAITHFPKILCIHLLRASVGLDGEFVKRGGHISFPLLLDLSPFAGGALIPGQGPKPSAMNKQRHGQQTLHLWRQLNAEMPVNMFPAATDGDSSSHHCGDESINTLGRSFYVGNRDADNRFLSSSSLTDKLYGLSSVVEHYGVCGGGHYAAYRRVTPNSDSNEPVQSLASFRKEWLYVSDDHVSHVSECEVLAAEATLLFYERL >ORGLA04G0098200.1 pep chromosome:AGI1.1:4:13826248:13830544:1 gene:ORGLA04G0098200 transcript:ORGLA04G0098200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 26 [Source:Projected from Arabidopsis thaliana (AT1G76710) TAIR;Acc:AT1G76710] MLSSPGCSVLQEEERMEPPPPPPYIHIETNDFLHRRHKRQKEEDIAVCECQYNLLDPDSACGDRCLNVLTSTECTPGYCLCGVYCKNQRFQKSQYAATRLVKTEGRGWGLLADENIMAGQFVMEYCGEVISWKEAKRRSQAYENQGLTDAYIIYLNADESIDATKKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQDIPIGTELSYDYNFEWFGGAMVRCLCGAGSCSGFLGAKSRGFQEATYLWEDDDDRFSVENVPLYDSADDEPTSIPKDILIKDEPNTQDGNNNTIQNTGIPIIASSSKFTPMNVEPSIASSNEFTPMNVEPLNVSSNELTPMTIEPLNAIPMGVDFTQNGSIEYGAQCAEDALQNSTRGVANLQNQSAPRDNNHTELVAVKRRPTLRGGKAKRGMRKQLNVAGICDRLASEVAREEILYCEEMKNQAAAEIDSLYDEIRPAIEEHERDSQDSVATSLAEKWIEASCCKYKADFDLYASIIKNLASTPLRSKEDAAPKEQNGLMYLENGP >ORGLA04G0098100.1 pep chromosome:AGI1.1:4:13816098:13816826:-1 gene:ORGLA04G0098100 transcript:ORGLA04G0098100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSEPDLAAETEAIVSALTHVVAYGGGGPPPTEETAASAVTRTAPWRADGARQGAVPAARKYRGVRRRPWGKWAAEIRDPHRAARVWLGTFATAEDAARAYDAAALRFRGGHARLNFPEDAAAADARRATDAAAAAAAASSAPAALLESQPGDVADCLDYSRILAGAPERPTSSTVTDGFFGGGGNGRFLHSWSIGTSPSSSGSGSGGAVGGGGGGGAPVRPLFHGGNGWEQRGDSAYNGF >ORGLA04G0098000.1 pep chromosome:AGI1.1:4:13806742:13809715:-1 gene:ORGLA04G0098000 transcript:ORGLA04G0098000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPLLLLEEMAEVVRHQELELEVVRQELEPVPRTTTPTEAILPHNPAEGHSRVGMDNHVMCPFYTQEPKTSNHILMECAVARQVWHKLLIETPWVPLPPIISTLHSSNQLSEQGTTEQVPSVRDMASTAKQAAGGGADRVDGGASGKGGKVRTVRSISHNPTLSFFTPFVEDLVDPVVKHIWRW >ORGLA04G0097900.1 pep chromosome:AGI1.1:4:13800687:13803096:-1 gene:ORGLA04G0097900 transcript:ORGLA04G0097900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREEAAIGANEIRITAQGRTRNYITYALALLQDNATDEIVIKAMGRAINKTVAIVELLKRRIVGLHQNTSIESIDITDTWEPLEEGLNTLETTRHVSLITITLSKKELDTSSPGYQPPIPADQVRPPTDFDQEAEAVPSGRGRGRGRRGRGRGRGFNNEDYDDEHGDAEVPQPQGYRGRGRGRGRRGSFGPGRGYGGDGFAMEEAGGYDDGEPNAPPMQGHEGGRGRGRGRGRGRGRGRGGGRGRGPPPPPQE >ORGLA04G0097800.1 pep chromosome:AGI1.1:4:13795529:13798465:-1 gene:ORGLA04G0097800 transcript:ORGLA04G0097800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome, subunit CSN8 [Source:Projected from Arabidopsis thaliana (AT4G14110) TAIR;Acc:AT4G14110] MDLAAVHAALAGKSYSSIAPLCDDLFLQAASRGAATDGWPYAVHLLAHLYLNDLNSARFLWKSTPQEAKDARPELAAVWRIGQCLWNRDYAGVYAAAQGFEWSPEIADFVAAFLESYRKRIFQLLTSAYSTISVADVAHFMGMNEEDATNYAMQNGWSLDAAAKMLTVVKPKVKTNQKLDASKLQRLTECVFHLEH >ORGLA04G0097700.1 pep chromosome:AGI1.1:4:13788163:13788555:-1 gene:ORGLA04G0097700 transcript:ORGLA04G0097700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGENPSFSRCVAVVVSSDEMMTSSGGGRCPEPGEADEELVSECSDGGEDGGEGTQRKSERVRQQVEWLAGAEEDERSRIKRPKPQRQKVKETPALRVDECSNAAARCYRRPLFSELWKEGEGRKRRKEG >ORGLA04G0097600.1 pep chromosome:AGI1.1:4:13780656:13780877:1 gene:ORGLA04G0097600 transcript:ORGLA04G0097600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVDGDSIWHTMEVLCVYGMRSQIWKESKFGTIGYVEFISCTKGFPKVFRTLSMSLVRCFRLPTSCINRERA >ORGLA04G0097500.1 pep chromosome:AGI1.1:4:13727869:13728294:1 gene:ORGLA04G0097500 transcript:ORGLA04G0097500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPLTPNSPPSPGSEWTCGAKSGPATGPYGAPQPDMGPALSSNSIELPCVSAFVLARRSLPVPALCRCTAAAPLWHRCNSVPRRXRKRLREGRATGVRRGEEGRELGRAASRRDLATGESRERTGGDCGEETGGLGTPGSH >ORGLA04G0097400.1 pep chromosome:AGI1.1:4:13714288:13714616:1 gene:ORGLA04G0097400 transcript:ORGLA04G0097400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNEPTNVNENERTNVNENKVNERERERCRTNVNEPTNVNVKCNYRQVNERERTNIEKTLSESSLKPEW >ORGLA04G0097300.1 pep chromosome:AGI1.1:4:13688441:13688605:1 gene:ORGLA04G0097300 transcript:ORGLA04G0097300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMDDLSGQKRICVIRDVYGHHRLGFPSGSGMLCCWAAGLWESEKMRKALGAD >ORGLA04G0097200.1 pep chromosome:AGI1.1:4:13676528:13676755:-1 gene:ORGLA04G0097200 transcript:ORGLA04G0097200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIHLPDPIITFRASPKDDDQSRSIQYLPLTESKMLCADQSGRTFLFDAETHHVVTMPSLHKPKEKPLSLFIPST >ORGLA04G0097100.1 pep chromosome:AGI1.1:4:13649274:13649474:1 gene:ORGLA04G0097100 transcript:ORGLA04G0097100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPDPFWRLQAPAGSGARGRIRAPTAGSSGDEYDCDDFCDFVLCPNDCKCEVILFFGQMDVIVMIFV >ORGLA04G0097000.1 pep chromosome:AGI1.1:4:13643288:13643762:1 gene:ORGLA04G0097000 transcript:ORGLA04G0097000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEMQMQAELGMHEVRSSFFQVTEKIVLQSEIAVAARSEMILVLITLLISCLI >ORGLA04G0096900.1 pep chromosome:AGI1.1:4:13631890:13637638:1 gene:ORGLA04G0096900 transcript:ORGLA04G0096900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKWSECSSNSYRELSSKENGEGKSSITEAVPSSTSYFGSPAVNRMCSLSAQKKDGNVYKRRKMEKDSTSLTANEEFKEMTAQNCTSEDHSSLLLPVTSDAMVSNSTAPILEHDEPAGVPLVPRSGVNDRSSVSSMLPPFMMFDKKDATECSSSNIGSTEPMTGFTSARDLCIAILREDGLITESRTKIKAEELTGYDANLLFQCKTCGKSDHPLKMLICDSCEAAFHLSCCIPRVHEVPTDEWYCLPCFRKKPKSQYGKLSEGKVKSSGNINQRPHGMSHIEYMLKDTKPYVTGVRIGIDFQAEVPEWSCPTSSGDVYCEEPSEFDSADLTKLNWSKTNTQYRSSIGNWIQCREILSEGDSDKPVVCGKWRRAPLFVVQSDDWDCSCCLPWDPAHADCAVPQELGTDEVLGQLKYVRMVKNRLVDRNHKPANVQG >ORGLA04G0096800.1 pep chromosome:AGI1.1:4:13629171:13629497:-1 gene:ORGLA04G0096800 transcript:ORGLA04G0096800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREKKKKKQQQQQQGGRRQHPGATRDGDRRQRRGSSARMPRKQQQQQQGWGRGAAGSGTERSAQAARGAREEEERKGRWTRKAGKLGEMAIHGLFYSPTNPNSERNV >ORGLA04G0096700.1 pep chromosome:AGI1.1:4:13619432:13620685:-1 gene:ORGLA04G0096700 transcript:ORGLA04G0096700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVCTFAKSRTNNLDDYSVFQYQEIGKFIAPYHQGHFFWRRTTSGRQSLASLLAATAPDCRHPVGEEAALAVADGDGAALGEDVGEVGVLDKCVVAVVEGGAAEVVGDNLVVVDGEGQAVGTLGEVLTATSLLAATPGHRRDCHRARPPPRPGGRLVPAAASLGRPLARPPPRPAAASPRPPPRPPFRCLYRPPPPRPSRLRELLREKR >ORGLA04G0096600.1 pep chromosome:AGI1.1:4:13600794:13606445:1 gene:ORGLA04G0096600 transcript:ORGLA04G0096600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G15570) TAIR;Acc:AT4G15570] MAVDKSGGGGGGGGASSSSSGVAASTMDRFHKIVLSWDYVRLVADSKGGQQQAKGLGRVKNTYASVAEYLAVFEPLLFEEVKAQIVQGRSDEEEEAGQDWQKGIVASCTESEGFHKVSMAVLDDFREMVSENDLLLLSKEKFEEGVTPSAYAFALVEQRGGRETISLRTFVAGEIKNLNVAKPVSCSRLQRIASIFSTTESFLWILKICSLSTIMREFSGMHSVASLPFKDLILSASEKNSGGNDQNRAWNVPEPLMDYLKTNLNDSQLDAVNAGLSRRSFVLIQGPPGTGKTQTILGLLSAVLHSAPARMQTKGGFDVKKHGPELDIEGKHAHWMKASPWLIGVNPRDLIMPVDGDDGFYPTGNELKPEVVSSNRKYRAHVLVCAPSNSALDEIVLRVLQTGIRDENNNTYNPKIVRIGLKAHHSVKAVSMDYLIQQKLSGVDRSSDGGRRGAGEYDRIRSSILDEAAIVFSTLSFSGSSIFSRMARAFDVVIIDEAAQAVEPATLIPLIHGCKQVFLVGDPVQLPATVISSTAQKLGYGTSLFKRFQAAGFPVQMLKIQYRMHPEISIFPSKEFYEGVLEDGEGLSKKRPWHSYSCFGPFCFFDVDGTESQPSGSGSWVNEDEVEFITLLYHQMAMRYPELKSSSQVAVISPYRHQVKLLKDHFRSTFGDQSKEVIDVNTVDGFQGREKEVVIFSCVRCNKEQKIGFVSDFRRMNVAITRARSAVLVVGSASTLKEDKHWNNLVESAKERGRYFQVPKPFTAFFVDDKLKTMKVERAPPELRTVQALEAINEAVVGQELMDVDDAGDQEDEGYDDDPVEADDGGGDD >ORGLA04G0096500.1 pep chromosome:AGI1.1:4:13590851:13591986:-1 gene:ORGLA04G0096500 transcript:ORGLA04G0096500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGGDDIRAAEKGAEEDGHRGPWNGASTGGCRGAAADGSHHRLLRRGFNDVMAIVVVNFFVSTPLCRHQRPDGNPLTRIAQMLVVSARKWGVEVPADRSRLHESSIKGSHKLEHIKQFACLDRAAVESPEDMTSSSSAWRPCVSLRCGVAMPASPSSPPLPCFRSPPPPFRPRGPPRRTRPLPPMNWIDPLATGEQGQFGLIKPHSSENAQNSQNGKVAVTRCLGRVFAVMDRELAWG >ORGLA04G0096400.1 pep chromosome:AGI1.1:4:13590578:13590799:-1 gene:ORGLA04G0096400 transcript:ORGLA04G0096400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFSMIGYVTFVSCTRGFPKVFRTSSMSLVHGFRLPTSGINRGGA >ORGLA04G0096300.1 pep chromosome:AGI1.1:4:13588223:13589260:1 gene:ORGLA04G0096300 transcript:ORGLA04G0096300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PL94] MAATLRWGGGGLAVAAFAAVVALSGLLGVAANYGGGGGFLFPQFYQHTCPQMEAVVGGIVARAHAEDPRMAASLLRMHFHDCFVQGCDASVLLDADGSGRFATEKRSNPNRDSLRGYEVIDEIKAALEHACPRTVSCADIVAVAARDSTALTGGPWWEVPLGRRDSLTASLSGSNNLIPAPNDTLPTIVGKFRNQGLDVVDLVALSGGHTIGNSRCVSFRQRLYGQLNSDGKPDFTLNPAYAAELRERCPSSGGDQNLFALDPASQFRFDNQYYRNILAMNGLLSSDEVLLTKSRETMELVHRYAASNELFFAQFAKSMVKMGSISPLTGHNGEIRMNCRRVNHF >ORGLA04G0096200.1 pep chromosome:AGI1.1:4:13583411:13586392:-1 gene:ORGLA04G0096200 transcript:ORGLA04G0096200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingomyelin synthetase family protein [Source:Projected from Arabidopsis thaliana (AT1G43580) TAIR;Acc:AT1G43580] MARAARGKAPRPRPRPPRGLAASLRRLLAGAGGGLGVAAAAYVGVDYLRYLSPAWHGRLMPALWAALALAAAARAPFYRHWSAELRAALPFLGSIAFMLGAFLCEAVSVRFVSAVMGLQWHSSSKESMPFWNKGPSRSSLGKKAKRLSAAPLPDTGQWLLLALNEKLPQSVVDLLRAHVITLHHYLMLFIMLGFSVLFGCIKAPGLGIATRYMFTMAIGRLLRTMTFVATILPSARPWCAAARYQIPGHPHPWAQKYYVPYASDSDAIRRVIRDDVAYAAVQSYPGEYRPDWGRMSFLVDILRPTPGEGPSWYHLLKKASGGCNDLMYSGHMLVAVLTAMAWTEAYGGWISVAIWLLVLHSAQREIRERHHYTVDCVVAIYVGILLWRMTRFIWSARDASRARRLAKLDEVQNRLIHAAKDSDVDEIRGLLKEVELAGQEKQGVSQRAILAFAAATIIFTLTCVVLALTLTSDGMHGFNRDVT >ORGLA04G0096100.1 pep chromosome:AGI1.1:4:13578701:13582023:-1 gene:ORGLA04G0096100 transcript:ORGLA04G0096100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMRARESVNMSEDLTQAIAPYATALHDASLQSHCSSCFHRIPAQSPHDMSCTMCGSVRYCCSDCLISDCEVHSSSGECCFFVKHLREASPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSSNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSARKSRMKNYVGLSNGLTIEKVALWAVMTNSVEVQISEEQSLGIAVYGPSFSWFNHSCCPSASYRFVLVPQNEGCTSNKPESCVVPVSKGAAPDAWHAWQNEEAGFAHAQCKYGPRVVVRCTKPINKGDEVFITYIDLLQTREARLSDLWSKYKFICSCERCTALPKPYVDLILNCDARNLKSPHNAVTDPAIEDLDNNLQQAISEYSFLDDSKACCDVIESMLSENLMNDLQQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNMPEAIFSFASCSTNSAKHDSVRYNQFRSTCEKFGKHLLSLSLQCWPFLAQGLPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSGKHAPATLIEQQKECILSLAVCCITYSKYLASICYGPEHYLANRAKDLLECINHVQ >ORGLA04G0096000.1 pep chromosome:AGI1.1:4:13568857:13569198:1 gene:ORGLA04G0096000 transcript:ORGLA04G0096000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHAWRDRSMDHHPKRWCFFFFPSPDSSSASSSASLAACLASFLSWCSWNANPPLPSAAAAATPSSILCRCAFSGSFFAWCLSYCTSTHGHRSIVINGATEFNLFEEEEER >ORGLA04G0095900.1 pep chromosome:AGI1.1:4:13561964:13563065:1 gene:ORGLA04G0095900 transcript:ORGLA04G0095900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMGGGGETKDVIDVEAVVVGAGIAGLATALALRRAGFAARDGGDGCGGGGVVVLERHAELRATGAALTVFPNGWFALRALGVAHKLTPRYQPYETSVVTNLESGATQVFRFGGHKSRSGEVRVRPVHRRALLEAMAEELPPGTIRFSSRLASIGTEPAGGGGGGEELAVVGLDDGTVIRSRVLVGCDGVHSAVARWLGMAEPASSGRSCVRGLAVYPGGHGVRKELRQFLSHGLRAGMVPISDTDIYWFVVNNTVPAGKPAQMPRIKICSNLMICLLQMCLIMNWEFSGPS >ORGLA04G0095800.1 pep chromosome:AGI1.1:4:13553573:13554899:1 gene:ORGLA04G0095800 transcript:ORGLA04G0095800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYPYVEKVERVKAGTRLYLLLPDPFDQRSPEPGRAAVGMPYLEKVEKVYPKEEEEKPAARGGRGRSYGGAGDDDDEKDKYYRNRLTERWSWRTSTSPPPFSGGRRITGHALHPDGRTIFVSVEKTHARRHPGDEDDEEDGTFSYDTERGGEWTRRGGWHLPFKGQAHYDRHLDAWVGIGASSSGAGGHPRLVACDVVHLSDAADDPAPPPKWTACEETLTFLQGGALRERRVGGDPKLVPMGGGGGTFCVVESAPRAGLELIGLGSLLGDGDKFELRVTVFHAKYGENGELLMTTTASAAAAASHTYALSRYLSNFHAPAFWMFHHFWYDSGDDGDDEVETAYESGGDDDEDEVETEYDSDDDEVEAWYDSGTETSYDNSSDDEEDEEVEPSYNSDGEVETLYDSDDYYNSLKEKALGGVQW >ORGLA04G0095700.1 pep chromosome:AGI1.1:4:13542440:13548057:1 gene:ORGLA04G0095700 transcript:ORGLA04G0095700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1PL88] MGGMGSESGRAVAAMVSLQLLFSALQVFIKLALNDGMDARVLVAYRFMFAATFLCPIAFLRERKKRPPLTMKVVLQLFLCGLFGFSINQNLYVLAIKLTSATYITAISNLTPATTFLLAILTRLETLKLKKPAGQAKLLGTLVGMGGAMLLTFYKGPKIMVLDQLPHPKFAHLTENPQSHPISTGNQIIGSFLGIISCFTYATWLVIQAKVSKVYPCHYSIAAMVCLFGALQSTVMALCVHRDMEHWRLGLNIRLYSSAYAGLVASGSAFPLLSWCLRKKGPLFISVFSPLMLIFVALMSSVILNEALHLGSVLGSVLIVGGLYMVLWGKAKEAADLSEDENQGKESIPVTTGGENEMK >ORGLA04G0095600.1 pep chromosome:AGI1.1:4:13539210:13539317:-1 gene:ORGLA04G0095600 transcript:ORGLA04G0095600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEENCEGELFQTEGPRLLGGFSIIWLSPYGKSK >ORGLA04G0095500.1 pep chromosome:AGI1.1:4:13537392:13537895:1 gene:ORGLA04G0095500 transcript:ORGLA04G0095500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVNAGTAAPDSGTGSIAANDGDGDLHGEQSKLVAISDEAPNVDRALATSRPATADGAGGGDDVSPRLRRRLLEIKKRGLEVEEQMLALEQRRLRWAAADAEARREEDAELEKMRVENGVARAENARLWRRLLRRRRERELGVGGVRSNKCRDGAAAMEGEEKSVP >ORGLA04G0095400.1 pep chromosome:AGI1.1:4:13524437:13527350:-1 gene:ORGLA04G0095400 transcript:ORGLA04G0095400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1PL85] MGSCNSWKPTLTMVGVVVVFAVMNTLIKMALDEGMHATVLITLRQLIATLFLAPIAYFRERKTRPKLTAEVLVYLFFSAVLGASLTQWLFFLGLQYTTATFACAFINMTPIFTFLVALPYGLEKVNLNIAGIAKVGGTVLGFSGAMVLALYQGPSLTKLSSSSSSSSSSSSSPMASAAVVAAGHVGGAHRWAIGSVALLGGSACWSLWFILQSRIARKYPALYSGTALMFFLSFLQMAVVALAIDRVSLPPWILRTKLQIITVLFVGIVGSGIGFLAMSWCVEQRGPVFTTAFTPLIQIIAAAINVIVLREQLHLGTVIGSALVIMGLYFVLWGKSKEASPSSSSSHPAKEAVPVLQQQHGHDDQETTNVQMQTV >ORGLA04G0095300.1 pep chromosome:AGI1.1:4:13522707:13523307:-1 gene:ORGLA04G0095300 transcript:ORGLA04G0095300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARGGRGGDKAICSVHLGLLLLLVILALQCGVEPAAARREWPVGDGAGWSPGVVGWPNYKPFKAGDVLVFSYDASAHNVVVVGDVDYALCRAPANATAYGSGDDRVALPPGVTFFVSGFPGDCDKGMMKIAVTAR >ORGLA04G0095200.1 pep chromosome:AGI1.1:4:13516814:13519086:1 gene:ORGLA04G0095200 transcript:ORGLA04G0095200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT5G09820) TAIR;Acc:AT5G09820] MAAASVLLLLPSPFLRPSSPAHRARCGIATTTTTSTSGRRGLFLFASRCCPGPRRRAPAAAVPPEHGLSQPQPQARAVGSYEAALGDAKDALYAALEGMNRGIFGMTSEKRSEIHALVELLESKNPTPEPTDKLQDKVDGCWRLVYSTISILGKKRTKLGLRDFISLGDFFQMIDVKEEKAVNVIKFSARALKILSGQLTIEASYKITTKTKVDITLDSSTITPDQLMNIFQKNYDMLLAIFNPEGWLEITYVDESLRIGRDDKANIFVLERADPSEV >ORGLA04G0095100.1 pep chromosome:AGI1.1:4:13505200:13514527:1 gene:ORGLA04G0095100 transcript:ORGLA04G0095100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDVDEDELLQMALQEQAARDLSHQRPAGAGKPVVNLVRPPANSSRGGGGGGRGGGGPAKARQPSRGGGDDDDDSEVEMLSISSGDEDGAPSSRDRGPPPPRGGGRAGARRAASRDDGDFDDDEPRSWKRVDEAELARRVREMREGGAAPTVDQKAAAAATRKALTNVQTLPRGVEVLDPLGLGVIDNKSLRLITDASVSSVSREKAQGLDPSMREKVIYSSPNFDPKVFLSWVHKDTSAADLESGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEEDPEGAGTAHLYSVTQKISGVANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSAIRGNIRKGEYDLAVREYQKAKSIVLPSHVGILKRVLEEVEKVMQEFRGMLYKSMEDPHLDLAELENIVRLLLELEPETDPVWHYLNIQNSRIHGLFEKCTLDHEARMEVLQNKIREKILSDAKWRQLQQDSNKSLEVDSATGDSFQDDQLSTNIMADEADSLRAAYIRRLTAVLIQHVPAFWRLALSVFSGKFAKAAAGNVLSDSDMNTKQSVNKTDDKGGEAKYTNHTLDEVASMVRATVSAFDTKVQNTFRDFEECNILRQFMGDTIKEIAKACLTLEGKDSSPTAVKMLRALHYEITKLYILRLCSWMRATTKEISKYETWFTLTTLERNKSLYAISSMPLEFRDIIVSAMDRIDFMVLNLRSETAKSYDISQHLHEIHESVRLAFLNSFLDFAGYLERFGGELAQNRSNKENNHTQNGYVNGTNSETSAGMDGDLYKKLLVVLSNIGYCKAELSDELYTKYRHIWSPVRDNDERSADMRDLMTSFSALEEKVLEQYTFAKSNLIRNAARNYLLDYGIHWGAAPAVKGIRDAALDLLHILVAVHAEVYSGARPLLEKAMTILVEGLIDIFLSIFHENKTKELRMLDANGFCQLMLELEYFETILRTYLSTEAEQALRSLQENLLEKACESVTEALENPGHHRRPTRGSEDAASDDRQSVSPDDLLALAQQCSSDLLQGELEKTRLNIACFMESTLQSTPAPAGSKPAAYQSYKAPATHQPVQVSSPSFRRQQTSTNSPAASRRRR >ORGLA04G0095000.1 pep chromosome:AGI1.1:4:13494341:13500229:-1 gene:ORGLA04G0095000 transcript:ORGLA04G0095000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin interaction motif-containing protein [Source:Projected from Arabidopsis thaliana (AT1G43690) TAIR;Acc:AT1G43690] MGDRGEEEEEELQMALRMSLQGSPPAQQPEPKRSKPPPPPAEEGVAAADAEAEARRKQRELRAAAAEKRLRAVAPSPAAAPPRPPGPEVVAREVEVEVKADPGPSGVSMEEAKAEEVEEEKGERLPTDVAEKLWLMVFGNKLEKEVLAQWSNQGIRFSSDPETTMGLVQHEGGPCGVLATVQAYVLKYLLFFSDELGNPEVSDPFYALGQRRFYQSSFAARDDFSSLTDDRKMRALVHAMLEILFLCGTGNRAVVATIGSVNEAKTAAVLEGLSVDSAMDLQKVLRISTFTSRKDAFNSLIANISLFESRLGAMLFLISALLSRGLERIQADRDDPSLPLVTAPFGHASQEVVNLLLCGEAVSNVFDGKVDFGGGMFLNGIPNDVEVGFLTLLESLNFCKVGQYLKCPKWPIWVVGSESHYSVLFALNPNVQEENELEERESKIRRAFDAQDQSGGGGFISVEGFQQVLRDTDINFPSDKLEDLCNAGIIVWSEFWQALLQLDKRAGGMKDPTGLMGKKQFTIYHFNGIAKSVLNGNANIGGSTIQRPRLCKLNVSVPPRWTQDEYLADVVSASTSGSKDDSVLSLAPPVQTSQHAPLVDCIRTRWPRAVCSWVGDMPSIV >ORGLA04G0094900.1 pep chromosome:AGI1.1:4:13489573:13493242:-1 gene:ORGLA04G0094900 transcript:ORGLA04G0094900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAEPPPPEHPPTPAPAPAPASPPPHPAASGNEAAGPPKPNPPITPAPARNASAAPPSGSPATAAAAAPVPSRKESGSTSAAAPAAATRKASGVAAATTGVLPRKPLGTTAPVAARNIPATTAASRTATRATTTTTATSVSRRPAAAAAVASRIPLRATAATTTHAASRNPLTGAAAATATATVAAVGRGGFRAAPTRPEEYTPRMGMEFESEHEAYEFYRYYGWKVGFNVRKEYANKSKKTGEITSRKFACSREGYRANVKRGNHMVPMPDSRTGCNAHLVIRRKKPGAKLEVYAFQPRHNHPLFATSCMPNPLQPNVVHWTTLPDAVTPPDLLMDGGEVGGQESTEENSTASAGEGRRQPLRTRRQWEMKYGEAGALLNYFQDQSLANPSFYHAVQLDVEDKVANVFWADPRMITDYSQFGDVIAFDVVSRNSISLRHFASFVGCNNFGEPIVFGLALMYDETAESFQWLFETFLHAMSGRAPKTFFSHQDAVVAKAVSIVMPDTTHVICAWHLKHAATRNINQLKSDSDFMKEFKACINLYEEETEFLTSWDAMINKHNLHDNVWLKKVFEEKEKWARPYMRGVFSAGMKGTRLNDRFQSDVRDHLRPEVNILLLLRHLETVINDRRRKELEVEYSSRLKLPYFKIKAPILTQAFEAYTNTIFPLFQEEYEEFQSAYIVNRDESGPCREYVVSVVEKEKRYTVYGNPIEQTVSCSCKKFERNGFLCSHALKILDAMDIKYLPDRYIMKRWTKYARTLMSGDVQGQAIQADKLSESSSRYQYMCPKYVRLVARASECEESYRVLDQCWVDLSNKVEEILQKQTCVDATLTQTDVQNLKVSLPSITNGTQAENIMDKSSGTTAKESKKKGQKNKIQSRNCIEKGLRKKQKVHSEQPAEYALLGGSQSGNMFQAFEGPPNMSPLGTQTPTYKTYRGIDLSSPMGPISYDEMPSGLDPTFTTHLGFATYHTSQVSSSSPHNQAL >ORGLA04G0094800.1 pep chromosome:AGI1.1:4:13485005:13486576:1 gene:ORGLA04G0094800 transcript:ORGLA04G0094800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRHVLLGIVLLFLHTLASSAATDTVSPSQALAGSNRLVSNNSKFALGFLKQGNESYNNHNSYLGIWFNKVPKLTLLWTANGDNPVVDPTSPELTISGDGNLAILDHATKSIIWSTRANITTNDTIAVLLNNGNLVLRSSSNSFKIFWQSFDYPTDTLFAGAKIGWDKVTGLNRRIVSRKNSIDQAPGMYSLEVGLNGDGHLLWNSTVPYKSSGDWNGRYFGLAPEMIGVALPNFTFVYNDQEAYFTYTLRDDTAIVHTGIDVFGRGFGGTWLEGSQDWLIHYRQPIVHCDVFAICGPYTICDDKKDPNNNPFCDCMKGFSVKSPKDWELDDRTGGCMRNTPLSCGSSKDRSDLTDKFYPMQSIRLPNNAENVQAATSGDQCSQVCLSNCSCTAYSYGEDGCSIWHDELYNVKQLLDAASDGNGVVLYVRLAAKELQISERKKSGTLIGVAIGASTGTLFLITLLLILWRIKGKWIIAHPLEKSEDSIGIIAFRHIDLRRATKNFSEKLGEEVLVLYLKGT >ORGLA04G0094700.1 pep chromosome:AGI1.1:4:13481718:13482048:1 gene:ORGLA04G0094700 transcript:ORGLA04G0094700.1 gene_biotype:protein_coding transcript_biotype:protein_coding RWGELQLWSSSPVGDQKVNPVRALARFSAEYDERGVLDEMPRLAPHLQCMCSGECLWILDLRPHNDLDHQ >ORGLA04G0094600.1 pep chromosome:AGI1.1:4:13474910:13477333:-1 gene:ORGLA04G0094600 transcript:ORGLA04G0094600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PL77] MLLPCHVLLGLLLLLSLHTPASYAAATDTVSPGHSLAGSDRLVSNNSKFALGFFKPGNESSSYTNHNSYLGIWFNKVSKLTPLWTANGENPVVDPTSPELAISGDGNLAILDHATKSIIWSTRANITTNDTIAVLLNNGNLVLRSSSNSSNIFWQSFDYPTDTLFAGAKIGWDKVTGLNRRLVSRKSSVDQAPGIFSLELGLNGEGHLLWNSTVAYWSSGDWNGRYFGLAPEMIGDVMPNFTFVHNDQEAYFTYTLYDDTAIVHAGLDVFGIGFVGMWLEGNQEWFKNYRQPVVHCDVYAVCGPFTICDDNKDLFCDCMKGFSVRSPKDWELDDQTGGCIRNTPLSCGSSKDRTSLTDKFYPMQSIRLPNNAENVQAATSGDECSQVCLSNCSCTAYSYVKDGCSIWHDELYNVKQLSDASSDRNGGVLYIRLAAKELPSSERKKNGNISGFAIGATTATLFLMILLLILWRRKGKWFAHTLQKPESGIGVVAFRYINLQRATKAFSEKLGGGSFGSVFKGYLSNSTIAVKRLDGAYQGEKQFRAEVNSIGIIQHINLVKLIGFCCEGDNRLLVYEYMPNRSLDVCLFEANGIVLDWTTRYQVAIGVARGLAYLHNSCRDCIIHCDIKPENILLDASYVPKIADFGMAKILGREFSRAMTTMRGTIGYMAPEWISGTVVTSKVDVYSYGMVLFEIISGRRNSSHECFRDGDYSFFFPMQVARKLLNGDIGSLVDASLKGDMNLVEVERACRIACWCIQDNEFDRPTMAEVVQALEGLLELDMPPLPRLLSAITGGSHSVIPQYFDSV >ORGLA04G0094500.1 pep chromosome:AGI1.1:4:13465710:13466597:-1 gene:ORGLA04G0094500 transcript:ORGLA04G0094500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTNSILCRVRLPQNGYSIEAATNADKCALVCLSNCSCTAYSYGNGGCLVWHGELFDVKQQQCDGITDTNGGTLYIRLASREEQSQKKNTRGLIIAIALGLSFAALFMLAIALVIWWNKRKRYNCTSNNVEGESGIVAFRYFDLQHATKNFSEKLGEGGFGSVFKGFLHDSRTIAVKKLAGAHQGEKQFRAEVSSIGLIQHINLIKVIGFCCNNDSKLLVYEHMPNRSLDVHLFPTDTKILNWDTRYQIDIGVARGLSYLHDSCRDCIIHCDVKPQNILLSESFTPKIADFGRQSF >ORGLA04G0094400.1 pep chromosome:AGI1.1:4:13459179:13461599:-1 gene:ORGLA04G0094400 transcript:ORGLA04G0094400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PL75] MPLLHHVLLGFLLILLYLRTPTSSTATDTVSPGRALVSSDRLVSNNSKFALGFYKPGNESYTNHNSYLGIWFNKVPKLTPLWTANGNNPVVDPTSPELAISSDGNLAMLDHTTKSIIWSTHANITTKDTIAILLNNGNLVLRSSSNSSIIFWQSFDYPTDTLFPGAKIGWDKVTGLNRRLVSRKNSIDQAPGIYSLELGLNGDGHLLWNSTIAYWSSGQWNSRYFGLTPEMTGTLMPNFTFVHNDQEAYFIYTWDNETAIMHAGIDVFGRGLVATWLEESQEWLIYYRQPEVHXDVYAICGPFTICDDNKDPFCNCMKGFSVRSPKDWELDDRTGGCFRNTPLSCGSRTDRTGLTDKFYPVQSIRLPHTAENVNVATSADECSQACLSNCSCTAYSYGKGGCSVWHDELYNVKQLSDSSSDGNGGVLYIRLAARELQSLEMKKSGKITGVAIGASTGGALLLIIPLLIVWRRKGKWFTLTLEKPEVGVGIIAFRYIDLQRATKNFSEKLGGGSFGSVFKGYLSDSTIAVKRLDGARQGEKQFRAEVNSIGIIQHINLVKLVGFCCKGDNRLLAYEYMPNSSLDVCLFKANDIVLDWTTRYQIAIGVARGLAYLHTSCRDCIIHCDIKPENILLDASYVPKIADFGMAKILGREFSRAMTTMRGTIGYLAPEWISGTVVTSKVDVYSYGMVLFEIISGRRNSSHENFRDGDYSFFFPMQAARKLLDGDIGSLVDASLDGGVNLVEVERACKISCWCIQDNEFDRPTMGKVVQSLEGLLELDMPPLSRLLNAITGGSHPVTPQYFDSL >ORGLA04G0094300.1 pep chromosome:AGI1.1:4:13452706:13455319:1 gene:ORGLA04G0094300 transcript:ORGLA04G0094300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PL74] MALPITVLFLLFTLHIPASCKVTDTISAGETLAGNDRLVSSNGKFALGFFPTSSKSSHNASNWYLGIWFNQVPKLTPAWVANGDEPVTGPTSPEATISGDGNLVILDQATKSIIWSTQADITANTTMVKLLDNGNLVLQNTSNSSVVLWQSFDYPTNTHLAGAKLGRNKVTGLNRRLVSRKNSVDPASGMYSYELTDNNGSARFILAALNSSIPYWSSGEWNGHYFGSIPEMTGQRLIDFTFVNNDEEVYFTYTLLDNATIMRFMLDISGQTKIFLWVEHVQDWVPIYTNPKQCDVYGICGAFTACEESKLPICKCMKGFSVRSPNDWELDDRTGGCVRNTPLDCGINRNTSMQDRFHPMPCVGLPSNGQIIEDVTSAGGCAQVCLSNCTCTAYYYGNTGCSVWNDELINVKQLKCGDIANTDGATLYLRLAAKEVQSIKSSGRSIIIGVAVTASVASFALALFLIAKIPRNKSWLLGHRRKNFHSGSGVIAFRHADLQHATKNFSDKLGAGGFGSVFKGLLNESTVIAVKRLDGARQGEKQFRAEVGSIGIIQHINLVKLIGFCCEGDRRLLVYEHMPNLSLDTHLFHSDATVLKWSIRYQIALGVARGLAYLHDSCQDCIIHCDIKPENILLDASFVPKIADFGMAKFLGREFTQVLTTMRGTIGYLAPEWISGTVITSKVDVYSYGMVLLEIISGTRNSSKEFATRDDYEYFPVLVAHKLLDGDAGSLVDQNLHGDVDLEQVERAFRVACWCIQDNELDRPTMSEVVQYLEGLLEVGIPPVPRLLQAIAGNPYSK >ORGLA04G0094200.1 pep chromosome:AGI1.1:4:13447960:13449927:1 gene:ORGLA04G0094200 transcript:ORGLA04G0094200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTDTFFPGAKLGWNKITGLNRRIVSKKNLVDPATGMYCEELDPTGVNQVLLALVNSSTPYWSSGAWNGEYLSSIPEMASHNFFIPSFVNNDQEKYFTYNLAKENIISRQILDVGGQSKTFLWLEGSKDWVMVNAQPKAQCDVYAICGPFTVCTDNELPNCNCIKGFTITSLEDWALEDRTGGCSRNTPIDCISNKTITRSSDKFYSMPCVRLPPNAQNVGSVDSSSECAQVCLNNCSCTAYSFSNGGCSVWHNELLNIRKNQCTGNSNTDGETFHIRLAAQELYSQDVNKRGMVIGVLSACFALFGLLLVILLLVKWRNKTKLSGGTRKDYQFCNGIIPFGYIDLQRATNNFTEKLGGGSFGSVFKGFLSDSTVVAVKRLDHACQGEKQFRAEVSSIGIIQHINLVKLIGFCCEGGRRLLVYEHMPNRSLDHQLFQTNTTLTWNIRYEIAIGIARGLAYLHENCQDCIIHCDIKPENILLDDSFSPKIADFGMAKLLGRDFSRVLTTTRGTAGYLAPEWISGVPITTKVDVYSYGMVLLEIISGKRNSYASCPCGGNHDVYFPVLVACKLLDGDMGGLVDYRLHGGIDKKEAEKAFKVACWCIQDDEFRXPTMGGVVQILEGLVEVDMPPMPRRLQAIAGSSNSTCSLYSLPANI >ORGLA04G0094100.1 pep chromosome:AGI1.1:4:13441499:13443919:1 gene:ORGLA04G0094100 transcript:ORGLA04G0094100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIYVVLLFSLCISANAAMTDTISVGNALGRKDKLVSKNGRYALGFFETERVEVSQKSSKWYLGIWFNQVPKITPAWVANRDNPINDPTSLELTIFHDGNLVILNRSAKTIIWSSQANITNNNTSAMLLSSGNLILTNPSNSSEVFWQSFDYPTDTLFPGAKLGWDKVTGLNRRIISKKNSKDLAAGVYCKELDPSGVDQSLLTPLNSFTPYWSSGPWNGDYFAAVPEMASHTVFNSTFVHNDQERYFTYTLVDERTVSRHIVDVGGQAKTFLWYEDLQDWVMNYAQPKSQCDVYAVCGPYTICIDNELPNCNCIKGFTITSHEDWELEDRTGGCSRNTPIDCTNNKNTTHSSDKFYSMTCVKLPQNEQNIGSVKSSSECAQVCLNNCSCTAYSFSNGGCSIWHNELLNIRKSQCSDSSNTDGEALHIRLAAEELYSKKANKRVMVIGAVISASFVLLGLLPLILLLLRRRSKTKFFGDTLKDSQFCNGIIAFGYIDLQRATKNFSEKLGGDFGMAKLLGRDFSRVLTTVRGTVGYLAPEWISGVPITTKVDVYSYGMVLLEIISGKRNARTSCSCGGDHDVYFPVLVARKLLDGDMGGLVDYRLDDEIDIKEAEIACKVACWCIQDNEFNRPTMGGVVQILEGLVEINMPPMPRLLEAIAAGSSNPTCSSASF >ORGLA04G0094000.1 pep chromosome:AGI1.1:4:13431249:13433699:1 gene:ORGLA04G0094000 transcript:ORGLA04G0094000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PL71] MALLIFVVLLFALSIPASSATIDTISIGTALAKNDKLVSENRRYALGFFETQRKASQKTSKWYLGIWFNQVPKLTPAWVANRDKPIDDPTSVELTIFHDGNLAILNQSTKSIVWSTQANITANNTVATLLNSGNLILTNLSNSSEVFWQSFDYPTDTFFPGAKLGWDKVTGLNRQIISWKNSIDPATGSYCKELDPSGVDQYLLLPLNSSIPYWSTGAWNGDYFSSIPEMKSHTIFNSSFVDNDQEKYFRYDLLDERTVSRQILDIGGQEKMFLWLQDSKDWTMIYAQPKAQCDVYAICGPFTVCIDNELPHCNCIKGFIVTSLEDWELEDRTYGCSRNTPIDCINNKTTTHSTDMFYSMPCVRLPPNAHNVESVKSSSECMQVCLTNCSCTAYSFSNGGCSIWHNELLNIRKDQCSENSNTDGEALYLRLAAKEFYSAGVDSRGMVIGLAIFASFALLCLLPLILLLVRRSKTKFSGDRLKDSQFCNGIISFEYIDLQRATTNFMERLGGGSFGSVFRGSLSDSTTIAVKRLDHACQIPQGDKQFRAEVSSIGTIQHINLVKLIGFCCEGGRRLLVYEHMSNRSLDLQLFQSNTTISWNTRYQIAIGIARGLSYLHESCQDCIIHCDIKPENILLDDLFIPKIADFGMAKLLGRDFSRVLTTVRGTAGYLAPEWISGVPITPKVDVYSYGMVLLEIISGRRNSYTSSPCVGDHDDYFPVLVVRKLLDGDICGLVDYRLHGDINIKEAETACKVACWCIQDNEFNRPTMGEVVHILEGLVEIDIPPMPRLLEAIVAGSSNPTCTSSSFFGSIRESL >ORGLA04G0093900.1 pep chromosome:AGI1.1:4:13427190:13427672:1 gene:ORGLA04G0093900 transcript:ORGLA04G0093900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWIFAPESKSSTTVVLVRERLGRASYQAPNDESYGRAAARQAYHTDEVPPSAANADLSTPPSTRSRSRSRAPHRLTSLPSPATRLTALRGRGNGGTRAAEPRDGAWASCCLLGLGFRAARPKISGRSARGRGGLHQLGVSYRVGISLFTISHLRVEFN >ORGLA04G0093800.1 pep chromosome:AGI1.1:4:13420706:13422760:1 gene:ORGLA04G0093800 transcript:ORGLA04G0093800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSSKANIPTNTTHAVLLDDGNLVLRSTSTTNASSTILWQSFDHPTDTVLQGGKIGWNNATGVNRRLVSRKNTVDQAPGMYSFELLGHNGPTSMVSTFNSSNPYWSSGDWNGRYFSNIPETVGQTWLSLNFTSNEQEKYIEYAIADPTVLSRTILDVSGQLKALVWFEGSWDWQTIFTAPKSQCDVYAFCGPFTVCNDITFPSCTCMKGFSVQSPEDWELDDRTGGCVRNTPLLCNSNKTAAGTADKFYPMTSVQLPDKAQSIGAATSADECAAACLSSCSCTAYSYGEGGCSVWHDKLLNVRQQGNGVLYLRLSAKEVLESRRNNRWGVILGASIGASTAALGLIFLLMIWIRKGKRYNLTMDNVQGGMGIIAFRYVDLQHATKNFSEKLGAGSFGSVFKGSLSDSTIIAVKRLDGARQGEKQFRAEVSSIGIIQHVNLVKLIGFCCKGDRRLLVYEHMPNSSLDAHLFPSSGAVLSWTIRYQIALGVARGLAYLHSSCRDCIIHCDIKPENILLDSSFTPKVADFGMAKFLGRDFSHVVTTMRGTIGYLAPEWISGTAITSKVDVYSYGMVLLEIISGSRNSSKQSSRDGVHEACFPVQVARNLLNRDIDSLVDANLHGEVNLEQVERVCKVACWCIQDNEFDRPTMSEVLQFLEGLSEVETPPMPRLLHTLAGGLDSKIM >ORGLA04G0093700.1 pep chromosome:AGI1.1:4:13415937:13418360:1 gene:ORGLA04G0093700 transcript:ORGLA04G0093700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PL68] MTILLVILGLHLCSLHLPAISAAADTLSPGQSIAGDDRLVSSNGKFALGFFNTGSKSSGNDTLSYWYLGIWFNKVPNKTHVWIANRGSPVTDATSSHLTISPDGNLAIVSRADSSIVWSSQANITSNNTVAVLLDTGNLVLQSSSNSSHILWESFDHPTDVFLPSAKIGLNKITGLNRRIFSRRDLVDQSPSVYSMEFGPKGGYQLVWNSSVEYWSSGEWNGRYFSRIPEMVVKSPHYTPFIFQIEYVNNDQEVYFTYRIHDETIPLYTVLEVTGQRKALAWLNDTQGWQAVFTHPNDQCEVAATCGPFTICNDNTFPSCSCMEGFSIESPDSWELGDRTGGCRRNIPLDCVSSRSDIFNAVPATRLPYNAHAVESVTTAGECESICLGKCSCTAYSFGNYSGCSIWHGKLVNVKQQTDDSTSANGETLHIRLAARELQARKSNKGLVVGVVVSASLSALGILTLVLLLIMIRRHRKKLHCQALNSIYAGTGVIPFRYSDLQRATKNFSGQIGAGGFGSVFKGLLNGSTAIAVKRLVSYCQVEKQFRAEVSSIGVIHHTNLVKLIGFSCKGDERLLVYEYMSNGSLDTHLFRSNNSVTLNWSTRYQIALGVARGLAYLHESCRDCIIHCDIKPQNILLDDLFVPKIADFGMAKLLGRDFSRVMTTARGTIGYLAPEWFSGVAVTPKVDVYAYGMVLLEIISGKMNSHKESNSYADHIVCFPLEVAHKLLEGDVLSLVDGKLNGDVNVEEAERACKLACWCIQENELDRPTMGKVVQILEGLLELDLPPMPRLLQSIVQSSWKTETQH >ORGLA04G0093600.1 pep chromosome:AGI1.1:4:13413582:13413992:1 gene:ORGLA04G0093600 transcript:ORGLA04G0093600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:I1NTN6] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ORGLA04G0093500.1 pep chromosome:AGI1.1:4:13408358:13408966:1 gene:ORGLA04G0093500 transcript:ORGLA04G0093500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRILLHTPFSGQPSGPSQPVSGATIVEGGSPGSNFDANIVMILAVLLCALICALGLNSIVRCALRCSSRMVVDPEPSRVTRLAQSGLRRKALRSMPILLYSTGLKLNTVSPMCAICLSDFEDGEHVRVLPKCNHGFHVRCIDRWLLARSTCPTCRQSLFGAPQKASGCSEPEGSQAEPAPALPALAPLRPEGLVTPYDF >ORGLA04G0093400.1 pep chromosome:AGI1.1:4:13406511:13407056:-1 gene:ORGLA04G0093400 transcript:ORGLA04G0093400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGWRRGAAWQTAGGSGSGRIWPPYPRRDGRGSEAARVSRLGAGGCGTPWSSTGRRPGVGAAATALTEGGANCIRAAAWADGRRRRRRSFRRARPDHGPWSARRGMGGRTAAVWPSGAAVVALGWAWRLVGPEAGREGSDGSAKGAGGSGSSSSLPACTLALPGAPPLLCGEFLCWIEAAAG >ORGLA04G0093300.1 pep chromosome:AGI1.1:4:13406007:13406396:1 gene:ORGLA04G0093300 transcript:ORGLA04G0093300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSPPGQRQHHKDGTLKAMPPRRSRHQGCRHRPSKEMWFSPRENSPREKGILASALKEVTTPKSVTAASPMNHQARLLPGNPSTLLEVCRPSTQEPPHKQISTRPPPHRAGAPPPADHHTCCIELSPP >ORGLA04G0093200.1 pep chromosome:AGI1.1:4:13401890:13402837:1 gene:ORGLA04G0093200 transcript:ORGLA04G0093200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPKMYLSDQANQARGQCLSDPRVVRILLRKCWWVFQEISTGTFFADGDGIGKTFFTIQNVFLLALA >ORGLA04G0093100.1 pep chromosome:AGI1.1:4:13395570:13399780:-1 gene:ORGLA04G0093100 transcript:ORGLA04G0093100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPLPNSPNEETAAGASCGRAAAVLLLLCREAAAVDTCAPEEVGNAAGNGTAAAAAGGAQAAAVARREQGRQVMRRRRGVSRGWWRGVSRGRRRQVVRRRRAVSRWLRRWSFPVVVAAAVVVVAVVLVLRRVVGRRRFSRRRRRRRLVVVMLPVLRSLLPRQWRLGGIGSRSLLIRCFHRRGGGGGGGAVRRRERRRKSDDEEGDALVEWRVXISRLFDVTKPIILSFGLWRTGPCCKELQISRCWYLDLAALIWTRYCWFFTXGLMKHSCNSFXSXXTLQNSGGMMIANSTAARSPLQCRALSSSAADRGPWTILVCVFFTHSXRRSKKLVLITSLRSCSSAVSLQFWLVAAVLGYPRLRGFGGKDQHHTFSQLFCIALPRXLMSDDNFTRPVKTAITETYPTGYSHVLTHPGTRFPPLPLGPCSMEMQ >ORGLA04G0093000.1 pep chromosome:AGI1.1:4:13384371:13385728:1 gene:ORGLA04G0093000 transcript:ORGLA04G0093000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TARQPSAVAAWVLVPAFALPGVLVGWPRRVQDGRDGGGRGRVPGQGGAGESELLRCRGATKLGNDDTMQSLYWIIDASWQCTLDTALYLDAISSLSWNISNVPKLQQSLIEQMLSHNNEIPGLISGGFMKA >ORGLA04G0092900.1 pep chromosome:AGI1.1:4:13380821:13381174:1 gene:ORGLA04G0092900 transcript:ORGLA04G0092900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKVALLVVLVAMSVVLLETQAKTKPKAEEKPPKTKEHRLLSHPDKPPPYRNSHTKTNTPRTPLYAPPPPLPHTSPTPEPTPPTYSPIAKTTMRADRWRRRSEGEACVRVWGGVPC >ORGLA04G0092800.1 pep chromosome:AGI1.1:4:13372658:13374013:1 gene:ORGLA04G0092800 transcript:ORGLA04G0092800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1PL59] MGRKESLTTTTTAAAAAAATRSMRLPPQHQALEVKIPSFFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRSLISHWSSSSSPATSGDASVTSSPAGLVRQVASPDADPSAALRQLAAYLSDDDVDEFEKNALVGAGGAAEAVASVLRRKGEREVGVEGCEAAVRVLAAVVAMDGVEDANKRRVAAGLAADAAASAASLARVMRGASGLEARVDAARLVEFLLANAADEAREAVAESAELVAELVRLVGPADEKGSLDARAVGAGLSCLATISRSRRAARAEMVRAGAVRAAARALRATAADPAASARALRVLESAVGCAEGRAALCEDAEEAVPAVVGRMMKSGRDGAEAAVAVLWAVCHKYRDRRAADAAAASEGGLTRLLLLLQSGCSPAARQMALELLKIYKVNAKSCLAGYDSKTTHIMPF >ORGLA04G0092700.1 pep chromosome:AGI1.1:4:13362658:13363068:1 gene:ORGLA04G0092700 transcript:ORGLA04G0092700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLPLLFPSFSPLLGTRAVGRGWRKLNGGSGAADGEARRRWPSGYTSTCPAAAVRWRREEGSRVGRAPRRGEVVVYAAVEAVEGVGEGDEDTGEREQGEEGGGEEPGGGVIGAVVGEGGRQQLERHHRSRREQVR >ORGLA04G0092600.1 pep chromosome:AGI1.1:4:13342930:13345913:1 gene:ORGLA04G0092600 transcript:ORGLA04G0092600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:succinate dehydrogenase 5 [Source:Projected from Arabidopsis thaliana (AT1G47420) TAIR;Acc:AT1G47420] MAAALRSSCAAARRLLRISPAALSTLTAASSRPAAVAPLARPIAAAAVSGGNNAFSWNLRRLFSSNEKHLPAISDPEVESAFKDLMAASWTGLPDSLVIEAKKAASKATDDKAGKEALLNVFRAAEACEEFGGVLVTLRMALDDLCGITGENVGPLPGYIEDAVKSAYKRYMKYLESFGPEENYLRKKVENELGTKMIHLKMRCSGVGSEWGKITLIGTSGISGSYVELRA >ORGLA04G0092500.1 pep chromosome:AGI1.1:4:13341024:13341944:1 gene:ORGLA04G0092500 transcript:ORGLA04G0092500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILAYEYVQQHVVLAAVSFHFTCAYITIPLKPRNPLRANSNPRQSHPPMKKHAAAARCNALFVDRFEKIMMHPLVASLEYIFCFGGWTASCVVFFHLIVSFYGTEMTENLCSCDGMTAEEAAAMRGVEACMLLSCAAQMAAAAAAMALTTATATWGRRPRRARAVRRASASVALAVAGLTLWLWCVYLRFLPGLRCFRCFGVLRRVAVAAVALGFATPVFAFVALGSHAVVRGDEAEWDE >ORGLA04G0092400.1 pep chromosome:AGI1.1:4:13329762:13332691:-1 gene:ORGLA04G0092400 transcript:ORGLA04G0092400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSESDRDDIFFDAFDDVTSTREPSLSDDCSTSDEGLASRRFEYDIWGNEPMSVEERRQRFLKGMGFDEFLATRVDFSQPQGEITTVGPFADLGLEESTTSDISSVNSSVPENESVSDASCCIGDIDSGERYTVQNDGYGELTSMLKDVASHKVVSLLEFDGVPGLSQSVQKLLRKVYSSSIEEKKNVFNKKKGVKSLWKSFMKNRSFGGICKHDVNVKNCTIGIPSRTKVQHRKKKTMEFSAVHLGQEIQAHKGLIKVMKFSPSGWYLATGGEDCIVRIWQIMEVEASSKLHGGDNPQNYDDKITIIKTELGRGKNHALAVVPKKGFRISETPLHEFQSHTDDILDMAWSESDYLLTSSKDKMVRLWKVGCDGCLGLFKHKDYVTCVQFNPIDERYFISGSIDGKVRVWDALDKRVVDWADTRKIITALSYQPDGKGFIVGTTSGECRFYDQSGENIQLDKELFMQGKKSAVRRVNSLQSRSSDSSRITITSTGSKIRVADGVDIIQKFEGPWNLKALSSPSLTSDGRYLISAGLDSNVYIWNFDIPSVADHKGEAKSVRSCEKFFSKDVTTAVPWPGLHQERQQVKNSSSLTEESVSSPILHRHGERRSPAARCFADGMKGTPTWPEEKLPPAKAADAPRLSDCLSTISPAWNTVIVTASRDGVIRSFHNYGLPVRL >ORGLA04G0092300.1 pep chromosome:AGI1.1:4:13321004:13321930:1 gene:ORGLA04G0092300 transcript:ORGLA04G0092300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSSTSPSPSPSSGQHQQQPTTPRRQLQGPRPPRLNVRMESHAIKKPSSGAAAAAAQAQAHQLPAQAQARREQQQPPPRAPVIIYDASPKIIHAKPNEFMALVQRLTGPGSGPPAPPHQGEAQAQDYPMMDEAAAQQFFPPELLLSPSAAMSPAARLATIERSVRPMPEPALEYVDITNGGGGGGVDDGGLAAILGSIRPGILSPLPSSLPPAAVPGQFSPLPFDASCISWLNELSPILRAASAGAASSGSGGGGSGGNTSNGGAARPPPSYYADPFVPSPRHLLATPTVPSPATCAELFSNLPDL >ORGLA04G0092200.1 pep chromosome:AGI1.1:4:13307814:13309169:-1 gene:ORGLA04G0092200 transcript:ORGLA04G0092200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1PL53] SSPAAAAAAAPAVEVPSYFVCPISLEIMRDPVTLSTGITYDRESIERWVFTDGHGECPVTKQRLAPADGREPTPNHTLRRLIQGWCAVHAVERFPTPRPPVDAARVAAIVDAAGPLLRRRQREELMASLRELADIVAESDRNRRCVQGAPGAVEFLLSVVKERASVGVDDATSAKPDEETTCGGVHDPAKASSPEEAALSILHSLKLSEESFKRVLEGSGGEDFLETMACVLRRPSYLSRMQGIHLLKSALPAMAPARLTSASAALVDGVLGVVADRPSAKAVKVALHVLCRLCPWGRNRVKAVDAGAVSALVRLLLDEGCGGGGGGDRRACELAVVAIDHICGCAEGRLALVAHPAGLAAVACAATRLPAAAGAESAVRVLHAVARHSATPAVLQEMLAVGVVARLLFLVQVGASGERTRARAREMLKMHARVWRDSPCLASHLNASYPR >ORGLA04G0092100.1 pep chromosome:AGI1.1:4:13291996:13294950:-1 gene:ORGLA04G0092100 transcript:ORGLA04G0092100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAVETTPAAAAAENGGEVVVVVGGGWVDGCWARVRAAVEVAGRWVGGLARKVGGIAADDPRRVAHSLKVGLALTLVSVLYYVTPLFKGFGVSTLWAVLTVVVVMEYTVGGTLSKGLNRAFATLVAGFIAVGAHQVANRCGAQGEPILLAVFVFLLASAATFSRFIPEIKARYDYGVTIFILTFSLVAVSSYRVEELIQLAHQRFSTIVVGVATCLCTTIFVMPVWAGEDLHKLAAGNLDKLADFLEGMETECFGESATSESLEGKAFLQAYKSILNSKATEDSLCNFARWEPGHGKFSFKHPWSQYQKIGALSRQCASSMEAMASYVITLTKSQYPEANPELSFKVRTACSEMSSHSAQALRELSAAIRTMTVPSTTSMSAAIKAAKTLRSELSEDKALLQVMHVAVTASLLSDLVTQVKKIAESVDNLARLACFKVPEKSQKEVAINIMS >ORGLA04G0092000.1 pep chromosome:AGI1.1:4:13286109:13289867:1 gene:ORGLA04G0092000 transcript:ORGLA04G0092000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERPPPISGGGAFAFISKGWREVRDSASADLRQMRARADRELEHLLASASALAGPPLPPVAAGAPIAEVEFVRKRIQPKIMELRRQYSSTVRDAGWAPKAAGASLRVDLSGITAIRNAIVAEGGGGGGGGGGRWGLVRWKGHADDEGRKEWEVVRMIRSGLKEFERRSLSSEVFGGFRGRGEFVEKFKLSLKSLNKESQESKEVLPLDLTEILAYLVRQSGPFLDQLGIRRDLCDKIVETLYSKHNGRLIYHSLSADRSLIGNENMTDELDLRIARVLESTGHHTEESFWKDHAKYKLSDNRRHVAIVTTASLPWMTGTAINPLFRAAYLARSTKQKVTLVVPWLCKSDQELVYPNNITFSSPEEQENYIRNWLQERLGFEANFKISFYPGKFSKERRSIIPAGDTSQFISSSEADIAILEEPEHLNWYHHGKRWTDKFKHVIGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSAATQDLPRSVVCNVHGVNPKFLKVGEKIAADKEHGLQSFTKGAYFLGKMVWAKGYRELIDLLSKHKSDLEGFNVDVYGNGEDSQAVQMAARKLNLSLNFFKGRDHADSSLHGYKVFINPSVSDVLCTATAEALAMGKFVICADHPSNEFFKSFPNCLTYKTSEEFVARVKEAMASEPSPLTPEQRYSLSWEAATERFMEYSELDKVLNNKIGYSGQDGKRSKVRKIPLLPRLSEVVDGGLAFAHHCLTGNEFLRLATGAIPGTRDYDKQQCMDLNLLPPQVQHPVYGW >ORGLA04G0091900.1 pep chromosome:AGI1.1:4:13278906:13279655:-1 gene:ORGLA04G0091900 transcript:ORGLA04G0091900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKHHRDWILRRCCGSIAACILTLAVLVGFIVLVIYLAIHPSKPSFYLQDVQLRNIDLSDPAISLNLQVTIASRNPNDRVGVYYKTLHVFTTYREEPITVPVELPAIYQGHKDVSVWSPVMSGESVPVGQYVADAMRQDIAAGYVLLHVKVDGRVKWKVGSWVSGGYHLFVTCPALLAASGGNVGGAFAMSATAGGGAGGNATVSLKFAQAADCTVDV >ORGLA04G0091800.1 pep chromosome:AGI1.1:4:13267468:13269721:1 gene:ORGLA04G0091800 transcript:ORGLA04G0091800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREEAERRLAELRCLVKSRGARVLLVVEDLKWAADFWAAAHAGARRVGSGGGGYYCSVEHVVTEVRALASCDGGIWLVGFGTYQTYMKCRAGHPSLESMWGLQTLAVPAGSLALSLTCAFDDSALGAVNQSMKASPHTTDGNRPAPSCWPLLGGSHLLSRCCGGDCSAATTTHEHDTKASLPRSFVSSSSLPSWLQHCRDQQLQESTHFADLGKTWGSICGKPSQRMTLHFSAPVSPASSISSYEHGHGHQQQQHQPHHSWLLADLDAKHPWKPKREDDDDEKAKSHDDCSGASNGSVEVECRSRFKELNAENLKLLCAALEKEVPWQKEIVPEVASAVLQCRSGIAKRRDRSRSTEAKEETWLFFLGGDAHGKERVARELAGLVFGSRKSFLSVKLGASSSSPSASGSTEDHHRSKRPRTTTTSSASEAYLERLYDAVSENPHRVILIEDVEQGDHRWQVGVKEAIDRGVLRSQAGDEVGVGDAIIILSCESFEARSRAGSPLMNKKMKVEKEEANTSDHDHKLEIESGAPSSCFDLNLDMESDQAADELSSGDVCLLTAVDRVLLFRRQDEL >ORGLA04G0091700.1 pep chromosome:AGI1.1:4:13259950:13262224:1 gene:ORGLA04G0091700 transcript:ORGLA04G0091700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSSAEITAFSDRYEEFEKINTEVLGVSIDSVISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETLRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKDSKEYFASI >ORGLA04G0091600.1 pep chromosome:AGI1.1:4:13257550:13257816:1 gene:ORGLA04G0091600 transcript:ORGLA04G0091600.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGREGKAARRRWGKRRPAREWEGGGGSGRRERGERQPASSAGAATVLPAMAITGGGRVAARLAVLVRGVSEICGSIESRRHRNDKRPPR >ORGLA04G0091500.1 pep chromosome:AGI1.1:4:13250798:13254263:-1 gene:ORGLA04G0091500 transcript:ORGLA04G0091500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGRPPAAQHIVRSVRQRFVPLPPPLARAPFAAAPGDYHRFAAASRGGEIEEGIVIRRTPLKRKTPCGESEAAESSERMMTSPGFTEGVGSPLMTPVSGKSSRTTKSMAKFNKAGPQTPISNAGSPGNPSTPASSRYDNSLGLLTRKFINLLKQAQDGILDLNDAAKILDVRKRRIYDITNVLEGTGLIEKKLKNRIRWRGSDDSGTNLDSDISCLKTEVENLYIQEQALDRSISEIREKMEELTEDESNHRWLFVTEDDIKGLPCFQNEALIAIKGPRGTTVEVPDPDEAGDYLQRRYRILLRSTMGPIDIYLVR >ORGLA04G0091400.1 pep chromosome:AGI1.1:4:13248278:13249007:1 gene:ORGLA04G0091400 transcript:ORGLA04G0091400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1279) [Source:Projected from Arabidopsis thaliana (AT2G27290) TAIR;Acc:AT2G27290] MAAGALLLANPAAPTARHQHRLLQQRRQPRLLASSRPPRWRLSAVQETKEGEAQTAEEITEKYGLEFGLWKVFSSKEGEEEGKTRKSRTEQAKELLAKYGGAYMATSITLSLISFTLCYLLVSAGVDVQDLLGKVGIATGETGGKVGTFALAYAAHKAASPIRFPPTVALTPVVASWIGRIKKGGD >ORGLA04G0091300.1 pep chromosome:AGI1.1:4:13246534:13247326:1 gene:ORGLA04G0091300 transcript:ORGLA04G0091300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPIIFLLLAAAAAIAAPWQVAHAAGKCGKTPAEKVALKLAPCAKAAQDPGARPPAACCAAVRDIGTHQSHACLCAVLLSSTVRRSGVKPEVAITIPKRCKLANRPVGYKCGAYTLPSLQG >ORGLA04G0091200.1 pep chromosome:AGI1.1:4:13243029:13243665:1 gene:ORGLA04G0091200 transcript:ORGLA04G0091200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIRGVVVVVLALVVVAAAAADGAGECGATPPDKMALKLAPCASAAKDPKSTPSSGCCTAVHTIGKQSPKCLCAVMLSSTTRNAGIKPEVAITIPKRCNIADRPVGYKCGDYTLP >ORGLA04G0091100.1 pep chromosome:AGI1.1:4:13233588:13234142:1 gene:ORGLA04G0091100 transcript:ORGLA04G0091100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMGDDGMGPMAMAPPRSGHATAAAPPPPQHKMAMMMHMTFFWSDRAVVLIRGWPGERGAGMYALCLLFVLALAALTEGLSVLSRRLARRGGAAASSDGGRPAPAPASSAALLTAVHAARMGMAYLVMLAVMSFNVGVLLAAVAGHALGFLLARSRVRPAARDGGGGVACEHGGLPPADGSKT >ORGLA04G0091000.1 pep chromosome:AGI1.1:4:13230288:13230812:-1 gene:ORGLA04G0091000 transcript:ORGLA04G0091000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASYSQPLLSHHSTSAAATSDSNGSDNFSSSGAAPAGAEARLPPISRRLHSYDDLVHAAAAAAHDAYFKRCHTTPGYVSFEDVIGSQEFEESSRRPPEAGISDPLLRATSRLYARPHPALHRRRSPGPLGTRRGGAVYRFVKRYVCPCLGFVAGIIGVKQVDQVEEEYPALTY >ORGLA04G0090900.1 pep chromosome:AGI1.1:4:13225774:13227588:1 gene:ORGLA04G0090900 transcript:ORGLA04G0090900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:I1PL40] MSVEFLTKALTALFGYAMPALECFKAIEQRPGRTDHLRFWCQYWIILVILVIFDDIAGVLTSKIPMYSELRLAFLVYLWYPQTRGTDIVYDTFLRPLVMQYQPNIEERLRYLRANAGDILIFYLKNFTDRGYDLFLRGMEYIRSQTSRGSRTRRWFSFGGDRAERSSYVDDYVAGGGDRRSTARHRRPRDDY >ORGLA04G0090800.1 pep chromosome:AGI1.1:4:13218688:13219816:1 gene:ORGLA04G0090800 transcript:ORGLA04G0090800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLNPPHLHFGKLRKRARAVAAGGGRQPAAAMAKKGLVGILYKLRDVHHRAPPTPTSPSSSSSPHCHGRHQLCYPPAPSSWPWPSCRHPRTSSFRWPTAPQQGQADDDAAAAAGTVYRTVNTVYDTSSLEHFNPRRSSLDEASSCIADRSFFAVESEVEVEEEEEKEKEKELQLRETAVVRGVRSERLFFEPAGAEFLPKQEMARGKNDDEATAMDVVARKNDDVDEATPMTTPQTGKNEAEAAEAAALKGGAVVLTVESEDPYGDFRSSMADMVAAHGLRDWEGLEELLAWYLKLNAKGVHGVIVGAFIDMLVSLASSPIPSQSPSSSCITFEDYSSATMEEES >ORGLA04G0090700.1 pep chromosome:AGI1.1:4:13209745:13210095:1 gene:ORGLA04G0090700 transcript:ORGLA04G0090700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNKKSSRGIDLKLNLSLPARGDSSSRRAMAADEESSPSSCLSSENEHGLQWSNSPEATSMVLAACPRCFIYVMLPQDDPRCPQCKSPVILDFLQQDNGNNNANSNSSRKTRRG >ORGLA04G0090600.1 pep chromosome:AGI1.1:4:13200151:13201788:1 gene:ORGLA04G0090600 transcript:ORGLA04G0090600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37570) TAIR;Acc:AT5G37570] MTTAALRPSPPVATLLGRCRTARCLAQLHARIVRLGLHNHHALLARFAAACDALSCPSVAASLLSVAVPVRLRNAVLASLARHAPLRDALAQFNLLRGGASRPDAFSFPPLLCACARASSLPTGASLHAAAIRLGVDADLFVRTALIQFYGRCGAAAAARALFDSLTNPSEVSWTAIVTAYVNSGDILTARELFDQIPHRNVVHWNAMVDGYVKCGDLEGARKLFDEMPERTPAAYTSLIGGYLNAGNMGAARTLFDKLEDRDLFAWSTMISGCAQNGYPGEALRIFNEFQKQEICPDELVIVGLMSACSQLGNITLARWIEGYIMIYPIDMNNVHVMAGLINMNAKCGNMERATLLFESMSVRDVFSYCSMMQGHCLHGSASKAVELFSQMLLEGITPDNAAFTVVLTACSHAGLVEEGKRYFDMMKNEYMIVPSGDHYACLVSLLGRFGMLRDAYELIKSMPGEPHPGAWGALLGGCKFHCDIELGKIAAKKLFEIEPENAGNYVSLSNIYANIDRWGNVSETRAEMTGRGITKIAGCTLVLQ >ORGLA04G0090500.1 pep chromosome:AGI1.1:4:13196463:13197202:1 gene:ORGLA04G0090500 transcript:ORGLA04G0090500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit O [Source:Projected from Arabidopsis thaliana (AT1G08380) TAIR;Acc:AT1G08380] MAASTVSGLAGATLARRPAFSTGFTTGARVSARNPLMTRNLERNGRITCMTFPRDWLRRDLNVIGFGLIGWIAPSSVPAINGNSLTGLFFSSISQELSHFPSPPALDSPFWLWLVTWHLGLFLALTFGQIGFKGRTEGYFDK >ORGLA04G0090400.1 pep chromosome:AGI1.1:4:13185425:13186360:-1 gene:ORGLA04G0090400 transcript:ORGLA04G0090400.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAPGREEEKMVATTTIEDLHADVLARALRRLDGRSLAAASCATAGLRALAADPETWRTLCLAEWPSMAGHPRLLSVVPPRRLFADAFPFPRPDAGELGGGGGGPLPSELVSAVDVYYRGAPLLSRVVETPASSPWFLGSPFRVEAVECKKPAAEAALSPAELELSWVVVDPARGRAVNVSSRRAVAVDRHWYTGETLVRFAVVLGGCKFEATVTCSEGAGNISEVSLAVQDADGAAASGERSLRLLAAAMEEQRIGGGRERDEAKRRYDEFVKSRKGRKESKARREALIDLCCSAASAMAVLSFVAAVVLR >ORGLA04G0090300.1 pep chromosome:AGI1.1:4:13183503:13184474:1 gene:ORGLA04G0090300 transcript:ORGLA04G0090300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALREASRRLVSRRESPAAYARPFLLTHSRGITYRLFIGGLSQFATEDSLAEAFSQYGQVLEATIVTDKMTNRPKGFGFVKFASEEAANKAKEEMNGKVLNGRVIYVDIAKAKMNRTTDSSPRATGPPKPPDRC >ORGLA04G0090200.1 pep chromosome:AGI1.1:4:13163092:13167268:1 gene:ORGLA04G0090200 transcript:ORGLA04G0090200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OTU-like cysteine protease family protein [Source:Projected from Arabidopsis thaliana (AT2G27350) TAIR;Acc:AT2G27350] MTRIFVQRGAAGSSSSSSRSGSQTLQQQQQLQATSAVAREEELPPQPHQHPSELSASDNITDHLVEDADNSSNSNKPLGLDDPTSESSSSAEERAVMEKPPKDDSNVIDPAFLVEELTGLQFSDQFEQENLVQSGIGPSQIAGAASHPPPPPAPPAPPPKPSSGNNGLRRMGSGSSNNARIGSSRRPVAWPPVAVRSSASGSRPSSPRSLADSEGYNSADEQGPCYASNYYDSERERMFEHDLRRVRGFEINKMAEDGNCLFRAVADQVYGDPEAYDMARQMCVDYMERERDHFSQFMTEGFTSYCRRKRRDKVYGNNMEIQAFAEMYNRPIHIYSYSTEPINIFQGSYNTDVPPIRLSYHHGNHYNSVVDPRGLTVGAGLGFSSLRGTNNVDRDQVKAAIKAQQDQQIENALLAEGRLYSDLELTEKEIERMVMEASRAEYLKQQQQLNFRESSTSGAEPSSSAAISGSSRSAGTADRVGEECFVLPDTVLTRSMQLLLAMGFNYIQVMEAYSIFGEDVDSMIYYLVEMGGTGASAGGSNRRKGKAAE >ORGLA04G0090100.1 pep chromosome:AGI1.1:4:13151747:13155784:1 gene:ORGLA04G0090100 transcript:ORGLA04G0090100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLGGGGGGPAGAPEIFAGGTGARGSVRRAVVIGNGCAGAENQCLGLLRALGLADRLTLYRAIRPTGGINKWLHFLPISLHKLVDQVLRQMFSSNKFATLFQGAKMAQYTVCNGQSLGLSSVLEADTKRIVTMVNDTFEKEGLALVVACGRDTISYASSIRCLAPDNVFVIQIQHPRYRLDRFDLVVLTVGALHQADSAALRTAASDWHDELANSPKPLVVVNIGGPTRNCNYDVGLAKKLISSLHNVLKTCGSVRISFSRRTPHKVSDLILKEFSTHPKVYIWNGEGPNPHLGHLAWADAFVITADSISMLSEACSTGKPVYVVGTEHCRWKFSDFHNRLHERGAVRPFTGLEDMSDNWSYPPLNDAIDVAARVREVLAERGWTVG >ORGLA04G0090000.1 pep chromosome:AGI1.1:4:13148420:13149157:1 gene:ORGLA04G0090000 transcript:ORGLA04G0090000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYRPRRSPASERFIGMFASPSSSPTEPSFVAGDELHEDDFLFSSSPAAPPSSARPGEGPGSPSRVPQGQVGLLAALHEGDKRLLLRRGGGGGGGAAAAAAASAGTLLRRKATIAAAAASASGGGGSLSPTQSPTSAARAIPMTPRPKSTGPAAPYHQSAPVKVPVRPPRRQEMFKWDELDDDDFLRNGDAAMLPPHEMVARASAGGAGPAAPFSMLEGAGRTLKGRDLRRVRDAVLRQTGFLD >ORGLA04G0089900.1 pep chromosome:AGI1.1:4:13136808:13139771:-1 gene:ORGLA04G0089900 transcript:ORGLA04G0089900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >ORGLA04G0089800.1 pep chromosome:AGI1.1:4:13126506:13130922:1 gene:ORGLA04G0089800 transcript:ORGLA04G0089800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGSRVAWAWLVQLLLLQQLAGASHVVYDDLELQAAAATADGVPPSIVDSELRTGYHFQPPKNWINDPNAPMYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWVALKPAIEPSIRADKYGCWSGSATMMADGTPVIMYTGVNRPDVNYQVQNVALPRNGSDPLLREWVKPGHNPVIVPEGGINATQFRDPTTAWRGADGHWRLLVGSLAGQSRGVAYVYRSRDFRRWTRAAQPLHSAPTGMWECPDFYPVTADGRREGVDTSSAVVASARVKYVLKNSLDLRRYDYYTVGTYDRKAERYVPDDPAGDEHHIRYDYGNFYASKTFYDPAKRRRILWGWANESDTAADDVAKGWAGIQAIPRKVWLDPSGKQLLQWPIEEVERLRGKWPVILKDRVVKPGEHVEVTGLQTAQADVEVSFKVGSLEAAERLDPAMAYDAQRLCSARGADARGGVGPFGLWVLASAGLEEKTAVFFRVFRPAARGGGAGKPVVLMCTDPTKSSRNPNMYQPTFAGFVDTDITNGKISLRSLIDRSVVESFGAGGKACILSRVYPSLAIGKNARLYVFNNGKAEIKVSQLTAWEMKKPVMMNGA >ORGLA04G0089700.1 pep chromosome:AGI1.1:4:13120987:13121311:1 gene:ORGLA04G0089700 transcript:ORGLA04G0089700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CPGRCRLTQHASTSIPGRVAILGVFKRDKINCSPLPTHPILQWMVNDLLTINFISAALVEGDATGDELVAIMGLARELTATQRARAMT >ORGLA04G0089600.1 pep chromosome:AGI1.1:4:13116966:13119366:1 gene:ORGLA04G0089600 transcript:ORGLA04G0089600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARARAALVFVALLQMAAVVVVRASHVVYPELQSLEAKHVDGKLRTGYHFQPPKHWINDPNGPMYYKGLYHLFYQYNPKGAVWGNIEWAHSVSTDLIDWTALEPGIYPSKTFDEKGCWSGSATVLPSGVPVIMYTGIDPDERQVQNVAYPVNLSDPYLREWYKPDYNPIINPDGGINASAFRDPTTAWYGPDGHWRLLVGSKVNMKGLAVLYRSRDFKKWVKAHHPLHSAHTGMWECPDFFPVAVAGGSRHYRRGVDTAELHDAAVAEEVKYVLKVSLDLTRYEYYTVGWYDHATDRYVPDAAFPDNDYGLRYDYGDFYASKSFYDPAKRRRIVWGWANESDTVPDDRRKGWAGIQAIPRKLWLSADGKQLVQWPVEELKALRAKHVNVTDKVIKKGNYFEVTGFKSVQSDVDMAFAIKDLSKAEEFDPAWRTDAEALCKKLGSDVDGGVGPFGLWALASGDLKERTAVFFRVFKANDSSHVVLMCNDPTRSSYESKIYRPTFAGFVDVDIAKNKQIALRTLIDHSVVESFGARGKTCILTRVYPRKAVGDDAHLFVFNNGESDVKVTNLDAWEMKTPKMNAEE >ORGLA04G0089500.1 pep chromosome:AGI1.1:4:13115871:13116488:-1 gene:ORGLA04G0089500 transcript:ORGLA04G0089500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPLHCYKAPEEQRLGPRPATQSRPPPHLLPYSGGLDLLTEALGAESFDPDDDDDATAASPAMEDVGAAVAAVDVLAPPCKRPHHVLLSSSSEGVGHDDDDNQHAVMVLRRTRSGRAFPPPISVIGKGGRPWLSLRAHREAGRLVLREMRLPSQELLQPCKEDGRFKLLIHPEAGRRSGGAGAGPRVGSGREGHRALES >ORGLA04G0089400.1 pep chromosome:AGI1.1:4:13110574:13114971:-1 gene:ORGLA04G0089400 transcript:ORGLA04G0089400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 homolog 12 [Source:Projected from Arabidopsis thaliana (AT5G03910) TAIR;Acc:AT5G03910] MEVVSSNLEDNFWDPPGIDCDSTEPFYSVASCSRTTRIACHASLLPHGAAPRALATAMATPTPMPHTPISSQTLTLAPHVSRRRCGGSVRARTLALPATPASACSFRLRATAARDSPLPSLFDEAFPFVAVEWRTIVKGWACAAAAVYCLSRAVPAAGRLPRALAACGGGAAEAFKGGFALAGLAAARSAAAYVQQALLWEAALRAAGRLRERAFEGVLARDLAFFEGSGGLSAGDIAHRITDEADDVADAVYSVLNTIVPTSLQLIAMGHQMVTINPLLSMVAATVIPCMWLVIASLGRRLRQISKEAHISLAMLTVYLNDVLPSMLTVKANNGEGKEISRFQNLVIVDLKNNLSKKKMKAFIPQVVRTTYIGGLVVLCAGSIAVSGTFFDGEGFLSFLTALALAIEPIQDFGKAYNEYKQGEPALDRIFDLTRFIPEVRDKATAVHLKYVKGDINFHDVTFQYIDGMPPILDGVNLHIRSGETIAFVGPSGGGKTTLAKLLLRLYQPQSVASWSATTEGENMLLSGTIAENIAYGDPMGAIDMSKVENAAKIANAEEFIKMLPGGYNSYVGQKGSSLSGGQKQRLSIARAIYQNSSVLILDEATSALDSRSEILVKEALTNLMANHTVLVIAHRLEMILMADRIVLLEGGKLREITKSSFLSRDSQFSSPQGSSPKLGEV >ORGLA04G0089300.1 pep chromosome:AGI1.1:4:13102787:13109956:1 gene:ORGLA04G0089300 transcript:ORGLA04G0089300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRASLACFPSDAALQESSGIPWGVAVTPFSAADERGAAPATGAEGHLLPRCDSCYAYFSTLCPLHRWSWSCAICSADNDLTPDASARYARDGGQDPPEMRSAFVDLLLPVSAGEEGEAATTTPVYVAAIDLSSSEEFLELVKSALLAALEAIPPGSLFGLLTFSSKIGLYDVQGPIPIVKNAFIPPDSDGTLLVDLEDVMPLRSFLAPVDGCKDRIAEALETIKPTSSWEITATASEVQDHALHHARGFGLAMDAVVNYLCTEYGSLFELARIFTFLSGPPNYGPGQIETRSDVDHNAAKMLGSDHTFISEQTNFYTNLAASAVQAGVCVDLFAITNEYTDLSSLKVLSVESGGSLYMYSSTDESTLPQDIYKMLSRPYAFGCVLRLRTSSEFKIADSYGHFFPDPQYMHVQHINCCDSFATYVYDFEFQKDSQFSRKSSPPILQIAFKYTTIVQQGDTSDDVSNSVSRSKFTLQRRLRVRTIQYNITANIWDLYDFVDPDVVLTILVHQVILASLSDVLEARIWLRDWLVNFIAQYNKAYKVVRSGGTGMSDIDVDFIHCSQLQPLSRLVFAFLLSPLLQLHGQGIHPDYRTYLQCLFSALEASSLRQAICPTLISYSSPDVEAEVNQSLSRSVFNSESPIFLLDAYTDLLVYYSPTVSSTIPFPPPRDCLLRSKIDRLKQERNITPKLVFIHGAHDDTTAFERYLIEDQSVDGSLVASATGFRSFLEGIRSRIAEYSR >ORGLA04G0089200.1 pep chromosome:AGI1.1:4:13098951:13100297:1 gene:ORGLA04G0089200 transcript:ORGLA04G0089200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKLLPPGPGDARGGSGARATVRGRVDHVVSLTSTTYGVLDLHPKHGAAAAAAAAAAAAAAVACQEKVQETQAQPPQEDKPISREWKRARPPPLVVPSAKKPAPAGKLDSGLEVINAWEIMAGLEDADAADASPAKKPAKQPSRWSPARVIAMALPSPKKSATKRRNTPGKENSPLQRCSGNNNSSNINKTGDVNVDRVLRPYNSIDNSKLSRMSKRFSPVSARIVRKPGPPETGGGMSSSRRSLSPLFDPELLASIERELSEEGAHIKRMVGSEKPKHPKAAPPAMVAEGKCPPGGADAVVLYTTTLRGIRRTFEECNAVRAAIEAHDVKLIERDVSMDSGYREELRLLLGGREVRVPAVFVRGRHVGGAAEVTKLEEEGKLKALLQGLPRARVWCAGCAGVRFVMCRDCNGSRKVRVDGERKETVQCGECNENGLVRCPICS >ORGLA04G0089100.1 pep chromosome:AGI1.1:4:13097276:13098061:1 gene:ORGLA04G0089100 transcript:ORGLA04G0089100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQINLESLFCGGGEAGSRVACETIALPGCSDAPAESRCVRIGDGAIWAELAGGTVLERDGSTKGSSNPKAAAASGKGKKGGPRPSSAESRRLPVTGKAAVVICGLPAGKMVAQKKRRSPCLGRGWRRAPAAAGARVFASEAVETDPGSPKVSCFGAVRSERSPATAAAAPAPPVEDEERNGGCWASVTATLRHLCRSSSNPLEGELETNEWKATATSSPTVAALSPPRPVAVGLGEMKRLASRRWPETMAVAGQGPVSAA >ORGLA04G0089000.1 pep chromosome:AGI1.1:4:13093397:13094941:1 gene:ORGLA04G0089000 transcript:ORGLA04G0089000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHGNAIFVLLLCTLFLPSLACDSGGVKFGYTGSIGPDFWGNLSADFTRCSNGKQQSPIDIDTNNLVHELNMEPLHRNYTAANATLVDNIFNVALRYEEAAGVLSINGVKYTLKQMHWHSPSEHTINGFRFPLELHMVHTNENGNITVLAFLYRFGRPDPFFEQIQDKLAALNAEGCKAEKGSPVPAGSVSLLTMRQHVHIYYRYVGSLTTPPCAENVIWNIPAMPREITPQQAADLMAPLDEGYRRNSRPTQQMNGRTVQLYHRFWGKKKRRSSP >ORGLA04G0088900.1 pep chromosome:AGI1.1:4:13089167:13091935:1 gene:ORGLA04G0088900 transcript:ORGLA04G0088900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAEEIGEAGVAVGVAAARWRGVGSDAGGGAGRKMAGRRRESRRRCALGGASAASESPPLHLYQRLPKCCITSHRCSTLRAGYPADLHGQKRRDEGGVPREEPAATRRNRRPVPESAMGLILLHLPIMGRLIYQVKTGSTFFAC >ORGLA04G0088800.1 pep chromosome:AGI1.1:4:13081530:13085333:1 gene:ORGLA04G0088800 transcript:ORGLA04G0088800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQPGTGSPPQPSTRLFLLVLAVILTDQVLAASAQGMSIGINYGQIADNLPSPTRVSGLLRSMQISKVKLYDADQNVLSAFLDTGVEFVVGIGNENVSAMVDPAAAQAWVQQHVRPYLPSARITCITVGNEVFKGNDTALKANLLPAMQSVYNAVVALGLQGQVNVTTAHSLDIMGSSYPPSAGAFRPDAVPYIQPLLNFLSMAGSPFLINCYPYFAYKADPGSVPLEYVLFQPNAGVTDPNTKLNYDNMLYAQIDSVYAAMQALGHTDVDVKISETGWPSRGDPDEAGATPEYAGIYIGNLLRRIEMKQGTPLRPSSPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYDVGLRGYLPPMDESKSARKAVSLLALIAIASITLILS >ORGLA04G0088700.1 pep chromosome:AGI1.1:4:13076845:13077303:1 gene:ORGLA04G0088700 transcript:ORGLA04G0088700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferredoxin 3 [Source:Projected from Arabidopsis thaliana (AT2G27510) TAIR;Acc:AT2G27510] MATTTVTTPILCNLSAKPRDTLRLPTTRSPNDGTRRTTLHLSSSRARGDLIRAAAAVYTVKLIGPEGQESVIKVPEDTYILDAAEEAGVDLPYSCRAGACSTCAGKVVEGGVDQSDQSFLDDAQVGAGYVLTCVAYPTANSVIQTHKEADLY >ORGLA04G0088600.1 pep chromosome:AGI1.1:4:13072429:13073013:-1 gene:ORGLA04G0088600 transcript:ORGLA04G0088600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITCFFTETLALQAEIIKRLQREKFVDMIKHMDGHEQIYRLVALYTSSAKVFHLPELPVRVKVALDAAGALLLVDGDELEQARDRLVKARNTTGLSSRFVFESSTRGGKDTVTAELATGLGVAAVGSSGGGGGDGGRERRSSGDGKAEKAAATEDVGLATATHRYRLSADERRCRSAFPPTSEQARACWPTHA >ORGLA04G0088500.1 pep chromosome:AGI1.1:4:13066721:13067332:1 gene:ORGLA04G0088500 transcript:ORGLA04G0088500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDVEEVPEPGMDHPAEPCMGVGGDQLVPTTEEISLPLAAETTSDHHEAAQLEQSAETSTSESESEEVAAKTTSDSSEAAAVIPKHAAEGSSTASEEEQVAKKELKEAEEDDGLQGESARERLKRHRREMAGRVWVPDMWGQEKLLKDWVDCAAFDRPLVPPDLLTARRALVAECCARRPDRTTTPPARSSPLRVQKSCS >ORGLA04G0088400.1 pep chromosome:AGI1.1:4:13056024:13061087:1 gene:ORGLA04G0088400 transcript:ORGLA04G0088400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGVKRPPRPAPASSGVRKLILALAVFLPALLYSQLQPPPPKICGSPGGPPITGTRTRLKDGRYLAYLESGVPKEQAKYKIIFVHGFDSCRYDALPISPELAQELGIYQLSFDRPGYAESDPNLASTEKSIALDIEELADNLQLGPKFYLMGFSMGGEIMWSCLKHISHRLAGVAILAPVGNYWWSGLPSNVSWHVWNQQLPQDKWAVWVSHHLPWLTYWWNSQKLFPASSVIAYNPALFSEGDKLLLSKFAFRTYMPQIRQQGEYGCLHRDMTVGFGKWSWSPLELEDPFAGGEGKVHLWHGAEDLIVPVSLSRYLSEKLPWVVYHELPKSGHMFPLADGMADTIVKSLLLGDQPPQAS >ORGLA04G0088300.1 pep chromosome:AGI1.1:4:13047467:13052166:1 gene:ORGLA04G0088300 transcript:ORGLA04G0088300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGVKRPPRPAPASSGGMVRKLILALAVFLPALVYQQLQPPPPKICGSPGGPPVTGTRTQLKDGRHLAYLESGVPKDQAKYKIIFVHGFDSCRYDALPISPELAQELGIYQLSFDRPGYAESDPNPASTEKSIALDVEELADNLQLGPKFYLMGFSMGGEIMWSCLKHISHRLAGVAILGPVGNYWWSGLPSNVSWHAWNQQLPQDKWAVWVSHHLPWLTYWWNSQKLFPASSVIAYNPALLSEEDKLIMPKFAFRTYMPQIRQQGEYSCLHRDMTVGFGKWSWSPLELEDPFAGGEGKVHLWHGAEDLIVPVSLSRYLSEKLPWVVYHELPKSGHMFPLADGMADTIVKSLLLGDQPPQA >ORGLA04G0088200.1 pep chromosome:AGI1.1:4:13026768:13028291:-1 gene:ORGLA04G0088200 transcript:ORGLA04G0088200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLVVGKVIGEVIDNFNPTVKMTATYSSNKQVFNGHEFFPSAVVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVVSYESPKPNIGIHRFVLVLFKQKRRQAVTPPSSRDYFSTRRFAADNDLGLPVAAVYFNAQRETAARRR >ORGLA04G0088100.1 pep chromosome:AGI1.1:4:13011965:13012264:1 gene:ORGLA04G0088100 transcript:ORGLA04G0088100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNAKLYLQNCYIMKENERLRKKALLLNQENQALLTELKQRLAKTKAAAAAAAATKANGNGNMPAGGGRASLPDLNSAPPAHGHDKAVPKSKKTAAK >ORGLA04G0088000.1 pep chromosome:AGI1.1:4:12997662:12998203:1 gene:ORGLA04G0088000 transcript:ORGLA04G0088000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNVSCQAHPLIPNPASSLSHAACAQGGKMHSISCCDRCDFSPLSRTILSPGGKVVVAVVLPEATGKGSVTREHSGLGAGHQLDLRRSDGTAGFAAATTLLDAIITFSKATSCSRRAMAPWLPLSPCPRSSALKTLIVTSPTTPAGLAKARSGVSEDTLR >ORGLA04G0087900.1 pep chromosome:AGI1.1:4:12992102:12992984:1 gene:ORGLA04G0087900 transcript:ORGLA04G0087900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAESAWDPRGGGGRWAASAPVPPRPVLGGFEKEQEDVALGLLMLSRDTGMWRSPVKAEMFEKPEQKKKDEEDSALLQYGGGGGDVVKSRKQRPRAAPHVLASCPFPATLAPQASPPTAHLAPLTLAACGHGVRPRRHAEVRPRHDAAGGAGREGRHLPDAAAGGHGGAPQRLLQRSRRCSVAPRRSRRRHCARSPTPPRPAATREQEGVSGMMVAYGDIDVVAAAAEEEHGAEVLSCSHLIGGGDVSAELPCVVFLEEDRGAKQLRG >ORGLA04G0087800.1 pep chromosome:AGI1.1:4:12989744:12991374:-1 gene:ORGLA04G0087800 transcript:ORGLA04G0087800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1PL09] MTRRADDLLVAGTLVISIVFFRCAAAVAATEYVRPPPGRVIFTEHTKPASHPQQVHVSLVGANHMRVSWITEDKHVKSVVEYGKVSGNYTASATGEHTSYRYFLYSSGKIHHVKIGPLDPGTVYYYRCGMAGDEFGLRTPPAALPVELAVAGDLGQTEWTASTLSHVGRSDYDVLLVPGDLSYADAQQPLWDSFGRFVQKYASRRPWMVTEGNHEVEAAMALPGWPRPFTAYAARWRMPYEESGSGTSLYYSFDAAGGAVHVVMLGSYADFNSSSEQYRWLARDLAAVDRGATPWVVVLLHAPWYNTNAAHEGEGEAMRKAMERLLYEARVDIVFAGHVHAYERFTRVYNNEANPCGPVHITIGDGGNREGLAFDFRKNHKLAPLSLMREASFGHGRLSVVNATAARWTWHRNDDADSTVRDEIWLESLAANGACQQSSSAAAAADSQNDEL >ORGLA04G0087700.1 pep chromosome:AGI1.1:4:12986459:12987662:1 gene:ORGLA04G0087700 transcript:ORGLA04G0087700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVRPSAAALLLAATAFLVVAVGAQPLSPDSPILRDPNVIPIYMTPGSSPTVASCYNQNNTASGPDCTVEPRACPRGCRDMCYVHCPTCKLVCLCELTGTECYDPRFVGGDGNKFLFHGRKDADFCLLSDANLHINAHFIGKRNAAAARDFTWVQALGIRFGGHRLYLGVRRTVRWDAAVDRLVITFDGAPVELDAVPAASWSPASAPALSVFRTGPANGVVVRLDGRFRIVANAVPVTEEDSRIHGYGLTADDSLAHLNVAFKFYSISADVHGVLGQTYRPDYVSAGVDVGAKIPVMGGAGKYAVSDIFATDCEVARFAGEDGALASSVGMVDAPADALCGSGKGSAGLVCKK >ORGLA04G0087600.1 pep chromosome:AGI1.1:4:12982489:12985103:1 gene:ORGLA04G0087600 transcript:ORGLA04G0087600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKQETMEETILVGDDLMRGLPSPVIPKEIASHVLDGVEICDGILKNLFLCLQINDIEPFCQDEIVLYRQCAEKRDKEIRERLQDSEYKLGFSMPLEEAKERATQLQSEITLLERRMILASGLEGMEGFRQRWSLHGQLGDTRKRLEALNSGIVKRGSQSSPVQETTPAVRKRWLLW >ORGLA04G0087500.1 pep chromosome:AGI1.1:4:12963844:12965255:-1 gene:ORGLA04G0087500 transcript:ORGLA04G0087500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRQDNAPLTLRATRALRLGQNRNRNRNRSTGNREFFDALSVRCPQAEHSQTQQPLPLYSYYLLKFVGHRCRRDSASLSYSSAALQLNPSPVAAATAVLLPGPVMPLLDPGKLPLWSPNCRQPLVLT >ORGLA04G0087400.1 pep chromosome:AGI1.1:4:12961163:12963779:-1 gene:ORGLA04G0087400 transcript:ORGLA04G0087400.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSTRRSSGGSRGLLRKMGSSGCSEIVELVDESKDARPGGVTHLRVRVKPVGQEHGARSCSVEDDLDRLIRSINVRTSARASGQTSTDRRLIALGKSPISSSEIVESVSLKQALRKMCISQASEMAAMKRMPKPTAVSNTPEAGAIKKLYTSVVVQTNEERDEKSKFGKVSVLPEKDVISSSVKSTEAKNKVRNKSPAKKNVRSASPTTTKVQKTRIQDVISNKSSEASEDLPAGPVVAKQRKGKMKTSSPRAVPVGGSRLVFRSKTSTKKKVKPEPAAAVVSHKTCEAKSSNSQANKKHEALQDEPRTPTPINKKAAASSISTDGANCGTKGCGVGEIHGSKPSELSRSKEKGECSQSSKSSMGDYSTSTSISDDSYGSFSGNGCRPHMSKDVRWGAIRRMAIQQGSLGLKNFKLLKQLGCGDIGTVYLAELVGSECMFALKVMDIEYLISRKKMLRAQTEREILQMLDHPFLPTLYSFFTTDNLSCLVMEYCPGGDLHVLRQKQPTRTFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPMLVRASSVGRDEPSRPSGPCAESCIDPLCIQPSWANSSCFTPRLVSSTPSRPRRPRGEPQKKPSLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGRTPFRGPGNEETLTNVVSQGLKFPDNPAVSFHARDLIRGLLVKDPEYRLGSTKGAAEIKRHPFFEGLNWALIRWTAPPETPKSFDTASLTTARKKKEGKCLEFRLNGDDIEFELF >ORGLA04G0087300.1 pep chromosome:AGI1.1:4:12957577:12960313:1 gene:ORGLA04G0087300 transcript:ORGLA04G0087300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARVVAPPLRLAWCPASRRWGRRKGRPPCPSSLPGPRHDHAVKRPGGEAAGGRSVNGAAPAPAPAPAEAPAKAPQRRQRRGPHDVEDEAWGLLRESVVRYCGSPVGTIAACDPNDASPLNYDQVFIRDFVPSGIAFLLKGDYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRVVPLDGDDDVTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNSRLIALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATSHQSDAILDLVEAKWSDLVADMPMKICYPALEDQEWKFITGSDPKNTAWSYHNGGSWPTLLWQLTVACIKVDRSEIAAKAVEVAERRIANDKWPEYYDTKRARFIGKQSRLFQTWTIAGFLVAKQLLENPDKSRILWNNEDEEILNAMNRMTDASNLKRRRGRKGLKKTYIV >ORGLA04G0087200.1 pep chromosome:AGI1.1:4:12951581:12956647:1 gene:ORGLA04G0087200 transcript:ORGLA04G0087200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:I1PL03] MLEKDRIAYFYDGDVGNVYFGPNHPMKPHRLCMTHHLVLSYDLHKKMEIYRPHKAYPTELAQFHSADYVEFLHRITPDTQHLYENELRRYNLGEDCPVFDNLFEFCQIYAGGTLDAARRLNHKTCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDFFFPGTGDIKDVGEREGKYYAINIPLKDGIDDSGFTRLFKTVIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKFNIPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPDNEYIKYFAPDYTLKVSNVNMDNLNSKSYLSSIKVQVMESLRAIQHAPGVQMQEVPPDFYIPDIDEDELDPDERVDQHTQDKQIHRDDEYYEGDNDNDHEDGAR >ORGLA04G0087100.1 pep chromosome:AGI1.1:4:12946816:12951189:-1 gene:ORGLA04G0087100 transcript:ORGLA04G0087100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPPPPRAVICVGDVHGYISKLESLWANLQSALPPDAFATALVVFLGDYCDRGPSTREVIDFLLALPSRHPAQRHAFLCGNHDLAFAAFVGALPPPPDGTPFSSTWGEYIQNEENEGWYRGPGHEGMHCQGRRWGGIMKEKRNPKRGSSYMGSIYDARPTFESYGVAHGSPDLVKAVPEEHKKFLRELVWIHEEENVPIDTNEGQIICKLIAVHAGLERSIDLNEQFRILRTKDTKISKVAMLSGRQDVWNIPKDLAGKQTIVVSGHHGKLHIDGLRFVIDEGGGYADRPIAAIVFPSKELIRSTEGTSSQNRSRYHQE >ORGLA04G0087000.1 pep chromosome:AGI1.1:4:12936008:12944617:-1 gene:ORGLA04G0087000 transcript:ORGLA04G0087000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAVSGTRLGVVRAGGGGGGGGGPAARSGGVDLPSVLFRRKDSFSRGVVSCAGAPGKVLVPGGGSDDLLSSAEPDVETQEQPEESQIPDDNKVKPFEEEEEIPAVAEASIKVVAEDKLESSEVIQDIEENVTEGVIKDADEPTVEDKPRVIPPPGDGQKIYQIDPMLEGFRNHLDYRYSEYKRMRAAIDQHEGGLDAFSRGYEKLGFTRSAEGITYREWAPGAQSAALVGDFNNWNPNADTMTRNEYGVWEISLPNNADGSPAIPHGSRVKIRMDTPSGVKDSIPAWIKFAVQAPGEIPYNGIYYDPPEEEKYVFQHPQPKRPNSLRIYESHIGMSSPEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLLVLMDIVHSHASNNTLDGLNGFDGTDTHYFHGGPRGHHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYGEYFGFATDVDAVVYLMLVNDLIHGLYPEAVAIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVPDKWIELLKQSDEYWKMGDIVHTLTNRRWSEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGPQSLPNGSVLPGNNYSFDKCRRRFDLGDADYLRYHGMQEFDQAMQHLEEKYGFMTSEHQYISRKHEEDKVIIFERGDLVFVFNFHWSNSYFDYRVGCLKPGKYKIVLDSDDGLFGGFSRLDHDAEYFTADWPHDNRPCSFSVYTPSRTAVVYALTED >ORGLA04G0086900.1 pep chromosome:AGI1.1:4:12932109:12933334:-1 gene:ORGLA04G0086900 transcript:ORGLA04G0086900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGGGEDYISELPDALLSVIFSLLGTAEAARTAALSTRFRGVWAATPLRLDDLDLPALAALGLGGGTRTTASSSPIAPWTARADAVTRVLASHPGPVALFRLSRTNFRGRVAAAEAWFRELAAKRAREVALLCAPEWCHLALADPLLGCATLESLALGECRVSDRGASAARLTELTLSSTHLSEAALQSVLSGCPALRTVMLKHVEGPRSIRVRSCAASCCSACGSTRTSRSSPWRTPPASSASSATCASPRPSTSLARQSSPLSAMSXSARPISSSSTRLLRRMSVMVCVLRFXAXRFWLSVXSSRARMTWTSXXTCXISFLSWRHCTFRPLIQGSI >ORGLA04G0086800.1 pep chromosome:AGI1.1:4:12923936:12926168:-1 gene:ORGLA04G0086800 transcript:ORGLA04G0086800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSNNMIIEEVNKGLNPGTIVLLVVATLLILFFVGNYALYMYAQKTLPPRKKKPVSKKKLKREKLKQGVSAPGE >ORGLA04G0086700.1 pep chromosome:AGI1.1:4:12921517:12921882:-1 gene:ORGLA04G0086700 transcript:ORGLA04G0086700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPFILLLFLLPPLSPILCSSARAPACASAALLMLQLVRGVGGGGCGGRRRRSRPATARMTAEVAGGDGMDGGGGRGXRRCGRRRRPRRATAIACDDVGAVDYGRQRDGRRIRVGSTVGQ >ORGLA04G0086600.1 pep chromosome:AGI1.1:4:12919374:12920562:-1 gene:ORGLA04G0086600 transcript:ORGLA04G0086600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQFADSANNVVIEEVNKGLNPGMIVLIVVATFLLLFFVGNYALYVYAQKTLPPRKKKPVSKKKMKREKLKQGVSAPGE >ORGLA04G0086500.1 pep chromosome:AGI1.1:4:12915627:12916900:-1 gene:ORGLA04G0086500 transcript:ORGLA04G0086500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEVDQPMQMVLRVKHPSSLGGGGEEEAGEASSRSALSVFKAKEEQIERKKMEVREKVFAQLGRVEEESKRLAFIRQELEGMADPTRKEVEVIRKRIDVVNRQLKPLGKTCVKKEKEYKEILEAYNEKNKEKALLVNRLIEVSRINKRLSHHLFDLQIVKSVTENL >ORGLA04G0086400.1 pep chromosome:AGI1.1:4:12906885:12907597:-1 gene:ORGLA04G0086400 transcript:ORGLA04G0086400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGKKEEVAGLREIVMGSGGTVLGGGTGKWRGAGAMGDEGEWWHRRWWWGYLAVDGSRRKERGQKGGGGGGQGSLASAIDAFRPAVVTIEIVFGSLGRTDGVPFRVRA >ORGLA04G0086300.1 pep chromosome:AGI1.1:4:12903961:12905547:1 gene:ORGLA04G0086300 transcript:ORGLA04G0086300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTWLATMPAASSLVVGVAFTAAVAVAVAAAVARRAWRHRGLRLPPGPPGWPVVGNLLQVVFAGKPFIHYIRDLRREYGPIVKLQMGVRTLVVISSAELVHEALVEKGREFATRPAESPIRSIFSSGKFTVNSAVYGPEWRSLRRNMVSGMLSAARLREFRPARLRAMERFVARVRAEAAASRDGASVWVLRNVRFAMFCVLLDMTFGLLDLDEELVVRVDAVMKRVVLAVAARIDDYLPFLRPFLWRQHRQAVALRREQIDTVLPLINRRRAIVRGMRAGSPPDPAVAAPYSYLDSLLDLRVEGRDAVPTDEELVTLCAEMINGGTDTTATAIEWAMARVMDNPSIQARLHGEIMQRVGDARPVDDRDTDGMPYLQAFVKELLRKHPPTYFALSHAAVEPGSKLAGYDVPVDANLDIFLPTISEDPKLWERPTEFDPDRFLAGGETADITGSAGVRMIPFSAGRRICPGVGMGTAHIALMVARMVQAFEWRAHPSQPPLDFEDKVEFTVVMKRPLLAMVTPRKLSF >ORGLA04G0086200.1 pep chromosome:AGI1.1:4:12892724:12893830:1 gene:ORGLA04G0086200 transcript:ORGLA04G0086200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASAAATCGRLQPGGGGGESSSTTTTRTTQMDPSCPPFPQPEAPPLPLQLQLQPGLPRLELPTLDLERVGGEDRAALVAACRDLGAFRVVNHGVPGELRRRLLELGKQLLGRDTFELKKARPGYFWGTAALKSLRVKEVNWLEGLHVDLVPGSSSSSSQVGDGDDDDDDGWMRIRALMAEYGDHMARIARKLFDALAAELGLDHHQAASYLAERQGFLRLYRYPPCPSSASCLGMEPHTDSSVLSIILGQDHVGGLQVIRDGAWRDVAPAPGELLVNLGDMMTAISGGSYQSVRHRVLASRPSTERVSCCYFAFPQEDAVVEAPSGIGGGVYRPFSYREFREQVQADIKAVGTKVGLSRFYATATR >ORGLA04G0086100.1 pep chromosome:AGI1.1:4:12887231:12888280:1 gene:ORGLA04G0086100 transcript:ORGLA04G0086100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLVSCFSEHAVRISDVACSGSANAATVSAAGVAAAGVGDGGGAGRAAVSAVTSVYRSRLSASGKDLVIDVTWSRAPDGPALSVAVHDAAAASRLRGGGGGAAGAAPRHLHRRKGSGTFTAGSCVVGVFWDFAAARYAAGPEPVSGYYVAVVADAEFVLLLGDMSRGYVERLHGGIPIAGSRMARRRERFVGCGCWSTRARFLESGAEHDIVVALDGEAEAWVTVDGRKVVQLRRLRWNFRGSHTLFLDGGAPVDMTWDLHGWLFHAADPSPASSCAAVFTFQTRGASETKFWIEDDGDGDDDLEQSQPPAAPRGPKQKLGGGGGGGAPSGQGFCLLIQGFRGASKIA >ORGLA04G0086000.1 pep chromosome:AGI1.1:4:12881648:12882498:-1 gene:ORGLA04G0086000 transcript:ORGLA04G0086000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWPRPSFATPCSDRRRRISLPRWLHPAETSSGFGTTMGNNLVFKRSSSGDSHPKSMDGKRKLDDRIELGDNMISNIAPDCCYPESIYKRRRSNQQLEKLPEVC >ORGLA04G0085900.1 pep chromosome:AGI1.1:4:12867767:12869080:1 gene:ORGLA04G0085900 transcript:ORGLA04G0085900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:I1PKZ0] MAAASLIKAPVGQNPARMGAGRSSGGGGVVRCSLQGAVVGGRAEWQSSCAVLSSKVAALGAASPHAAAPSFVNGHVAPLVPEQPAAAAEDGGAVLDLVPVSSVNGGGVAKNLPQPLRISDLSPAPMHGSQLRVAYQGVPGAYSEKAAGKAYPGCDAIPCDQFEVAFSAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLMALPGVRKECLTRVMSHPQALAQCEHTLTAMGLNVVREAFDDTAGAAEYVAANGLRDTAAIASSRAAELYGMEVLADGIQDDCGNVTRFVMLAREPIVPRTDRPFKTSIVFAHDKEGTSVLFKVLSAFAFRDITLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYVDFQASLAEPRAQNALAEVQEYTSFLRVLGSYPMDMTPMTAGSSSTVTSDDSSST >ORGLA04G0085800.1 pep chromosome:AGI1.1:4:12857358:12859792:-1 gene:ORGLA04G0085800 transcript:ORGLA04G0085800.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEYNVRVENYMCHISYFIFILKQMECNVRAENYIYTIEIRNDFALLSYFSIEKPLDLDTLSWLPRQXEESHVLAGATSNQHYGPRPPLALVSSHGSXVYTNTSNSGEERGKHMECKAVAKALLMACNLPIGRRERVKDRGGSSPRSIPKSMAGEDGNDGILNRSAEARAILLSGLVDLVAGASSMAIGESASIHA >ORGLA04G0085700.1 pep chromosome:AGI1.1:4:12856736:12857002:-1 gene:ORGLA04G0085700 transcript:ORGLA04G0085700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFLNAMNDWTPPPLLGQAVASRPAGSGSTTLLELGQLYVHAPGQAETRTRHLWSCHGFRICGSWTREEEKKRGVNCKFVHDMRASF >ORGLA04G0085600.1 pep chromosome:AGI1.1:4:12842423:12843923:-1 gene:ORGLA04G0085600 transcript:ORGLA04G0085600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTPHIEKGYAGGRQSRQQEASAAAAAAAAGGQLLLQRGPGQRSVSFHGRGTEPRHQLARQRPKTQPDLLAGVRGRATAASFGPAATAGGGEQLEPEAAGRRTPSKVLVSVAVQRSLWPLHVMASAAWSVADLVAAAVALYVKEGRRPPLPSADPSDFGLHYSQFSLESLDPREKVMELGSRSFFLCPKSSAAVHAPSPSCSSDEASRIRDRDAPAAARAGAAPAWVSYMQFWPMM >ORGLA04G0085500.1 pep chromosome:AGI1.1:4:12837147:12839362:1 gene:ORGLA04G0085500 transcript:ORGLA04G0085500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIVGNSSSPASSKALVASDDDNKPRQPQQHSSKRHAPSGSSTPTFGGSSRLAPPSNPKWKRVLLKIGGTALAGAPPQNVDPKVIMLIAREVQVACRHGVEVSIVVGGRNIFCGDTWVSATGTDRASTYSIGMMASVMNAVLLQASLEKIGVETRVQTALMMQEVAEPYIRRRAIRHLEKGRVVIFAGIGAGIGNPLFTTDTAAALRASEINADVVLKGTAGDDDYGCPPRGNNNPPFEHISFRELAARGFSRMDMTAITCCQENNIPVVIFNMLEPGNISKAICGDQVGTLVDQSGRIT >ORGLA04G0085400.1 pep chromosome:AGI1.1:4:12833560:12833877:1 gene:ORGLA04G0085400 transcript:ORGLA04G0085400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPRRRRIIDSLLIAFAVDPADYIQYDEADVASEEAVWALYERWRDFYGAERSHDEMLRRFGMFKDKARHVLEFNKSGASFTKALKEGADLTLEENAKRLGIRRRL >ORGLA04G0085300.1 pep chromosome:AGI1.1:4:12829269:12833523:1 gene:ORGLA04G0085300 transcript:ORGLA04G0085300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWERRGMGAEGGESVGREDTDTNTNTTASSANASTSSSTAASGSSGARRNGAAAAAGEGWGRSSLSTATINLSQEYTLAIHTSSYHEIWAKIHVDGGDGQREGGVSGGGGGEEDEEDEDRCTLAGVLQPEDAVVERALGDAPDTELTRLAADYLRSTHHASLLCLSLRRALRRARALYGPITDLLALIPHAPPLAAPHRDCAFDAFLLFDQMPNPFPAPAAGFQGMHRSFVGLKNHLDLRLRRARRRRRLVRCATRGSGICLIACATGAAIAGLVLATHAITVLLAAAPACAASRGSCCPATASMKRLQQHMDRLDAAARGAYVLNNDVDTIERLVGRLHATVESDKLLVRLGLERGRGEHHTIEEVVRQLRKNHPSLLRQLADLEEHICLYFAAVNRARLLLVKDELVCQFELFLAYHHHRYITMFVCAIHGYPELVGGSRITRSEIRNPIRRPLGCHGIHGRRPRRSSFGTEPLPLRSSLSSALLCVGSLRTPRSPPPLPPPHHGEDLLASSAADSRRSLAAAAAAILPPNPSKMTGRRGIAPLLLRPNCST >ORGLA04G0085200.1 pep chromosome:AGI1.1:4:12823233:12828849:-1 gene:ORGLA04G0085200 transcript:ORGLA04G0085200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1350) [Source:Projected from Arabidopsis thaliana (AT5G47860) TAIR;Acc:AT5G47860] MIRVVSPPPPSAVQLRGGGGTPGPSSSAVCRFWGSRRGSRVAATSSWGWGKSRRRRRAAISCCSAEEGEGPRVATPSAPPAPSEGSIQLYSQIERVITEAAKQSREGWGSTGDWTEIEGAWVLKPKSQEPSFVVHFVGGIFVGAAPQITYRFFLERLADKGALVIATPYASGFDHFFIADEVQFKFDRCLRNMVEPVNDLPTFGVGHSLGSVIHLLIGSRYAVQRSGNILMAFNNKEASLAVPLFSPVIVPMAQSFGPIFSQLTSYPTLRFGAEAAIKQLENLSPPVVKQLLPLVQQLPPLYMDLVKGREEFVPKPEETRRLVKSYYGISRNLLIKFKDDQIDETSILAQVLSSESAISSLLDMSIRSLPGDHGLPLQQVLPDVPPAMADAVNRGGELLTNLATGTPWEAVAKEVGSTLGADSGVLRAQISKDVNTLVDVIVSWIESNSGPRLLRS >ORGLA04G0085100.1 pep chromosome:AGI1.1:4:12818721:12819991:-1 gene:ORGLA04G0085100 transcript:ORGLA04G0085100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRRRIQDPVVRSLACGASAAGGLKRSKSVAPRPEETTPVTAPAPSAVTADSPRKKSFWSFLYSSSSSSSGGHQGSASMANGGGGGGASVRRKSVSVASASSASLGRRLEAIVEPDSPGRRSEGSSSSSFGRKVARSRSVGCGSRSFSGDFLERLSTGFGDCALRRVESHREPKPKSSAGALAHLGGNHSAAGNGDDDEYESTQQHRIKCAGFFGGLGAAPPPTSSSYWLSAADGATAAAPSARTHGARSHRSWAWALASPMRALRPTSSSSSKSIMAAPHNRGGVSGNGGMAMAAVATS >ORGLA04G0085000.1 pep chromosome:AGI1.1:4:12815368:12815856:1 gene:ORGLA04G0085000 transcript:ORGLA04G0085000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPVYDCVRAISFLQNQVSQLQMQLAIGQGENLCIXMQHRDGNKNEKNGRFAAQYRVNRPNLAISQDPLGS >ORGLA04G0084900.1 pep chromosome:AGI1.1:4:12810137:12811074:-1 gene:ORGLA04G0084900 transcript:ORGLA04G0084900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSYGDVHESARKLVGKVALITGGASGIGECTARLFVKHGAQVVVADIQDEAGARLCAELGSATASYVRCNVTSEDDVAAAVDHAVARYGKLDVMFNNAGIGGAACHSILDSTKADFDRVLAVNLTGPFLGTKHAARVMVAAGRGGCIIGTASLASAVAGTASHAYTCAKRALVGLTENAAAELGRHGIRVNCVSPAAAATPLATGYVGLEGEAFEAAMEAVANLKGVRLRVEDIAAAVLFLASDDARYVSGHNLLIDGGCSIVNPSFGIFKD >ORGLA04G0084800.1 pep chromosome:AGI1.1:4:12800717:12803116:-1 gene:ORGLA04G0084800 transcript:ORGLA04G0084800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMGQQKRRPLVVMASAQAAARGGANPLAELTDRVKTLEAGLRAWLAKQPTHVEAAVATAVGAVQGGALGGLMGTLAPDGGSPFPVPQPPPGADPNALASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGVEDVQGSMAAAFGSGALFSIVSGMGSPNPVANAITTGVAFAVFQGGFFMIGQKFSQPPSEDIYYSRGRNMLKQLGLQNYEKNFKKGLLTDQTLPLLNDSALRDVKIPPGPRLLILDHIQRDPELTKST >ORGLA04G0084700.1 pep chromosome:AGI1.1:4:12794761:12799942:1 gene:ORGLA04G0084700 transcript:ORGLA04G0084700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACCCSSSSVPSASILATGAGLRRRFSPAGAGGGGRAVAVAAGRPIRASAALLAAPAPRRRGGVVVRAVFERFTERAVKAVVFSQREARGMGDETVAPHHLLLGLVAEDRSPLGFLASGVRVERAREACRAAVGKEGLAQAPVGLATDVPFSGASKRVFEAAVEFSRNMGCNFISPEHIALGLFNLNDPTTNNVLKSLGVDSSQLAKQALNRVQGELAKDGREPVGLSSFKVREKFTPGGGKSAIVKYSNKKKEKSALALFCLDLTMRASGGLIDPVIGRKDEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLAHKIANGDVPIFLVGKRILSLDVALLMAGAKERGELEARVTSLIREVRKAGDVILFIDEVHTLIGSGIAGRGSKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFDKDKALARRFQPVLVNEPSQEDAVKILLGLREKYETYHNCKYTLESINAAVYLSARYIADRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSILSKSPDEYWQEIRAVQNMHEVALTNKVKYSLNQNDQEDAVDIELVGEDKTSPASMLSTSTDKPSLVGSEEIARVTSLWSGIPVQQLTADERKLLVGLDDELRKRVIGQDDAVLAISKAVKRSRVGLNDPDRPIATLIFCGPTGVGKTELTKALAASYFGSESATVRLDMSEYMERHAVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLTDSQGRRVSFKNTLIVMTSNVGSTSISNGKRSIGFQTQTDTEEKSYAAMKSLVMEELKAFFRPELLNRIDEVVVFHPLEKTQMLAILNIMLQEVKGRILALGIGLEVSDSMKDLISQHGYDKSYGARPLRRAVTQLVEDVISEAILSGQFKPGDTIMMDTDATGKPCLSRLNDQTVQLSDPTPTL >ORGLA04G0084600.1 pep chromosome:AGI1.1:4:12784204:12791713:-1 gene:ORGLA04G0084600 transcript:ORGLA04G0084600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSYELVRTDDAAGHVLDLEAGRCAAVYPKGGGGGGGGIASPPVPSPPSSPASARQRLVSLDVFRGITVLLMILVDDAGAFLPAINHSPWDGLTLADFVMPFFLFIVGVALALAYKRVPNKLEATRKAILRALKLFCVGLVLQGGFFHGVRSLTFGIDMEKIRLMGILQRIAIAYIVTALCEIWLKGDDDVDSGFDLLKRNRYQLFIGLIVMITYMGFLNGTYVPDWEYRISVPGSTEKSFFVKCSVRGDTGPGCNAVGMIDRKILGIQHLYGRPVYARSKQCSINSPQNGPLRPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQYGHVIVHFQKHKERIMKWLIPSFSMLILAFSLDFFGMHMNKPLYTVSYALATAGAAGLLFAGIYALVDMYGHRRPTAVMEWMGTHALMIYVLIACNILPIFIHGFYWREPKNNLLRLIGVGA >ORGLA04G0084500.1 pep chromosome:AGI1.1:4:12778118:12782806:1 gene:ORGLA04G0084500 transcript:ORGLA04G0084500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-coenzyme A synthetase [Source:UniProtKB/TrEMBL;Acc:I1PKX6] MAQLHIYASCGCARARAAIPLPAQAQASAAALPWVMSVTSPPLLRRGGWAMGASDHAAVAAAASGNGKPRARRPSAAAAAVLGEPVAASDDHGLVHPSADFASQALVSSTQQYQEMYRRSIDDPAGFWSEIAETFYWKHKWNPDEVCTENLDVTKGPIKIEWFKGGKTNICYNAVDRNVEAGDGEKIAMYWEGNEPGQDAKLTYSELLNKVCQLANYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRIIDCKPKVVITCNAVKRGKKLIALKDIVDVSLADSAKNGVDVGISLTYENQSAMKREDTKWTSGRDVWWQDVVPNFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKHAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVLVYEGAPNYPDPGRCWDVVDKYGVTIFYTAPTLIRALMRDGTEYVTRYSRKSLRVLGSVGEPINPTAWRWFYDIIGDARCPISDTWWQTETGGFMITPLPGAWPLKPGSATFPFFGVQPVIVDEKGKEMEGECSGYLCIKKSWPGAFRTLYGDKDRYETTYFKPFAGYYFSGDGCSRDKDGYHFLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVPYSDELRKSLVMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLAEPGVVDQLIALADS >ORGLA04G0084400.1 pep chromosome:AGI1.1:4:12774246:12774482:-1 gene:ORGLA04G0084400 transcript:ORGLA04G0084400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDNPVRQGRAAAWRQGAQGRARWPAVVKKTSPRLEGGHERVVRCGARVVEDPVGGEACIGRRDGWIRGRALLREKIKLR >ORGLA04G0084300.1 pep chromosome:AGI1.1:4:12772946:12773329:1 gene:ORGLA04G0084300 transcript:ORGLA04G0084300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELIASRISQVAACAGKGGHLLLAYDDSATARFGEDTRGRTHSHSRTQHRECPTRVHVLIRPTARAPSSPHALVPTVRPITVRSWYTRDRAGKPFVPWVFFSLESHTGWVHTVCAARCHGFRGAP >ORGLA04G0084200.1 pep chromosome:AGI1.1:4:12765244:12766398:-1 gene:ORGLA04G0084200 transcript:ORGLA04G0084200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTFAVVAAVALVALCGGGGARAQDMDNEWARNRGFYGGTGGGVGGGLLPQSDVDLLEFPLNLEYLEAEFFCWSALGYGLDGIDASLTGGGPAPVGAQTAALTPFVRDIATQFCYQEVGHLRAIKQNVKGFPRPLLDISAANFGKIVETAMNTTLDPPFNPYENSLNFLLASYIIPYVGLTGYVGANPRLLTPQARKLVAGLLGVESAQDAVIRALLYEHGLSRVASYGVGVAELTAHISELRNVLGRKGVKDEGLVVAPGQGPEGQTVGNIIAGDRFSLAYDRTPEEILGVVYGSGDPAKAGGFFPQGADGRIARAFIA >ORGLA04G0084100.1 pep chromosome:AGI1.1:4:12755829:12756869:-1 gene:ORGLA04G0084100 transcript:ORGLA04G0084100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKGLFHMRFPNKMKLDELAEFDSIRVKGTSVHVKVKKWTQEAEVVGRLHEVWVTVEGVPDEMKDYDPLHEVGSNLGPVIEVDMVALKTKDVVRIRVGMMTLKSLPLTMTLVTPKLLVYKAHFKLEQIVELGWFRDCAQEKRVVEVEEQNEPGNINHMQRNKKPRKEEAASNMRVLEKAGDKKKTIVVEENSDNESAQAELVKLKQMEIDREMALRVQLEEHFKVKQIQLDKDVVGGMIEGGIREEAQAGEEENEKTKKTQEGMADKSGTSQRVENDSEEVIYDEDEPRVQLVGSEENMESQESSDFAAAVGVVLSQTNEDMTEEKRKKSLRLLEKEEKGGRCCS >ORGLA04G0084000.1 pep chromosome:AGI1.1:4:12750603:12750929:-1 gene:ORGLA04G0084000 transcript:ORGLA04G0084000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYVDTAGEEGRFHGHGHHSSGTTPTGAAASPKMRRSWSSAASASSGGGGHGSGPKCVCAPATHAGSFKCRLHRSSSHGHPSSSPTAAAAPAAAVQSSSSRTVAAQ >ORGLA04G0083900.1 pep chromosome:AGI1.1:4:12743312:12743735:1 gene:ORGLA04G0083900 transcript:ORGLA04G0083900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSMQALSIMSCTYIVKTFSCEPVKVKPCIKVKVKDRRAKLPVRSPKFTARVD >ORGLA04G0083800.1 pep chromosome:AGI1.1:4:12726833:12728791:-1 gene:ORGLA04G0083800 transcript:ORGLA04G0083800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT3G16560) TAIR;Acc:AT3G16560] MVDEELFDKSSNDHSISSEEEDMLVRSYSNLNVSFGYHCNSYQCFSLDTDEYDISPNKRLETNTMMTSQNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDLLSTSQGSPVSTESSIFEISKNIWRSSAPTTVSSNFLTSTEIKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQENGLYGSPEGSLNGVKSELTLAMRFAENEDVKFSETFRAGVLKCLTTAVEQAENDFLCMVEQEMDDRPDLVSVGSCVLVVLLHGTDLCILNLGDSRAVLASVPSSGMDKLKAVQLTEIHSLENPLEYQKLLADHPNEPSVVMGNKIKGKLKVTRAFGVGYLKQVTYYNFVWEERALMHLCSYDFTNTHALHVNLQKKLNDALMGILRVRNLCSPPYVYTNPHTVSHKVTEDDLFVVLGSDGLFDFFSNDEVVQLVYQFMHDNPIGDPAKYLIEQLLLKAAKEAALTAEELMRIPVGSRRKYHDDVTVIVIILGNAQRTMTASTSL >ORGLA04G0083700.1 pep chromosome:AGI1.1:4:12725133:12725399:-1 gene:ORGLA04G0083700 transcript:ORGLA04G0083700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFIHEDYVNKRNEVRREQRKQLQMEQALAGVSPPAPEPRESPRVPAQCLTPTGGPSTTVGSPTASATAAEAAETVGHRLFDCLKPY >ORGLA04G0083600.1 pep chromosome:AGI1.1:4:12720861:12722839:-1 gene:ORGLA04G0083600 transcript:ORGLA04G0083600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf3 [Source:UniProtKB/TrEMBL;Acc:I1PKW7] MPRSRINGNFIDKTFSIVANILLRTIPTTSGEKRAFTYYRDGMLAQSEGNYAEALQNYYEATRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALDXNPFLPQAFNNMAVICHYGEQAILXGDSEIAEAWFDQAAEYWKQAIALTPGNYIEAQNWLKITKRFEFE >ORGLA04G0083500.1 pep chromosome:AGI1.1:4:12718378:12718602:-1 gene:ORGLA04G0083500 transcript:ORGLA04G0083500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGRPQDMFSDTAIQLQPIFAQWVQNLHAGAPSVTAPGATTSTSLMWGGGELVAVGGKVAFLPIPLGTADFF >ORGLA04G0083400.1 pep chromosome:AGI1.1:4:12713858:12717266:1 gene:ORGLA04G0083400 transcript:ORGLA04G0083400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G32220) TAIR;Acc:AT1G32220] MSPSPLLARPPAPASPSTYPSLPPRRCAPAVASAALRVAPATACGAPFSRLVTKRNFAASDIREDYSTPIDVVADVKTEKIVVLGGSGFVGSAICKAAVSKGIEVVSLSRSGRPSYSDPWVDQVTWLAGDVFYARWDEVLVGATAVVSTLGGFGNEEQMKRINGEANVTAVDAAKEFGIPKFILISVHDYNLPSFLLNSGYFTGKRKAESEVLSKYPTSGVVLRPGFIYGKRKVDGFEIPLDVVGQPLEKLLSSVENFTKPLSSLPASDLLLAPPVSVDDVAYAVINGVVDDSFFGVFTIEQIKEAAAKVRV >ORGLA04G0083300.1 pep chromosome:AGI1.1:4:12707484:12713191:1 gene:ORGLA04G0083300 transcript:ORGLA04G0083300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:forkhead-associated domain-containing protein / FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G07400) TAIR;Acc:AT5G07400] MEAELPPENRHDDHHLSTSGGGLGYFHLRLLCPAAPLLLLLLRSDRLYSLSLSRRRGPRLRLLASPRRRHGRRRRALLLSTSGCALRLTHRSSSADAVRVNGRPLLRGGCPADLAVGDEVSLLRRGTRYGFLVEKFVSCERPKLAAAAAEPCGEVLVLRAESLRKRLRAISESHDPLSFLRDSHCVENGSDDVRVKKAREEDVFLPLNLNAPIDPVAEEGLLREDCNLGQGKLEHCTNSAIAKNETDELIQGSKRSCDGNTEQKEYSNENTEQQHNENEGCYSDGSTFFLNRLIGIGSDVRVEQRSGVTLPQLLHPMDSLERVFIATFTSDVSWFLDYCKVPQNLPVTIACHNKERCWSASRESRTAAPFGSYPNLLLVYPQFPEEIAFGKDRKKQGVACHHPKLLVLQRKDSMRVIVTSANLVPRQWHLITNTVWWQDFPCRTSTDYSALFSKVEESKSDFATQLVSFIAFLINEVPSQSYWINEIAKYNFEGAAGYLIASVPGIYARNPHYLESNYCLSRKQILHTKSAHRMFLGSVQTSVVGLSHRFHIPSDAGSKLKALSVLLSKCHVNMHGTTEVILKRNTNIPADANAVSVLVADLDKFTEEDSVHLGFLPREVAKWVSPLSDLGLFTFSGFIYPREALEAAYGATNTKVQLLLYVSKGPEFSQISRLIQDEHFPLLCSLLASLKRSLGLWRLEEVLSHFKWPETLETDFFYSASSIGTSINPQFIASFASAAGKLCNQDLDSEESDPEWGCWTANHELKKPSINLLFPTIDRVKNGACGIQLSRHLLSLPERTWQRLRSTGIFRDAIPHPYERIGHPMHVKVAQRRFESRLGRHSFGWTYCGSHNFSPAAWGQQLPPPKANPTEARAVSCGPRLHICNYELGIILIIPPSAMSKQTSGRRHEINDIALPFVVPPPQYKPGDRPATSLAMREAMAEARILQSNDLVLDLSQDTDEDIPDEDDEHVIELSDCSPEEKEEEKIYAETLWEQVDSSQSQGKDQTGQXSWKSRCF >ORGLA04G0083200.1 pep chromosome:AGI1.1:4:12703657:12706818:1 gene:ORGLA04G0083200 transcript:ORGLA04G0083200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-amylase-like 2 [Source:Projected from Arabidopsis thaliana (AT1G76130) TAIR;Acc:AT1G76130] MGQMVSDENFEEQAARNGGIIKHGREILFQAFNWESHKHNWWSNLEEKVADLAQSGFTSAWLPPPTQSLSPEGYLPQNLYCLDSCYGSLHDLQALLRKMKEHNVRAMADVVINHRVGTTQGSNGMYNRYDGIPVSWDEHAVTSCSGGKGNESTGDNFDGVPNIDHTQPFVRKDIIDWLIWLRESIGFQDFRFDFTKGYAAKFVKEYIEQSKPLFAVGEYWDSCEYSPPDYRLNYNQDKHRQRIINWMDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEGKPPGVMGWWPSRSVTFVENHDTGSTQGHWPFPSDHIMEGYAYILTHPGIPTVFYDHFYAKDDSFHGGIAKLMEIRKCQDIHSRSAVKILEASSDLYSAIVDDKLCMKIGDGSWCPSGPEWKLAASGDRYAVWHK >ORGLA04G0083100.1 pep chromosome:AGI1.1:4:12692060:12693277:1 gene:ORGLA04G0083100 transcript:ORGLA04G0083100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYLSHASFPHDLYGLRALEGATAAGSLFLDDHGGCAPATPAAAAAGIGHTVLSDLPRSELTCNDNNGAGYGFVPRKRARLDADESAGALMAPAAAQQQRMVLPPHGLVFPGDVQSRAVGCGAASTSGRAGNAAGLSQGLLSQLYHQGVEIDALVRLESERMRAGLEEARRRHVRAVVSTVERAAAGRLRAAEAELERARCRNMELEERLRQMTAEGQAWLSVAKSHEAVAAGLRATLDQLLQSPCAALAVAGAAGAGGAEGDAEDAQSCCYETPCGGDNAGADDAASKTPAAALCKACGAGEASMLLLPCRHLCLCRGCEAAVDACPVCAATKNASLHVLLS >ORGLA04G0083000.1 pep chromosome:AGI1.1:4:12634248:12635293:-1 gene:ORGLA04G0083000 transcript:ORGLA04G0083000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQRLAQAFPYDLHAAASGGALFLDELAGECAPPMAAVEGIGGAVVFGGDNGEYGFVERKRPRVVAGLLEDQRAVLAHAMAAPLQGILPFGDVAGRAACAGAASTSGRRMDGAGGISQGLLSQLYHHGVEIDALVRLEAERMRAGLEEAQRRHVRALVGAAARATTGRVRAAEAELERARCRNAELEEKLRQVSAEGQAWMGVAKSHEAVAAGLRATLDQLLLQSPCAAAAAASAGEGDAEDAHSCCFETPAAAADVAVSTATSCKACRVAEASVLLLPCRHLCLCGACEAAADACPVCAATKNASVHVLLS >ORGLA04G0082900.1 pep chromosome:AGI1.1:4:12627767:12630321:1 gene:ORGLA04G0082900 transcript:ORGLA04G0082900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMFPYDGASSSSTSLSSQKSETDDDRMIAMVLSEEYAKLDGAMAKRLSNLTSIPHVPRINTYFPTYSDATMDHHRLLDRLNVYGLYEVRVSGDGNCQFRALSDQLYRSPDYHKHVRKEIVKQLKACNSLYEGYVPMKYKHYCKKMKKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFVEIVPQYQAPQREIWLSFWSEVHYNSLYDARDLPSKYKPRKKHWLLF >ORGLA04G0082800.1 pep chromosome:AGI1.1:4:12614190:12622512:-1 gene:ORGLA04G0082800 transcript:ORGLA04G0082800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGAVPAAAPVWKGKVKSVPSGDTVVIMDTSKAEEVIPPPEMSVTLSCIIAPSLARRGGMDEPFAWESREYLRRLLIGQDVRFRVEYTASPSGRKFGMVFFAEKNVACMVVAAGLAKVKEQGQKGEISPYVAELLRLETIARDQGLGRWSKLPGALESSIRDLPPSTIGDGRSFDAKGFVAENKGKSLEAIVEHVRDGSTIRVHLIPSFLYVQVYVAGVQAPSMGRRATPPPNAQAGVGNGAANGEASATPAPMAAAQKLLASADIYSEVPPDRFGQEAKHFTETRVLNREVRIVMEGTDNFNNIFGSVYYSDGDVVKDLALDLVQNGLAKYVEWSANVLDPQLKTKLRNADLQVKKEQLRIWTGFKPPVTNTKPIHNQKFTGKVIEVVNGYCLVIADDAEPYGSPSAERRVNLSSIRPPKFEKPSEENKSSEQFARIAKEFLRTRLIGKQVNVSMEYSRRINIADGQIAGPRTNSTETRVLEYGSVFLPSSSHADGETATSSYSSNNQLGINVAALLLSRGLADITRHRDYEDRSHHYDALIAAHARAEKTKKGYHSKKECPPIHMTDLTRVPKKAKEFLHLLQRSRRHSAIVEYVFSGHRFKVTIPKETCTIAFALSGVRCPGRDEPYSDEAITMMRRRILQRNVEIEINTVDRTGTFLGSLWESNINVASVLLEAGLAKISSFAVDKMPDAQVLLKTEKIAKQKKLKVWENYEEVEVSNVSLYDNKETLKVIVTEVLGAGMFYVQALADEHVEFVRHQLASLDIKDDPAEALEVKELETSKEVATLTKDLPETLDAEDPSSDVAKDESVTSKDIDPLPDDSNTAPFTPMKGEMVLALFRCDNSWNRAMIIGECQGVEGPEFEVFYIDYGNQELVPHSCLRPINLSISSIPPLAKLCSLAFVKVPSLNDYLGQEAAMYLNSILLDNGREFEAIVEERDAASGGKLQGQGTGEILGVTLLDSETDNSINAEMLERGYGQLERRRWDSRERRAAIKKLEEFQEVARKEQLGVWCPKNARKQGMDDNEYPVLARAPPPPKKGYDLIKFIASRSD >ORGLA04G0082700.1 pep chromosome:AGI1.1:4:12606782:12609799:-1 gene:ORGLA04G0082700 transcript:ORGLA04G0082700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRALLPLLLLSALLVQIRASDPLFYEPFDESFEGRWVLSGKDDYKGVWKHEKSDGHEDYGLLVSEKAKKYAIIKELDEPVTLKDGTVVLQFEVRLQNGLECGGAYLKYIRPQDAAWDAKEFDNETPYTIMFGPDKCGSTNKVHFILKHKNPKTGKYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILVDGEEKKKANFLSADDFEPSLIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPMEIEDEEATKPEGWLDDEPEEIDDPEANKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKRPMKQNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPEYFELDKPDSDPIAAIGIEIWTMQDGILFDNILIADDEKVATSILEKTWKPKYEVEKEKEKAEEAAAAAGDGLSEFQKKIFDVLYKLADIPFLEPYKTKIIDVIEKGEKQPNITIGILVSIVVVFVTVLFRILFGGKKPAAPVKPVAEVKKPKSTESEAAGSSGDKEDEKEEEEKDGAAAPRRRSRRET >ORGLA04G0082600.1 pep chromosome:AGI1.1:4:12593531:12596404:-1 gene:ORGLA04G0082600 transcript:ORGLA04G0082600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEAQLVAAVAQELGLLDQEYHRLKQSMEEKHYFSWGDQNGYDEDMYRYLFRKMPPRINATLSSTRYLLVVENLYEPIKPGTFTNEFGLPPAPGWSESEWVVSATSREVCSKSKSEDDRVYESFSDDDIMVLIISALHQSAKDISKAVGQEDDVEHWHRVALRCFHYALLLFPQRHEPPNADKNNGVNVTKEELIRHWAAQGFLTASNSPRAAQENMIHNMGITHHDDVYQVGNIILQTFQDYSLLKLPFSPTTETDEPTETAAHFLAYHCLIVEHHTQDRIFHEWLRNKIGWIKLDCKQGMEDRGWHVSRNWLSKEEPNGLTSLILRGCSNKSNWFTELDHVLPKLPSLLVLDLSYTPLESVPHSVWCLPNIQFLSLRGCYNLTNLSSSSSSERTASQEDSNTKINLLYLDLSYSGINSFQCVLFQNMPLLQELVLVKCDNLLELPTSVIALSSLIKLEVSGTQIKYFPKNMFKDMQSIQSIKLIDNNKLMDEIRIAMHPTLKSFLLINAPHIRRLSLQGCRKLEHVELRXLGALEELDLSATAIKELPAEIPNLPQLRQLLLMGVSSLSRFPWHKLQRFPDMFCLDYCAQGNGNHYDDQVANIKKNIAHVCIEDSRLFYSFNDNTRELVEDGAYFRAFYVQIAPCKANIRRLEDEQDMLADKLTKLANKKSPYDDVYHHYMTDEFSVISIAPPIRQTKRHVEMSATNRYPYGLCSLLQVAKSISLTDDIYVSCLTNLSSFNKLEDCKLRSCHHMKHVLEYAYSMGESLQNVRVSQLQSLIHFYKPLEYSDTSNFDSVKHLHLEYCPRLERIMPRESALPSLTTLDILFCYNLKTIFYQKHPCEQPINYQLPSLQRMRLQELPLLQHLRDDVNAAISAPAWKELHVRGCWSLRRLPRLRQEHSSQVVEVSGERAWWRKLIWDDDSSTMHSASYKSKLPLPFASFNERAPVMSYLR >ORGLA04G0082500.1 pep chromosome:AGI1.1:4:12587074:12589896:-1 gene:ORGLA04G0082500 transcript:ORGLA04G0082500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDIAGDGEPSSPPPMPVPDGLDDNGFPLPSSPTAAAAATSFADDFYRSGTDWSSLLAAPSPSPSSEESGKNKPGGSLVQRSLFQAWGIDKRPRREEEDAGVAGGAGAGVGASSAPSGSWPGRKRRRGGVVEAAADRKPLACPFYKKIPGTPFTVDAFRYGAVEGCNAYFLSHFHHDHYGGLTKKWCHGPIYCTALTARLVKMCLSVNPEYICPLELDKEYVIEGVSVTLLEANHCPGAALIHFRLGDGKTYLHTGDFRASKSMQLHPLLQRGQINLLYLDTTYCNPKYKFPPKEDVIDFAVRTAKRYLQKEPKTLIVVGAYSIGKENVYLAISKALQVPIYTDASRRRILHSFGWSDLSKTICSDSQSSSLHVLPLSSLRHENLQKYLETLKQRFLAVLAFRPTGWTFSEATGNQLDLIKPSSRGKITIYGVPYSEHSSFSELREFVMFLRPQKVIPTVNVGNAASRDKMQAHFREWLKGL >ORGLA04G0082400.1 pep chromosome:AGI1.1:4:12581877:12585213:1 gene:ORGLA04G0082400 transcript:ORGLA04G0082400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1P679] MSSSLEVEGEGSPAAVEQTATASRLKRHDSLFGDAEKVTGGKHHGSAVSWAITLHLAFQSVGVIYGDIGTSPLYVYSSTFPDGVGSSGDLVGALSLILYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDAMVSNYSIEAPNSQLRRAQWVKHKLESSRAAKMALFFLTILGTSMVMGDGTLTPAISVLSAVGGIREKAPNLTQTQVVMISVAILFMLFSVQRFGTDKVGYSFAPIISVWFLLIAGIGLYNLVVHDITILKAFNPWYIVQYFRRNGKKGWVSLGGIVLCVTGTEGMFADLGHFNIRAVQISFNCILFPSVALCYIGQAAYLTKFPENVSDTFYKSIPGPLFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCMPRVRVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTNIGNAYGICVVMTFMVTTHLMTVVMLLIWKKHLVFILLFYCVFGFTEALYLSSILSKFVQGGYLPFCFAMVLMTMMATWHYVHVKRYWYELDHIVPTGEMTSLLEKNGVRRVPGVGLLYTELVQGIPPLFPRLVRKIPSVHAVFVFMSIKHLPIPHVAPPERFLFRQVGPREHRMFRCVARYGYSDALEQPKEFAAFLVDGLKMFIQEESAFALADAAPPALPENNAADDEPARPRRSTSSAVHSEEAIQATSSGRTTSVQLQAGGEPAVMDVEEEKRLIDREVGRGVVYLMGEANVSAGPKSSILKRIAVNYIYTFLRKNLTEGHRALAIPKDQLLKVGITYEI >ORGLA04G0082300.1 pep chromosome:AGI1.1:4:12555886:12563064:-1 gene:ORGLA04G0082300 transcript:ORGLA04G0082300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRFAWPYGGQRASFCGSFTGWRECPMGLVGAEFQVVFDLPPGVYQYRFLVDGVWRCDETKPCVRDEYGLISNEVLVDNTHPVVQPETSIRVVSMDEGTILTTMPPDQLSQNSGVQIAIFRHRVSEILLHNTIYDVVPVSSKIAVLDARLPVKQAFKIMHDEGLSLVPLWDDQQQTVTGMLTASDFVLILRKLQRNIRTLGHEELEMHSVSAWKEAKLQFYGGPDVAAIQRRPLIHVKDSDNLRDVALAIIRNEISSVPIFKPSTDSSGMPLLGLATLPGIVKFICSKLQEQPEGYSFLQNQIVSMPIGTWSPHTGKASNRQLRTSRPSTPLNSCLDLLLEDRVSSIPIVDDNGALLDVYSLSDIMALGKNDVYTRIELEQVTVEHALELQYQVNGRRHCHTCLSTSTFLEVLEQLSAPGVRRVVVIEPRSRFVQGIISLRDAFTFLIG >ORGLA04G0082200.1 pep chromosome:AGI1.1:4:12553537:12554973:1 gene:ORGLA04G0082200 transcript:ORGLA04G0082200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G61800) TAIR;Acc:AT5G61800] MAPTPNPPLPLPPPYDSLPRLLLHHHRRLPRRRLVLAAHALAAVAGHLTVPAPPHHPHALLILAYARHLASWPRAPIHLLLLFRSSLRLSVPPTRHTLPLAVSAAAAASAGRHLPLALSLHAVAVTRNLLPFPHVSNALISLYARSALPDSARRVFDGMRAPDVVSHNALVHGYVKAGRLGLAVRVFEGMPERDAVSWGTVVAGCAKAGRLEKAVWLFDRMRREGYRPDDVALAAVLSCCAQLGALDKGQEVHEYVRRTRPRPNVYLCTGIVDLYAKCGRVEVAREVFDACPEKNVFTWNALIVGLAMHGHGTVALDYFDRMLVEGFRPDGTTFLGVLIGCSHAGLVDTARRIFYEMQNNHGVPRELKHYGCMADLLGRAGLIDEAMEMISSMPMEADTYVWGGILAGCRMHGNNVEFAEVAARRLLELNPDDGGVYSAMAGIYADAGRWEDVARVRRSMDEMVGVRLQWSSKMVSRC >ORGLA04G0082100.1 pep chromosome:AGI1.1:4:12539950:12540838:-1 gene:ORGLA04G0082100 transcript:ORGLA04G0082100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVILVDLQCCRCDAKIRKVLGCLEEEYCIEKVEYDVKNNRVIVRGKFDPEKLCKKIWCKAGKIIKEILIVDVWPPPCKPPPCEKPPEDCKPKPCHCCSCEEPKPKPKPKPCHCEKPKPCHCEKPKPCEKPPPEKPPPKPECKLVPYPYPVPYPYAGQWCCPKPEPPKPPPEPPKEPEPPKPCGCSHAFVCVCKPAPPPPPPCGCSGGHGNCGCGIRPWPPQVWPPPPVCPPPPWCYTEDNANACSIM >ORGLA04G0082000.1 pep chromosome:AGI1.1:4:12530863:12532004:-1 gene:ORGLA04G0082000 transcript:ORGLA04G0082000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVISADLKCCRCKEKLSKILCSLRDKYGIEKTEYEDKDDRVIVRGNFPTDKLRSVIWCKAGRKLIRDITVVDVWPPPPPPPKKPETAGGTTPAPAVANPPPAATVAATPPKVDGAGNKGEKQPKTTTPPPPPPPVPLPYPWPPCYYPPMQHCQTSSTTWTGSCPTQCHCCPKPPPPETKTKACEYCSRDHCHGGCKITPPPPPPSRCGGCDHADCGGWCGGQPPINCPPPPPPSRCGGCGHGDCGGWCGGHRPPINCPAPPPPCCQQQPWGGGCQYDENACSVM >ORGLA04G0081900.1 pep chromosome:AGI1.1:4:12526277:12527086:-1 gene:ORGLA04G0081900 transcript:ORGLA04G0081900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVITVNMQCCRCKEKIDKILNCLRCKHCIEKIEYEGEKVIVRGGFCAEELRKCIWRKAGCKVIVSIVIVEVWPPPKPKPVEVNVSVKTEVTAEAKAAAEAAARAAVAVVIKERGLQQHCGGKPPGCKMVPFPYPVPYPVPCKCCPPPPPPPCPQRPCSPPPCPRPCSPPPCPRPPCPPPPQHFCQQRHCSSQWGGSCNCNVNVMVCEQEVGPCSVM >ORGLA04G0081800.1 pep chromosome:AGI1.1:4:12523752:12524634:-1 gene:ORGLA04G0081800 transcript:ORGLA04G0081800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVITVNMQCCRCKEKIDKILNCLRCKHCIEKIEYEGEKVIVRGSFCAEELRTCIWRKAGCKIIVSIVIVEVWPPPPPAVTVNVKADVTATAVAAAEAAAKATAEAFIMVEREHCNKQPPACKMVPYPYPVPYPVPCKPKPCCGGDGCGGGCVRPPPPPPRCKCGGHGCDGGCVRPPPRCKCGVDGCGGGCSGGHGGECGGGGCKKPCCSPPPPPCPWQPVCPPPPCGGGGYVVYEQPDGCSVM >ORGLA04G0081700.1 pep chromosome:AGI1.1:4:12517079:12518854:-1 gene:ORGLA04G0081700 transcript:ORGLA04G0081700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGEMGASILVITVDLKCCRCRAKITKVLDCLKAEYCIEKTEFEEKDDKVIVRGNFDAGCLRNKIYCKAGAKVVKDIKTVDAWPPPEKKDEKSPVCKLVPFPVPYPAPPPPAACYPPSTQQCYHCCPAPPPPPPKPKPCECTHCGGHGGGCNEPAVPPCGGACSISDGGACGASYKPPPAIWPPQPSFYYYPPPLCGGYKFACEENSDVCAIM >ORGLA04G0081600.1 pep chromosome:AGI1.1:4:12503499:12504963:-1 gene:ORGLA04G0081600 transcript:ORGLA04G0081600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASILVITVDLKCCRCRAKITKVLDCLKEEYCIEKTEFEEKDDKVIVRGNFDAGSLRNKICCKAGGKVVKDIKTVDAWPPPKSPEKKKKDEKPVCKLVPFPVPYPAPPPPPACCPPSTHQCYHCCPAPPPPKPKPKPCECTHHCGRHGGGCNKPAVSPCGGGCSISDGGACGASCKPPPPPAAIWPPQPSFYYYPPPPCGGYKFACEENSDVCVIM >ORGLA04G0081500.1 pep chromosome:AGI1.1:4:12496850:12498153:1 gene:ORGLA04G0081500 transcript:ORGLA04G0081500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFSSYFYSSTAAAGGGGGGEKKSSSSSSASKKKQQQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVMAGGGGVEFGGEYMYGGGVDMSSLMDDIAAMPDDLPPSVTGGGGGFASSDEYSSGGCGGGMVDDLSVYGGGGGGSSWCDASDFTSYSSSPAAPAAAGSHGMYFEEGYVHSPLFSPMPAVDDAGADGFQLGGSSSSYYY >ORGLA04G0081400.1 pep chromosome:AGI1.1:4:12458664:12461511:1 gene:ORGLA04G0081400 transcript:ORGLA04G0081400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSKKKEEEEVAVVSARKGRLRQRYDGEYRLVAGCVPYRVVAGGELEVLMVSTPNRADLVFPKGGWEDDEDVYEAACREAMEEAGVKGNINRVSLGMWVMRSKSSQSGGGGEASRSPRGGACKGYMFELEVTEEMDRWPEQATHGRRWLPPADAFRLSRYGWMREALAALLDRRRLLLLPPPQPEPSEHAGVYGLAMLKAAAAAAADRAVALC >ORGLA04G0081300.1 pep chromosome:AGI1.1:4:12447832:12451545:1 gene:ORGLA04G0081300 transcript:ORGLA04G0081300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S27 [Source:UniProtKB/TrEMBL;Acc:I1PKU4] QVLSNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKND >ORGLA04G0081200.1 pep chromosome:AGI1.1:4:12446178:12446516:-1 gene:ORGLA04G0081200 transcript:ORGLA04G0081200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMAGAGGSNRAVRCAAAVAFIIVVVVVGSADGRGGGRGGHVLHGGGGGDDDPGNFRSSMVDCMMECAAEVVSCSSACAGKPRGEAPTCAADCVHGDISCLAGCGAPAPPAA >ORGLA04G0081100.1 pep chromosome:AGI1.1:4:12436528:12444944:-1 gene:ORGLA04G0081100 transcript:ORGLA04G0081100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGPSRPAAAAAGDFPELLAFCARAEALIAELLLLSDRAPSQFADRRFHPVLFDFRYFDSPGEFEARIEGNMELEALEDELRESCGSYMRRFFALLDAAVAYHDELCSYLNDLQEGLYVHCTLDGVLESNWACQLLTESMTLFGCMALLMEHRISGLLRERLLVAYLRHERCFSFPNVERICKLCRRHVTTPPSPGASGSSLHTAEIISVQKPEDLLRRFQFPELIVDAVITCLRNGDVYNNVRFYPDPQHRTTALSLQGGHMYVLLFYSRDLLHNGLAMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAFKEAKSSLVSCLSPTFVRDRSLYHYTKVSSLLADLDSHMHAVNKEYVLDNSLNLLSIIRECNCTLRWLLLHRMTNDKKARDLVICLGSSQHADEGKLLQLLMKTAKLEFEVKELHAELLKTRKSMWYEKRHDALECMKDLSQNYLGTWAASCKLKNKSIKDWLEHLSSEVSSLDYATIGNSGRIIHRVLSTLKDIELLHQIKENIQIKHGFSKIQKNLHDMIKVLNLNQESISVFSVITDGKYAWGYLTYFEELLKKKISQDPSESLFLHTMFLKFQSWLDAPLQRIKQYESPDLQYVSTYYASKYAAKIFAVLDIIPAILLKISIDVDYINAEQSTHLINRINKETLEDLMQLDQQLCQAQQAAKLCIVSEGLLNMSKNFDGLIDLNLSGWLKQMIKKELVSQLQGKLKALSLLIYGDIEGNLMSLSNYMLSQMQRMEFLQHILHIDGCSIWEETLTAVLEECAKREVLEFMGCMQPSTNMVKPSNHMSNPGTFFGNILD >ORGLA04G0081000.1 pep chromosome:AGI1.1:4:12428662:12429345:-1 gene:ORGLA04G0081000 transcript:ORGLA04G0081000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRTIPVIFGILFYVLASTATATDAPDYVVQGRVYCDTCRAGFETNVTEYIKGAKVRLECKHFGTDKVERAIDGVTDETGTYKIELKDSHEEDICEVVLVHSPLANCSEIEAERDRARVLLTRNVGICDNLRLANPLGYLKDIPLPVCGALLKQFDLADDDNE >ORGLA04G0080900.1 pep chromosome:AGI1.1:4:12425877:12428185:1 gene:ORGLA04G0080900 transcript:ORGLA04G0080900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTVGWWLLAAAVVLAAAAADSGEAERAAEQHSERISGSAGDVLEDNPVGRLKVFIYDLPRKYNKKMVNKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPKEADWFYTPVYTTCDLTPAGLPLPFKSPRVMRSAIQYISHKWPFWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQENHVCLKEGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVEEKDVPKLDTILTSMPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPEGVYLQPSDKRLNWTAGPVGDLKAW >ORGLA04G0080800.1 pep chromosome:AGI1.1:4:12420476:12422780:1 gene:ORGLA04G0080800 transcript:ORGLA04G0080800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit Rieske, mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1PKT9] MLRVAGRRLSSSLSWRPAATAAAAAGGPRGGPLAGKNDDDDNNGNGRVQPRFSIESPFFAAARGFSSSETLVPRNQDTGLAELPATVAALKNPNPKVVYDEYNHERHAPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIALANSVDVGSLRHPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >ORGLA04G0080700.1 pep chromosome:AGI1.1:4:12412186:12416852:1 gene:ORGLA04G0080700 transcript:ORGLA04G0080700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSASRALAAAKPRSPEPFAAAATAVLLRRQLAAGMAAAPASSASAAGNREAFRVAVTNTLERRLFYVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQHFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCKDKLEKDNTLSPEKTAEFNHVLAVLDDLSAEQLGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFRDVSDLEFLMFPREQQLTGKSAMKLNIGQAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSFGWIECVGIADRSAYDLRAHSDKSGVALEAHEKFAEPREVEKLVITPSKKELGLAFKGNQRMVLEALEAMSETEALNMKSALESKGEVEFKVCTLGKDVTIKKSMVSINMEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRPGKAEDEQLNVFGFPPLVAPIKCTVFPLVKIEKFEVVAKKISKALTAAGISHIIDMTGNTIGKRYARTDEIGVPLAITVDNTTSVTVRDRDSKDQIRVEVDEVASVVKEVTDGQSTWADIMWRYPAHTASAAEEEEASET >ORGLA04G0080600.1 pep chromosome:AGI1.1:4:12408320:12408613:1 gene:ORGLA04G0080600 transcript:ORGLA04G0080600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKERRLRVEGWMGRMEMIDRRRQRLHSGERERKLCVRKRMESSDFDRGARFGGMDDGRLGEGTKRCEEMVGVIWDVGFERDNPDRSMRNEDVHQLV >ORGLA04G0080500.1 pep chromosome:AGI1.1:4:12404919:12405517:-1 gene:ORGLA04G0080500 transcript:ORGLA04G0080500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSDYLIVLFDLYFSMRLACWQSIVDAIELFELLGTKSRWYLYELDSHLGIVGKIALTLSNMLCEDMKAGPDRVLVLYDQTS >ORGLA04G0080400.1 pep chromosome:AGI1.1:4:12387816:12389115:1 gene:ORGLA04G0080400 transcript:ORGLA04G0080400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTALAHVIRAAPDLHLPHHPSSSASAAAHPQQASSFYPTAAAAASSPSDQLAAAAAAAEEQGRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDLGFLVTRGIPPAATHGGGYYPSSSPAAGACPPPRQQQTVVPYPDLMRYAQLLQGGVGGSYMPFGGAATMSSSTVSSSSAPQILDFSTQQLIRAGPPSPMPSSGSGSATAAASSPGAWPYGGSERKKKDSSS >ORGLA04G0080300.1 pep chromosome:AGI1.1:4:12376430:12377634:-1 gene:ORGLA04G0080300 transcript:ORGLA04G0080300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCFPISCESFEPSGSQLLNRPRRIRLHRQALLLFRQADLPSLLSSYIVQVVIHYFDKQFAEFINSAAGAITVSYIMQLLTGLLGREFSAVIYATQSISNILSISGEE >ORGLA04G0080200.1 pep chromosome:AGI1.1:4:12362770:12367449:1 gene:ORGLA04G0080200 transcript:ORGLA04G0080200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMDLLADEDGGEAERLEAAEAQADLLRDRLRLAVISIATSEGKKAGMEVSDPVVACIADLAYKTVEQLAKDVELFAQHAGRKSIKMEDVILTAHRNEHLMGLLRTFSQELKGKEPSSERKRKKSSKKDDNAIRAGRAVVLGERPLPIAAVVRRWPRPHSSEFLKLQQQMGALPVLATVRWRVVIVPSVDYFIGLFCVVGGT >ORGLA04G0080100.1 pep chromosome:AGI1.1:4:12348403:12351067:-1 gene:ORGLA04G0080100 transcript:ORGLA04G0080100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGEGSGRAPRLPRWTRQEILVLIEGKRVVEGRGRGRGRGGGGAAAAEPTKWAAVAEYCRRHGLERGPVQCRKRWSNLAGDYKKIREWERSLSSPSSSSSAAGMGKEVSFWAMRNDARRERRLPGFFDREVYDILEGRGGGNAAAAAAAGKEGEEEKAAVFDSGRAAAGGGGGGGDDGLFSSSEEEEDDDEATPPATTPAAAPAPPPAPAPAVPVLTSEKKSDPPRQDASEQAGTSRAKQPEQIVEDSPAQGAHKRQRSDDASGEAPDLQGQLIEILDRSSRMVAAQLEAQNINCQLDREQRKDQVSSLLGVLGKVADALYRIADKM >ORGLA04G0080000.1 pep chromosome:AGI1.1:4:12345787:12347742:1 gene:ORGLA04G0080000 transcript:ORGLA04G0080000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGGGGGGGEGFEERVKRLFGSRLFDDVPGSSFPAASWSVAAGDVERRRWAKPSEARDEEEAREGADAADRGDTPCASAFYDDNGCLRAGTRRRRRSKQEEFEGDLDEEDEEEEEEEEEEEERGGGGGKQQQEEDEEGVRVSIGLDPTLDREILDENFLFAGYSLLLWSVISNKIFILQEEEDKYDREAFGREDAADRVYMNEIMDDGINMSINTVVPDILDDSVEEIHRFSRDPRADMGAASARLKEYDSSAKGGTCSLAQPNEFPSGGIQAMKTDDANVKPILKRKEEQGDSKPRKRVKFAADVKDQSAELPEQDEDSPMVPQSMDLVIGKDSSTPSESPGVPDYVKNPAKYTRYTLDTPECNDETNRRAFADLHDILRRMEPEPEVPPVEIPTSVTFIPRKKTVDAMTVDEGPKSNDANSSLIGLAAGASDETEQCEMDEDDPKALLPPQVQTNTKMNSRRYRSSRIDDE >ORGLA04G0079900.1 pep chromosome:AGI1.1:4:12343666:12344457:-1 gene:ORGLA04G0079900 transcript:ORGLA04G0079900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLSPDLKRGNFTDDDDELTIKLHALLGNKWNTHIKRNLLSQGIDPQTHQPVNAGTSVAAASELTTTAITVGFPSLAVAPARGSSRRKKGRLGGIFLVVVAGGGGVSGGGRVSDGGELELRVEERREVVVAGQRQRGGQRLGGGAYGRGQRACFGWLGWGGCASALAHHRSAAPPVAALAHRRFTAALALCFSACGRSRPSPRSRSHPPLRCRARPLLLHPHLRAAPPAAGLPH >ORGLA04G0079800.1 pep chromosome:AGI1.1:4:12337106:12342413:-1 gene:ORGLA04G0079800 transcript:ORGLA04G0079800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLVQSAIAPTIYRRSGTARFRVRARATMMRTMPTRTLTLGGFQGLRQTNFLDSRSVIKRDFGSIVASQISRPRGLGSRGVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDDSLIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQVTKDKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMVKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKDIDLQVTEKFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGGSGVPEPLAPALSV >ORGLA04G0079700.1 pep chromosome:AGI1.1:4:12334589:12335819:1 gene:ORGLA04G0079700 transcript:ORGLA04G0079700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit DAD1 [Source:UniProtKB/TrEMBL;Acc:I1PKS8] MPRATSDAKLLIQSLGKAYAATPTNLKIIDLYVVFAVATALIQVVYMGIVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >ORGLA04G0079600.1 pep chromosome:AGI1.1:4:12327062:12330160:1 gene:ORGLA04G0079600 transcript:ORGLA04G0079600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1P623] MRGAIAAAVCAVLLLLVGGNGGGWSAMAAATMEEDRIVALPGQPNVSFAQYSGYVTVDAARRRELFYYFAEAELDPATKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNVLVRNEYSWNKEANMLYLESPAGVGFSYSTDPSFYGGVGDSRTARDNLRFLQGWFAKFPQYKGRDLYITGESYAGHYVPQLAQRMVEFNKKEKLFNLKGIALGNPVLEFATDFNSRAEFFWSHGLISDSTYHSFTTVCNYSRYVSEYYHGSLSSACDTVMTQVARETSRFVDKYDVTLDVCVSSVLMQSKSLAPQRGSRELDVCVEDETMGYLNRKDVQEAMHARLEGGVPKWTVCSSVLEYKQLDLQIPTINIVGGLVKSGVPVLVYSGDQDSVIPLTGSRTVVQRLAGRLRLGTTAAGYRVWFEGRQVGGWTQSFGGGALSFATVRGASHEAPFSQPERSLVLFAAFLAGRPLPDSFQ >ORGLA04G0079500.1 pep chromosome:AGI1.1:4:12312641:12313975:1 gene:ORGLA04G0079500 transcript:ORGLA04G0079500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHQLKLFRSELVGVRNSILKSVSRVVRPHPWCAVFGISTGETDIMGTAKNPIGFRCNECMKKDSSLHDQNQHMTNVPTDRSTCLKESSDNVHGATNSCLSHCSQTFQLMVALKLLISGGAAARKQSDAIHDWRSLHHHYIKEDKDDSEAHYLDWNPCNGARAYCARLQA >ORGLA04G0079400.1 pep chromosome:AGI1.1:4:12299062:12301122:1 gene:ORGLA04G0079400 transcript:ORGLA04G0079400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEPINHHQHQHDPPKQPPPREADDDDHRIQEREPLPPPTTTTRNQRLQLQLGGDGHHNHHHHHHQEVAGTSGSSSGGSSSNNGGGGTRDWLRLATGPASPGASAGSDHDLFPSTTTTAPAPQPPTPTPTPRHHHHDVLVLPGMPPPGSFLRPGPAMPGIPQASIPTHMPRAAPPWLPPWSPVAAPPPLLPFPHQHRAFYAAAPTTTPPASSGFDAIRVVLPPSAVAAAAGVWFVLQAAPLQGREPFLPQIPRSYLRIKDGRVTVRLLTKYLVNKLGLEDESEVRGVCLGWHISPPSPLDKSFSIHAIPFHSIHPL >ORGLA04G0079300.1 pep chromosome:AGI1.1:4:12276878:12277499:-1 gene:ORGLA04G0079300 transcript:ORGLA04G0079300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSQYRGSKEEGKFKDQSIFNKGALEWLCKSFCLAGRTTMVNEELVYRLKLEDFGQCMHPLKVSKVLDKRGLTMWNLLGFDTKAGIATDRPLIVRTTIGRASNRGTNDNKGHKSRSYQSTAIGEQYRKASTTAMQGFGEPSSKEDSSA >ORGLA04G0079200.1 pep chromosome:AGI1.1:4:12226082:12227838:-1 gene:ORGLA04G0079200 transcript:ORGLA04G0079200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTPELRFAAAAGLGALSRPSRXXXXXXAALAAPRRRRRRSPSPSPAPSDSDSNPASSAPANAGAAAAAAEAPEWKKVSAKRFGIKDSMIPDEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVIIQITKLLILMK >ORGLA04G0079100.1 pep chromosome:AGI1.1:4:12215413:12219621:1 gene:ORGLA04G0079100 transcript:ORGLA04G0079100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRAPVELDFLGLRAAAADADDRHAKSGGSSASSSSSIRGMETSAIARIGPHLLRRVIAAAGPPPPPSTAPVPEEMPGAAAAAAPMTLFYNGSVAVFDVSHDKAEAIMRMATEATKAKGLARGNAIVGNFAKEPLTRTKSLQRFLSKRKERLTSLGPYQVGGPAAVGATTSTTTRSFLAKEEEHTAS >ORGLA04G0079000.1 pep chromosome:AGI1.1:4:12206614:12207147:-1 gene:ORGLA04G0079000 transcript:ORGLA04G0079000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDEAPATDPAPSPSPSPAKRKPDAEATDLAPLDPPPKSARPDADEAAAEEAARARAADKGKGKMVVEDEDEEEEEGGGSDEDSSDDDDDDDEEGGGGGGGGGGDDSDDGFCDDPLAEVDLNNILPSRTRRRAPPQPGAYLVPPEEAAEDDDDEDADVDVDMARGHQAGDGEDSD >ORGLA04G0078900.1 pep chromosome:AGI1.1:4:12178916:12181354:-1 gene:ORGLA04G0078900 transcript:ORGLA04G0078900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFSFLPAQRDRNTVSLVCKVWYEIERLSRRGVFVGNCYAVRAGRVAARFPNVRALTVKGKPHFADFNLVPPDWGGYAAPWIEAAARGCHGLEELRMKRMVVSDESLELLARSFPRFRALVLISCEGFSTDGLAAVASHCKLLRELDLQENEVEDRGPRWLSCFPDSCTSLVSLNFACIKGEVNAGSLERLVSRSPNLRSLRLNRSVSVDTLAKILLRTPNLEDLGTGNLTDDFQTESYFKLTSALEKCKMLRSLSGFWDASPVCLSFIYPLCAQLTGLNLSYAPTLDASDLTKMISRCVKLQRLWVLDCISDKGLQVVASSCKDLQELRVFPSDFYVAGYSAVTEEGLVAVSLGCPKLNSLLYFCHQMTNAALVTVAKNCPNFTRFRLCILEPGKPDVVTSQPLDEGFGAIVRECKGLQRLSISGLLTDKVFMYIGKYAKQLEMLSIAFAGDSDKGMMHVMNGCKNLRKLEIRDSPFGDAALLGNFARYETMRSLWMSSCNVTLKGCQVLASKMPMLNVEVINERDGSNEMEENHGDLPKVEKLYVYRTTAGARDDAPNFVKIL >ORGLA04G0078800.1 pep chromosome:AGI1.1:4:12167029:12168479:1 gene:ORGLA04G0078800 transcript:ORGLA04G0078800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVGAAAETGWLEAALVGPRRRRTAPRVYPPPPVSEGYGYQTYFGEDNQEASCGWSQQQPSAPSDGPYNYGYNDDPDCLTFLRGWYTYTCYT >ORGLA04G0078700.1 pep chromosome:AGI1.1:4:12164638:12165416:-1 gene:ORGLA04G0078700 transcript:ORGLA04G0078700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPMAQDFGPAQVRPDRCWARVSTAWLLGCAWAYGLTWWADPTQHELQGDEIDLFSTTCGIPTKLQHFKGSRNESVTRNNLRITLVTSPATVGLRSLPDGAPDGDERVNL >ORGLA04G0078600.1 pep chromosome:AGI1.1:4:12144746:12145561:1 gene:ORGLA04G0078600 transcript:ORGLA04G0078600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYNFKVNGQYMTNAEDLPRLECRTSFDDTGITNVQRDLCHFIHRECCHVKGDFFDPEGALAASDEFKDLREWNTAMP >ORGLA04G0078500.1 pep chromosome:AGI1.1:4:12134118:12134669:-1 gene:ORGLA04G0078500 transcript:ORGLA04G0078500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPYAPQLTRWRAAVGGRGRGVVRDFVEHAGSVIALRLEDYYHHRIAAATGDDDDGNDPRERVLLGGRLYPVADETTTIHGGVGGGGRTTLRCVEFCPEPGVAPLRLTVTTSAEEEKQRQEVAEVVSPDGAVRVLGRGECFGGGESGTVQHVVDVEGEREVFVLLVSVRPELARIVRVQRLT >ORGLA04G0078400.1 pep chromosome:AGI1.1:4:12133186:12133395:1 gene:ORGLA04G0078400 transcript:ORGLA04G0078400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKSQGQTIPNVGIYLPEPMFSHGQLYAALSRGMSRLTRRILAKPKKEVDSTRNRTRNIVYKDVLSW >ORGLA04G0078300.1 pep chromosome:AGI1.1:4:12119621:12126235:1 gene:ORGLA04G0078300 transcript:ORGLA04G0078300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRKFRGFGLHSHRERKDHRPPPAKLDELADAAQEMEEMRNCYDSLLSAAAATMNSVYEFAEAMEEMGTCLLEKTALNYDDDDSGRVLMMLGKAQFELQKFVDNYRTNIINTITNPSESLLKELQVVEEMKELCDHKRQEYEAMRAAYREKGRSRHSKTETLSSEQLQAYFLDYQEDAALFIFRLKSLKQGQFRSILTQAARHHSAQLSFFRRGLKYLEALEPHVKAVAEKQHIEYPLNGLDDDTDNDEYSSYQGNQSDDSELSFDYEINDRDTDFPASRSSMDLDQSNQACSPEPLKEHKQEYAEQIQADFAAPRVKLEIGTQSAPISADNVFDPSTRFRKMNTSNRTNYSYKLPTPDDDKNSTSAHTNRSPHSDQPESKSHVAENLWHSSPLVKGFKPNSMFSGPVKMPSSTEGISAPLVYPYATSDFKKMKREAFSGPIPSKAGLNKPLFSATDLRAPMNYPRAMSTKSYGPGWQSSVAPKFTPRITSLPTTSPRISELHELPRPPANVGAARPGLVGYSGPLVSRRQVPNVLTRASPPSQTASPLPRPPAAMTRSYSIPSNSQRTPILTVNKLLEARHSRESSEVSSPPLTPISLADVSRRSTAETALEKTRMMETL >ORGLA04G0078200.1 pep chromosome:AGI1.1:4:12107975:12110569:-1 gene:ORGLA04G0078200 transcript:ORGLA04G0078200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLAREPAEESKDETVDKELLMKLKTSQSTDPFVRKFKTEKKEMRTQVAFGQGNSSYARSFPMQSSADGSASKLPKEYVEPWDYTHSDYPVTLPLRRPYSGDPEILNEEEFGESSATGAQDGELTTAEELGLMHRSDKAQLLFFQMPASLPLPKQPDSVAETDKGDGVDAEPTSTTSKEMHAGTRPPKVLGSKLKDLPGGFMGKILVYRSGKVKMKIGDSLFDVSPGSNCMFVQEVAAINAREKHCCTLGEISKRAIITPDIEHLLDSFDKMEA >ORGLA04G0078100.1 pep chromosome:AGI1.1:4:12096435:12100851:-1 gene:ORGLA04G0078100 transcript:ORGLA04G0078100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKESSSPALDADKIEVPSPKDENNSSNSEAATDNEDFEISDDDDDDRNHKHRKREARPQSFDENTEQSPGGPLKKRHKISGGADSHGEAQKDFFPKFKRRPGAGAHSRAPRVNPSFRDSSASVAARPPMTRGRGRNGAPWAQHEPRFNTLEMIDFASQMASQGPPTHPSLFMGPALPSGGSAQNGSWGPYGFMPGMPNGMLDPIHPLGMQGPIQPAISPLIDLGMPRQRCRDFEERGFCLRGDMCPMEHGLNRIVVEDMQSLSQFNLPVAVPNTQGLGIQNEPGTAPVNTSSLGGSKGVPAKDIKSAVTNDVLKLNGTTALAVSDADVYDPDQPLWNNEHPDASAGFAHTDGVWNAESLGYEAAREQGNQVLAADSSQNSKSSVWGRIASKKLGHGKTANATSTSATGNKRNESYDEMAPSTVHVNPASAKDSNGQSNSRIFGDVGRQSNRASHKASRTLYVNGIPLESNRWEALLSHFQKFGQVIDIYIPSNSEKAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDRIPDEVEGRIPAKSSHMSAALANSVPPQPSSSNRGKENLQSATPRASSGSSAEASGPGTGHKMLPANSVKSLPPDTKRQESLELLEELRKKQEILAQKRDEFRRQLEKLAKQKGLANSAKQAEAGGKEVASNDVHRVTDSKSMNTGTEGPRDAAGTLQNRTSGELASSSHKSSATSAQKPAVATKQTSPLLVPSQNRFKLDNRTTSFRILPPLPPEIADESVLKDHFMSFGELSSVVLEDTEAYNHDATLKPSLSCSACVTYTTRQSAEKAFIGGKSCKGHTLRFMWLTASPGSTNHSRFQKTSIPARASSFSSQTQNMPESSTPVGKMSSTVKSSTTAKPHSESMPTATSAKTSVEIPKALSSRDSDVSQ >ORGLA04G0078000.1 pep chromosome:AGI1.1:4:12090066:12093949:1 gene:ORGLA04G0078000 transcript:ORGLA04G0078000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIASRLLRRSNATLGLIRSYSHARNYSSQLSALIPIGSQSSKLTRRRYYLPNASPYQLWSRSFASDNGDQVEAVVPFMGESVTDGTLANFLKKPGDRVEADEPIAQIETDKVTIDVASPEAGVIEKFIASEGDTVTPGTKVAIISKSAAPAETHVAPSEDSTPKETPPKAEETKPKVEEKSPKAEPPKMQAPKPLPSKTSPTEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVTKHGVKLGLMSCFVKAAVTALQNQPIVNAVIDGDDIIYRDYVDISVAVGTSKGLVVPVIRDADNMNFADIEKGINALAKKATEGALSIDEMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVDGNILARPMMYLALTYDHRLIDGREAVYFLRRIKDVVEDPRRLLLDI >ORGLA04G0077900.1 pep chromosome:AGI1.1:4:12085038:12087986:1 gene:ORGLA04G0077900 transcript:ORGLA04G0077900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKAASAAAQLSLAASACIAGAEAAAMVGREGAPAMVAAAAAAAMVVIGHRGKGMNALGSADPRLREVKENSLRSFHAAARVAGVSYVEFDVQTGASTIVQLNLNQMVTKDGYPVIFHDDFIFTEQDGEICGRRVTDLRLDEFLSYGPQKDQSKAGKPLFRKLNDGRVLRWDVQSDDALCTLQEALDGVDRRVGFNVELKFDDDVVFEHAKERPIFFSSFQPDATRIMRKLQDRYPVYFLTKGGTQVFADERRNSLEAAVKLCVAGGLRGIVSEGRCSGSRRRSAGSRRPASPSSPTASS >ORGLA04G0077800.1 pep chromosome:AGI1.1:4:12077538:12082124:1 gene:ORGLA04G0077800 transcript:ORGLA04G0077800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVALCRLLLRRRRRPPASAAVGGRGRLRRRRASRRSPQGARGRGNATVKKELSALKDANGNVISAQTFTFRQLAAATRNFREECFIGEGGFGRVYKGRLDGTGQIVAIKQLNRDGTQGNKEFLVEVLMLSLLHHQNLVNLVGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKVPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGEDFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPHVEQNLVSWARPLFNDRRKLPKMADPGLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQKYDPNTTPSSKKAGGGEAGRALSRNDEAGSSGHKSPSSKDSPREQQLPGILNDRERMVAEAKMWGENWREKRRAAATTSSNAQVSLDSPTETG >ORGLA04G0077700.1 pep chromosome:AGI1.1:4:12069178:12069588:1 gene:ORGLA04G0077700 transcript:ORGLA04G0077700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAAAAEQAWYMPAAAMVTAAAAAETAAERVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPAVHELDLDPRGRDLERALARLVGAGGGAAAAVPVVFIGGKLVGAMDRVMAAHINGSLVPLLKEAGALWL >ORGLA04G0077600.1 pep chromosome:AGI1.1:4:12059363:12059951:1 gene:ORGLA04G0077600 transcript:ORGLA04G0077600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASNLWLGDMNDGGVSTMRATRDRDDRQSDCTSPSFRRRKNYGKNQEALEERLEDGQCHHGHSSQDGGLIHIKFKSTSDSRSGPH >ORGLA04G0077500.1 pep chromosome:AGI1.1:4:12047961:12051510:-1 gene:ORGLA04G0077500 transcript:ORGLA04G0077500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:I1PKQ6] MAEKEEGKVAAEGGAEAEADEEVEVKFRLFDGSDIGPLRCNAVATTVAALKDRVVADWPKDKTIVPKTANDVKLISGGKILENDKNIAQCRAPFGDLPSTAITMHVVVQPSSAKSKPDKKTNKLPKTTRCSCTIL >ORGLA04G0077400.1 pep chromosome:AGI1.1:4:12042179:12045352:1 gene:ORGLA04G0077400 transcript:ORGLA04G0077400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSSSSSRRCRSRRSSSGDSDAAAAPSRRGMPMAAGAAGPRRLAVQQKQKQPALVPPPPTQQQTQGFGGVGGAGAAALVVGSSSSARGMAAAAAAAEWMAHEDAWRACNRDFATPFASVEDAISRLLPYHVFAEYEEDDIYVEDQPPAKDKSSVQEWDDDHEAEAIRMAEEFEKQVVTFNVAVLKSAAGAARAEERLMVENLLLAYERRQSEHVRALVRQQQLVALQKQQQMIAEQRQQQQQMMAALQQRQQPATIMPAQGHPGAMDLFLDAYAAGEQSAYSWMTAAHAVPQPQSQPRXQQPDA >ORGLA04G0077300.1 pep chromosome:AGI1.1:4:12036563:12040255:1 gene:ORGLA04G0077300 transcript:ORGLA04G0077300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQEMPQSRIFGQPPPPTQQQQQQQQQRGFGFGGAVPSPRGMPMAAGAAGPHLAVQQKQPTTTLAPPPPPPQQNQGSSSSARGMAAAAEQIAYEDAWKACNPDITTPFASVEDAISRLLPYHVFAEYEEDEIYAEDQPPAKDTSSVQEWDDDREAEAIRMAEEFEKQVLTFNVAVRKSAAGAARAEERLMVENLLLADEQRQSEHVRALVRQQQLVALQKQQQQQEKEAAALQRQLMLEQQQQQQAALQQMMAMEQRQQQQQQQQMMSALQHQRQPAIVMPQQGHSSAAALDAFLDAYAEVGPPRQQHRHGVQAPQQPESSSSGPAYWGPAHAVPPPPQENGAGALRE >ORGLA04G0077200.1 pep chromosome:AGI1.1:4:12030789:12031871:-1 gene:ORGLA04G0077200 transcript:ORGLA04G0077200.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKVNLKGNQILLRLWYWEKFRVSHIDPSTARVRPLIQYWDEKKARKREKIMYGVGQVIRVLAPGNRHETVISGTSFYRSSCGLFMLKCMEHWNGSKLTTKFKKIFRRKLAAILVGSASNDNTEIPTYNK >ORGLA04G0077100.1 pep chromosome:AGI1.1:4:12008185:12008601:1 gene:ORGLA04G0077100 transcript:ORGLA04G0077100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSKEFIDELHKPLTAEAKAQMVADGKKKVQLQEKQEELTAEAKARMVADGKKKVQLQEKQEEDGTALRQGSRLSATDLIRYTLMGIRFAFTAAMHYYHCTQMQMMPELTRTTFGVFYNCFHYFLIAIIILASYMGI >ORGLA04G0077000.1 pep chromosome:AGI1.1:4:11998712:11999169:-1 gene:ORGLA04G0077000 transcript:ORGLA04G0077000.1 gene_biotype:protein_coding transcript_biotype:protein_coding YCQVMLRMGSTCRRHKPATLGANKPKCLAEVEEEEAKAEEAHKENTMVGGCYR >ORGLA04G0076900.1 pep chromosome:AGI1.1:4:11997554:11997895:1 gene:ORGLA04G0076900 transcript:ORGLA04G0076900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTKEFIDELHKPLTAEAKARMVADGKKKVQLQEKQEEDGTALRQGSRLSATDLIRYTLVGIRFAFTAAMHYYHYTQMQMVPELTGTTFGVFYNCFHYFFIAIIILASYMGI >ORGLA04G0076800.1 pep chromosome:AGI1.1:4:11987403:11990669:1 gene:ORGLA04G0076800 transcript:ORGLA04G0076800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSCRFLYSSSSSPIATTSPLLSPTPSAATRRKLLLSSTCGTIASAAAASAMEGQGAPAAPGGKGSAIVVVDSHLHVWASPQQAAERYPYFPGQEPPIRGDVDLLLQCMDEAGVDGALIVQPINHMFDHSLVTSVLKKYPSKFIGCCLANPADDGSGIKQLEHLIVQEKYRAVRFNPNLWPSGQKMTNEVGRSLFAKAGELGAPVGIMVMKGISTYIQEIEELCTDYPKTTVIFDHMAFCKPPMNIEEEKAFTSFLELSRFPQIYVKYSALFRISREAYPYEDTSQLLSRVISSYGANRIMWGSDFPFVVPECGYKGAKEAISHVAGKIPVSSSDLEWILGKTVTQLFQGAWVSA >ORGLA04G0076700.1 pep chromosome:AGI1.1:4:11976475:11976984:-1 gene:ORGLA04G0076700 transcript:ORGLA04G0076700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARRSAADELDEATRAQADPDYLLFLSHLYPDGGGAAAAPSPSSSTYVLDIPDLGLVVRYGPFVIGGDGDGGGDAASNKNATVGRRQLSSAVVINNDDLPPPSAAREAEVADSAASRSSVASNDDDDLAAAGTVDDGEEVSNDGVAVAGEEGAARGGRQVEEMPAI >ORGLA04G0076600.1 pep chromosome:AGI1.1:4:11971986:11973580:1 gene:ORGLA04G0076600 transcript:ORGLA04G0076600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAAAASGGDAHDDEYAKLVRGMNPPRVVVDNEASDEATVIRVDSVSSHGTLLAVVQVIADLGLVIRKAYFSSDGSWFMDVFNVTDRDGNKVLDDQTISYIQTTLEADDWYYPEVRNTVGIVPAEEYTVIELTGTDRPGLLSEVCAVLAGMRCAVRSAELWTHNTRVAAVVHVTDDGGSGGAIEDEARIADISARLGNLLRGQSGVRAAAAAAPGGLTHKERRLHQMMFDDRDYDGGGGAASSSPRGRSPTPATEVSVTPCAERGYTAVVVRCRDRPKLLFDTVCTITDMGYVIHHGAVSSEPRGGAYQEYYIRHVDGDPVRSEAERQRVVQCLEAAIERRTADGLALEVRTGDRAGLLSDVTRIFRENGLTIRRAEISSERGEAVDTFYLSDPQGHPVEAKTIDAIRAQIGEATLRVKHNPFADGDGAGGGGGGGATDDVAGSTAFLFGNLFKFYRPFQNFSLIKLYS >ORGLA04G0076500.1 pep chromosome:AGI1.1:4:11940583:11945099:-1 gene:ORGLA04G0076500 transcript:ORGLA04G0076500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNLIITSDSDSDDGGGGGGAATASTPASASASASFPSVSGGGGGCGDGWPSPQNPRSVPVQFPSPSSPPPSPPIEISDEEEAEAEVVVEEEEEVVVVEDEEEEYEEVEEIEDPDGDSPFVDAPEHISPPPPPPPPARTPMPTPTPTPTPTPTPTPTRPLPVWAAPLPARTPTPTPSAPPRAAAPSPAGTPSPSPIPPSSTPVSALSGPLRQVDEFLRGLGLRLRPEWLESCAAGVPGFYGLGGVEAMARRCFEQFLFADMNACGAGVLPEGVGSMHNAVLDGPFVLQVDEIVNLSAPLRERYRDAHAGPKRCLKLSMTDGIQRIYGMEYRPIKDLEVLAPAGFKIVIRNVHIRRGLFMLVPEVIEILGGVDDELDEARNRLVSEVNKPPRGKRKQGGLPLSSRATLAAWPTNANATNDAEQGASVPRTVNTPHPTRLGNASHASQVGRTTQPMVDNLIPHVVVSNAQEQSRHIQEITMQGQPTSLNRHNKEASASTYRYNAQCSISGTTRAMADEHVLVSNAQEQSPHIQEITMQDQSTSLNGRNKEASASTSYRYNAQCSISGTTRAMADERVDPSFVGNNVHEQMQRVQGITMQDHISASSESKRELSVTTPSAYDSRLAPHGVGNTGTRSGEATRSSNVDDGINNIGHPISLCGENEKPFTYIFNMLADWGVQQDTVPYIQGKIKGLITSVKRFQYKQSMQYDLYVYIDDGSFITEAFVDRDIVQNMIGLSAEELAAALSSGGPAQANIRKTMKAFEHFLVNFEVILALILLYLFSL >ORGLA04G0076400.1 pep chromosome:AGI1.1:4:11920849:11923649:1 gene:ORGLA04G0076400 transcript:ORGLA04G0076400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKEAAKAKEEEKPKQEEKPKEQEEGKKEEKPKEEGEKGKDGGGGEEKKDGEAAAADAPPPLPPPPPEEVVMRVYMHCEGCARKVRKILKGFDGVEDVIADSKAHKVLVKGKKAAADPMKVVERVQKKTGRKVELLSPMPPPPEEKKEEEKKEEPEPPKPEEKKEPPVIAVVLKVHMHCEACAQGIKKRILKMKGVQSAEPDLKASEVTVKGVFEEAKLAEYVHKRTGKHAAIIKSEPVAPPEKVAAEGGDEKKAAEGGDEKKDEKEEKKDGKEEGGNGGGDEKKEEKEKEKEGGNADGEEKDKDKEKDPAAIAAANLYLHYPRFAFPAGYYPPGPGYAYPPPYPPSYPPPYQPSYPPYPSHPSHHPSQIFSDENPNACSVM >ORGLA04G0076300.1 pep chromosome:AGI1.1:4:11908182:11913944:1 gene:ORGLA04G0076300 transcript:ORGLA04G0076300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRAVSGLARVALRRNLARAPANPFAGPAPRYFHSTRPRRFAAPVPCAVPLSRLTDSFLDGTSSVYLEELQRAWEADPTSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVSGHLKAKLDPLALEERPIPDVLDPAFYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRSVLERLEQAYCGTIGYEYMHIPDREKCNWLRDRIETVNAREYSYDRRQVMLDRLMWSTQFESFLAQKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPAEEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDLDRTKNLGVLLHGDGSFSGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKIIRNHQSALEIYQNRLLESGKISKEDIDKMQKKVSTILNDEFQNSKEYIPNKRDWLSAYWTGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPENFKPHRAVKKIFELRRQMIETGEGIDWAVGEALAFATLIIEGNHVRLSGQDVERGTFSHRHAVIHDQETGEQYCPLDNLVMNQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGEAKWLRQTGLVVCLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQNNHKDLEEGIKRLVLCSGKVYYELDEERRKKERDDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYINPRLLTAMRALGRGTIDDIKYVGRAPSAATATGFYSVHVQEQTELVQKALQRDPINSPF >ORGLA04G0076200.1 pep chromosome:AGI1.1:4:11903445:11903958:-1 gene:ORGLA04G0076200 transcript:ORGLA04G0076200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQYRNHVHTIWWNYGSCYLPHHHHMPHLQFILHSPAGSPWRSPMQFQIQYQDTEDLLMLHHPEVTKFESGTQDLSMEFGCSIVI >ORGLA04G0076100.1 pep chromosome:AGI1.1:4:11899979:11901958:1 gene:ORGLA04G0076100 transcript:ORGLA04G0076100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAAAAASLSVSDAAAKLPKPGGQVQRRRDRDRPRVDAAACTRDSRRPTRVRCSTTVSLAATATATTATPVRAPVRTRAPMGQRKGADIVVEALERCGVRDVFAYPGGASMEIHQALTRSPVIRNHLLRHEQGEAFAAGQVPRRMIGTDAFQETPIVELTRSITKHNYLILDVDDIPRVINEAFFLASTGRPGPVLVDIPKDIQQQMAVPSWDAPMRLPGYISRLPKPPAANLLDEVIRLVGDAERPVLYVGGGCSASGDELRRFVELTGIPVTTTLMGIGNFPSDDPLSLRMLGMHGTVYANYAVDNADLLLALGVRFDDRVTGKVEAFASRAKIVHVDIDPSELGKNKQPHVSICADVKLALQGMNATLEQQQRKNLDFSAWRSELEKKKVEFPLGYRTFGEEIPPQYAIQVLDEVTNGEAIVATGVGQHQMWATQHYTYRRPRQWLSSAGLGAMGFGLPAAAGAAVANPGATVVDIDGDGSLLMNIQELAMVRVEDLPVKVMVLNNQHLGMVVQWEDRFYDANRAHTYLGKPAANGGGEVYPDFVTIAGGFGIPAARVTRKGEVRAAVEEMMAAPGPYLLDVVVPHQEHVLPMIPSNGAFKDIIVDGDGRSSY >ORGLA04G0076000.1 pep chromosome:AGI1.1:4:11893213:11893820:1 gene:ORGLA04G0076000 transcript:ORGLA04G0076000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKRGAMDAGGGPDAGLLHPGARRRQLARRADQHRADALQQELPAPVDELPPAGDQAGELHRAGGEAHRPPPGSPRQPFSTIIVVHNIAMLSEYFQWIINEALHYKFLQ >ORGLA04G0075900.1 pep chromosome:AGI1.1:4:11876432:11878420:-1 gene:ORGLA04G0075900 transcript:ORGLA04G0075900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPASLAAAAAAATAAPEPRGIRPPRAPVHRLRLRHHATRVGCSTVSVSTRPATTTTTTTTRSQPPPPQPPQAAEPLQRRWGPTERRKGADILVEALERCGVRDVFAYPGGASMEIHQALTRSPAIRNHLLRHEQGEAFAAGQVPRRMIGTDAFQETPIVELTRSITKHNYLILDVDDIPRVINEAFFLATTGRPGPVLVDIPKDIQQQMAVPSWDAPMRLPGYISRLPKPPAANLLDEVIRLVGDAERPVLYVGGGCSASGDELRRFVELTGIPVTTTLMGIGNFPSDDPLSLRMLGMHGTVYANYAVDNADLLLALGVRFDDRVTGKVEAFASRAKIVHVDIDPSELGKNKQPHVSICADVKLALQGMNATLEQQQRKNLDFSAWRSELEKKKAEFPLGYRTFGEEIPPQYAIQVLDEVTNGEAIVATGVGQHQMWATQHYTFRRPRQWLSSAGLGAMGFGLPAAAGAAVANPGATVVDIDGDGSLLMNIQELAMVRVENLPVKVMVLNNQHLGMVVQWEDRFYDANRAHTYLGNPAANGGGEVYPDFVAIAGGFGIPAARVTRKGEVRAAVEEMMAAPGPYLLDVVVPHQEHVLPMIPSNGAFKDIIVDGGGRRT >ORGLA04G0075800.1 pep chromosome:AGI1.1:4:11868885:11873834:-1 gene:ORGLA04G0075800 transcript:ORGLA04G0075800.1 gene_biotype:protein_coding transcript_biotype:protein_coding HAATAREMSTGGGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLTDDA >ORGLA04G0075700.1 pep chromosome:AGI1.1:4:11864472:11866679:1 gene:ORGLA04G0075700 transcript:ORGLA04G0075700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDYNMDEAIKARGVAESRFHSRDIRGARKYAIKAQNLCPSLEGISQMVSTLEVHLAAESKIDGESDWYRILSLTAFADEEEVKKQYRKLALQLHPDKNKSVGAEEAFKLISEAWSVLSDNSKKVLYDQKRKDHSVVNVTNGMYTYDKKANKRARKNAAAAAAAAAAAAAAAEATTRPAGVDTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFLAVETGFPCNGSSSSFSWSTKQQPQNNNSTKHSYGSTSRTSSIPGTGHGGYQQDGTYDSYNNQSFQWNQYSKTTPAAGTNAYGTQALEKPKRKHEESYSYNYSATGNSYGHERTNSRRGRFSKRRRHSNDGYTTMDFGGDNRETVAASTETTAFTDVAVAQVNGTSGEKLRSAVSGRRANVLREISQIDTRALLIEKAKAAIQEKLQEWNITSSSRLAERGKSQGKVYPSDNNIKQNGGLSDKHVKGLKQCSSRSVDTQAPTVDEKNPEQRRVPVSIDVPDPDFHDFDKDRTERAFDSDQVWATYDSEDGMPRLYAMVQKVLSMRPFRIRMSFLNSKSNSELAPISWVASGFQKTCGDFRVGRYQISETVNIFSHKVSWTKGPRGIIRIVPQKGDTWALYRNWSPDWNELTPDDVIYKYEIVEVIDDFTDEQGLTVIPLLKVAGFKAVFHRHMDPKEARRIPKEELFRFSHRVPSRLLTGEEGNNAPKGCHELDPAATPVDLLKVITEVTEDTATQPAK >ORGLA04G0075600.1 pep chromosome:AGI1.1:4:11857277:11860792:1 gene:ORGLA04G0075600 transcript:ORGLA04G0075600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGEKVRAFATNRWLVFVAAMWLQSMAGIGYLFGAISPVVKAALGYNQRQVAALGVAKDLGDCVGFLAGTLSATLPAWAMLLVGAAQNFLGYGWLWLIVTRQLPALPLSMMCLLIFVGTNGETYFNTASLVTCIQNFPKSRGPTVGILKGFAGLSSAILTQLFAVLHTPDHATLVFMVAVGPSLVAIGLMFVIRPVGGHRQVRPSDNNSFMFIYTICLLLASYLVGVMLVQDFVQLSDNMLVFITAVLFILLILPIAIPVTLTFSSKTEHPMEEALLAEPSKGQASTSQEKEPDVFLSEVEDEKPKEIDSLPPSERRKRIAELQARLVQAAARGGVRIRRRPHRGENFTLMQALVKADFWLIWLSLLLGSGSGLTVIDNLGQMSQAVGYKDAHIFVSLTSIWNFLGRVGGGYFSENIVRERTYPRHIALAFAQILMAAGHFLFAMAWPGTIYVATFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLTVANPTGSLIFSGVIASNLYDYEAEKQAHHQSSLSGRSLFDMSFLAEGPLKCEGAVCFFVSSLIMSAFCIVGAGLSLIVVHRTKRVYANLYRAVR >ORGLA04G0075500.1 pep chromosome:AGI1.1:4:11847428:11850884:-1 gene:ORGLA04G0075500 transcript:ORGLA04G0075500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAARRRQEMAAEGQRHLEETIAAAFQILSSMNDELCNPTLWSSSSSAAAAAAGGAGGLQHHGNNHHHHHQHHHHHGGLPPPPPPLHSADSDAADAAGGGPGGAPGSGGSLDEARHRYKVAMAALRASIAAVSSCAQEMGSTEHKADQAEIERLEEHASALRKEIESKNKHVKLLIDQLHDLISDISMWQSPCSV >ORGLA04G0075400.1 pep chromosome:AGI1.1:4:11839386:11846437:1 gene:ORGLA04G0075400 transcript:ORGLA04G0075400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFDINVLHKEARSRWLKPSEVYYILQNHERFPITPEPPKKPPSGSLFLYNRRVNRYFRRDGHAWRRKKDGRTVGEAHERLKVGNVDALSCYYAHGEQNPCFQRRCFWMLEPAYEHIVLVQYREVGAAEGRYNSASLLNGPTDSLSVLSYPNATYGNQYLGSTSGVSDGSESRHSNLSSVTEVSSYSANKDNGILQSIQELSQSTIMGAPALGQSSLEQSIEVRWVDNSNSTNKSGLNRALKQIVEQLSLGDDEDDDYIHQAQPFDFITNIEAPDRQRDASRNVSGGSQAKQIRAEGMQNGLGRGIPSSWEDVLQSSSGFPAPSIYQSTPHYPQNSEYQPPGSLYNSDMQQISAAKRFLLETEDSIDSPSYNYVPREEGNNGTNTLSVHDYSLQSSLNPDWKKTAPLTLQSNLYGSEIPSLLLDHGQFESLSSGENTRLILGQNPRFSIREVSPEWTYCYEITKVIITGDFLCDPSSSCWAVMFGDSEVPAEIVQAGVLRCHTPLHSSGKLTICVTSGNREICSEVKDFEFRAKSTASSFLDISPSSRSLKSSEELLLLAKFVRMLLCENGSHANSNGDPQSVQCPKLKMNDEHWQRLIDELKGGCENPLNVSDWIMEELLKSKLQQWLSVKLQGYDGIACSLSKHEQGIIHLISALGYEWALSSILSADVGINFRDTNGWTALHWAAYFGCREKMVAALLAAGASAPAVTDPTAQDPVGKTAAFLASERGHLGLAAYLSEVSLTSYLASLTIQESDTSKGSAAAEAERAVESISQRNAQLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLKDEYGMTQEDIDELAAASRSYYQSLLPNGQFYDKAAVSIQKKFKGWKGRRHFLNMRRNAVKIQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGHGLRGFRAEQTAMAEAEEDDKDDDDDDFNDDEAVKVFRRQKVDESVKEAMSRVLSMVDSPEARMQYRRMLEEFRQATVSAE >ORGLA04G0075300.1 pep chromosome:AGI1.1:4:11834760:11835038:-1 gene:ORGLA04G0075300 transcript:ORGLA04G0075300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDRKGDLSVDFSTINPKKPNSALRKVARVRLTSRFEITAYIPGIGHNLQEHSVVLVKGGRVKDLPGVRYRIIRGALDAVAVKNRQQGRSSAL >ORGLA04G0075200.1 pep chromosome:AGI1.1:4:11833673:11834143:-1 gene:ORGLA04G0075200 transcript:ORGLA04G0075200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:I1PKN3] MSRRGTAEKRTTKSDPIFRNRLANMVVNRIMKNGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAICRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRELAIRWLLEASQKRPGRNMAFKLSSELVDAAKGGGGAIRKKEATHRMAEANRALAHFR >ORGLA04G0075100.1 pep chromosome:AGI1.1:4:11820646:11822585:1 gene:ORGLA04G0075100 transcript:ORGLA04G0075100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPATSSRSSGDFPADWVFLDTVAHAGRCRRDNATTTTARARSSDGHPIEVSFALADPPALTRCLVHCPAGLTAGEFSRSPPSVAAADGAFLLLRVVFPHRSDRCMATDWFVYRQPGPAGAPPPSLELLVQRPNPLDVVSRHAAVLQFHLHIFSSKTKRWSSKVAKLGRGAMEAFDPFFFPTKVLRVVRGGSMAWVDFRNSILLFDSVPGDSPEVSLIRLPPLMPINNVDSGSPHGPCVDHVRDVTCRNDGWFKFIEMGFPHLDPNDARLNRGWEATMFKRRIIRSDDDCYRQWEPCGTVDSASLLLPAADSCVPDCLFPEIFDYEERKLALNNVLSSFPTLDLYRDDVVYMMTKIKDDDPDGWIIAVNTESKRLEGISPFSQESYHLHRIYQQCDFSKHLINKALGTHLAKDMDKLMDQQPPELATVERAACREEDDVS >ORGLA04G0075000.1 pep chromosome:AGI1.1:4:11816695:11816907:1 gene:ORGLA04G0075000 transcript:ORGLA04G0075000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWAPVVVGVVLFVVLSPGLLIELPGTHRWVDFGSLRVTGKSAVVHTIVFFVLFAIVVVACKLHIYTGA >ORGLA04G0074900.1 pep chromosome:AGI1.1:4:11813903:11814112:-1 gene:ORGLA04G0074900 transcript:ORGLA04G0074900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVVATVLFVLLTPGLLCTVPGRGRVAEFGSFHTSGLAIIVHAVLYFALLTIFLIAIGVHIYAG >ORGLA04G0074800.1 pep chromosome:AGI1.1:4:11792368:11807652:1 gene:ORGLA04G0074800 transcript:ORGLA04G0074800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRVVLRRIEDRVRRGICFRKRLAGLEKKAEELAVLCDAHVGFVVLSCSGDDANPHHFAAPATIENIVERYEHSQAAQKGVHGRCILQKQRKSKDFQVLKETIDKGPINDDMRPIDEKDISTLNMDQISQIEILLEDELRWTRARKVVADRIARLQKKVQKKPATAETESNSTEMPSDHEKKQVAGGSQQSAEEEEMEVVLRHRLSLGTGDRDDGGGGAAEQRHRTTPPPAVDLNVPCRDAGQLQ >ORGLA04G0074700.1 pep chromosome:AGI1.1:4:11785680:11786813:1 gene:ORGLA04G0074700 transcript:ORGLA04G0074700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLSQIERLLEDELRGTRARKVVVDRSARLQKKVQGKPAIVEVEKGSMEIPIESGQEKEQIGGEAVEEQRSRTTPLDLNMPCWDAGPLQ >ORGLA04G0074600.1 pep chromosome:AGI1.1:4:11755066:11755520:-1 gene:ORGLA04G0074600 transcript:ORGLA04G0074600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFYNRGGRRIIKHKSAAAAAMPAFYPRSPAAVVVVAPAAAAPVPYSAAAPWPAPAPPPSAVTVVGHAXXXXGGGGGGDADVDRRAAMYISRVQERLRRERAASEEYWRNRY >ORGLA04G0074500.1 pep chromosome:AGI1.1:4:11746070:11746822:-1 gene:ORGLA04G0074500 transcript:ORGLA04G0074500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKAATALPSIPSSSSPSPMASDPTELRCSSPESSGDAGAEDPAAVDAAEESGGEGGSGHIAAGTEAAPPRPPEPEPEKVARHGVLPLLGKPYFTCIMCKSHVQPPFQVVVPRSFAPLLPSRTTPATLSWRGRSWGMRFTGGRLIQRLEAGWRGFAVDNDLRLGDGCVFELLVGGGGEQERVEFRVQVLRAEIPARIRGRAGGYTSATPIVID >ORGLA04G0074400.1 pep chromosome:AGI1.1:4:11742660:11743571:1 gene:ORGLA04G0074400 transcript:ORGLA04G0074400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMSLAVGPRTSGTDDTAAERGQQQPSTMLGGVMGSLRVIELQLVAFIMVFSASGLVPLIDLAFPVATTLYLLLLSRLSFPPLHSTLPSSSSSSQEIFRGSTWFQVYVVLGTTVGLFLPLAHVLGGFARGDDGAVRSATPHLFLLSCQILTENVVGALGAAFSPPVRALVPLLYTVRRVFVAVDWVYDAWGNRAAAAAPQEAVAWMWFGRYLAVANLVYFSTNLLVFLIPKFLPRAFEKYFRMRDEVYAKTAEDRHAAAATVAAKPVESKKAD >ORGLA04G0074300.1 pep chromosome:AGI1.1:4:11735805:11740771:-1 gene:ORGLA04G0074300 transcript:ORGLA04G0074300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSPYFVPESEGIRAGESPAAALRRILASPGAHQAPCCFDALGARLIQRAGFPICFMGGFCVSAARLGLPDAGLISYGEMVDQGRLITEAVSLPVIGDGDNGYGNAMNIKRTVKGYINAGFAGIMLEDQVAPKACGHTEGRKVISREDAIMHIKAAVDARKESGSDIVIIARSDSRQAISIDEALWRVQAFADAGADVLFIDALASIEEMKAFCAVSPKVPKMANMLEGGGKTPILSPAELQEIGFSLVVYPLSLIGVSMLAMEDALIAIKSTGAPRPGSLPSFQEIKDTLGFNRYYKEEKQYATVQQAQPSSTNIVLRLKITEKSGTQKINEGIPAGILEKISKAIPGLAGVNFTEILQGADQSQKGKLLLDREDATGDRIQVSIE >ORGLA04G0074200.1 pep chromosome:AGI1.1:4:11732963:11734845:1 gene:ORGLA04G0074200 transcript:ORGLA04G0074200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLQLENKVARSKGRVALTTNMVLGGTVTDDASDEWLVLDQKVNSYPTNRGFTAIGTGGDDFVQSMVVAVESVLQEPIPKGQVSHKLSSRGKYVSVKIGPIRVVSSEQVQAVYRAMRSDNRMKYFL >ORGLA04G0074100.1 pep chromosome:AGI1.1:4:11725085:11726482:-1 gene:ORGLA04G0074100 transcript:ORGLA04G0074100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKMIVRVSQGHGCIDHKLKCNLIEPPQVVSGNRAGNQKGCRISKTMRRFVLTEIMGRRIHGQCAQCLQPPAATILYPGTVRSGGQNLGLLQSLYGYRYAFVTVPQGQPSHGLGHQPNTPKFKASGIITV >ORGLA04G0074000.1 pep chromosome:AGI1.1:4:11722769:11723444:1 gene:ORGLA04G0074000 transcript:ORGLA04G0074000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKSKAKQQNKYVVRARDCKLLPPWIRSKARESSSCCSRRPPPPPPRHRGAGAGGGRAGGEAADGGADEAGVGAPGVRGAGEGDGAGGAGARGAGVRARPGDLGARPRGGGARRAHEGDRRPPPRHRPRRRLRRPRDHLPRLHAALPPLASFMHRRHGDGVRTQLGRHCC >ORGLA04G0073900.1 pep chromosome:AGI1.1:4:11713594:11714016:-1 gene:ORGLA04G0073900 transcript:ORGLA04G0073900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLEPLVIESGAGKLVLHLMDAFHAEVLALRAGVEAAARRGMTRVQFETDSLTLVQGLKSSNGYRLAATGGLCLDILQRCVISFNVFSFHYCPRNCNRVAHALAALGCTNSQTTDVRWDGSPPDVEDLVAGDLAEPVV >ORGLA04G0073800.1 pep chromosome:AGI1.1:4:11706636:11707841:1 gene:ORGLA04G0073800 transcript:ORGLA04G0073800.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKVKLITPIQKKVVCYFTSLLINWILSWKKYTEDRLHRYASAPTTPEPRSGDTAASAQLWLDGTFFLHLPSGSVSLLRLCSLRFAELVVSLLGSTAVGRALAGHPDPFRVVHRSELAERRSSGSALTRSSPS >ORGLA04G0073700.1 pep chromosome:AGI1.1:4:11699107:11699565:1 gene:ORGLA04G0073700 transcript:ORGLA04G0073700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVAPIAVASAGLGMLAGVAMANRSSNSSSSSGRTSSPAALLRWGAPAPAPRCAACGGTGREECRLCARWSDARGXXXXXXXXXXXXXXXXXXXXPAGAAAAPARAAAPPSASPPPRRRHGDRDRKEKLCRLSPPMDRSNPPGSPSPASS >ORGLA04G0073600.1 pep chromosome:AGI1.1:4:11684325:11686379:1 gene:ORGLA04G0073600 transcript:ORGLA04G0073600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFAADLDPEVHNLNARLLEISRMLQSGLPLDDRPEGARSPSPEPVYDNLGIRINTREYRARERLNRERQEIISQLIRRNPAFKPPADYRPPKLQKKLYIPMKEFPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDMKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEPGHRQYACPNRTSTFKSEVQCKICGDGGHPTIDCPVKGTTGKKMDDEYQNFLNELGGSAPESVTKSSGPMLALTGSGGSGGSSGGVGAGSGSNPPWAAGGGAAASGANGIKKEYDETNLYIGYLPPTLDDSGLIGLFSQFGEIVMAKVIRDRITGQSKGYGFVKYSDVSQANVAIAAMNGYHLEGRVIAVRVAGKPPQPAVPPGPPAVPAPPTYPPADPAAGGYTSQPYMGAPPPPPPGSYAPVPWGQPPPYASYPPPPPGSSMYNPPPPAPGQATPPPYGVQYAPPPAPIPPPGTAPSTDGAQNYPPGVTPPSSGAPTQPVPAPVYGTSGAPNAPPMYPPPPYGYASYYPSVTPVQPPPPPPPAGADPSQSLANAPWATHSAPPPPPSGADPSQSIANAPWATHSAPPPPPATADHSQSIASAPWATHNAPPPPPPPSSIEQPPATYGADAEYDKFMSEMK >ORGLA04G0073500.1 pep chromosome:AGI1.1:4:11655174:11656787:-1 gene:ORGLA04G0073500 transcript:ORGLA04G0073500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGACYSPASCQDGRRKRRRIAGGGGEGSAAAAAAVAGGAEGPANDMFEELPDDLVVSILADVAASARSPGDLAGAMLTCKRFRELGQSKVVLARASPRCLAVRAKAWSDAAHRFLQRCADAGNLDACYLLGMIRFYCLGSRGSGAALMAAAAVSGHREALYSLAVIQFNGSGGSKDDRDLRAGAALCARAASLGHVDALRELGHCLQDGYGVRRSVLDGRRLLIQANARELAAAVAASASLLRAATGKPAAAASRRHSCLLSDFGCHAAAHAANRFLVDWFASRPLAGSTAAAAPAPTPGSAAEDEAAGLRLCSHALCGRPETRRHEFRRCSVCGVVNYCSRACQALHWKTAHKAECTPMDRWLDNAAAGAANPNAAAGDAAMAAPAP >ORGLA04G0073400.1 pep chromosome:AGI1.1:4:11581743:11583005:1 gene:ORGLA04G0073400 transcript:ORGLA04G0073400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPNDLLICEVLTRLPVKSLLRFRSVCRSWRDAVADPAFVRRHLELSRAATPPSTTVLAVHTRMDHDPDDRAAPEDVVSFHRVRLGQSPAAAAAAIVELMHEEALECAGIHLFASHCDGLVAVAATAGKIFVCNPATKEFFLLPPGGRNGPSNETAALGFDPCTGRYVVARCFFRRDVYYRDEDTGVLQYLEYDINDIVHQVFVLGPSGSGDWEATVTPPCIIYTNLPAACAGGAFYWVAHDKSDGTFAVECPNCLVRFAMNDGTFTIVPLPQSVTFMDVDFDSISELGGELCYTQRTSGTAYNIWTLQLPGDEEEEGHRWSLRWRVDFRRRVGVVLPLAVSDDGGTLTVYEHRVGIHRLDGGRESHPEKVVDMAAVTRGLVGQWIAGYGCARQCGGSGDHDREQCDGGGAAHDRMQCGD >ORGLA04G0073300.1 pep chromosome:AGI1.1:4:11579987:11581086:-1 gene:ORGLA04G0073300 transcript:ORGLA04G0073300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSSSSSEVELMLERAFPDGVEIDVATHCDGLIAVTTDAGETFVCNPATKELVTLPLGISCHNGCVVWDRFAAIGYDPWRKCATGTSSVGTSTGATQTGPASPKSGMRSSFSAAAAPAHGRPPRIHHQPAPSCPALRRLASEGASTGAPTRTSATRACCSGLAFTATSSTWFHAIRAAHPTSSHSTPCRSWTAQKPPPLLHPPPALFVRAHPRLSSSPERRAAARTTAAELLLSSSTP >ORGLA04G0073200.1 pep chromosome:AGI1.1:4:11574079:11574471:1 gene:ORGLA04G0073200 transcript:ORGLA04G0073200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSKQALATPLSLFLLHLLLAALALRLVAVASASVVISVAEEAENNATAPWTTEERLVVVVELGSAAARQLQLGGGVELHHRRRELAGKIPFGPLRPDGSACRPHCPAKSGLPYTRDCKVIYLCGRGR >ORGLA04G0073100.1 pep chromosome:AGI1.1:4:11566528:11567865:1 gene:ORGLA04G0073100 transcript:ORGLA04G0073100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYYRRRKEKRRRITQYPAPAAAAAAAPVPDDLLVSEILTRLPVKTLTRCKSVCRSWRAALEDPSFVRRHLELSRTRTPPSALVIPHDGGNFLSRYISFHRLRSPEHTATDAAAAAATAELMLEATCPEEIGCGFVPSCNPATRKLVQLPLGTNAGLSECFWPAAAIGYDPWRDRYVVCRYFDLSTEPFFDEATGWETSYWRLGHEIFTLGGGGGDSWVETDPPPPPGRHWPIGELGAICVRGDFYWLSYTAAGAAGDGEAEMALLRFGLRDAKFDVVRRPRGCTCRRRTPSSSDDGYFYFTDRVVDLSGKVCYVHAPLAADFLELWQLAGDHDQEWSPRCRINLLERGIVIRKEGFVPVYHHGEDMLLVLDDEQLYRYNERTRAIEEVANLERELEEYERQDGTLGYKHYVVPYVESLVSICSSNYI >ORGLA04G0073000.1 pep chromosome:AGI1.1:4:11526076:11526423:1 gene:ORGLA04G0073000 transcript:ORGLA04G0073000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPLLLLLLILPLDAAAAMAAPAGGTVASTSSVAAAVXSRTTTVEDTVVELEPLLPADQLDMLIQSRRVWSTTKHDTGALEKYKPVCLPDHSCTEPPPGVSYTGRGDKCVYHNPGC >ORGLA04G0072900.1 pep chromosome:AGI1.1:4:11522021:11522242:-1 gene:ORGLA04G0072900 transcript:ORGLA04G0072900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFSKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA04G0072800.1 pep chromosome:AGI1.1:4:11510907:11511311:1 gene:ORGLA04G0072800 transcript:ORGLA04G0072800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAATACSTLLLLIAAATTLLVPAASAAKLVAGKDAATATAAEAALGSTVAPWVEADAGGVVGGMMMVAAAAGSVEYGHGGVHHRRVLQARGGGNVNPSLVADRQRCIGSCPARGGSYTGRGNQCIYHNRSC >ORGLA04G0072700.1 pep chromosome:AGI1.1:4:11504110:11507814:1 gene:ORGLA04G0072700 transcript:ORGLA04G0072700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPLLLLLLLLLLLLLLLLIPSFKAVVSKGSRRSRSKILEADVKGSWHGGGHGHWLSSADVMSNATAMEIVTCSQDDVSRCPQEKTVNLHDLHNSLIASKELVRVLAHIWGPGELNPSTTSLISALRSEIDLARSHVRKLIKEQKSEGIESLKKQLVQEMESWKSKQKEKVANALQYIVSELDSEKKSRRRAERINKKLGMALANTEASLQAATKELERERKSKGRVEKICTELIRGIGEDKAEVEALKKETEKAQEELQKEREMLQLADEWREQRVQMKLLEARLQFEEKNAAINQLHDELQAYLDTKKEHGQSNDQMTLLRASENGREIADNIQKNSGECDDEDEDDDVDDSASEGSDMHSIELNVDGNSKSYTWSYTPTSKDRKRNASFSQRGMDSGSSCGFDRKFQETGEELLEGDWAEGCSNGMLNFEHDEERYLAIKNLREQMLAGSGFIVSQGREHAESEFCGL >ORGLA04G0072600.1 pep chromosome:AGI1.1:4:11495372:11500287:1 gene:ORGLA04G0072600 transcript:ORGLA04G0072600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKAAGRGVPGEIASRVSCCSGGRGRVAVGCDDGTVGLLDRGFRLSYGFQAYASSVLFLQQLKQRNVLITVGDDDQPSSLSSAICLKVFDLDKVQEEGSSTTSPFCVQILRIFTKQFPQAKITSFVVLEEAPPILLIAIGLDNGSIYCIKGDIARERITRFMLQVEDGTSLPITGLGFRVEGQAHQLFAVTPSSITLFSLHDHPPRRQTLDQIGCETNAVAMSDRMDLIIGRPEAVYFYEIDGRGPCWAFDGEKKFVGWFRGYLLCIIEDQRSRKNTLNVYDLKNRLIAHSMPVGDVSHLVSEWGYIILIMSDKKILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYIHTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNHFIKDEDGVGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLGRYDEALQYISSLEANQAGLTVKEYGKILVEHRPAETVEILLRLCTDGGDPMTRRGSNSMHLLMIPSPMDFVNIFVHSPKHLMEFLENYTKAVKDSPAQTEIHNTLLELYISKDLSFPSMSQENGFEEQNSKERKGKEVANGYKSGPREKGNLGKEDMNVAKDIVDRQRKGLALLKSAWTSEMDDPLYDVDLALIICNANAFKDGLLFLYEKLKLFKEVISCYKQAHDHEGLIACCKKLGDSSQGGDPSLWGDLLKYFSELGEDCSKEVKEVLTYIEKEDVLPPIVVLETLSKNPCLTLSVVKDYIARKLEQESKLIEEDRKSIDKYQDETELMKREIEDLKTNAKVFQLSKCTACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVMEAKQKLEHNARDHDLFFRQLRGSKDGFSVVADYFSKGIVSKTAIPPENGR >ORGLA04G0072500.1 pep chromosome:AGI1.1:4:11487008:11487517:-1 gene:ORGLA04G0072500 transcript:ORGLA04G0072500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHRRSMRLLRLRLSRKAAINGPQNKLILYKTLQGLRGGQLMSSRKSRIPPFLSIQRRQPANIHHRVDRRCLEGIRAVGDADLGCAEEEEIGNGGVETKITSGCKLTIRN >ORGLA04G0072400.1 pep chromosome:AGI1.1:4:11483851:11484456:-1 gene:ORGLA04G0072400 transcript:ORGLA04G0072400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKASMFLKQMVSTIVAVVKAKSTAVRAKTSAMKTRLLIFGVLRNRKLLATAINHKIHAIMGGAAAQDTTNDGGVAGVEDDDGGGSKKAVVLYNTAPSFLTERGYYDHAGEEEEEEEDSDEYLTHSLFQEEDDEDDELVNAPGSVIDLVRDAKEGEGGEFRLEDEIDHVADVFIRRIHKQLKLQKLDSFKRFCEMLERSA >ORGLA04G0072300.1 pep chromosome:AGI1.1:4:11473258:11477949:-1 gene:ORGLA04G0072300 transcript:ORGLA04G0072300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESPRSPEAEIGHRVEDLWEVAEPQLSPSEKLNSCFEDIAVASFPRPLGSQVIEIPSNASLADTVEILSKNKILSAPIRNVDAPEDASWIDKYIGIVEFAGIAMWLLYQSEAAANGMAGSAVGSPVANLVSRLGSFTFRRTSSGRVETTTDPESDETASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVDIGGDKIENIITQSSVVHMLAECVGLPWFESWGTKKLSELGLPLMKPCKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGTKAIGNISIRDVQYLLTAPNIYKDYRTITAKDFLTAVRQHLQEQHEASPLLGSVITCRRDDEVKDIILKLDSEKIHRIYVIDDKGNTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPPNSTV >ORGLA04G0072200.1 pep chromosome:AGI1.1:4:11466849:11468915:1 gene:ORGLA04G0072200 transcript:ORGLA04G0072200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPAPPHPQPEELEVAEEPPAAAGGVGNDKVLAAAQHIVKSLATSKNAADDMIRILSGFDHRFSSITADLFPSPSPSSGAGPTPPPPPPPRGAFEAAERLIRQWDATPELLVFEGPEGDVADYLEAVDVAVDQLLSGVGAAAADADAEAAGVVVQLAMARMEEELRHLMVRHAVPLDASGLFCSLRRLSLESMDDLDTSSEFDPITPHSLEGGPDTARSASLVGNPFDDQVFDLVRPEAIDDLRSIAQRMDRAGYASELEQVYCGVRRDLLDECLAVLGVERLSIDEVQRMEWKLLNDKMKKWVHGVKTVVRSLLTGERRICDQVLAVSDELRDECFVESTKGCIMQILNFGDAVAVCSRSPEKLSRILDMYEALAEVIPELKELFFGNSGNDVICDLEGVLERLGDAVKGTLLEFGKVLQQESSRRPMMAGEIHPMTRYVMNYLRLLVVYSDTLDKLLGDDSAGDVDHSDTHRGGDDEEEYLESLSPLGRHLVKLISYLEANLEEKSKLYEDGALQCIFSMNNILYIVQKVKDSELGRILGDHWIRRRRGKIRQNSKNYLRISWTKVLSFLKDDAHGGRSGSGSGSGNSSRIKEKFKNFNLAFDEIYRSQTLWKVPDPQLREELKISISENVIPAYRAFLGRYGSLVDSGRNSGRYIKYTPEDLENQLSDLFEGSLGPANHSRRR >ORGLA04G0072100.1 pep chromosome:AGI1.1:4:11461461:11463741:1 gene:ORGLA04G0072100 transcript:ORGLA04G0072100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILPPASPPAARQCLSFTSHRIAACRREAPLMKGESEEEETXXXXXXXXXXXSAGGVDLTPAAARRNKKRKLPEKQLPDRVAALLPESALYTQLLEFESRVDAALHRKKVDIQEALKSPPALQRTLRIYVFNTFANQAPRTIPPPKNAEPPTWSLKIIGRVLEDGAELDPASVVPKHNPVYPKFSSFFKRVTIALDPSLYPENPLIIWENARSAAPQEGFEVKRKGDKEFSANIRLEMNYNPEKFKLSQPLMEVLGVEVDTRSRVIAALWQYIKAKKLQNPTDPSFFMCDPQLKKVFGEDKLRFAMLSQKISQHLSPPPPINLEHKIKLSGNGAHASACYDVIVDVPFPLQKEMSAFLANTEKHKDIEACDEVISASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQSKDLKLIAGEANRNIERERRADFYNQPWVEDAVIRYLNRKPASGNEGPGGGAGGS >ORGLA04G0072000.1 pep chromosome:AGI1.1:4:11444069:11446345:1 gene:ORGLA04G0072000 transcript:ORGLA04G0072000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHLLLQLSPPPPPPPLPAVHLMMSPSFFDAGVFADVGGDWMEDLMHLGELFGVGVGGDDDDNGGVDGRVGGGDDRMQEWQNNCEGGGSPDHQPSCGDGDGDGDGDVSPRDGELGDGDGDNSATRKRRDRSKTIVSERKRRVRMKEKLYELRALVPNITKMDKASIIADAVVYVKDLQAHARKLKEEVAALEEARPIRPPPPSAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFFVTVECEPAAAAARGGGGGVAAPVCAAVESLSCFTVESSTVGCSPDRVVATLTLKVSEAEEDVSAISECTVKLWVMAALLKEGFRPQPTVQIS >ORGLA04G0071900.1 pep chromosome:AGI1.1:4:11427032:11431818:1 gene:ORGLA04G0071900 transcript:ORGLA04G0071900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVGAAPRGVEGARPGGDLLCVVRALLKKIRRRVLVGDRVLIGAVDWAGGRGVIEGVFERRAEVADPPVANVDRLVLLFALDQPQPEPATLTRFLVDAESTGIPFVLVFNKVELVDDKTISYWRDRMKSWGYDPLFLSVDQKSGLSALEETLEGQTTVIVGPSGVGKSSLINALRSNQNISEEDPIHKLVEQNSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPIAGGGFLADTPGFNQPSLMKVTKKGLAETFPEIRKMLAENEPSKCLFNDCVHLGERGCVVKGDWERYPYYLQLLDEIKIRESFQLRTFGTKREGDVRYKTGVMGVKQAEPRLQLKKHRRVSRKKINQSILDDIDDELDEDNWFDVKQRSRKR >ORGLA04G0071800.1 pep chromosome:AGI1.1:4:11419655:11420041:1 gene:ORGLA04G0071800 transcript:ORGLA04G0071800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNAAVSIILLAVAVAVVPLAAAVAGNNNMQIVPTTSDQPAGGESTAVVADVAVALIISRPPWEGGGVAGGAGGAQAMSECMEKTLYTGPCLEALCTAACILELNNGGHCRGGFLFFKKCSCFLCF >ORGLA04G0071700.1 pep chromosome:AGI1.1:4:11414380:11418252:1 gene:ORGLA04G0071700 transcript:ORGLA04G0071700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G38360) TAIR;Acc:AT5G38360] MAMATPAASATLTLLLPASPPMRLRARRPPARTSRSRPLLLRASCAYALREGQSQRFHRLPCGLDLEVIAQQPPAPATGGGGAAARPPLVFVHGSFHAAWCWAEHWLPFFSRAGFPCYALSLRAQGESSVPPEKVAGTLETHTGDIADFIRKEVSLPPVLIGHSFGGLIVQQYISCLGGSELLHPKLAGAVLVCSVPPSGNSGLVWRYLLTKPVAAIKVTLSLAAKRFANSLSLCKETFFSPEMDDDLVQRYQGLMKDSSKLPLFDLRKLNASLPVASVPNNTVNILVVGASSDFIVDAEGLSETARFYNVQPVCIEGIAHDMMLDCSWDKGAGIILSWLEKLTPR >ORGLA04G0071600.1 pep chromosome:AGI1.1:4:11406850:11411020:1 gene:ORGLA04G0071600 transcript:ORGLA04G0071600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRRPPAAPHRTPIHHDKRLHLFSTHNTRHRATVSSLPVTCLRIRYSSSNPVRHLCGIPSSRCHAAADPAPSKIPGGGSGALEAGVVGWRDLLLQVGEVLSLGFPVWVASACAVALWRPPAFLWVSPMAQIVGISFTMLGMGMTLTLDDLKTALLMPKELASGFLLQYSVMPLSGFLISKLLNLPSYYAAGLILVSCCPGGTASNIVTYLARGNVALSVLMTAASTFAAAFLTPLLTSKLAGQYVAVDPMGLFVSTSQTQVVLAPVLLGALLNQYCNGLVQLVSPLMPFIAVATVAVLCGNAIAQNASAILSSGLQVVMSVCWLHASGFFFGYVLSRTIGIDISSSRTISIEVGMQNSVLGVVLASKHFGNPLTAVPCAVSSVCHSVYGSLLAGIWRSLPPNDKGQ >ORGLA04G0071500.1 pep chromosome:AGI1.1:4:11389752:11399812:-1 gene:ORGLA04G0071500 transcript:ORGLA04G0071500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAAAAAAAEAPATVGQAVIPLVNRLQDIVARLDGGGGGGGLELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPDICTRRPLVLQLVRHPAPEEWGEFLHAPARRFHDFDQIKREIQLETDKEAGGNKGVSEKQIRLKIFSPNVLDITLVDLPGITRVPVGDQPSDIESRIRSMIMQYIKHPSCIILAVTPANADLANSDALQLAKLADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQEDINFKRSVKDALAFEEKFFSTLPAYHGLTHCCGVPQLAKKLNTILLKHITYMLPGLKSRINSQLVAVAKEHAAYGDTAESTAGQGVKLLNILRKYCEAFSSMVEGKNKVSTDELSGGARIHYIFQSIFVKSLEEVDPCKSITDEDIRTAIQNSDGPKGPMFLPELPFEILVRRQISRLLDPSLQCANFIYDELVKISRGCLTSELQKYPILKKRMGEAVSNFLRDGLRPAETMITHIIEMEMDYINTSHPNFVGGNKVVELARQEILPPKAPTSVTIPKDGTAISPEIQLTSDRSQKSRAIFARDATRGATSDQGVQPDADTGTSVAGRNQRGHSLVAGSSSSKSVARVHSLDNLISIIQLREPPITLKPSENQPAQDATGVAIVKLLIKSYYDIVRKSIEDAVPKAIMHFLVNHTKRELHNVLIRKLYRENLLDEMLRETDEVIIRRQHIQETLQVLEQAHRTLEEFPLEAEKVEKGYSPAEYATGLPKIHGLSNGDPSIIYASSPNHNRKKASHEDQHGSVASYSSTSYPDANGGLLST >ORGLA04G0071400.1 pep chromosome:AGI1.1:4:11367496:11373172:-1 gene:ORGLA04G0071400 transcript:ORGLA04G0071400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEALKSIAPMSDSEYDSDATLTDNPFVGIDADSEDEENTSAMTLPLGNQPLDVVPLNAIPFSQVQALNRKVVSGKEEVSVPHWLKVQKLYKDGDWKVSISIRANGHKDWFYNHREYKKTFRSKPEVELFMERTLLHGTDIFNGRKLHKKKAMGSYGEGSGGSTSSMGEKKNTNTKRQKPSSIGDDPMMLKPTLPHGFV >ORGLA04G0071300.1 pep chromosome:AGI1.1:4:11358537:11359386:-1 gene:ORGLA04G0071300 transcript:ORGLA04G0071300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDRSYHHREYQATIRSKPEVELFMETTLQNGTNIFKGRKLQKKWRMDSCAEGSTGGSKSTKRKKINSSTEKKKPLSIGNEPLKLTLPHGFV >ORGLA04G0071200.1 pep chromosome:AGI1.1:4:11333856:11334077:-1 gene:ORGLA04G0071200 transcript:ORGLA04G0071200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDEDSIWHTMELLCAYGMRSQIWKQSKFGTIGYVKFLSCTREFSKVFRTPSMSLVRGFRLPTSCINRGGV >ORGLA04G0071100.1 pep chromosome:AGI1.1:4:11307816:11309186:-1 gene:ORGLA04G0071100 transcript:ORGLA04G0071100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTLVGAREPFVKAQTNLPATMQLKFPTRTQGDSYGALIPGLPEDLAKVCLALVPRSYFPVMGAVSKSWMSFIGSKEFIAVRKEVGRLEERIYALITGDGGKGPYWEVLGSLEQQNRMLPPMPGLTKAGFSVVVLDGKLLVMAGYVVDYGKECVSDEVYQYDARLNRWAALAKMNVARRDFACAEVNGAVYVAGGFGSDGDGLSSVEVYDPQRNKWTIIESLRRPRWGSFACSFNGKLYIMDGRSSFTIGNSRFIDVYDPILHSWTEIKKGCVMVTSHAVIDKRLFCIEWKNQRSLAIFNPSDSSWQKILVPLTGSSTTLFSLGVLDGKLLLFSQEEEPGYQTLMYDPTAPAGYEWHTSTLKPSGLCLCSVTIES >ORGLA04G0071000.1 pep chromosome:AGI1.1:4:11303171:11305454:1 gene:ORGLA04G0071000 transcript:ORGLA04G0071000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XYTASRRPASTVVRTAPTTLACTRTHAHASRPHSTIFFFPPTQRFWCFAPPSASAATRSPPLPSPPLLILSTPPPPPPPPPPPQRVLAFRSRGAGAHMRFRFRNKAAGDGSRPASSPNAGKFAAPVAGGGGGAADVADESPDQDSTRNGSKDESFFEARPWLDSDSEDDFHSVRGDFTPSRGTTPDHQRQSPFAGRISVDRSEPSLIEKKQRLLELLQEKQHYDDDSVADVGSEIENGAVHAEEYLKSSRKGAKANKASKSRGGCFPSSFWKIKFRSCRKKRKEQND >ORGLA04G0070900.1 pep chromosome:AGI1.1:4:11283397:11284233:1 gene:ORGLA04G0070900 transcript:ORGLA04G0070900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRILLGGEGAIGGGLEVAAHGPSASARLTGQEASANRGAGEEHAPGAVVTHEVAVPSNPVAEDLSHLV >ORGLA04G0070800.1 pep chromosome:AGI1.1:4:11263189:11266867:-1 gene:ORGLA04G0070800 transcript:ORGLA04G0070800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] desaturase [Source:UniProtKB/TrEMBL;Acc:I1PKI9] MAFAASHTASPSSCGGVAQRRSNGMSPVVAMASTINRVKTAKKPYTPPREVHLQVKHSLPPQKREIFDSLQPWAKENLLNLLKPVEKSWQPQDFLPDPSSDGFYDEVKELRERAKEIPDDYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTTWAVWTRAWTAEENRHGDLLNKYMYLTGRVDMKQIEKTIQYLIGSGMDPGTENNPYLGFLYTSFQERATFISHGNTARHAKEYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKISMPAHLMYDGKDDNLFEHFSAVAQRLGVYTARDYADILEFLVQRWKVADLTGLSGEGRRAQDFVCTLAPRIRRLDERAQARAKQAPVIPFSWVYDRKVQL >ORGLA04G0070700.1 pep chromosome:AGI1.1:4:11256942:11261546:1 gene:ORGLA04G0070700 transcript:ORGLA04G0070700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLAEDAADAPLDAAAIRSRLERLALSRRGEEEASSAATAAAEEAVRGLPSVEDVEPLQGLEFDAWASSAAPMESDFDAFMEWLSKEISLAEEENRKLSVEISSVAETTLKDSIQLDADIAELESSLKKIDSEGLKHLEASHIAELSVSTDSCRDQPKIDKDYKYEVLELNQQLEKYENDLKLLENQKSAEAMWELESMLSEANVLDFKDNCLRVFLKEAVLTPECLMYGKVSDCSVNSFVSDHELLIEVGENMEPKKVQIFPDDTCVDILIDKLKASREIISSTSLGWIIRQFQHHIIINTLRRSLVKDANNSRHSFEYIDKDGTILAHLAGGIDAFIKISADWPLSSFGLKLISIHSSRAQSADISLALLCKTKELANGLELQTRRHLVKFVDAIEDILFREMRS >ORGLA04G0070600.1 pep chromosome:AGI1.1:4:11253822:11255883:-1 gene:ORGLA04G0070600 transcript:ORGLA04G0070600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRQCGNRALLAEGSSTVGVVHGRKTRGGISTVTSSRRRSHGGVRFHRCCPPRAHLWRKGDHLPLHHAKIPARCSEIKVHTVLQDSDALSSIREWSRSHLVTMTGLVACAVLVVPSADAVDALKTCTCLLKECRIELAKCIANPSCAANVACLNTCNNRPDETECQIKCGDLFENTVVDEFNECAVSRKKCVPQKSDVGEFPVPDPSALVKNFNMADFNGKWYISSGLNPTFDTFDCQLHEFRVEGDKLIANLTWRIRTPDSSFFTRSAIQRFVQDPAQPAILYNHDNEFLHYQDDWYIISSKVENKEDDYIFVYYRGRNDAWDGYGGAVLYTRSKVVPESIVRELERAAKSVGRDFSTFIRTDNTCGPEPPLVERIEKTVEQGEKTIIREVQEIEGEIEGEVKELEEEEVTLFKRLTDGLMEVKQDLMNFFQGLSKEEMELLDQMNMEATEVEKVFSRALPIRKLR >ORGLA04G0070500.1 pep chromosome:AGI1.1:4:11250427:11252908:1 gene:ORGLA04G0070500 transcript:ORGLA04G0070500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPDIPAFGNWDTTGNTPYTQKFENARKNKKAGISSHPNDPRRHPEPPTKSPLHPAYTPDAQGQSPMNPQHGRRQEADPHRRHSLSQQREVGGGTGSAPRSPYRMVHGSASPAQPNNPSKPKHRSSGMQTPERRASSEGHGQHTPRRSRDKQGGRGYDAPEDDVAVPPFGEWDEGNAASGEKFTGIFNRVRDDKLSPNTSTRQPDTNRSQENKVKQTCPCCIL >ORGLA04G0070400.1 pep chromosome:AGI1.1:4:11237566:11239288:-1 gene:ORGLA04G0070400 transcript:ORGLA04G0070400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRLIAAVAPAPPPTPPPRPRRAPPSAARLASGGVAFAAVAAVAAASPPALAALAAEPANALSLPTWAVHVSSVAEWVTAMALVWDYGERTGLKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEVLVALQGALTVIGNITMCIAAFRIFKASQESSKSS >ORGLA04G0070300.1 pep chromosome:AGI1.1:4:11223482:11233082:1 gene:ORGLA04G0070300 transcript:ORGLA04G0070300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAAAAAAAAAGGAAILDTLGDFTSRENWDKFFALRGTGDSFEWYAEWEDLRAPLLSLLHGCGGGAEGGGGGKAPEILVPGCGSSVLSERLYDAGFRRVTNVDFSRVLVADMLRRHARARPEMRWRVMDMTDMQFTDGSFDVILDKGGLDALMEPEAGTKLGMKYLNEAKRVLKSGGKFACLTLAESHVLALLLSEFRFGWDMSIQAIGNESSKSAFQTFMVVMVKGKMGVVHPIQSLLDQSGKFCNMKQANDVIHSLEKENTIRESYSSGVDVTLSLRDLQLGAVGDLKVIIPGRRRMFILGDQGNSLYCYKAVLLDARKRTETFVYHCGVFIVPKVRAHEWLFASEEGQWHVVESAKAARLIMVFLDSRHANADMDVIKNDLSPLVKDLEPGNPEEEARIPFMMAGDGVKQREILQEVTSEITGPMVVEDVVYENSDEDQSSMTEKMFRRLIFKRNSGLVQSEALLVKDSTSDKADENNKKSPSASKKRRNQKKGPSGSKTVLRIDHSYLGSSYHSSIISGLSLIASALDSAAVAGTKVSTTVIGLGAGTLPMFLRGCLPFLDIKVVELDPLVEEVAKKYFGFSTDEQLQVHLGDGIKFIDDIAVANSGATTQQLMSTGNENNAVKILIVDVDSSDVSSGLSCPHANFVEDSFLLAVKKFLDEGGLFIINLVSRSSAVREMVVSRLKAAFEHLYSLHLKEDLNEVLFATPSERCLDNNNMDEAVAKLKAMLKFPVNVESDMKKLQKLQ >ORGLA04G0070200.1 pep chromosome:AGI1.1:4:11214507:11216186:1 gene:ORGLA04G0070200 transcript:ORGLA04G0070200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXDGNISEHNPLHESQALEDDEIELEQQDDDSLNMSGESAKEDKRGRTTLTHIWNLPEGNRIVVKCNKLGQPIGAEGGLLGKFLGTVARNGSYCPFDLKDWRLVKKNGGAETILQLVETKFLYPQSCKQWILKSTGRDWRRFKASLKTAYFQPKKKRSALYKLCPEDIDYDQWVALVKFWKSKKGKALSEKNKSSRAMMKTTHTAGSKSYARWAEDMRQDDPQKKQPHRAMVYLATHKKRAEDRNEHLAELESLMDEQPELAQDDQGRVAWKGDALHQVLGEEKLGQVHGMGLLPVPNHVYGQTSHRLRNINITTVEGTPHEVAIHIIDDVEKLKEHAQKQDQLIQQLLKEKTDRKNKQEKVSLKKKQAKK >ORGLA04G0070100.1 pep chromosome:AGI1.1:4:11200605:11200865:-1 gene:ORGLA04G0070100 transcript:ORGLA04G0070100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDSPSNELLCFLCAAAGVAAGVSAYRRKRRRREEEERRRVEAAVEEMEGWEFEAMRANYLALMDDALAALSAAAAGAEPTAKT >ORGLA04G0070000.1 pep chromosome:AGI1.1:4:11198616:11199447:-1 gene:ORGLA04G0070000 transcript:ORGLA04G0070000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDHGEANQAGSGNQGPPYGYSASNNVGISGGLDELAMIQGGGANLSSSDNTTLSQKESNPSNEYGHACVQVTSDV >ORGLA04G0069900.1 pep chromosome:AGI1.1:4:11188600:11192403:1 gene:ORGLA04G0069900 transcript:ORGLA04G0069900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLGFRRQIGGCVGHLHDCPQVFRLPREVCEGGGDEVSAGAAXEGSPTSPSCVXCNXYGEDKGSERGAXCLALAAQGCRRGGRGCARXGXVLQAXEKSENXRXQGEQLSVQVQKGGCSAIQXYFXGWDFXEIIGCHQKTXXNCCWCGAFCSTRGPAGFLYFEAVCHQEVSNPCETSSFSVDEVVIGRDTERDQIVEWLIEQDNVHDHYVCAVNAFSIVGIGGMGKTTLAXAVYNDQRVKQCFDQAMWICVSNDFDVPALMKKIIQEITREGTNVTNFNTLQEIVRENLKSKKFLLVFDDVWNDERRPDWEKLVAPLKFGQKGSKILLTTRMESVVDIVERVLGGRTKSLRLEGLQEKDLIAIFNKHAFFGVNPDDYLNLQEIGKKITRKLSGCPLAAKVMGGLLNSSLDRVYWNRMLRENISNIEHNSEGVMKILRLSYHHLAPHLQACFRYCGMFQEDYWFXKDELINFWMGSGLIQLSENENQRPEDIGEFYXGILTKKSFFELRLDKSTNLYQGYDLNDVYVPLKFASVARELADLKDLRYLSIHCLENVNAEEATLAKLDEKEYLIMLSLTWKSSQQESYTEEQVLDNLEPHMNLTNLKINGYNGSRSPCWLGNTTIINLTYLYISDCSYWQHLPPLGELPSLKYLYLICLISVKRLDSSFYGCEQPFGFPSLEYIFIECLPALEEWVEMEGEHLFPRLKALAVMDCKELRNIPALPSTLTYLEMDSVGLTTLHEPYVPNENAEPQKSSLSRLKIYRCPYLETLEQLNQFLYLEELCIEHCENLLQLPMDHLXMLPFLKHMTVLGCPKLMVPPATIRLPLPMKKLHVGSCGTYETWLVNSLCGLTSLTTLMLYGCDIAALPPIEVWKSLIALSCLEIVSCHELADLNGMEELTSLTELKVIGCNKLEELPVVSSQQFQASEHNQVVTACTSYLGRLENLRISSPFVLQWFPLRSVTSITNMTINSCRCLPEEWLMQNCNHLQRIGVRDASHLEFLPSIMASLTSLESLQFSRAMLIQSLPELPSSLRRLQILGCNPVLMRRCRKSRGRDWHKIAHIPDLQIVEDEPSSYSLHSYM >ORGLA04G0069800.1 pep chromosome:AGI1.1:4:11183999:11184577:-1 gene:ORGLA04G0069800 transcript:ORGLA04G0069800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLAFFAAAATANGGAAPAASDFIRKLCRATQYPAVCVQSLASYGGVPPPRSPPELVHAAQSVSVDKAQSVSMYVGRICGPGGSRGVAGPVRDCLENIADSLGHLCDAAQELGGNMGRAGSPGFKWHLSNVQTWCSAALTDKNTCLDGLSRSVDAATRSANRGKIVVGVAWRGRGGRRLLAAGRARTRA >ORGLA04G0069700.1 pep chromosome:AGI1.1:4:11134896:11139127:1 gene:ORGLA04G0069700 transcript:ORGLA04G0069700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADQISTGRKRSIHDRIDGDQPAARAGAGGRGARNPPSKRQRQTDEKWKHDLYREDDEPASKSIDPRDLRLKLQKKSSQQSFAGQRGSGVRDLREMLSGTMHPQPVNVDPPKAKPASEIVKVTRRENADVMPVRQSKKVPKPTSSKKTSQPKADSPLDIFLKSLGLEKYSITFQAEEVDMAALRHMTDSDLKALGIPMVHALRLRSLFCFLIINSLVS >ORGLA04G0069600.1 pep chromosome:AGI1.1:4:11131521:11131762:-1 gene:ORGLA04G0069600 transcript:ORGLA04G0069600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINTRPPEATTYKPTRYESGISDLAGHGFEEEDYPVVDYESDLQTNMSTTVR >ORGLA04G0069500.1 pep chromosome:AGI1.1:4:11123714:11124508:-1 gene:ORGLA04G0069500 transcript:ORGLA04G0069500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLKKLCDSMENKDTTEKARLLRGALSKEDSSGTSNEGKKIQANGVDGSKAKSTSSGEKVTQGNTLSNYEKKEEKPWGREEIELLRKAIQKYPKGTSRRWEVVSEFIGTGRSVEEILKATKTVLLQKPDSTKAFDSFLEKRKPAPSIASPLSVRTETVGLPTEKASGDASSKAPAQPASSKTSDEKAPAPAPVSNGTPSGTADPEAWSEAQVLALVQALKAFPKDASQRWERVAAAVPGKTMVQCKKKFAEMQKNFRSKKGAE >ORGLA04G0069400.1 pep chromosome:AGI1.1:4:11113600:11113917:-1 gene:ORGLA04G0069400 transcript:ORGLA04G0069400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYEVAAHMLGECERRVVEAEICLQAKIDALQMEYDFLAKEVPKKEKEEVRLEKIIIEAGARFKAILVGINMLQRRNEEFLVDSQRYMDEVEPNLKSVITVVEVL >ORGLA04G0069300.1 pep chromosome:AGI1.1:4:11102159:11103045:-1 gene:ORGLA04G0069300 transcript:ORGLA04G0069300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSGSGCASHLRWGMRDRAGKLTGVIEXGDGIGAEEQHGKEWRRRCVWVRILPRRRHDFGEVARMKAWRCGRTIGLALGGVIVGDQGGEVGPGDLVRAEEDDEMSTDLAGIWDERGSRRWAXRMLADEGRWWGGCRQPDKSNVHDKEGIGL >ORGLA04G0069200.1 pep chromosome:AGI1.1:4:11095656:11097038:-1 gene:ORGLA04G0069200 transcript:ORGLA04G0069200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGNVETPPRRRVRRRIAAAAADDGGEVDDDGLSVLPDELLLVILGRLDTRTALAAAALSRRLDRLAREIPALEFSVRDVLPPRYHACVRARRGAAAAADARKLDAAIGRCERRAIRGFADCVSAFMEPRVAVAPRRARRLRLEFFAARSTGFVDDLIATAVGAWGVEDLDITVVKPALVEQGPCYAFCFPDHRLSAESLRSRIRSLAVSNCFLPPPSELRHYAALAKLVLQDTHPRTPLAFYRQVLDACPQLRALHLRRCGAPWYAALVVDGMPELRELVVDGCGFHTVDLRAAPALERVACVDGPVALAFGGAPRLARLSLTYALDDRLMLVRNWRLSGLLGDAPAMAELLVRFTGEPRWMTSGPLRSPLPGLRRLVVADMPSNWDVSWPRVILEAAPCLEVLHIHVQEEEDDDAGTTAAAAAEIPWPPVESARHEPSRSWRWWGSPRRGVRSGS >ORGLA04G0069100.1 pep chromosome:AGI1.1:4:11090472:11093695:-1 gene:ORGLA04G0069100 transcript:ORGLA04G0069100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor [Source:UniProtKB/TrEMBL;Acc:I1P674] MNAFRLAGDMTHLMSVLVLLLKIHTIKSCAGVSLKTQELYALVFATRYLDIFTDFISLYNTVMKMIFLGSSFSIVWYIRRHKMVRRSYDKDHDTFRHQFLVLPCFLLALLIHEKFTFREVMWTFSIYLEAVAILPQLVLLQRTRNVDNLTGQYVFFLGAYRALYILNWAYRYFTEPHYVHWITWISGFVQTLLYADFFYYYLNSLKNNVKLTLPD >ORGLA04G0069000.1 pep chromosome:AGI1.1:4:11083776:11086255:-1 gene:ORGLA04G0069000 transcript:ORGLA04G0069000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGGGGGVGVGGIGGGEQILWDWQAAEQCESNAANHDVSRFMWDCLNQDDDDLLGLLGNQTPLRDCRGFFDIDDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTMGTSEVSEISLLCTDEPQSFKWDSQNNSNNFDSLSTGAFYQPSNSHSKNCSDENQMHFRHDQMHSSQESVTYTNDQSGISGTTENDSVTESLLMQETRKLSTLKVSKGTSLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVIGKTRIRTDGGRGSITILRTKG >ORGLA04G0068900.1 pep chromosome:AGI1.1:4:11077498:11081683:-1 gene:ORGLA04G0068900 transcript:ORGLA04G0068900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit H [Source:UniProtKB/TrEMBL;Acc:I1P672] MANPAAAAGPSGGARSFLQAVSTVTEEAPSPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPMREDDEEADADGANYQLEMMRCLREVNVDNNTVGWYQSCLLGSFQTVELIETFMNYQENIRRCVCIVYDPSRSNQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMTELEPESPVSQCDFDRLKLSTAPFMERNLEFLIGCMDDLSSEQNKFQYYYRNVSRQQSQQQAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLEGYLVTNQISSYCNHINGVAGQNFNRLYLMKALQED >ORGLA04G0068800.1 pep chromosome:AGI1.1:4:11069626:11071128:-1 gene:ORGLA04G0068800 transcript:ORGLA04G0068800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNGLLLLSAIAAVTLSSLSLAAAATRRSQEPREAVRAGYYLAADAHLRPLAALDASLYTHLYYYAVAVHPARRTLLLPPDPAAASLLGDFSRAVKAKNAAVKTVLSIGGGGAGGGAAAAAGSGSVSDPAFAAMAADPASRAAFIGAAVKVARENGFDGLDVAWRFPASAVEMAEFGFLVAEWRAAVPRGFLLTATVYFSNHVFDAPFAGVDYPSEAVARSLDWVNVMAFGLRPPGAANATAFDAPLYDRASHYSASYGVVSWLDAGVPASKVVMGIPLYGRSWFLRNKANNGVGAPVVAAGPKQRGSNATGAMSYAEVQWLAATATRGSRAVITAYDNASVASYVSVGDVWVAFDGVAVVAEKLAFAARCGLLGYFLWPVNYDDANLTVSRRASQVWTQTKISPEFKNVTGGARQTQAPVQRPPALQSPAPTTAPMSSSSSFSRLSWRMLDVRLHLGALLLLILVCYQI >ORGLA04G0068700.1 pep chromosome:AGI1.1:4:11055248:11059908:-1 gene:ORGLA04G0068700 transcript:ORGLA04G0068700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSATAAAAAAVASPAAAPRGAAVAAVARRGFVSFGAAAAARSRAVRSGGFSAMLSAGVRTHVAAVEQALVQDATKLEAPVVIVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEEVSKEIEACGGQAITFGGDVSKEADVDSMMKAALDKWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKIMMKKKKGKIINIASVVGLVGNIGQANYSAAKAGVIGLTKTVAREYASRNINVNAIAPGFIASDMTAELGEDLEKKILSTIPLGRYGKPEEVAGLVEFLALNPAANYITGQVLTIDGGMVM >ORGLA04G0068600.1 pep chromosome:AGI1.1:4:11050965:11053324:1 gene:ORGLA04G0068600 transcript:ORGLA04G0068600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFLDGIAGILDAPSTSGAHHRHTKALYLEFFRIYDNADIIDXMIEITVGEWGVEDDVLVLFCHVWQLLPTVIQTKNLSATPRMKAPRRWARLPRRLPALRFSVADVLSPRYFRSVDRLRRARARGAADAEDVEGRLLPYIKRLDRRATRAFLDGLAGVLDAPGARRRRARSLYLEFFRTYDGAGTIDRMIDTAVGEWGVEHLDVVVLRSAPRDPPLPAYAFPDHLLDDGRHRSRLRSLTLGHCALPPLHRYAALERLVLQDTAASTPMSAYDAVFGGRCGAPLRVVHLLCCRGAGDALVIDAPRSGVEELVVDSCSFRAVELRHLPELRRLACLGDGTAPVVELSFGAVPRLAHVNLTFTAPPSPATPHHRVLDSLLGGAPASMSRLAVRFTGPKRWILPRPLGAALLGLRELLVADVPPTWDVSWPRLLLEAAPALESLHTHVSAPAPASSPDEHLRVEGRPIYWQPRGKFRHRRLREVRMVGFTASAPRHTRFLRYLVRVCATLERVVLVRDGRVEEDGLWGWNTVSNGDRPWRLDDWMSVSAQIKHGRTWSKPHVEVILK >ORGLA04G0068500.1 pep chromosome:AGI1.1:4:11044887:11048522:-1 gene:ORGLA04G0068500 transcript:ORGLA04G0068500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASEPTGAGTPEPAAPFSADWKERILLPAAVAGVVGAGFGLLSRHRVRLGAARATATYAANLAIVAGCYGGARELARDARASTPDDPMNSVVGGLASGAVLGRIQGGHFGAVKYAVTFAAAGTALDYAALKLRPQLNGKWHALKEHFSGGKGWFTLPEWSPIQVLDEEALAKKRAREEKLFAQRALGKLSKEEP >ORGLA04G0068400.1 pep chromosome:AGI1.1:4:11042282:11043843:-1 gene:ORGLA04G0068400 transcript:ORGLA04G0068400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKNKAELQAQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKSLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAVKA >ORGLA04G0068300.1 pep chromosome:AGI1.1:4:11033500:11038063:-1 gene:ORGLA04G0068300 transcript:ORGLA04G0068300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQEPAGGGGGGGGGVRVVARICPYAPPPPDAALNFQVAALNDPALISFLPRRPTASAAAAAASGRGDGPKDKQQQQKYRVDGCYLRDDPNHRVFHNEVKPLIDGRGGGGGRSGVKACVVSCGDAAAKRHLFMGSPDQPGLFTMAMAQLLDSSKAIGAAVTVSSYQVLQDTHILDLLEPKNHEVLILEDADGQTHLKGLSRVGVKSIEEFSQLCCCAANQQRHHPAKDSTQLQDWGHQGLIIYVSSIDQQGKEYALAKINFLNLAGYVDPKQKKNEGLAVPTGNKSMHALMNVVQALNSNQKFVPYRQSKVTRILQDSLCKSKTSGSVLIACLAEDCCQDSVSTLGLASRSSQVVNEQYYSLSLSAKKTSKSNMNLPTDAKTLSRTFMHKTMSMQEKNARPEFNNSGVKGGQTPTANRRTQPIISSTKKSGSSICTSIKMENYAKPKISGRKLFCPSNNSLKEENAMDVASTVVTQTKSATVRIQAEEVQPLVGMEIRAALPNEGSSETGNTGDVKSSEMQKVVHCSTQELLPSTIQEEDYALSNMEPEHSCTTDMGLTCSSITDNLVEKTPASNTQSSPKLSDRLREISNSLKLLSTRPVSVRAEKWDIECVRRINTIAPEPKTPEVHLKFEQAEDPKDILTARSTGIKKSLAQECLTFLNSANKEQLKSLKGIGEKRANYILELREESPELFKEISDLRDIIGMNSKEIKKMMSGIIDS >ORGLA04G0068200.1 pep chromosome:AGI1.1:4:11021302:11021793:-1 gene:ORGLA04G0068200 transcript:ORGLA04G0068200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TATMPLLASACPSTRSAPLEDSSRQYRSSCCRPKGIEDWGWGLPDWVLGESMEVEEMGKFWSRVTQNKPNGPRAPKFANFAKPMGGSAINTGSSPWFDVFGKEFRWGRPATMRSGGADKFDGKLTVYEGPTGAGSMSLEVCLTPAALAKLVAEEGFMDAVTTP >ORGLA04G0068100.1 pep chromosome:AGI1.1:4:11016580:11017995:-1 gene:ORGLA04G0068100 transcript:ORGLA04G0068100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHIVSRRMVRPAAEHAGELPEHETVHHLTPLDLRMITGDYVQKGVVLPKPPGGGEHVVEHLVSSFARALARFYPLAGRLAVAETPPSPGGARPTISLSLRCNGEGAEFVHAVAPGVTVADIADSLYVPRVVWSFFPLNGMLGTDAAVEPRRPVLAAQVTELADGMFIAMSLNHGVADGFTFWHLFRTWSEINRRRGADSADLELSTPPPVFDRWFVDGIPAPIPLPFAKLEDMVRRPVYTPVEECFLHFSAESSVVAHIWRAVCRARRLAPELETRHGLSVGLRARVKEVPQEYMGNTVVGAVARATAGELLGGGLGWAAWLLNRAVASAGDVASVRRMLPAWPETPRFVTVASLQNAGVMVISGSPRFDVFGNDFGWGRPVGVRSGAGNKLDGKMTVYEGRGGGGSMAVEICLAPEALARLVADEEFMSAVTAPPPTHH >ORGLA04G0068000.1 pep chromosome:AGI1.1:4:10960555:10963778:1 gene:ORGLA04G0068000 transcript:ORGLA04G0068000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1PKG1] MAAPPSMEEPLLGGGNGEEKKGGSSRLAVVAEVRKQLYLAGPLIAGWLLQNVVQMISVMFVGHLGELELSSASIATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHRLVGVYKQRAMVVLGLASVCVAAVWAYTGELLLLFGQDPEIAAAAGSYIRWMIPALLAYGPLQCHVRFLQTQNAVMPVMLSSGAAAACHLPVCWLLVYGAGLGSKGAALANAVAYLANAAALAAYVRLSPACRSTWTGFSSEAFHDLVGFMRLAVPSALMVCLEWWSFELLVLLSGLLPNPKLEASVLSICLNSGSLAFMIPFGLGSAISTRVSNELGAGRPEAARLASRVVMVLGLGVGVAIGLAMILVRHLWGYAYSNEEEVVQYVAKMMPILAVSFLFDDLQCVLSGVARGCGWQKVGAIVNLGAYYLVGIPAALCFAFVYHLGGMGLWLGIMCALIVQMLLLLAITVCTNWEKEALKAKERVFSSSLPADMTT >ORGLA04G0067900.1 pep chromosome:AGI1.1:4:10948866:10952031:-1 gene:ORGLA04G0067900 transcript:ORGLA04G0067900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1P6E4] MSPSMPQPLPLPSPPSSPRSGATTTTTTSVRARPRPPTAPPDAPWLARSDGGAGSSDVAGSSSGSGSNVDETLAAMRDAAWARFYAVMGKGKGKAGERGSFSFPDLGAPHDVVDAAAVVDHFATVEAERRAGARAQFLDATMEATASARLGRVKRELLVDRRVLDHAGLERWLRRGEAVAELAWFAELCAGEGGEPVPPLELFESAFRALQAARSDELHRGAGFRKRWVGPAAVPEFFLCPISNKVMVNPVVISSGKTVEVLALEKWWSENRRLCPVTDEILDNSIFIPNILIMLCTALWRTRNGITDVTTIAEPPKISSEEEALFREINLLALSPSLSDKTFDAILRLHELISNAQSSLLHLLGQSPGMIAKLACLLPETCLDPDPGLDDIILKIIAKTASYNPNKVILGDDQYAIPVLIARALLGPVDTRVKCAQILGLLADNYYNKIKIGELGGFAALMELLLLVGDREVKRTVAMAIASLCEAQENWSRFVREGVADAAISLLRDDNLVDEARSIFLKATGFELAMTQVLDKLMSFGDDANCLKMVESIWNTFIRTKLRRRRPNFTHASSSTRASDVFSDTSSDGSVELPMHVELTDKAEDDVRTIVSWLQRRTCYPRTYKYED >ORGLA04G0067800.1 pep chromosome:AGI1.1:4:10945599:10947834:1 gene:ORGLA04G0067800 transcript:ORGLA04G0067800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTVRDVLAFHRVDRAAYDQLLSLGVPPPPARNAVALLMWLGRRGGGASVDAVDRARRLVRTRHDAARLASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRSSRPSWAAEASTSAASSRSSRTTRRAAASQRCSTARGARVRRQAVRAAAPPRGGRRRRRRRGPPGGSGGAVPPADCAGAGDGRRRRLPVAVYHVLQGVAINARRDRRVLHXEVGRLFGEGDDGADAGRPAADVREDRVPPRGGGGGGARRGTPGEAGHQRPAAAGAQVLPQEKLQPWLELIKLNTHTHHLGTYIYTYLIYI >ORGLA04G0067700.1 pep chromosome:AGI1.1:4:10939232:10942036:1 gene:ORGLA04G0067700 transcript:ORGLA04G0067700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:I1P6D2] MVMSIDNVRGFALATSSSAFIGSSFVIKKIGLKKAGDAGVRAGSGGYSYLYEPLWWIGMTAMILGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKERLHMFGIVGCILCVVGSVGIVLHAPKEKKIDSVNEIWHLATQPGFIVYSCMAVVVALILIFWVVHRTEQRKMLAYIAICSLMGSLTVISVKAVAIALKLSFNGVNQFIYVPTWFFIVVVVICCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTIIANMIMYKDWASQNATQIATELCGFVTIVAGTFLLHKTRDMGNEQSESSSLRGECELQNH >ORGLA04G0067600.1 pep chromosome:AGI1.1:4:10914093:10918455:-1 gene:ORGLA04G0067600 transcript:ORGLA04G0067600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPPSAAAPGSPPSAQVVGNAFVHQYYNILHQSPDLVHRFYQDGSRIGRPASPAAAEMDTVTTMEAINAKIVSMDIVRAEIKAVDAQESLGGGVTVLVTGHLTRSDDVRREFSQSFFLAPQEKGYFVLNDILRYVGGEGDQEVKPEPELEMSFPPSQQPDSVPAPSANGTTVPREQEAFSQPEQHVADPAPNAQEADLNGEEVYNPPNNTEGPVVEETPIPEVIDEVPNNVAVAMPTLSAPAPAPAPVPQEEAPKKSYASIVKVMKEIPPQISAIPSRPAPPKQEKQVAPAPVAPVADAPTFSPNPESSNIQEAEVDAHAIYVRNLPLSATPEQLEEAFKKFGAIKPDGIQVRSHKIQGFCYGFVEFEDPSSVQSAIAGSPVTISDRQCYVEEKRTAGSRGGGRGRFAPGRGGNFRGEGMRGRGNYTGGRGYGRGEFNYRSDYGGRGAGRGGSSRGGDVGYQRVDHSAGRAARAPSGTSAVAK >ORGLA04G0067500.1 pep chromosome:AGI1.1:4:10910170:10913399:1 gene:ORGLA04G0067500 transcript:ORGLA04G0067500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAATPPAMMRAVQYDACGGGAAGLKHVEVPVPSAKKNEVLLKLEAATINPVDWKIQKGMLRPLLPRRLPFIPVTDVAGVVAGVGPGVNDFAVGDQVVAMLNSMNGGGLAEYAVAAANLTVKRTPNVSAAEGAGLPIAAGTALQALRSIGAKFDGTGEPLNVLVTAASGGVGLYAVQLAKLANLHVTATCGARNAELVRGLGADEVLDYRTPEGAAMRSPSGRRYDGVVHCTVGVGWPAFEPLMAPRGKVVDITPNFSAMLTSALHAVTLRRKRLVPLLLSPNKADLEFLVGLVEEGKLRTVVDSRFPLGDAAKAWQKSIDGHATGKIVVEMEG >ORGLA04G0067400.1 pep chromosome:AGI1.1:4:10895287:10896664:1 gene:ORGLA04G0067400 transcript:ORGLA04G0067400.1 gene_biotype:protein_coding transcript_biotype:protein_coding XTIYHMQFITNWKQWRMYICWAFHNLGYKKTGFTIFCSSGPRSQHEXSSWNSGQTRMDIELELEREALGTLAGQRNRQPCRLLAHGIFSFIDVLDDAECERLAR >ORGLA04G0067300.1 pep chromosome:AGI1.1:4:10883051:10885440:1 gene:ORGLA04G0067300 transcript:ORGLA04G0067300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDTVAELAQLSGANLVRHLQTTNRMADYEVAAHVLGERERRAAETEACLQAKIDALQMECNLLAKECAYSEGGKKTNIDSNKNEQPPSMGLISTGGSPIAPALVATSCASVPDMSPHLVEESNKGNIEMQNQLSHLHLNNLMNQLSFDVWMEESGGPFMSEQVPFSLVLPSSSKSASLLSKIETSLWESEENMVIRFMENVELCMGAICALYRQKKLMVESTCEERTKFTSLNESQAYRATQLAEFLLDGDINGPMKKNKEDLVNRMLQGPNSSRNMQYSALSSCLTFTGIKRIYTFV >ORGLA04G0067200.1 pep chromosome:AGI1.1:4:10872333:10873664:1 gene:ORGLA04G0067200 transcript:ORGLA04G0067200.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLEKIKNSVLSGLVTCVFVGLFGFLGWEVIRHRQNTKKQALLRQTDEFFQQHGGQLLLEMMKVEGNVGFTLYERGQIETATNNFDKAHIVGEGGQGTVYRAEIDGTIVAIKRCKEIDESRKMDFVQELVILCRVNHPNIVKLLGCCLQFEAPMLVYEFVQNKTLHELLDFQRNRSCHVTLGTRLRIAAESADALAHLHSLPHPILYGDVKPANILLTEELVAKVSDFGCSTIDEKTQVAPKGTPGYLDPDYLLEYQLTAKNDVYSFGVILVELLTGKRPLSKERKTLTSMFKEAMTDGTLIKLLDSDIVNEDNLRVIHQAAVLASESVLDYSRYGKARDEVCGRAASATCICR >ORGLA04G0067100.1 pep chromosome:AGI1.1:4:10869601:10870083:-1 gene:ORGLA04G0067100 transcript:ORGLA04G0067100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDFSGISFIGRQGNIPLEYSARVTAKRGGPPVSGQWRKEGAGPACQWLERGKGGGAGLAAAQEVFFLLLSLACTARPWDGDAPRRWGAARRPEAEGGGGGLRWGSGGDGGAGRRGSASSSCSRFARLEAARCRGSGARLRGRRPGEAVATSGGAPARHRR >ORGLA04G0067000.1 pep chromosome:AGI1.1:4:10865855:10866490:1 gene:ORGLA04G0067000 transcript:ORGLA04G0067000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CYDSVTKGMTNESSDTVYLPEAHRLSDTENKFIVGCYTVAYIAAGDREDMRYASACSAFCGPKGNNLTSLMDGACSGTGCCEATITEGHTSYNTMFNPDYNTTQIYNVSSCSYAVLMESSRFSFRRSYVMNSSQFIDTNGGRVPMVVDWAVQNASNCVEAQKDHDSYACISSNSVCVNSSSGPGYICNCTHGYQENPYLLHGCQGEYVKFL >ORGLA04G0066900.1 pep chromosome:AGI1.1:4:10802586:10828805:-1 gene:ORGLA04G0066900 transcript:ORGLA04G0066900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHMLASLLWLCCGGIAAYCSAASAAICVRSCGGVEIPYPFGLDPACALPGFKLTCNTTGDGKLYYKGVELLNISLTEGQVRMRMDIATYCYNSTSGGMNSMSWLLNLKGTPFRLSDFGNKFTAIGCRTLAYLIVDGELTTGCTATCKANDLMKLTDSVCIGIGCCQMAIPGALQSYGVTFDSSFNTTEIYNISRCSYAALVEASSFNFSRNYSVSSAFNDHYHGQAPLLVDWAIGNDTCNVVGYICNCSKGFYGNPYLKPEDPDSCQDIDECKEPNKYPCHGKCMNKVGGICATILLALMSLLGIEWIKYKQRMKRQDIMKKRGEYFHLHGGQLLTDMMNIENNISFKLYDRDEIELATKGFDKTSIIGEGGQGIVFKGYNLDQVSNPVAIKKCKGFDENSRTEFTQELLILSQVNHENIVKLLGCCLQFEVPFLVYEFVPNKTLHYLFHSQNDPSIRPLEIRLKVAAESAEAFSYLHSLDHPILHGDVKSTNILLSNNFIAKISDFGCSKIRAADGHNDVVKGTIGYLDPEYLLKFELTDKSDVYSFGVVLLELLTRRTPLSNQKVSLASVFQEAMKEGLFLELIDTEILHEDNMRLISDLARLACQCLAMTSESRPTMSRIAEELRRIEKQVRQHRGVLTSISSLSLSASSSADTSEHFTGETNGYNSLRRVAAMSIEFAR >ORGLA04G0066800.1 pep chromosome:AGI1.1:4:10800065:10800967:1 gene:ORGLA04G0066800 transcript:ORGLA04G0066800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKGPLICLGSGLAIEALPALFSQFPNLHKVEINYSGWAPGNGSQIDNQRLRVLSFSLPLLNDLTLSFCSEINDSGLACLTNCKMLMSLKLNSTPEITSRGLLSLAVGCKTLSSLHLNNCKGIASSTEWLEHLGTNGSLEELVVKNCKGIGQYHFLMFGPGWMKLQKFEFENEQSFWSIFRRDRDPSYKAHTYRYDLLCEGLKDLRLVRIVTEPKGPEIGLRFLLGKCRSLEKLSLEYVSGLIDNDMIALSQTCKNLKSISLWLKPEHYNVGDDIIFRTGFTDESLKALALNCPFLQKC >ORGLA04G0066700.1 pep chromosome:AGI1.1:4:10780852:10786607:1 gene:ORGLA04G0066700 transcript:ORGLA04G0066700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTVPAAEGLAYKPTPETRVHGAQLRADCAKVQVDSVKPEYELFPLKYPPNDVTEVLSLGYARGTFIQWPKDLIEIRGGKVQASLLAPRKLDLGKGQEESKGKEVKKKYVAPQEFQLGMPFVGDDVLAAMGTACKDLHLYYMEKSNARKPSKATDILGEHDGKPFLGPTNYIVVDFKDLFDLYRLRAVDTSLLKCYSFAHWILLVITPKWSTCHYLNSRIDKNAYDWTPIQLAIDEAWAQYVQRGGLRKTGHDTLIHKKDFPVKQQIGDQCGFHVCHNMRLLYREKVKTLAEFEGTITKSLPSNFEEAMMNTYYATVMM >ORGLA04G0066600.1 pep chromosome:AGI1.1:4:10743178:10746550:-1 gene:ORGLA04G0066600 transcript:ORGLA04G0066600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQREELMRQREEYFHQHGGQLLRNMMSRDNNIPFMLYDRDQIESATNGFDNMLVIGQGGQGTVYRGCINPDNPVAIKKCKGFDEDSWAEFTDELLILSRVNHENIVKLLGCCLQFDVPILVYEFVQNKTLYNLIHIQNDPSIRTLEIRLKVAAESAEALAYLHSSVDHPIILHGDVKSTNILLNKNFIAKVSDFGCSKIRTADENYDVVKGTMGYLDPEYLRNFQLTDKSDVYSFGVVLLELLTRRMPLSVDKVSLALIFQEAMREGHFLELIDVEILHEDNLGLISDLATLASRCLIMTSESRPTMSMVADELRRRMVGQVQQDQGVLTEMAAGWKIFMCVGFPSQHPTGDTDEEESKLGRAGGDGGSNKDDNGDGGEDGEEEKGEAVLGVAEVLGAAELRAELMWEKKAWEMAM >ORGLA04G0066500.1 pep chromosome:AGI1.1:4:10731340:10732624:1 gene:ORGLA04G0066500 transcript:ORGLA04G0066500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TYFKLSLDSYLICLLWNKYWVNTLSSSPLLGNLGYVAGQIFDLADKLEQAEGQLVHRRYGMLIPSQRKKEQEESPLPKVTRDSSKITAEQVHGLMSQVIKDILFSSVHPSNKTSTSAPDLSGPELMVEA >ORGLA04G0066400.1 pep chromosome:AGI1.1:4:10682524:10683929:-1 gene:ORGLA04G0066400 transcript:ORGLA04G0066400.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSGPCDRGLAIGICASLLVALTSLLGIEWIKYKQRIKRQDIMRKRGEYFHLHGGQLLTDMMSIENNISFKLYDRDEIELATKGFDKTSIIGEGGQGTVFKGYNLDQVNNLVAIKKCKGFDENSRTEFTQELLILSRVNHENIVKLIGCCLQFEVPVLVYEFVPNKTLHYLIHSQNDPSIRTLEIRLKVAAESAEAFSYLHSLDHPILHGDVKSMNILLSNNFIAKISDFGCSKIRAADGHDDVVKGTIGYLDPEYLLKFELTDKSDVYSFGVVLLELLTRRTPLSKQKVSLASVFQEAMKEGQFLELIDTEILHEDNMRLINDLARLACQCLAMTSESRPTMSMIAEELRRIEKQVQHHRGVLTSISSLSLSASSATDVSEHFTGDTNDYDSHRRVAAMSIEFAR >ORGLA04G0066300.1 pep chromosome:AGI1.1:4:10675446:10677491:1 gene:ORGLA04G0066300 transcript:ORGLA04G0066300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WESDRQPMSPFFSSCCTLLNDLTLSFCSNISDPGIACLTYCKKLMSLRLNSIPELTSSGLLLLAVGCKALSSVYLNDCKGIAGSTEWLEHLGANGSLEELAVKNCKGIGQYHFLKFGSGWMKLQKFEYENEQGFWSFFHRDRDPSYKADTYRYDLLCESLKDLRLAHLVTEPEGPEIGLRFLLGKCKALERLCLEYVSGLIDNDLIALSQTCKNLKSISLWLNPEHYNVGDDIVFRTGFTDGSLK >ORGLA04G0066200.1 pep chromosome:AGI1.1:4:10667156:10668595:1 gene:ORGLA04G0066200 transcript:ORGLA04G0066200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSEELIIEILKRITRTSDLNSVSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLKVEIDYSGSTPGNGNHIDNQGLFVLTSCCTLLNDITLSFCSKINDAGIACLTYCKKLMSLKLNSIPEVTSSGLLMVAFGCKALSSLYLNDCKGIAGSTEWLEYLGSDGSLEELIVSNCKGVSQYDFLKFGPGWMKLKTFEYENEENFFSIHPRYGSSVKANTHRYEPCCENLKDLKLVRLVTEPDGPEIGLRFLLGKCKALEKLCLEYVTGVIDNDMIVLSQACKNLKSISLWLKPEHYVVGGHIEFRTGFTDGSLKALALNCPLLQDVELTFTGCAHWDPPEIGFTQEGLVSFVQSCPIRVLVLNGALFFNNKGMKALSSAQFLETLSLIDCNEVTDHGMRFIVHFPSLINLTLRFCHNVTDVGLSELAHAQKLQSLDVGGCDYISQKGVLGSAKSVCYEVNCKSLGHYKRMC >ORGLA04G0066100.1 pep chromosome:AGI1.1:4:10662977:10664422:1 gene:ORGLA04G0066100 transcript:ORGLA04G0066100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSEELIIEILKRITRTSDLNSLSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLKVEIGNSGSTSGNGNHIDNQGLFVLSSSYNSLNDLTLSFCSRINDAGIASLTYCKKLMSLKLNSIPDVTSSGLLLVAFGCKALSSLYLNDCKGIAASTEWLEYLGSDGSLEELVVNNCPGISQYDFLKFGRGWMKLKKFEFVNKETMVNHFITRHDPSYSANCVYKYDLCCENLEDLRLARLRTEPEGPEIGLRFLLRKCKALAKLCLEYVGGLIDKDMIVLSQSCKNLKSISLWMMPRRFHEHEVFRMGFTDESLEMLAHNCALLQDIELIFAGVEDLEYPEIGFTQEGLVKLMHSCPIRSLTLNGTLFFNDNGMKGLSSAPFLETLCLVDCKITDYGMCFLVHYPCLADLKLQYCSGLTDVGIAELVHAQKLQSLVVEGCSNISENAVQGAARSVQYFLNSAGSGATHLKRLVD >ORGLA04G0066000.1 pep chromosome:AGI1.1:4:10659221:10660087:1 gene:ORGLA04G0066000 transcript:ORGLA04G0066000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKFEFENKESLWKHFGPSHDSSYNANCVYKYDLCCQNLEDLRLARLITEPEGPEIGLRFLLRKCKALEKLCKLCLEYVNGVIDKDMIVLSQSCKNLKSISFXMIPRHYHEPDGIVFRTGFTNESLEALAHNCPLLQDVELAFTGVEHSKPPYIGFTQEGLVKLMHSCPIRALTLNGALFFNDEGMKGLSSAPFLETLSLVDCNEITDSGMCLLVQYPCLTDLKLQHCPGLTDVGIAELVHAQKLQSLVVDGCCNISENAVQGAARSVQYFVNSAGSCAAHFKRLH >ORGLA04G0065900.1 pep chromosome:AGI1.1:4:10637387:10641145:-1 gene:ORGLA04G0065900 transcript:ORGLA04G0065900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHRGAAVAAICAAFYQNIWIWMLLLGAALAADPLAGAATSSQCQNATKCGGVDIVYPFGVSSSGCALSPDFEVDCNHTGNGVHKPFIFKNVELLSIDVQLGQARVRNHISYACYNISSDEMNFYRWWLNFSGTPYRFSDSANKFTVIGCRTLAYIADEDYVGRYMSGCVSVCRRGELIGVTDGVCSGKGCCQTAIPKGLDYYQVLFEESMNTSGIYNRTPCSYAVLMEASNFSFSTTYLTSPLEFNNTYGGQAPVVLDWTIQTANTCKEAEVNLESYACKSDKVKCIDSFDRTGYICSCQDGYQGNPYLQGPNGCQDINECQHGESYPCYGDCYNKPGSFDCLCHAGSSGNATIQGGCRKDLLSPKTRLAIGVVASVLAVLFGFLGWEVIRHKQKIKRQALLRQTDEFFQQHGGQILLEMMKADGNDGFTLYKRGEIETATNNFSKAHVIGEGGQGTVYKAVIDGVAVAIKKCKEIDESRKMEFVQELVILCRVSHPNIVKLLGCCLQFEAPMLVYEFVQNKTLQELLDLQRSRRFHVTLGTRLRIAAESADALSHLHSLPHPILHGDVKTANILLANGLVAKVSDFGCSTIDERTQAVPKGTPGYIDPDYLVEYQLTTRNDVYSFGVILLELLTGRRPLSKERKSLTLMFQEARSNGTIIELLDSDIVDEMSMRVIKRAADLVSQCLVVPGTTRPSMTLVAAELRRLAEADEVKRSPQPPLVLEDLRFMDMGSTTNTLYGESRTSGAYSLEKKAVLSIEFAR >ORGLA04G0065800.1 pep chromosome:AGI1.1:4:10619800:10620105:-1 gene:ORGLA04G0065800 transcript:ORGLA04G0065800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVHPSTMTSHRGLTTTTLCLAIAIAAELLAERAEGQCQNTKCGDVEIPYPFSTSLDKCVASAFEFDCNDTGKGVYKPFYGNVEVLSVSLQLGQVRVMNHISS >ORGLA04G0065700.1 pep chromosome:AGI1.1:4:10610291:10611325:-1 gene:ORGLA04G0065700 transcript:ORGLA04G0065700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEGNAGFTLYDRQEIETATNNFNKAHIVGEGGQGTVYRAMLGGTAVAIKMCKEIDESRKMEFVQELVILCRVNHPNIVKLLGCCLQFEAPMLVYEFVQNKTLQELLDLQRSRRFHVTLGTRLRIAAESAEALAHLHSLPHPILHGDVKPANILLAEGLVAKVSDFGCSTIDESTQTVPKGTPGYIDPDYILEYQLIAKNDVYSFGVILLELLTGKKPLSKERKSLTSMFQEAMVNGTFRELLDSDIVDEASMRVIHRVAVLASRCLVVPGTTRPAMALVAEELRRLALADEVQRYPQPPLVLEGLSFVDTGSTISIWYDESKTSGVYSLENKAVLSTEFAR >ORGLA04G0065600.1 pep chromosome:AGI1.1:4:10604367:10607005:-1 gene:ORGLA04G0065600 transcript:ORGLA04G0065600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHRGLTTTTLCLAVAIAAELLAGRAEGQCRNTKCGDVEIPYPFSTSLDKCAASAFEFDCNDTGNGVYKPFYGNVEVLSVXLGQVRVMNHISSSCYNFSSKEMDSDTWQLNMTGTPFMLSDSNKFTVVGCRTQAYIADHDYVGKYMSGCVSVCRRGDVWKATNGTCSGIGCCQTAIPKGLDYYQAFFDDSSMNTSGIYNRTPCSYAVLMDSSNFTFSTTYLTTSEFNNTYDGRAPMVLDWAIRSANSCEEARKKMDSYACKNTNSECFNSTNGPGYICNCSKGYEGNPYLGGPNGCQDIDECQDSKTHHCYGECRNKPGDFDCNCPAGSKGNANIPDGCRKDFSLPLKARLAIGAVICVLVGLFSFLGWEVIRHKRSIKKQALLRQTHEFFLXHGGQLLLEMMKVEGNVGFTLYERGEIETATSNFNKELIIGEGGQGTVYRAALNGVNVAIKKCKEIDESRKMEFVQELVILCRVRHPNIVKLLGCCLQFEAPMLLYEFVQNKTLQELLDLHRSKRFHVTLGTRMRIAAEFAEALAHLHSLPHPIIHGDVKPSNILLAEGLIAKVSDFGCSTIDENTQAVPKGTPGYIDPDYLLEYQLTSKNDVYSFGVILLELLTSKKPLSKDRKSLTLMFQEAMAEGTLFELLDSDMVDEASMRVMHQAAILASQCLVVPGMTRLTMVLVAAELRRLALANEVQQCPQPPLVLEDLSFVEMGSTTSEVYSLEKKAVLSIEFAR >ORGLA04G0065500.1 pep chromosome:AGI1.1:4:10587326:10588705:1 gene:ORGLA04G0065500 transcript:ORGLA04G0065500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:I1P6G2] MDRRDDSCCSGGGGGGGAPLIRQLVGDVVMWRRGDVSACLLAATVSGWLLFGSGGYTFLSLASNVLLLLLTVLFLWAKAARLLNRPEPPIPEMRVSQQVVNEVAALLHSGMNTVFSVFHDIALGKDSVLFYQVFLSLWIISIIGSLTDFTTLCYTSIVAVLTIPALYQKYEECIDRYMRFAYLNLQMYEMVYERFSAKCFHRARDLVIEVLKEP >ORGLA04G0065400.1 pep chromosome:AGI1.1:4:10578712:10580167:-1 gene:ORGLA04G0065400 transcript:ORGLA04G0065400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYPEAVRSMEKCFIGITVEHLPRGQNEEADTLAKSAACGGPNSLGIFFEILHAPSVPMGSLEVMAIDQVKLGEDPYDWRTPFLKHLETGWLPEDEAEAKRLQLRATKPTKFSPFMLLYGDEAMNLAELGANSSRVMFSGGEEGREVSLELLEGVRVEALEHMHKYATSTSATYNKKVRPMELMPGHLVLRKKANPIAVGKLESKWEGPYLIKHKSRTGSFRLATLEGDEFDHSWNAASLKRFYV >ORGLA04G0065300.1 pep chromosome:AGI1.1:4:10513879:10514367:-1 gene:ORGLA04G0065300 transcript:ORGLA04G0065300.1 gene_biotype:protein_coding transcript_biotype:protein_coding YNPFQQKADCSRLCGNISVPYPFGLEEGCFARKLFHLNCTDANSSTLRLDNYNQVTAIHVEEGVVQLKHAGSGKDDREFIAIDGEPHLYDGPWEYSISVGWAVANLTCPEAKQNASGYACISTNSSCVPMNSTSGYVGYRCNCTAGFHGNPYIQNGCIGKEH >ORGLA04G0065200.1 pep chromosome:AGI1.1:4:10511009:10511842:-1 gene:ORGLA04G0065200 transcript:ORGLA04G0065200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESRRMEFGQELLILCRVNHDHVVKLLGCCLLFEVPILVYEFVPNKTLHDLLHGKEGGCYITLATRLRIAVESSQALGHLHSLARPILHGDVKSANILLGENLIAKVADFGCSIIARMDEEALVAKGTVGYLDPEYLQSCKLTDKSDVYSFGVVLVELLTGKKPRCLVSIFQDAMKEGMVDELIDEEIINEDDLEVIHQVAELTSRCLAMPGDKRPAMSQVAQELRRLTELVRQRPDAAGDLIAFREVARSFTGTIDSPGYTGSRITEYFTLGITS >ORGLA04G0065100.1 pep chromosome:AGI1.1:4:10500936:10501100:1 gene:ORGLA04G0065100 transcript:ORGLA04G0065100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMDDLSGQKRICVIRDVYGHHRLGFPSGSGLLCCWAAGLWESEKMKKALGAA >ORGLA04G0065000.1 pep chromosome:AGI1.1:4:10493057:10494776:-1 gene:ORGLA04G0065000 transcript:ORGLA04G0065000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIGRHVIKPKTQGMVYANIGFLSWSFRFPAALFQSEKCAKLKQSRENVKFHQCTGSRSYIAAAYIAKQEKYKDTEPTAIDLFKLTHCRKTKGFSDDVMKAAMQELQAQLEAEKEESTGLCQKVLRLEAQAEESKAKAQKQAEEIENLKKAITDTQKAAADTQNLIRQMIAFGQTQRQDS >ORGLA04G0064900.1 pep chromosome:AGI1.1:4:10431007:10432241:-1 gene:ORGLA04G0064900 transcript:ORGLA04G0064900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHGPSCGICSSIVTVLIALLGMQVIIHRRSIKRQHLIRQRDGYFQQHGGQLLSDMMKIDRNLEFTLYRQEDIEVATNDFDKNQIIGEGGQGTVYKGFIENIPVAIKRCKGMDESRRMEFGQELLVLSRVNHDHVVKLLGCCLLFEVPILVYEFVPNKTLHDLLHGQDGRCYISLATRLRIAAESSQALGHLHSLARPILHGDVKSANILLGDNLIAKVADFGCSIIARMDEEALVAKGTVGYLDPEYLQSCKLTDKSDVYSFGVVLVELLTGKKPRCLVSIFQDAMKEGTVDELIDEEIINEDDLEVIHQVAELTRRCLAMPGDKRPAMSQVAQELRRLTGLVRQHPDAADDLIAFREVDRSFTGTIDSTGYTRSRTTGTIDSTGYTRS >ORGLA04G0064800.1 pep chromosome:AGI1.1:4:10410412:10414571:-1 gene:ORGLA04G0064800 transcript:ORGLA04G0064800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCLAAVAASCQRKCGQIDIPYPFGIGGQPGCAMTGFELSCNDTGNGVPTLLLRNMEVLDISLPLGQAQMKMDMSYDCHNTTRNDIDCVDMVDLNLKGSPFTFSDTANKFIVFGCRMLAYLGPGEQNDVGSNLTIGCAATCGIGDDLVSINSAGCSGIGCCQTNIPKGIQYYKVWFDGRFNTTDIYNWTRCAYAALVETSSFNFSTVYNSLSRFNDNLGSQPPFVVDWAIGNSTYEQAKINPDSYMCISSNSVCLNSRNGPGYICNCQNGFEGNPYLNDSFGCQGKTKGVYMRCKLINHRHIVKLFGCCLETEVPLLVYDFVPNGSLNQIIHGATSNRESSLSWDDCLRIATEAAGALYYLHSAASVSVLHRDVKSSNILLDANYTAKVADFGASRLIPNDQTHVFTNIQGTFEYLDPEYYHTGHLNEKSDVYSFGVVLLELLLRRQPIFECESGTKKNLSIYFLYEIKGRPITEIVAPEVLEEATEDEINTVTSIAQACLRLRGEERPTMKQVEMSLQSVRNKCFSSASTSPESNHGMQPPLSETYVNQHQSLGVHTIGIINLASSNCNSLQQEFMLSASFASFYFLLA >ORGLA04G0064700.1 pep chromosome:AGI1.1:4:10390357:10400078:-1 gene:ORGLA04G0064700 transcript:ORGLA04G0064700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VXVLIWRRRKMIGWVDIGKILSAIAPLYFALVLGYCSSKRWWRIFTAEDSEAINRMVAWFALPFFTFEFTLHLDPYNVRYSLIAADSISKLIIVIAIGIGVGLRFRKEGLCTIVIDXCISGFSLASLTNSLVVGVPMARAMYGNWAGQVVVQLSIFQAIVWLTSLMVVLEVRKAFVSDAHDDFNRHEEGSYIDDDTVVGGSGTNEDMQSLEEGVSDATNQDLRGEEVVTVAGVNGARLPLFKSVARKLACNPNLHASVIGISWACISNRSHLTLPPALEGSVQIMSRLGLGLAMFSMGCNTSVYFILHICQGIWIACRRPEYSKTGGARVPVRLICWHGTVWRGVTKGHARERDLMIGWVDIGKILSAITPFYFALALGYCSSRRWWQIFTAEDSKAINRMVVWFAFPFFTFEFTLHLDPYNVRYSLLAADSIAKLIIIAAISIGVMLKFWEEGLCAAVADWCISGFTLASLTNSLVVGVPMARAMYGNXAGQIVVQLSIFQAIVWLTSLVVVLEVRKAFVSNAHDESNSHEEGSFIDDDTVVSSSGTSKDMQSLEEGVSDATNQDVRGEEGVSDATNQDLRGEEAVSVAVVNGARVPLFKSVAR >ORGLA04G0064600.1 pep chromosome:AGI1.1:4:10385216:10385515:1 gene:ORGLA04G0064600 transcript:ORGLA04G0064600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGSFALLGSVVCRDAGVTAGLRAAAATILGKANSSKWSNFRPVPNGWSQDAGNPYHHPKLSFFSPASRLVCNVFVKLSIKSPMLSTDVIRSSRAALA >ORGLA04G0064500.1 pep chromosome:AGI1.1:4:10384853:10385167:-1 gene:ORGLA04G0064500 transcript:ORGLA04G0064500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAVAVARGALAVSARAGCWSASELTSMTAWRSALRRVILPWGRATRAEALPHRRRRLGCKGLCRRPRRAPPSAVEKLRRLERLGRYVQGRSQGDEMGFVLC >ORGLA04G0064400.1 pep chromosome:AGI1.1:4:10370200:10370502:-1 gene:ORGLA04G0064400 transcript:ORGLA04G0064400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQNNMCYIFKFWERNLKLLFCMSSIFFHDLLSKTDILLSNDVLRQETNTAALSVENEAKQLYITICDCSQDCKDGPTGEELTEHIIWCKVIMAAAFSG >ORGLA04G0064300.1 pep chromosome:AGI1.1:4:10314438:10314602:1 gene:ORGLA04G0064300 transcript:ORGLA04G0064300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMDDLSGQKRICVIRDVCGHHRLGFPSGSGLLCCWAAGLWESEKMKKALGAD >ORGLA04G0064200.1 pep chromosome:AGI1.1:4:10206464:10209588:-1 gene:ORGLA04G0064200 transcript:ORGLA04G0064200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSYECYNKTKHDMDCVDKANLSLTGSPFTFSNSANKFTVFGCRMLGYLGPGGQSAVGSNLTIGCATSCGQGDDLVSINGEGCSGIGCCQTAIPKGIKHYKVWFDTHFNTSVIHNWSRCSYGALVEEASFKFSTIYATSSNFSNPFGGEPPFVVDWVVANNTCAKARKHLDSYACASSNSVCIDSSNGPGYFCKCSQGFEGNPYLQGHDGCQDINECEDSNKYPCYGKCINKLGGFDCFCPAGTRGDASVGPCRKEFPLAFGIAIGLGVGFGILLLVLTVAFLVRKRKNDIQKQLRKKYFRKNQGLLLEQLISSDECATDSTKIFTLEELKEATNNFDPARVLGSGGHGMVYKGILSDQRVVAIKKPNIIREEEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFVPNGSLNRIIHADPSMREFTLSWDQCLRIAIEAAGALYYLHSAASVSVLHRDVKSSNILLDANYTAKVSDFGASRLIPNDQTHVFTNIQGTFGYLDPEYYHTGHLNEKSDVYSFGVVLLELLLRKQPIFDSESGSKKNLSIYFLSELKGRPVAEIAALEVLEEATEDEINIVASIARACLRLRGEERPTMKQVEMSLQSIRNKGFRSGTVSPEDSDELQTPQSEGHVDYHQAMGIGINSMANLASPGCYSLQEEFLLSGSLPR >ORGLA04G0064100.1 pep chromosome:AGI1.1:4:10128833:10129033:1 gene:ORGLA04G0064100 transcript:ORGLA04G0064100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTLAAGASWHLAANKCTPTATVELAVKPNRNPKCKGCCLRNHTLIPTQCTIDRHRRRPPGPRR >ORGLA04G0064000.1 pep chromosome:AGI1.1:4:10127383:10127952:1 gene:ORGLA04G0064000 transcript:ORGLA04G0064000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTNCHLELAIAGLVLVSLPGLSRGDGECNPSGAIRSSTTHRCQDCCKAGQSYPTYPCSPPTTGSSTDAVMTLNDFAEGGDPSECDEMYHKNTELVVALSTGWYAGGSRCGKSVRINANGRSVVAKVVDECDAKVVDECDSQRGCDAEHAYQPPCRPNIVDASQAVWDALGITGEDVGEYDITWSDA >ORGLA04G0063900.1 pep chromosome:AGI1.1:4:10087882:10088235:-1 gene:ORGLA04G0063900 transcript:ORGLA04G0063900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDQRTVGAENLPMPAQWHRISVHNEQLGAYAVQKLVKNSAVYVEGDIETRVYNDIINDQVKNIPEICVRRDGMYANLKFK >ORGLA04G0063800.1 pep chromosome:AGI1.1:4:10082809:10083249:-1 gene:ORGLA04G0063800 transcript:ORGLA04G0063800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRGQIRPPGNRSSLPDGGGACGNGCRRRHRAARLVGEERDGGAPRQGRPWIHPKWRDPAGSGWGAVGPVATCAEWRPASAHVEGRAAAAGGCPARRGAERGGRRQRVARRHGEAQPARVTAGGRRCTARRGTTGGRCALEVRRGPYG >ORGLA04G0063700.1 pep chromosome:AGI1.1:4:10073864:10074582:1 gene:ORGLA04G0063700 transcript:ORGLA04G0063700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHRAPGRAACRAPCCATLICGGEGRIGHDTEEMGRREDASRPSFDRRGEKLVGLCPIRHNFFRVKNGTMSDCTVSGLKAQKRVILTITLKASSGGGSESAFTTTN >ORGLA04G0063600.1 pep chromosome:AGI1.1:4:9981089:9981310:1 gene:ORGLA04G0063600 transcript:ORGLA04G0063600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFGTFGYVKFLSCTSGFPKVFRTPSMSLVRGFRLPTACINRGGA >ORGLA04G0063500.1 pep chromosome:AGI1.1:4:9968752:9969213:1 gene:ORGLA04G0063500 transcript:ORGLA04G0063500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGVSSIDVSSVSLLNAVASHCQLPLVSYLWEMGSDGVLLAGVELVLVSGEHSGQRGCRFFWCVAFEPYETAHEPAAREAVRFLQSIYGFVVHDYNFRYMVSYREIAASAVDLAIAASTCLAHMRAPHDLHCFRFESLFREFCSVWSFRDRS >ORGLA04G0063400.1 pep chromosome:AGI1.1:4:9954907:9955125:-1 gene:ORGLA04G0063400 transcript:ORGLA04G0063400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVIRYQSQETVLVSQDDGISQQQRSLYYRVGVQSCWAADRSRVHNCIIKGKRKPRLGPNITGATWELGQNPKT >ORGLA04G0063300.1 pep chromosome:AGI1.1:4:9925042:9926878:1 gene:ORGLA04G0063300 transcript:ORGLA04G0063300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLLVILLQALTGLLVILALWIVSHLAGDRPPPGPVVCAANGTSGCTLTNIYGSFSDRAICRAANVTYPRTEVELVAAVAAAVAAGRKVKVATRYSNSFPRLACPGGEDGTVISTRWLDRAVRVDAARRLMTVESGMVMRDLIREAAAAGLALPHSPYWSGLTIGGVLATGAHGSSLWGKGSAVHEYVVGMRIVTPAPASEGFAAVRELAAGDPDLDAAKVSLGVLGAISQVTLALQPLFKRSVAFVTRNDSDVADTVAAWGRLHEFGDVAWLPRRRVAVYRVDDRVDVATPGDGRSDYPAFRPTPTIPLVASRLAEEWLEERSGSDAARCAASRVMPATLEHLNYGLTNDGEAFTGTTGSSPPPPASGTAASGDTSSTTPASASRCRARGRSSPTSRGSATSTRRRSARSTPRWACXCATSRPRRRTSARRRTRWTSTSPTTGATPAARRARTPTCSTRSSRWRCASTAACRTGARTATTPSTAPSPGTPTPASSXGXRTGSTPTASSPASGATRCSASAGRARSSSATAAPWRGSACAPTTRTARRRRATSAGRGRCSRRRGCARRRRETLRMAATTTTKYRTLAMS >ORGLA04G0063200.1 pep chromosome:AGI1.1:4:9924043:9924399:-1 gene:ORGLA04G0063200 transcript:ORGLA04G0063200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRLPESGWIKLNSDGGFAADEQMGSGGVIARNDRGEFMGASRIFFGEVLSATHAEALACLEATQVGARLAATRVVFETDSAEVVSLVMNKSFDRSEIGPVIQELKRRIQSFQDFKLI >ORGLA04G0063100.1 pep chromosome:AGI1.1:4:9906310:9906525:1 gene:ORGLA04G0063100 transcript:ORGLA04G0063100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGCRCAGGDRDDKSAKAAVRENNTVAAPCRCSGEESTCDEAMLRGAPLSTATTSGGGPWIEALRSEGTTRA >ORGLA04G0063000.1 pep chromosome:AGI1.1:4:9901220:9902114:1 gene:ORGLA04G0063000 transcript:ORGLA04G0063000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFALDFVTLWALAAKSGLGYDTLLKQYALELGPSTSNVGSAPDHQEAEYQLDQSAFDQEGGNEIGEDEGNDFYQEGGNEIPKEEGNSDDADGEYGMSESDYEDIDLWLI >ORGLA04G0062900.1 pep chromosome:AGI1.1:4:9891899:9896180:-1 gene:ORGLA04G0062900 transcript:ORGLA04G0062900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKLHNSSRHSFLLAWHSSNLAILHEAIRIIPRYIVAIVVEILRGLLVILLLGFVLHLAGGDRHPPPGPIACARGGTSGGCTVTNIYGSFPDRAACRAAGVAYPRTEEELVAAVAAAAAAGRKAKAATRYSNSFPRLACPGGGEGEGGVTISTRWLNRTVRVDAARRLMTVEGGMVLRDLIREAAAAGLALPHSPYWSGVTVGGALATGAHGSSLWGKGSAVHEYVVGMRIVTPAPASEGFAVVRELAADDPDLDAAKVSLGVLGIISQVTLALEPQFKRSVKFVKRDDADIAEKVAVWGRLHEFGDMVWLPGQRQVIYREDNRVNISTPGDGLNDYFGFRAQPTLSMVGARVIDEWLEENPMYTDTARCLASRAVTKMFDLLAYGFTNDGATFTGYPVVGYQHRIQSSGSCMGSLEEKDDGLLLTTTCPWDRRTRGVFAYNVAFTVPLSRAPAFVADVSRLRDLDPAAFCQIDAKMGVLVRYVAASSAYLGKAEDSVDFDVTYYRSRARGAPRAHADVFDEVEQMALRGHGGVPHWGKNRNAAFDGAIARYPNAGEFLRVKDRFDPEGVFSSEWSDRVLGVGGASPAIVGDGCAMEGLCVCSDDSHCAPELGYSCRPGKVFTEARVCSLLRDAADGYLRDRHGNVRINATSTGKHSLLHE >ORGLA04G0062800.1 pep chromosome:AGI1.1:4:9865612:9865803:1 gene:ORGLA04G0062800 transcript:ORGLA04G0062800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVFLTASGEFEMGESSRGPLLGLDGVGVESXGGRCVWVEKRMGSATPPPATLRRLRCVAAP >ORGLA04G0062700.1 pep chromosome:AGI1.1:4:9854076:9854742:1 gene:ORGLA04G0062700 transcript:ORGLA04G0062700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCLQHKGGGDVELGNSPASSNMVAADLVPLRPDLVPLSQIXHPHPDLAGVEYLARWQREEGVDVSMLRDGQREADRCGRRWKEVVVGKGQCDAELGKPGGDRRTAGRAVRRRYGGCESKECS >ORGLA04G0062600.1 pep chromosome:AGI1.1:4:9842762:9843028:1 gene:ORGLA04G0062600 transcript:ORGLA04G0062600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHGGDGSGVGDESGWRIQRLERATMAVVAAAVSQICFEFYFVNVHLKNSTELWLVGGRLWLSYLAICINSRHRLLFEKYPRRKNAT >ORGLA04G0062500.1 pep chromosome:AGI1.1:4:9832574:9834602:1 gene:ORGLA04G0062500 transcript:ORGLA04G0062500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFIYPPRLTCSDNRRSSGIARDYGRRRRDSGHHARGAVHRLRRRRRCPQVSLQHVEIPVPSVKKHEVLIKVEAASVNPIDWSIQKGMLRPFLPKFPFIPVTDVAGEIVEAGSAGHELKVGDKVLSKLNFWKGGGLAEYVAAPESLTVVRPAGVSAVDAAGLPVAGLTAVKALMSIGTKFDGIGGTGANVLITAASGGVGTYAVQLAKLGNHRVTATCGARNMDLVRSLGADEVLDYNTPQGAALTSLASDEKYDYIINTAKNVNWSAMKPTLSSRGRVVDITPNPGNYVAAMLTMFARKKITMMALMSLGKEEMRFLMELVGEGKLRTVVDSRCPFEKAAEAWEKSMGGHATGKVIVEM >ORGLA04G0062400.1 pep chromosome:AGI1.1:4:9818710:9819798:-1 gene:ORGLA04G0062400 transcript:ORGLA04G0062400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1PKA5] MSEDMVSSPSLWRPPVPIKDAIEQDAITPFPKPEAPEEEEEESQGDRDRRLMSSLPVKVFGERRYLEYQATWWPESAVQAVLAIQRRFRPRPSDVLLASYPKSGTTWMKALVFAIMSRKVYPLRDHPLLRLNPHDCVVHLSGAYATGKEAVVEALPSPRIMAVHMPFSTLPASVVADSSSGCKIVYVWRDPKDVLVSLWHYYRKLRPEEAHVSEFHDLYESFCQGDTVFGPWWDNVLGYFRASVEMPTRVLFLRYEDMLEDTASAVVAIADFVGCPFSAEEERAGVVDAIVKLCSFEELKNLDTNMSGSNGHLIKLPSSSYFRKGVAGDWVGHMTREMADRIDSIVQGKFQGSGLEIKRAST >ORGLA04G0062300.1 pep chromosome:AGI1.1:4:9813706:9816384:1 gene:ORGLA04G0062300 transcript:ORGLA04G0062300.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKGMMRPFHPKFPFIPVNNVSGEIVEVGSAVREFKVGDKVVSKLDFWTAGGLAEYVATSDKLTVARPAGISAADAAGVPVAGLTALQALKAIGTKFDGSGTSGGADVLITAASSGVGTYAVQLAKLGNHRVTATCGARNLGLVAGLGADEVLDYKTPEGAALSSPSGKKYDYIVNISNKNKWSVFKPRLSSHGRVVDVAPNFGNFVASVVTLFSRTKKLSLVSLKMSKEDLGLLLELMREGKLRTVVDSRHPFEKAADAWARSLSGHATGKVIVEM >ORGLA04G0062200.1 pep chromosome:AGI1.1:4:9811919:9812155:-1 gene:ORGLA04G0062200 transcript:ORGLA04G0062200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVRRQRPRSLSQRRRQRLLWQGGRRHDGAFGSSGCGGCDHGRRRHGGLGRLAKGVVDGYIGPARHCLEEGSETGLA >ORGLA04G0062100.1 pep chromosome:AGI1.1:4:9785849:9788861:-1 gene:ORGLA04G0062100 transcript:ORGLA04G0062100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRADNLREKVRTLFRTSGDVVARIKLVDSIQHLGVGHLFNEEISTTLSDIHANEFTSSSLYEVALRFRLLREHGLWVSPATFNIFKGDDGRFINEIADEPSGLLSLYNAAYLLVHDEPELEEAISFSRHHLKSMMQCGNLKHPLADQVKRALHLPLPRTYKRVETLYYLSEYGQEEGHISFLLDLAKVDFNILQGVHLKELKAISEWWKDLNGYVGLSYLRDRLVESYTWSQMLFYEEGLELTRIIFTKIIVLMVIMDDTYDSHATIQECRKLNEAIQRWDESAVFLLPEYLKKFYNELLNNFKEFEDQVTINDKYRVAYAKKEFQKLSHYFLQEAEWSHNNYKPSFEEQVALSTKTSTVQLLCVSTTVGQGDAISNEAFQWAASSSTVTSCAKILRFMNDIASFKCGKNKGDMVSTVECYMNEHKVITEIAFVKLDSLIEDEWRTMNHARYEDHQLLPVVQRVLNMAISIMFFYDKRKDAYTFSTHLQEIVRSLFVNPIPM >ORGLA04G0062000.1 pep chromosome:AGI1.1:4:9737820:9741776:-1 gene:ORGLA04G0062000 transcript:ORGLA04G0062000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IXETVLSMRRYSALSPPCGQRSETCMQERAEKLKGDIRTLFGTCNDISARMNLVDSIQHLGIVHLFHEQIEDALMSIQESEFRSSSLYEVALRFRLLREHGFWVPPDAFNKFKGDDGRFRNEIANDPKGLLSLYNAAHLLIHGEPELEEAISFARQHLKLMSQDNVLNPPLSCQVRRALTLPLPRTFKRVETICYMLEYQLEEGNIPILLDLARLDFNLLQHIHLKELKEISEWWKDLYGYMGLSYIRDRIIEGYTWSYMMFYEEGFAFTRIFVAKLIALCRWDKSAISILPDYLKKYYSKLLINFKEFEDQVTDNQKYMVACTKKEVSKAVHLLSARSXMFKPEIQAWLQRPDGFVYQILSCAIIVCGCYGWLGWDNDDRSIRVGSXWQRCSHSMCXDWAFHEXYCCIXAWKEXGRYRELRRVLHEXEWGHKXGCLCEDXCSSRRRMEIHEPDPTXASYPTTHGAANCELYRFHGTLLRXQEGCIHIWHTSXGDCXVSLCQAYSHL >ORGLA04G0061900.1 pep chromosome:AGI1.1:4:9716164:9722658:1 gene:ORGLA04G0061900 transcript:ORGLA04G0061900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESETEGQQFDDMDAMLRDGLGFNDFNAVEGDGDVGEHSEDAEKFYKLAADASQDLYPRSKMSKLQFIIKLLHGRKTCYLGHRRFLPQNHSFRFDEKSFDGTKELRDAPVQPSGHDILKETENLNVVFGKAEKKKRKRKDDDNNDGGSTVIWKKRSCFFRLPYWEHLLVRHNLDIMHIEKNVCDNIVNTLLNVDRKSKDNLDSRLDLQSLGIKQDLHPVMQGTKVFLPPAAFSLSAHEKKLFCQVQDVMAKGENPSEEIQILAKGPDMHVITYNSYLINGYNFRTKSCDEGKSTQREKMAKERVSGGRVEINKYELQRMMQIERNNEVLVQHLPHLAEKFKMNAQKTRQITSNVPSKSVDFQCHDAYFSEEDVQDQSYEENDLRELSEAVDMDQSFEANMDYVMRSAHKKWKDFKNRLKAKYFDPEKTNKELWKKHDSRISLKVWKWLVCFWRSEKGKARSNRGKANRAKMVAVHTIGTRSLANVRHDMEKRKGQKVSRAEVFKVAYTRKDGRPQPAHEVTIIQPRIVEALQLNQKRPAMKIEPRIARELQLNLNKHARKVQPRIVEESQLNHNRHDGMVQPGVARELQINQNMHVTKGVQKHMARYNNNKPTEIEGVNVGTTKHANVKRAALACENVVGRDVFLKRIVRPYNRVARATIQSQDPLEMVGGTMLGRECYKVVIDSLICGDAELFGPHRNLNYIRDAIGHCIAWPXXXXXXXXXXXXXXXXXXXXX >ORGLA04G0061800.1 pep chromosome:AGI1.1:4:9680809:9689198:-1 gene:ORGLA04G0061800 transcript:ORGLA04G0061800.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNESSLFWKEKSTFNLAALQMHSKKFKGEDGRFINRIADEPRALLSLYNAAHLLVHDEPELEEAMSFARHHLESMRGGGRPKAPLDNQINRALHLPLPRTCKRVEMLHYMLEYGQEEEHIVVLLDLAKLEFNLLQHVHLKELNAFSQWWKDLYGYIELSHVRDCAVESYLWSYALFYEENLTLTRMFLAKIIVFIVLMDDTYDDHATIKECRKLNEAIQRWDESAISILPEYMKKFYRALLNYFRETEAQVEASDKYRVACMKKEFQNLSTYYLQEFEWMHQNYNPGFKERVALSTLSINVPVLCVTTTDGRGDAVTKESFELATGRTSAVIACAKIMRFMNDIAAFKSGRKNKGEATNTVECYMNEHKVTSEVALDKIGSMIESEWRTLNQVHCDHHQQFLVVQRLMKLAISVPFFYDNKKDAYTFSKYLHEIVGNLFVNPVPL >ORGLA04G0061700.1 pep chromosome:AGI1.1:4:9662580:9665394:-1 gene:ORGLA04G0061700 transcript:ORGLA04G0061700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDSVLNPPLAEQVKRALSLPLPRTFKRVETICYMLEYQRESGNIPILLELAKLDFNLLQHIHLEELKAISGWWKDLCGYMELSYVRDRVIEAYTWSYMSFYEESFAWTRMFLAKIIALTTVMDDTYDTHATIEESRLLNTAIQRWDKSATSILPEYLKKYYNKLLTNFEEFEDQVTVNEKYQVSYTKQEFQKQSTYYLQEAEWSNQKHKPSFKDQVAMSTKSSAVHLMCVASMVGWGNAMVTEAFEWTACGNDAVIACAKIGRFMNDIAGFKRGKNKGDAASSVECYMNENGVTSDVAFAKIDSLVEDEWRTTNQTRLEHRTLLPIVQRVVNHTVSMVLFYDDRKDAYTFGTILREIIKSLFVRPAPI >ORGLA04G0061600.1 pep chromosome:AGI1.1:4:9638076:9645158:-1 gene:ORGLA04G0061600 transcript:ORGLA04G0061600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XTDSIVAYGLSAQLPGFSTGGCTFEPHLKKRSDEWMRHKADKLKENVRKLLWTSNDVVAKMNLVDAIQRLGIGHLFEDEISCILSDIHKSEFTSSSLHEVALRFRLLREYGLWVSPDVFNKFKADDGKFIDEHNDLKQPLFDQVSRALHLPLPRTYKRVETLHYFLEYGQEEGHIPILLDLAKLDFNILQRVHLKELKAISEWWKDLYKYIGLTYIRDRAVESYIWSHTMLFGEGLALTRMICAKIIILLVIIDDTYDAHATIEESRKLNEAIQRWDESAIPRVPEYLKKFYIKLLNNFKEIEDQVMDNEKYKVAYAKKEFQKLSHYYLQEVEWLHQNHKPSFXEQVDLSTKTMTAHLMFVSTTVGLGDAVTKEALEWAESSTAIVAVGKIMRFMNDTAAFKHGKNKGDVTSTMECYMNEHKVISDVAFMKLTLLIEHEYRTINQARFELHKSLPAAQRVVILAVVSLMFFYDNRKDVYTLCSDLRETIRSLYVEHAPM >ORGLA04G0061500.1 pep chromosome:AGI1.1:4:9621202:9621722:-1 gene:ORGLA04G0061500 transcript:ORGLA04G0061500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WDESATPFLPEYMKKFYRALLKTFKEFEIHVEDDGQNRIDHTKKAFQKLSAYYLQEAEWSYQYYKPSFEEQVA >ORGLA04G0061400.1 pep chromosome:AGI1.1:4:9619312:9619590:-1 gene:ORGLA04G0061400 transcript:ORGLA04G0061400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGDVASTVECYMNENKVTSEDAFTKIDSMIEDEWRTINQALCEQRDLLPAVQQVLNLSICATFFYGKRKDAYTFSAHLQETVESLFVKPVPI >ORGLA04G0061300.1 pep chromosome:AGI1.1:4:9614547:9615970:1 gene:ORGLA04G0061300 transcript:ORGLA04G0061300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWCPGWAVVSPAWRRPEGGLATSGKEAGTARRMGGADGDEHRGLKVALQCRGRRSGRQGGWGKAGAAVIKAVGVEEPEQRRAKEEGWEEGTLRPRWVMGRMQRRRSHGSRRRPWAAVILPGYWHPSSSSGKGRGVASTTGTRRWLGWCGGGDEEPAMEAVIDRERGGGCDGEGMRSGGGGVACRGEGAHNNDGGVRGENGK >ORGLA04G0061200.1 pep chromosome:AGI1.1:4:9591916:9596026:-1 gene:ORGLA04G0061200 transcript:ORGLA04G0061200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRADELKEKVRTQLGTCEDIVGTMNLVGAIQHLGIEHLFKQEIDNTLRDIRTSEFTSSSLHEVALWFRLLREHGLWVSPDVFGKFDGDDARLSSVIADHDTRGLLSLYNAAHLLVHGEPELEEAISIARHHLESMTRDCDLNPVLANQVNRALNIALPRTCKRLETSLFISEYEQEEGWWRDLYTYVGLNYARDRAVESYLWSCLVFYEKDLFFTRTFVAKMILLVTLMDDTFDSHATIQECRQLNSAIQRWDESAVTLLPEYLKKFYRELLRNFKVLQDQVTDNDKYRVTYTRKEFQKLSTYYLQEAEWSHQRHKPSFGDQITLTAMSSVIPLLCVSGTVGMGYVTKETFEWVASRTTGIVASEKLDVS >ORGLA04G0061100.1 pep chromosome:AGI1.1:4:9562736:9567301:-1 gene:ORGLA04G0061100 transcript:ORGLA04G0061100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERAEKLKDDVRTMFETCDSTEGRLQLVDAIQHLGIDHLFKEEIEYSLSEINASEFISSSLHDVALRFRLLRQHGFHVSPDVFNKFKGDDGRFVSGITNDPRGLLSLYNAAHLLTHDEPELEEAISFATQHLASLSSGTDLNPHLIDQINRALDVPLPRTYRRMETLCYMPEYRQEEGHIPILLELAMLDFNLLQHVHLKELKAISEWWKDLYGYMGLSYIRDRVVESYVWSYVVFYEEDSALARMIFTKIIAFIILMDDTYDSYATIQECRKLNEAIQRWDESATAFLPEYMKKFYSALLKTFKEFQIHVEDDGQYRIDHTKKAFQNLSAYYLQEAEWSYQNYKPSFEEQVALSTVTSTVPLLCVSTTVGRGDALTNEAFEWAANDIGAKIACAKITRFMNDIAAFKRGRKNRGDVVSTVECYMNENKVTSEGAFTKIDLMIEDEWRTINQALCEHRELLPAVQQVLNLAICATFFYGKRKDAYTFSTHLQETVESLFVRPVSI >ORGLA04G0061000.1 pep chromosome:AGI1.1:4:9526477:9537922:-1 gene:ORGLA04G0061000 transcript:ORGLA04G0061000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIPLPFFFSHGVVHARIGHPPIWPPGGLLSAGAQAKVSFRRQSNAHRHAAQVVSSKSITPAKEVSSFEPSVWGDFFINYDTKPLQRSEDWMMEKADKLKQDVRILFETHNDTAKKMHLVDAVQRLGIDHLFQDEINNAISDIKESEFTSSSLHEVALHFRLLREHGIWVSPDVFEKFKGEDGRFINTIADEPRALLSLYNAAHLLVHDEPELEEAMSFARHYLESMRDGSRLKAPLDNQINRALHLPLPRTYKRVEMLHYMLEYGQEEECIVVLXXXXXXXXXXXXXXXKRRTASLWWKDLYGYVELSHVRDRGVESYLWSYALFYEENLTLTRMILAKIIGFTILMDDTYDDHATIEECRKLNEAIQRWDESAISSLPEYMKKFYRALLNYFRETEAQVEASDKYRVACMKKEFQNLSTYYLQEFEWLHQNYKPGFKERVALSTLSISVAVLCVTATVGRGDAVTKESFELATGRTSAVIACAKIMRFMNDIAAFKSGRKNKGDATNTVECYMNENKVTSEVALDKIESMIESEWRTLNQVRCDHHQQFPVVQRLLNLAVSVPFFYDKKKDAYTFSRYIQEIVGDLFVNPVPL >ORGLA04G0060900.1 pep chromosome:AGI1.1:4:9508303:9512654:-1 gene:ORGLA04G0060900 transcript:ORGLA04G0060900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSKQISEEWMRERANKLKEDVRGLFIMASNDILERMNLVDTIQHLGIDHLFQEEIDSALKDIHENDFASSKLHEVALRFRLLREHGFWVSPDVFNKFKGDHGTFNKELSLADDPRGLLSLYNAAHLFIHGEPELEEAISFARHHLESMNRHNILKAPLADQVRRALHLPLPRTHKRVEMVSYMFEYGREDGHNPVILELATLDFNLLQRVHLKELKEISRWWKDVSGYMGLNHIRDRVIECYTWSYAVYHEEEFSFARMLFAKIVVIIALLDDTYDVHGYTSIQECRMLNAAIQGWDDSAVLLVPEYLRKFYEFILSCFREFEDQVPSNQKYLIAFSKTELQRLSSYYLEGAEWSHRKHMPSFSEQVALATMTTGTRPLAAGLMVGMSESMTTKQAYEWAVNSTDAIISCGKTGRFMNDIAGFKLGSQNKADMPCSVESYINEHKVTADVAIAKINELVEDEWKTTNQARIDHRDVLPVVQRLINITMAIPLYYSDGIDGFTFGEGIQEVLEKLYVKPIPL >ORGLA04G0060800.1 pep chromosome:AGI1.1:4:9500115:9503572:1 gene:ORGLA04G0060800 transcript:ORGLA04G0060800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPAAAVVRRMKLGSQGMEVSAQGLGCMGMSAVYGERKPEADMVALVRHAVAAGVTFLDTSDVYGPHTNEVLVGKAVAAAAATEEEVQVQVATKFGITPAWEVRGDPAYVRAACEGSLRQLGVGCIDLYYQHRIDSTVPVEITMGELKKLVEEGKIKYIGLSEASASTIRRAHVVHPITAVQIEWSLWSRDVEEDIVPTCRELGIGIVAYSPLGRGFFSSGAKLVDELPDDDFRKSLPRFQPENLEKNAAIFEKVNAMAARKGCTSSQLALAWVHHQGSDVCPIPGTTKIHNFDQNVGALSVKLTPDEMSELESYASADVVQGDRYHGTFLNTWKNSETPPLSSWRSGN >ORGLA04G0060700.1 pep chromosome:AGI1.1:4:9473440:9473982:-1 gene:ORGLA04G0060700 transcript:ORGLA04G0060700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IICRFGVPKEFITDNGKQFDSDKFRKMCEGLNLEIRFASVAHPQSNGAAERANGKILEALKKRLEEAAKGKWPEELLSVLWALRTTPTRPTKFSPFMLLYGDEAMTPAELGANSPRVMFSGGEEGREVSLELLEGVKVETLEHMHKYATSTSATYNKKVRPRELMPGQLFLRKKANPVAVA >ORGLA04G0060600.1 pep chromosome:AGI1.1:4:9466454:9466873:1 gene:ORGLA04G0060600 transcript:ORGLA04G0060600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQYELNSDRDPNRSPTDLGGPSDGSYIFVRVVTGGAWEYGEENARGGEGRAGAIDYFLYIDARYFARVPSNSQRLLPLRNASSGNDL >ORGLA04G0060500.1 pep chromosome:AGI1.1:4:9443925:9454933:-1 gene:ORGLA04G0060500 transcript:ORGLA04G0060500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSAEWMRERVDKLKEEVCTLFTPSKDMLRRMYLVDEIVHLGIDHLFEKEIETALKDIHESEFASSNLYEVALRFRLLRERGFWVSPDVFQKFKGDDGNFLNELADDPRGLLSLYNAAHLFIHADQVKRALRLPLPRTLKRVEMLHYMFEYDQDNGHNPVLELAKMDFNLLQQVHLKELKEISRWWKDVSGYMGLNHIRDRVVECYTWAYAVYHEEDLAIARMIFAKLIVLSAILDDTYDVYAFTSIEECRTLNAAIQGWDDTAISLVPEYLRKFYEIMLSTFREFEDQMPSNKRYLVAFNKAEFQKVSSYYMEAAEWSHRNYKPSFSEQVALATETTGVRSLAAGVMLGMSDAATTREAFEWLGSQNKADMPCAVETYINEHKVTVDVAIAKINELMEDEWKTTNRARIDNQAVLPVAQRLINLTMAIPLFYGYDSDGFTFGEQLREILENLYVKPMPI >ORGLA04G0060400.1 pep chromosome:AGI1.1:4:9432078:9437473:1 gene:ORGLA04G0060400 transcript:ORGLA04G0060400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSTEWMRDKADKLKEDVCTLFTPTKDILRRMYLVDEIVHLGIDHLFEKEIETALKDIHETEFASSNLHEVALRFRLLRERGFWVSPDIFNKFKGDDGNFLNEFAEDPRSLLSLYNAAHLFIHGEPELEDAISFARNHLESMSSHSVLKAPLADQVKRHLRLPLPRTHKRVEMLHYMFEYDQENEHNPVLLELAKLDFNLLQQVHLKELKEISRWWKDVLAYMGLDHIRDRVIECYTWSYAVYHEKDLALARMIFAKLVALTSVLDDTYDVHAYTSIEECRMLNVAIQGWDDSAALLVPEYLRKFYEIILRTFREFEDQIPRNQRYLAAFSKAEFQKLTSNYLEAAEWYHRNHKPSFNDQVALGTATTGTRSLAAGLMLGMGDAATTKQAFQWAVTSTDAIISCGKIGRLMNDISGFKLGSQNKADMACAVEAYIEEHKVTADVAIARINEVLEEEWKTTNQARVDHRAVLPVVQRMINITLGIQLFYGNDSDAFTFGKQLQEVLEDLYVKPMPLL >ORGLA04G0060300.1 pep chromosome:AGI1.1:4:9414978:9415465:1 gene:ORGLA04G0060300 transcript:ORGLA04G0060300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSLSVQDVRKHLPRFQPANLEKNAEIFERVNAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENFNQNVAALSVKLTPGEMTEL >ORGLA04G0060200.1 pep chromosome:AGI1.1:4:9403793:9406680:1 gene:ORGLA04G0060200 transcript:ORGLA04G0060200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPATAAVRRMKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMVALIHHAVATGVTLLDTSDIYGPHTNELLLGKALQGGVRDKVELATKFGIAFEDGKRDVRGDPAYVRAACEGSLRRLGVDSIDLYYQHRVDKKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSAGAKLVESLSDQDFRKHIPRFQQENLEKNAEIFERVNAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENLNQNIGALSVKLTPEEMAELESYASTDDVRGDRYPQAMANTTWQNSETPPLSSWKAQ >ORGLA04G0060100.1 pep chromosome:AGI1.1:4:9395571:9399859:1 gene:ORGLA04G0060100 transcript:ORGLA04G0060100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDELKKLVEEGKVKYIGLCEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFSYRVKSCYHMWSLAPVKIKWLLAPSRENQVAGRENRNDLYDFLKRSPIGMETNLPRFQPENLEKNAKIFDRVNAMAMRKGCTAAQLALAWIHHQGDDVCPIPGTTKIENFDQNVGALSLELTRDEMAELESYTAAADVHGDRYAQMANTWKDCETPPLSSWKEE >ORGLA04G0060000.1 pep chromosome:AGI1.1:4:9392904:9393674:1 gene:ORGLA04G0060000 transcript:ORGLA04G0060000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPATAAVRRVKLGSQGLEVSAQGLGCMGMSAFHGPSKPEADMVALIHHAVAAGVTLLDTADIYGPHANEALLGKALQVGGVRDNVALATKFGKFLADGKVGIRGDPAYVRAACEGSLWRLGVDCIDLYYQHRVDKKVPIEVTKHKRVSDEKLIMKGKVGGGLVKGLANGWCQGS >ORGLA04G0059900.1 pep chromosome:AGI1.1:4:9376949:9381655:1 gene:ORGLA04G0059900 transcript:ORGLA04G0059900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMATVAVPRVKLGSQGMEVSAQGLGCMGMCPAFEPPKPEADMVALIRHAIAAGVTFFDTSDLYGPHTNEVLLGKALQGGGVRDRVELATKFGKFFAGGKPGIRGDPAYVRAACEGSLRRLGVDCIDLYYQHRVDKKVPIEVTIGELKKLVEEGKIRYIGLCEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIVPTCRELGIGIVAYSPLGKGFFSSGAKLVDSLPDHDFRKLIPRFQPGNIEKNAEIFERVNEMAARKGCTPSQLALAWIHHQGRDVCPIPGTTKIENFNQNVAALSVKLTPAEMAELESYASNVHGDRYPLMMANTTWQDSETPPLSSWKSE >ORGLA04G0059800.1 pep chromosome:AGI1.1:4:9350641:9365035:1 gene:ORGLA04G0059800 transcript:ORGLA04G0059800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLAVVLRAALSHVPEERKAAEASLTQFQYTPQHLVRLLQIIVDGNCDMAVRQFASIHFKNFVAKNWSPTDPEEKHIIPESDKSMVRENILGFVTQLPPLLRAQLGESIKTLILADYPEQWPSLLPWVTHNLESQDQIFGALYVLRILARKYEFKSEDERIPLYQIVEECFPRLLNILRNLVPISNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNTWMILFLNLLERPVPVEGQPLDPDARKSWGWWKVKKWIIHILNRLYTRFADMKLQRPESKAFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDSDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKSNLQKFIHFIVEIFMRYNEASIEVKPYRQKDGALLAIGTLCDRLKQTEPYKAELERMLVQHVFPEFSSHVGHLRAKAAWVAGQYAHINFSDQNNFRKAMHCVISGLRDPELPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMSEVENEDLVFTLETIVDKFGEEMAPYALGLCQSLAAAFWRCMASSEADEEVEDTGALAAVGCLRAISTILESISSLPHLFPQIEPTLLPILRRMLTSDGQDVYEEVLEIVSYMTFYSPTISLEMWGLWPLMMEALNDWAIDFFENILVPLDNYISRGTDQYIACKDPDYQQSLWRALSSIMTDQNMEDSDIIPAPKLIEVVFQNCKGQVDHWIEPYLRLTIDRLRRAVKPYLKSLLVQVIANTLYYNPSLTLGTLHKLGVATEIFNLWFGMLQQVKKSGIRANFKREHDKKVCCLGLTSLISLPANHIPPEALERIFKATLELLVSYKDQVAAESKRENEAAAEDLDGFDGDEEDEDIESDKEMGYDDEDGDEVSSVNLQKLREARGFQPHYDDDDDDSDDDFSDDEELQSPIDEVDPFIFFVDTIQGMQASDPARFQSLMQTLDFRYQALANGLAQHAEERKVEIEKEKLEKANAQ >ORGLA04G0059700.1 pep chromosome:AGI1.1:4:9331549:9340077:-1 gene:ORGLA04G0059700 transcript:ORGLA04G0059700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRTRLLGARFRAIRGSAPPPTGACCPGAPPACPVSTASRMVTVAPPQGTSCPTSCSLIRCPGMGGHDPPTPPSHLGVVLSKLSAAGKVKKIFAHCLDTINGGGIFAIGNVVQPKVKTTPLVPNMPHYNVNLKSIDVGGTALKLPSHMFDTGEKKGTIIDSGTTLTYLPEIVYKEIMLAVFAKHKDITFHNVQEFLCFQYVGRVDDDFPKITFHFENDLPLNVYPHDYFFENGDNLYCVGFQNGGLQSKDGKGMVLLGDLVLSNKLVVYDLENQVIGWIEYNCSSSIKIKDEQTGATYTVDAHNISSGRRFHWQKHLAVLLVTMVYSYLIIF >ORGLA04G0059600.1 pep chromosome:AGI1.1:4:9295561:9296136:-1 gene:ORGLA04G0059600 transcript:ORGLA04G0059600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPKGNQYWFGFQDAGIHGYKDMIIFGDMVISNKVVVYDMEKQAIGWTEHNSVEEACGGSEGLSPIGRMHGGL >ORGLA04G0059500.1 pep chromosome:AGI1.1:4:9238303:9238758:-1 gene:ORGLA04G0059500 transcript:ORGLA04G0059500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGAARERGWRRLRRGGGGEQIQRLLLPLHRWWQQRRGMVALRRLRRCSGGRGCSGEADPASTGLGRADPTPPCLGSDAGKRVAVAAAALHVSGAGEGPAGSRSDASSSLSTGGGSKEEGWRLCAGDDSARDDRGAQERRIRHGRASGGWI >ORGLA04G0059400.1 pep chromosome:AGI1.1:4:9227385:9227615:-1 gene:ORGLA04G0059400 transcript:ORGLA04G0059400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRRRWEGIGSSRRWGGGDGLGGRPAGGGTATDLGGWRRAVVWVRRRGLRAVGEEEEAARGERGPRIPFIATALN >ORGLA04G0059300.1 pep chromosome:AGI1.1:4:9212213:9212455:-1 gene:ORGLA04G0059300 transcript:ORGLA04G0059300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIKQRRRSAKDRAGIPQGWRHLGRRLYGEDGWLRHNKFNFKEAQEAISADQARGPLDQRSPVGPLEAHVPQLHYIPI >ORGLA04G0059200.1 pep chromosome:AGI1.1:4:9207662:9208408:-1 gene:ORGLA04G0059200 transcript:ORGLA04G0059200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNAMRKVKKVLLVVTMITSIILGEYPLQAAAGRFSACHPPPGAGRLPPPVPGGTGVGGKSKPEFDLPDVCSVGFPCSASEPEPEANHTTFSCELENQMRDTIWFQCDGDLFYFSVGSGQSVRRLYNDHELGPGNKVSCAWAFQENYKSSVPAWDGNWPEASSCRVGGADGQCRLLFENREVALLAGTGGRRVLGGLLLKNCTTPTPWYAWLFPWTDPCTTYLDNTTRPYVGNIQPSWAAAVFNMDN >ORGLA04G0059100.1 pep chromosome:AGI1.1:4:9170428:9172951:-1 gene:ORGLA04G0059100 transcript:ORGLA04G0059100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWPLNPAKLVVPLILQAPAMDNIYTSRKPLHVPLNISFWVHALYACYAKPNVCECDPYAAKLMKTRCSIFRRYWSSITYFILTSEKHPKEVNE >ORGLA04G0059000.1 pep chromosome:AGI1.1:4:9155445:9155765:-1 gene:ORGLA04G0059000 transcript:ORGLA04G0059000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVTTGSTWTRRGQWLEEDSGDVIRVDGAGAFRWSSLDENARLRKRTTRESKADIEGRQVNAAGRCSGKAGGTAKGGRRRRSSLITSKEELPAISRQNGGVAGL >ORGLA04G0058900.1 pep chromosome:AGI1.1:4:9151764:9152075:1 gene:ORGLA04G0058900 transcript:ORGLA04G0058900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHRRGVFCSKPISEHQWQWCSAEQRVRVPVLRYVSSSSTGTRSWRRTCYGWVLTIRLAMLNVRTYTSRKRRANHAGHTSQKGCATFSLRTNSHRSSSLVLVIP >ORGLA04G0058800.1 pep chromosome:AGI1.1:4:9147231:9149159:1 gene:ORGLA04G0058800 transcript:ORGLA04G0058800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNNNCVATVTTTIVKASRGADPLVPVLGAMLVKERQGMVVEVQDPPPRPAMGGSIEGGKGVLRRGSNGFWGSDDDIGLCRQRRQYEWELGLLNRFAVLQILFRCSASRGSWFICTPWSLCIGLMSSVVPRAARLKEDVDGTNLAISLAVVCHA >ORGLA04G0058700.1 pep chromosome:AGI1.1:4:9129975:9130800:-1 gene:ORGLA04G0058700 transcript:ORGLA04G0058700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFLSAILSALLVASSTRGTVAIGVFQVRHKFSIMGDGCKGSDIGALQTHDRNRHLRRLVAADFSLGGLGGISTSSTRYILQSSFGSIRFFLVDTGSSAFWVNCIPCKQCPRKSDILKKLTLYNPRSSVSSKVVKCDDMFCTSPDRDVQPECNTSLLCPFITTYADGGSTIGAFVTDLVHYNQLSGNGLTQSTNTSLTFG >ORGLA04G0058600.1 pep chromosome:AGI1.1:4:9068821:9070431:-1 gene:ORGLA04G0058600 transcript:ORGLA04G0058600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHHRATLLLHQQQRKGDATAEEGQQLVAEEGAGKMKELRRRLVDYACHHRKHGHDALLRMLAGFALVSCLVLLLPGSPFSAAVDDLLQMGRTRLDDETPPPPPCAAVSNGTICCDRTAMRTDVCVMRGDVRTEAASNSLFLLVPPPDNSTAAAGRDERIRPYTRKWESSIMSTIDELRLRAVPEGGAAPASCDVRHDVPAVVFSTGGYTGNVYHEFNDGIIPLYITARQYNKKVVFVMLEYHDWWMTKYGHIVEQLSDYAPIDFTNDRRTHCFPEAVVGLRIHDELAIDAARMPGNRTIQDFRRMLDDAYRGRIQMIIEEEEKAAAVALGTPTQGSIRKKSALKDDKPRLVIVSRNGSRAIENEAELVRAAAGAGFRVAVLQPRQDTELAKMYRALNASDVMVGVHGAAMTHFLFMRPGSVFIQVVPLGTDWAAETYYGEPARRLGLRYMPYKIKPAESSLYRQYAKDDAVLTDPDTVNAKGWQVTKKVYLDGQNVRLDMVRFRRRLRDAYDHWAELRRRHNADSTETEQRKPW >ORGLA04G0058500.1 pep chromosome:AGI1.1:4:9060587:9066278:1 gene:ORGLA04G0058500 transcript:ORGLA04G0058500.1 gene_biotype:protein_coding transcript_biotype:protein_coding CAPSTRGPPAPQPRPPAQSSCTTRPPLPGLLSVGGKGEERGRGGEREAQTTTTQVASGGGGEASKRSAILRRVTELEDFLPFPFAVAGDATVGTRGAARIGLSFGCGASGGRHLRRMFEWNDDQQQGILVLEEAADDCERASEDDRIISKEAHLAERVLWAFRCYRVRLGCARSHKVGDAIWAEFNENEDHIVPYPKDTEDSALVSVGDQKKNDEETDNIPGLTERSSSGQTEFPVLEKQPASQASEHYSATQLDVESWPDLPSLNATLDRNYSDDNIASTYLDFSSAPSLEKVTGNTTVQLDGETEVFGNDHEEKSNSFLDCDWGNIGDFDDFDRLFSNGDSIFGNEMVADGSNFLSASSDLVDTTVQSIPFPHIPLNKQLSSDHGSSLLINETSGGTTEQESKGDVQKKSVRSRRRTEERGKSKMSNSTSGFSQNQGQHRPASSHSLAKVPAQPLQTQYLLLHDNKNMGQLQQANQFIFPGYGYPSYQFPGIPLMSNVQAESHQTKPATTNYRTSIDSPKQSSSAEKSQDIPSRPLMMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQDGSGSDTMVPQAYSPKSKNPDSLGSSVVIDDNANKVFSLELIPTGHEEIQKSSGIPDDLFIEEKIYYQLQDALAKLDTRTRRCIRDSLLRLAHSVSERQITSDRSSANKSNKDDDEVSEDTSKRSDKLLIDTIPDLQQVKQKQTQIQSTALWHIYCFISLVQRFQHLQKKRSNPQLHCQLNLIRRFLQTHLGDHQKITRMGKK >ORGLA04G0058400.1 pep chromosome:AGI1.1:4:8999180:8999566:-1 gene:ORGLA04G0058400 transcript:ORGLA04G0058400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQQPELCADQGIEPIREGEGPPRRRHHSLLPLGVWPRQAALHRLQEEQHGGSHRRREANYKRRSSTRREAIRHGHRRRRRQLPEAGEGGGNGARGVLDEEAMRGSSTYSCPWRPAVIASNQFIYTS >ORGLA04G0058300.1 pep chromosome:AGI1.1:4:8976758:8977538:1 gene:ORGLA04G0058300 transcript:ORGLA04G0058300.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLXNMXINLEWREXWAVLPQQNFVFGDWIIFGDIKGGNRDVPLRDKYSLLEYPSFHEKSSFREALLPDVKKSGYVVNNAAASATLNNLVIVSASKTSKLAACVQYLCFNSLESLGFPMPPNPKPKVWDDGYRCIN >ORGLA04G0058200.1 pep chromosome:AGI1.1:4:8959071:8959916:1 gene:ORGLA04G0058200 transcript:ORGLA04G0058200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRCTLIHAVAVVAAAAALLLPPLAAGQPWPTCDASAGTYKAGSAYESNLRDLAAALRAGAAASPSALFATGTRGVGTADAVYGLLLCRGDLSVSDCYDCGTRVLADVGRVCGGRHGGHAKDVALVYNQCYARFSNKGDFLAATDNAGGETLLISGTNITGGAGVVAAYDRAVTELLAATVRYAVEENPARLFATGQRVGDDARDPGFRNIYSMAQCSPDLPPASCRRCLDGVLARWWEVFPLNGEGARVAGARCYLRSELGVGPFYTGAPMVVLRADKV >ORGLA04G0058100.1 pep chromosome:AGI1.1:4:8929198:8930934:-1 gene:ORGLA04G0058100 transcript:ORGLA04G0058100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGGNSGKLFDGMHLCLSEQGSPRTQSPAAVDPSLDRSGVVLGGMPKKMSRLELDAGVSLWAGLQPDILGIVLHFLPCLADRVTPNKDRSDEYNRDLPQLCHMRYNFPAYSSKTLHIVNGSGEVHFGVNDIYTMSLCNVALSASPESSKYIVAASSDHKGAPVPALWQPGMISWQVCSGVEIDGPRDLSFYQGKLYMLMRHRTRLFTCELEEDDRGFMEGGAISCNMVVWRGELLLIIRHYNGDYRGCKSCPAGLHHGVEGDHIYFVPDDWKPYDTFVYSMRDDYLLYFLLNWQP >ORGLA04G0058000.1 pep chromosome:AGI1.1:4:8893671:8894453:-1 gene:ORGLA04G0058000 transcript:ORGLA04G0058000.1 gene_biotype:protein_coding transcript_biotype:protein_coding QETLNGHATADIHVRHRINGDFVTLANPTVCNPHTPVVAEFKPVIVSGLDLHDILVVANSADAITGVSTTASDFDLKIVIAAGAVAVLLAMFPCS >ORGLA04G0057900.1 pep chromosome:AGI1.1:4:8884800:8885388:1 gene:ORGLA04G0057900 transcript:ORGLA04G0057900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXGGPIEPKTKTTPLSNAIDKPHRPSPLISGRRRASASPTLPLTVPATMNSGAKDQDTLNSKVADPAIPSLGAPDPSARXAVCRAHHSALSRSTCRGESRRQLSPSTPSSVVTASSSILLSLVLSRSHPFLLLRPDLSLQSTDSSVSCGSCG >ORGLA04G0057800.1 pep chromosome:AGI1.1:4:8853007:8854530:1 gene:ORGLA04G0057800 transcript:ORGLA04G0057800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PK59] MASAMGVPGDKPPHAVCVPYPSQGDITPTLHLAKLLHARGFHVTFVNTEFNHRRLLASRGAAALDGVPGFVFAAIPDGLPAMPGEDEDATQDIPALCQSTMTNCLGHLLALLARLNEPASGSPPVTCLVADGLMSFAYDAAREIGVPCAALWTASACGFVGCRLYRELIDRGLVPLRDASQLTDGYLDTVVDGAAARGMCDGVQLRDYPSFIRTTDLGDVMLNFIMREAERLSLPDAVILNTFDDFERPALDAMRAILPPVYTVGPLHLHVRHVVPKGSPLDTAIGSNLWKEQDGVLEWLDGHPRNSVVYVSYGSIAVMTSEQLLEFAWGLADSGYAFVWVVRPDLVKRGDADAAALPPEFYAAVQGRGVLPTWCSQEKVLEHDAVGVFLTHSGWNSTLESLSAGVPMLSWPFFAEQQTNCRYKRTEWGVGMEIGGEARRGEVAAMIREAMEGKKGREMRRRAQEWKEKAVRVTLPGGPGDTNLDRVIHEVLLSCKDKISRVNGDSV >ORGLA04G0057700.1 pep chromosome:AGI1.1:4:8784034:8788905:-1 gene:ORGLA04G0057700 transcript:ORGLA04G0057700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTPGRRRRPAGCAAGHHHQHQQQRLLAVAARLAAAKPAATTEAAVYGGGGGGCCLEFLDCVLRAMGVATPAEIMPPADFRWATRPMRRRRRGGSSSPRDREPRDGRIAANGASAAASLYTMRGNKGVNQDAMLVWENFCSKEDTIFCGVFDGHGPYGHLVSKRVRDLLPIKLSANLGRDGHKETSTSIVTSSMTEGGGTERMDRDTETPLGTEENGEYPEMFAALRTSLLRAFYVMDRDLKFHKTIDSVFSGTTAVTVIKQGHDLLIGNLGDSRAVLGTRDEYDQFFAVQLTVDLKPTIPSEAARIRERSGRIFSLPDEPDVARVWLPKYNMPGLAMARAFGDFCLKDYGLTSMPDVSYHRITEKDEFVVLATDGVWDVLSNAEVVSIVSQAKSEASAARFVVESAQRAWRTRFPTSKIDDCAVVCLFLNTDARNKPPGSGIKDLANAIELGGGNLS >ORGLA04G0057600.1 pep chromosome:AGI1.1:4:8775937:8780694:-1 gene:ORGLA04G0057600 transcript:ORGLA04G0057600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTAAAAAPPPLLLLSAAAAIAIAVAVAAPDGHLVASLPGFHGAFPSKHYSGYVTVDEGSGRRLFYYLVTSERDAAADPVVLWLNGGPGCSSLDGFVYENGPFNFERGSDPGGLPNLELNPYSWSKVSNVVYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHTFLLKWFELYPEFQSNPFYMSGESFAGIYIPTLADEVVKGIEKDLKPRINFKGYLIGNGATDQDYDFNSFVPFAHGMGLISTELFEDASTACHGTFWGKVNNLCQEKIDRVHWELKDLNKYNILAPCYHHPEIQELEFKNSSLPSSFRKLGETEKRFPVRKRMAGRSWPLRAPVTRGRMTMWPELGGRSLPCTSDELANAWLDDEDVRAAIHAEPKSLIGSWELYTARIEYYHDTGDSMVKYHKKFTAMGYRALIYSGDHDLCIPYVGTEAWVRSMGYRVIDHWRPWYFGGQVAGYTQGYEHNLTFLTIKGAGHTVPEYKPKETLAFYSHWLSGEKI >ORGLA04G0057500.1 pep chromosome:AGI1.1:4:8772037:8775207:1 gene:ORGLA04G0057500 transcript:ORGLA04G0057500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGNAKPGGGGSGAYTINLDNFSKRLKVFYDHWKEHNSDLWGSSNAIAIATPPPSEDLRYLKSSALDVWLLGYEFPETIIVFMHKQIHFLCSQKKANLIGTLKKAANDAVGADIVLHVKAKNDSGVGLMEDIVRAVCAQSKSDDPIVGHIAKEAPEGKLLEAWADKLSSSSVQLTDITNGFSELFAMKDTSEITCVKKASYLTSSVMKNFVVPKLEKVIDEERKVTHSSLMDETEKAILDPLKVKVKLKAENVDICYPPVFQSGGKFDLKPGASSNDDYLYYDSASVIICAIGARYGNYCSNMARTFLIDATPTQSKAYETLMKAHEAALEALKPGNRMSAVYQAAVDVIEKNAPELLPNLTKSAGTGIGLEFRESGLNLNPKNDRIIKAGMVFNVSLGLHNLQAETKSEKTKQYSLLLADTCLVPLENLTASCSKLLKDVAYSFNDEDEVLPVKKVEVNAKEALPPTKATLRSDNQEMSKEELRRQHQAELARQKNEETARRLAGVGSGSGDGRGPSRSSNELVAYKNVNDVPYARELVIQVDQKNEAVLLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFSNDSNLKSQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQLASNRNKPVRLSDVWIRPAFGGRGRKLTGTLESHVNGFRYSTSRADERVDIMYGNVKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGNRRSALDPDEIEEEQRERDRKNRINMDFQNFVNKVNDHWSQPQFKGLDLEFDVPLRELGFHGVPYKASAFIIPTSTCLVELIETPFLVVTLSEIEIVNLERVGFGTKNFDMAIVFKDFKKDVLRIDSIPSTSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIIDDPQKFIDDGGWEFLNMEASDSETEETEESDQGYEPSDAEPESESEDEDSDSESLVESDEDDEDDSEEDSEEEKGKTWEELEREASNADRENGAESDSEEERRRRKVKTFSKSRPPPERSSFKGGPSKKPKFR >ORGLA04G0057400.1 pep chromosome:AGI1.1:4:8764869:8768342:1 gene:ORGLA04G0057400 transcript:ORGLA04G0057400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPMAEKIEKYWETCNKLLSIATILDPRYKLKSIEYFYGLLYPSEKDVKFEHMRRCFSELFDEYSKQATMQSSSVVDTSRNFQECSSQSHESSLSLFSTIVGLEKYIQDSNSSQQTRSELDVYLDDPPHPGISDTSFDILAWWKLYGSKYPIISRMARDILSVPMSIVASESCFSLANQALCEKRCSLLPETLEESSGGLSLIFKRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVCQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPVAGHVLHPVYASDSTPAAEMEPL >ORGLA04G0057300.1 pep chromosome:AGI1.1:4:8756384:8758485:1 gene:ORGLA04G0057300 transcript:ORGLA04G0057300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PK54] MGSFPAAEETTATAAARPHAVMVPYPAQGHVTPMLKLAVLLHARGFHVTFVNNEFNHRRLLRARGAGALDGAPGFRFAAIDDGLPPSDADATQDVPALCHSVRTTCLPRFKALLAKLDEEADADAGAGAGDARRVTCVVADSTMAFAILAARELGLRCATLWTASACGFMGYYHYKHLLDRGLFPLKSEADLSNGHLDTKMDWIPGMPADLRLRDLPSFVRSTDRDDIMFNFFIDVTATMPLASAVILNTFDELDAPLMVAMSALLPPIYTVGPLHLTARNNLPADSPVAGVGSNLWKEQGEALRWLDGRPPRSVVYVNFGSITVMSAEHLLEFAWGLAGSGYAFLWNVRPDLVKGDAAALPPEFAAATGERSMLTTWCPQAEVLEHEAVGVFLTHSGWNSTLESIVGGVPMVCWPFFAEQQTNCRYKRTEWGIGAEIPDDVRRGEVEALIREAMDGEKGREMRRRVAELRESAVASGQQGGRSMQNLDRLIDEVLLA >ORGLA04G0057200.1 pep chromosome:AGI1.1:4:8732461:8733273:-1 gene:ORGLA04G0057200 transcript:ORGLA04G0057200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWETPRDGASPSRMTAGPVGRLSPPATHLCSTTRRACTTWWRRARRSTGAAGCATRRTRRPRRPGAPRSSSRRASTTSSAASPATAPPG >ORGLA04G0057100.1 pep chromosome:AGI1.1:4:8728347:8729911:-1 gene:ORGLA04G0057100 transcript:ORGLA04G0057100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PK52] MGSLPAAAEARPHAVMVPYPAQGHVTPMLTLAKLLYSRGFHVTFVNNEFNHRRLLRARGARALDGAPGFRFAAMDDGLPPSDADATQDVPALCHSVRTTWLPRFMSLLAKLDDEAAAAAAADGAARRVTCVVADSNMAFGIHAARELGLRCATLWTASACGFMGYYHYKHLLDRGLFPLKSEADLSNGHLDTTVDWIPGMPGDLRLRDLPSFVRSTDRDDIMFNFFVHVTASMSLAEAVIINTFDELDAPSSPLMGAMAALLPPIYTVGPLHLAARSNVPADSPVAGVGSNLWKEQGEALRWLDGRPPRSVVYVNFGSITVMSAEHLAEFAWGLAGSGYAFLWNVRPDLVKGDGGAAPALPPEFAAAAEARGMLTTWCPQAEVLEHEAVGVFLTHSGWNSTLESIAGGVPMVCWPFFAEQQTNCRYKRTEWGIGAEIPDDVRRGEVEALIREAMDGEKGREMRRRVAELRDSAVAAAKPGGRSVQNIDRLIDEVLMA >ORGLA04G0057000.1 pep chromosome:AGI1.1:4:8725605:8726083:-1 gene:ORGLA04G0057000 transcript:ORGLA04G0057000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIKAEENTPRGGGDGWQGGTKSLLHGLRSGNRCGGCSEVVKHDCGARDKWRAKLSGALETGTGNATVVAGDGARAPTGGLWCLVDATASGC >ORGLA04G0056900.1 pep chromosome:AGI1.1:4:8705679:8708995:-1 gene:ORGLA04G0056900 transcript:ORGLA04G0056900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G60770) TAIR;Acc:AT1G60770] MATRVKDVARRSSKKYVDEALYRRLFRRGSTPQAVREEVDGFLDSRKRAFKWEVGVCVRRLRKQALYRPALKLSEVMARRGMNPTVSDQAIRLDLVAKSRGIAAAEKYFLDLPETSKTHLTYGALLNCYCKDLMTEKAEALMEKMKELNFAFTAMCYNSLMTLYTKVNQHEKVPSVIQDMKADDVLPDIYTYNVWMRALAARVDIKGVERVIEEMKRDGRVTPDWTTYSNLASIYVDAGLFEKAEAALKELEKRNTSNDLEAYQFLITLYARAQNLVEVHRVWRSLKRNQPRRANMSYLNMIQALANLKDLPGAEACFKEWEAQYINPPKTNTKAPGKAETSSNESDVKATKDKGTDGELKHPKYDIRVANAMIKAYITEGMFDKAVAVKKRAKMRGGRLNAKTWEIFMEHYLKEGDLKMVHWCADRAIKKGHSAGRIWVPPHEVTETLMDYFEKNKDVDGAEKFVEVLKKVQKDLGTVVFEPLVRTYAAAGKKLPGMRHRLKIENVEVSEETAKLLDSVCIDQ >ORGLA04G0056800.1 pep chromosome:AGI1.1:4:8699537:8703444:1 gene:ORGLA04G0056800 transcript:ORGLA04G0056800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:coenzyme F420 hydrogenase family / dehydrogenase, beta subunit family [Source:Projected from Arabidopsis thaliana (AT1G04620) TAIR;Acc:AT1G04620] MARCISFLSTSSSLPCATKPPCCSVSSVLPSSPSSHQCRGRKTSCRSIRALREDWRERSKAIPPGGVYPAKDHCSQCGLCDTYYIAHVKNACAFLGDGMSRVEDLEPLVHGRGRKQDMDEMYFGVYEQLLYARKMKPVEGAQWTGIVTTIAVEMLKANMVDAVVCVQSDPDDRLAPMPVLARTPDEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPAKDLVDVIAPSCYSCFDYTNGLAVRNDRGREMLSLVEGLLESTPTVSSGVRQPFVIETVKADDEAKQGRGPSQPAPTFVGNVIAFLLNLIGPKGLEFARYSLDYHTIRNYLHVNRAWGKQRAEQHIPSYAKKIVEAYDKDGRIESMLHQYIP >ORGLA04G0056700.1 pep chromosome:AGI1.1:4:8689809:8690657:-1 gene:ORGLA04G0056700 transcript:ORGLA04G0056700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDAAEVEVRRRLRDVGARLSSLPDDGELLRLLQEAAKLLYRVNQCEVDRIHSALIPVMRALIKKELLDHTDPGVKLAVASCLTTLIKIRAPDPPYDDDVMKVTFSSSCSSGDEMVVFECITDLYLLPCFRMFSSLLLGSSVNWMMWTAPPMEQGFQCLELLQGYGVVPCC >ORGLA04G0056600.1 pep chromosome:AGI1.1:4:8679206:8680696:1 gene:ORGLA04G0056600 transcript:ORGLA04G0056600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PK47] MGSTATARRQHHAVMVPYPAQGHVTPMLKLAKLLHARGFHVTFVNTEFNHRRLLASRGAAALDGVVPGFRFAAIPDGLPPSDPDATQDIPALCYSTMTTCLPHLDALLATINADAAAAPPVTCVVCDGVMSFAYDAARRIGVPCAALWTASACGLMGYRHYRHLVERGLVPLMDAAQLTDGYLDTVVDGARGMCDGVRLRDLPSFIRTTDRGDTMLNFLMRECERLSLPDAVIVNTFDDLERQALDEMRRVLPPPVYAVGPLLLQVRRAVPAGSQLDVAVGSNLWKEQGGLLEWLDGRPPRSVVYVNYGSIAVMTNEQLLEFAWGLAHSGYPFLWNVRPDLVKGDAAVLPLEFLAAVEGRGLLTTWCPQEQVIEHPAVGVFLTHSGWNSTLESLAAGVPMLSWPFFAEQQTNCRYKRTEWGVGMEIGGEARRGEVAALIREAMEGEKGAEMRRRAAGWKEAAARAARPGGPAECGLDRLIHEVLLAGGNKGGQRLS >ORGLA04G0056500.1 pep chromosome:AGI1.1:4:8665878:8667356:1 gene:ORGLA04G0056500 transcript:ORGLA04G0056500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PK46] MARRQRHAVMIPYPAQGHITPMMKLAKLLHARGFHVTFVNTEFNNRRMLASRGAAALDGGVPGFRFAAIPDGLPPSDADATQDIPALCRSTMTTCLPHVVALLAELNDPASGVPPVTCVVADAIMSFAYDAARRIGVPCAALCTPSACGFVGYSHYRQLVERGLVPLRDAAQLTDGYLDTVVDGARGMCDGIQLRDFPSFIRTTDRGDIMLNFIMREAERLTLPDAVVLNTFDDLERPALDAMRAILPPVYTVGPLHLHVRHVVPKGSPLDTAIGSNLWKEQGGLLEWLDGRPPRSVVYVNYGSIAVMTNEQLLEFAWGLAHSGYPFLWNVRPDLVKGDAAVLPPEFLAAVEGRGMLTTWCPQEQVIEHPAVGVFLTHSGWNSTLESLAAGVPMLSWPFFAEQQTNCRYKRTEWGVGMEIGGEVERSDVAATIREAMEGEKGREMRRRAAEWKEMATRVTLPGGTADINLTRLIDEVLLSGGKKRQALDARD >ORGLA04G0056400.1 pep chromosome:AGI1.1:4:8661499:8664199:1 gene:ORGLA04G0056400 transcript:ORGLA04G0056400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14600) TAIR;Acc:AT5G14600] MMVPLDPSSKPTSQRRIAEGDTVVVYERHDAMRAVAVRPGAVLQNRFGVFRHDDWIGRPFGCKVHSAASAGGGGARGGKGKGGGFVHLLAPTPELWTLVLSHRTQILYLADISLVVSYLELVPGCLVLESGTGSGSLTTSLARAVAPHGRVCTFDFHDQRAASAREDFERNGLTSIITVAVRDIQGQGFPEEHTGAADAVFLDLPQPWLAIPSAGTMLKQDGVLCSFSPCIEQVQRACEAMRSCFTDIRTFEILLRTYEVREGGLKGATTNEESNAVPLAQKKRKLLAAAETLDVKQNSSVMVRPCSTARGHTGYLTFARLRVHGN >ORGLA04G0056300.1 pep chromosome:AGI1.1:4:8655746:8656219:1 gene:ORGLA04G0056300 transcript:ORGLA04G0056300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEVEEVGLVIDVISVGAKAKEQHNAAAILFYLSSNNSDASWRRSRRWSSSSGTAHTHGQKNAMVILYGLLQSAANLSTAIAAGAVLALMTLLSNDRDDLAQDSIVLLARKAWQTSGAVAVLSQSGLAEALAASSSYPSANVIEQHDMAVHKKHH >ORGLA04G0056200.1 pep chromosome:AGI1.1:4:8651884:8653584:-1 gene:ORGLA04G0056200 transcript:ORGLA04G0056200.1 gene_biotype:protein_coding transcript_biotype:protein_coding YHFNFFCHRVARTLDAWMLGANAKAYGNEVMQPLVISTEDAILCKPLLILPCWSIDYLMGEDEPFFSRHFSTSVQSNFVHDELYTLFDEDTLRIWDDMEEGAYHKSDKDGAEMEDYIVLKS >ORGLA04G0056100.1 pep chromosome:AGI1.1:4:8637863:8638246:-1 gene:ORGLA04G0056100 transcript:ORGLA04G0056100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELSKLPDGSPASPSIHGDSFGSHSPERLTPSPVMREVDLPPEFHVRGPPTPDWPPPPTESDEERFREDLEQYYNDGYVSTPCPSPPSDLCDSEENLEDEDEQDDNRRHGDVLPKVNQSKNTASPPSYV >ORGLA04G0056000.1 pep chromosome:AGI1.1:4:8634450:8636564:1 gene:ORGLA04G0056000 transcript:ORGLA04G0056000.1 gene_biotype:protein_coding transcript_biotype:protein_coding EICITGIIQPYAPAVEPALPEFGVPNTILALTAHVQDEIAEHNTQGDSSHDDDDNLSLSLPPDELLTDSQLAAKIDQICILESASDDSTEVNKEANYAARQHASPVKHCVKSASPVKHCVKRSARYVPPATQSVPKDDNVAVQLLDLILSDPTQFGRFVTFLPIACTLQIHNXFISILPHLLTQSDILPHSPPLVEVDCYSANATDIATSFKVGSMTEGIFIDAFASLLFKDEKRESPETFGKRIFIPTSVMGLLNIENVTRVGRKDNFSPRALAEHLSECLKGVDLSKAEQLLLPIINNDHWTLYIVYLNQGSFDILDSNDYDQIGGKQSQHHYPLAQKVLKRLSDGFQSFMPKVFKKFGNYHREFVKCPKMVPCSNDCTFYVMRYMERYQGNPDKLADDFQPPESRVLRAQIMHQLIFHRFNLAPCIHPAIEDLRPLDDGEGSSH >ORGLA04G0055900.1 pep chromosome:AGI1.1:4:8630367:8633469:1 gene:ORGLA04G0055900 transcript:ORGLA04G0055900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XSGREKCQRRLGASSSWVRNQNARLCLINPSRARAQLPAPSELVQTEEVNKAPPKNVAARTNRIEPXSTLRLLSRQRQSILSLHAAARSLQTMHVVRCRRYTDKLWQRLVWVSLQRXLSTDWSSLTSPTGXWTEQTQNRXPMTYLRIRRXSXLHGRCCCHPLVCYQAXGRMDWIXHLKGSKDQLVEGCFXCPPXQPCSMAQEQNWPKAADIYTLLCCIPCAVVHRXPQGAKGFIDSXSLPNSSHTVYTKQLVEDISXEDRITDSSGNYVFGNLPMSGILGSCYSHPNYDKEKEPRGDNSGTPFADELVSAVEISFPSMFDTIGPHLSGLQDEHKQRVLDALGGYDCQSKLSADAIAKQIRLVQTCHACVSDHIVSIIRGESRTQPPLGLQPQPASHSQPDSQHGPVASPTSEEAQDHHIHNTPDISPTNSPAPQPCRIITPDATFNPTPQITSTEPHPHLSGELFPTIDKTAIADETQALTPQPDADFQGGCDVGIPLXGIIDFTMTSEGTYTTQSHTADGIERHHDLPDVDDEHGIETDTSMQGCQRFQFFFPTA >ORGLA04G0055800.1 pep chromosome:AGI1.1:4:8607314:8607754:1 gene:ORGLA04G0055800 transcript:ORGLA04G0055800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAIAARGAAVATSRGGFEASRCSLCARAPLPAATALKPPTAVTHGLFTMPTIVAGLDSLQLPRVVPTRSDAITLDPHVGCPDPATPAPDPLLSVRRVTILMLRQVATGDRPHHHPPDWPLGSPAAHSGGGDGRDRKEARQQRG >ORGLA04G0055700.1 pep chromosome:AGI1.1:4:8592236:8592457:1 gene:ORGLA04G0055700 transcript:ORGLA04G0055700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSQIWKESKFGTFGYVKFLSCTSGFSKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA04G0055600.1 pep chromosome:AGI1.1:4:8583809:8584030:1 gene:ORGLA04G0055600 transcript:ORGLA04G0055600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWYTMELLCAYGMRSRIWKESKFGTFGYVKFLSCTSGFPKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA04G0055500.1 pep chromosome:AGI1.1:4:8543724:8544077:-1 gene:ORGLA04G0055500 transcript:ORGLA04G0055500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLAVAVAALLVVGSCATELTFKVAEGSSAKSLELVTNVAISEVEVKEKGGKDWVALKESSSNTWTLKSESPLKGPFSVRFLVKNGGYRVVDDVIPESFIAGSEYKSGIQL >ORGLA04G0055400.1 pep chromosome:AGI1.1:4:8528675:8529028:-1 gene:ORGLA04G0055400 transcript:ORGLA04G0055400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSSFRLAVAVAALLVIGSCATELTFKVAEGSSATSLELVTNVAISEVEVKEKGGKDWVGLKESGSNTWTLKSEAPLKGPFSVRFLVKNGGYRVVDDVIPESFTAGSEYKSGIQL >ORGLA04G0055300.1 pep chromosome:AGI1.1:4:8520380:8520733:-1 gene:ORGLA04G0055300 transcript:ORGLA04G0055300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLAVAVAALLVVGSCATELTFKVAEGSSASSLELVTNAAISEVEIKEKGGKDWVALKESSSNTWTIKSEAPLKGPFSVRFLVKNGGYRVVDDVIPESFTAGSEYKSGIQL >ORGLA04G0055200.1 pep chromosome:AGI1.1:4:8511749:8513343:1 gene:ORGLA04G0055200 transcript:ORGLA04G0055200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPVAMDSAGGNSGKLFDEMPPRSSDRGCPRSPSPAAMEHGFDRHCVVLGGMPKKRSRLELDAGVSLWAGLQPDILGIVLHFLPCLADRARMRSVCRHWRASANGHVLPPPLPLLVLPGFKFSSLSDKGDLMPVRCVPVPKEVAADDLRCVGSFDGWLVGVTPNKDRSDEYNRDADGDCFLVNVFSRKVIRLPQLCHMRYNFPAYSSKTLRIINGSGEVYFRVNDIYTMSLCNVALSASPDSRGKYIVAASSDHKCASKLALWQPGMISWHICAGVDIDGPRDLTFYLGKLYVLQRYKIRLFAFEVGEDNGGLMVSRVKRCLSALPLHHPYQEGGAISCNMVVWRGELLLIIRHYSDNYRDREVLKVEVFALDVNTNPYGLTEIHSLNGDCIFVGLGGCKSFPAGLHHGVEGDHIYFVPDDWKPYDTFVYCMRDGKMRSFAVKLLASEFDVDQLSRDFPVWLLPTE >ORGLA04G0055100.1 pep chromosome:AGI1.1:4:8463731:8465338:-1 gene:ORGLA04G0055100 transcript:ORGLA04G0055100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEESESWPPQLAPPSSPASAVLSDEDLLGEILLRLESHDHLVAVAIVCKHWLRVASGELFLRRFRVIHPPRLLGFCVDDGGGGDAGRRPQFKALPQHPGVAAAAAHRTRHRFFGAFGHLAGYHRPSIADCRDGRVLVESPDRAPRRLGINTPYRYTVLRPPHPRESVQLLPPLPPPPGRGAGKHVVERVFLPEDGGGGGGDHGITLVYVLLVERRVTARVHVLDSGGAWGAPTTAETELPAPSCDDAVDTVLPPINGDVYVVTTSGYTLGLRLGTTRFTVVELPDAARSSANFRMAWSHAAAADDDDDVARGRLCLVHGDGTRLSVWHRKTTTTEDDGGAAGVGWRLADTFCVREACERIEWLPDGWWTGRVAVIAVGDNAEFALLDLEKVGVVIYVHLQWRTVKMVYERKLADADDGGGGGGDRQRPVRVFPLTTVWPPTFPALDKPRQNCCVRSPDGLVGAVVMTCHAGWSATSPSCSRRDDDDEDEDVGAVLPGCSWAGWFDDMAAMVAQLAEWAAQPRSPRRWRWSCL >ORGLA04G0055000.1 pep chromosome:AGI1.1:4:8458368:8458997:1 gene:ORGLA04G0055000 transcript:ORGLA04G0055000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVKLLRIVIDVKRRVTFRKHLKGLMKKVSEFATLFLMVYGEVQMQATKVWPSVWEATRVLEHFKAMPQLGRYKKMINLEGILNKQIDKLKEKLHKVGREADESKTKLLLIEAINGCRPSLEGLTIEXITSLGRMANARLEIVNDRLKKLCEQGLIPVSVSLSGTEVPIQREGWLMDIARGTSSMGYNRFEGSSGSGIAGSNVDVA >ORGLA04G0054900.1 pep chromosome:AGI1.1:4:8419351:8420685:1 gene:ORGLA04G0054900 transcript:ORGLA04G0054900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAAASVLMLLAVTIYSCDSANLRLQLSHVDAGRGLTDWELLRRMAQRSKARATHLLSAQAQSGRGRSASAPVNPGAYDDGFPITEYLVHLAAGTPPQEVQLELDTGSDITWTQCKRCPASTCFNQTLPLFDPSASSSFASLPCSSPACQTTPPCGGGNNATSRACNYSISYSDGSVTRGELGREVFTFASGTGEGSSAAVPGLVFGCGHANRGVFTSNETGIAGFGRGSLSLPSQLKVGNFSHCFTTITGSKTSAVLLGLPANLYSNGRGGAVVQTTPLTHNSRNPTLYYLSLKGITVGSKRQLPVPESAALIDSGTSITSLPPRTYRVVRDEFAAQVKLPVVPGNATDPFTCFSAPLRGPKPDVPTMALHFEGATMRLPQENYVFEVVDDDDAGNSSRIICLAVIEGGEIILGNFQQQNMHVLYDLQNSKLSFVPAQCDQL >ORGLA04G0054800.1 pep chromosome:AGI1.1:4:8398089:8398697:-1 gene:ORGLA04G0054800 transcript:ORGLA04G0054800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWERWKERELGCSGRRADRSGGIDGLRDADLKLELACAEMPKEGLGNVPLNLELACAKLPESEV >ORGLA04G0054700.1 pep chromosome:AGI1.1:4:8387314:8388807:-1 gene:ORGLA04G0054700 transcript:ORGLA04G0054700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) TAIR;Acc:AT5G62990] MATTSSSSPLSFLSAHPIHPRRVRFPNPPTSTTAPPTPTAVRCTPAAASSAASARSIPPPKLVRCPALDRQAARANRLRFARKLLVLLLSKPRRFLPLRVLRRCHGYLGLPPHRRRPLVPFVLRYPALFRLFQAPTSHPLSPNLSTLAVALTPAAEALAADLAALRGSSELAPRLAAKIHRLLLLTPGRTLLVSKIAHLAPDLGLAMDFRATLCPRHPDLFTFVNTSHGHALQLVDPPPPPPLPLPPFRPAAVAPSDRLIDRPRRFPHLKLRRGLNLRRAHRDYLLRFHSLPEVSPFEPLDEGTSLEMMERRACVVVREVLAMTVEKRTLVDHLTHFRRDFGLPNRLRAMLVRHPELFYVSVKGLRHSVFLVEAFDDDGRLLVEDDMLVARDRLEELVRKGKRMRRAKKKGLLALADDSDEDDEEEDGEEQDSIQVDGESWDLLEDGGIGEDWEEVGDLGEGSDDDADAELDALEEFWVKKAVAEGLVDTGSELDAW >ORGLA04G0054600.1 pep chromosome:AGI1.1:4:8381744:8384215:1 gene:ORGLA04G0054600 transcript:ORGLA04G0054600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLQSLINIREAVSTVINFGEASKLEKDLSCLQVSLDKARAVIYRGEWGRFKNKDLAALLWHLKEATYDAEDLLRESNDQVLRQKMEDADRSLAGQFFSSSLNVVQTLIGGSKTRIKEVQDKLNKAVADLEGELNSVGLNFGIVQHMPATSSVIGVPQVFGRDEERDLVIEKLGVMIGRDNERDLVIEKMGVPLTRFVAAGAKGKRAAGGTVAKSASTPKRLKGESSRAGPIISQSKCIGNVSILPIFGIGGVGKTTLAQYIYNDERVRSHFRMRTWVCVSDLFDKKRIIEEIFKSITKKDSSQHSSNDLQEELKKKLKSQKFLLVLDDIWSITNREWEELNALLKDGLKGSMILVTTRLQNVANLVCTNNFEPFELKGLDEDKFWNFFKNCAFGQKRPADSECNNLHEIGQSIASRLCGSPLAAKTLGRLLNMELTSLVELVSGERTRPEDIGIRYLDDLRSRFLLQSDPKYPDKSRYVMHDLIHDMAQSVSVNECLLLQDLSSRNEGRKLHAVRHMSVLVADESLNNELRDIQYLNKLHSLWFGISLKVEITWFNQLSNILFMSLKGCKLVKLPESIGELHSLRYLDISHSRVKELPEKFWRLYSLQVVDASRSSLRVISPDVTKLINLRRLALPDYCALKLSVLTRLGNLSRLRNLKYFTVAPRNGREIGELKDMDKLSGTLTIKSICNVKSKEEASEARLVDKQYLKALELRWRESDGYNVMSSENGVLEGLCPPRRIERLVVINFRGDSFCPS >ORGLA04G0054500.1 pep chromosome:AGI1.1:4:8366907:8368216:-1 gene:ORGLA04G0054500 transcript:ORGLA04G0054500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHNFYMEASKKGLGNITARSPADCFGEEGYVWLDFSDLHAIYRRDKMDVNYVGVWCIDHYICLLIHPKDGTLVVLDPLDYSHKQYKEFLTILQYAYQYYKFKGGEQTRTREKLLCHKLECRTSFDDTGITNVQRDLCHFIHHECCHVKGDFFDPEGALAASDEFKDLREWNTTMP >ORGLA04G0054400.1 pep chromosome:AGI1.1:4:8346359:8347636:-1 gene:ORGLA04G0054400 transcript:ORGLA04G0054400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILRLQKEKSALEIEARQQRRTADERCAFYEDEVEELRDIVLVRERESRALQKEVDAYRRLLGLAAEDGDDDDDEDDMMTPPSTMVEGEPSTSRSVYKDSRNGAMPQLGNDYGFSLKTPFSPQELLSPIKVGHIKGINEDKLSAGPSEKIPMVGLKPEVDSSKDDRVETIEILPLSARSLDQVGYGEVVAAVDTKAPEQLPHEFQEECGGVDKIGGDSTGSENDANIYDVHVVDDICFPTEVKGLICRSFSDATMQAEKLQNRVAADDLLGKSLNAIKGAQDKIKHAASERKQSLQLQLLEDIANQLQEIKVAAEAGRQLHCASPRNLKKS >ORGLA04G0054300.1 pep chromosome:AGI1.1:4:8341526:8343812:1 gene:ORGLA04G0054300 transcript:ORGLA04G0054300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRHRLLAAAAALLLLLVSSAAALSSSAAEGEEDPLIEQVVGGGDGEGDELDAEAHFASFERRFGRAYRDAGERAYRMSVFAANLRRARRHQRLDPTATHGVTKFSDLTPGEFRDRFLGLRRPSLEGLVGREPHEAPILPTDGLPDDFDWREHGAVGPVKDQGSCGSCWSFSTSGALEGAHFLATGKLEVLSEQQMVDCDHECDASESRACDSGCNGGLMTTAFSYLMKSGGLQSEKDYPYAGRENTCKFDKSKIVAQVKNFSVISVNEDQIAANLVKHGPLAIGINAAYMQTYIGGVSCPFICGRHLDHGVLLVGYGSAGYAPIRFKEKPYWIIKNSWGENWGEKGYYKICRGPHDKNKCGVDSMVSSVTAIHTSKKE >ORGLA04G0054200.1 pep chromosome:AGI1.1:4:8324826:8335400:1 gene:ORGLA04G0054200 transcript:ORGLA04G0054200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGRGGRPVEEDFIELSSSDSDSDGEGGGGGGGSAKRSRGVGAGGSAGKRARVSAAGVAVDLPPGFLDPLPHAASAAAGAEASAGPSKSATKQFWKAGDYDGKPLGDGAPQSSVSGLDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVINGATYVNIDMLENNKDKTRMLLVEDDGGGMDPDKMRQCMSLGYSAKSKVASTIGQYGNGFKTSTMRLGADVLVFSRSGGKGGKRLTQSVGMLSYTFLRSTNKEDIVVPMIDYENQQGWKRKPRTTFADWNTSLQTIITWSPYSTEAELLEQFSSIKEQGTRIIIYNLWEDDEGHLELDFDEDIHDIQLRGGNRDEKNILMAKQFPNSKHFLTYRHSLRSYASILYLRVPSFFQMILRGKEIEHHNIVTDMMLKKEVKYKPVAPNGVPKDSNMVADVTIGFVKDAKHHVDVQGFNVYHKNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLVQMQKDYWSGNAHRIGYSGTRAGRSNETEEDAPEVTQSAQQSPYSTGKNYGKSNKKQSPYSTGKDNGKSNTKSGKASTSFHIQRRTDKSATTKQPGRSIMYGLSDTSDESDSEFVGAPTPTSSRSHILNPHRKSFQNGTALATPPSNGRTERERNKTASQPVQLNAASNGDYTIDDHETIIKQLRDENSSLKERLLKVEESLSQELAIERDKNKSLTERLEDAQRQLETSNKEQEALIDIFSEERGRRDLEEENLRGKLKDASSTIQDLLEQLNAARKGRKF >ORGLA04G0054100.1 pep chromosome:AGI1.1:4:8314210:8316120:-1 gene:ORGLA04G0054100 transcript:ORGLA04G0054100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-CoA synthetase 5 [Source:Projected from Arabidopsis thaliana (AT1G62940) TAIR;Acc:AT1G62940] MGDAAVPAMVVEEEEQEHVFRSRFPPVAVPDGVTVPEFVLDGAEAYADRVALVEAAAGGRSYTYGEVARDTARFARALRSVGVRKGHVVVVALPNLAVYPVVSLGIMSAGAVFSGVNPRALAAEIKKQVEDSEAKLVVANEVAFDKVKDAGVPVIGVGDKERMPGAISWDELLAAADRTGAGVVPVDAAQQSDLCALPYSSGTTGVSKGVMLSHRNLVSNLCSSMFAVAPETAGQVVTLGLMPFFHIYGITGICCATLRHKGTVVVMDRFDLRTFLRALVDHRVMFAPLVPPVMLAMVKSPVADEFDLSDLALKSVMTAAAPLAPDLLAAFQRKFPGVQVEEAYGLTEHSCITLTHAAGDGHGHVAKKSSVGFILPNLEVKFVDPDTGRSLPANTPGELCVRSQSVMQGYYKRKEETERTVDGKGWLHTGDVGYIDGDGDVFIVDRIKELIKYKGFQVAPAELEAVLLSHPSVEDAAVFGVPDEEAGEVPVACVVRRHGAEEGEEEIVAYVAERVASYKRVRVLHIVDAIPKSVSGKILRRQLRDEFIKRMKPSA >ORGLA04G0054000.1 pep chromosome:AGI1.1:4:8304301:8309154:-1 gene:ORGLA04G0054000 transcript:ORGLA04G0054000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRANLSRGVKEIRFLFCQSSPASAPAREFVKKNYGDIKARNPSLPVLIRECSGVEPQLWARYDMGVERCVRLDGLTEAQIDSKLEELAKAGGSLKSK >ORGLA04G0053900.1 pep chromosome:AGI1.1:4:8280919:8281544:-1 gene:ORGLA04G0053900 transcript:ORGLA04G0053900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVAAAAAGGDGGGGAPPTGAGSGVGAGAPGGAVNNNNNGGNSASPSSGGLFSGYNLLLLFLLLALFLFPLLALSLYYVRYVLVPVLHDASSMLLRYCYVPVPDIHDAHSMILAYCAVGGVDSFQGDWEKLYDKVNNAAYTCNADSDGFKIDASTATGDSPVFTATIKIHFSE >ORGLA04G0053800.1 pep chromosome:AGI1.1:4:8240311:8240580:-1 gene:ORGLA04G0053800 transcript:ORGLA04G0053800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNGGTRESSDQVALLRPSKCPTARTDQYPTRAVTSSKWDLRTALLFSAXLAVGIVWVKLDSLCKGELNHSNVPYSRFWSCVIRVIPTP >ORGLA04G0053700.1 pep chromosome:AGI1.1:4:8230099:8231300:-1 gene:ORGLA04G0053700 transcript:ORGLA04G0053700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMGDGGDLVMLMEVSQLKKLALLLRNNEEAQITQAVKSQNERVKYLHSVNHAYNHAVDLLDDGSATRNKYAAAAAGGGGEAKASIAEDVLEYVKYGLNMSMQNVRNCCLRVDCIGKIRAHYDSLVADLAGLHADDVANLRRLAKDTAMFKECMFEHCNKLRSGSARAMSKAFSMMLKQEGIKFPDLVKRHKNKLGFEGEFEHLTDAQKLEVYNSIIEESGRAKMPVTEMVSTAAGVAVLLATAGLMVWDIFTAEHTVEAVLRNSLNALAEVGAFAVQVVVEGAVTEAVADLELGVFVVSMAGFVAGAIAGLLFVAVAGVLVDLIMGTGGNVAPPVTDLKFHTATMPDGMQLAYIISHRG >ORGLA04G0053600.1 pep chromosome:AGI1.1:4:8219116:8219998:1 gene:ORGLA04G0053600 transcript:ORGLA04G0053600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFGDVVDNYKLDEMERYVGKAKRQEDRAREAMNLVNEDGKDKKAASYVQGVKDWYGNGESTLCLVYNATGATLRHVADHDWWGFIGRTPYPTEIGNGQWAAFHHVHKSGDSSGSEAAVVYRGTNADGVERDLLVAWSTPWSSFYRNKAYCAVGGVDSFLGDWEKLYDKVNNAAYTCNADSDGFKIDASTATGDSPVFTATIKIHFSQ >ORGLA04G0053500.1 pep chromosome:AGI1.1:4:8217638:8218566:-1 gene:ORGLA04G0053500 transcript:ORGLA04G0053500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFGVPITDETLEAMSRYAGKSISQVDRAREAMRLIHAEGKNLDALQHAVGLKASYGDGASAMVLVYNATGAALELVDGEGGTMDWYGYVYHEQPPASFQNGQWLAFLHAHPTAQSIGCEAARVFRGRDVDGQVRDFMVAWSLPWSATQNSAYTEVREKDHFPNYWGYIKEEKLEKAGKICTDQTDKNCASTVSVGGCTSSEFIAVLQHKFGPLPEE >ORGLA04G0053400.1 pep chromosome:AGI1.1:4:8201927:8202754:1 gene:ORGLA04G0053400 transcript:ORGLA04G0053400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFASPLDAAPAPPTAKPSPPPAPAAANGLVTQEELKRVAAHRAVEMVEPGMTLGLGTGSTAAHALDRLGDLLRSGELAAVAGVPTSLKTEAHAARVGIPMLPLGEAGGIDLSIDGADEVDPELNLVKGRGGSLLREKMIEGSGGRFVVIVDESKLVPRLGCTGAVPVEVVPFGCDHTLGLVRKVFDGLPGFSARLRTVASKDGEGKEEMFVTDNGNYIVEMFFEDGIRGDLNEISDRLLRITGVVEHGMFLGMATSVVVAKKDGTVALLHKKK >ORGLA04G0053300.1 pep chromosome:AGI1.1:4:8183174:8184658:1 gene:ORGLA04G0053300 transcript:ORGLA04G0053300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PK14] MAAAGHDGQLPHVAIFPFMARGHTVPMTHLACLLRRRCLAAVTFFTTPGNAPFVRGQLDDDVAVVELPFPDHVVARGAAEGVEALDSLFPLPAFVEAVSALRPGLEASLAAARPRVGLLVADAFLHWAHASAAALGVPTVAFLGGNMFATIMRDVILRDNPAAALLSGGGGAEAATFAVPEFPHVHLTLADIPVPFNHPSPEGPIMELNAKLGKAIAGSNGLIVNTFDAMEGRYVEHWNRDHRAGHRAWPIGPLCLAHGGIGTGTGAVEPSWMKWLDEKAAAGRAVLYVALGTAMAIPDAQLREVAGGLEAAAAAGVDFLWAVRPSDADLGAGFEERVEGRGMVVREWVDQWRILQHGCVRGFLSHCGWNSAVEGVAAGVPLAAWPMGAEQPLNAMLVVDELRVGVRVPPAPATATGGHGGLVGSEVIARVARELMMMVGEGKGGGGEAARNVAALAAKAREAVAEGGSSWKALEEMVATLCRPVEADTPFLPK >ORGLA04G0053200.1 pep chromosome:AGI1.1:4:8173087:8173758:-1 gene:ORGLA04G0053200 transcript:ORGLA04G0053200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKKVKLQRIVIDVKRRVTLMKRLKGLTKKVSEFATLFLMVYGEVEVQATKVWPSVWEAAKVLEHFKAMPQLDRYKKMSNLEGILNEQVDKLKEQLHKVGRDADENEAKLLLIEALNGRRPSLKGLTIEQITSLGWMANARLKIVNDRLKKLCEQGLIPASMSLSSTEVLPYTMVGYIAVDEAPIQREGWLMDVARGISSMGYSRFGGSNGSGTAESNGDMV >ORGLA04G0053100.1 pep chromosome:AGI1.1:4:8164098:8166197:1 gene:ORGLA04G0053100 transcript:ORGLA04G0053100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIKRIDNTTNRQVTFSKRRGGLMKKARELAILCDADVGLIVFSCTGRLYDFSSSSMKSIIERYQEAGEEHCRLLNPMSEAKFWQREVTTLRQQVQNLHHNNRQLLGEEISNFTVRDLQLLQNQVEMSLHSIRNKKDQLLAEEILKLNEKGSLVQKENSELRQKFNIAHQRNIELHKKLNCGESTSSEQVTRSSKDPGESSTPRESRVCIDIELSQKGVEDE >ORGLA04G0053000.1 pep chromosome:AGI1.1:4:8132935:8144659:-1 gene:ORGLA04G0053000 transcript:ORGLA04G0053000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phototropin 2 [Source:Projected from Arabidopsis thaliana (AT5G58140) TAIR;Acc:AT5G58140] MAGSSSSKEIVDAVEKWMAFPTSGGGGATAGLEIVAEDAPSGSSGAHQQQAWRPVAPATAGRDSGGTGSGKSSVDGGVGRASHDSLPRVSQELKDALSSLQQTFVVSDATRPDCPIIYASEGFFTMTGYSPREVVGRNCRFLQGPDTDAAEVAKIRDAVKHGRSFCGRLLNYRKDGAPFWNLLTVTPIRDDNGKVIKFIGMQVEVSKYTEGLSDKRMRPNELPVSLIRYDERQKDKAMSSMTEVVQTVKQPRGARAPADAALLTPPKMSDADKMAAMSPVVAPGTPSGGGGGAGSFKSPLWDLKKEESRLSRLASGRKSGRSSLMGFKIGKRSSVGSREAPAVVEEPAPAPPPAPEVVERTDSWERAEREKDIRQGIDLATTLERIEKNFVITDPRIPDNPIIFASDSFLELTEYTREEILGRNCGRFLQGPETDQGTVDKIREAIREQKEITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSENTEIQSAKLVKATAENVDDAVRELPDANLRPEDLWAIHSMRVSPKPHKRNNPSWIAIEKATNLGEKIGLKHFKPVKPLGCGDTGSVHLVELQGSGELFAMKAMDKSVMLNRNKVHRACIEREIYALLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFAVLDRQPMKIFREECARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQADGHIVLTDFDLSFLTTSKPHVIKNSTSLKRRRSQEFLPPTFVSEPSTPSNSFVGTEEYIAPEVITGAGHTSAIDWWALGILLYEMLYGRTPFRGKNRKKTFYNILHKDLTFPSSIPVSLAAKQLIHGLLQRDPSNRIGSNAGANDIKQHSFFQDINWPLIRCMSPPELDVPLKLIGKETQPKAKPDEDVPLNLDTF >ORGLA04G0052900.1 pep chromosome:AGI1.1:4:8123199:8128796:-1 gene:ORGLA04G0052900 transcript:ORGLA04G0052900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLKSAAARSPMRSPPPHPPSAPAPRDEGFEEEEEEEESARAIAVSDQRTIYLVNMFIANTVEFLNSFAAQCNDKLSLLHRKIVKLDSSLNLLEAKLRSIDDTNAFGHSTNQKAHGLFTQDGRFEPTNLLGESSRSGDA >ORGLA04G0052800.1 pep chromosome:AGI1.1:4:8118293:8120806:-1 gene:ORGLA04G0052800 transcript:ORGLA04G0052800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLKEKVLQSDISENDAEKQVLDFIRKYIGSATPLIAGNSVYMDLLFLKKYMPHLAAIFSHVIVDVSSISALCSRWFPKERKHAPRKEKNHRAMDDIRESIKELQYYKENIFKSRKSK >ORGLA04G0052700.1 pep chromosome:AGI1.1:4:8115433:8117427:1 gene:ORGLA04G0052700 transcript:ORGLA04G0052700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G59980) TAIR;Acc:AT3G59980] MMAAAARMAFASCGRLLAPSSSSSLPALPRTRGSVAASGKHPVSFLAAARSASVLCYCSDATVAPAPQAAAAEGEGEEGEKKAEVPPVEEVAALLDIRVGRVVKAWRHPEADTLYVEEVDVGEEQPRTICSGLVNYLPIDQLQDSNVIVLANLKPRNMRGIKSNGMLMAASDASHENVELLTPPEGSVPGERVWFGTEDGKDRQSEAASPNQVQKRRFGNQFSLILGHQKTAQHFLASTRCVPQRVWFSAKLCRVQECH >ORGLA04G0052600.1 pep chromosome:AGI1.1:4:8114246:8114521:1 gene:ORGLA04G0052600 transcript:ORGLA04G0052600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVFSQLGRPISLSTLLLTTSREQAWAASLVSIPSPAEMKTMIIFITKPRSSLSLLRFILNSSCRTNFLLTPSRLIHCSNGNTAAPSSSWSAL >ORGLA04G0052500.1 pep chromosome:AGI1.1:4:8091978:8094652:-1 gene:ORGLA04G0052500 transcript:ORGLA04G0052500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PK06] MVRPFCRSPHQLHHCHADISSHPSTMLPANFFFFILVIVIIALATIAPPVSAERYDYSTANLSTRWVNNAAALQHSVGYSDGSAVRAIVLRSPKTFYGPSYAAGFFCSPPCDAFLFAVYIVYTNSGAGITMTTTGIPQVVWSANRARPVRENATLELTYNGNLVLSDADGSLVWSSGSSGRSVAGMEITDTGNLVLFDQRNVTVWQSFDHPTDTLLPGQSLMEGMKLRANSTTTNSTENRVYMAVQPDGLFAYVESTPPQLYYSHSVNTNKSGKDPTKVTFTNGSLSIFVQSTQPSNISLPQASSTQYMRLEFDGHLRLYEWSNTGAKWTVVSDVIKVFPDDCAFPMACGKYGICTGGQCTCPLQSNSSLSYFKPVDERKANLGCSPLTPISCQEMRSHQLLALTDASYFDVSHTILNATNRDDCKQSCLKNCSCRAVMFRYGQNDSDGTCFSVSEVFSLQTIQPEALHYNSSAYLKVQLSPSASASTANKTKAILGATISAILILVLAITVITLYVQRRKYQEIDEEIDFEPLPGMPVRFSYEKLRECTKDFSKKLGEGGFGSVFEGEIGEERVAVKRLESAKQGKKEFLAEVETIGSIEHINLVRLIGFCAEKSNRLLVYEYMPRGSLDRWIYYRYNNDPLDWCTRCRIIMDIAKGLCYLHEECRRKIAHLDIKPQNILLDEKFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVLLEIICGRKNIDISQPEESVQLINLLREKAKDNVLIDIIDKKSTDMVSHHQEEVIKMLKLAMWCLQNESSRRPSMSMVVKVLEGAVSVENCLDYSFANANSVISAQDNPSTYSAPPSASILSGPR >ORGLA04G0052400.1 pep chromosome:AGI1.1:4:8088754:8089510:1 gene:ORGLA04G0052400 transcript:ORGLA04G0052400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPVRWLPPKLVLPGCVAIAARTRFSCYLAGARAIDNTAAKKDIAEKINHAGFGFDGLVMVVAALTDGQRSQQLAEMAQPARCTTVFNIQPKGQYHLIKAHFSENEIKNGENGKVDVVAWSILAF >ORGLA04G0052300.1 pep chromosome:AGI1.1:4:8073275:8081508:-1 gene:ORGLA04G0052300 transcript:ORGLA04G0052300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PK04] MAVVAVVLATAAPSVSGQRPDYPTANLSTRXVNNAAVLPHSITYTDGSAVRAIILRSTQTLYGPSFAAGFFCAPPCQAFLFAVFIANFNSSYGLPLEENNMARVIWSANRASPLGENAILELTGDGDLVLREIDGRLVWSSNTSGQSVAGMQITEHGNLVLFDQRNATVWQSFDHPTDVLVPGQSLLQGMKLRANTSTTNWTESKLYMTVLPDGLYGYVGSKPPQLCYKYLVDTNKSRKDPTRVTFTNGSLSIFLQSTQAGKPDKRIALPEAKSTQYIRLEYDGHLRLYEWSGFEWTMVSDVIHMDDVIDVDNCAFPTVCGEYVICTGGQCICPLQTNSSSSYFQPVDERKANLGCAPVTPISCQEMKNHQFLTLTDVYYFDGSIITNAKSRDDCKQACLKNCSCRAVLFRYYHNDSDGECKSVTEVFSLQSIQPEKLHYNSSVYLKVQLPPSASAPTQKRIKVSLGATLAAISSLVLVIIVGIYVRRRRKYQKLDEELDFDILPGMPMRFSFEKLRECTEDFSKKLGEGGFGSVFEGKIGEKRVAVKRLEGARQGKKEFLAEVETIGSIEHINLVKVIGFCAEKSNRLLVYEYMPRGSLDRWIYYRHNNAPLDWCTRCRIIVDIAKGLCYLHEKCRRKIAHLDIKPQNILLDEKFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVLLEIICGRKNIDISQPEESVQLINLLREKAKDNELNDIIDKKSTDMVSHHQEEVIKMLKLAMWCLQNESSRRPSMSMVVKVLEGAVSVENCLDYSFVNAYSVISTQDNSSTYSAPPSASILSGPR >ORGLA04G0052200.1 pep chromosome:AGI1.1:4:8061722:8064235:-1 gene:ORGLA04G0052200 transcript:ORGLA04G0052200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PK03] VSWQSLRRLHGAGGRGARSCRTTGAGQRSVYPSANLSTLWVNNKVLDTVTYDDGRANPVGENATLELTGDGDLVLREKANGRLIWSSGTSGQSVRRMEITEQGNLVLFDQRNMTVWQSFDHPTDALVPGQSLLQGKMLRANASPTNWTEGKIYITVLRDGVHGYVESTPPQLYLKYNVSRNMNQRDPTRITFTNGSLSIFVQSTQPGNPDDIIPLPEAKSTQYIRLESDGHMKLYEWFTEGKWTMVLDVTKQLLLDDCAFPTVCGGYGICTGGQCSCLIQSNSSSRYFQPIDYRRQSLGCAPVTPISCQEMKNHQLLTLTDVSYFDMGQIIMNAKNRDDCKQACLKNCSCRAVAFRYGQNDSNGECQSVTEVFSLQSIQLEKVNYNSSAYLKVQINPSSDPTQKKLKTILGATLAATITLVMVVIIAIYVRRSKYQELDEEFEEFDILPGMPVRFSFEKLRQCTEDFSKKLGEGGFGSVFEGKIDEERVAVKRLEGASQGKKEFMAEVETIGSIEHINLVRLIGFCAEKSHRLLVYEXMPRGSLDRWIYYHHNNAPLDWCTRCRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLGENFNAKLADFGLSKLIDRDQSNVMTVMRGTPGYLAPEWLTSPITEKVDIYSFGVVLMEIISGRKNIDISLPKESVQLINLLREKAQNNQLIDMINKHGNDMVSHKEEVIQMMKLAIWCLQNDSSRRPSMSTVVKVLEGAMSVENCLDYGFFNASSVISVQDNPSSCSAPPAASILSGPR >ORGLA04G0052100.1 pep chromosome:AGI1.1:4:8049384:8049776:1 gene:ORGLA04G0052100 transcript:ORGLA04G0052100.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGAAVRRHRPGVAVAVHRWLEVPADDAPQSLALLLCRGSGSGGGASVAGLIPWSSRMPSSRRCSRSMSMLGVPSASWTVVGVGTVTLVVFADVAVALDVIAHTHIRQVQTAACTCVFVRAYICMHGDDQL >ORGLA04G0052000.1 pep chromosome:AGI1.1:4:8044691:8046028:-1 gene:ORGLA04G0052000 transcript:ORGLA04G0052000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKDDCKQACLKNCSCRAVAFRYGQNDSDGQCQSVTEVFSLQSIQPETVHYNSSAYLKVQITPSASDPTQNKKKTILAATLAAITTLVLVVIVAIYVRRRRKYQELDEELDFDILPGMPTRFSFEKLRECTDDFSKKLGEGGFGSVFEGKIGEESVAVKRLEGARQGKKEFLAEVETIGSIEHINLVRLIGFCAEKSNRLLVYEYMPRGSLDRWIYYRHNNAPLDWCTRCKIIMDIAKGLCYLHEECRRKIAHLDIKPQNILLDENFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTSQITEKVDIYSFGVVLMEIVSGRKNIDISQPEESVQLINLLREKAQNDQLLDMIDKHSNDMVSHQEEVIQMMKLAMWCLQNDSSRRPSMSMVVKVLEGAMSVENCLDYSFFNANSVISAQGNPSTYSAPPQASILSGPR >ORGLA04G0051900.1 pep chromosome:AGI1.1:4:8033146:8036355:1 gene:ORGLA04G0051900 transcript:ORGLA04G0051900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELEFSAASXTFVHESXSFGSWELIEMQVRGTMISTRPXTWAKEEVCVGAEEEFCVGAGEEGVSCTLRIILPIIPEHHSSAGAILQAGFLAIISVFCIGNNLAFIKVRNIRKSQELMVLHFLTRYWSHWCTTKISLPLINWMEVT >ORGLA04G0051800.1 pep chromosome:AGI1.1:4:8026733:8029787:-1 gene:ORGLA04G0051800 transcript:ORGLA04G0051800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNANAVACLIMKLHITIPVSTLKMLELLKLMISACAFPHDTAEGNLFTRIHGNQSYMGMPVKPIVVTLGLEVVKPIDLQKKLKAPSAPFVSGQPYDYPMANLSTRWVNNAAMLKHNSYTDGSAVRAIVLRSQKQLPGISFAAGFFCAPPCQAFLFAVFIVYTNSGAGITLSVNGMAQVIWSANRASLAGENATIELTGDGNLVLHEANGRLVWSSNTSVQLVAGMEITEHGNLVLFDQRNATVWQSFDHPTDVLVHGQSLLQGMKLRANTSTTNWTESKLYMTVLPDGLYGYVGSKPPQLYYTYLVDTNKSRKDPTRVTFTNGSLNIFLQSTQAGKPEAIIALPEAKSIQYIRLEYDGHLRLYEWSDEKWTMVSDVIKKYPDDCAFPTPNYCKCEKQRGLQASLLEELLLQGCNVQKLGEGGFGSVFEGKISEERVAVKHLESARQGNKEFLAEVETIGSIEHINLVRLIGFCVEKSNRILVYEYMPRGSLDKWIYYRHNNTPLDWNTRCRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLDENFNAKLADFGLSKLMDRDQSKVMTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVLMEIISGRKNIDFSQPEESVQLIKLLCEKAQNNQLIDMVDKHSNDMISRQEEVIQMMKLPMWCLQNDSCQRPSMSMVVKSCLLKAIHRYILLHLLHQYYLVQDEMGG >ORGLA04G0051700.1 pep chromosome:AGI1.1:4:8025530:8026303:1 gene:ORGLA04G0051700 transcript:ORGLA04G0051700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPYLSLAAWLDRCGCFPFRLWASQRLGQATVRSGQEVFGEMRESHHRRELLACWGRRTHARFGSGGYLGRGGNLWTRYYVGCSLVGFYSVETSNLSGLIRLLSFFFADSKTSAVISEKTMAELSEEADEV >ORGLA04G0051600.1 pep chromosome:AGI1.1:4:8017019:8019519:-1 gene:ORGLA04G0051600 transcript:ORGLA04G0051600.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASRCWWSWFLQLQQGRSPGSAPTIPWPTSRHCGSTIETGSLTASHMTTGLWYAAYSSCHHKPFMAPPLPQGSSAPHPAENSSSLSSLSSPAAVLCSLXRXTRLSGVPTGEAPXERMLPLSXLEMVTSSSVKKPMADSSGRAALQADPCKAWRSLRMATWCCLIRGMEQCGSHLIIQQTHWSQGSHCCRAXSSKQIPPPQIGLRVRYIXLFSKMVYMAMLNPHHHNSTITMXXAQTRAREFQQRLPSQMAASASLCSPHNQEIQMAVSHCQKLSPSSTXEVFSLKTIQPQTATYNSTAYLKVQLTPSSSAPTQNKSYKTKTILGSILAAIGALILVVVVAIYVQKRRKYRERDEELDFDIMPGMPMRFSFQKLRKSTEDFSKKLGEGGFGSVYEGKISEEKVAVKRLESARQGKKEFLAEVETIGSIEHINLVRLIGVCVEKSNRLLVYEYMSRGSLDRWIYYHHNNAPLDWSTRCRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLDDNFNAKLADFGLSKLIDRDQSKFGVVLMEIISGRKNIDISQPEEAVQLINLLREKAQNNQLIDMIDKHSNDMVSHQEEVIQMMKLAMWCLQNDSDRRPSMSMVVKVLEGAMRVENCLDYSFFNANSVISVQGIPSTYSAPPRASILSSPR >ORGLA04G0051500.1 pep chromosome:AGI1.1:4:8015519:8016554:1 gene:ORGLA04G0051500 transcript:ORGLA04G0051500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSQASCILMLRASVVLTVPGCGAVEPTDGAVASGVNLILWVMGADQNVDPLNNIFDQSLNILLLLLSKRRMAELSEEKYEQHIEIITKNPTYLLVGARGCTQAPQLIVVLDRMVRSVASPPLSRDEQRMRWRVCEINFLLIDSNISLNTEERPNT >ORGLA04G0051400.1 pep chromosome:AGI1.1:4:7999282:8002586:-1 gene:ORGLA04G0051400 transcript:ORGLA04G0051400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAWRERANVDVVGEGYAVDRAGPSALAAALHGAAVLLRVAADWDWFVTLSSSDYPLVTQDDLLYAFSSVARDLNFIDHTSDLGWKEHERFEKLIVDPSLYMDRNSEILPATEPRQMPDAFKIFTGSPWVILSRNFTEHCVHGWDNLPRKLLMYFANTAYSMESYFQTVICNSSKFRNTTVNGDLRYFVWDEPPGLEPLVLDESHFDDMVNSSAAFARRFVDDSPVLKKIDKEILNRSSAVCASFSRRRGMDVDSCSKWGDVNVLRPAHAGEQLRRFISEISQTRGCS >ORGLA04G0051300.1 pep chromosome:AGI1.1:4:7954614:7956102:-1 gene:ORGLA04G0051300 transcript:ORGLA04G0051300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADMGVLLDLYWHTPHIFDLAVADSLLMDGFGGGMYGPAAPPATPAMVEKEYDESLSELYAYTSQSRYADSSSPDVVNSCSTAVASAAASSKNIAMERDRRKRLNEKLFALRAVVPKITKMDKASIVRDAIAHIEKLQEEERQLLDEISVLQSAAAVAATVVEDVDDSGVTMPSMKKLRSTPPLDGGGGALRVASSPPLQILEVETKETEDFYGLILQTSQSWPSDPQLQVSKVGEKTVAVSIRCAKTRGAMAKVCHAVVSLRLKVVSASVAAVDGTIVHTMFVEVTN >ORGLA04G0051200.1 pep chromosome:AGI1.1:4:7943791:7944270:-1 gene:ORGLA04G0051200 transcript:ORGLA04G0051200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLQPATCMLADLSRSQDATARDGTTTVFVFTGSLLRHTHSLLSAGSPLAVDAALAVVDLAHPDLLDLRGGGGEADAALASSDRKKIRGLDSFSSLEVCRGGERGCGCGLDILGCRGGRARQLVGEVRKVEVRRAALDVEHGDVVRARGHLGGGEGGG >ORGLA04G0051100.1 pep chromosome:AGI1.1:4:7906265:7906486:-1 gene:ORGLA04G0051100 transcript:ORGLA04G0051100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTLEVLCAYGMRSRIWKESKFCMIGYVKFVSCTRGFPMVFKTLSMSLVRGFRLSTSGINRGGA >ORGLA04G0051000.1 pep chromosome:AGI1.1:4:7853301:7853711:1 gene:ORGLA04G0051000 transcript:ORGLA04G0051000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRTRGKGVIPWKIGFKEDIHTYRSQMRSKRDTEAKIADLEYKVSSYELSMQEEVARKVDERMATHRSQDPQPYIPPAMVSPSGNRSSCALTGQVRSQSMDAMQTQGKTTCPVDGITQRTPCELHIPFKNLSIKVCS >ORGLA04G0050900.1 pep chromosome:AGI1.1:4:7804295:7805177:1 gene:ORGLA04G0050900 transcript:ORGLA04G0050900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMALLLLDAVVAFVVAXYVRATSDRSIPEKIHMAQRASRRRGGNATEIAAMQWQAFDQQFSRDPRRWHGMHGSVHMRCRVAAAAASAASY >ORGLA04G0050800.1 pep chromosome:AGI1.1:4:7784208:7788680:-1 gene:ORGLA04G0050800 transcript:ORGLA04G0050800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAYTIHQSLTAEAAAVLKLALGIARRRGHAQVTPLHVAFALLSPACSPPHAPQQQPAPPPYGLLKRACLRSHPSAAVAVAAHPLQCRALELCFNVALNRLPTSAPHSPPPSSSAPSGAVAPPFASSLIQPNPTLSNALVAALKRAQANQRRGCVELQQQPPPPPPPPPPVAASTQQQQQPLLAIKVELDQLIISILDDPSVSRVMREAGFSSSTVKSNLEEESALMMSTSSSPPPPAIPPHFFLDPSIGVGGNGGGGGGVGGGFMLWPAPFLSSPGMAVPSSCKEDVRAVLEVMVRKQGRRTNPVVVGDSVSMAEAVAGELLRRLEGGDVPDELAGAHLLKLQLSYVHVRLMSRADVDAKAAELRRSVDAVKRGGLVVYVGDLRWALDEDHHHGAGHHNTASSYSPVEHMVAELGRLLGDLRASAPPRGRVWLVATASYQTYMRCRRRRPSLESAWALQAVVVPTGAGTGLALNNLHAVATTSNGEPVQQAMVATNHQQQQQQRASPFVAMAAEPAARDELDDRLLVLCTECSHNYEREASAVKAEAAADEEGPRAAGNLPGWLVPEPPKENYLIELKRKWSRLCRKLHLCGGGDPCSGQSFGAGTYGNGPSSLLPWWSASCLLPNGGGKPSIAGFLGMEALRWSPPAAAALPSLSSLREPECQDVTTALALGSLPLSDSASSSGGGGGDGAAARELERRLRKNVPWQRAAVAEIADAVAAGARSGNGTKGAGVWLLLKGSDHAAVRRVAAVIAETHCGSADRVVVVSADPNKFGCADDFRSDVVARASMAAAAGGNKLVLVVDDVERAPQHVVECLVAASRSGALKDKFGGQELDLSGSVVVMTTSKLADAAVSGVISLRLYTSEQSPPSGDLKRKTPTSSPPTSDRKRARARRSAGNGHSLDLNLNLFAHDDDDNDAGDVDDDDDGVPSDITHEGGVDDSGEHGHSHHRHHRLLLESIATRVVTLDGDHHGAAAAVRERLSGRLDGGGRELRVDGEA >ORGLA04G0050700.1 pep chromosome:AGI1.1:4:7766298:7772283:-1 gene:ORGLA04G0050700 transcript:ORGLA04G0050700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G51610) TAIR;Acc:AT1G51610] MRRPFAAAAALRLRLLSSSSSSSSSSSSLPRLPSSPYPLHHLLLLSRRSGDHHDHDHPSPPPPFSPRPLLASGVLGLSRWRARARALPPAPSPPRGPVADAPPVRLTLSRSYSLRVAKAKKKAHFDDEHSHRAVNTALWCNFLVFSLKFGVWLSTSSHVMLAELVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWNSQPPENIHYAALVIGGSFLIEGASLLVAIKAVRKGAAAEGMSIRDYIWRGHDPTSVAVMTEDGAAVTGLAIAGASLVAVQTTGNAMYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQRVLEFLKADPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERTGRGEWAKQFREASLSEDDTELIRVMSNYGEDVVEALGYEVDRLESEIQKIVPGIKHVDIEAHNPEGLSL >ORGLA04G0050600.1 pep chromosome:AGI1.1:4:7763495:7763950:-1 gene:ORGLA04G0050600 transcript:ORGLA04G0050600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXHGGAGKAVDGAVGAHGGAADGGRGRSARIGGXRRRGGAIAGRPGGAAAAQRREADEKVRALERVVRLPGLKHEVDDGGEGRERDNEQDEHEEEPGADADAGAAGVAPGACGGFSAGRGARSPAAGRGTP >ORGLA04G0050500.1 pep chromosome:AGI1.1:4:7702008:7702912:-1 gene:ORGLA04G0050500 transcript:ORGLA04G0050500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGICGCKQQAPTVNLQKPIDNLYMDDINAHFEDILQADDGDRDASDGGDAPSFIYMWPCPSNCSKPYSAHCYISVQDEMQTNLHQGTTTILKEEASGITLPDFVHDSALSLHLHDCLSITSIPGHASAAIDLSWRILWWCRIERCPNLEGTIFTAPRTRDNIFRGLETFWASQLLKVFYIWDWDTSLFQPSYNSFENLKFLHLDRCPRIPKAKAHPPARATQAAAHLRPQDVHARARDREDXGLLEPQTAAICWSPP >ORGLA04G0050400.1 pep chromosome:AGI1.1:4:7643829:7647618:-1 gene:ORGLA04G0050400 transcript:ORGLA04G0050400.1 gene_biotype:protein_coding transcript_biotype:protein_coding DWSHIELIAFQGNSFEGPIPESLSNLTKLTTLRIGDIVNGISPLALISNLTSLNTLILRNCKIYGDLGAVDFSMFEKLSLLDLSFNNITGEVSQSILNLGNLQFLFLGNNSLTGRLPDGISSSLKAIDFSYNQLTGSIPSWASQNNLQLNLVANNFLLGSTSNSTLPWGLNCLQQDTPCFRGSPKYYSFAVDCGSNTSTRGSDNTIYEADPANLGAATYYVTGQTRWGVSSVGHYFRATDAKNIIYSSQNFNNVVDSKLFETGRVSPSSLRYYGLGLENGNYTVLLRFAEIAFPDSQTWLSLGKRVFDIYIQGALKEKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWVGKGGGIYGPLISALSVTPNFTPTVRNGIPKSESKVGIIAGISIGAIVLVLAALFGVFTLLKKRRALAYQKEELYCLVGQPDVFNYAELKLATDNFSSQNILGEGGFGPVYKQYFVHAQNIHVTNLAGKTPLLVYEYLENGSLDQAIFGDSSLNLDWVTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTNLTPKISDFGLAKLYDEKQTHVSTRIAGTLGYLAPEYAMRGHLSEKADVFAFGVVMLEIVAGRPNTNNSLEENKIYLLEWAWGMYDKDQPLKIVDPTIKDFDKDEAFRVINVALLCTQGSPHQRPPMSRVVAMLTRDVDAPKVVTKPSYITEWQLRGGGNNVNTSNSYAGSSYQT >ORGLA04G0050300.1 pep chromosome:AGI1.1:4:7589574:7590268:-1 gene:ORGLA04G0050300 transcript:ORGLA04G0050300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRLAAXSQEKPSVAKPVSTRSAGKAVDPRGEGGGREVEDNGASSTTTEWRASDIPVREADGNEGRWPWQCGRRG >ORGLA04G0050200.1 pep chromosome:AGI1.1:4:7572837:7576572:1 gene:ORGLA04G0050200 transcript:ORGLA04G0050200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLLRRRSPSGFSPSSTAEEVTAGVDGSGLVAVVTGASSGIGAETCRILAMRGVHVVMGVRNSSAGARVRDEIVRQVPAAKIEMLDLDLSSMLSVRRFAENFSALNLPLNILVNNAGIAFVPFKLSEEGIELHFSTNHLGHFLLTDLLLEKMKVTAIESGIEGRVVIVASDSYKHPYREGIRFDKINDESGYNKIFAYGQSKLANILHSNLLSSNLKEQDAKVTVNSLHPGAVVTNIMRHWYFVNGMLSTLGKFFVKGVEQGAATVCYVALHPQVAGVTGKYFVDCNVTELKSHALDMGLAKRLWDFSLNLIH >ORGLA04G0050100.1 pep chromosome:AGI1.1:4:7557159:7568633:1 gene:ORGLA04G0050100 transcript:ORGLA04G0050100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLLRRSSSSGFSPSSTAEEVTAGIDGSGLVALITGASSGIGAETCRILVMRGVYVVMGVRNLSAGARVRDEILKQVPSAKIEILNLDLSSMSSVRRFAENFKALNLPLNILINNAGVCFVPFKLSEDGIELHFATNHVGHFLLTDLLIEKMKVTAIESGKEGRVVMVASVSYSFSYRGGIRFDKTNDESGYNRFLAYGQSKLANILHSNLLSSHLKEQDAKVIVNSLHPGAVATNILHHWCPLYGAIRAIGKYFVKGVEQGAATVCYVALHPQVAGVTGEYFSDCNITELKSHALDMDLAKRLWDFSLSLIR >ORGLA04G0050000.1 pep chromosome:AGI1.1:4:7545431:7550729:1 gene:ORGLA04G0050000 transcript:ORGLA04G0050000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT3G45890) TAIR;Acc:AT3G45890] MSSSQSLLLLAAPLSPPPLSRLAPRPPTSAPRLARLAANLSRLPPLAISSPAGFSGGCDGGGGGGGGGGGGWWRQGGSGPPDPGDGWWRWLQSLHPELLLLFVLLQSGAFASIPAALAEAIGGSGGREGASVWEVRGGARTLLVPDPTGTSYVVAGDGRRKQAEGEDAEKAGAGRAELASLRRQLERSWRRCATVAVQLLLPDGYPDSVSSDYLQYSLWRGVQGIASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKILLSKFGRHFDVNPKGWRLFADLLENTAYGLEILTPVFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAVQRNFAEVIAKGEAQGMVSKFLGIMLGIALANRIGSSVSLALISFAGVTAVHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQVPSVKEVNDEEPLFLNLSIGTSRKESKILSPQAKDAADIICRRLQLGSKLSEIIENKEDACALFDLYKNEQYLLTEYKGKFCVILKEGSSPEDMLKSLFHVNYLYWLEKYLGIKPSDVASACRPGGRLEASLDYTQREFSHVKLDGSNGGWVMDGLIARPLPVRIRIGDVPS >ORGLA04G0049900.1 pep chromosome:AGI1.1:4:7539463:7540011:1 gene:ORGLA04G0049900 transcript:ORGLA04G0049900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFSCLAILSLLALASHLPDAASAPRGIGPLSPAAAAKLVSAVNDARRQAGAPPVVWSAAAAQRAKLSAEWLSGSGPTEGRCDVANANLDPTFRVLRKPIVAMTYFVTGGGPGRRRAADAVGAWAEGRRWYDAGANRCVAGGGEECASYKDMVQPAWKTVGCAVAPCASGQTLTICVFSPA >ORGLA04G0049800.1 pep chromosome:AGI1.1:4:7529325:7529882:1 gene:ORGLA04G0049800 transcript:ORGLA04G0049800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPCLAVAVLSLLALANSNHLPASVTSAAGRGRSAIPRGHIAAMKFLYAVNDVRRQAGAPPLEWSGAAARRAKERATWLRGPGGCDLQAQKGRDPAPAHGGAVTYFLSDGGGRASPEDAIRVWGDERRWYNAGARACAAGKQCGDYEIMVQPASKQLGCAVAVCASRKTIMVCEYYAGQALI >ORGLA04G0049700.1 pep chromosome:AGI1.1:4:7526003:7526275:1 gene:ORGLA04G0049700 transcript:ORGLA04G0049700.1 gene_biotype:protein_coding transcript_biotype:protein_coding REAAEGVRRCPLPGRQLPRRGRSGGRVEGRPAPAPRRSTAGGRDSSTQSPVRSPAAAMDAVDSVVDPLREFAKDSVRLVKRCHKPDRNFS >ORGLA04G0049600.1 pep chromosome:AGI1.1:4:7492812:7496442:1 gene:ORGLA04G0049600 transcript:ORGLA04G0049600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNPSNLPCSSDGVCMVCKVLTTEVEQLRCSTCATPWHTPCLSSIPPLTDVAHWVCPDCSGDVTASYPPSDVVRPESSLIAAIRVIEADPVLSIQEKARRRQELLGHAGDAGAAITEAVGENVEDSESNNPLSMLNKNINCSFCMLLPERPVTTPCGHNFCLKCFRRWIENGKRACVICRAPITQKVAQDLRINLALVQAIRMAKAANNASTTGETTVYHYKENEDKPDRAFTTERAKRAGMANASSGQIFVTIAPDYFGPILEDHDPRRNRGVRVGDHWKDRMECRQWGAHFPHIAGIAGQSTHGAQSVALSGGYLDDEDHGEWFLYTGSGGRDLSGNKRTSKEQSFDQKFEKLNAALRVSCLNGYPVRVVRSFKEKRSPYAPESGVRYDGIYRIEKCWRKTGVQGTFKVCSDEHGDHPRPLPDIEELKNAIDITERKGNPAWDFDATDGWKWMITPPISRKAVVTGDPRGKKMQGAARHINNLSMRERLL >ORGLA04G0049500.1 pep chromosome:AGI1.1:4:7487231:7487797:1 gene:ORGLA04G0049500 transcript:ORGLA04G0049500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLYCCLVAVLCLPRASASPVAAASISVSAVQTPVEPTPVQFLRVHNEARAAVGVPPLSWNGTLQLDAARYAGELRTECSLRPPPPTAARGTGDGAAVYGRNLFKAYGRRHTGAEVAAFWADGRRWYDRDAGRCAAPPGRTCGAYTQVVWRATTQLGCARRTCRNGVDTVAVCDYYPPGNIVGQRPY >ORGLA04G0049400.1 pep chromosome:AGI1.1:4:7484298:7484870:1 gene:ORGLA04G0049400 transcript:ORGLA04G0049400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFYCCLAAVLSLASASPAAASISVSAAAEPPANSLLPAEQFLRAHNEARAAVGVPPLAWNATIALDAQRYAGELRASCEARPVWAWGTDGLYGRNLYRGSGPRVRAGADASARWAEGARWYDRDGDSCAAPPGRCCGEYTQMVWRATTQIGCARRLCRCLGDTCPLVLDTVAVCEYYPPGNIAGQRPY >ORGLA04G0049300.1 pep chromosome:AGI1.1:4:7482032:7482610:1 gene:ORGLA04G0049300 transcript:ORGLA04G0049300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTYVSHVAVVVILAMASQCFAATTGAGNGVSGADAGRRARAAQTVAGILAVHNEARRAVGVAPLAWSAGIARYAKGYAASRRGDCAPRRSPLFNFGENAFVGKGRRWNAPALAAAWVDEGRRRYNYGSNTCAGAAAPALSGSSSPCTRYTQVVWRNTTQVGCGRIVCDSGDSLLVCDYFPPGNYGTGRPY >ORGLA04G0049200.1 pep chromosome:AGI1.1:4:7480419:7480637:-1 gene:ORGLA04G0049200 transcript:ORGLA04G0049200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVRRPHHTTSSKSTCRGESRRRLSPSTPSSVVPVASSILLSLVLSRSHPFLLLHTDLSLQSTDSSISCGSCG >ORGLA04G0049100.1 pep chromosome:AGI1.1:4:7466904:7467805:-1 gene:ORGLA04G0049100 transcript:ORGLA04G0049100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRESSSSPTALLLLLLLLFLHHLALLKRHSALVLSQLQLASPSLLLLTSHLSSAHLLSSQLSSAQRERERELQVQAPRKPPRPERTMAMAMAEDESASWFQYSEKMLLPMPPTPFCTTPAVAAVCALWPHVPRT >ORGLA04G0049000.1 pep chromosome:AGI1.1:4:7455084:7460500:-1 gene:ORGLA04G0049000 transcript:ORGLA04G0049000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLNPSSSSSSKATPRPPQQQQQLLLLLLHLLLVAVPATSITFSYDADSFVSEDFRQEDDAMVTAGRIELLGEEFAARARGRALYKRPVQLWDGATGEEASFAASFNFTIRSVAGRGNALAGHGMTFFLAPFMPDMPQECYEGCLGLFDQSLTRNTASATMGNASGAASFVAVEFDTHMDGWDPSGRHVGVDVNNVDSRRGNYVVLPEDSLVDAGVMSATVSYDSGARRLDVALAVGGGAATATYNLSAAVDLRSVLPEQVAVGFSAATGDQFASNHTVLSFTFSSTLPTRTTNPPPPSTSSAKTAHLSAAVAAAGIALLLLVLAITILIRRARKRSRRDDGDSYDDSLDDDEEEDMESGTGPRRIPYAHLAAATGGFAEIGKLGEGGSGSVYGGHVRELGRDVAIKVFTRGASMEGRKEYRSEVTVISRLRHRNLVQLMGWCHGRRRLLLVYELVRNGSLDGHLYSNKETLTWPLRYQIINGLASAVLYLHQEWDQCVVHGDIKPSNIMLDESFNAKLGDFGLARLIDHGMSLQTMTAVAGTPGYLDPECVITGKASTESDMYSFGIVLLEVASGRRPMVVTPRAAAATAGGGKDDDDGGGQVFRLVEWAWELYGRGDDDQSSLDAIADTRLGGAFDRWEMERVVGVGLWCAHPDPKARPAIRQAAEALQSRKFRMPVLPPRMPVAVYLQPFAASTMKYYGDSMTSVGSEVVGYSSTSLATATLSSSSSLPPAMADSDSLSPRE >ORGLA04G0048900.1 pep chromosome:AGI1.1:4:7450585:7450920:1 gene:ORGLA04G0048900 transcript:ORGLA04G0048900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATPase assembly integral membrane protein VMA21 homolog [Source:UniProtKB/TrEMBL;Acc:I1PJX0] MSGVLAKFVVASVVMWTAPVATMYGFYYQIIPGASQLSSSMQTLASRFLAVISINLVIGFYICMAMKETPHQDLEPDPSE >ORGLA04G0048800.1 pep chromosome:AGI1.1:4:7428205:7428480:-1 gene:ORGLA04G0048800 transcript:ORGLA04G0048800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAGEAHRTNITTLGPLVLPLSEQLRFLATVVLRRVFRAGVKAYLPDFTAALDHFCIHAGGRSVLEELERSLKLSVWHMEPSWMTHVLPE >ORGLA04G0048700.1 pep chromosome:AGI1.1:4:7407040:7408177:1 gene:ORGLA04G0048700 transcript:ORGLA04G0048700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITMDLMSGYGRVDEQVAIQEAAAAGLRGMEHLILQLSQTGTSERSPAPAPAQEQQQQVDCREITDMTVSKFKKVISMLNRTGHARFRRGPVVAQSSGPAASEPAPVRSSPSAVSRPMTLDFTKSASGYGKDAGFSVSGISAASSSFLSSVTGDGSVSNGRGGGSSSLMLPPPPATSCGKPPLSSAAAAAMSAGVGHKRKCHDHAHSENIAGGKYGSTGGRCHCSKRRKHRVKRTIRVPAISSKVADIPADDFSWRKYGQKPIKGSPFPRGYYKCSTLRGCPARKHVERDPVDPSMLIVTYEGEHRHTPSAAGQDHPPAPPPPLALPLA >ORGLA04G0048600.1 pep chromosome:AGI1.1:4:7392640:7392849:1 gene:ORGLA04G0048600 transcript:ORGLA04G0048600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLGCFTFARRRSKMKSCCEVAGVWSWCHSHKSQVKVEAAITGRRRPRPPGWHDSRVEQDLYDNGKIC >ORGLA04G0048500.1 pep chromosome:AGI1.1:4:7390565:7390786:-1 gene:ORGLA04G0048500 transcript:ORGLA04G0048500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTIELLCVYGMRSRIWKESKFGTIDYVKFLSCTRGFPKVFRTPSMSLVRGFKLPTSGINRGGA >ORGLA04G0048400.1 pep chromosome:AGI1.1:4:7377464:7377685:-1 gene:ORGLA04G0048400 transcript:ORGLA04G0048400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDADSIWHTMELLCAYGMRSRIWKESKFGTIDYVKFLSCTRGFPKVFRTPSMSLVRGFRLPTSGINREGA >ORGLA04G0048300.1 pep chromosome:AGI1.1:4:7356070:7358451:1 gene:ORGLA04G0048300 transcript:ORGLA04G0048300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAEEIERDLWHISEMKDRWIHTSNALLGRGNLDGDYICALPYSPQCGYFINSDDMIGFGNYKMLLINWLAQKDSSTSVMVILGMGGIGKTTLASNVYETEKSRYDCSAWIAISQVYNICSLLRTTIRHCFKNTKEIPPNVDIMDEHSLIVELREFLKGRSCLVVIDDVWDYVSIGTILKAFFHSEHRNKIIITTREIQIAKFVDQNYMIQMEKLEEREKSCPEELVGIAKDIMEWCCGLPLALVTMGGLLSLREKNNSEWKRVYNNLLYSFDNEPGLNHLKHVLNLSYRYLPEYLKDCFLFCSIFPENSMIKRKHLIRLWIAEGFVEDRAGTTMEELAHDYLSELIRRGMLQVMKRNENGRVKHCRMHCIVREVTISLCKLRGFHMIWGNKESTSAYEARRFAIHGINQSSSEILTYLPHVRTFLSFDVTMSDTLLSRIVCSSRYLTVLDVSGALFIKEVPKQVVSLFNLRYLGLRRTKVKKLPSSLGRLANLQTLDLHHSCISRLPSGITKLEKLQHLFVKTLKDSSFQSLNACSGVGAPSGICKLKSLQTLFTIEASKCFVQQANKLVQLKSFRITKVRGSHCSMLSESIKRMKQLVYLDILASDEEEILDLDISPPPSTLEKLCLRGKLNDSNLLSFFNSFCNNLTCLFLGWSSLSRDPLPLLSQMTNLAFLWLQRAFDGPQLRFVLGWFPRLRRLHLKDMDHLHSLEIEEGSVVSLEVLEMTGLNELNDIPGGIFFLNNLQEVYLDSMHKDFINHQSEGENVEDFPRFIYGHSPNFWRGEDVAYCHQYT >ORGLA04G0048200.1 pep chromosome:AGI1.1:4:7335272:7335697:-1 gene:ORGLA04G0048200 transcript:ORGLA04G0048200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHITWNSRSSRGAAASLCSRRRRWLRRLESGVEGCFAVSIRQDGPRIGYEAGGMGEGGIAGVKGVRAERAVEQVGGLAIVRFDDGGVTGGWDGGVEAEDEVGVGGPRREAVPVVMGGAEGDGGDQEGLHARGAWGPRALMS >ORGLA04G0048100.1 pep chromosome:AGI1.1:4:7328991:7329341:1 gene:ORGLA04G0048100 transcript:ORGLA04G0048100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWRCTVPMYFVYATYSNGNFAVMFFYELLQILSNWILTVKFLAAQLAVTQIPVVVILTAMNPFGAHMGCLPSMVCELPSNSQNMWPQVQFYQILTYSMATESLKHSRIFKFISI >ORGLA04G0048000.1 pep chromosome:AGI1.1:4:7328410:7328658:-1 gene:ORGLA04G0048000 transcript:ORGLA04G0048000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGWGDWKPGFGGGLRRDFELGRRLRMLGRAAAPTWMEEVRAARAGGDGQQMRPGAIATGRCGRESVVGGAMEREGATRR >ORGLA04G0047900.1 pep chromosome:AGI1.1:4:7325763:7326842:1 gene:ORGLA04G0047900 transcript:ORGLA04G0047900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNASDKTKIFSLEELEKATNNFDPTRILGCGGHGMVYKGILSDQRVVAIKTSKIIKQDEIDNFINEVAILSQINHCNIVRLFGCCLETEVPLLVYDFIPNGSLFGILHADASSSFQLSWDDCLRIATEAAGALCYLHSTASVSVFHRNVKSANILLDANCIAKVSDFGASRLVPINETHVVINVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLIELLLRKEPIFTSETGLKQNLSNYFLWEKKVKLIRDIVADQVLEEATEEEINTVASLAEDCLSLRRDERPTMKQVELALQFLLNKRLNSYRTVQANKEEMDPFIMTKVQHSTENSNVEFLSNKATISSYQSGLEHEFMSSATIPR >ORGLA04G0047800.1 pep chromosome:AGI1.1:4:7268758:7269936:-1 gene:ORGLA04G0047800 transcript:ORGLA04G0047800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKDPPSRDRAARMSPNLKRSSGIEASSAAGYGPRRARSVPSSPDRKFGAAAAAPAAASGSPDVYRPSLSAAGRSTSARSVSGSSRTQPFPKPTLARVKSERATTAAAASPRPPALAVPASNSLKDMARTAPSASSKVPSTLQKSKLSPRPSPDKAAASLKPITQRSPASVTARGGRTPVVSSSRVPGNIAAKKRAESANGGSASSKARSGAPQRAMAASATSKEEKEDEPSMQFEESESISTPSIEDHLHEQLPDPVDLKPLDMSASDSALYGQQAPSSDIPEQQSKNEEVKESFSEDKDVVVGNELHNGGQGADDIAKNITGIVKADDQSQLAEKEEAKAKVDKVWRKDEPKSNDVIEETKSKLLEERKSRVKALVGAFETVMSFKE >ORGLA04G0047700.1 pep chromosome:AGI1.1:4:7263549:7266284:-1 gene:ORGLA04G0047700 transcript:ORGLA04G0047700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGGVRLQFGIGVGVAALEIKLNRPFGEYGSSVRMMVSYGGEIVQGDHGPDGKAAAPCYAGGVHRIVKVGLSERLAELRQRMAALAGCRDVCIRYALPGEGLGRLRDVANDGDLWGLVSLLFCHDASKTGRVRVFLFAVEAPLLRSASAPSSLPALVEEDAMTAASGGACAAAPLGLPRSASSPSLATSGTGTAVRMKVSYGGEIIQQQRGGSAAASCYYAGGVHRIVRVGLSERLASLRTRLAALAGFSGSDDVRIRYALPGEEGLHLRDVASDGDLWSLVSLLFFHEAVMATSSKPKQGRIRVFLFAADDAPAAPPLRRRSASSPSLVDVAKHQGALSALAEEEEDMDIDTAAATSPAGVSVTRTGQGMRRSASSPALAPPPPSESGTAAATSTSSSSLGDGVQFAPVVWGATDPRVAVYPLFTCCVPRGIVKTDNEFGLGI >ORGLA04G0047600.1 pep chromosome:AGI1.1:4:7252312:7258032:-1 gene:ORGLA04G0047600 transcript:ORGLA04G0047600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAAAAADGGDIEEVDRLKKRIRTLEMEETKLNQHMERVIRGIEANEAYLVGMVLRIMEKGPEDETAEDDCDVGFHLQRKIIFRPIAGVVYPSKLKPGDLIGVDSTSNEHYCGIGGLEKQIEELVEAVVLPIIHKNCFQRLGIHPPKGVLLYGPPGTGKTLVAHAFASQTNATFLKLTGPQLAVKLIGEGARLVRDAFQLAKEKAPCIIFIDEIDAIGSKHFDSGDREVQQTIVELLNQLDGVRSYESIKVIAATNRPEVLDPAFLRSGRLDQKIEFPHPSEQARVRILEIHSRKMDKNPDVNFEELACCTDDFNGAQLKAVCFEASMLAFHRDATEVRHEDFVRAIAQVKDGNY >ORGLA04G0047500.1 pep chromosome:AGI1.1:4:7245858:7247012:1 gene:ORGLA04G0047500 transcript:ORGLA04G0047500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTPPSVQPAPTVQPLPSSTAGQSTRIDLREIKSNIIKRIGPGRAKKYFQHLERFLSSKLNKNEFDKLCLVTLGRENLPLHNHLIRSILHNACRANGPPAIDAPKLAGDVSKSEQILHPVCNNGNVSIQHVKDNRSLTIEQEGAPIIRENGAPNFSDLKRRQQVQNSEHAEPRNKRLHYEKEPLSFLEPSHSNGPSAISYGENSGGENIHRIQGPVRAPLGIQFSPVNFGGIQKSSAIASVPPNDSSVSCYELGELCDTMLLSKRMEKIAEAAGLEGVSVECANLLNNGVDVFLKQLIGSCVQLVGTRSQLGKLSHASLKQQLSRKLINGVSLKNHVHGQGIIIPTGPNSISIQDLKAVSELSPHLLGVNASLLREKINSYD >ORGLA04G0047400.1 pep chromosome:AGI1.1:4:7222037:7228291:-1 gene:ORGLA04G0047400 transcript:ORGLA04G0047400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: chromosome segregation, cell division; LOCATED IN: chromosome, centromeric region, nucleus; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Centromere protein Cenp-O (InterPro:IPR018464 /.../ 43 Blast hits to 43 proteins in 15 species: Archae - 0; Bacteria - 0; Metazoa - 11; Fungi - 0; Plants - 31; Viruses - 0; Other Eukaryotes - 1 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G10710) TAIR;Acc:AT5G10710] MTYIRDEDTRLETTRARLSNVLKRHEDLKERLSRDSDKLIFERLQREFEAARTAQTEEIPIDDEQWNDGLLATIREKVHMEADRKAMANQANVPADPQFQSRTTYRIKNKVIYCLDGVRIGIQYETYFAGEPCEIYHCVLESKSFLEKMTVIEHTLPFFLPIREVESEFLSSNAIKFIDHLEEILQSYVDRREQVRLIKELYGNQIGELFHSLSYNLIEFVMEDFECKVTVSIRYSDLLFTLPSQARVLAWPLRSSRGISVTERRASRSASAQLVPFRLPYAEDALKTLSLPEAFAEIVLSLPRALKRILSSQESD >ORGLA04G0047300.1 pep chromosome:AGI1.1:4:7214764:7218101:-1 gene:ORGLA04G0047300 transcript:ORGLA04G0047300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCSPCSGTRSRACKKRTPAWTSTSRTGSGWINPSTSGLSTSTMRATERIWSQGKLRSRRNSVVKCRSKKLDYICICQWGHLFDSRQFSSILCRICLPCSNSFVCFICVAKLENCVKFHWEVDTSSAATSRPGHNL >ORGLA04G0047200.1 pep chromosome:AGI1.1:4:7212842:7214128:1 gene:ORGLA04G0047200 transcript:ORGLA04G0047200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGDYGASVSMMISYGGAIVQADGKAKAAYYAGGVHRIVKVGMSERLPGLRARLAALAGCADVFIRYALPGEGLGVLRDVADDGDLWGLVSLLFYYKEVPVSSKPGSIRVFLFAADHDAPPHRTASAPSLPALVSGSASAAPPVTLGLPRSASSPSLATSGSGTAVRMKVSYGGEIIQQQRGGSAAASCYYAGGVHRIVRVGLSERLAGLRARLAALAGFSDSDDVRIRYALPGEEGLHLHDVASDGDLWSLVSLLFFHEAVMATSSKPKQGRIRVFLFAAHDAPATSSTSPTAAPLRRSASSPFLPTLVEEDEDDDDNDGNTDTAAATQTSTSRVTATVGMRRSATSPALATTSSSSDAATASTSGGATSGSSGDSDTPAMTSSTAAAAAVQFGPVVLVPVMVVFPVIPVYAIGVVDYRSVLLVA >ORGLA04G0047100.1 pep chromosome:AGI1.1:4:7206787:7207623:1 gene:ORGLA04G0047100 transcript:ORGLA04G0047100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGLILALAFASSSPPAAAAVKFNVTEILHEFPEFSVFNGLLSQTRLAEDVNLRPAVTVLVVDDAAAGAITSLPEATQGEVVALQVLLDYYDPVKLYSLKAKTALLPTLLRPTAAGGGGGGVGLVKYTQVTDDQMAFGSGEPGAPIGSQLVKVVACRPYNLSVMQVSAPIVAPSLGGPSSGGGTPPYSPATAKSADEASASSMTDYDDDPIAPAAVDGPGNVDTPPTKTTSPSTNGTSAAADGTTTSAGSCAVVAGAGVGLMAAGLVMLISV >ORGLA04G0047000.1 pep chromosome:AGI1.1:4:7182494:7183250:-1 gene:ORGLA04G0047000 transcript:ORGLA04G0047000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGSLFMLEVTSASRGLTSLSHSALLGAMKTFVWPLLSQSPWSKTGIIIEPSLPTSFMMNFTTRDALVLSPPEFYDGVHSVTFVNHDQGPNWRAANFHREGWFMFLDFPLDFFDRHHVHLAVASFGRFYKFPPKRTSPLLVLSQALMMQLMMTPMLAMFGSLATLVMRELEVGIR >ORGLA04G0046900.1 pep chromosome:AGI1.1:4:7174886:7175200:1 gene:ORGLA04G0046900 transcript:ORGLA04G0046900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERRGRPAPPEFNGSGSAPLEAASARSIMEMEKLGRPAAHLRLVEADLTLLSPVTVDPSRPVVTPVTNINMCRTAELTSTSHCRRRRASAEREIEAEVREIS >ORGLA04G0046800.1 pep chromosome:AGI1.1:4:7123556:7124744:1 gene:ORGLA04G0046800 transcript:ORGLA04G0046800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQMGDGKDGDKGDTFPYDYPSTSTSYRSPYTIPSISEGPFVRSIGPNTLRQGNVHGVPHYSDFIPSIPYVANRFDDTIIWNMCAEHCRFTHHDAYNALGLFYDKESQIAIKDIFNPLGEWEEEHI >ORGLA04G0046700.1 pep chromosome:AGI1.1:4:7108584:7108940:-1 gene:ORGLA04G0046700 transcript:ORGLA04G0046700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWVFEDGMVRRADSEAPSRGRGVGGGGGGGKVLVHVPSSEVVTSYEVLERRLRELGWERYLNDPCLLQFHQRSTVHLISVPRDFSRLKLVHMYDVVVKTRNVFEVRDAATTAAPP >ORGLA04G0046600.1 pep chromosome:AGI1.1:4:7092553:7093391:1 gene:ORGLA04G0046600 transcript:ORGLA04G0046600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKEHRRGVPRPPPLSLYIEREQGEVIMAGQALATTRMLQQVATSSSGKKRILSKQLSMKETTREVKWEKRRRQIHRQRSSMALQDVEEEASANMFAATTDSEMGSSTERVPKRLTDGDLDELRGSMELGFGFDEENGGQNLCDTLPALDLYFAVNRQLSEPKMRLSTSSLPSPTSATSSSSTLGGTSNPGSPVAPSSFMDSWKICSPGDNPQLVKTRLRHWAQVVACSVKHSS >ORGLA04G0046500.1 pep chromosome:AGI1.1:4:7071891:7073962:1 gene:ORGLA04G0046500 transcript:ORGLA04G0046500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1PJU6] MSKMAEEKVLAAPATVDGGMQSSGDLQASSAAAARVRPVETLLRAAPLGLCVAAMAIMLRNSVTNEYGTVSYSDLGGFKYLVYANGLCAAYSLASAFYIAVPRPATLSRSWVVFLLDQVFTYLILAAGAASGELLYLAYNGDKEVTWSEACGVFGGFCRQARTSVAITFASVACYILLSLISSYRLFSAYDPPQPSLGNKGVEIAAFPR >ORGLA04G0046400.1 pep chromosome:AGI1.1:4:7034511:7041522:-1 gene:ORGLA04G0046400 transcript:ORGLA04G0046400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGNRCGLQPISLLQALATIILAVAAAAAAAWPALIAGTSSSTSRVAAANGTCQSRVAPFGYACEEHTVTTEDGYILSLQRIPSGRGETAAGGGGGGKVPVLLQHGLMMDGVTWLMNSPNESLGYILADNGYDVWIANSRGTVYSRHHTSLVSSDSVIYDGIIFIVKIICANYQHCLDENVIIKWDIMWFHVIFFIAYWNWSWDELSSKDLSAVVQYVYSQAGQQKMHYVGHSLGTLIALAALSDQQQQIGMLRSAGLLSPIAFLDKMSSPLARAAADVFLAEALYWLGLSEFDPTGEYVHSLVTDICKQPGIDCYNLMSAFTGDNCCLDNSSVQVFLAHEPQATATKNMIHLAQMIRGGTIAKYDYGNAGDNREHYGQATPPAYDVTAIPGDFPLFLSYGGRDSLSDVQDVSRLLRALGQSHSRDGDKLTVQYLADYAHADFVMARNAGERVYAPLMAFFKLQEK >ORGLA04G0046300.1 pep chromosome:AGI1.1:4:7029414:7033160:1 gene:ORGLA04G0046300 transcript:ORGLA04G0046300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKSSGGGGGGGSGMCDLPMDCIACIASLTSPGDACRLAAAAAALRPVADSDDVWGSFLPPEWAGDGDGDALDGKPGGREGESKKEMFLRLCDSPVLLDGGKLYWAFSSLISLLSLHQSFSLEKRSGAKKYMMPARALGFGWSGYPYGGLVWIQNHPDSRFSEVAILSHLCWLDIYGIFNTKHLSNGTSYGAYLVYNVQFLHTEDQNGGYKEQDATASGSSSTSSICSHECNHLMPQKHLRSLLFNMDYDGSSFVKTNNNQKKELKYVGICVRSDGWMEQEISTEISVVKQNNEENGDISIEFRGLTGSHQCQIIVEGIEIRPKN >ORGLA04G0046200.1 pep chromosome:AGI1.1:4:7026146:7026736:-1 gene:ORGLA04G0046200 transcript:ORGLA04G0046200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANRSTTGGPRRTACSTTPLPLVLRCSACCRGCCCYVWRPGGCPIPVAGTGTGEIYTRVRGRGGWRSIFSAAGVGTGWSHPTGAAPLPSLTMSDGHIVQVRYGDTGAVLGRRSGWSWRSHPGCSEVAPAHVVHRPSTMVQVRHRVRLRAQLLVPPLSLASTGQVHPLSPQTTPTMREKRKGEKMAKREGNRLTDM >ORGLA04G0046100.1 pep chromosome:AGI1.1:4:7016160:7024841:1 gene:ORGLA04G0046100 transcript:ORGLA04G0046100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFKLIHCLFVGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYSSLDIGLLTKNIMEIRKNHKAEVPCFDFEKCKRKKFEELQVSEECGVPSSITFDLTRDHHDSMRMYTIKLKIQNDFDPVLSPESSLFVLKSKKQVSYQDILKVLDASKACSCVQNFTDVYLRLPGIPLSGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYRAVAYIEASATIYQDGKILIEVDSLQGETNPYLQIKGTNKEIVSSAASALSLDDSYTTKSYLQIILESLPADENVHTGIHNQQAARLQELVEFIQSQGGSFNSDLSSPTREVSSTDSVLDDVQSRLRRLERWNTINMVISLYFQMLF >ORGLA04G0046000.1 pep chromosome:AGI1.1:4:6974208:6975373:1 gene:ORGLA04G0046000 transcript:ORGLA04G0046000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGIMHTSSAADAAAAAAINMLTPLSLIGTADLVRTGVAEEEEQQVGSAAAAAALGAVGVCLGVTQCFSRVCIXSVRVGPIPNGISLIASNLRVLFGVSSWQQSTEILAWDEGSRRW >ORGLA04G0045900.1 pep chromosome:AGI1.1:4:6969434:6969688:-1 gene:ORGLA04G0045900 transcript:ORGLA04G0045900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCTPLDRVPNLKVFPNCTIGGCPLDVSYPPVVQKPSCHRQARALDMAEDQGESQLYAPHRQPVRQPKFGVLPITQHLSRSCEVEV >ORGLA04G0045800.1 pep chromosome:AGI1.1:4:6952417:6967226:-1 gene:ORGLA04G0045800 transcript:ORGLA04G0045800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVRSAAAAAAVARHSLRPPLAAPGSIRGASLLPPQRARGVGPCRARARAASSSATGGGGHLPPLFSVAPMMDWTDNHYRTLARLISKHAWLYTEMVVAETIVHQKDNLDRFLAFPAEQHPIVLQIGGSNLDNLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEGMLCQLLLPIVMSQSALSAELVLMIVTREFVDKVVSKSPTRHFIIHARKALLSGLSPAENRNVPPLKYEYYFALLRDFPDVQFTLNGGITTIDQVTASIRQGAHRVMVGRAAYNNPWNMLGHVDSEVYGMPTRRSSRRQILESYQVYGDSIMGQYGPSRPNVRQLAKPLLNLFHSEPGNGLWKRKADSTLRHCKTLESFLEETLDAIPNSVLDAPIGKEAYIEEGHFADMDSLLPPRYSSLTNGSCEIPALVTAST >ORGLA04G0045700.1 pep chromosome:AGI1.1:4:6935263:6948541:1 gene:ORGLA04G0045700 transcript:ORGLA04G0045700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFISDKEDSDLARSKLVRARLLVNDSIRIAEGILYDSRKDLNKLNNGRTTDRDKIAATSALLQALEVAGLLESGMKNMLTPGEQDLYPVEQALNKCVSLYKEPHTQKFVSKILKNEYIRCLRRLTGIVQSDFAVSEALTLQGLLAEAQQILEELGHESN >ORGLA04G0045600.1 pep chromosome:AGI1.1:4:6918591:6925561:1 gene:ORGLA04G0045600 transcript:ORGLA04G0045600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNLDEAEKFFQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLYLEAIEILEESFGSDDIRVGTALHSLGICYHLQRKFALAQTCYERALKIEGRVMGIGHPEYASTMYLLGKVLSQQGKDAEALIEESIRILE >ORGLA04G0045500.1 pep chromosome:AGI1.1:4:6911250:6911669:-1 gene:ORGLA04G0045500 transcript:ORGLA04G0045500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGAAASPSWCTPMAPGCGGWCTAATAAGPTNHPWFSSDSKSLVFTSDYAAVSAEPVSNPHHYQPYGEIYTVDIDGSNIRRLTHNSFEDGTPSWTPYFLDPRDVGETLQASGRCAFQDCHRLNIEDAQPEELIYGKSC >ORGLA04G0045400.1 pep chromosome:AGI1.1:4:6908112:6910854:1 gene:ORGLA04G0045400 transcript:ORGLA04G0045400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAHHLRRRSSAALPRGWCCSFSATPQSPDHHRPLSAASAAGGEGVGGGGARGKLPPKSPSVSLPSFQSSPSSRLAGFIDPRRILSPGRVSPIDPDGSPAVAAGANSEEDATPRPSVPFVAVREEREEEEGRGLDLKLCLRGRDGRSVAMELDSAVLCESSAFFAAMAPPPEATVGGGSKRIEVDGVENVEAFKETVELMFEADPMRWFVRAGVSRAIGVLEVSSSIMFDRGIKSCLEYIEAVPWNENEEEKLKNLFARCTFDEAVSKDVLARLKPQCQSISDDVTVHLIQSVTSSTNTGARKEMQSLVNGLLSRSSVYQKDLSGLNKGSLYQICCSCLNSLVEHFTEDLCSDKIVRDSKPMIERVSKQTENLNWLFDILVNNDMAEEFVELWAKQEDLISMHGQASAMFRYELSRISANVFIVLGKGKVQCPSDLRSQLFYGWFRPMLMDFGWLQRCSKGLDMRMLEENLGQALLTLPLQQQQSLFEEWFQCFASRGTECPNLSRAFQVWWRRSFVRSSLESRR >ORGLA04G0045300.1 pep chromosome:AGI1.1:4:6905592:6906095:1 gene:ORGLA04G0045300 transcript:ORGLA04G0045300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETARRWRMMMAWWRARSDASHNSSAKRRRRGGGAAATTFGEISYGSSTEVILCSDDGMVAIRVVSTTDE >ORGLA04G0045200.1 pep chromosome:AGI1.1:4:6893143:6894177:1 gene:ORGLA04G0045200 transcript:ORGLA04G0045200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRDSIPMVSLASSAAALYARVASAFLRPGLPRLAALLPVVALLAAAPLAFTSSAMLRGTSAFFLAWLGAFKVVLLAAGLGPLAVDGLPVLSFLFTALLPVKLRRGGGCPGAAAKSVSLVSCAAKVAAIATILHLYESKIQLLHRYIRLAMYGIHIYCFLDLLLPCIAAAGSALGMELEPPFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRARAGKAAGVVATFLISGLMHEAMVYYMTLRLPTGEMTAFFLLHGVCCVAEEWCARRWVARRWPPPPRPLGSLLVMAVAAGSSFWLFFPPICREGSEEMLLEEWAAVAAFFQDAGRKLRRAPVRFTD >ORGLA04G0045100.1 pep chromosome:AGI1.1:4:6890667:6891326:-1 gene:ORGLA04G0045100 transcript:ORGLA04G0045100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SASFPFLASLQWSSNRFTSPISASSFSRHYYLLITSEAMSTRSSDDSKCSMGEISDDDIEEYIEDFIEGFITSGLVRPQKGTTSRAPTDWPTASDILQFKYNLVSYKVSALEAEA >ORGLA04G0045000.1 pep chromosome:AGI1.1:4:6888683:6889190:1 gene:ORGLA04G0045000 transcript:ORGLA04G0045000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGMATAVAEFRGSGDGVASGSGRGELLQTAVADGGGDGDGGRDGDGGGVVHGSGDSTASGGGRIVRHWWPPSPDATLVASAGDDGARRTVGSGSSRSRSGASSVGVGWWHFGASVVNTLVDRVSGVKTLLRSGHSNGDALGHHSPS >ORGLA04G0044900.1 pep chromosome:AGI1.1:4:6885256:6887216:-1 gene:ORGLA04G0044900 transcript:ORGLA04G0044900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF303) [Source:Projected from Arabidopsis thaliana (AT4G34215) TAIR;Acc:AT4G34215] MRIFVLSGQSNMAGRGGVHHRRWDGVVPPECAPCPSVLRLTAALDWVEAREPLHADIDTAKTCGVGPGMAFARAVLPRLDPPGSGVGLVPCAVGGTAIREWARGERLYDQMVRRARAAAECGEIEAVLWYQGESDAESDAATAAYAGNLETLIANVREDLGMPQLPFIQVALASGNKKNIEKVRKAQLGINLPNVVTVDAFGLSLNEDHLHLTTESQVKLGEMLAQVYMSNFLPATC >ORGLA04G0044800.1 pep chromosome:AGI1.1:4:6873483:6877265:1 gene:ORGLA04G0044800 transcript:ORGLA04G0044800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMRLKGLMVVMSCQKXQLNVIEKCLTFGSIHDRLVIAADIAGAGEDQILMMMMDEHGNYVIQKMLETIAAEWVVDLIVTVVNSNFFRLIHNIHGRHVTIPGSGKNKATGWSFDPTRVHRTQNIVQLHENCPELKDKIYEEKEAYIKKLHKEKKVEEATYLG >ORGLA04G0044700.1 pep chromosome:AGI1.1:4:6871907:6872206:-1 gene:ORGLA04G0044700 transcript:ORGLA04G0044700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTDAVVASSQGTFRPDRERDELPLALQTPEHPGRTRGKGVIPLKIGFKEDIHTYRSRMRSKRDTEAKIADLEYRVLSYELSMQEEVARKVDERMAAHRS >ORGLA04G0044600.1 pep chromosome:AGI1.1:4:6857364:6865234:1 gene:ORGLA04G0044600 transcript:ORGLA04G0044600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAGGRRAQQTQVTEERQKKMKHKAAQEIADGSSTSDTVHGAADGVCFPCGTSTATAHLLQPKHSQPQHEGYNSSYQKVLANADMDRDSNSSTMQTQVPTNTREGPTFTQLVLGDEDFDLPPYFPEAEENNQFYQQTMNENLNMNQLGNNGIETAELEPQEHIMTFASSFGVGSQLLGSQAIEVVDVVGGLTSQWGTQLHGGHLARVISYIRAFHDPNQQILSNLVMHQSIETMWNHYAQTAEMSYSMRYPENAVTRGPQNVSGFPQTNGQINTLNNMNSEFHFLLEQAKNPENNSMRLINIRGHLSAFSIDPLGSRFIQHKLERATPAELAMVYEEIVPHAHMLAIDVFANYVVQKVFPNGN >ORGLA04G0044500.1 pep chromosome:AGI1.1:4:6836675:6837150:1 gene:ORGLA04G0044500 transcript:ORGLA04G0044500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSSFDSILFRPLQRRERDLVYGALERAPSAAAADIVAVPTAVDRSKPSRHANAFSLKSSSKPLNNPRQRRSKCGDRPPSSSPPVAIAIVVSASSARRPVRAVAVHPQKDAVAGRMREPLLSPRPRKTST >ORGLA04G0044400.1 pep chromosome:AGI1.1:4:6786611:6791462:-1 gene:ORGLA04G0044400 transcript:ORGLA04G0044400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHTKTALLCLLGAVATTAWLSFAVAQPQPPPACQRRCGDVDIPYPFGLNGDAPGCALGHGTYGFNISCNDTGNGVYKPFIWDVELLGVLLPEGQARILMSISSYCYNPATGAMDGPENNTWALDFTSSPYRFSHTGNVFTAIGCRTLAYIGGDNVDADVGSLTTGCVATCRLQAGNLTVTDDDVGACSGIGCCRTSIPVGLQYYYVWFDDRFNTTAIHNVSRCSYAALMEKSSASWFRFTPAYVTSLAFNDTINGQVPLLLDWAIGNETCEQARRASPESYACRSRNSECFDSPSGLGYICNCSKGFRGNPYLHPEDPSSCQDIDECTDQNMNNNCHGICRNTLGGFECICPAGTRGNASVGQCQKVLTHGVLLAIGICSSTVVGLLIFLGIEWIKYKRRLVRQDLMNKRDAYFRQHGGQLLLDMMKLENQVSFKLYDREEIELATNNFRESAILGQGGQGTVYKGFDLDPENNPVAIKRCKGIDANRRMEFGQELLILSRVRHEYIVKLLGCCLQFEVPVLVYEFVPNKTLHYLIHGQSDASTRTLDIRLEIAAQSAEALAYLHSLDHPIFHGDVKSANILIGDKFTAKVSDFGCSIFRVAADENINVVKGTIGYLDPEYLMTFQLTDKSDVYSFGILLLELLTRRKPLSNEVSLASLFQDAMKKGNIDHHIDKEILHEDNMELLYEFACLASQCLVMDSENRPAMSHVADILRQLADTASQQHTGTLQGIRSLRLLGNSSGSISEPCYSPIETMEYDSRKTSMGIEFAR >ORGLA04G0044300.1 pep chromosome:AGI1.1:4:6770728:6771042:-1 gene:ORGLA04G0044300 transcript:ORGLA04G0044300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVGRSPSSEHCRPSPRANTFASTRQIHSLTFPFLSPSEISHHRYFPNRPGQAFAIAVPVSSLTPPAGHRPPRTRDAREHTLPPASPPPGHRSTGDPLSLSPL >ORGLA04G0044200.1 pep chromosome:AGI1.1:4:6760522:6762952:-1 gene:ORGLA04G0044200 transcript:ORGLA04G0044200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGGQLMMTGTSVSRQRLAVHGLGRLSGVHGNSSEMVRDAAGADDALRAPSRGAPAGVLFKQQDNHRLPGDRLLLSALPQRTRLLAGHRGKRENHMDHGLVGKAQPSQDNRGNIVKKLEKGSTVACTKSCRENNKSNNKSKGQIQSWIKTLITCFMCKKVGHYAFMCSNKIDDQATLPKRRTRRSNRKCYGCNEKGHEVASCPHMKNHFVSSRKKLNIKVASSKVAGKMQDVVKKAPCKDKNRLCYNCRAKGHIGNNCPMGNIPKPNSSFDYDLPRDAKKDICAIRVISSPHASIKSIWVPKSHVTYLYGPNVDEDQNVLK >ORGLA04G0044100.1 pep chromosome:AGI1.1:4:6750080:6750832:1 gene:ORGLA04G0044100 transcript:ORGLA04G0044100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAKASRLVVNPSSFAQQVRLRWFAYGETLRTQLKGFAIMSKGPTGKSSLQAKQEGFARRCEAFAKKPKDQNGPRRPFTNMGRAQKPMKRPLNQMDIVCPRKCPYQKGIHVNSPANIKP >ORGLA04G0044000.1 pep chromosome:AGI1.1:4:6737707:6742280:-1 gene:ORGLA04G0044000 transcript:ORGLA04G0044000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: YL1 nuclear, C-terminal (InterPro:IPR013272); Has 279 Blast hits to 279 proteins in 147 species: Archae - 0; Bacteria - 0; Metazoa - 94; Fungi - 133; Plants - 35; Viruses - 0; Other Eukaryotes - 17 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G38495) TAIR;Acc:AT4G38495] MESEVVKTEMVLAATFPFKKVQIADKYPKGQSRGRQWKHLRLLLQAADATSLPPDRPNYLNIQSPPSIYPPKRYCDITGFEAPYVDPRTKLRYADPEVFKQIRMLPDEYVQRYLALRNAAVVLR >ORGLA04G0043900.1 pep chromosome:AGI1.1:4:6706715:6711702:-1 gene:ORGLA04G0043900 transcript:ORGLA04G0043900.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNSVYVSPTMTYMQMTHEWLPKGWIIEVRAGGKNMNKMYKFYVYPPAGVRLFSKEDVLLYINKSEITGFDTNGECDTRTKDNILANVEFNPHSLPEGWVKEVVFRKTKTGVIRKDPYFTDPVNNYSFRTRKSAMLYVQTGKVPKRAFIQRTSVHDLYSFEKSADLHESLKKRLDFAARTNRKSRRSLKSKNSSLTEKSLSDEESSYKSEDGDSSDDLSDSSSEVKKNKGKLEKTTCKTKKSVSFNTAKRPVGRPSKRSTEEMPRDVEIKQESASSEEYWC >ORGLA04G0043800.1 pep chromosome:AGI1.1:4:6702517:6703069:-1 gene:ORGLA04G0043800 transcript:ORGLA04G0043800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPMKYPGLPLMLGTLRKVDLQPLFDKSGKGGWNHRQEMQDELSKNLLANFKWRFRVPATGEIHKSPTFHMASARMEISGKAWIGLETLCDEIDKLLFMAAMRVKIGDSATTKGVGTKVLCNIQEKKRVLVIH >ORGLA04G0043700.1 pep chromosome:AGI1.1:4:6700655:6702134:1 gene:ORGLA04G0043700 transcript:ORGLA04G0043700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPKKHVVLFPFTSKGHIAGFLSFASRLHRILPHATITLVSTPRNVAALRAAAAAPFLDFHALRFDPAEHGLPPGGESQDEIFPPLLIPLYEAFETLQPAFDDFVASTAAAAARVVVISDVFVAWTGEVARRHGSQVPKYMLYQYGLPAAGAANDGSGGRADRRFLDRQLAHGNNTDAVLVNAVAEPEPAGLAMLRRTLRVLPVWPIGPLSRDRRDAATEPTDDTVLRWMDTQPPGSVLYISFGTNSMIRPEHMLELAAALESSGRCFLWKIKPPEGDVAGLNGGATTPSSYNRWLAEGFEERVILAHPSTAAFLSHCGWSSVLESMAHGVPVIGWLLTAEQFHNVMVLEGLGVCVEVARGNTDETVVERRRVAEVVKMVMGETAKADDMRRRVQEVRTMMVDAWKEEGGSSFEASQAFLEAMKLK >ORGLA04G0043600.1 pep chromosome:AGI1.1:4:6688088:6688768:-1 gene:ORGLA04G0043600 transcript:ORGLA04G0043600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEIATVPNISEGLVEISKKMMDLAAQLRAMAAQSAKPAAFIEEAEPLYRHAVALRSRGRIFKQHRWRQYLAVTLQPRGGGVTRGEVLMFPLPAAPSLGVATQPRPRRWDWQWCHRRWRPQQRRFPLQRRYNMVWAFGLQALFSGDAALFPQVEAHVPVMVGAELGDVRAARFAGATGRCGIHVSQWRRSCQPHVLVREVGGSTTAASLLAVWSPERKGDRVSALS >ORGLA04G0043500.1 pep chromosome:AGI1.1:4:6683161:6684624:1 gene:ORGLA04G0043500 transcript:ORGLA04G0043500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PJR6] MTAATSKNVVVLFPFPGHGHLAAFLSFAGVLHRALPDVAITLVSTPRNVASLRRATSAGHDSFLLHELPFVPADHGLPAGWESSDGVPHNRFPDFLEALEVLQPAFDDFVAGATAAGDVAVCVVSDPFLAWTVTVARRRGCAHAFFVSCGAFGSAVVHSLWSHLPIRPDEAGRILLPEYPDVVIHRSQVSSNVLHPPTAVKHRVEAFFGRQIQLGYKTDALLINTVEEFEPTGLAMLRRTFRLPVIPIGPLVRASTKTTSPETDATAGAITSFLDSHPPSSVLYVSFGSQFSIQAEHMAELAAALEATGRPFVWAVKPPDGHNINGEIQPKWLPDGFEERVTATKKGLLLHGWAPQVGILAHHSTGAFLSHCGWNSVLESMTHGVPIIGWPLAGDQYYNAKMLDEEWGVCLRVEGARGDMDMSAIIVDKATLVAVVETVMSPTAKAAEMRQRARAIKEIMEAAREGGHGSSANQALEEFFKTMKLNG >ORGLA04G0043400.1 pep chromosome:AGI1.1:4:6669773:6670420:-1 gene:ORGLA04G0043400 transcript:ORGLA04G0043400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIPLLPPAPTRASSPRTSRPPRSPQISRLSRKKKTRHSRSSRRQVATAAEAAPPILAPPVVRSPPGRTSTLPRFAPRLLRRTLPSSPAPSPSPPPSPPSPPPPPPAPLPFRPPPLALVAGEAAQIPSPFAGEVFDGLLLLLPSARPPRVTRAHARPATSSLGGRDAVPGLRPSRLCPPSQFESNRRARLID >ORGLA04G0043300.1 pep chromosome:AGI1.1:4:6661537:6662775:-1 gene:ORGLA04G0043300 transcript:ORGLA04G0043300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGLLKSRRSSAGDEEEGSGGSGGLPTATRKEWCWSLGILLKAVAALLILMAGVLIGLAASASLSCYYVEGSGKQAEARRGDGGGGEGGSRCRDDGCGAALSFQRFVQPHPPWGHSMKDEELFWRASMAPRVEEYPYQRVPKVAFLFLTRGPLPFAPLWERFFHGHEGLYSVYVHALPEYRLNVSSSSPFHGRQIPSGDVSWGSITLVDAEKRLLANALLDFSNERFVLASESCVPVFNFPTVYEYLVNSAQSYVESYNIDVPQCAGRYNPRMAPDVLEEQWRKGSEWFEMSRDLASDIVADRKYHAIFRKHCTPSCYPDEHYIPTYLHLRHGTRNANRTVTWVDWSRGGPHPARFGKATVTPAFVQAIRNNGTRCAYNGKPTTVCYLFARKFAPSALGPLLNMSTTLLEF >ORGLA04G0043200.1 pep chromosome:AGI1.1:4:6650423:6651901:-1 gene:ORGLA04G0043200 transcript:ORGLA04G0043200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDGNLRIVLFPFPAQGHFSAFLSLAAHLHDAQPTADISIVSTPRNVEGLRRRSSSQTRYLRFHALPFVPAEHGLPGDAESTDAVQPLHFITLFEATESRSLQDSFDGFVRDLIADAGADAARVCVIADPFLAWTTDIARRRGVAHAIFVSCGAFGSVVYHSLWNHLPHLRAPGDDAFCLPDHPEVTVHRSKLPPYLLHADGTDRWSAHHRRQTSAGYDTDAILISTMEELETTGLRMLRRTMGVPVYPIGPLVRRRTEHSDHTGDHNDDYVKRWLDTQEERSVLYISFGSYNSLRPDQMVDLAVALELTGRPFIWAIRPPFGFDIEPTNGGQFSAEWLPEGFEERMHAKNIGLLIHGLAPQVSILAHASTGAFLSHCGWNSVLESMAHGVPIIAWPLTADQFFNAQMLEEWGACVEVSRGSWPDSPALERERVVEVVDMAMGITAKADKIRQSVKEIQGMISRTLEDGGSSKTALEEFLKLHGRIMLKKG >ORGLA04G0043100.1 pep chromosome:AGI1.1:4:6643772:6644058:1 gene:ORGLA04G0043100 transcript:ORGLA04G0043100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEPGGGGGVEEEAAAVGRRRLGGGGGDVSGGGGGGGDGGGGSKRMTLIRDGR >ORGLA04G0043000.1 pep chromosome:AGI1.1:4:6637380:6639527:-1 gene:ORGLA04G0043000 transcript:ORGLA04G0043000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGXQQAAPPPSCQKEQEGEIFSTQAXHTQKSCWNGERKNKGAISPKEAXSWQGASSSSKASSRIHIGHAIGQRRCLIQDGPCMXGVARVLHGEVQRKEEEXRDLHVGPARWSAVPRAYCLHRRRLQRSMGPLQGASDRHQPPXVLLLVTWKHVHRKAPHVGLLDPAVVNETTLKNDRANMVAYIKDCLFARQDKDFIMRHWILLVITPKXSLVHYLNSNIKPEIYDWSAIESALNEAWDQYVARGGRHKDGHPKLGHKKDFPIRVCHNMRSFTDKVTLLDPEVLMHVGGLERKMDVNDIYVNGLWISSGAPHPLMVTISAGPQTSGSRKGSRKGCEPRTRGSSFTGWLPQLAILAHASIGTFLSHYGWNSVLESTAHGVPVVAWPLNAQVLEEWGSCVELCRGNAPDSPALERERVAEVVEMVTGSMEMAAKTRQCVKKIQEMIAPALEDGGSSMNTLKEFFALLTLRDRTMPMKL >ORGLA04G0042900.1 pep chromosome:AGI1.1:4:6631072:6632550:-1 gene:ORGLA04G0042900 transcript:ORGLA04G0042900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PJR0] MPSDGSFRIVLFPFPAQGHFSAFLSLAAHLHDAQPTADITIVSTPRNVEDLRRRSSSQTRYLRFHALPFAPAEHGLPGDIESTDAVPLLHFITLFEATESRSLQDSFDSFVRDLITDAGADGARVCVIADPFLAWTTDVARRRGAAHAIFVSCGAFGSVVFHSLWNHLPHLRAPGDDAFCLPDHPEVTVHRSQLPLYLLHADGTDRWSAHHRRQTSAGYDTDAILVSTMEELETTGLRMLRKTMGVPVYPIGPQVRRRTEHSDHIGDHNDDDVKRWLDTREERSVLYISFGSNNSLRPDQMVDLAMALELTGRPFIWAIRPPFGFDIETTNGREFSAEWLPEGFEERMRAKNIGLLIHGWAPQVSILAHASTGAFLSHCGWNSVLESMAHGVPIIAWPLTADQFFNAQMLEEWGACVEVSRGNWPDSPALERERVVEVVEMVMGITAKADKIRQSVKEIQGMIGRTLEDGGSSKTALEKFLKLHGHIMLKKC >ORGLA04G0042800.1 pep chromosome:AGI1.1:4:6602319:6604583:1 gene:ORGLA04G0042800 transcript:ORGLA04G0042800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:I1PJQ9] MAGVGSAVRRLYLSVYNWAVFFGWAQVLYYAVTTLLESGHEAVYAAVERPLQFAQTAAFLEMALLVAQILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETHSHILVTSLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFMVLYPTGISSEVGLIYIALPYMKASEKYCLRMPNKWNFSFDFFYASILSLAVYVPGIPSCLAVILYSVVEIKTRIAMLFFSSWD >ORGLA04G0042700.1 pep chromosome:AGI1.1:4:6582822:6594523:-1 gene:ORGLA04G0042700 transcript:ORGLA04G0042700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 1 [Source:Projected from Arabidopsis thaliana (AT5G55760) TAIR;Acc:AT5G55760] MSLGYAEKLSYREDVGNVGMPEIFDSPELLHKKIEELAVMVRESKHLVVFTGAGISTSSGIPDFRGPKGVWTLQRSGKGVPGASLPFHRAVPTLTHMALVELEKTGRLKFVISQNVDSLHLRSGLPREKLAELHGNSFKEICPSCKKEYLRDFEIETIGLKDTPRRCSDKNCGARLKDTVLDWEDALPPEEMDAAKEQCQTADLVLCLGTSLQITPACNMPLLSLKNGGRVAIVNLQATPKDKKASLVIHGFVDKVIAGVMYMMNLRIPPYIRTDFVQISLRNSVKKKCVRWTLRVTSIHGLRAPLPFLRSVEVSFPERPDMKPVVLKEQPFSLQRETSMNRPFVMLLTFNFSDGCGCSSSSIEWPVDFLKQKDSFVRDRSLVLQELQHAAEHRSRAGQHAILEREGVPRAETSIHALVTNIVRYDTEDSKAAVPMATWMNSNGSLSKRHMDAIGCNPASSKKQKLVATRHRRKGLNPATQKV >ORGLA04G0042600.1 pep chromosome:AGI1.1:4:6574766:6577413:-1 gene:ORGLA04G0042600 transcript:ORGLA04G0042600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G12890) TAIR;Acc:AT5G12890] MADTDHRRRGGATRAHVVLFPFMAQGHVAPFRCIAALVRRCRPDARLTVVATPGMAEAFRAHLVADGVGDGRLAGVHELPFLPAEHGLPAGADTSASIGFQQLITLFLASESLRPAFRRFVDDLRAANPGDDIHVMADMFLGWAVDVARDTGASSSIVLTCGGYGSALYFSLWDSVPLPVTASPDDGFPLPRFPDVRVQRSQLTNHLAAADGNDAWSTFIQRQIAAFSRADALLVNTAENLEPKGLSMLRQWLNVPTYPVGPLLRAPAPSPEAKKTSPILEWLDEQPPGSVLYISFGSLYRITAPQMMELARGLEQSSHRSVWVIRPPAGNDANGEFSPEWLPEGFRERAEAEGRGLVVRCWAPQVEILAHAATGAFLTHCGWNSVQEALGHGVPLLGWPLSAEQFYNSKLLAEETVCVEVARGSAAVDAAKVAAAVEAVLGETSMERAAMKRRAAEMKEAIDAARDGDKSSVTVMRRFLDEVLPPRGAQFMASWALQSACFRDGAMSHQLRSSHPMM >ORGLA04G0042500.1 pep chromosome:AGI1.1:4:6547895:6551760:-1 gene:ORGLA04G0042500 transcript:ORGLA04G0042500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAECKYEVAQVAYVKLALHALKHPAAAVNGLLVGRLLDGAASPAAVVSVADAVPLSHHPHHLPLLPTLELALTLVEDHFAAQGLAVVGYYHANARRDDADLPPVAKRVGDHVFRNFPRAAVLLLDNKKLEEAVKGKSREPVVQLYTRDSSKSWRQAGSDGSSQLTLKEPSTNMVLADHVTTKKWQQVVDFDDHLDDISKDWLNPGLLA >ORGLA04G0042400.1 pep chromosome:AGI1.1:4:6530012:6543598:1 gene:ORGLA04G0042400 transcript:ORGLA04G0042400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAHAPPTAAQELGDASASASVVDDWARDEDTEPMAVDPHHAAAAAAATATEDGEGVADAAPPAAPAEDVTEIQSSLQSLELKTNAAAHEDAQEVVEEEKETKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERLKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVLLAKTLGVAKLIVVINKMDEPTVKWSKERYDEIESKMVPFLRSSGYNVKKDVHFLPISGLLGSNMKTRMDKSICSWWDGPCLFEVLDRIEVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTIREGDSLLVMPNKTNVKVIGISLDEHKVRRAGPAENVRVKLSGIEDEDIMAGFVLSSIGNPVGAVTEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVDLIEEIDMKKKKEADPKKKKPKRKPLFVKNGAVVVCRIQVNNLICIENFSDFPQLGRFTLRTEGKTVAVGKVVAIPPAGSPTFSA >ORGLA04G0042300.1 pep chromosome:AGI1.1:4:6524251:6525593:-1 gene:ORGLA04G0042300 transcript:ORGLA04G0042300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAPPPPAPCMICAAAAACRPGEVVPEGGERDELRQHGEEVGAWPVRHGVESHLYETLLEVRVLIVRPFRKVLEEMHPYLLDPGLQTIRLEQHSKQSNT >ORGLA04G0042200.1 pep chromosome:AGI1.1:4:6519913:6520155:1 gene:ORGLA04G0042200 transcript:ORGLA04G0042200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHHPLINKMPHHHPLTMITFKHHANMLYLYSFYNLRAFQIQTCQIIIQHNSHNVSMYIFIKFFDILYTYMVHPYLVRA >ORGLA04G0042100.1 pep chromosome:AGI1.1:4:6491267:6498524:1 gene:ORGLA04G0042100 transcript:ORGLA04G0042100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:I1PJQ2] MDHSTSLLRLIFLALGAALVVLVVRSAFRLPGDIDTTTTSLFDDGNGGSCTRFSPWACRQGRGDPRSKPSKPRRPSHESDVPRHPLDPLTVREVNRVRELLRAHPLFASAPSSLFVHSLELDEPEKSVVKSWRKGADPLPPRRAVAVVRFRGESHVLGVDLSEGDGAVTPLPVPASGYPMMNMDEQTSLCFAPFKDAAFNASLLRRGVRASDVACLPISLGWYGPAEENRRLIKSQCFSTEGTANFYMRPIEGLTVLVDMDTAEVLHVSDRGAGIPIPAAANTDYRHGHSAPNPAEAQAQGRHGYQTDPATGEHRDVMYKGMASELFVPYMDPTEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRHARYLDAVFVAADGRPYVRENMICVFERYAGDIAWRHSESPITGMDQRLVMDIFNFRTLKNKKVGLSGILMVKGTQYSHMNQVHQNDNMYGTLLSENVIGVIHDHFVTFRLDMDIDGADNSFVKVAMARQNTGAGESPRKSYLKATRHVARTEKDAQVRLKLYEPSEFHIVNPTKKTRVGNPVGYKVVPTGTAASLLDPEDPPQKRGAFTNNQLQIWVTPYNKTEEWAGGLFVYQSKGEDTLATWSERDRPIENKDLVLWYTLGFHHVPCQEDFPIMPTVSSSFDLKPVNFFESNPILGQRPTQENDLPVCAAAATTS >ORGLA04G0042000.1 pep chromosome:AGI1.1:4:6440496:6441023:-1 gene:ORGLA04G0042000 transcript:ORGLA04G0042000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGIISPSIGKLDKLPRIALHQNSLHGPIPSDIKNCTELRVIYLKANYLQGGIPSEIGELIHLTVLDLSRNLLRGTIPASIG >ORGLA04G0041900.1 pep chromosome:AGI1.1:4:6422862:6423365:1 gene:ORGLA04G0041900 transcript:ORGLA04G0041900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISICNMIRIGEGMEADSRANISPPAKKSRKAHSSPALHETRKKAAGRGRGKGKLQASLLDPKKLDLGKVPSLTPAKPAPTTFQLGMPLVGDNELTKMGPTCRELHWYYMEMSNARRKNRETSMIGWHGTQPFLGPEAYIVVDFEDLFELYSLRAVDTTHLKCYSL >ORGLA04G0041800.1 pep chromosome:AGI1.1:4:6325187:6325561:1 gene:ORGLA04G0041800 transcript:ORGLA04G0041800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLGGCRILQDTPSPFHVHRGQGHLAQALFPHFDNACWCCVVACVQVLDKQHHERFHSPGITSSFDMFCSLSPVLLSFLHFFHAHGFRSDQNHGCMIRSIDSVVFTMQSAPPSLLPSEFSFDS >ORGLA04G0041700.1 pep chromosome:AGI1.1:4:6324044:6324664:-1 gene:ORGLA04G0041700 transcript:ORGLA04G0041700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVLLPTNNWTTRRHTSNADNQPGSRPPFADPKKKGWTATGVGGDGGGAAWTGEVAAQGGRRCGRGGSSRRRRSQLYLKPPPLDPGGGQPPSLDRARDSPAEPVARRWRRPSSSLAAGAGRRRRPSLEEAGGTPPPAARHTEEAQAGDRPPPTCNRRSTACRTSRPSSSLPIRKKWRWRGKWVNGFYEGEGGSKYRPCLDPLGY >ORGLA04G0041600.1 pep chromosome:AGI1.1:4:6277006:6277713:1 gene:ORGLA04G0041600 transcript:ORGLA04G0041600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTPPPLAASRQGQPAGDCPPPPRPTTAFDDTLYATNKKVLTMHIDSYKEATKLAANGMNIKSPKLDAGGHSWHILVYPNGRLPGTTDSMSLFLQLADAPDDGGHVKFEYQFMLEIHSGDSRGLEFMSGGVVAAANKRWNAHGFERFVSREDLGKRGFVKADRFQIRCDVIVLEKKPSMLPVVETPPPSGQPSPATETAPPGLSGSRQAPVERAPRTATSRSSPSPSWRMEIP >ORGLA04G0041500.1 pep chromosome:AGI1.1:4:6271411:6271668:1 gene:ORGLA04G0041500 transcript:ORGLA04G0041500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPNACFYSTTNLCDIIVDLAAGNIRFYQQLAVLASLISSAHRWEIGSRHCSMSLSPSSYRPRQRYIVPTRSRKFLSSLMIPM >ORGLA04G0041400.1 pep chromosome:AGI1.1:4:6268109:6269191:-1 gene:ORGLA04G0041400 transcript:ORGLA04G0041400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQSLSLPPLSLSLSLFSSPHPTPRGGQRRDGSRQHGGREAHALGDAATAKEEEVRRKPGGGGGGHVVHAELGALVIGVVGVVVLAMGCAGGGGGGEAMYSSRRSDGFGGLVVAERRHRWASTAAERRRQRVRAVAERRQLRAAAISWSRGTGGSAGERRLRAAAISERRAPPLPAAGPPPTPAAGAHRRGARPRLHLRAHLMSRVVERDTSSAWFLGSLFRVDEARRYIVDKVLPHPWYRAICVAGADRPVGSISVNPADDLREPDESETGGLRSRCCRASVGYRVAHVHWGRGVVTRAVRATAEVVSAEWPWLERLEAVADVENPAGRRAAAKRYFVSHYRLNGWKSRTLEDWSNGR >ORGLA04G0041300.1 pep chromosome:AGI1.1:4:6263139:6263639:1 gene:ORGLA04G0041300 transcript:ORGLA04G0041300.1 gene_biotype:protein_coding transcript_biotype:protein_coding APSSPPLSSSSLFSPFAPSMVEAELTTEVWNWESAAMEAESVEAESTAETESAAAEAESVEAESAAAETESVEAESTAEAESATAEAESMNAESTAEAESAAAEVESPCLCSPQQAPLCRLRLAWEPRSPSPPASSASANGPSSRHLRLLEPLPCIGTLVPFFHRKL >ORGLA04G0041200.1 pep chromosome:AGI1.1:4:6261629:6262855:-1 gene:ORGLA04G0041200 transcript:ORGLA04G0041200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPALTDDVLAEILVRVPSSCDLARASAACSSFCRIATSPRFLRRFRSLHAPLPLGVLCPDGAAAFQPAMPPHPSAPAARALALAADFAFSFLPPPARAWLLRDHRDGRFLLDRALAGGSTAFTDVAVCDPLFRRYVLLPPIPDDLAASVQNPYLQRGGDGGLQSRSSEIFLASCGSDAGGEEPLFAVIWMACCRGKLVAFFFSSESQQWRALSPPEHYALSTRRVMGVRLGQRNHAHGCFYWMITLTHRWLVLDTRRMEFLVVDISPVLSGRAMMFSNQIITLESMDGWTIVVVADVFRSDKRCVLYFYKFMYFSDRWQLQSKINLPEEWGYRFRGIIGASECYLFIKLDHPKQNLGDPVQQNAMYFMFDIKTMQLGRFSEICSGTVSEAYLYTGFPPSQSLPSV >ORGLA04G0041100.1 pep chromosome:AGI1.1:4:6158999:6159385:-1 gene:ORGLA04G0041100 transcript:ORGLA04G0041100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKEAASKYRGVRRRPWGKFAAEIRDPERGGSRVWLGTFDTAEEAARAYDRAAFAMKGAMAVLNFPGRTSSTGSSSSSSSTPPAPVTTSRHRADTTEKVELVYLDDKVLDELLAEDYSYRNNNNY >ORGLA04G0041000.1 pep chromosome:AGI1.1:4:6150839:6152970:-1 gene:ORGLA04G0041000 transcript:ORGLA04G0041000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSISEIAFELKEIRRFRRVTSHIPRRIVTTLSNKERIPVMRYASCVVIALPRAARAMSDLLQLGSCPVGLP >ORGLA04G0040900.1 pep chromosome:AGI1.1:4:6138919:6139116:-1 gene:ORGLA04G0040900 transcript:ORGLA04G0040900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILHVDGKTDEDIYSLSRGPDHRVRVANSCSINGFFFRTTNVEKNLTTQNSGVVVQGEGMDYTK >ORGLA04G0040800.1 pep chromosome:AGI1.1:4:6138338:6138646:-1 gene:ORGLA04G0040800 transcript:ORGLA04G0040800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGKDDDNNVDHADVDSLVVGVEQMNVQHRHDDFTNWRRSNIEGISGDSTVIEEARAASMPEPDDDDIPDEDDESDDTYIVDGVVAPVESHGGDDDDDFFV >ORGLA04G0040700.1 pep chromosome:AGI1.1:4:6120898:6121290:-1 gene:ORGLA04G0040700 transcript:ORGLA04G0040700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATRVVEAARDGHGEVSDGGDGWLWRRRGCPAMPVEAEAEETRGDERARGDAAGDRIGGGGRCRLRRRARRRKGEAHGGEGAREHEEIAALMVADAETARGEEMRRAVGDAGEGASDGPRLLIFGPLDM >ORGLA04G0040600.1 pep chromosome:AGI1.1:4:6075797:6081630:1 gene:ORGLA04G0040600 transcript:ORGLA04G0040600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVLEEWIKQRESWKPFWVRGINMVGYSINGLHPVLFSSQADGDDDGARAGVAGPDAGQHRCLSCLCHIAVAPFPAPALEMWSALGRLINGEHNALLLAIPLDGIGSFPPHPPPDPQEQEAAAPPPPPAEPAVPPRHRGHLHLFKKPLHRALSGLAATHGPVLLLHFGSRAVLHVTDPAVAEECLTDHDVTFANRPRLPSSCHLSNGYTTLGSSSYGPNWRNLRRIATVEVFSAHRLLRSADVRGGEVPHMARWLYLAAPAAGPSEPARADVKARAFELALNVVARMVAGKQYYGGEGDAEAETEEASRFREMVREYFAMHGASNLQDFVLLLGLVDIGGAKRRAVKLSREHNTWAQRLIDEHRATATAAAATEARTMVGDLLKMQASEPEAYSDKVITALCLTGTDTSSSTIEWGMALLLNHPAAMAKARAEIDRFVGTGRVVEEADLPNLPYLQCIIRENLRLYPVGPLLAPHESSADCSVSVAGGGRYAVPAGTMLLVNVHAMHRDARIWGPDPESFSPERFEGGRSEGKWMLPFGMGRRRCPGEGLAVKVVGLALATLVQCFEWRRVGDEEVDMTEGSGLTMPKAVPLEALYSPRPEMVPALSAL >ORGLA04G0040500.1 pep chromosome:AGI1.1:4:6002232:6002675:-1 gene:ORGLA04G0040500 transcript:ORGLA04G0040500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVLLVATHSAVAVYNLVQRARYAAAVFMPAALRRGSGTAVLLQLIFLVVLHSRSPTHMRRRXGGAAVLLRLFFLANLHFRRRWRRRASCGMLYTAAALWVAMRSTRADVDGVQEMRRRGWRDEEEGETDEWSPRHFSPWVATLAY >ORGLA04G0040400.1 pep chromosome:AGI1.1:4:5996953:5999886:1 gene:ORGLA04G0040400 transcript:ORGLA04G0040400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLIASTGGAHRLAWKDAAALGPAPRLARPWPAAVAAPAPLLRISRGKFALQAITLDDYLPMRSTEVKNRTSTADITSLRVITAVKTPYLPDGRFDLEAYDSLINMQIDGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGAKVKVVGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSIEGLISHFEAVLPMGPTIIYNVPSRTGQDIPPAVIEAVSSFTNLAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLIPGLMHDLMYEGENKTLNEKLFPLMKWLFCQPNPIALNTALAQLGVVRPVFRLPYVPLPLEKRVEFVRIVESIGRENFVGENEARVLDDDDFVLVSRY >ORGLA04G0040300.1 pep chromosome:AGI1.1:4:5956333:5956665:1 gene:ORGLA04G0040300 transcript:ORGLA04G0040300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYRRRELTAASTLVPAACGRAGGMPCVPAATGSGRKLLDIATSGGRQLCCGWPRKTVESAFQMADERGKVSGLIRQPLTNGKTSCGGVRMSLRAGGGGAGGGACSCR >ORGLA04G0040200.1 pep chromosome:AGI1.1:4:5948556:5949244:1 gene:ORGLA04G0040200 transcript:ORGLA04G0040200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMAKPASRAAKPASAPKKQKAKPSAAAGGSSHPPYFEMIKEAITVLKERTGSSAHAIAKYMEEKHGASLPANYKKMLSIQLRGFASKGKLVKVKASYKLSDAAKDSPKAKPAVPAPKAAAPKPAKDAAKPKKDAAAAKPKKAPAAGTKRKAPEKKVVAMPKKSPAAKAKAKPKTVRSPAAKKTRKAPAA >ORGLA04G0040100.1 pep chromosome:AGI1.1:4:5914460:5915086:-1 gene:ORGLA04G0040100 transcript:ORGLA04G0040100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACDFDLNFTFISCGWEGSATDARVLRSAMNSGFQVLDGKFSLVGGYANTPQFIAPYRGVRYHLKEFGCGHRRPRDYKKLFNHRHSILRNHVERALGVLKKRFPILKVGTFHRIKNQVWIPAATAVFHNMIRLLNGDEGWLDNPPDNIKPTNFVDLPEGDSEYQNDVASLSNQMISGNNIRCGKIMFVASKNSIDNILVFYDLYLFM >ORGLA04G0040000.1 pep chromosome:AGI1.1:4:5895981:5912058:-1 gene:ORGLA04G0040000 transcript:ORGLA04G0040000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSVISSRSGADYRSFRQITRDRLLFEMLRSTKKSSKSAWKVLIMDKLTVKIMSFSCKMADVMEEGVSLVENLYMRRQPLPLMDAIYFIQPTKENIRIFMSDMSGKIPLYKKAYVFFSSPVQRELVAQIKKDSNVRARIGALSEMNLEYFAIDSQGFTTDHDKALEELFSENAEGSLKYNSCLNMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLAPTKLAAGVWNCLARFKAMIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQQVPSKSGSGTENKEVLLEDHDPIWLELRHVHIANASERLHEKMTNFVSKNKAAQLHQARNGGDLSTKELQKMVQALPQYSDQIDKLALHVEIAGKLNSTIKEQQLKDVGQLEQDLVFGDAGTKELINFFRTHLDISRENKLRLLMVYAANNPDKTRSDKGAKLMQLAGLSADDMIAVSNMRCLCGHDSKKSSAGGFTLKFDLRKKRHGIRKERIGEESKWMLSRFYPILEELIEKLSKGELPKDEYHCLNDPSPSFRGIPSASTQTSPAHQPAQSMRSRRTGGTWARPRDSDDGYSSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITKLKMLSTDDLTLDDLQI >ORGLA04G0039900.1 pep chromosome:AGI1.1:4:5846990:5874723:-1 gene:ORGLA04G0039900 transcript:ORGLA04G0039900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 160 [Source:Projected from Arabidopsis thaliana (AT5G51660) TAIR;Acc:AT5G51660] MSYAAYKMMHWPTGVDHCAAGFVTHSPSDAAAFFTAATVGPGPEGDIDSAAAASRPRRLGPSPNLVVAAANVLEVYAVRAETAAEDGGGGTQPSSSSGAVLDGISGARLELVCYYRLHGNIESMTVLSDGAENRRATIALAFKDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKADPLGRCGAALAYGLQMIILKAAQVGHSLVGEDEPTCALSSTAVRIESSYLIDLRALDMNHVKDFAFVHGYIEPVLVILLEQEPTWAGRILSKHHTCMISAFSISMTLKQHPVIWSAANLPHDAYQLLAVPPPISGVLVICANSIHYHSQSTSCSLDLNNFSSHPDGSPEISKSNFQVELDAAKATWLSNDIVMFSSKAGEMLLLTVVYDGRVVQRLDLMKSKASVLSSAVTSIGNSFFFLGSRLGDSLLVQFSYGASKSVLQDLTNERSADIEGDLPFSKRLKRIPSDVLQDVTSVEELSFQNIIAPNSLESAQKISYIVRDALINVGPLKDFSYGLRANADPNAMGNAKQSNYELVCCSGHGKNGSLSVLQQSIRPDLITEVELPSCRGIWTVYYKSYRGQMAEDNEYHAYLIISLENRTMVLETGDDLGEVTETVDYFVQASTIAAGNLFGRRRVIQVYGKGARVLDGSFMTQELNFTTHASESSSSEALGVACASIADPYVLLKMVDGSVQLLIGDYCTCTLSVNAPSIFISSSERIAACTLYRDRGPEPWLRKTRSDAWLSTGIAEAIDGNGTSSHDQSDIYCIICYESGKLEIFEVPSFRCVFSVENFISGEALLVDKFSQLIYEDSTKERYDCTKASLKKEAGDSIRIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAFSYEASESNVKRVPLSPQGSADHHNASDSRLRNLRFHRVSIDITSREDIPTLGRPRITTFNNVGGYEGLFLSGTRPAWVMVCRQRLRVHPQLCDGPIEAFTVLHNVNCSHGFIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQESVHHMDNDVTSTDALHKTYTVDEFEVRILELEKPGGHWETKSTIPMQLFENALTVRIVTLHNTTTKENETLLAIGTAYVLGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIVKNFVLFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLVASDSDKNVQIFYYAPKMVESWKGQKLLSRAEFHVGAHITKFLRLQMLPTQGLSSEKTNRFALLFGNLDGGIGCIAPIDELTFRRLQSLQRKLVDAVPHVCGLNPRSFRQFHSNGKGHRPGPDNIIDFELLAHYEMLSLDEQLDVAQQIGTTRSQILSNFSDISLGTSFL >ORGLA04G0039800.1 pep chromosome:AGI1.1:4:5832761:5837681:-1 gene:ORGLA04G0039800 transcript:ORGLA04G0039800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:I1PJM9] MASKLSFKRMDSIAETMPDALRQSRYQMKRCFQRYVSKGKRLLKNQQLMEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDEKWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGGKPESMKPLLDYLLTLNYRGEKLMINDTIDTVSKLQTALLLAEVFVSGLPKYTPYLKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPTNMEKFFSRVPSIFSIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRAMEEELLQRIKQQGLHVTPKILVLTRLIPDAKGTKCNVELEPVENTKYSHILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDSCAKILDILEGKPDLIIGNYTDGNLVASLLSNKLCVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMISMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSIYFPFTQKQKRLTDLHPQIDELLYSKDDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLMDRYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIIDGVSGFHVNPINGREAGIKIADFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATRVLNMGSTYSFWKTLNKEERQAKQRYLQIFYNVQYRNLAKAVARAGDQQARQTTTGVAPSEIVVRPKERKPQTRMQRILTRLAGQKPPVSE >ORGLA04G0039700.1 pep chromosome:AGI1.1:4:5756285:5756662:-1 gene:ORGLA04G0039700 transcript:ORGLA04G0039700.1 gene_biotype:protein_coding transcript_biotype:protein_coding WYPGAPTSPSGRPPPCLPCCRPGKKGRRRKGKKEAEEIEVKMEMWGLITRCPWRPRYQCMIFSPCFGFLLGFRSSVGSEYVWGSTDLFLNRVFLLICHPAQFCLGCLFGQNNGISCEKSLYKSCR >ORGLA04G0039600.1 pep chromosome:AGI1.1:4:5739734:5739988:-1 gene:ORGLA04G0039600 transcript:ORGLA04G0039600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRICGLFIGLIFFFLFFIYSFLVIEFVINWLPDEVNLRRIGWESMRVLLDFNFDFVVYFRRFPLQFRLFFFSSFTVSNLKY >ORGLA04G0039500.1 pep chromosome:AGI1.1:4:5739099:5739389:-1 gene:ORGLA04G0039500 transcript:ORGLA04G0039500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAFPPTTFFQGSWGSEIESNHFFIAGEGGVAEAIHMLGATASPVVGEDATYQIQHGTDLPRVFFFFCGVASCCIWWRVNGRHISLSQRDKFAA >ORGLA04G0039400.1 pep chromosome:AGI1.1:4:5735935:5736600:-1 gene:ORGLA04G0039400 transcript:ORGLA04G0039400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRKQLPDLFSGFGDQQKELVKQMGFDGLLSMRLTKLNKQFGAWILCKLDPSSGNLFAGSRHEICLTCEDVSLLLGIPCGRKEILPAIKNEVKDVKAYMCEIFEKDSFDGLTIVTIQRILEKKFNRTMTVHEQIVFKTAFIIFVVTKFLAPQSVNNHISIRYMKALVDVENIHKYNWAEFALHDIKDA >ORGLA04G0039300.1 pep chromosome:AGI1.1:4:5674186:5674804:-1 gene:ORGLA04G0039300 transcript:ORGLA04G0039300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYCSDRLSGSSHPSSRAPIPARVGPYDYQPAVMCRCGAKAARWISGSVDNPGRRYYRCRNRGAGCDFLDWYEPATSSFLRELLNDLHEAMLSLRREKNELQHCVEELRPKVEEQCLELGVAMNEVAQLRIVAAENEANMASMRASNSRLEKQRVWLVLMSLGCMLVLFAMILVQL >ORGLA04G0039200.1 pep chromosome:AGI1.1:4:5634429:5634920:1 gene:ORGLA04G0039200 transcript:ORGLA04G0039200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVQPEVTVVAAYMRKINCYSVHEVHGEFCIDEKAFNMNGLYTRKDYQVNFPSDQTACHSELSTNSNLKLKTSCAGRTGDAQVTTMVMNVGFERHVWMELYYPMAHLGLGRSPSIISPRIEAFQSKEFLDKEEKIQFGLGLGQPGYTRTKTPFLSRPESILDM >ORGLA04G0039100.1 pep chromosome:AGI1.1:4:5606756:5622980:-1 gene:ORGLA04G0039100 transcript:ORGLA04G0039100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:I1PJM2] MALLRRLFYRKPPDRLLEIADRIYVFDCCFSTETMEQFEYKNYLDNIVLQLREQFVDSSLMVFNFRDEGKSLVSGLFSLYGITVKDYPCQYLGCPLLPLEMVLHFLRLSERWLMLEGQQNFLLMHCEKGGWPVLAFMLAGLLLYMKQYNGEERTLVMVYKQAPKELLQMLTTLNPQPSHLRYLQYICKMDDELEWPIQPIPFTLDCVILREVPNFDGVGGCRPIVRVYGQDFLTVDKRCNVMLPPSKPRKHARRYKQQADNISVKLNVGSCVQGDVVLECLHIDDSLEDERLMFRVMFNTYFIQSHILPLNFENIDVSWDAEQRFTKKFKAEVLFSEFDGESDASTEVASDYDDEVEVGSTDVFFEAVEIFSNLDSQEGQRDAEIPSIASTECSPRAELMKTAPFSHFDMEIGLGGSQKNKIDGMVLSLEKSDEKCTSAEGDIIQNNITRVVRSSSANTTDGDRDTMNSSCYGGKVDGCIVEKNNSNKEILTNSNEDSGIENVLVKEVIISETNSLKDIQMIKEVIISEVTTSKPVIEVDTIGTELSDVVHNSETITHAEANNEEEVLVTLKQNEGDNLVEECIYYGNSIMIKPEKNRKKEKSIIGSTIGVVPDSTKENARVGLLLSVKPHLDSTGTYHDLNSPLQKIDLLNVSNTNCVEEQMKGMEASISNSYGQPSNLSSLNLQPQGSSFQANGDPTCANTSTNANESTQLELKRKSFISLSTSSIFSPLSPRRNLLRTTSTDLSFLSPLQKKSNQHSIPCSSGRDDFASSYRPPPNIPCTSLGTSKVSSLVHPSLRPLRTVSSLSQSSFEEYLDISPPSPTFHEKHQQHFNLDPPSLIPPWQLRLAKTKENDIYPCTLSFLPLSPSNKYAHHPPFPPPPPSPHVLCTQNNSRTQISEYEQGRVEGPCPSSSYGQSILNSHDVSLSLPQKDSSCIAITNGPSSSNYVEEVPMETILNQPTLSIPLEACKDELLHCKENGGIPIPPPPPPLCDHEKKYTRIPLPPPPPEGSHGILATTSTELIDAGPQLPPLSHLDWKRCPHHPPERPHYLPGEVGGAPSPPSPPPPQRENTSVGIQGGIPPLPPPLPPTLGDYGVAPPPPSIGAGAPPPPPPPGGITGVPPPPPIGGLGGHQAPPAPPLPEGIGGVPPPPPVGGLGGPPAPPPPAGFRGGTPPPNAHGGVAPPPPPPRGHGGVGGPPTPPGAPAPPMPPGVPGGPPPPPGGRGLPAPPGGKGVVGHGLTRSLGLNSAATARSHSEFDVKELESLFAIAPKTKGGSKSDGASKSLGSKPDKVHLIDLRRANNTEIMLTKIKMPLPDMMSAALALDDSVLDADQLENLIKFCPTKEEMELLKNYTGDKETLGKCEQFFLELMKVPRVESKFRIFAFKIQFQSQIRDVRKNLLTVSSACEELRGSEKLKVIMEKILFLGNKLNQGTPRGQALGFRLDSLLKLTDTRANNSRMTLMHFLCKGLADKSPHLLDFYEEFVNLEAASKLQLKALAEEQQAVVKGLQKVEQELAASESDGPVSEVFRKTLKGFTDASGADVRSLSALYAEVGKSADALAYYFGEDPAKCPFEQVTSTLLNFVGLFRKAHEENIKQIEADKKKAQKEAEKEANQDRTPVKSKDGLVDRSPRSPFK >ORGLA04G0039000.1 pep chromosome:AGI1.1:4:5600937:5601681:-1 gene:ORGLA04G0039000 transcript:ORGLA04G0039000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVDVVSEFCSVPRTRRHLKKRKQFQTVEMKVRIDCEGCERKIKKALEDMKGVSSVEVTAKQNKVTVTGYVDAGKVMRRVAYKTGKRVEPWPYVPYDTVAHPYAPGAYDKKAPAGYVRNVVSDPSAAPLARASSTEVRYTAAFSDENPNACSVM >ORGLA04G0038900.1 pep chromosome:AGI1.1:4:5587357:5594258:1 gene:ORGLA04G0038900 transcript:ORGLA04G0038900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nucleic acid-binding, OB-fold-like (InterPro:IPR016027), Protein of unknown function DUF171 (InterPro:IPR003750); Has 3649 Blast hits to 1964 proteins in 291 species: Archae - 113; Bacteria - 121; Metazoa - 1082; Fungi - /.../lants - 227; Viruses - 4; Other Eukaryotes - 1703 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G19300) TAIR;Acc:AT5G19300] MNSGDAEAAAASKKARCKKEKKKKRKDDCGAAEASAADEEIVHDNKRKKQLQQKKNGDDVAVPNRKTTVSIAVAGSIIDNAQSLELATLLAGQIARAATVFRIDEVVVFDSNSSVENSGDDVESGARFLVRILQYLETPQYLRRRLFPMHNNLKFVGLLPPLDAPHHLRKHEWSEFREGVTLDGDRSMGTFVDVGLSKNVLVEQMLEPGKRVTIAMGTNRDITTACKRKIVSPSSPRDEMELYWGYKVRYASNLGGVFSDSPYKEGYDYIIGTSEHGKIISSSELILPSFRHLLIAFGGLAGLEECIEEDRNLKGKGVDDVFNTYLNTCPSQGSRTIRTEEALLISLQYFQDPIRRAG >ORGLA04G0038800.1 pep chromosome:AGI1.1:4:5575854:5584956:1 gene:ORGLA04G0038800 transcript:ORGLA04G0038800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein [Source:Projected from Arabidopsis thaliana (AT5G42150) TAIR;Acc:AT5G42150] MRSIRAAQALASRSLLLSSRALHGDAASTAAAAAGGGRLGVQPSPPSQASSSSSSRAMPAGIAGAVSFSLTFATMAAAEAKERPPMDLLPQNVVLYQYQACPFCNKVRAFLDYHDIPYKVVEVNPLSKKEIKWSEYKKVPILTVDGEQLVDSSDIINILQQRVRPDDKATNEEEEKWRRWVDEHLVHVLSPNIYRTTSEALESFDYISKHGNFSFTERFAVKYAGAAAMYMVSKKLKKKYNITDARASLYDAANTWMEALDGRDFLGGSKPNLADLAVFGVLRPIRYLTAGKDMVEHTQIGDWYQRMEDAIGEPSRIQE >ORGLA04G0038700.1 pep chromosome:AGI1.1:4:5554031:5554504:-1 gene:ORGLA04G0038700 transcript:ORGLA04G0038700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDGLSNNKLLDDFEPGRYNPTRVRPPTRSESSSSTNLIYRDQSRCRKPWTHMPTPTRSCGAHWWATELARSSWRSSLPFSGCRAACSVHSKALFYAYYVILLAVAVFSVAEVGVGCWVSASPSDRRRGIGKVVVWASVMAIVVVAGLGCFAVLK >ORGLA04G0038600.1 pep chromosome:AGI1.1:4:5540544:5540891:-1 gene:ORGLA04G0038600 transcript:ORGLA04G0038600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLSYISKMLCIKIPSEARQASEDGGSGGLTECSVCLSRIRVGEATRRLPCRHAFHRDCVDRWLLSCRRTCPLCRVYVVVDGNKPGVAAKHTGEPPLAEDMVIWFSAMLVPGF >ORGLA04G0038500.1 pep chromosome:AGI1.1:4:5474922:5475726:1 gene:ORGLA04G0038500 transcript:ORGLA04G0038500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLRGAGGEIFWQRRQCSRARVDSTRVAAATLADALGVTAALAGTSNNGDGSATAAATALTDRSDCRKSYPTYENRQNQPVDGIKRMMERKT >ORGLA04G0038400.1 pep chromosome:AGI1.1:4:5469032:5471261:-1 gene:ORGLA04G0038400 transcript:ORGLA04G0038400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sedoheptulose-bisphosphatase [Source:Projected from Arabidopsis thaliana (AT3G55800) TAIR;Acc:AT3G55800] METVAAASYTRGAATRSPACCAAMSFSQSYRPKAARPPSTFYGESLRVNTARSLPSGRQSKAASRAALSTRCEIGDSLEEFLTKATPDKNLIRLLICMGEAMRTISFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFEALEYSHVCKYACSEEVPELQDMGGPVDGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYIIALKDCPGTHEFLLLDEGKWQHVKDTTTIGEGKMFSPGNLRATFDNPEYDKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGFLIEKAGGCSSDGKQSVLDKVINNLDERTQVAYGSKNEIIRFEETLYGSSRLTAGATVGAAA >ORGLA04G0038300.1 pep chromosome:AGI1.1:4:5456255:5456545:-1 gene:ORGLA04G0038300 transcript:ORGLA04G0038300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGNMLADISSVNGYHEGLPMVLAHIATYAALALPPTVNVRHHSRRGQEDLDHLVIFGAMNPATSANGAAAIIDPPSHTVQWASSHALLSLTMRA >ORGLA04G0038200.1 pep chromosome:AGI1.1:4:5438567:5439702:-1 gene:ORGLA04G0038200 transcript:ORGLA04G0038200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEEPEHVRPKDYTDPPPAPLFDVGELRLWSFYRALIAEFIATLLFLYITVATVIGYKVQSSADQCGGVGTLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLLLARKVSVIRAVMYIVAQCLGGIVGVGIVKGIMKHQYNANGGGANMVASGYSTGTALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIYNQKKAWDDHVSCSPLTATLCSCVHFTKCLCLHMLNCSGSSGRGRSSERWRRRRITSTSSGRQPSRRWAPSGATPATELR >ORGLA04G0038100.1 pep chromosome:AGI1.1:4:5432710:5433129:1 gene:ORGLA04G0038100 transcript:ORGLA04G0038100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDGDPNRSPTGLGGPSDGSYIFVRGVTGGAWEYGEENARGGEGRAGAIDYFLYIDARYFVRVPSTSQRLLPLRSASSGNDL >ORGLA04G0038000.1 pep chromosome:AGI1.1:4:5335865:5336723:-1 gene:ORGLA04G0038000 transcript:ORGLA04G0038000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHDVTASLRAPGRHPVTMLSVLATGSEERRCQEVLAGGDRYSHHEFCVIDGVLFEQAMRRTEELRVQIDCGNDDRSSLTYVYRLRLISSIPGDTIRILELASCRIKLPPPDHAAPFPFPRLAVLRLERCSSPMRDLATLISAAPNLATLHIARHSFCWYPERDFDDGRFVLHCPSLTSLTLDNDITCIEGIRDAIASTFWKFLGSLRNTKSLKLNVPAMGSIPLLDNGTILCLKI >ORGLA04G0037900.1 pep chromosome:AGI1.1:4:5281256:5281486:1 gene:ORGLA04G0037900 transcript:ORGLA04G0037900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAALRHAHPSAFYSSAVVDMCTGETAVSADDVGENPKHRSACCCVLPLAGVELMMNITAPYIAAKFPALAIASN >ORGLA04G0037800.1 pep chromosome:AGI1.1:4:5272908:5273099:1 gene:ORGLA04G0037800 transcript:ORGLA04G0037800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRLLANSLRPGLHLSIHCSHSLLPSQSYKWSGWGSRIDHLKEELTGVPSILPRSHEALRV >ORGLA04G0037700.1 pep chromosome:AGI1.1:4:5266351:5266854:-1 gene:ORGLA04G0037700 transcript:ORGLA04G0037700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGSVLGEAAYLEKAAQAVVVLDPGGGEDEGGEAAAKRLRWSAVQVGVINPGVGEVGKANGGLEGGGVEVEVAVVVAMATERAAVVGDPSVVDPGVGEVGVGEVGEVDGRTAERTSGGEGSGGEVEAAVERAAERAEMAVERAAGSRGGDGGDGGGEDGGGRESE >ORGLA04G0037600.1 pep chromosome:AGI1.1:4:5224908:5226669:-1 gene:ORGLA04G0037600 transcript:ORGLA04G0037600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPNHTQTVSGWAAMDESGKIVPFVFKRRENGVDDVTIKVKYCGMCHTDLHFIHNDWGITMYPVVPGHEITGVVTKVGTNVAGFKVGDRVGVGCIAASCLDCEHCRRSEENYCDKVALTYNGIFWDGSITYGGYSGMLVAHKRFVVRIPDALPLDAAAPLLCAGITVYSPMKQHGMLQADAAGRRLGVVGLGGLGHVAVKFGKAFGLHVTVISTSPAKEREARENLKADNFVVSTDQKQMQAMTRSLDYIIDTVAATHSLGPILELLKVNGKLVLVGAPEKPVELPSFPLIFGKRTVSGSMTGGMKETQEMMDICGEHNITCDIEIVSTDRINDALARLARNDVRYRFVINVGGDSKL >ORGLA04G0037500.1 pep chromosome:AGI1.1:4:5210549:5212899:-1 gene:ORGLA04G0037500 transcript:ORGLA04G0037500.1 gene_biotype:protein_coding transcript_biotype:protein_coding EACSSKKRHADMAPGGFKARKKTALAVRPVNGVLTRGRKSLLPSEVPRAGPADESDGLEEQNIHVASRGDGIDQGHGGNQVASGGDVDGIAQGHGDNQVASGGEVDGLAQGHGENQVGSGGDGIAQTHGDNLMACEDDGTAQLEEEEPWIRGNNKGAALQRLSRSRRGKLPVVIKEGDIRPLQPVVAAKFATECNIIVRNHVPVFPKWKDYKNXSAICRMFRMKLAAKFDIDIRATHVKFACVEMMKKAVRQHRYHLKRIFFNPFPLHLRNSELNKTNRSKVKYHHTTGSRSYKVHLENLGDKYKDQELDAVDMFKECHYSKKKGYTPDVQLAIDEMENKLSEHAEDEEAPSMTEVVAGVLAEKTKKPTFLQTVGIQSRKKGTLKEQLAAEKLAKDDLKSQVQELAKKLQESEQARVAEQQDMAWKQAETNAELDLLLSKIGHH >ORGLA04G0037400.1 pep chromosome:AGI1.1:4:5194520:5195405:1 gene:ORGLA04G0037400 transcript:ORGLA04G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSAATSCARFLALLATCLLWNEAASFTASGWNKAFATFYGGSDASGTMGGACGYGDLYSTGYGTNTAALSTVLFNDGASCGQCYRIMCDYQADRRFCISGTSVTITATNLCPPNYALPNDAGGWCNPPRQHFDMAEPAWLKIGVYVGGIVPVMYQRVPCAKQGGVRFTINGRDYFELVLVSNVGGVGSIQSVSIKGSRTGWMAMSRNWGVNWQSNAYLDGQSLSFKVTSSDGQTLTFLDVAPAGWTFGQTFSTSQQFS >ORGLA04G0037300.1 pep chromosome:AGI1.1:4:5181885:5183447:-1 gene:ORGLA04G0037300 transcript:ORGLA04G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAPLLSPASDDRFWDRLRTRVDTILEDRRLVAPPAATCGVESERGKRLREDSLMLVRGLDSVAASLAQLSDTLTAAQKGVNALATCSSQARECERGDEEEEPKAKRRCSLAETVSFDGETPVAGADSGEGSEKEELAADAKLRQENGGIQATSEVAKSTNLKRARNLAVSMAGRAAALARELKNIKSELHFMQERCSLLEEENKRLREGYDNGVPPEEDDLVRLQLEALLAEKSRLAQENANLSRENQSLIQLVEYHQLTSQDLAESYEDVMEGMRLDFSSPLGKIDSDEEGERENRRVAVTPSDKLEALGSSDE >ORGLA04G0037200.1 pep chromosome:AGI1.1:4:5174419:5180699:1 gene:ORGLA04G0037200 transcript:ORGLA04G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQLLLHPLLLLLVVGGVAGGGGGGGRLRAPSLEFHHRFSSPVQRWAEARGHVLPGGWPEHGSADYIAALNGHDRRRALSAAGGDGGGGGGDKPPPLTFSEGNATLKVSNLGFLHYALVTVGTPGQTFMVALDTGSDLFWLPCQCDGCTPPASAASGSASFYIPSMSSTSQAVPCNSQFCELRKECSTTSQCPYKMVYVSADTSSSGFLVEDVLYLSTEDAIPQILKAQILFGCGQVQTGSFLDAAAPNGLFGLGIDMISIPSILAQKGLTSNSFAMCFSRDGIGRISFGDQGSSDQEETPLDVNPQHPTYTISISEITVGNSLTDLEFSTIFDTGTSFTYLADPAYTYITQSFHAQVHANRHAADSRIPFEYCYDLSSSEDRIQTPSISLRTVGGSVFPVIDEGQVISIQQHEYVYCLAIVKSAKLNIIGQNFMTGLRVVFDRERKILGWKKFNCYDTDSSNPLSINSRNSSGFSPSAPENYSPEETKGGNPASVTQLRPLSNSNPVMWYDSLVFSFLLVNLAYLILF >ORGLA04G0037100.1 pep chromosome:AGI1.1:4:5163635:5164033:-1 gene:ORGLA04G0037100 transcript:ORGLA04G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNHLALIAISLLVLCLDISGKVSATEECHAAPITEVPKPCIDATCKATCSDKYQSKGECFSTDGLCYCNFCANSPPLPQIGLN >ORGLA04G0037000.1 pep chromosome:AGI1.1:4:5151141:5151539:-1 gene:ORGLA04G0037000 transcript:ORGLA04G0037000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNQLALVAISLMVLCLDISGKVSATEECHAAPITEVPKPCVDATCKVIYSDKYQSKGECFSTDGLCYCNFCANSPPLPQIGLN >ORGLA04G0036900.1 pep chromosome:AGI1.1:4:5134344:5139154:1 gene:ORGLA04G0036900 transcript:ORGLA04G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARHRVMSLLLVLLIGCCAWGCRPGAAQVPVPARTDGFVYGGKAPALGETVVVEAYFDPVCPDSRDAWPELKKAVEHYASRVTVVVHLFPLPYHSNAFISCRSIHAVNKINPSFVYPLLERFFKYQEGYYNQPTYGKTRATVDAEVAKNLVAPVIGEANLAAYKAGFNDSKSDQATRISFKYGCARGVTGTPYFFVNGIPLISDSGSPLEYNKWKSILDPLVGKM >ORGLA04G0036800.1 pep chromosome:AGI1.1:4:5124336:5124959:1 gene:ORGLA04G0036800 transcript:ORGLA04G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPVRGVMAGGAQGHGDDDDLGTVLSGASGQQPGARERSDIQIGHGDELLGAPGCSIGEKSTWTAVCSKWRLRRHSFGRIQGRRRLRRALNFLFHTDLSTETNKTRREISAGGSGSGGDAFERRSPTAVARGRRLRRQQRRRPTQRCTDPTAALAGGGRGRRRRRLRADSDGRWGDACRRNSGIRQRQLRASPADTVTNPPSRF >ORGLA04G0036700.1 pep chromosome:AGI1.1:4:5121632:5123708:1 gene:ORGLA04G0036700 transcript:ORGLA04G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLNKLLLGSNRLGADIWSLITSLTNCTRLLELSMDGNNLNGSLPKSIGNLSTHLQKLKFGGNQITGTIPDEIGKLINLSLLEINTNKQSGQIPMTIGNLKKLFILNLSMNELSGQIPSTIGNLSQLGQLYLDNNNLYGKIPANIGQCIRLAMLNLSVNNLDGSIPIELVNISSLSLGLDLSNNKLSGLIPQQVGTLHNLGHLNFSNNQLSGQIPSSLIQCAVLLSLNLENNNLSGSIPESLSQLPAIQQIDLSENNLSGVVPTGGIFGKPNSVNLKGNKGLCALTSIFALPICPTSPAKRKKNNTRWLLIVILIPTVTVALFSILCIMFTLRKESTTQQSSNYKETMKRVSYGDILKATNWFSPVNKISSSHTGSVYIGRFEFDTDLVAIKVFHLDEQGAHNSFFRECEVLKCTRHRNLVKAITLCSTVDFDNNEFKALIYEFMANGSLEMFVHPKLYQGSPKRVLTLGQRISIAADIASALDYLHNQLVPPLIHCDLKPSNILLDYDMTSRIGDFGSAKFLSSNFTKPEGFVGFGGTIGYIPPEYGMGCKISTAGDVYSFGVLLLEMFTAKRPTDTQFSSDLSLHKYVDSAFPNTIGEVLDPHMPRDEKVVHDLWMQSFILPMIEIGLLCSKESPKDRPGMREVCAKIASIKQEFDKTM >ORGLA04G0036600.1 pep chromosome:AGI1.1:4:5115676:5117991:1 gene:ORGLA04G0036600 transcript:ORGLA04G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTYFSLGNNRLVGQIPSDIGNSLPKLQILKFQNSKFEGQIPTSLSNATNLIQLDLSNNLMHGSIPSLGLLANLNQVRLGKNSLEADHWAFLASMENCTELIELSLQWNLLDGILPSSVGNISTNLQALVLRGNQISGRIPSTIGKLHNLYILDLSINKLSGQIPSTIGNISHLGHFFLDDNNLSGNIPISIWQCTELLEFNFSINDLSGLIPSDLSSSPFYSRGSTLLVVDFSHNNLTGQIPESFGSNNMQQVNLSRNELSGPLPEFFRRMTMLELLDLSYNNFEGPIPTDCFFQNTSAVFLEGNKKLYSKSSTVSFPICGSTSDSTKSNNGASLTKKIHLPLRVIVLLILVPLLTILLFLVSWVLVTLWKRRVFSFSQCSDLFKRYNYVLNWCSGMPSMLGLPQPKRRRVPIPPSNNGTLKKVSYSDIIKATNWFSSNHKISSTQTGSIYVGRFKSEKRLVAIKVFNLNQPGAYESYFIECEVLRSTRHRNIMRPLTLCSTLDHENHEFKALIFKFMVNGSLERWLHSEQHNGIPDRVLCLGQRISIATDVATALDYIHNHVMPPLVHCDLKPSNILLDVDITALLGDFGSAKFLFPDLVSPESLADIGGTIGYIAPEYGMGSQISTGGDVYSFGVLLLEMLTGKQPTDDTFADGVSIHNFVDSMFPDRVAEILDPYMTHEEHQVYTAEWLEACIKPLVALGLSCSMVSPKDRPGMQDVCAKLCAVKETFLQFGDFSL >ORGLA04G0036500.1 pep chromosome:AGI1.1:4:5105458:5107724:1 gene:ORGLA04G0036500 transcript:ORGLA04G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLNKLFLGNNRLEAEDWSFFTALTNCTQLLQLSMEGNNLNGSLPKSVGNLSTNFEWFKFGGNQISGRIPDELGNLVNLTLLDINSNMLSGEIPLTIGNLRKLFILNLSMNKLSGQIPSTIGNLSQLGKLYLDNNNLSGKIPARIGQCKMLNMLNLSVNSLDGSIPDELVSMSSLSLGLDLSNNKLSGSIPQEVGTLSNLALLNFSNNQLSGQIPSSLGQCVVLLSLNMEGNNLIGNIPPALTSLHAIQRIDLSENNLSGEVPVFFENFISLAHLNLSYNYFEGPIPISGIFQRTNSVSLEGNKGLCANIHILNLPICPSSPAKTKNNKRLLLKVIPSITIALFSALCLIFALVTLWKRRMISFSWFNYGHRQCTDVLRQFSGMLNMLCSSNPKRREVPTTPINNETLKKVSYGDILKATNWFSSVHTISSTHTGSVYVGRFKSDKSLVAIKVFNLNQPGTYESYFIECEVLRSTRHRNLMRPLTLCSTLDKENHEFKALIFKFMVNGSLERWLYSEQHYGIKDRVLCLGQRICIATEVASALDYIHNHLTPPLVHCDVKPSNILLDDDMTARLGDFGSAKFLFPDLVSLESLADIGGTIGYIAPEYGMGCQISTGGDVYSFGVLLLEMLTGKQPTDDTFADGVSIHNFIDSMFPDRVAEILDPYMMHEEHQVYPAEWFEACIKPLVALGLSCSVVSPKDRPGMQDVCAKLCAVKETFLQFGDFSL >ORGLA04G0036400.1 pep chromosome:AGI1.1:4:5100762:5101667:-1 gene:ORGLA04G0036400 transcript:ORGLA04G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLQHCRSKPGNGQGNGGEGPRLISDGQILSDACRYWKGAAHGEWEARPHRVRPPHLVIPQQLDRDGVGEPVLLERCKQGNYRARARGGIGAHLLLGLRSKETELELKEAASDLQHKEAACKMPPVRAHAKGMRRHCDVLAVLRRRKLGWAASACFNEGRSPGEKIVRGADCVRQEID >ORGLA04G0036300.1 pep chromosome:AGI1.1:4:5093853:5096262:1 gene:ORGLA04G0036300 transcript:ORGLA04G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLAVFVFLLLVCSSCRADDKLTPARPLSPGDELISSGGVFALGFFSLTNSTSDLYVGVWYNQIPVRTYVWVANRNTPIKKSSSVKLVLTNDSDLVLSDSNGGGGGAVWMTANNVTAAGGGAGVTAVLLDSGNFVVRLPNGSEVWRSFDHPTDTIVPNVSFSLSYMANSLDRIVAWRGPNDPSAGDFTMGGDSSSDLQIVIWNGTRPYWRRAAWTGASIFGVIQTNTSFKLYQTIDGDMADGYSFKLTVADGSPPMRMTLDYTGELTFQSWDGNTSSWTVFSRFPTGCDKYASCGPFGYCDGIGATATPTCKCLDGFVPVDSSHDVSRGCRRKEEEVGCVGGGGDGFLTLPSMRTPDKFLYVRNRSFDQCTAECSRNCSCTAYAYAILNNADAKEDRSRCLVWMGELVDTGKFKDGAGGENLYLRIPGSRANNKMKSTVLKIVLPVAAGLLLILGGICLVRKSRGNQPSKKVQSKYPFQHMNDSNEVGSENVELSSVDLDSVLTATNNFSDYNLLGKGGFGKVYKGVLEGGIEVAVKRLSKGSGQGVEEFRNEVVLIAKLQHRNLVRLLGCCIHEDEKLLIYEYLPNRSLDAFLFDANRKNTLDWPTRFKIIKGVARGLLYLHQDSRLTIIHRDLKTSNILLDTEMSPKNIRFRHGKDLWWK >ORGLA04G0036200.1 pep chromosome:AGI1.1:4:5085730:5087118:1 gene:ORGLA04G0036200 transcript:ORGLA04G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSIPIQILNGTSLYVSLDLSNNLLTGSIPPQISALITLVVLDISFNKFSGEIPSSLGQCVSLQSLDLKHNMLNGSIPQFLVNFSLLNQLDLSNNYFEGPIPIGGIFQNSSAVTLDGNTRLCSSSSYSIFGFPICPTTAFAKRKNNARLLIIVIPPVTIAILSFFFFMLTLLKGKQAHTSSCYKETMKKVSYVDILNATNWFSPVNKISSSHTGSIYIGSFLTECEVLRNTRHRNLVKAITVCSTVDLENNEFKAIVLEFMANGSLDMWVHPKLHQNSPKREYGMGYKISTGCDVYSFGVLLLEMLTGMRPTDAMFTDGISLHKFVSMMFPNNLQEVL >ORGLA04G0036100.1 pep chromosome:AGI1.1:4:5077522:5078429:-1 gene:ORGLA04G0036100 transcript:ORGLA04G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TETTILVPTPLYSSASSHSNPESIAEAKADANADYEEGGELVLPPEVESNKHVDLRKGTKLQSKPLKCPELQIPWKQPTQQQEVSQTGKTGEEVSRWLLMVALPSGNWTISLGAXEGMEDARHGLAGGDIGYPSARRVLESSLHSAGSSCYMRTGDCVVVVRGAEQYLYIVVAAASHVVAVTAG >ORGLA04G0036000.1 pep chromosome:AGI1.1:4:5046978:5047260:1 gene:ORGLA04G0036000 transcript:ORGLA04G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNNVKKGPWSPEEDAKLKEFIEKHGTGGNWIALPQKLVSFLLCRTN >ORGLA04G0035900.1 pep chromosome:AGI1.1:4:5031627:5032523:1 gene:ORGLA04G0035900 transcript:ORGLA04G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSAAQGGGSHAAMSPEQYWRSILPDTPMPISISNLLGNGYPYSLAVGLPKRGDDDQIRYGPENYGLAASQQFFKDPSMGLFFLETDLQSSKSIKLHFANMMAGSGIKFLPRGEADTVPFSSKDLQEILARFGVRPGYVDASVAKNTLLECELPANKGEKKACATSLESMVDFAASSLGTRDIKAASTFLVGKDGDTPAQEYTVTGARRMAETGQLIACHPESYPYAVFMCHLTEATRAYKASLVGKDGAAVEAVAVCHTDTAEWNPKHAAFQVLGVKPGTVPVCHFVQPDVVVWTRRG >ORGLA04G0035800.1 pep chromosome:AGI1.1:4:4998129:4999423:-1 gene:ORGLA04G0035800 transcript:ORGLA04G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHNFYMEAGKKGLGNITARSPADCFGEEGYVWLDFSDLHAIYRRDKMGVNYVGVWCIDHYICLLIHPKDGTVVVLDPLDYNDKQYKEFLTILQYAYQYYKFKGGKQTRTREKLLLPRLECRTSFDDTGITNVQRDLCHFIHHECCHVKGDFFDPDGALAASDEFKDLREWNTAMP >ORGLA04G0035700.1 pep chromosome:AGI1.1:4:4942934:4943569:-1 gene:ORGLA04G0035700 transcript:ORGLA04G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEIEAYYDDGSGTYLLSFDEDFFDATLTKSGGKVESWLGETYRIHRSCGHPLVVGLDVEWRPAAPVPGPVAVLQLCIDRRCLVFQILHADYVPDALSRFLADPRYTFVGVGVRDDAARLRVGYGLEVPRAVDLRALAADTLGRPDLRRAGLRALVREVMGVQMDKPHHVRVSAWDKRNLSEDQFKYACADAFASREVGRRLYTCNCDGA >ORGLA04G0035600.1 pep chromosome:AGI1.1:4:4929772:4935110:-1 gene:ORGLA04G0035600 transcript:ORGLA04G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G10370) TAIR;Acc:AT3G10370] XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALKERKQVIENAPHLCHALPCMTPCFNWFEVVYYWFGLKFYDIIAGRRLLHLSRYYSVDESVELFPTLAKNSHDRSLRGTVVYYDGQMNDSRLNVGLACTAAVVGAAILNYAEVVSLIKDESGERIIGAQIRDTLSGKEFDAFAKVVVNAAGPFCDSVRKMANNDVVPMISPSSGVHIVLPDYYSPDGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSSTAITMLPEPHEDEIQFILDAICDYLNVQVRRSDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDYPGLITITGGKWTTYRSMAEDAVNAAIRSGNLKPANGCVTDHLHILGGYGWDPASFTVLAQNYKRMKRTYGGKIIPGAMDSAVSKHLSHAYGTLATQVASIAQNN >ORGLA04G0035500.1 pep chromosome:AGI1.1:4:4892774:4897558:-1 gene:ORGLA04G0035500 transcript:ORGLA04G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT4G38370) TAIR;Acc:AT4G38370] MEDSGSSSPPAPPPSFRNRYWILRHGRSVPNERGIIVSSLENGTKPEFGLAPQGVEQARLAGESLRKELEELGVPLDSVQIRYSPFSRTMETAREVARMLGVPFDSPSCIPAVELRERYFGPSHELLSHEKYAEVWAVDEVDPLMAPDGGESVADVATRFSQFLSAAEMELHGSAILIVSHGDPLQIFQAVLKETKENPSSLDEVSDLKKRGMITLSVLSQHRQFALLTGELRRLV >ORGLA04G0035400.1 pep chromosome:AGI1.1:4:4888321:4888842:1 gene:ORGLA04G0035400 transcript:ORGLA04G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTGGGGSPKEAVVPSAASGDTTLGRHLAHRLVQVGVSNIFTMPGDLKLTLLDHLIAEPGLHIVGCCNELNAGYAADGYAWAHGVGACTVTFTIRGQLLHGRRRAAIGSGIRXRATKPTPPSGMWSARVAAPSLSSAVARRSGSVVVDGGSASPSSPPSPSLETTASWDRHG >ORGLA04G0035300.1 pep chromosome:AGI1.1:4:4848572:4853885:-1 gene:ORGLA04G0035300 transcript:ORGLA04G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1PJI4] MEKKRVVIVGAGVSGLAACKQLLERGCRPVVFEADTGVGGVWAWTLEGTALQTPRPMYQYSDFPWPETVTEVFPDHRQVMDYLGAYARRFGVLDCVRFGHRVVGMEYVGVGKEDVAAWDEWAGNGDAFGSGSSEWRLEVADSEGHIETHTADFVILCVGKYSGVPNIPTFPAGKGPEAFDGQVIHSMDYSKMGTKNCKEMIKGKRVTIVGYLKSALDIAAECAEVNGTEIPCTMVVRTKHWIIPDYYAWGVPISKLYLNRFSELIIHKPGEGLLLSILATLLTPLRWIFSKFAESYYSIPMKKYGMVPDHSLFEALITCLIAITPKDHYKRLEEGSIVLKKSKTFSFCNEGVLVEGESSPIKSDIVIFGTGFRGGQKIKEMFTSEYFQSIVVGSASTTIPLYREIIHPKIPQLAVIGYSESLANLYTSELRAKWLAHFMDGGFRLPSISVMQNDVQKWEKFMKRYSRAYFRRSCIGFLHIWYNDKLCRDMGCNPRRKNGFWADLFDVHGPGDYIDLHPKKE >ORGLA04G0035200.1 pep chromosome:AGI1.1:4:4834433:4839318:-1 gene:ORGLA04G0035200 transcript:ORGLA04G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1PJI3] MEKKRVVIVGAGVSGLAACKQLLERGCRPVVFEADTGLGGVWARTPECTALQTPRPMYQYSDFPWPETVTEVFPDHRQVMDYLGAYARRFGVLDCIRFGHRVAGMEYVGVGEEDVAAWDEWAGNGDAFGSGSGEWRLEVVDGEGHIETHKADFVILCIGRFSGVPNMPTFPPGKGPEAFDGQVIHSMDYSKMGTKNSKEIIKGKRVTLVGYLKSALDIAAECAEVNGTEIPCTMVVRTKHWIIPDYFAWGVHISKLYLNRFSELLIHKPGEGLLLSILATLLTPLRWIFSKFAESYYSIPMKKYDMVPDHSLFEALITCLVAITPKDHYKRLEEGSIVLKKSKTFSFCKEGVLVEGQSSPIKSDIVIFGTGFRGDQKIKEMFTSEYFQSIAVGSASTTVPLYREIIHPKIPQLAVIGYSESLANLYTSELRAKWLAHFMDGGFRLPSISVMQNDVLEWEKFMKRYSRGYFRRSCIGILHIWYNDQLCRDMGCNPRRKNGFWADLFDVYGPSDYIDLFPKKE >ORGLA04G0035100.1 pep chromosome:AGI1.1:4:4829308:4831471:-1 gene:ORGLA04G0035100 transcript:ORGLA04G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 3 [Source:Projected from Arabidopsis thaliana (AT4G35000) TAIR;Acc:AT4G35000] MSAAPVVDAEYMAEVERARRDLRPLIASKSCAPIMLRLAWHDAGTYDKATKTGGPNGSIRFPQEYSHAANAGIKIAIDLLEPMKQKHPKITYADLYQLAGVVAVEVTGGPTIDYVPGRRDSSDSPEEGRLPDAKKGPAHLREVFYRMGLSDKDIVALSGGHTLGKARPERSGFDGAWTKDPLKFDNSYFIELLKENSEGLLKLPTDKALVEDPTFRRYVELYAKDEDAFFRDYAESHKKLSELGFTPSRSAFIYKSCQKPKSLLMQTAAGVAVAAAVVAWAYLCESNKRLG >ORGLA04G0035000.1 pep chromosome:AGI1.1:4:4806952:4822984:-1 gene:ORGLA04G0035000 transcript:ORGLA04G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) TAIR;Acc:AT3G54860] MAQIPNLDNAPLNLAALREQSQKDLLNILKSIRGKKCVVIDPKLAGTLSLILQTSLLKEYGAELRLLSAEPLQTECAKILYLVRSELKFMKLIASQIKNDEPKGLQREFFLYFVPRRTVACEKILEEEKVHQKLTLGEYPLYLVPLDEDVICFELDHSLQECLIEGDTSSVWHVAKAIHKLEFAFGVIPNVRAKGVASTKAAELLNSMQQEDPVNMDDMGTPEINTVILLDREVDLVTPMCSQLTYEGLLDEMLQINNGSVEVDATIMGAQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVKRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYIEEMIHKQEPIENVLRLLVLLSLTNAGLPKKNFDYLRREILHSYGFEHMPLLYNLEKAGLFKRQESRSNWIGITRALQLIVDVNDTANPSDISYIFSGYAPLSIRLVQHAVRSGWRSIEELLKLLPGPHLDLKRGSSAINSSLDVHQGLGLQQSIDRVGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFLVATTKVVNGNTILRPIITNSKEVMI >ORGLA04G0034900.1 pep chromosome:AGI1.1:4:4742482:4744071:-1 gene:ORGLA04G0034900 transcript:ORGLA04G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELRKVHRKSCHRTSSRTTKKEEEEEEEEEEEEEEEEEEEDLINLNEYVERAKEIMNCQGQLSKPTLRRLQSVLQPELFMADAFVTYSKRLKILQFLMAINTNYSYRVIRAGLFDVYIRLYTRVRVTITPIASWLRLLSILLATTAAGLYARSHKDMYNKHDITVTYILFFSTALLELISSVTLCRLFFPLAVWPATDRRNQMVEQQSVIWCAARMTKKPNCLLWLSACIYCDALVNQRWYIKQTPSMDRIFEAVKHHVIFYGWSKYIKSAASYRRFNCMRGQLALKKFLPGSAKDHPAASKHLLVVGNSLSIPFDESVLLWHIATEIWFHHQTRTSNDDNTSLDSAVPLGLEISRYMMYLFTSQPEMLMPGTRSHLFANACDDITFMAKYSDIVGHSITLAQAAQQLAKGILHTARHRWVYGDCVGPLIPKACELADALIFFMEELLDHSTLEKMVRDVWVEMLCYTASRCGGYLHAVSLGSGIEPLTLVWFSQCYLGMETMADRLQRPVPEPEKEEEKTQGAQDSNKE >ORGLA04G0034800.1 pep chromosome:AGI1.1:4:4726061:4734207:-1 gene:ORGLA04G0034800 transcript:ORGLA04G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRLHRLERPPAAHTTTAAAATTPAPAPADDWGDGSWTVDCSCGITYDDGEEMVSCDECNVWVHTRCARYVRGVHISFSCHNCKAKRAPSSADEAEVAELLAELPTHRPRPLYRRWAEVPLPSRVHVHGLPGGTDTALFRSAAPSPVFSSALWRCAGYVPKRFGFCYCEFPYWADDKDGANALFALAREKRKEKAETRFPLRLGAVHKDKNYVRTLSICGDKVDGVEHSVDDDTKRTSPPSDAKKRGTSISIVEPDTWDNGCEKKRDFDVPASEDQHAKTNMVNSDLHVLVEAKKKRASSSERSGDKKCSASKEITGMLKKYEPKESKRLVISSGVATTAAVAEQEVHSRFVKGSVYEGLDGNQNVGLQTGVRSNGVVKRDDVKRSNGDVQSDAAPEKMNEGLDLQKQSNQTSNLLVVGGVPDLQTNQSESLTIKKEASTDDHERTEAIQFVSDEHKSGKQDTAMLSTLQRHSSKLASDLVYQHPKSETQNPMHTIPEHPNSSLEPSSEETTVRLVKKDQTRLVSSADSENDFAKKSESSQDRTRSSDKVQLKGFVPSAPKSSQGSRTYVSSAKNRFIVPKEQLQKTSTEGNAPPGSLQGEVAPLHSRNKAMPLSFYQRKDKIHHGSIHITQDTSNSSASAELQSTETTASLSDEQLALLLHQQLNSSPRVPRVPRCHQASTMQMLHPTGASVFSKRSSAHGGRDQVLVLKKRNKDDAWRDNDETKKTGKVSSVERRHRDSSTERVLAAKDSCKFTENIESEQRNRGICSTGATSGVGNDAPIDRGVSHDLPGLIEEIISKNTNITYGELCNAIRQHWGDLSKPNVENNAYPNYLHAVNDCLRNRNDWAHLVDQAAMMNPNKRRKVEESDSLSADVLATEKTNKEAKRGPEDTNAESHHEILPRGKRKARKCRRLELKGRRVNDTRKRSSFDSASDDDDTTLSDSSSDRNDTPMDKSLEDCFVIAKNADSSS >ORGLA04G0034700.1 pep chromosome:AGI1.1:4:4709472:4710320:-1 gene:ORGLA04G0034700 transcript:ORGLA04G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTSITEIAAGLDKVTELVLALRTGFEAKRARAAVETTPTTPSPMPTLLKAEVQQPLATAPPSLPSSLFVATVEETAMTASSPLPLPHPAEVLQPLVAAPSSQMSFASKAAAVLPCLTTVGPALPGAPSTPSQPRETILSRHDRSLLRPRQQRGIFKQFPRASTAITLRAAQRRGESSAAPPAWDLGGPRKPHFRAAIAACAARAMWLLVAPSSLHGSGVLPLCASGWGPPELGCGRMAGTTNIILDLFFFPNNVWSPDVKGLIIGDESRCQNNHRVQTSL >ORGLA04G0034600.1 pep chromosome:AGI1.1:4:4694383:4695609:-1 gene:ORGLA04G0034600 transcript:ORGLA04G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRVVPDQVIIATVLSTCAHTRNLRFGKAIHSYMLVSDTLIDAQVSCALMNMYASCADMEMAEKLYNRVSEKGIVLSTTMVYGYAKNGKVEIAHSIFNGMPAKDVVSWSAMIAGYAESSKPMEALNLFHDMQRSGVKPDEITMLSVISACANVGALEKARCIHSFVENHSMCKILPIGNALIDMFSKCGSLTLALDVFNAMPQKNVVTWTSIITASAMHGDGRSALTLFENMKSEGIQPNGVTFLGLLYACCHAGLVEEGRLLFKIMVQQYRIEPMHEHYGCMVDLLGRAKLLGQAADLIQSMHLRPNVVIWGSLLAACRMHGDLELGTFAAKKILELDPNHGGAQVLLSNIYAEYGNWNDVKEVRGVMEVQGTWKKKGCSWMDLNGSVHQFAVGGEKILEMKGSF >ORGLA04G0034500.1 pep chromosome:AGI1.1:4:4685961:4687567:1 gene:ORGLA04G0034500 transcript:ORGLA04G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSPWKPATGPKINGTDHRVKKKRAAAGPNSEDGYCANPKKLNALIENISVCYSLSCREPSDIDPTSKSEDLYSDGDRDAYSNLRWTQNTSSPQAFKVQPHRHAVILCSSPLLPFSDDQGDHDPMLPLLAEIAPKFPREAENETKRTGGEKAILGEDKVASAAEFGQKKMGHLGPDAQQAPKMDLLTQSTQDDEAQASTGDQPTLVQQADCEEQHQAMVQTQPIHGGEGECEKVVLSPLFSQLQPPIILQAPLELALPGAAKTKGCGRKVVQMPSRRSGHKARFSSVPVSKRAEVRLMEEMGLLTPGEPVGDAAVGAYAKSFDTPLPSHVIAGLRALTRMDGVHDMPVPGEEGTTATMVV >ORGLA04G0034400.1 pep chromosome:AGI1.1:4:4673291:4680470:-1 gene:ORGLA04G0034400 transcript:ORGLA04G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNHRARSAAAGGGGGGGGPIDADWKYFLDNVREEGHSYAVRVPADGANPSYYLQYEKPLTNGAASTSSRGGAARKRCRMEEEEEEESSSGEPEPAPDPDIEEDYRVFLQNVRLVGHGGFVLEHEGNVIRYDASGAALSSDESSDESVMGAPEPDPRRRKAKIVEEDQEEEEDVKNEVAVPSRKKDFTMVKEDQEKKGKRVVDLPARGEDGAMVAEEDRKKKLRKEFNFHSFHSKGKDDTTPVKNLKDKKKEVKNPKDKKVHGKKEVALSAKGKDCQLAEGVVIKVEEEDGQLQIVPAVEKLATTTRLTNLSNGHKTAPRIASGSHGVIWPIHINDREESDFKQRLIHVLNKPFSQGEYDKLFGMATIRNPLTRERRTRCGVKYYYSQHEKAKSYFDCYPDLAKQVEEASYPNRLALLRGLFFWLENIGQDDQFRPWRDDHKRYKIMSL >ORGLA04G0034300.1 pep chromosome:AGI1.1:4:4653768:4655385:-1 gene:ORGLA04G0034300 transcript:ORGLA04G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNHQVPEAAGEIGSAGRTSVKGRRGIRRRPRSASASSSWGGGAAGCKKKKAQVGEEEKEASSTVPAVYDANADIEEEYRLFLENVRVYENDDFVVEYEGVVVRYGGEAVADHGAGRGAPPVKDVPDPNQLNGSVHRQKLVGAVGLGVGMAQQKEEQTR >ORGLA04G0034200.1 pep chromosome:AGI1.1:4:4649991:4651103:-1 gene:ORGLA04G0034200 transcript:ORGLA04G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGGESDSNRGKMMMPDKKRKKIAAAAPAPAPAPVARLVRVRSGNKKRKGKGKEAPAEGSAAKEPAVYMVLAHGVEEEPTHSVIEVAAGATVRRLLHSTSGRGMSFAAVGTRIVGVGLDQTTVYDPKTSTVRAGCRLVFPKVNPVLVSHGGKLYALSRCPSVVNGRDFEPWFLVLDSLGYFGWRELPPPPIFPCRLNPLEYRDPPAVRVAAYAIVGSHILLSVSVQQQGQDKGTYAFDMDAEQWEMVLDTNLPFTGQAVPLGDHRFVACSMAKGGAASVYYMEVMVFPPGIAGSGTGKKELSIVELQVESKRIVPGHLLCAMGKGSFSSFDFRSTASPAKQDIVARIVHRTYSQAEAEVEADDSADTDLVVT >ORGLA04G0034100.1 pep chromosome:AGI1.1:4:4628872:4637563:1 gene:ORGLA04G0034100 transcript:ORGLA04G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGERAYAYDAGCITYCPSLSDAAADGAPCKGLGCCESSITPGLTQFAVGWGRWPGASDDDYGELDPEQYYQYAFVAQKDWYTFKQDHLTHWDIDNISVPFVLHWDIKDGPACRPETNYDSPFGACHSNHSKCANVTSGLDGYFCKCSEGYIGNPYIPDGCKDVNECENKSICGAGSTCKNTEGRYRCDCNFGQRRDNSSDNMGNCEPIFSRAAIAVIGEVQYMNAMLIEICSMPYIPHIIYNALITCSSAFHVYTIFALHYIQLEAQH >ORGLA04G0034000.1 pep chromosome:AGI1.1:4:4615474:4620198:1 gene:ORGLA04G0034000 transcript:ORGLA04G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQHDMSISGGTSGATSGQNPRAQNHLPTTRQVITVVDESEKVWEEFFGLKSIQEFGNEHPHRPGTTGYIEKEEIRAQEDDATAAANVPIPFTDISEVLARNWARPSGKVNXDDTITFPKQNDATVYQQLKRKISIEEMDACMKEPLKEVIQELKQDMDARDVALCILVVRVTPTFLFEVAKGMAYIPSSIERVHNVDLMTGYAKLIRSNIRSIHESMDSCACDSEHQNVEEVFFLGYFAGKPAKPNDKEQQAGDEETQAVDAQTPGDYFMGPPANLQQQPAAKQSADQNRSSFLAKCKLSFISSW >ORGLA04G0033900.1 pep chromosome:AGI1.1:4:4614215:4614980:-1 gene:ORGLA04G0033900 transcript:ORGLA04G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKEEVLSIEEGKEEVLSIEEGKEAAVVVETEELAAVVVEGGEEALGIKEGGEAAVLVEAKEETKEAAIVVEAKEKAAVVVEGKEAFSTIAFGPLKVDVKFRGVGGRSADRSRSTTGAMGS >ORGLA04G0033800.1 pep chromosome:AGI1.1:4:4600030:4601550:-1 gene:ORGLA04G0033800 transcript:ORGLA04G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPSSSAAAVLAAISSAGATVAGLRWRGDRVQARVESHLVEVHGVCALVWLIEARDMDEGPMMNVVFDTGDGDLVVLAFNARARKCAGMQQSDPFLPIVKWDGKPVGDG >ORGLA04G0033700.1 pep chromosome:AGI1.1:4:4593905:4594168:1 gene:ORGLA04G0033700 transcript:ORGLA04G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGETALGRVGAMGRGARWGGDECARASIGSAAVPICATARASVDWRLLGIGGDLAEVNQRRRDGRGEMRASCVGAMESVASRRP >ORGLA04G0033600.1 pep chromosome:AGI1.1:4:4590152:4591341:1 gene:ORGLA04G0033600 transcript:ORGLA04G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESALCHTAKTASVVSPWMGLNLLLRLSSALIANRTREQLVEGARGGGRSPWRGGGEVRAVEGEGEGEDGGDGGDGEQAGGESAGGRRVASASASLFFFFLLGLLLRVRRNGGAVESDGRQXRWWAPARRFFAVGRGARHDGGAGCGGGARRFCEKSGARVHDGEGAREREEEMHGRCGRKRREERDTGRWMRRDFAISGAGRRGGGEVGXFEALDWM >ORGLA04G0033500.1 pep chromosome:AGI1.1:4:4579185:4580358:1 gene:ORGLA04G0033500 transcript:ORGLA04G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVADPGPVRAEGLLLQCPYCDSEAMHKLAQFLLPGLAAVCIDCTTGDLFRKPSVVAVDMRKEMVDYVTQRSETFISDSLIESEASQDQENEMPEDPFEIVSIFMDDFSSTKRNIIGHVSGWLMSDSREDKIDDFVQEMEMARFWPLERREVIAEVLLKNVDLKTKYHCPEKYENEERLADHKAQCSFRPVTCPNDGCRAKVSVRCMQDHDSACLFKILTCEQNCEKRLLRRDMDRHCVTVCPMRPMKCPFGCDSSFPERNLEQHCSEFLQAHLHKLLKAIHKKGFTDEGLKDHALLLEKHDNDGKLAKSRDVRSLTNVVKNLEAKIKDDSS >ORGLA04G0033400.1 pep chromosome:AGI1.1:4:4527496:4528383:-1 gene:ORGLA04G0033400 transcript:ORGLA04G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNQRKKLVIQDVPERQLSPDDADDEVNEYYTEGVTDVDNYIDSAFRSTRKNEAYIFIREENVVMNYGPATRDDKIISGLRYIGNTLQSLVGTAFAEHGIDAAFACHDNHGFLCARSEAMIFSANLCARINFAPRTTRDRIIQGPKTISQMFPFFKGTSFEKGIDAAFESTVTGEAYLFKGAEFALINYSRPILIEIRPIVDVFKCFRDCYLFATDIGAALASHVSKDVYLFKENDYLLFHLTPGETNHYIIGGPKEIVPRNWPSLKGILPRKNKALDIYESLQPNPVRDQDD >ORGLA04G0033300.1 pep chromosome:AGI1.1:4:4519431:4525836:1 gene:ORGLA04G0033300 transcript:ORGLA04G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSEGXEQWCCXSLGAYRPQWQRWLLDRXVARVVCPLRIXXYXEGXDDIGQXKTHRDQGRGSDIGLCGALTDSDGTTTRSGPKKPVSRSVKARLQFPVGRYLKKGRYAQRVGIGAPVYLAAVLEYLAAEASPIVYLFLYQSFQPSVVNRDLLMQVLELAGNAARENKKNRIIPRHVLLAICNDDELGSCXLASPSRMVVCCQTSTRCCSRKKTTEKSDKLAKDKATKSPKKQGEQMRDEQLRDGEVTAEIGTTTPTIGKDLIFRIDGEILKAERGLEELRQQHLGGWSMARLSKELEVETLGMRDGGTEILGSSVGILTWDQNHLKGYSISFPTSTHGPKIPSRSAARFXYPLTMSSWYIEKPPLIVKFLTTTRHGHPTEIPCNLIGEASWTSTWKGKINGAGDKLPKLKGNKHIMGKIVFHIQAHGILGAQIHQNIREWAQPPCLWANHSIAKV >ORGLA04G0033200.1 pep chromosome:AGI1.1:4:4496850:4497077:1 gene:ORGLA04G0033200 transcript:ORGLA04G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSAINIAKNSVQHSCTKHIDICFHFLRDHVEKGDVELQFLDTKLQIADIFTKPLDSNCFAFLRGELGVIHPFGMV >ORGLA04G0033100.1 pep chromosome:AGI1.1:4:4495991:4496452:-1 gene:ORGLA04G0033100 transcript:ORGLA04G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLDVDASSSKSSFLAVFNATSDDQLEQIEEEDLALVANRIARAMNNARNKKRGGPNRCFECGSIDHLRSHCPKLGRGKREDKDGEKTNNNKPNNNKSKGSHQRRKMENLRKAFQQVCAAFEPLSDVDGESGDDDKGKNVSDVCFMARGESDT >ORGLA04G0033000.1 pep chromosome:AGI1.1:4:4486934:4487472:1 gene:ORGLA04G0033000 transcript:ORGLA04G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQTQGSNLRALLVEDIKVNRMILSQMLRKFQVETTVVQNGKEAVELFLGGETFDIVLTDNLMPIMTGPEAISKIRAMGATDVMIVGVSVDANSMEEFKDAGADLCVPKLKLEILEHILQETRSKKNKSSA >ORGLA04G0032900.1 pep chromosome:AGI1.1:4:4469054:4481734:1 gene:ORGLA04G0032900 transcript:ORGLA04G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS54 [Source:Projected from Arabidopsis thaliana (AT4G19490) TAIR;Acc:AT4G19490] MASRPPLRTTSASSSTLSTDSPTSAPPGGVPQSITALLNNPLPSASSSSYYWLTWPPPTPLPDAPPPPPPHPCDVSRADFAPYLAAVADPFARFADIRLHASAEELAESQDGAAAGPAASGLAACLREVPALFFKEDFALEDGATFKAACPLGDAALQERLGQHLDVVEAHLVREIARRSESFYEAQGRLRGLDGEIVAAVGRIRELREVVRVLTGDLVGAARQVQELNATRGNLVALQQKLTVILYVSQALAALKLVSGRTLVAAADCAGALDVIDDLQNLLDTDELTGLYCFRNIRDQLGTSLDSVNSILSAEFVRAAVPDGKAVDALIQANVKRKASVPLNGTEHEVNIDEEESFILRDRLLPLIICLLRTDKLPAVLRIYRDTLITVMKASIKATVAELLPILVARTIDSDSVTGDRAADSDAGGQSLANKLRSLSSEGFVQLLSAIFRIVQSIQVHLVQAAEVKRIVEWIMGNLEGSLSSDASNSVQKHSGSVSDFSQENDYGVTSRVSNTLTRSNSKFPFFQGKTNDMSSTNSIKNVRADVLRENTEAVFAACDAAHGRWAKLLGVRAALHPKLRLQEFLIIYNVTEEFVAATEKIGGRLGYNIRGIVQQQSKQFVDYQHTVRMAKIKAVLDQETWVAVDVPEEFQAIVLSLSSTYSVANGMEMPSTDDSSKLRENRVTSQEPVNSAENNTDNGNAVSTSPSTENNIGHARSTQQTIVHGGVGYHMVNCGLILLKMLSEYVDISKCLPSLSFEVVQRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQIISFIYSLIPDIRRVLFLKIPEARKQLLMSELDRMTQDYKIHRDEIHTKLVQIMRERLLANLRKLPQIVESWNGPEDTDLQPSQFAKAVTKEVSYLHRILSQTLLEADVQLIFRQVVQIFHSHITEAFSKLELSTPQAKNRLCRDVQHILVCIRKLPAENFSAEAIPNYGLLDDFLAEKFGTKVDE >ORGLA04G0032800.1 pep chromosome:AGI1.1:4:4453502:4454837:-1 gene:ORGLA04G0032800 transcript:ORGLA04G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSKKQKLAKEDEDARSSDTEPPSVYLVVGHGVTRPSYSVFKVNPHLPANADDGGDTPLPLPPYLAHLTGKHCMSFVPVRSRRHAPWIVGVGGSSARNCGPDETIVFDTEMRKEILGPNLLSTKLCPILLPFGDKIYNLARRPVVTGDVNFVPXFEVLNLSVARVDDDASDRLVGCEWRSLPRPPFFPXDLTPTDYIFPPVVTIKSHVAVASYILLSITGQSGTHMFDVETEEWRKLDDNDLPFHGRAVPLQGTGTLYLGLSNTSNEITAHRIKVVSAASAVASPSITPAGCLSLSIVEIRMVTNFEEDEEIVSTGRFISLSPPXQTWLLFIYMVQRXPPPILIFTXAHEGASHHXSIHNRGTPVAGICDAPRGTSCXGAAETREEGWDLGGCNSQILSVQAASM >ORGLA04G0032700.1 pep chromosome:AGI1.1:4:4424241:4426088:-1 gene:ORGLA04G0032700 transcript:ORGLA04G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLTYHMHGVCNTWTVLVNVWQLENLQNQREDIVSAGQLAGLEILQLIDEPIAAALSSTTIKEGVVVVFGMGAGSYSVAVLHVSGMNIEMIKLHSVNVCGDKCAMRQLVEVAEQAKVKLSSQPTATISIPYLTSSGQGHGPAHLNITISRQEFEKLVNNLTEQIQEKCQIILKEANIAAKDVDELVLFGGMTRVPKIQRIIYEVFGKHQSAKVNPEEALVIGSAMQAALIVEDQQEMSKDMIPLSIGIECEEGIFTKVIPRHTRIPTKRMVKIPAWCAQGECLHIRIFLGEHVIVDHNTFLGEVELINNRRSYEGGVDFELTFEVSRNYLVEVSVSNVDDGSKTIKAFPIDEKVICKHNVNRAVRNALRDWSMYAAEIYADMRNLARHTINTLSDALSARKDELPKDLYEDAVKALDDLLKAMGKDVSVLHDKIRAAMSVEVTILNWRPPSESHPGDYDDYSDYEN >ORGLA04G0032600.1 pep chromosome:AGI1.1:4:4401485:4405371:1 gene:ORGLA04G0032600 transcript:ORGLA04G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVRRRFALPHRRKNGERAKVKGTEGSRVSIKGHDHIFLTIAKFDHKKMLLQILHDAQRYSAFRKGLAMIDICQLKNDPEEVLKWLKSSTGWSVLHNSELRIGLKRHMDLLKKHEGNKMNPLSVPEDENVVLDKINAELCSELEKNIGTFDWALMSQYYYKARLSNFARQCAIQARASGVILVKQALPIRETWKEQKQSTSPKVSKLSLEDCLGSLRRPLRRINEQFGRGAAREFSRDCISEIVTFGRLNVQDLLPIVGIAFYLEGNSWRNGSDGQLEMVTKFGSWLIIEFQGRMTNNRHVIVILFGASSRKI >ORGLA04G0032500.1 pep chromosome:AGI1.1:4:4396097:4398103:1 gene:ORGLA04G0032500 transcript:ORGLA04G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKIFQGYYLFQTIMFREGYYLSWAFGKESEAHDHAQGEVSSSQTI >ORGLA04G0032400.1 pep chromosome:AGI1.1:4:4392166:4392585:1 gene:ORGLA04G0032400 transcript:ORGLA04G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDPNRSPTGLGGPSDGSYIFVRGVTGGAWEYGEENARGGEGRAGAIDYFLYIDARYFVRVPSTSQRLLPLRSASSGNDL >ORGLA04G0032300.1 pep chromosome:AGI1.1:4:4370702:4371112:-1 gene:ORGLA04G0032300 transcript:ORGLA04G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSFRRDEARRHRRTAWWRSSAARRPKRGTASPPTGWSVPRGDEQQIRWRPAAQRKMAATPSGVAEDGGGDDAIIDGGGAALELRCRARTPPTPPAREGGRASGAPPGRWRWISGGSPSAPTPPSPTKRRRRQLP >ORGLA04G0032200.1 pep chromosome:AGI1.1:4:4363444:4369116:1 gene:ORGLA04G0032200 transcript:ORGLA04G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYDKLIFYLFIAGNGIINGNNKKNDYDPQCDMSKEEIEWRCAQAEKVRQRMPQVEHQLRNELGKMRYYFLGDPSALKCWDLHMDNVHRAFSEKLPDSLSSPRYTPNSVLYKQLMKRKARPKTFACVLSGGWYKLKEVSRNKMVRRSLPLAGVAAVFVAGLAVGYTLGKEQEINET >ORGLA04G0032100.1 pep chromosome:AGI1.1:4:4337617:4345737:-1 gene:ORGLA04G0032100 transcript:ORGLA04G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPGWMATTACSLPSGGSFPDLLAFLFLSPCPQRALLGAVDLVFVVASLVFLARPRGGGGGGAGDGPEREALLPKPRASGRPFRVAVALGASGVFAAASAILLALALFLLPNTEWRAWESAFLAVHFVAHAVAAWTVALRRGAAGGALPLQLRVFWVVTALVGALFSASAVVRWAVDSLLFPDDPLAFAGLALSLPLVYVAITASSAEVAGTCEGELADVTTAAEPSTPYDAASWLSRATFSWINPLVSKGYASDSLAADDVPPVWPAHRAEASYARFVSNWPAQGSRYPVGVALWLSFWPRVLLTAGLGLVRLAAMYVGPSLINHFVDFISHGGTTWEGLRLVAILVAGKAVQTLASHHYNFQGQLLGMRIRGALLTALYRKSLRLSTGARRAHGSGAIVNYMQVDAGTVSYAMHGLHGLWLMPLQIVVALVLLYAYLGPSVLMTLAVITAVTVITAFANKLNLAYQLKFLGVRDSRIKAITEMLNHMRVIKLQAWEEKFGGKVRELRQTEMGWLTKIVLFMCANNVVFSSGPLAMTVLVFGTYLATGGELDAGKVFTATAFFSMLEGPMHNFPQTIVMCMQAFVSLGRLNKFLSDAEIDNTAVERIDSSAGDAAAVKVQNGVFAWDVPVDGAEDARQGHGTENGREEGPEMEMVLKGIEVEVRKGELAAVVGTVGSGKSSLLSCIMGEMHKVSGTVSICGSTACVAQTAWIQNGTIQENILFGQPMHSERYREVIHACCLEKDLEMMEFGDKTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSAIFKECLKGILKKKTVLLVTHQVDFLKNVDTVFVMKDGVVIQSGSYNQLLTSCSDFSVLVTAHHSSMEVPGAAEQVSHDQTTEYSQDTTIPAKSPVKSNSSNENGGTSVAPSKEAGSSKLIEEEEKESGRVSWQVYKLYITEAWGWWGVLVILAVSVLSEGSSMASNYWLSYETSGGTIFDTSVFLGVYVSIVAASIVCDAISTLFVTFLGFKSAQVFFNKMFDSILRAPMSFFDTTPSGRILSRASADQMKIDTALVFYVGFATSMCISVVSSIAVTCQVAWPSVIAVLPLVLLNIWYRNCYIATSRELTRLQGVTRAPVIDHFSETFLGAPTVRCFGKEDEFYQINLDRINSNLRMSFHNYGANEWLGFRLELIGTLLLSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYYTISMTCMIENDMVAVERVNQYSTLPSEAAWEVADCLPSPNWPRRGDIDVKDLKVRYRSNTPLILKGITISINSGEKIGVVGRTGSGKSTLVQALFRLVEPVEGHIIVDGVDIGTLGLHDLRSRFGVIPQEPVLFEGTIRSNIDPIGRYSEDEIWQALERCQLKDIVAAKPEKLDALVADMGENWSVGQKQLLCFGRVILKRSRILFMDEATASVDCQTDATIQRIIREEFTDCTIISIAHRIPTVMDSDRVLVLDAGLVKEFDEPSKLMGRPSLFRAMVQEYANRSYSTEASD >ORGLA04G0032000.1 pep chromosome:AGI1.1:4:4320463:4329440:-1 gene:ORGLA04G0032000 transcript:ORGLA04G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPATSLPWWLSTTACSPPPPSPSSSFPLSDRLAFLFLSPCPQRVVLGGAVDLAFLLAVVFVAVRARLSRSRRGGIANGDGDGDHAEEEPLLAKPSVVAAVPPPPPRGGLRHAVALAASVFLAAASLVLLVLAVVLLPRTAWLAAECAFLVAQFVAHLAAVGVVVAEKAAARSHPVHLRLFWVGTAALAALFSGSAAARYAAREPILPDDAVAFAGLVMSLPLLYFSVTGSTGLGGAAIPDGEDRSCVPGHAAAATSYATASWLSLATFSWINPLISKGSRAALAADDVPPVAPDDTAEATYALFVSNWPAPPAPGTKAGHPVVTALLRSFWPQFLLTAMLGLAHLSVMYIGPSLVDRFVNFVRRGGELTEGLQLVVVLLAGKAAEALASHHYEFQGQKLGMRIHAALLAAVYRKSLRLSTGARRAHGAGAIVNYMEVDAEEVANVTHELHNLWLMPLEIAVALTLLYTHLGPAVLTAVAAIAVVTVVVALANRRNLEYQFKFLGKRDERMKAITELLNYMRVIKLQGWEETFGGKIRELRDAELGWLAKSMYFMCANTVVLWSGPLAMTVLVFGTCVLTGVMLDAGKVFTATAFFHMLDGPMQSFPEAIASVTQATVSLGRLDRYLLDVELDDTTVERVDDAGINPDGVVVEVRDGVFAWDVRGKRENEEGDDNDDDEGGEEEEKDVEETPVLETVLKGINIEVRRGELAAVVGTVGSGKSSLLSCIMGEMDKVSGKVRVCGSTAYVAQTAWIQNGTIQENILFGQPMDADRYKEVLRSCSLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQNCDIYLLDDVFSAVDAHTGSSIFKECLRGMLKGKTILLVTHQVDFLHNVDNIFVMRDGMIVQSGKYDELLDAGSDFLALVAAHDSSMELVDQSRQVVKTEYSQPKAVARIPSLRSRSIGKGEKVLVAPDIEAATSKIIREEERESGQVSWRVYKLYMTEAWGWWGVVGMLAFAIVWQVTEMASDYWLSYETSGSIPFNPSLFIGVYVAIAAVSIILQVIKSLLETILGLQTAQIFFKKMFDSILHAPMSFFDTTPSGRILSRASSDQTTIDIVLSFFVGLTISMYISVLSTIIVTCQVAWPSIIAVIPLVLLNIWYRNRYLATSRELTRLEGVTKAPVIDHFSETVLGATTIRCFKKDKEFFQENLDRINSSLRMYFHNYAANEWLGFRLELIGTLVLAITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYFAISISCMLENDMVAVERVNQFSTLPSEAVWKIEDHLPSPNWPTHGDIDIDDLKVRYRPNTPLILKGITVSISGGEKIGVVGRTGSGKSTLIQALFRLVEPVQGKMIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPIGQYSDAEIWRALEGCQLKDVVASKPQKLDALVADSGENWSVGQRQLLCLGRVIMKRTRILFMDEATASVDSQTDATIQKITRQEFSSCTIISIAHRIPTVMDCDRVLVLDAGLVKEFDSPSRLIEQPSLFGAMVEEYANRSSNL >ORGLA04G0031900.1 pep chromosome:AGI1.1:4:4298142:4298480:1 gene:ORGLA04G0031900 transcript:ORGLA04G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVAAMAVVALLLLMALVPDQMALADAAGEGRRQQPPRPRPPALLVPFRARSSTSHRRQQPAAFRGRAANGCMPRGFRVPPSAPSRYANYHTLDAGLCDDHGGGGGRRNP >ORGLA04G0031800.1 pep chromosome:AGI1.1:4:4282389:4284205:-1 gene:ORGLA04G0031800 transcript:ORGLA04G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVLPIPMDEATMPEFRKRGQVPEDVESSIGTVLSYIHFAIPDAPVSSHARLSALPPDDDDDDGVDRLSLLPDALLRRIVSRLPVKDAARTAALSSRWRDAWRSTPLVLVDADLLPAGVSDADTDAAREEARAVTFAVSRVIAAHPGPFRRLHLTSSFMDQYQGLLASWLQVLAVKGIQELILVNRPFPADLTLPATFFGMATLTRLYLGLWKFPDTAALPRAACFPNLCDLGFSLIGITNHDMDFILARSPVLETLCLQANTLQRVRVASRSLRCLMMMGFDQDVNVVNAPRLERLIMLYSCGSSMLVKIGRAPSLRAIGYLDLETHVLEIGDTIIKAGTRASPSTMVPSVKILGIIVCFGVRNEAKMLPSFLRCFPNVETLHIEIVSPKGTFASTDEANFRLKPLFATKWASKCCSLVVLESDVSAGENNWNFERGCDFSLMDPFAIIIRSSRLDISGSS >ORGLA04G0031700.1 pep chromosome:AGI1.1:4:4278195:4279952:-1 gene:ORGLA04G0031700 transcript:ORGLA04G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVPPVPMGRAMAAEFRRHGDDPDDVQGTVARVLSYIHYALPDPPVSAAARLYALAPHDAVDRISALPDALLRRVVACLPVKDAARTAALSRRWRPLWRSTPLVLVDADLVSFSAAAGAPDDSSKKAREAARGVTHAVSRVIGAHPGPFRCIHLTSSCMEDFQGMLANWLQVLAMKGIQELVLVNRPWPLDLGLPATFFGMATLTRLYLGLWKFPATADLPRGVSFPNLRELGLCSVVMDTQDMDFVLARSPVLETLCIKGNLFRMRIRLASRSLRCAQIIGSSYEEIAVVDTPCLERLIVSGTWRRDGASSGSVKIGNAPALRVFGYLDPAANVLVVGKTAIKSATKVSPSNMVPNVKILALEVRFGVRNDAKMIPNVLRCFPNIERLHIKSGKTDETTGKLNFKFWQESGPIKCIRSSIQMIVFHDFHGARSEVNFLKFFFETTRVLKIVVILFDTGSFSSMDEVRSKVEVLRAAKRPTGCSVLVTPSTEPEECNIWSFKRGSDFSRFDPFTDY >ORGLA04G0031600.1 pep chromosome:AGI1.1:4:4268828:4270622:-1 gene:ORGLA04G0031600 transcript:ORGLA04G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAPIIYLDPATVAEARRRGDDPRKLEECTKYLMTHIXGLLPGPPVPIAAARTRAACAAAASDGVDRISALPDAILRNIVSRLPVKDAARTAALSRRWRPVWRSTPLVLFDAHLLPRGRSFGVSASAPTRTDTPGIVAAVSRILASHPGPFRSVHLLCGFMGSYQAQLEHWLRFLAAKGVDDLILVNRPWPLEAPLPAAILRISTLTRLYIGMWKFPDIAGLPANTAFPNLRELGIYAVAMEKEGREVEFIVARSPVLETLNIQGGNTQVLRLRLEHRSLRCVQICSCCVENLAVVDAPCLERLVLYDSLSKDDSCIRVKIVHAPRLRLLGNLETGFHMLEIHDTFVGAGIRSSPSALFTSVKILGLNVNFGVRHDAQMLPNFLKCFPNAESLHVVCAKCSEATSLVSPNFWDDAGPIESIVSHVNVLTFREFKGEANAISFLKYFVQNAQMVKNVSVVLANPQFTSYSIDTLWTAKILKSVRWASKISSMQVYRSDDPEGVLIWSFQRGSDYSIRDPFVY >ORGLA04G0031500.1 pep chromosome:AGI1.1:4:4266703:4267839:1 gene:ORGLA04G0031500 transcript:ORGLA04G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTNLSKKLAVAMVTLAVACAHALAARDLVDAAAMAQRHERWMAKHGRAYADDAEKARRLEVFRDNVAFIESVNAAASQHKFWLEENQFADLTNAEFRATRTGLRPSSSRGNRAPTSFRYANVSAGDLPASVDWRGKGAVNPVKDQGDCGCCWAFSAVAAMEGAVKLSTGKLVSLSEQQLVSCDVKGEDQGCEGGLMDDAFDFIIKNGGLAAESDYPYTASDDKCATAGAGATAATIKGYEDVPANDEEALLKAVANQPVSVAIDGGDRYFQFYKGGVLSGATGCATELDHAITAVGYGVASDGTKYWLMKNSWGTSWGEDGYVRMERGVADKEGVCGLAMMASYPTA >ORGLA04G0031400.1 pep chromosome:AGI1.1:4:4264096:4264335:-1 gene:ORGLA04G0031400 transcript:ORGLA04G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPIDVRPDAGTPVDARSAAEEPAEAGSATGTPVDAACSSRRPIHAGDPADDTLPADDATPPVVDPAAIGSTLGEATVA >ORGLA04G0031300.1 pep chromosome:AGI1.1:4:4248317:4248538:1 gene:ORGLA04G0031300 transcript:ORGLA04G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIRHTMEVLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTLSMSLARGFRLPTSCINKGGA >ORGLA04G0031200.1 pep chromosome:AGI1.1:4:4240218:4241332:-1 gene:ORGLA04G0031200 transcript:ORGLA04G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKALLFAILSCLCLCSAVLAAHEQSDHAAMVARHERWMEQYGRVYKDATEKARRFEIFRTNVAFIESFNAGNHKFWLGVNQFADLTNYEFRVTKTNKGFIPSTVRVLTTFRYENVSIDTLPASVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKLSTGKLISLSEQELVDCDVHGEDQGCEGGLMDEAFKFIIKNGGLTTESKYPYTAADGKCKGGSNSAATIKGYEDVPANNEAALMKTVANQPVSVAVDGGDMTFQFYSSGVMTGSCGTDLDHGIVAIGYGKDGDGTQYWLLKNSWGTTWGENGFLRMEKDISDKRGMCGLAMEPSYPTA >ORGLA04G0031100.1 pep chromosome:AGI1.1:4:4218604:4219146:1 gene:ORGLA04G0031100 transcript:ORGLA04G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPMVNLEHHGPAPEATDDPSSHVSRHIYVGLSYDVTVVWEMQLESVEAVRGVVGDEQVGGKILQLICPAQPARRGVCNRGPQLVAVDDDARHGAPQVAPPPGQRARLQGLLRREEGHDRVVEAVRQRAQAVAILVGLWPARAHRRCVVVARSIAKDGRAQVAASQGRTPFDPIYCM >ORGLA04G0031000.1 pep chromosome:AGI1.1:4:4201520:4202449:1 gene:ORGLA04G0031000 transcript:ORGLA04G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGEDRGDDYLGTLPDCLLHKVMSFLPARQAVQTCVLSRRWRDLWRSMPCIDIDDDDFVGGASTATTGQLSRKVRWRQLMDFASNLLEFHDVHVIDFKPPFLERFRLHLAHSWTAPYIRAWKGTRLVECCILGGFRHRPAAADIAVGVGVPLFRLPWLPSVSTSRLKRLHLSGLVLDGCFGECICSSCPVLEAMELKSCSCEFNKIESATLKSLAIHGCRSCFLEGTTLAIKTPRLTSLLLRITVYYELRVRLVDRMNYLIEASIREKSYEPINFDNDLCKSLGALASVRNLKLSWSRSMVFILSSYYSP >ORGLA04G0030900.1 pep chromosome:AGI1.1:4:4200637:4201131:-1 gene:ORGLA04G0030900 transcript:ORGLA04G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPHADEDGGDHLSALPDCLLHAIMSLLPARRAVQTCALSRRWRDLWRSMPCLDIDGDEFTSSTTGSVRWDKFESFATNLLQGHNAPFLDRFRLRLPSSWHVRGGVQQRDIKSHSQPDVRQIERWINRGVRFYRPVELEITIGVGYDLKLPILAAPSPSPTD >ORGLA04G0030800.1 pep chromosome:AGI1.1:4:4195152:4195355:-1 gene:ORGLA04G0030800 transcript:ORGLA04G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKAQGQTIPNVAIYLPEPVFSHGQLYVALSRGVSRTTRILAKPRIDIDPTGKSTKNIVYRDVLF >ORGLA04G0030700.1 pep chromosome:AGI1.1:4:4187549:4188672:-1 gene:ORGLA04G0030700 transcript:ORGLA04G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPERLHLSGLVLDGGFGECIRSWCPVLEAMELKACIFEDLKEIVSSTIKSLAIVDCRSGHQTDALVCRMDSLVEASISGTRFGSDFDKMISTLIGSLINVRELDVSWFQPVELKYGESANFQTFHKLTTLNIYECDLGQNLHILLSFLQNTPNLEKVILQNCEISDHSRKRKRTPKANRNQIHSKRRSLITSKSEISKIMKMTYEDDGISDLIELLLRNWRKLEDHTIIITKI >ORGLA04G0030600.1 pep chromosome:AGI1.1:4:4184150:4186392:1 gene:ORGLA04G0030600 transcript:ORGLA04G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PJD7] MESAPATTTSSSTQHGGGGGGHVFLLAFPEAHGHVNPILQLGRHLAAHHGFLPTLVTTRHVLSTLPPPPAPFRVAAISDGFDSGGMATCGDAREYTRRLAEVGSETLGVLLRSEADAGRPPRVLVYDPHLPWAGRVARGAGVPAAAFFSQPCAVDVIYGEVWAGRVGLPVVDGGALRGLLSVELGPEDVPSFVKAPESYPPFLEAVLGQFDGLEDADDVLVNSFQELEQNEADYLASAWRFKTIGPTVPSFYLDDDRLQPNKTYGFNISDSTSPCLAWLDNQPPCSVVYASYGTVADLDPTQLDELGNGFCNSGKPFLWVVRSCDEHKLSEELRDKCKERGLIVSWCPQLEVLSHKATGCFLTHCGWNSTTEAIVTGVPLLAMPQWTDQPTTAKYIESAWGNGVRVRRDKEGMVRKEEVERCIREVLESERKADYRKNANRWMKKAKEAMKKGGSSYNNIAEFASKYASY >ORGLA04G0030500.1 pep chromosome:AGI1.1:4:4178552:4180450:-1 gene:ORGLA04G0030500 transcript:ORGLA04G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PJD6] MGSMSTPAASANGGQVLLLPFPAAQGHTNPMLQFGRRLAYHGLRPTLVTTRYVLSTTPPPGDPFRVAAISDGFDDASGMAALPDPGEYLRTLEAHGARSLAELLLSEPTRVLVYDPHLPWARRVARAAGVATVAFLSQPCAVDLIYGEVCARRLALPVTPTDASGLYARGVLGVELGPDDVPPFVAAPELTPAFCEQSVEQFAGLEDDDDVLVNSFSDLEPKEAAYMESTWHAKTIGPSLPSFYLDDGRLRSNTAYGFNLFKSTVPCMEWLDKQPPRSVVLVSYGTISTFDVAKLEELGNGLCNSGKPFLWVVRSNEEHKLSVQLRKKREKRGLIVPFCPQLEVLAHKATGCFLSHCGWNSTLEAIVNGVPLVAMPHWADQPTISKYVESLWGTGVRVQLGKSGSLQRKEVERCIREVMDGDRKEDYRRNAIRLMKKAKESMQEGGSSDKNIAEFAAKYSN >ORGLA04G0030400.1 pep chromosome:AGI1.1:4:4171452:4173458:-1 gene:ORGLA04G0030400 transcript:ORGLA04G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PJD5] MASMSDQHGGTGAAAHVLLVPLPAQGHMNPMLQFGRRLAYHGLRPTLVATRYVLSTSPPPGDPFRVAAFSDGFDAGGMASCPDPVEYCRRLEAVGSETLARVIDAEARAGRAATVLVYDPHMAWVPRVARAAGFPTAAFLSQPCAVDAIYGEVWAGRVPLPMEDGGDLRRRGVLSVDLATADLPPFVAAPELYPKYLDVSIRQFEDLLDADDVFVNSFNDLEPMEAEHMESTWRAKTVGPTLPSFFLDDGRLPANKNHGIDIFTGDAAPCMEWLDKQAPCSVVLASYGTVYSLDGAELEELGNGLCNSGKPFLWVVRSSEGHKLSEELRGKCKEKGLIVSWCPQLEVLKHKATGCFLTHCGWNSTMEAIATAVPMVAMPQSADQPTIAKYVETAWEIGLRAQLDEKGFVTKEEVEISIKKVMDGKRAVEYKRNAAKWTQKAKEAAQVGGSSDKNIAEFVAKYLSN >ORGLA04G0030300.1 pep chromosome:AGI1.1:4:4167857:4169752:-1 gene:ORGLA04G0030300 transcript:ORGLA04G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSTPPPAAVTVANATSNVGDDNHGGGRVLLLPFPAAQGHTNPMLQFGRRLAYHGLRPTLVTTRYVLSTTPPPGDPFRVAAISDGFDDDAGGMAAPPDYGEYHRSLEAHGARTLAELLVSEARAGRPARVLVYDPHLPWARRVARDAGVGAAAFMPQPCAVDLIYGEVCAGRLALPVTPADVSGLYTRGALGVELGHDDLPPFVATPELTPAFCEQSVAQFAGLEDADDVLVNSFSDLEPKEAAYMEATWRAKTVGPLLPSFYIGDGRLPSNTAYGFNLFTSTVPCMEWLDKQPPRSVVFVSHGTFSSYDAAKLEEVGNGLCNSGKPFLWVVRSNEEHKLSRELREKCGKRGLIVPFCPQLEVLAHEATVAMPHWADQATISKYMESLWGMGVRVWQEKSGGIQREEVERCIREVMDGDRKEDYRRSAARLMKKAKEAMQEGGRSDKNIAEFAAKYLK >ORGLA04G0030200.1 pep chromosome:AGI1.1:4:4162423:4163550:1 gene:ORGLA04G0030200 transcript:ORGLA04G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKALLFAILGCLCLCSAALAARELSDDAAMAARHERWMAQYGRVYKDDGEKARRFEVFKANVAFIESFNTGNHKFWLGVNQFADLTNDEFRSTKTNKGFIPSTTRVPTGFRYENVNIDALPATVDWRTKGAITPIKDQGQCGCCWAFSAVAAMEGIVKLSTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESNYPYAAADDKCKSVSNSVASIKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYKGGVMTGSCGTDLDHGIVAIGYGKASDGTKYWLLKNSWGTTWGENGFLRMEKDISDERGMCGLAMEPSYPTA >ORGLA04G0030100.1 pep chromosome:AGI1.1:4:4145141:4150889:-1 gene:ORGLA04G0030100 transcript:ORGLA04G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLELPVIIFISYLVLLAAGIQEGRYVKHGASYDQHISNEIVNKTIETGDGDVFHCIDINLQPALSHPLLKGHIIQMEPTSFPSELKIKSSSDTIATEAHLPTIACPKGTIPLLQNSKADLKTQFSFDPIGNTHHRGGERAGCTTYDEIYGTQVAINVYEPKVQGQNDLSASWALMVNGPTGNYEGIGAGSIVSTLRLQLLYHEYCIIRIQFSVWPNYHGDNFARFHIYWQVNTVNMPCFDHMCAGFVQVSMSVGIGGRIEPVSTYNGDQYEITVTISKDPKTGNWWLAYGRDKKPLGYWPPSIFNYMNEKASACFWGGQVHGPTVQLHLPELGSGHWAATGPGKAAYVRSIKVINKDGQYFIPGTHNTFSGSTRPFCYDAGDIRFNDDGARLLYGGPGNCTK >ORGLA04G0030000.1 pep chromosome:AGI1.1:4:4137468:4137983:1 gene:ORGLA04G0030000 transcript:ORGLA04G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPLSKKGKRSPRLTSFHGSTAAAATATMAEAATRGLPPVSPGLPLPEELVVWEILVRLPPKPLLRCRLVCRAWRRLTSTRDFLLAHHRHQPSLPLIATCDYADIMYLIDVLTLTLGRRRGAAARLHPVARYASTADYLDSSCDGLLLISWNTGPPQYSICNPTTRQFGDLPL >ORGLA04G0029900.1 pep chromosome:AGI1.1:4:4122607:4125021:-1 gene:ORGLA04G0029900 transcript:ORGLA04G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PJD0] MAPPLFLLSLQLLVLLSSPSAQAQNISLGTSLTTQGPNNAWLSPSGDFAFGFRPIDGNSSFYLLAIWFNKISDKTATWYAKTSEQEPQPIQVPSGSILQFTSTGVLSLRDPTNREVWNPGATGAPYASMLDTGNFVIAAAGGSTISWETFKNPTDTILVTQALSPGMKLRSRLLTTDYSNGRFLLNMETQRAALYTMAVPSGNLYDPYWSTPIDENVTNQVTNLVFNTTGRIYMSMKNGTQFNMTSGVIRSMEDYYHRATLDPDGVFRQYVYPKKPSSMSQAWTAVSIQPENICNAQTKVGSGTCGFNSYCMFDGSNNQTSCVCPEQYSFFDEVRKYRGCRPDFELQSCDLDEAASMAQYEFNLVNNVDWPQADYEWYTPIDMDECRRLCLIDCFCAVAVFHENTCWKKKLPLSNGIMGSGVQRTVLIKVPKSNSSQPELRKSRKWKSDKKLWILGSSLLLGGSVIANFALSSVLLFGTYCTITRKDVQPLQPSRDPGLPLKAFSYAELEKATDGFKEVLGTGASGIVYKGQLQDELGTYIAVKKIDKIQHETEKEFAVEVQTIGRTYHKNLVRMLGFCNEGTERLLVYEFMVNGSLNRFLFSGVRPLWSLRVQLALGVARGLLYLHEECSTQIIHCDIKPQNILLDDNFIAKISDFGLAKLLRTNQTQTYTGIRGTRGYVAPEWFKNVGITAKVDVYSFGVILLELICCRQNVEMEAAEEEQSILTYWANDCYRCGRVDLLVDGDDEAKLNIKKVERFVAVALWCLQEEPTMRPSILKVTQMLDGADAIPTPPDSSSVVNSFP >ORGLA04G0029800.1 pep chromosome:AGI1.1:4:4112194:4114629:-1 gene:ORGLA04G0029800 transcript:ORGLA04G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PJC9] MAHLLLLPILQLLLLYCTKSAQAQLNISIGSSLTPQGLNNSWISPSADFAFGFRAVDGNSSSYLLAVWFNKIADKTVVWYARTSSNGKDDTIPVQVQSGSVLKLADGALSLRDPSGNEVWNPQVTDVGYARMLDTGNFRLLGTDGATKWESFGDPSDTILPTQVLSLGTALHSRLLATDYSNGRFQLKVQRDGNLVMYPDAVPSGYLYDPYWASNTVDNGSQLVFNETGRIYFTIINGSQVNITSAGVDSMGDFFHRATLDTDGVFRQYVYPKNIHARPLWPEQWTAVDVLPENICQSIQTMVGSGACGFNSYCTIDGTKNTTSCLCPQNYKFIDDKRKYKGCRPDFEPQNCDLDETTAMLQYDMAPIDRVDWPLSDYEQYNPIDQTECRRLCVIDCFCAVAVFDKASSTCWKKRFPLSNGKMDVNVPRTVLIKVPRSTNSPSMFSSGSSKWKEDKKYWILGSSLLFGSSVLVNFLLISVMLFGTYCSITSRKKIQLSQPANNSGLPPKIFTYSELEKATGGFQEVLGTGASGVVYKGQLQDEFGTNIAVKKIEKLQQEAQKEFLVEVQTIGQTFHRNLVRLLGFCNEGTERLLVYEFMSNGSLNTFLFSDTHPHWSLRVQVALGVARGLLYLHEECNKQIIHCDMKPQNILLDDNFVAKISDFGLAKLLPVNQTQTNTGIRGTRGYVAPEWFKNIGITSKVDVYSFGVILLELVCCRKNVELEVLDEEQTILTYWANDCYKCGRIDLLVAGDDEAIFNIKKVERFVAVALWCLQEEPSMRPTMHKVMQMLDGAVQIPTPPDPSSYISSLA >ORGLA04G0029700.1 pep chromosome:AGI1.1:4:4107628:4107942:-1 gene:ORGLA04G0029700 transcript:ORGLA04G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding WQSRLDQGMTPLLPPPLATSATSVGEVIPFLVRSGCPHILCLSHKKLGNAFATCYCRARMTMQQMTGRGYLYRAMPECLIVAGYARAMTDMALSQTHQRCQSHG >ORGLA04G0029600.1 pep chromosome:AGI1.1:4:4103257:4105692:-1 gene:ORGLA04G0029600 transcript:ORGLA04G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PJC7] MAPILFLPILQILLIYCTKSAQAQLNISIGSSLTPQEVNNSWISPSSDFAFGFRAVDGNSSSYLLAVWFNKIADKTVIWYAKTSSNGQDDTIPVQVQSGSVLKLADGALSLRDPSGNEVWNPRVTDVGYARMLNTGNFRLLGTDGATKWESFGDPSDTILPTQVLPLGTALHSRLLATDYSNGRFQLNVQDDGNLVLYLVAVPSAYYHDPYWASNTVGNGSQLVFNETGRIYFTLTNGSQINITSAGVDSMGDFFHRATLDTDGVFRQYIYPKSKQARSLWQEQWRAVDALPENICQTIQTKVGSGACGFNSYCTFDGTKNTTNCLCPQRYKFFDNERTYKGCRPDFEPQSCDLDETAAMVQYEMTPIDRINWPLSDYEQYSPIDETECRRLCVIDCFCSVAVFNKPSNTCYKKKLPLSNGNMDSSLQATVLLKVPRSTNSPSMISSGSSKWKKDKKYWILGSSLFFGSSVLVNFLLIFVLLFGTYCSITSRKKTQLSQLPSNSGLPSKIFTYRELEKATGVFHEVLGTGASGIVYKGQLQDECGTNIAVKKIEKLQQEAQKEFLVEVQTIGQTFHRNLVRLLGFCNEGTEKLLVYEFMSNGSLNTFLFNDTHPHWSLRVQVALGVSRGLLYLHEECNKQIIHCDMKPQNILLDDNFVAKISDFGLAKLLPVNQTQTNTGIRGTRGYVAPEWFKNIGITSKVDVYSFGVILLELVCCRKNVELEVADEEQTILTYWANDCYRCGRIDLLVAGDDEAIFNIKKVERFVAVALWCLQEEPSMRPTMHKVMQMLDGAVQIPTPPDPSSYISSLA >ORGLA04G0029500.1 pep chromosome:AGI1.1:4:4090355:4093957:-1 gene:ORGLA04G0029500 transcript:ORGLA04G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCSATLSHAVAATVPNLCTNSEEHHIGLHFGTPGSCLFMAITLWRLRIWLPTCRGQLLLLPHRRLVQQDXRXDSCLVCQKYXPRSIDSRSAIXFFSPTHXXWCTLTERPVWSGGLESPGYRCGICXHARHRQFCAPCCRRHDKVADLXHAVRHHLAYSGDTLQQDSQQVTPCPTRHRXLLQWLVSPXCANXWKPCPVSCCCSLWIQIPAILVHXHDWKWLKLVFSETGKVYFALTDGTQINISSGAGIGSMADYLHRATLDPDGVFRQYVYPKKANAGILGGETWTAVSMQPQNICHAIVSDVGSGVCGFNSYCTFDGTRNRIASCQCPPWYKFFDEQKKYKGCKQDFQPHSCDLDEATALAQFELRPIYGVDWPLSDYEKYEPIGQDDCGRLCVIDCFCAMAVYNQSTSTCWKKKLPLSNGNMADYVQRTVLLKVPSSNSSQSMISTSSNKWKRNRKHWVLGSSLILGTSILVNFALISIFLFGTYCRIATKKNIPLSQASSKSQLPLKTFTYKELQKATAGFHEILGAGASGVVYKGQLEDELKTNIAVKKIDKLQPETEKEFMVEVETIGQTFHKNLVRLLGFCNEGAERLLVYEFMTNGPLNRLLFDNSRPHWNTRVHIALGVARGLLYLHDECSKQIIHCDIKPQNILLDDNLVAKISDFGLAKLLLTNQTRTNTGIRDTRGYVAPEXFKNIGISTKVDVYSFGVILLELVCCRRNVELEVVDEEQTIVTYWANDCYRSGRIDLLVEGDDEAIYNITKVERFVTVALWCLQEDPSMRPNMLKVIQMLDGAVAIPSPPDPCSFISSLPYASKCGXDTFXRTTKIALXXLGNNPMMXKEKDQCLLRHTYNNSXTKSKCPSGKLHQKVXPSXMLMQNQIMQVLSLSXTRPMSQEQIGVAXFQMLKRQYYVXLRCKCTGXREILIKXLMLXSNWXWDQEL >ORGLA04G0029400.1 pep chromosome:AGI1.1:4:4076873:4078861:-1 gene:ORGLA04G0029400 transcript:ORGLA04G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSVSDRSFLIDSDEEDDAAAAVEDGKRRGHGGDESDDGSDSSSSCGTPRVAAGGGGGGGRGSQPSSYTQQWPQSYRQSIDMYSSVQSPNLSFLGTPTLSRLSNSFLTASFRGKPPEIISGLIKPLLPTTTAAAAAADDEGDCRQQQHEYGRKSSQYLLPPRRPSSLQKIPEDQKPLVVGHHEVGPYRQCTYTQGVLNGMFWVHLV >ORGLA04G0029300.1 pep chromosome:AGI1.1:4:4072580:4073360:-1 gene:ORGLA04G0029300 transcript:ORGLA04G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGSHLVHSVIAGGVIASIVIVACLFWVGLVDHIEAKDEGTALNLPGIPIAVGLYGYCYSGHGVFPNIYSSMKKRSQFPAVIFTCIALSTVLFAGAAIMGYIMFGESTESQFTLNLPSNLVASKIAVWTTVTNPITKYPYCVILSFLHLFSHATLSL >ORGLA04G0029200.1 pep chromosome:AGI1.1:4:4070749:4072107:-1 gene:ORGLA04G0029200 transcript:ORGLA04G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITTYALTMTPLALSMEELLPPNRQTYSNIVMLRSALVLSSLIVALSVPFFGLVMSLVGSFLTMFVAYILPCACFLAILRRTVTWYQVLLCVFIIVVGLCCAGVGTYSSLSKIIQNYK >ORGLA04G0029100.1 pep chromosome:AGI1.1:4:4041018:4047404:-1 gene:ORGLA04G0029100 transcript:ORGLA04G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSAPPTMEGAAAAAAVQQEVEGVYGGGGGGGSFFSGMDGFGARLDEVGRRRGAAAQDHFGNSESLSNGAPGLHLNGTREFNGQQFGPTRVHSVGAMLDHSAVNVGSPWIQTKTDDADFHRHAENHFMPNMGKMNAFARRDLQSTYLSDSDLSNALSRVNLSNHLEYDERGLAKDLLDEMEMRNHDRHFSSKIANDCRSPLSGNALCTLGCEHLDVHSLPSYGDGLLRRQNSIMDSPYVSRNNNHHMKNVDRLSLADQLALMQLSNLEENNYHSNADMVNIINPLRNRRNITDLDLIRSRNHFLEELIAQRCLQEDNVFQSKSGPSYNDNILYHDGPRFPYSRMQRSGSHAYSHLRGIPYLGDQQSRMLSSSRRTPGRNMGSQIYQDNPVANCLDLSSLDLANRSGACPLELVNVVGHVMEVSMDQFGSRFIQQKLEVASADDREKIFPEILTNAIALTTDVFGNYVIQKFFEFATESQLSQLADQLRGHFLQLSFQMYGCRVVQKVIDVVDLERKISIVGELKNSVLRCISDQNGNHVIQKCIECVPEDHIPFVIEDILQKIYPLCTHQYGCRVIQFLTLSLQRVLEHCHNPATQSAVMDEIVERAFDLTEDKFGNYVVQHVLEHGRPEERSSIIQKLSGQVVNLSQQKYASNVVEKCLSFGTPDEREGLIREIVSSGQTFQGLMKDQFGNYVVQRILQTCDDKFLVVILSSIKMHLNELKNYTFGKHIVARVEKLIITGENRVRMGSKTSQCQQSLSCTDVDANPF >ORGLA04G0029000.1 pep chromosome:AGI1.1:4:3883689:3884597:-1 gene:ORGLA04G0029000 transcript:ORGLA04G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSVLMGLGNEWAVQALVLFSFTLQVTLLSLAWIRRHSIAIMPKLVLWVAYQLADSTALFTLGHMAISSRSREEQPLMAFWAPFLILHLGGQDNITAYSFEDNRLWLRHLQTLVVQVMGASYVLYKYMPGKETLVMAAAELIFVVGILKYGERIWALREATFDNIARCLDQQEDYASAREREGDDLLRHVLEGRTSMDEENVLIGAHGLLDICRGLFIGSRGGRRGYLRHVLLSFQMYGRLDKLMEMELFLMYDILYTKATVIHTWIGCCIRVIALAATVTAALLFLLSSKTGTAGKISP >ORGLA04G0028900.1 pep chromosome:AGI1.1:4:3864096:3865558:-1 gene:ORGLA04G0028900 transcript:ORGLA04G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PJC0] FYSDHCRSAPVVFSLIFPWLAFGHLLPYLELAERVPSRGHRVSFVSTPRNIARLPPGAECTNDVPSDEFELLWKAFDALGAPFAEFLGAACAEDAGNKRPDWIIADTFHHWAPLVALQHKIPCAVLLPSASMVASSISQSQGQRVCLPCYEQKQNERIFTVNGASGMSVGERCTLAMDRCTIMAMRSCPEWEPEPFRQVVTFMKKPLVPLGLQLPSPDGGRRRAAEMVDDATMQWLDAQPPDSVVYVALGSEVPLRVELVHELALGLELAGTRFLWALRKPCGVDDSDVFPLGFLEQTHGHGHVAMGWVPQTTILAHAAVGAFLTHCGRNSLVEGLLFGKPLIMLPIIGDQGPNARSMEGNMVGLQVRRDENDGSFERHGVAAAVRAVMVEEETRRVFVTNTLKMQEIVADRELHERYIDGFIQQLIFHVADGNSDTAAPDSSS >ORGLA04G0028800.1 pep chromosome:AGI1.1:4:3839626:3843771:1 gene:ORGLA04G0028800 transcript:ORGLA04G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEGEAQSRGWLMTDDASVGWLLGHGKKGTNVIVATTVSIGTILLVAVFIAVFIYRRKTTKTMIPPDNTGNEEDNDYVDPPTLNLTVLRAATRNFSAENKLGEGGFGEVFKGILEDGEEIAVKRLSKTSSQGFHELKNELVLAAKLKHKNLVRLLGVCLQEEKLLVYEYMPNRSLDTILFEPEKRQQLDWRKRFMIICGIARGLLYLHEESSQKIIHRDLKPSNVLLDEDMIPKISDFGLARAFGGEQSKDVTRRPVGTLGYMSPEYAYCGHVSTKSDMFSFGVIVLEMVTGRRSNGMYASTKSDTYESADSTSLLSYVWEKWRTRSLADAVDASLGGRYPENEVFSCVQIGLLCVQENPADRPDISAVVLMLSSNSTSLQTPSKPAFFFGSGSIASLDAAGGHAFFGGRGSEVAAISSNKMSSNPVSKNEVTISELQPR >ORGLA04G0028700.1 pep chromosome:AGI1.1:4:3834764:3835669:1 gene:ORGLA04G0028700 transcript:ORGLA04G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPSCRCNSGSIVFLLVEVVAILFTGATSKDTTNPFTSLDCPSPPPSASPSPPSSAINSTFQSNVLALLDDLPSAAGPTGFASLSRGEGADRAFVRGMCRGDSTPDDCATYLRSAVLDINGHCNSNRRAAIWYDKCFLSYADTNASTAYENSYHAELYNVNNVTDKVGFERTYYALMSRLRARAANDTARMFAAGEAVYDPGADNGTMYGLVQCMRDRTAAECDRCLNDSVQQLPSCCWGHQGGVVLGYNCYVRVEIYTYYDLTVDAQPPSPGPSASSSKPSIGEGQGEPASILQLTALVH >ORGLA04G0028600.1 pep chromosome:AGI1.1:4:3813829:3816275:-1 gene:ORGLA04G0028600 transcript:ORGLA04G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGESKAATRGANRSSSSSSWKSRYIGYGLVLGFVLMLLYLMVNAQFSNNPNAYLGPATTSKTESIPATTYQGNQAWQEDGSRGLEEGHREEVASAHTERSTGQRQEKDDESEKQRTEKNSIEEQLGNDRSSNYWEEGRQSEKKDTIEFSEFGGGTDDFNNVANTKPICDTSFGKYDICVLDGDTRAQGGAGAGAAAVTLVSPRAAPREWKIKPYSRKYLDGLKPVTVRSVPNPEDAPPCTTRLNVPAMVIELGGLTGNYWHDFTDVLVPLFIGARRFGGEVQLLVVNLLPFWVDKYRRIFSQISRHDIVDLEKDDDRGVVRCYPHVVVGYGSRKEFTIDPSLDDTGGGYTMVNFTEFLRQSYSLPRDRPIKLGTNHGARPRMMILERTNSRKLMNLPEVAAAARAAGFEVTVAGGRPTSTYDEFAREVNSYDVMVGVHGAGLTNCVFLPTGAVLLQIVPYGRLESIAQTDFGEPARDMGLRYIEYDIAADESSLMDVFGKDHPMIKDPVAVHLSGWGNVAEWYLGKQDVRVNIERFRPFLTQALEHLQ >ORGLA04G0028500.1 pep chromosome:AGI1.1:4:3793890:3800506:-1 gene:ORGLA04G0028500 transcript:ORGLA04G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQWMDKPRHSREYSEGLDIFLQHAFSSAVGNKIQCPCKKCASSFWRDESEVHEHLICEGFLRGYKPLMFHGEGSSFVNSAEYDEVGDVESSEHDDISDLLRDLACGLDDRGEFEDEGNSDVTNEDLNALKMLSDDYGQELYPGCDKFSKLHFIVKLLHIKLLGGWSDKSFDLLLNLLIEAFPKGSALPKNYNEAKKTVKCLGLGYVSIHAYYPGLGYVAGCTTSGEGACIECHQFTRSLRLKKGSKTCYMGHRRFLHANHPFRFDADSFDGVVELESSPVPLSGKEILKQTEGMQTSFGKDPSGKKVTKKRKCKEGEPINIWKRRSIWFKLPYWKDLLLRHNLDVMHIEKNVCDNIINTLLGTDKKSKDNLNSRLDLQALGIRSDLHPIEVEDKFYLPPAPYSMTSEEKKLFCKVLNGVKFPDGYASDIRRNVQVNEKKIIGLKSHDNHVILQQLLPLAVRRILPENVSAALVRVSNFFKQIYSPVIRAHRYVLFNYDNIDPYLNKHIDYLSSTGLQNKHEIDRVHHETFHEWFRLHVTEMGDDEPEEIKILAKEPIMAANKYNSYTINGFNFHTQSYDEGRPVQSSGVSLMAETTCFERGNNDCRVVGNKIYYGIIKEIIELNYSNRGNIVLFKCDWVDNRIQDKWVRTDQFGITTVNFKHLFNTGDNISDEPFILASQAIQVYYVQDPVDTEWFAVRQSKPRDLYNMSETEKDDLGNDTEVSIILPDVHPNSTMNISVEETVFVRTDIDGIIVEANKPKTKNNGKGKRKVTDGRNMVPRGDHNLRSRSRNNLEENVDENVGDDPEYQPEEDAMDDNEVDVEETLELEQVQQKKKEGRGITQKLNIISRVGEAKIKITLNEFGQPVGLDSEEFATTVGTFVRKKIPVACGDWRDVDIKDKLKVWEDVQKHYEINEYGLHFVLETSHMIWKDYKADLKKKHFDANLTDEELMDRRDLRVNEAQWKWLINHWRSPEAVARSIRGKANRGMLRMLHSAGCKSHARVGHDMGVKTGRPPRRDEVFVETHKRKNGEIIPEAAETVEMLKEAAEVNPELKNKTIQEGDLYSRVCGTKEPRGRVRVLGKGPTPQDVGTPGTRSRMPTRLQLEIESHRQTKQEVVCLNKRMDDMQQRFNIMEHMVMSQGVQNIETSSHHASNSRHAEVGKDVILYAVLRSDTPVAKATIVSIDPSSLVGGQPLGVEFYEVVVNVVLKRDALLPRPYDDMQTMADAQYTSIAWPNNRLNVSKRSAMSKSANSKSAEYLDCLLVITLTC >ORGLA04G0028400.1 pep chromosome:AGI1.1:4:3781848:3783563:1 gene:ORGLA04G0028400 transcript:ORGLA04G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTEQELSTQDMLQGHIDLHHHLYGYHKSMALLCATDLGIPGAIHRRGGAATISDIVADTMIPPAKLPHLRRLMRVLSVSGIFAVEEDVYKLTPASRLLVGDKASCNFSPLVHLVVSPAMLTTFSSLSPWFRDGRNASPTALFEMAHGMPPWEMMKRDDTMNSALNDACVADSSFLMEIALRERGDVVFRGLRSLVDVGGGHGGAAMAIAKAFPDIKCSVLDLPHVISQAPDDGTVCFIAGDMFEDIPPADAVLLKHVLHCWDADDCVKILGQCKKAIPARGDGGKVILINPVIGYGVKQDSTLKETQVLADMNMIAIGGAEREEHEFKKIFLDAGFSDYRIMPVLGLMSIIEVYP >ORGLA04G0028300.1 pep chromosome:AGI1.1:4:3759064:3759369:1 gene:ORGLA04G0028300 transcript:ORGLA04G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSLQHLVDTRGDKRIYVPDLDLLKAGKGRRQTRRLRNDMDASEAGGPVRRCEDCLQYGHRTRDCKNNKEGTSSSMEPRQQRARRNRRGSQGAHDREEGNLQ >ORGLA04G0028200.1 pep chromosome:AGI1.1:4:3755222:3755359:1 gene:ORGLA04G0028200 transcript:ORGLA04G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGKPCQYSESKGISGEGISGELQRLRHDEFGIKEAQQAAPAF >ORGLA04G0028100.1 pep chromosome:AGI1.1:4:3749587:3750003:-1 gene:ORGLA04G0028100 transcript:ORGLA04G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRARLLSAAAGPVLPDELVWEILARLPARGLLRCHAVCRDWRRLATSADFLLAHHRHQPPRPLVFGCARWRSGAAADADAAVDSVDLIRHPPSAAASSASATTANTRASRSTPPSTGSSSSSPAAPSTSATRPRAR >ORGLA04G0028000.1 pep chromosome:AGI1.1:4:3739583:3746457:1 gene:ORGLA04G0028000 transcript:ORGLA04G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting 41 [Source:Projected from Arabidopsis thaliana (AT1G08190) TAIR;Acc:AT1G08190] MSTAARRGHPPPHENGVGGHADDEPDEDGEEEEEEEGEGEVEDDEEEEPRLKYQRLGGSVPAIVSTDAAAAIAVADRMVALGTHNGTLHILDFQGNQVKEIAAHTATINDISFDADGEYIGSCSDDGTVVISSLFTDEKLKFEYHRPMKAIALDPNYYNNYRRFATGGLAGQVLVLTKKSWGGGYHKKVLRDGEGPIHSMKWRTDLLAWANDAGVKVHDMKTDKGIAFIERPKGIPRPEFLLPQLVWQDDTILVIGWGTSVKIAAIRTDSSQGLNGIQRSITASNEKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPEEEDRENSFSNSVPSRQGTAQRPEIHLVSWKNDELTTDALPIHGYEHYKAKDYALAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDAEDHISWLLQHGWHEKALAAVEAGQGRTELLDEVGSRYLDHLIIERKYAEAARLCPKLLRGSPSAWERWVFHFAHLRQLAVLVPYIPTENPQLSDTAYEVALVALTTNPSFHELLLTTVKKWPPTLYSASPVISAIEPQLNSSSMTDSLKEALAELYVINSQYDKALSLYAELLKPEVFEFIEKHNLHDAIHDKVVNLMILDSKRTVNLLIQHRDTIPPNEVVGQLLHASKSCDKRHLLHLYLHALFETDMNAGKDYHDMQVELYAEYEPRMLIPFLRTSQHYRLDKAYEIFAQKELVREQVFVLGRMGNAKEALSTIINKLEDIQEAVEFVMEQHDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRHGCNDILKADCVNLLVKYYHEARRGVYMASMDEEVTGTRVAEGSSRANERSSSLRALEIKSRTRCGARCCLCFDPLSIQDISVIVFYCCHAYHTSCLEGGLDLMKSNSSTQDSDEDDEGTPSGESRMRCVLCTTAAA >ORGLA04G0027900.1 pep chromosome:AGI1.1:4:3728622:3730166:1 gene:ORGLA04G0027900 transcript:ORGLA04G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDANVFSSFWIVSLIVEPFPCGNSMKDIGLQILRLVDPFVAATSTADHVNFCGLTAGAVYTSLVIIYTTSVEYMSTWAILSTENDFVDKLNRKMIDRFPGEEKIYHSFDSVDDDSQNNYPLDFLNTITPNGLPPHELKVDLAMLFSSATLILTMDYAMEQD >ORGLA04G0027800.1 pep chromosome:AGI1.1:4:3715589:3716143:-1 gene:ORGLA04G0027800 transcript:ORGLA04G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSDLILYNLVPAQPLNPSAIPNPNPDLSIAAAEPPSSDGATPRRVRPRKSPSSSDRHSKVAGRGRRVRIPAMVAARVFQLTRELGHRTDGETIEWLLRQAEPSIIAATGTGVTPEEAPPAAVAIGSSSVAAAAAAAGHGGAFVHVPYYTALLMQPPNADEPPMASAASASGTTAADENNN >ORGLA04G0027700.1 pep chromosome:AGI1.1:4:3699415:3705634:-1 gene:ORGLA04G0027700 transcript:ORGLA04G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGEAFRRLPPPLLLLLLLAAGVARGQQTTAPPVVPDALNNLTRDVADYISHRFSFCVADPTEDWNEAFNYTSDLGFVHNCLAETGGDLGGRLCTPDEVKFYFSSLYDRKGEKNLFLKTNINCNRSSWVQGCEPGWACSVGSGPNPQGNIPPRTANCQPCCEGFFCPRGLTCMLPCPLGSYCPRATVNTTTGLCDPYKYQITPNTTGCGGADTWADFGSTEEMFCPAGYYCPTPTKKEPCSEGHYCRLGSTTQDKCIIKGSCKEKTENENIVILGGCLVGMLFVFLLIIYNCSGQFLTIREKRKARSRENAIQLARQQLKAHEGWKAAKRLARKHVNDMQSHLSRTFSRRRSFRQHLDSENSGHRLQEAPLFMNQELSDSAAFSAHQSTGEISEVTPSVVVDVSDDGEIVAGKDRSAPKGKHRSTHTQIFKYAYGEIEKEKVRQQENKNLTFTGVLSMVSEQQKEITRPLLKVEFKDLTLSLGKKKLLRSINGELRPGRVTAVMGPSGAGKTTFLNAVTGKVAGYKVSGSVLVNGRHDNIRSYKKIIGFVPQDDVVHGNLTVEENLWFSAKCRLSATTAHRHKVLTVERVIDSLDLQGVRSSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTSGLDSSSSQLLLRALRHEALEGVNVCAVVHQPSYTLYNMFDDLILLAKGGLIVYNGPVKSVEDYFSTLGITVPERVNPPDHYIDILEGIVKPESGINAKHFPLHWMLYNGYEVPNDMKDDLKAIGEQRPHLGSSPSAGSTPHCLPHVRNAFAEERDRIEHHLSKPKDLSSRRTPGVIRQYKYYLGRVTKQRLREARLLAVDFLILGLAGICLGTIAKLSDPNFGMPGYIYTIIAVSLLCKIAALRSFSLERLQYLRERESGMSSLAYFLARDTIDHFSTIVKPIVYLSMFYYFNNPRSTITDNYIILLALVYCVTGIGYTFAICFNPGSAQLCSALIPVVLTLLSTQNNTPAILNRLCYPKWALEGFIIVNAKRYPGVWLITRCGLLFRSRFDIHHYMLCILVLFMYGLFFRIVAFVALILVKKR >ORGLA04G0027600.1 pep chromosome:AGI1.1:4:3695773:3697098:1 gene:ORGLA04G0027600 transcript:ORGLA04G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIVNKSSPELVGPPTTKPVAPTVADVINLSSFDKAIGSYLFTSFHVFDNGILEPAMTIKGALSQALVYYYPIAGRLVITGAADGGGDQLCVSCTGEGVAFVSATASCALDDVKLFDPPFAALLKELAVAHPAAGEAEADPLLLMQVTEFACGGFVVGMTWNHVVADGKGIAQFLRAAGELARGLPRPSVLPVSCGDDSLPELPPLVAAMEKAMLTQESKQFAYLDVTIPSSVIGRVKAAFDDGGDVARSGGEPCTVFEAVAAVLWRSRTRAVLISGDSDADTPAPLVFAANVHKHVGAKHGYYGNCVTSQVVAATSGEVANGDANDVVKLIRRAKELIPAQFENGGVAMNGAAARVERQLMSALFGYNAFYVASWRNIGFEAVDFGGGRPARVMCHVGPTAVPSCVACLPRDSGGASVLLLCVKEEHVDAFLAELESFK >ORGLA04G0027500.1 pep chromosome:AGI1.1:4:3692091:3693513:1 gene:ORGLA04G0027500 transcript:ORGLA04G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLQKLWGLIQKNRLCSSEIIELTRDIEASTQLFIWAIKEAKPPPPPPVSRESGSMARDMRSTSRIGVRLSGVTAPLMFLPNEAEDVQVASTSVEKSVAKLMDGGTDNAARRAPGRELAVRARAAVGRASPSRSCTSPSRPRACRSPAPTWRWRGQAEWMAAQTMQLGGHTLDSSL >ORGLA04G0027400.1 pep chromosome:AGI1.1:4:3682025:3683137:-1 gene:ORGLA04G0027400 transcript:ORGLA04G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSALPPRRRGLPEELVVWEILVRLPPKPLLRCRLVCRAWRRLTSTRGFLLAHHRHQPSLPLVVGYGCDGGSLLDILTLDRRDAARPRLHPVARLKNAAHFVSASCDGILILNMTNCGEFYYSVCNPTTHQFADLPMLTGFFVMGFYQHRPTGEYRLLLYYQFRPEGSEDRYACYVYTLGSSEMPRCIGWMEEVATCTAVVLLHGSLHWYNYKTDKILIFNTASESFWSMRQADKMNGNDLFEIDGTLGIYFCNDDATIVDIWVLQDYKTEFWSLKHRIELLVPDIKGKLDDGDDWSAMVLSEDGDVLVLVYYRQWLLYIGTDGKLLASFQHDVGCHYNTPLKLKKSLVPHAFFPLLKGYVVNARPFI >ORGLA04G0027300.1 pep chromosome:AGI1.1:4:3675734:3679425:1 gene:ORGLA04G0027300 transcript:ORGLA04G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILVSASTGAMNSLLKKLAAMLRYEYKLHKDVYEDIEFMKDELEAMRAFLLKMSEVEDPDVQAKLRIRAVRELSYDIEDYIDKSMVLIDHEPSSRTRGFKEFIDKSKNLLTKLKTQHQIAKDIEVIKKQVIEVSERFTRYKVDEGTSKLQNSTIDPRVCAIYKDASDLVGIHEPVEDIIKCFTYEDELAKDLKVVSIVGFGGLGKTTLANQVYRKLAAKFECRAFVSISRNPDMRSILKSLLSQICNQEYVQTDAWDENQIISTIRKLLEKKRYVLKLSRGHPRCFPLEICSGPTKSTLRYWYLTVPNRFRSLNLTMPILLSXIQRSEMIWXCEVPVSQDTFCXTGAYLFLWTCRVSLFVXLMLFIKDLLHSSKNYLKVPVPYGTKSFPIIGSSWAGWALLDPTTENNLVPXGTNTSRYFLHDSCKSLFIIRYRFFVQPFDRSLVQPLEIRIDGQARSCRVHDTIHDFLLSKSIEENFAATINYPQLTCLSTPDMKIPTIFWGAKQLPTFSNFSTLRVLDLWFCAECGLENHHLETVTRLSQLRYLTIQGKKITELPRKFGDLKCLEVLDVIATSVKELPKSTTQLQRLAVLYVNDGIKLPDQFKNMQMLEEVVGIDVFRHSMEFLEGLCELKNLWRLSINWDIDRLEGNKVRYKEIIASSLCKLEACNLHDLSIHVHLRNNDDFSSLLLPLNSIKSFVLRGESISKVNIWLSSLVSIRRLALTIKFIDQDDLQVLGSIASLTNLYICLDPDQNERSIAISDIHGFQQLEPFTFQSTHAGLMFEAGSMPRLGQLSFGINVANFKSTYGGFCLGIQHLPCLTMVSVSTNRLGAKLGDVEAVEDAVRCMVEAHPNQPTLEIETDNLRE >ORGLA04G0027200.1 pep chromosome:AGI1.1:4:3671696:3674173:-1 gene:ORGLA04G0027200 transcript:ORGLA04G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding KASLSLTRSLLSLPSLALFSSSLPSLLTGEGGSGDRALSSARSGGRGGGGGRRGGASGGRGRRGRRRAAQGGGGRQRARGGGEVAVVSPPGRSPPLRQIWREGRRRQGRRARRGLVAAGGGLTRRCGGELADGRWRDGRWRDGLKTDRATMTRYLGGQNSEACSSRVIVCTDAQNRGGRSNTGTDGWDLG >ORGLA04G0027100.1 pep chromosome:AGI1.1:4:3662419:3666473:1 gene:ORGLA04G0027100 transcript:ORGLA04G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYLGDDGTIYRYYICPVSGRIFTMKSEVLHYLFSEMDQCFTESKNRAVGSNLTRTHEWLPKGWLVEIRAGGDNMDKMYKFYVYPPNRVRLFSKDDVLLYIKEMKISGFDTDGQCNTSTQENILAILEFNPEGLPQGWVKEVVFRKTHTGRIRRDRHYTDPIKSYVFRTKRSAALYVETGKVTIRAFVQKTSVHEVYSFEKFTHLHESLQKRLNLGRTNQLRTQSSKLQKLSLKEGILSDDQSSSSVLVAMVAQCGNFSKVVVCLLDDRLVWWLGWRQWPLFTRAASLDKLEIHVVGLVRQKFTNHHPTAFRIKVGWRSKISLDSVDCSSICVRSSIQVERSLAMQPLSLGSQLVLLRFIGEL >ORGLA04G0027000.1 pep chromosome:AGI1.1:4:3625856:3626992:-1 gene:ORGLA04G0027000 transcript:ORGLA04G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAARTGLPPRRRGLPEEIVVWEILVRLPPKPLLRCRQVCRAWRRLTSTGDFLLTHHRHQPSLPLVDRYKCNEEFLLGIVSLDRRAAAARLQPVAQLDDTCYMMSADASCDGLLLLSIGGIWWFICNPITRQFGALHLLCGFMVMGFYKHPPTGDYRLLLYRNQELMSEHLIPGDRNTCYVYTLGSSDVPRCIGWPETSASGATVVLHGSLHWYQRSQDMILVFDTTAESFXWMRAPSDRMKCSLDSGNLFDMDGKLGMYCSNDGCTTVDIWVLQDYKREIWSLKYQVELPVPEIRGMLGGAYHWSAMVLSQEGDVLVLVSCDRWLFYIDTEGNLLASFQHYGDGLFTTGLKLKPSLVQHAFFPLLDSYAVNASPFI >ORGLA04G0026900.1 pep chromosome:AGI1.1:4:3616914:3620470:1 gene:ORGLA04G0026900 transcript:ORGLA04G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPSFGILDDNDCDEVESSEEATKNLQSVDDDVDDRPGWLPDGWIMVVYRGDDGTIYQYYICPVCGSTFTMKSEVLHYLFSEMDQRFMESKNCAVGCILMRTHEWLPKGWLVEIRAGGDNMDKMYKFYVYPPHRVRLFSKDDVLLYIKEMKISGFDTDGQCNTSTQENILAILEFNPKGLPQGWVKEVVFGKTNTGGIRRDRHYTDPIKNYVFHTMRSAALYVETGKVTIRAFVQKTSVQEVYSFEKFTHLHESLQKRLNLGRTNQLRTRSSKLEKLALKEEILSDEQGSSSGVAALLGMDCWWSGQLWLEGVSLVAVLVVAAVGGVGHKALEAVRTTAVTGRS >ORGLA04G0026800.1 pep chromosome:AGI1.1:4:3579449:3579712:1 gene:ORGLA04G0026800 transcript:ORGLA04G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRWISASGRWLPGSLSFLRTQWRQRRPKAAAKAATSCGWRSEHDGNPLVHGEDGFRRSFSARGTAAGVELDLAEPMEVAAQEGGR >ORGLA04G0026700.1 pep chromosome:AGI1.1:4:3558716:3559294:1 gene:ORGLA04G0026700 transcript:ORGLA04G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPFAALHRLLGCRLDLQRAIPPHHQERKEIPAFFSYSCLVLLSFGSKSVQV >ORGLA04G0026600.1 pep chromosome:AGI1.1:4:3552331:3554783:-1 gene:ORGLA04G0026600 transcript:ORGLA04G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYRGDDGTICRYYICPFSGITFTMKSEVLYYLFSEMDQSFLESKNCAVGNNLTRTHEWLPKGWRVEIRAGGDNMDKMYKFYVYPPDRVRLFSKDDVLLYIKEMKISEFDTDGQ >ORGLA04G0026500.1 pep chromosome:AGI1.1:4:3499213:3499869:-1 gene:ORGLA04G0026500 transcript:ORGLA04G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VERDASYVYTLGSNDMPRRIGCAEPEMSMLAGHSRRCRPAQLHGSLLHWYHSIKHMILVFDTTAESFRWMRAPIDKTENELNRELWADVLEMDSTLGLYCCNHDKTIVNIWVLQDYEQEVWSIKYQVELPVTCIRGELDVGDSWSVMVSSEDGDEVVVVLVDCGQSVLCFDTDGKLLARLEHDGNDIMVTRMKLKQSLVPHAFFPLLKSYVVNDFPFI >ORGLA04G0026400.1 pep chromosome:AGI1.1:4:3493229:3494389:-1 gene:ORGLA04G0026400 transcript:ORGLA04G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATGLPPPLSSGVALPEVLVVWDILVRLPPKPLLRCRLVCRAWRRLTSTRDFLLAHHHHQPSLPVFTGCRNCDVLTVDRRRRLLHPVARFDSSVFCVEEASCDGILVLWRKRAIGAHSSVRFSICNPTTRQSGDIPLPLLTGFMLMGLYRHRPTGEYRLLLYRIELPASERLVDVLIPGDRNACYVYTLGSGDLPRCIGWPEAEANGASVLLHGSLHWYKRIEDMILAFDITAESFRWMRSPFGKAVWRAFHGLFEINCMLGMYCCDDGATFVDIWVLEDYEREVWSLNYRVELPVLEIRRELDVKRDLYSVMVSSEDGDVLVLVNCKRAMLYIGTDGKLLASLPHDNDGLSITPVKLRASLVLHAFFPSLLDYVVNASPFV >ORGLA04G0026300.1 pep chromosome:AGI1.1:4:3486815:3488956:1 gene:ORGLA04G0026300 transcript:ORGLA04G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVTTKAQVMGKVTTNSISSAIAGSSSSCSSSSSSITTLSSDSTVKRSVRALKRFLFCDEDLTKLAAAVTIFNEIDSRMKTLLELLKLENRAPEHPVQWRTTTSMLDTTKFFGRVSEGKHLKKLLIQKNEKSSQPYDVISIVGIAGVGKTALAQKVYSIFYDKEKHFDFMAWLHVSNKFDVERLIKELVQSVHLCTAAELSSISSLDQAQRILKDKLKGSSIGQELVKKLAGSPLAAQTVGNLLKFKLDEKHWETVNGRKLWQIEQKEDGIMPALRLSYVRLPNHLKQCIIYFALFPKNYQLRGDALLRMWRAHGFVNKETPDETAYRYINDLLQISFIHKVANIEDHYVVHDLLHDFAESISNGEHFRIEDDFHVSIPTNVRHLYVNASNISTVYASLEENIEIKKNLRSLIICKADVASWSWTRTSNFNYALEETLKELGSLRVLVLRHPAGVLPNNIQHMVHLRYLDIKESREFTFLPTSLFGLYHLQALSLQSRHKIDWQAHIPPGIQPWQCKEKKKNSIIIEKLNQECICVHSPFMDNWVTAKN >ORGLA04G0026200.1 pep chromosome:AGI1.1:4:3463726:3464224:-1 gene:ORGLA04G0026200 transcript:ORGLA04G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISSLFRILNINKCALSSKFADHTKMKVSTVAVLVMVAMLMMSVAVYSDDASSHGVTEKQVAAAANAHGSNGGSVVTEAASTDSTAGASGTSSAGASGTNIDSNYYVTMKGYMEYMRKYGGKQP >ORGLA04G0026100.1 pep chromosome:AGI1.1:4:3457259:3457615:-1 gene:ORGLA04G0026100 transcript:ORGLA04G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRCSILALLGLVLLFSNVIAEGAGLNKAIFSRKGLKEERKLAVTGTASSLGGLQGQSTSTGVGGVSSNNAESTNSETGDSSSAYTPMSTATTTDSHHDMSMDQYRKIIHNSQMNKP >ORGLA04G0026000.1 pep chromosome:AGI1.1:4:3445459:3451851:1 gene:ORGLA04G0026000 transcript:ORGLA04G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTKGTEEKGLGEEEQSLAVVVAPEPTVPPEDSFDSNLADEDDEYSLPSDPCPSPSPKRRKKGDGEEGDEDYLPPKEGIRREKIPITVKDWDHVSNGDKEDLWKELKLCGIRSKGGAVDKIEGNEEGGATGTNGGMDIEIKELAIAIPGKTYNEEFIPDAYAKVQPQVVHEGFESYDIDFPTQDGVSVLGDKVDLGKPPRPHKREVTDKAEEPEMHKENPVPEVPPEIAVPEVPMEIQVADVPMEITVVEPEVQFVASVKTEIEEVPGLEWDSTEPEIFEDPSPAKDPEVQETMVPEKATTSSEVPRVLRSHDSKSKDENKEKFMVTVFRGGKERAKLRDDDSQKAAELAGPTYLATDDCPEKYEHGKALLPDWALNEGPWEMRRLHTFYMDASKKDLGNITARSLADCFGEEGYIWLDFSDLHAIYRRDKMDVNYVGVWCMMQYMDAKKKKEPIGFLDPTRIYQTQHTVRLAPGSDQLKGKTPKEIAEYKKGLHKEKLITVAQYIGRAFLHFQNKRVVMAAYNFKVNGRYRTNTEDLPRLEHRTSFDDTGIKNIQRDLCHFIHHECCHVKGDFFDPEGALATSDEFKDLRERNLCHNADSRPACQDRRSSQSLAYMQMAAPPAKIVFGYLR >ORGLA04G0025900.1 pep chromosome:AGI1.1:4:3377566:3378211:-1 gene:ORGLA04G0025900 transcript:ORGLA04G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMRKFFPAIAVLLLLVVATEALAPAQSSVRPCETKSLRFEGFCMVEDNCANVCRTEGFVDGRCSTFVRNCICIKPC >ORGLA04G0025800.1 pep chromosome:AGI1.1:4:3366170:3367786:1 gene:ORGLA04G0025800 transcript:ORGLA04G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMKYDLPLLDYKTRFSLWQVKMRAVLAQTSDLDEALESFGKKKTTDWTAEEKWKDRKALSLIQLHLSNDILQEVLXEKTDAELXLKLESIYMSKDLTSKMHIKMKLFSHKLXESGSMLNHISVFKEIVADLVSMESGKS >ORGLA04G0025700.1 pep chromosome:AGI1.1:4:3363592:3363987:-1 gene:ORGLA04G0025700 transcript:ORGLA04G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVAEPKPEAKVEIVEEQATLEPMLPASSTSGCRPPPLHGPASSSSSSPPPPXVPPAACVGKVELQLPQHRQKPPSQQYGDKLNGFDS >ORGLA04G0025600.1 pep chromosome:AGI1.1:4:3359420:3359611:1 gene:ORGLA04G0025600 transcript:ORGLA04G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSIHAAASSIAVLRRRRLFHRAAPCPNPPRWPAVRDWSADIQFAAAARRRGDGHGSRWSS >ORGLA04G0025500.1 pep chromosome:AGI1.1:4:3355168:3357282:1 gene:ORGLA04G0025500 transcript:ORGLA04G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQDTKGSQSMKPALVTVLVVLLLITTSTALARKLAADDGQQKAESQAKSEVNIDGKPSSGYGEHVCPRDMYPNXXXXXXXXXXXXXXXX >ORGLA04G0025400.1 pep chromosome:AGI1.1:4:3350122:3350958:1 gene:ORGLA04G0025400 transcript:ORGLA04G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVCAMLMLVIISSCTAATSKEKKGAEMNQLKDAVDQALAKAGQHAIYVGLCHLYTSVRKLQYLDCKHWSDNRFTYT >ORGLA04G0025300.1 pep chromosome:AGI1.1:4:3337438:3337716:1 gene:ORGLA04G0025300 transcript:ORGLA04G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKPNPTSAKGPDPGRVDDDSTAFLGVSLVDDIELAKLVSSGALVEGQAFAPGKTVVLKPVDNRTVVFAVFFEAGLRFPCNVLLPEILRLF >ORGLA04G0025200.1 pep chromosome:AGI1.1:4:3302890:3303309:-1 gene:ORGLA04G0025200 transcript:ORGLA04G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDPNRSPTDLGGPSDGSYIFVRGVTGGAWEYGEENARGGEGRAGAIDYFLYINARYFARVPSTSQRLLPLRSASSGNDL >ORGLA04G0025100.1 pep chromosome:AGI1.1:4:3300956:3301222:1 gene:ORGLA04G0025100 transcript:ORGLA04G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKLKARFKIVAVLLAFAMVAQAMAIRGTGTTAEQDNTGESTSAKHTLPQAVGSGTSVDNHHAIPRDQYSNHGGDDGGGSTGTGDTNN >ORGLA04G0025000.1 pep chromosome:AGI1.1:4:3298332:3298574:1 gene:ORGLA04G0025000 transcript:ORGLA04G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPLLILAMVMANAFGAVTSRTALREEAHLAHGVVKTTAEGTSIDNHHAIPRPEYDSWSSPGNMPGSGHDIGSQQAQP >ORGLA04G0024900.1 pep chromosome:AGI1.1:4:3289164:3289550:1 gene:ORGLA04G0024900 transcript:ORGLA04G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADILSKRQKYVINIIKRSGPMTGFCSMCMLETYHEGLSAGPAETKGLSDKLRLEASGEDREGNRVGESLMTKAMARTLGLCRTGTSSECGAGEGDKVKALAGGPGFRRMPNLTEKWLNGPVCPRRFR >ORGLA04G0024800.1 pep chromosome:AGI1.1:4:3276017:3276452:-1 gene:ORGLA04G0024800 transcript:ORGLA04G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSKIMSTARANLAALSIMALLFLGTVIGDAARETPGTYFSLGRMDGHNPTAAYGANTHSDSGDMNKGENSIAGHSSERKLGTTTDGARFETLSWYCSYIGKKCL >ORGLA04G0024700.1 pep chromosome:AGI1.1:4:3264351:3268637:-1 gene:ORGLA04G0024700 transcript:ORGLA04G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFFVGIVIADVTQGNSEDLFLGRTDGHNHAAYGANTHSNSGVMNKGENSGADSNERKLMIWMFPLGFWLLDGFYAYRYCCYTNVCAWSDRQCSGGLTGKGLAVRPEACERFDRRPQDYVKTSINLKVTFISAKSFXVFGLEKVXITPPPDLFWSTELPPKPQNRVFFTPNYANRTNYSHRL >ORGLA04G0024600.1 pep chromosome:AGI1.1:4:3251229:3251549:-1 gene:ORGLA04G0024600 transcript:ORGLA04G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCHRLVEDDVELKLCIRRRLVELEFLIPKQLEFHRRARTWRRWSYGAPGGGRRRGPLSSCSPAVSMEGKAGDVLKLRRRNEPPAPRPPISCSAPPLLSVWEEER >ORGLA04G0024500.1 pep chromosome:AGI1.1:4:3238003:3241479:1 gene:ORGLA04G0024500 transcript:ORGLA04G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMHQELXFALHLPCPRXYYIXVHLKRKLSRIQVLATCXRLTKYIFTGTCAMRLQEATTRRIKHSILTVRSXRXHSMLSIVCLDCHRKEMRYLRHQKSINLNCSTCTRRKDKQQLLXKHYGKQXSTPRATMTTSFEDLFFSQLDPSYVLQLKDMCAQNISISSTMLTKXGNXTSLVXPXISYXKAYXNLERRKQTLRGTYASYRFGIGKKCEWIYWLRQYIILAIKGHXFSTGTKXKKKKXLSYLFGTGKVVDDIRGTIDCNEIPDEKAHDNDSETRTNEDFLCTSXEVHSITSTEQSADITLQERIQESIQTLQDNFNDFTKDFWPRMRSLILDCIENDSKCPERKDTRHVFEDVEQEQIDPREHVPNHNKESYINQNVNMTCETKDNRTRAIKAGRGLQVLQEEHTNRLIELTSSMKQGVKRTTXSEPKHKQRKQXCTLRRKILPKKIIDKGPPKNALRGQKKKKTNGHTSLKNSXELTKKEDPFITYINNTKDNKVMVHIEELEVKRIRMKVLTQLEFLNDDVMDAYIQCLRYKEKGIRGDGKAFLEMAIKTGLLNVEGVHVEASKPQDKRWIRDMARDYLAFDMIFLPINIKYAHWYLAVLNAKRREVQILDSLAKPISKDRPDLRHVKDVKTFRXDLAGILINSELNKIKDRPLLPTTT >ORGLA04G0024400.1 pep chromosome:AGI1.1:4:3234161:3235842:-1 gene:ORGLA04G0024400 transcript:ORGLA04G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSVYPEADSAVQVYPEAELDDLTCGDENMLAMFNVLKNKKSARLENLVLARNSFSRTVYNINTFSNLVYVGRVQITVDGDGRHIVYPRNAPTTEDIASGKVKNNHFIIRTDFRDWQMMKDMVVDGEELMHGPQLTTHGTNASNTPPIVVIQDEEAAATTTCEKMEMQEARHKLNRSLFQDDDK >ORGLA04G0024300.1 pep chromosome:AGI1.1:4:3227141:3230138:1 gene:ORGLA04G0024300 transcript:ORGLA04G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQQMRVLHALDIARTQLYHFIAIVIAGMGFFTDAYDLFSISLVADLLGHVYYHGELPRNIHAAVTGIALCGTVPGQLVFGWLGDKMGRKRVYGITLLLMVVSSLASGLSFSKHEGMNIIAVLCFFRFWLGVSIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLAAGIIGMIVSAAFKHSSASKIDYAWRIILMFGAIPAALTYHWRMKMPETARYTALISKNAKKAAKDMSAVLNVNITPDDEVINELARQDEYGLFSFEFLHRHGLHLLGTTVCWFVLDVTFYSLNIFMKNIFTEVGLLPRLDSEYHHTLQRMITMTAVHTFISLCGALPGYFFTVAFVDRIGRVKIQLIGFTMMTVFMLCLAIPYDQWLRHKNKYGFAVMYGLTFFFANFGPNTTTFIIPAEIFPARLRSTCHGISGAVGKIGAIVGVFGFLYTEYHIRIFLFVLIGCNLVGFIFTLLLPEPKGKSLEDLTGEIEEFQEEDEGSEVALSRPIHTVPL >ORGLA04G0024200.1 pep chromosome:AGI1.1:4:3222627:3223682:-1 gene:ORGLA04G0024200 transcript:ORGLA04G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNGAVAAAHRGIELLGYSFGHRRCEVEAEGRGAGRSRSSGRGGEIIEGPGSFGKILVEGTLTIYIAYGIFCKQAQAALRHGKYSGRLLRVATKQTLFVLMVKGYFVPEFVV >ORGLA04G0024100.1 pep chromosome:AGI1.1:4:3209062:3210000:-1 gene:ORGLA04G0024100 transcript:ORGLA04G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQTWARASQTWPAFGHPXPLAICGPHHIFFPFLPTNRTPAPSARACRHHGEGGVPSLACIIRPSSSSSLSSPSGRSTSALLPPARWGIGTALPPLSGSHFSDKHDIDGARAQRWSGGGGWGRCPSDGSGGVGWGRSRSGSDGWRRMWTEPESGGGGGWIGTDGDGARAEAADGGGAHAAVADAGSGWGREGSAWLFTVSATSLVPPLPSSRSRNSTLTRAVAVATLSSNLMARPNSSSPPCRAPRRGRRAQRWSGGDGLGWSRSGSGAWQRWMGMEPERRRWKRAESMRRWWMPAVDGGGWGRKMRRKGIRD >ORGLA04G0024000.1 pep chromosome:AGI1.1:4:3206104:3207720:1 gene:ORGLA04G0024000 transcript:ORGLA04G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELKVLNALDSAKTQWYHFTAIVIAGMGFFTDAYDLFSISLVTKLLGRIYYFNPASKSPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICCLASGLSFGSSAKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIIVSAAFKSRFDAPAYRDDRTGSTVPQADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNAKQAAADMTQVLNVEIVEEQEKADEVARREQFGLFSRQFLRRHGRHLLGTTVCWFVLDIAFYSSNLFQKDIYTAVQWLPKADTMSALEEMFKISRAQTLVALCGTIPGYWFTVFFIDIIGRFVIQLGGFFFMTAFMLGLAVPYHHWTTPGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGSFGFLYAAQSTDASKTDAGYPPGIGVRNSLFFLAGCNVIGFFFTFLVPESKGKSLEELSGENEDDDDVPEAPATADHRTAPAPPA >ORGLA04G0023900.1 pep chromosome:AGI1.1:4:3159522:3171017:1 gene:ORGLA04G0023900 transcript:ORGLA04G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYRKFGGQFDHRASVNVSQKFELESILKEILNQVKPQVAAQKGDRARRRTKTDSGENNKIREELRQHLKGKRYFLVIDDVWSASAWEEIRNCLPSDEARSIIIVTTRFQAVASICIRDKNDLLHKVEHLHDKESKILLEESVSESKHSTKDKGLINPTENILKLCNGLPLVIVTLAGLVACRRDKFDKQWEDISKFLPQQLVNCHTPEGVKKILNYCYNELPGDLRTCSLYLSVFPKAHKISRKRLTRRWIAEGFVSEKHGQSIEELAESYFNQLIRRKILRPVEHNNNGKVKTCQVHDMVLEYIIAKSSEENFITVVGGHWLMPTPRNKVRRLSLHSTDLKHVKETTSRINLSHLRSLTVFGNLSQLKDISIELE >ORGLA04G0023800.1 pep chromosome:AGI1.1:4:3156006:3156266:1 gene:ORGLA04G0023800 transcript:ORGLA04G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDRDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFFSCTRGFPKVFRTLSMSLVRGFGLPTSCINRGEREASRYRFCIAFES >ORGLA04G0023700.1 pep chromosome:AGI1.1:4:3150795:3151016:1 gene:ORGLA04G0023700 transcript:ORGLA04G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVDRDSIWHRMEVLCVYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA04G0023600.1 pep chromosome:AGI1.1:4:3131593:3132591:-1 gene:ORGLA04G0023600 transcript:ORGLA04G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVEAFTSDLCYLITQIKAKRLSMPPKYHDLVIELESKIVKIRDYVARTSGSKDTVVVALVREMKGALYDASDALSLLLLKIEDTEDPVTACWTCFPNLDHLRKLKFRQEFSRLNSEMSLLLQRYTPSSSSGLDFQRGEDRVDHTTILSSMDTSDMVPDHQMEKSAKTIIDIIYDKNDDKIKIIAIVGPAGIGKTFLATIINSRLQCRVDCTIWLNVTAKFTRPDLLRAAISSCRGIDGRFSDMSYLEYKLQEAVRDKDVLLVMDDVWSEKPWTDVLQGPLRVCNRQYSCVIVTTRNENVAAMMKPTHIYRPHKLKPDVSWSLMKNQVSL >ORGLA04G0023500.1 pep chromosome:AGI1.1:4:3122571:3125314:-1 gene:ORGLA04G0023500 transcript:ORGLA04G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding IISNKEDEREEQEVDRLQVIGNKILEKCDGLPLAIKVLAGELQQKKTQDNWEHMLKNKKWLKEGLPEELNRSIYLSFNDLPLHLKQCFLYCSIFPEGQTITCDKLFQMWIAEGFIPSDGSSKLPEALGQEYYMELVARNLLEPIDGNFDECCCAMHTVFRSFAQYLAKDETLLRDEGENTSDSTPKFHRLYVSNKEVEYSDIQKHKSLRALVSTGGIIPKPSDSFSSLECLRILHVNNAKISNLPGSLHHLKLLKYLDLSNTDVPALPHYIGKMKCLQYICVHGCKMLKQLPGSIVNLQKLRFLDISDTEVKEVPKDFGKLNNLVTLKGFPANTDDTGKCNLEEIGLLSKLMHLAARQSKKIITTHRLLVLNFQLPSNLRTKPNHLHYCAPGYQVR >ORGLA04G0023400.1 pep chromosome:AGI1.1:4:3115502:3117148:-1 gene:ORGLA04G0023400 transcript:ORGLA04G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDRKVLDALDTAKTQWYHFTAVVIAGMGFFTDAYDLFSISLVTKLLGRIYYFNPASKSPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICCLASGLSFGSSAKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIIVSAAFKSRFDAPAYRDDRAGSTVPQADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNDKKAAADMARVLNVELVDEQEKAAAATAAAAEEEAARREQYGLFSREFARRHGHHLLGTTVCWFVLDIAYYSQNLFQKDIYTAVQWLPKADTMSALEEMFKISRAQTLVALCGTIPGYWFTVLFIDIVGRFAIQLGGFFLMTAFMLGLAVPYHHWTTPGNHVGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISSAAGKMGAIVGSFGFLYAAQSTDPSKTDAGYPPGIGVRNSLFLLAGCNVVGFLFTFLVPESKGKSLEELSGENEMEAEPAAATNSYRQTVPDSGQSE >ORGLA04G0023300.1 pep chromosome:AGI1.1:4:3109185:3114787:1 gene:ORGLA04G0023300 transcript:ORGLA04G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHRITMLSSSETCHLGSSSNNQAMDQQNLLPSNPTADEQNLLPNTLEDDDYPHYLLGSHEVEMPNGSVIGQHNTSLNLWDSAGSSSMGCVADHDSLFEAKREHFAPALSIRAPLIIGGRRHEGSSSLPSQSLNLDLNLNQADQFDSEDVDMIQSNGQPGINAFPLNRGLSIPEHVLRHTNSSSATGNPSQVASFSDGMTGQEVNLFGGHRSSCKRKNIDGSLAESSANGSSRNNQRNNIILEPSPSSHESTSGLTAPAPTNHVFSYSPVEQLNQNTNMSANAMLSDHYSLYGDHERERFLRNTRMRTSPNEYDQSSSNLLPEGSLRCSVYQPTQQQSLFIPVQPRASSSSTSSLSRPYVPAVTQFSQNLHRAPSSGNFGSRIGIFPSSADTTNQLSSQDPNRSSVRGNFPEPLLLGSSLFPSDSAELLSMPGGRSNQQNSSSTIRTAVNIGAQQIAGLNASQPTSSSRGSVDIVRRSLQAASVPQSRGSSITSQQQRGHSSTSHEIRSHQPGSSSRANQQHYVRAVPHSVDRQNSNYLDLQSFMQSIAASRDGIRTVSESANQLVHLRNVVEQIRQGRGGRFEDPNFERALFARRASLIDRHRDMRLDVDNMSYEELLALGERIGYVNTGLSEDKIRTGLKQWKYVSIPIEEPLTGVEPCCICQEEYAEGEDMGRLDCGHDFHTACIKQWLVIKNLCPICKKTGLGT >ORGLA04G0023200.1 pep chromosome:AGI1.1:4:3089817:3092334:-1 gene:ORGLA04G0023200 transcript:ORGLA04G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATPSKRAKTAAGVATPQKMGKTTAAAALADQFLTPEKPTPKVAAAAAAAEQIWTPEKPEQPSAAERRARSSGGVAFSVKGVRRAALELRRRSERGAASPAAAAAAAEDELEAVERQLGVGPAPVRSPVKRRAKLPESYEMLCEFFNCFESSTRLLRMKGSKASFPNICASIQHLSERRFTYSHLAQLKYIMPEVIVINKILLRDETTCCMKPDLQVNLLVDAVEGVAKQKGETGYSALRRIFRQRLVDYFRDHPEGHDIPEHELPHPFGPTRSSAPQAIQEDIPKTVSALPLSSATDRQPVAMSHMPNSFKRMFSQRSAAAAAATTSTTSPLSKVEPSITSPLSRKSLLGSPVSGSVSLVRESEGQERSVEGVAFQSGVSEGTPAKFASTPVRLMAETPVLQTPKRPVSGTGYDTPPLKMAKRSARTKLFMTPTKDASSMDEKKQSTSASTLDGDDELLSFLPKSLLQSVKDKENRALVEKQTGFADHIKREKLIASLPSIFDIIFLIYQSRQRTVMTKQELIHKIIASNPKIVDRGEVEDQLKLLEEIIPDWISEKTARTGDVLCCVDTAMSQAEIRQRLYAAE >ORGLA04G0023100.1 pep chromosome:AGI1.1:4:3086342:3087120:1 gene:ORGLA04G0023100 transcript:ORGLA04G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIENIGTTENQTEVKNHLFEIDLVAMKAEFKLSLNAYLSDLLYSPVRSLADVIAFNNAHPVEERLKDFGQSFLTDSQKTNGIGPREKTVLKILKEISTDVLEKLMKKHQLDAIVTPNSDASTIFAIGGMPAIAVPAGYDNQGVPFAICFGGLKGYEPRLIEMAYAFEQATKVRRMPRYKH >ORGLA04G0023000.1 pep chromosome:AGI1.1:4:2991357:2994070:1 gene:ORGLA04G0023000 transcript:ORGLA04G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAAAAAFCCCCSAATGFEFNEATVDAIQLGFSNGSLTSTTLVRFYLDRIARLNPLLHAVIEVNPDALAQAARADAERATGRRCGPLHGVPVLLKDNMATRDRLNTTAGSLALLGSVVRRDAGVAARLRAAGAVILGKASLSEWSNFRPVKSGWSARGGQTVVFVKLPMENPYVLSADPCGSSSGPAVAAAANMAAVTLGTETDGSILCPASLNSVVGIKPTVGLTSRAGVIPISPRQDTVGPICRTVSDAVHVLDVIVGFDELDAEATRVASKYIPSGGYGRFLRMDGLKGKRVGIPNGFFTEGAYGKTQLRVYQKHLSTMRKHGALVIENINITTNLSAAQDVLYSNENIALQAEFKLSLNAYLSDLLYSPVHSLADVVAFNNAHPVEERLQDFGQPDLIAAQKTNGIGPVEKAAIQRLNELSADGLENLMRMHQLDAIVTPNSDASSFFAIGGMPAITVPAGYDGHGVPFGICFGGLKGYEPRLIEMAYAFEQATKVRRMPSFKT >ORGLA04G0022900.1 pep chromosome:AGI1.1:4:2978795:2979025:1 gene:ORGLA04G0022900 transcript:ORGLA04G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTTQLIYGSVDHWRLHDGGVAVVERWTSNIKVEEQRDMPLGSMAIAWWMIDGSCLDKCHARNFYPKFQTLTCV >ORGLA04G0022800.1 pep chromosome:AGI1.1:4:2963103:2969531:1 gene:ORGLA04G0022800 transcript:ORGLA04G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRLQLLLLAAVVLVHAAADGCRAFQIEEATVDDIQLGFNNGSLTSRQLVLFYLDRIARLNPLLHAVIEDSIATRDRLNTTAGSLALLGSVVRRDAGVVRRLRRAGAVVLGKANLDEWANFRTIQGTGGWSARGGQGRNPYVLSAAPCGSSTGSAIAAAANMATVTLGTETDGSILCPSSSNSVVGIKPTVGLTSRAGVVPISPRQDTIGPICRTVTDAVHVLDAIVGYDSRDAKATRAASNYIPPGGYRQFLKPDGLKGKRIGIPNGFFNFPNGTVQQIVYQQLLDTVRKQGAVVIENLDIANLAVIQDVLNNGEQIVLAAEFKSSLNTYLSDLSYSPVRSLADIIAFNNAHPIEERLKNFGQLIFLVAENTTGIGALEGAVIRQLNELSADGLEKLMQDEQLDAIITPNDLVSTVLAIGGMPAITVPAGYGKMGVPFGICFGGLKGYEPRLIEMAYAFEQATKVRKAPKFLHGTF >ORGLA04G0022700.1 pep chromosome:AGI1.1:4:2950323:2960916:-1 gene:ORGLA04G0022700 transcript:ORGLA04G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPPHQPSSSGDVEVAVESGSGASPSRNKLLSMVKKHSDLIGWTVIDAEADASDVEMDDKFWHEMLDLFFMHGRVSKGREEDDLVFFVNNMKLNRYRSSDNMENPPPFFVRRWAPKLEKITNLNLADVNWERSFYLNLIAHTSYSVTVAICSIMDLCNRAEKSKPLSPVYKVTKTLYASPSRVNFHLDQRKAVETVPAYPNICFSVDDFDDTFDAVQVLSDPEHCYCVILNAHDGAAFPENTESKNPSSNVLSGVNTGSKQEKPPKRTLFSGYVSYQNVREAYDAGRSKFESLFSLGHDRTKLDKLYMRGPEGRGEVEVAVSGIADQSHERSKKDPGDSFRALVHSAASTASKLAKHAYEAASTNKRFDDELLPLKCCLMSVSLPWDYIAHDLLHKETPPLDL >ORGLA04G0022600.1 pep chromosome:AGI1.1:4:2939892:2943451:1 gene:ORGLA04G0022600 transcript:ORGLA04G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPALVVVVVAAVALAGGGSLGFEFYEATVDAIQLGFSNGSLTSTALVRFYLDRIDRLNTLLHAVIEVNPDALAQAARADAERATGHRCGPLHGVPVLLKDIIATRDRLNTTAGSLSLLGAVARRDAGVVARLRRAGAVVLGKANLPEWANFRSSPGLRGWSARGGQSRNPYVLSADPCGSSTGPAIAAAANMAAVTVGTETTASILCPAAANSVVGIKPTVGLTSRSGVIPFTTRQDTVGPLCRTVADAVHVLDAIVGYDALDAKATKAASKYIPAGGYVQFLRIDGLKGKRIGIPDGFFDFPNGTVRQMVYKQHLNTMRQQGAVLIENLEIANLSVIFDGTKSGLLTALLAEFKLNLNNYLSDLSYSPVRSLAEIIAFNNAHPVEEELKEHGQSILLMSENTAGIGPAEKAAIRRLNELSVNGVEKLMNDHQLDAIVTPDSAAAVVLAFHGLPGVVVPAGYDEKGVPFGVCFGGLKGYEPRLIEMAYAFEQVTKVRMPPMFKP >ORGLA04G0022500.1 pep chromosome:AGI1.1:4:2931922:2935391:-1 gene:ORGLA04G0022500 transcript:ORGLA04G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein beta [Source:Projected from Arabidopsis thaliana (AT5G43430) TAIR;Acc:AT5G43430] MKILVAVKRVVDYAVKVRVRPDRTGVETASVKMSMNPFCEIAVEEALRLREAGAATEVVAATVGPSQSADTLRTALAMGADRAVHVLHDPDPSRPLLPLTVAKILRSLALQENPGLVILGKQAIDDDCNQTGQMLAGLLNWPQGTFASKVILNKEKATVEREVDGGIETISLDLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKVTPEDLDVDIRSDMEVVEVTEPPKRKAGVILSSVDELIDRLKNEARVL >ORGLA04G0022400.1 pep chromosome:AGI1.1:4:2926419:2926748:1 gene:ORGLA04G0022400 transcript:ORGLA04G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSAAARRLPRRGRERSRLPRKTASTSPSSPSLGPMSSPMRELPGVAVLDNDGFHSGGGGSGGAKLFTTTHVGGIARAEQKREKGRPLLPSPDRDGXEATSIAAPP >ORGLA04G0022300.1 pep chromosome:AGI1.1:4:2904259:2924630:1 gene:ORGLA04G0022300 transcript:ORGLA04G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVGLWVVVLVVAAAAAGLVGASPASGLVLADEGGGGGGAGGRSFDGGAVRGEFPCGSHTARSRSCEEMNGSGSFDTTCVIGSSSSLDGDLCVYGDGSVVISPHVKIICPVAGCYIAINVSGSITIGEHVDLIAGSVSLYATNVSLDQRSTVNTTGLAGEPPPQTSGTPHSLEGAGGGHGGRGASCKVSNDTNWGGDVYAWSTLAWPWSYGSKGGSMAADHQFGGDGGGRVMLRASEFLNVDGDVLAEGGVGSLKGGGGSGGSIMIYAFKLYGNGTISAAGGNGWGGGGGGRISLDCYSIQQDLEITVHGGQSFGCPQNAGAAGTIYESSLQTLKVSNGNYTTHTETPLLGFPMTRLWSNVLVECNAKVLVPLLWSRVQVTGQIRLLSKGSISFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSEIQIDGGGKDVVLASMLEARNLVVLRHGSVISSNAALGVYGQGLLNLTGPGDGIKARRLFLSLFYNIEVGPGSFVQAPLDDAVQSSLDALSRCESKTCPSELITPPDDCHVNNSLSFTLQICRVEDITVSGIVRGSIIHIHRARTVTVTNNGTISASELGCKEGIGKGKFLKYGAGGGAGHGGRGGIGIYNGMRSEGGPQYGSADLPCELGSGSGSSESTDNTAGGGLIVVGSMKWPLSKLLIYGSLSSDGESHRGTKKNSNGTYKGGIGGGSGGTILLFLQGLLLERNSSLSASGGNGGLIGGGGGGGGRIHFHWSNIATGDEYVQIASVNGLVASSGGSGNDDGHFGETGTVTGKKCPVGLYGTFCTECPIGTYKNVVGSDSSLCMPCSLDSLPNRADFIYVRGGVTEPSCPYKCISSKYKMPNCYTPLEELIYTFGGPWSFAIILSFTIILLALVLSALRVKIGESDITYRSTNAIHNDGCASFPFLLSLAEVPGASRAEETQSHAHRMYFMGPNTFREPWHLPYSPPDAIIGIVYEDAFNRFIDEINLVAAYEWWEGSIHSILSVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVTSTIQKRFPMCLIFGGDGSYMSPYYLHSDTLLSNLLGQYVSTAIWNRLVAGLNAQLRTVRQGNIRSTLGPVVSWINSHGNPQLERHGVRVELGWFQATASCYYQLGIVVAVNEHFYKSLHQHDHVSEFIDRSRKNISSKKLNQDQPCTSYAVSRKRLTGGVNGGIINEGTLKSLECKRDCLFPLSLLLQNCRPIGYAETLQLLICIILLGDFSVTLLMLVQYYWISVGAFLAVLLIPPLALLSPFLAGLNALFSRGPKRSSVTRIFALWNTTSVINIIVAIIYGALYSGLSSLSVSSVPHALNTKSFKSREDNEWWILPIILFVVKSLQAGFVNWHLANLEIQDYSLFSPDPDRFWAM >ORGLA04G0022200.1 pep chromosome:AGI1.1:4:2891929:2894205:-1 gene:ORGLA04G0022200 transcript:ORGLA04G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPIRPLLLLLPTILSALDLTAAATDDDDTLRTYLVVVCRMNGPKEGGEPLRAWHASLLASVLNSTTDAILYGAGAGGNRGAPVIGGERLVYSYQHVVSGFTARLRPREAAAMARLQWCVDAVPDSTYTLTTTDTPRLLGMSTPRTGAWSVAGNMGDGVIVGVLDNGVDPRHVSFGDEGMRPPPAKWRGKCDFGGAPCNNKLIGGRAKTLEDHGTHTSGTAVGAFVRDVMVEGSNLGTASGMAPRAHLAMYEVCLADMCSATEMLTATERGAFLDGVDVLSISASDNKQKPFYDDLIAVGSFSAVMAGVFFSTSAGNAGPTAETVTNCAPWQLTVGASTMGRRVISKVQLGNGLVINGEASRRYKRVQNKPIVYVGGRFADGALKAVDVRDKIVLCNRVESAAMLEKMVADAGGVGMIAISTQMQFLATTPLGANFMPLSRVSYPDGETIKAYINSTANPMASLRFAGVVLNASALPAIAEYSSRGPCDLPNIGVLKPDITGPGTNIVAAVPDKSPGANATAAPTRTFSAKSGTSMSAPHLAGIAAVIKKAHPEWSPAVIKSAMMTTADVTHRDGTPVIDLSTGAPASYFAMGAGLVNPTKALDPGFVYDLTADDLVPYICGLGYNDSFVNDMIAQPLKNVTCAKSKKIQGKDLNYPSFLVTLTAAAPVATARRTATNIGKQPLEVYRAEVVAPPGVAVEVVPNRLEFGGAALQRREFTVKFTRGRNAAVNGAAEGSLRWVSGKHSVRSPLAVLLKP >ORGLA04G0022100.1 pep chromosome:AGI1.1:4:2885440:2887447:1 gene:ORGLA04G0022100 transcript:ORGLA04G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASDPAPAAAAAAYDRTAELRALDATLSGVRGLVASGATHLPRIFHNVVHGDQEPPEATAPSSAATTTTTVPVIDISGSRAAVVDAVRRAAAEWGFFQVTGHGVPLAAMDAAAGAARAFHESGGGEGSDKARLYSREPGRAVKYHCNFDLYQSPVANWRDTLYLRMAPDPPPAADLPEICRDALFEYAKQVKNLGNTLFELLSEGLGLKPSYLTDIECNQGQIILCHYYPPCPQPELAIGTSRHSDSGFLTILLQDDIGGLQILHEDRWVDVTPTPGAFIVNVADLLQLISNDNYKSVEHRVVAKNTEPRVSIACFFSTHFHPISTRMYGPIKELLSDENPPLYREALVRDYTARYYSVGLDGKFKTTLSEFRL >ORGLA04G0022000.1 pep chromosome:AGI1.1:4:2867270:2867744:-1 gene:ORGLA04G0022000 transcript:ORGLA04G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSVSSDETRENTTLGHLCSTALTARLTAEAQHPIVVVGEISDPHVPSGSHQQQSSYMDKLQQLLTQRQPSQTQQNQPQ >ORGLA04G0021900.1 pep chromosome:AGI1.1:4:2851097:2856446:-1 gene:ORGLA04G0021900 transcript:ORGLA04G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPSRRLSLLDRCDDGSEDPVVPPRVPTILNLASHHRLDRSKFLPLIHPAPARFISSTGSSFPPYSEPPPSAPMLEVGSGFGLEDLYSFFSNHFFSMESISLPPCDVVDVPPVIPLALRSGRSNQGLPSKLPLIEGHRRSHSDIPFGYSQEHPQMPPVASVKPEVTTIEGHQLEDVAAAALKDMGIQAWSPSGSIDKEVKSSGAGSTTHHCRSLLVDSFMMGNLNFGVVGQQMSSPPLLTTEVNVGGGEPIGSTASPFAAELANVKFTEDEKKKIVMDKSLSEIVLTDPRRVKRILNNRASATKSKEKKMKHVGELQRKLQVLQSETTTLGAQVTVMQRNNNELVSQNNELKTRLQAMDQLAQLGDALTSILAAEAQHLRAVVSEISDPNLPSGPHQQLSSDMDQLQQLLTQRQTSQIQQNQPQ >ORGLA04G0021800.1 pep chromosome:AGI1.1:4:2842099:2845128:-1 gene:ORGLA04G0021800 transcript:ORGLA04G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISFVGRVLFASIFLLSAYQEFSEFGTDGGPAAKALKPKFNLFMQQVSKSLGMAVPHIDIKTVIAATMFLKGFGGLLFIISSSFGAFLLLLYLAFITPVVYDFYNYEMESSQFVQLFVKFSQNLALFGALLFFLGMKNSIPRRHSKRRAGKTKTN >ORGLA04G0021700.1 pep chromosome:AGI1.1:4:2837025:2837318:1 gene:ORGLA04G0021700 transcript:ORGLA04G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGGGLAGRSQARLREGSHVEDLAAGRYGGVEARAGGRNWGRGGSRQQARLWAGYGAHVQPALPSVVAQGRGLGSGKGIDGDGAWRRWMARGRAQ >ORGLA04G0021600.1 pep chromosome:AGI1.1:4:2833714:2836145:-1 gene:ORGLA04G0021600 transcript:ORGLA04G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNPRAASSSAAAAAAASSPDAVRKEREENTVPTVYDASADIEDDYRLFLENVLVYENEDFVLEYEGKVVRYGGDEAISAGGGSRGEDPVMEEEKEKEKEVDVVMKKVVDKEKMDEKNEAAAPLVKGKGVGKVIGMEVEDEQLVLALPKPGTTTSLTNPSKRHETEPHTTSLRGVAGVGAAAAVALTSCGIGGGSEEEWRRWRLERWGGASAVARVEEMCVCGGIGRGEGRRQRLGWREGASAAERTEGV >ORGLA04G0021500.1 pep chromosome:AGI1.1:4:2830627:2831099:1 gene:ORGLA04G0021500 transcript:ORGLA04G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTIKPPPEASSKSREEAAXSEASATGLSRRVPNTNGWPRVKGKHPHRCCLSACLCPILANLRGIDVPIDGPKGGHGAHNCIGSASSSFLAVMERRKFVSPS >ORGLA04G0021400.1 pep chromosome:AGI1.1:4:2808646:2810334:1 gene:ORGLA04G0021400 transcript:ORGLA04G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFAKQNCQVNLLTNNPSSNPRFIMEINSAATLTLVSLLTLPILLALLTRKSSSKKRRPPGPWNLPLVGGLLHLLRSHPQVALRELASKYGPVMFLRMGQIDTVVVSSPAAAQEVLRDKDVMFASRPSLLVSEIFCYDNLDVGFAPYGAYWRMLRKLCTVELLSTKVVRQLAPVRNDETLTLVRNIEAASSGHGGGGGKKPVTLARLLTTCTNTITAKAAFGQACGVELQEQFLTALDVGLKFSGGFCFGDLFPSLRFIDAMTGLRSRLWRARGQLDSVFDKIIAQCEEHQGDSLVNVLLRIRDQGDLEFPFGTTNIKAIILDMFTGGTETTSSAAEWVMSELMRNPEVMAKVQAEVRRVFDNKSPQDHEGLIDNLRYMKMVIKETMRLNPVLPLLMPHLCRETCDIGGYEVVEGTRVVINSWAMARSPEYWDDAEEFKPERFEDGMADYKGSRFEYLPFGTGRRRCPGDTFGMVLLELIVARLLYYFDWSLPAGMQPDDVDMDFVVTATTRRKNHLQLVASPYKLAPIQI >ORGLA04G0021300.1 pep chromosome:AGI1.1:4:2807529:2807783:-1 gene:ORGLA04G0021300 transcript:ORGLA04G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGVTVMAAGWRALADAGRALGGGGGGDESGGWRRLRMSCSCCVLARRVDGATAVKLCRRHRRGGGGWRQRNSHRRRGRISWRTC >ORGLA04G0021200.1 pep chromosome:AGI1.1:4:2803015:2803352:-1 gene:ORGLA04G0021200 transcript:ORGLA04G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQWWPAAVEAKTLLRASLPDAGQYLSQQGGVHLGQEQQPGATPRRRHKHKAQFASAYSRSPSRMIAQFALMGCTASL >ORGLA04G0021100.1 pep chromosome:AGI1.1:4:2738021:2743441:-1 gene:ORGLA04G0021100 transcript:ORGLA04G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMEAVARSSLVLAPRRRRALGLLPVAAAAAPFVLDCRRRHNGGMRRPHVSFACSAELDTGRRQLPSTGTRAVMSSCPGYVEGRMVGENTSQINMGREARIRRHLENPEFLPSSYDIAWVAMVPLPGTDHLQAPCFPECVEWILQNQHSNGSWGVNEFDSSASKDILLSTLACIIALEKWNVGSEQIRRGLHFIAKNFSIVIDDQIAAPIGFNLTFPAMVNLAIKMGLEFPASEISIDQILHLRDMELKRLAGEESLGKEAYFAYIAEGLEESMVDWSEVMKFQGTNGSLFKSPAATAAALVHRYDDKALGYLYSVVNKFGGEVPTVYPLNIFSQLSMVDTLVNIGISRHFSSDIKRILDKTYILWSQRDEEVMLDLPTCAMAFRLLRMNGYGVSSDDLSHVAEASTFHNSVEGYLDDTKSLLELYKASKVSLSENEPILEKMGCWSGSLLKEKLCSDDIRGTPILREVEYALKFPFYATLEPLDHKWNIENFDARAYQKIKTKNMPCHVNEDLLALAAEDFSFCQSTYQNEIQHLESWEKENKLDQLEFTRKNLINSYLSAAATISPYELSDARIACAKSIALTLVADDFFDVGSSKEEQENLISLVEKWDQYHKVEFYSENVKAVFFALYSTVNQLGAMASAVQNRDVTKYNVESWLDYLRSLATDAEWQRSKYVPTMEEYMKNSIVTFALGPTILIALYFMGQNLWEDIVKNAEYDELFRLMNTCGRLQNDIQSFERECKDGKLNSVSLLVLDSKDVMSVEEAKEAINESISSCRRELLRLVVREYGVIPKSCKEMFWNLYKTSHVFYSQADGFSSPKEMMGAMNGVIFEPLKTRGN >ORGLA04G0021000.1 pep chromosome:AGI1.1:4:2728661:2729266:-1 gene:ORGLA04G0021000 transcript:ORGLA04G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KERAXWASISIRQRRRPRRERRKVEPDWSQPKKRKEKANESEYNQSIAFDDSLTASSTQASISCSRHRRLPAPVHERWMKVSLTTNSRMLGTISFRAPVERPSSSLFLNLGERQKRSPERPRLFQGKEGDRMRDGVPAEWNGHPWLQE >ORGLA04G0020900.1 pep chromosome:AGI1.1:4:2727754:2728389:-1 gene:ORGLA04G0020900 transcript:ORGLA04G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLDKLTYFSQFFWFCLLLVSSSRGMGGQIPSISRYERIVSKFIGKFFFSGLWTDRPYLIFIFISLIFLGLYIVRRRREAFSSTLIGSFSGLFSFFFVEGPEVASAMENPPGPGPSEAGPSGSAAGKSAEASTPSSSFFNGLSGQIPAPDSPGEEVPQGEQPRFDPYSIPEVPLEHVFGASSNLVPGTEKRIYRIGIDTNYSRWIGIHIR >ORGLA04G0020800.1 pep chromosome:AGI1.1:4:2715989:2717043:-1 gene:ORGLA04G0020800 transcript:ORGLA04G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSHVSVDARKLVGKVAVITGGASGIGACTARLFVKHGARVVVADIQDELGASLVAELGPDASSYVHCDVTNEGDVAAAVDHAVARFGKLDVMFNNAGVSGPPCFRMSECTKEDFERVLAVNLVGPFLGTKHAARVMAPARRGSIISTASLSSSVSGAASHAYTTSKHALVGFTENAAGELGRHGIRVNCVSPAGVATPLARAAMGMDDEAIEAIMANSANLKGAGALKADDIAAAALFLASDDGRYVSGQNLRVDGGLSVVNSSFGFFRD >ORGLA04G0020700.1 pep chromosome:AGI1.1:4:2708703:2709896:-1 gene:ORGLA04G0020700 transcript:ORGLA04G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSHVSADARKLVGKVAVITGGASGIGACTARLFVKHGARVVVADIQDELGASLVAELGPDASSYVHCDVTNEGDVAAAVDHAVATFGKLDVMFNNAGVTGPPCFRITESTKEDFERVLAVNLIGPFLGTKHAARVMAPARRGSIISTASLSSSVSGTASHAYTTSKRALVGFTENAAGELGRHGIRVNCVSPAAVATPLARAAMGMDMDDETIEAIMEKSANLKGVGLKVDDIAAAALFLASDDGRYVSGQNLRVDGGVSVVNSSFGFFRD >ORGLA04G0020600.1 pep chromosome:AGI1.1:4:2707166:2707543:1 gene:ORGLA04G0020600 transcript:ORGLA04G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTQQGQEHAEIIDEHGLINEEYNDVSDYDSEEDELYVHEMVEEKKRWTEDPTEHCEGDTVVEDIFVQPKSMKTSKADVVTLQALLVSTNRNCEIATRNKKCERYLWVMKMIYALKTMMVLRCQLW >ORGLA04G0020500.1 pep chromosome:AGI1.1:4:2695984:2696775:1 gene:ORGLA04G0020500 transcript:ORGLA04G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQIYGLELRDFNEMSSRGFFDARFYDHPSLGHSTQPMFTYVQVKTITLHVFISTPMATPPVYAENEVPTRESTSMAPEMSSLPIRPVGKSLIKKSNEKINEQWCPIQNSNSNAVEGCRIIQQLKAELNFCNLHKIQRASPYKQSDDPQVGDNSSIESERVVGYIGLDPHKLSVLHGLDDHKSSGSNSICDVSMINGRFEDREEDGHNIEYVEINS >ORGLA04G0020400.1 pep chromosome:AGI1.1:4:2681943:2683795:-1 gene:ORGLA04G0020400 transcript:ORGLA04G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEINSEATVTLVSVVTLPILLALLTRKSSSKKRRPPGPWNLPLVGGLLHLLRSQPQVALRDLARKYGPVMFLRTGQVDTVVISSPAAAQEVLRDKDVTFASRPSLLVSEIFCYGNLDIGFAPYGAYWRMLRKLCTVELLSTKMVRQLAPIRDGETLALVRNIEAAAGHGGGKRPFTLATLLISCTNTFTAKAAFGQACGGELQEQFLTALDEALKFSSGFCFGDLFPSLRFIDAMTGLRGRLERLRLQLDTVFDKIVAQCESNPGDSLVNVLLRIKDQGELDFPFGSTHVKAIILDMFTGGTETTSSTTEWLMSELMRNPEVMAKVQAEVRGVFDNKSPQDHEGLLENLSYMKLVIKETLRLNPVLPLLLPHFCRETCEIGGYEIMEGTRVLINSWAMARSPEYWDDAEKFIPERFEDGTADFKGSRFEYLPFGTGRRRCPGDIFAMATLELIVARLLYYFDWSLPDGMQPGDIDMELVVGATARRKNHLQLVASPYKPIAMQS >ORGLA04G0020300.1 pep chromosome:AGI1.1:4:2671070:2678591:1 gene:ORGLA04G0020300 transcript:ORGLA04G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFTASFQCVTLFGQPASAADAQPLLQGQRPFLHLHARRRRPCGPMLISKSPPYPASEETREWEADGQHEHTDELRETTTTMIDGIRTALRSIGEGEISISAYDTSLVALLKRLDGGDGPQFPSTIDWIVQNQLPDGSWGDASFFMMGDRIMSTLACVVALKSWNIHTDKCERGLLFIQENMWRLAHEEEDWMLVGFEIALPSLLDMAKDLDLDIPYDEPALKAIYAERERKLAKIPRDVLHAMPTTLLHSLEGMVDLDWEKLLKLRCLDGSFHCSPASTATAFQQTGDQKCFEYLDGIVKKFNGGVPCIYPLDVYERLWAVDRLTRLGISRHFTSEIEDCLDYIFRNWTPDGLAHTKNCPVKDIDDTAMGFRLLRLYGYQVDPCVLKKFEKDGKFFCLHGESNPSSVTPMYNTYRASQLKFPGDDGVLGRAEVFCRSFLQDRRGSNRMKDKWAIAKDIPGEVEYAMDYPWKASLPRIETRLYLDQYGGSGDVWIGKVLHRMTLFCNDLYLKAAKADFSNFQKECRVELNGLRRWYLRSNLERFGGTDPQTTLMTSYFLASANIFEPNRAAERLGWARVALLADAVSSHFRRIGGPKNSTSNLEELISLVPFDDAYSGSLREAWKQWLMAWTAKESSQESIEGDTAILLVRAIEIFGGRHVLTGQRPDLWEYSQLEQLTSSICCKLSRRVLAQENGESTEKVEEIDQQVDLEMQELTRRVLQGCSAINRLTRETFLHVVKSFCYVAYCSPETIDSHIDKVIFQDVI >ORGLA04G0020200.1 pep chromosome:AGI1.1:4:2643323:2654707:-1 gene:ORGLA04G0020200 transcript:ORGLA04G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 9 [Source:Projected from Arabidopsis thaliana (AT5G43500) TAIR;Acc:AT5G43500] QDYLKTVVPSQLMAERGANLVVINPGSSNVRIGFASQDVPFNIPHCIARHITQRKDDTPRLSVRDKMLNCHATPSQNAERERAYDIIASLLKIPFLDEEMPSANQALPPKMGRVDALSSQQNKDDSKFTWTDVMDRSIKSSTPIERPVDKDADVDPLQRSTPDDTEPNSEENMYKEIIFGEDALKIPPSESYCLSHPIRRGHFNISQDYSLHQVLEDLRTIWNWILTEKLHINPRDRHLYSAILVLGETFDNREIKEMLSIVLCDLGFSTAVIHQEALAAAFGNGLSTSCVVNIGAQITQVVCVEDGVALPHTALALPYGGDDISRCLLWVQRRHRTWPNFQTDPVNKPIDMLMLNKLKESYSQIRSGSFDAVSVVHSYEHEKSVGHQKTKLSALNVPPMGLLYPRVLVPEEYPPPPRSWFQDYDDMLEDTWQTSDSLYSSGNGGFGMWDNYPMFPTRLKKFDNIGLVEAIVSSILSTGRIELQRKLFCSIQLVGGTASTAGLAPVLEQRVLNTIPSNQPIEKAEVLQSRSYPLFVPWKGGVILGVLDIGRDAWIHREDWAKNGVHIGSGRKYRDSYFLQAQAMCYYNS >ORGLA04G0020100.1 pep chromosome:AGI1.1:4:2618171:2624050:-1 gene:ORGLA04G0020100 transcript:ORGLA04G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase protein with RING/U-box domain [Source:Projected from Arabidopsis thaliana (AT5G43530) TAIR;Acc:AT5G43530] MHGDWPESPSQAVLYADEIAAVRAVLGAGLDKSRVVAALSRCGGNTERAINALLDDDATAAGVEDESKKGKGAKTVAPPVKAERDAGGAAPKPPPPPVKVEVLDDEPVGSQESKGCSARVKKEREDELLVKAPPPPTPDRVKKEEDYRCDGVAAKRGAATANAAGISLVPRPRKRSRVDDEAEIIDLTATHPVPYLNPRPIRAVPPPEAMEMLESRRVRARPPSPSSDLRMVMAPPDAEFGEFPEERDWFLVGRSYVTGLSTNRGRRRLDAGELVHFSFPSLERTYGGIKVSNKKAAALAEIVRFSTNRAGEIGKLSTEWTKCLVPLVNSSKVKIQGKIVFPTVELRLMEEILLYVSFYIHRSVFTASGNNSSWDLLAPANVDYSTNPLYRLFRLLKLRAFTKADITPEELAAGKRPRNLRGDDNDEDEPMAIVGLENRHTAGQTFPEQGTDEQAISEAALNKIVGTAETYDLEEAEPPSTLVSVLKPYQKEALFWMSQLEKGIDADQAKKTLHPCWSAYKIVDKRAPAVYVNVFTGEATTQFQSVTQSARGGILADAMGLGKTVMTIALILSNPRGEIEQDKRGTRDRDTMAQTSRSSVRGGTLIICPMALLGQWKDELEAHSAPGALSVFVYYGGDRTTDLRFMAQHSVVLTTYGVLQSAHKNDGSSIFHRIDWYRVVLDEAHTIKSPRTKAARAAYELTSHCRWCLTGTPLQNNLEDLFSLLCFLHVEPWGDASWWNKLIQRPYENGDERGLKLVRAILRPLMLRRTMETKDKMGNPILVLPPANIEIVECEQSEEERDFYEALFRRSKVQFDKFVAQGSVLNNYANILELLLRLRQCCDHPFLVISRADTQKYTDLDELAQRFLDGVQRDSARRSAPPSQAYVEEVVEEIRQGATTECPICLESASDDPVLTPCAHRMCRECLLSSWRTPSGGPCPLCRSPITKSELITLPSQCRFQVDPENNWKDSSKVIKLIKILEGLQEKREKSIVFSQFTSFFDLVEVPFNQKGVKFLRFDGKLSQKHREKVLKEFSESKDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRAVQVRRFIVKDTVEERMQKVQAGKQRMISGALTDDEVRSARIEQLKMLFT >ORGLA04G0020000.1 pep chromosome:AGI1.1:4:2611961:2612884:-1 gene:ORGLA04G0020000 transcript:ORGLA04G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNLAVLLAIVVADVCVARTTPPAFAQTVQVWSECSPENYTAGSAYGESLRGVLKDVVDAAVSGGGYAAANDASGAAHGLAICYADAPPQVCRLCLAMAAGNLLLACPRAVGGAMLYNNCLLRYAAGAPFLARPDMDQEFSFYNPNMTSAGDAAQFGAALSRLMDRLALAAASSSSSSRGRRFAFGQTNITGDDGSSLYAFVQCVDDLSPDDCRRCLQSIAASLPMTRGGRAYSLTCYTRFEVVPFYRPPTATNLVVVASPASAPASPLLPTGSRGE >ORGLA04G0019900.1 pep chromosome:AGI1.1:4:2606842:2607912:-1 gene:ORGLA04G0019900 transcript:ORGLA04G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSTVAATLLVISCFIVVKIRKSGKFQLRIIGKNSNPKENIEELLDNYGSLAPKRYKYSQLKDMTGSFSEKLGEGGYGMVYKGTSPDGHSVAVKFLHDLTRNGEEFVNEVISIRRTSHVNVVTLVGFCLEGSKRALIYEYMPNGSLEKFIYAENSKTTLGWDKLYDIAVGIARGLEYLHRGCNTRIIHFDIKPHNILLDHDFVPKIADFGLAKLCNPKESYLSMAGMRGTIGFIAPEVFSRRFGVVSTKSDVYSYGMMLLEMVGGRKNLKASVDNPSEMYFPDWIYRCLADVGSLHSFDMEHETEEIARKMASIGLWCIQVSPSSRPTISKVLEMFERSADELEIPPKHCFYSAIQ >ORGLA04G0019800.1 pep chromosome:AGI1.1:4:2593373:2598907:-1 gene:ORGLA04G0019800 transcript:ORGLA04G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLEQCCLLLLLLCSCSSAAVAAASPAAMSSSRKAVDRLPGFAGPLPFSLETGYVAVGEARFFYYFIESERSPEQDPVLLWLTGGPGCSAFSGLIYEIGPLFFDFHGYKGGLPTLHYKANSWTKISNVIFVDSPPGTGFTYATTAEGLKSSDTIVVHQLYTFIQKWFDDHPQFSSNPLYVSGDSYSGIIIPTLTMEIAKGKESRNERHLNLKGYIAGNPLTDTTHDDNSKFPFLHSLGIIDDELYEVARKNCKGDYMTPPNSQCANSVQAIRDCIRDVNDLHILEPRCEEDGISVMSNNLASSHDRRTKLLESAVSSVCRNATYVLSKIWANDEAVRESLGIHKGTVTTWERCNHDLLYKKQIVSSVEYHLSLITQGYRGLVYSGDHDSVVSLIGTQGWLKSLNLSITHGWRPWYVNSQVVGFTRTYSNNLTYATVKGAGHTAPEYMPKECFAMVDRWLSGEPL >ORGLA04G0019700.1 pep chromosome:AGI1.1:4:2582471:2587676:-1 gene:ORGLA04G0019700 transcript:ORGLA04G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTPATAVAAGDEDEAACLQAFELMCAFTVPMTLKAAIELGLLDALITAADDGGRALSAVELAARLPAADKAEAASSVDRMLRLLASCNVVKCSTEAGPAGEPLRRRYSPAPVCRWFTAGGNSHRGSLAPSVLFGVDEDYLSTWHQLAAAVGGGGAVAFERAHGAPMFEYMGTNRRLNTLFNQAMAQQSMIVINKLLDRFHGFDGVGVLVDVGGGTGGTLEMIMSRHKHIDGVNFDLPHVISQAPSLPGVKHVAGNMFESIPNGDAIFLKSILHLQNDEDCIKILKNCHQALSDNGKVIGVEIVLPAIPEPVPTAQYPFQMDMIMLNNFRGGKERTELEFTKLAMDSGFSGTLQTTYIFANYWALEFNK >ORGLA04G0019600.1 pep chromosome:AGI1.1:4:2574339:2579820:1 gene:ORGLA04G0019600 transcript:ORGLA04G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGISRSPATGVTAGGGDDEEATWLHALELISGFTVSMTLKAAIQLGLIDALTAAADGRALTAGELVAQLPAVDDAEAATSVDRMLRLLASFNVVRCSTEAGPGGDPLRRYSPAPVCRWFTAGDNHQGSLAPRLMLDVDEDNLSTWHQMAAAVVSGGPSAFERAHGMPLFEYMGTNHRFNTLFNQAMSQQSMMVMNKLLDRFHGFDGIGILVDVGGGTGVTLEMIISRYKHITGVNFDLPHVIAQAPSLPGVNHVAGNMFESVPKGDAIFLKLMLLRNDEECIKILKNCHCALSDNGKVIVVDIVLPVTPKPVPEAQNPLRMDVMMLNNLRGGKIRAEQEYAKLAMDSGFSGSFRTTYIFANFMAIELCK >ORGLA04G0019500.1 pep chromosome:AGI1.1:4:2539840:2545316:1 gene:ORGLA04G0019500 transcript:ORGLA04G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYTSRAPASGGFAAGDDDEEAACLQAFELMCIFTVPMTLKAAIELGLLDALAAAGDGRALTADELAAARLPDAAPDKAEAASSVDRMLRLLASFDVVKCSTEAGPGGEPPRRRYSPAPVCRLFTAGGNSHRGSLAPSVLFGVDEDYLCTWRQLAAAVGGGGPSAFERAHGMRMFEYMGTNRRLNTLFNQAMAQQSMIVIDKLLDRFHGFDGVGVLVDVGGGTGATLEMITSRYKHITGVNFDLPHVISQAPSIPGNLVLHAGVKHIAGNMFESISNIGDAIFLKMILHMQNDEDCIKILKNCHQALPDNGKVIAVEIVLPTIPELAQTARYPFQMDMIMLSNSRGGKERTELEFAKLATDSGFSGALRTTYILANYWVLEFSK >ORGLA04G0019400.1 pep chromosome:AGI1.1:4:2529449:2530744:-1 gene:ORGLA04G0019400 transcript:ORGLA04G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVVITKSSPELVGLSTKPAPPPPGDISLSSFDEALAFAAFTSFHIFTNGIVEPAMAIKRALSQALVYYYPIAGRANFAAGERRLRISCTGEGVGFVAATASCALDDVKLFDPPFAAVLKELALDYPAEGCGEDDPLLLMQVTEFACGGFVVGVTWNHVVADGLGIAQFLQAVGDLARGLPRPSVFPVSCGDGSLPALPPLVAAIEKTMLSLETKRFAYLDITIPSTMIERVKAEYAAAAGDVDSGEPCTVFEAVTAALWRSRTRAVISSDDPDAPAPLVFAANARKHVGAKEGYYGNCVTSQVAVPTSDEVANGDLKHVVRLIRRAKEEIPLQFKNAGGGGMNGKRVKQLAGVLFGYSAFYVASWRNIGFEAPDFGGGRAARVMCHFEPTGVPSCVACLPRDGGGASVLSLCVRDEHVDAFLAELATLG >ORGLA04G0019300.1 pep chromosome:AGI1.1:4:2521970:2522377:-1 gene:ORGLA04G0019300 transcript:ORGLA04G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKSKEVNNTTCLQYYKLIDLSHYKLKPTGMFLFLCHSPLQYGMPYSFFLRCTLNCLFQFLRPLIICSLILLYPAALPLEPTKGEMPLAEVLRFNTKVDCLILNIIIMVSCGPLMVILRFGQNWYKSNMSKIPW >ORGLA04G0019200.1 pep chromosome:AGI1.1:4:2516586:2517023:1 gene:ORGLA04G0019200 transcript:ORGLA04G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAGVVDAAEDVVKPAAARQPIRRQGSLRLRALAPGSIDVRAGGAGDGSAASEYCHDAVAAAAEVIPLLTPLHAVPAAPAASDQVSGGRTARHLTEVVAGGGRCVAVEKTRLPAWWWHPAMPPFVNDQPASASAVGFVFQNCV >ORGLA04G0019100.1 pep chromosome:AGI1.1:4:2511540:2512091:-1 gene:ORGLA04G0019100 transcript:ORGLA04G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDQHRSCRCVKSNCVKLYCPCFSAYGYCSQNCRCTNCKNREYYEDFVEERVDMIKMKNPRAFDPKIVRVQDASEIEPQSSNAVPMPEVQVPRTFV >ORGLA04G0019000.1 pep chromosome:AGI1.1:4:2501447:2504485:1 gene:ORGLA04G0019000 transcript:ORGLA04G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGSSSSGGWGSRRVEYGRTYIVRPKGRHQATIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATRPVTAFGGFPCTAWFDVEDISVDGRDDIEGLDASAAHIANLLSSEPPDVKLGIGGFSMGAAAALHSAACYAHGKFANSMPYPITLSAVISLSGWLPCSRTLRSKTETSHMAARRASSLPILLSHGRVDEVVSYRNAERSVDTLRNSGFLYLNFKSYNGLGHYTIPEEMDDVGKWLSSRLGLDRSR >ORGLA04G0018900.1 pep chromosome:AGI1.1:4:2493337:2494731:-1 gene:ORGLA04G0018900 transcript:ORGLA04G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPSASPNHPHHQQWQPSPPPPPPSPPQGPPPQWSPPGNYHQQQAPPPPRHYYGPPQHQPRYNYGPPQPQAPPPPRNDYGPPPPPPQQQQQQREAVVGPGELIGLGREGRVKEAVEKLDKGARADPQAFYELAAACSNPKLLEELRKMLEMYAKCAAMNHARRTFDHMPDRNMDSWHIMIDGYAVNGLGDVALQLFEEMKTKYGIAPTAHTFTLVLNACANSEAIEEAFLYFDAMSRDHGIEPGVEHYVGIIEVLGKSGHLNEAVEYIEKLPFEPTATVWESLLNLARMNGDIDLEDRAEELLVSLDPTKVNPKKLPTPPPKRRLGINMLDGRNKLVEYRLPPKIEKKVVNEQRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >ORGLA04G0018800.1 pep chromosome:AGI1.1:4:2445244:2447532:-1 gene:ORGLA04G0018800 transcript:ORGLA04G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNNDTIMPSQLIAQNDHAYVLTFLMSIAMAILLLVALFYRIKKQAAAMAVKRKQQPNLPPGLGTMPVVGNMHQMLMNKPVFRWIHRLLDEMDTEILCLRFGRVHVIAVASPEMAREVLRKNDAVLASRPSSFASRAFSFGYKNTIMSPAGDQWRKMRRVLASEILSPAMERRMLGRRVEEADHLVNYVYSNCNNGTVDVRHVTRYFCGNIIRKLVFGRRHFDSGDGNVGPGRDEEPHIDKLFTALDYHGAFSVSDYFPTLVGLDLDGHEEVVNGLMDTFSRLHDPIIMERMEEWKSLRRNGDKRREVADFLDVLISLEDAQGKPLLSLDEIKAETLEIILATVDNPSNAVEWALAEMVNNPKVMKKAVDELDIVVGRERLVEESDVHNLTYLKACIREAFRLHPYHPFNPPHVAIADTTVAGYMIPKGSHVMLSRIGIGRNPRAWNKPLEFRPERHLKSTGTVVLAEPELRFVSFSAGRRGCPAVSLGTSITMMLFARLLQGFSWSIPPGGDRIELQESAISLQLSKPLFMQEKPRLLLHLYKADILN >ORGLA04G0018700.1 pep chromosome:AGI1.1:4:2404507:2405190:-1 gene:ORGLA04G0018700 transcript:ORGLA04G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTSTTTKAMAMAAAVLAAAAVAATNAQTCGKQNDGMICPHNLCCSQFGYCGLGRDYCGTGCQSGACCSSQRCGSQGGGATCSNNQCCSQYGYCGFGSEYCGSGCQNGPCRADIKCGRNANGELCPNNMCCSQWGYCGLGSEFCGNGCQSGACCPEKRCGKQAGGDKCPNNFCCSAGGYCGLGGNYCGSGCQSGGCYKGGDGMAAILANNQSVSFEGIIESVAELV >ORGLA04G0018600.1 pep chromosome:AGI1.1:4:2369892:2370362:1 gene:ORGLA04G0018600 transcript:ORGLA04G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGWNQRWLPSSQIRCRRLRRTKAEWRVDAAAAPPGGQWRRPSPPDLAPSDPNPNLPNARPPPHPRGAQDSPRHLLPSPSRRRRHPPSTRRRRSHPSSPRSLLPVLVSPPLHLHTPRHRKGGQCRGKDGSAKICPPCPRERRWHADPSASVLWSC >ORGLA04G0018500.1 pep chromosome:AGI1.1:4:2332109:2333386:-1 gene:ORGLA04G0018500 transcript:ORGLA04G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVVTKSSPSVLVRPSEPTPAATIRPTSTDMTRLGMSFTSFHVFERGVDEPAETIRRALSRALVHYYPFAGRLAGSGDDVVFSCTGEGVVFVRATANCTLEDVNFLGAPVVMSLADLAVRYGGPCRAASDPLMMMQVTEFACGGFVVAATWNHGVADACGLAQFLRAVGELARGLHSPSVVPVRYDESLPDIPQLATILLKRLAAGVKFAHVDFAYCDVIIPWSFVNRVKAEFGSRHAGDRPCSVFEAVTAAMWQCRTRAINGHGGGALAPLVFAANVRKHVGAKDGYYGNCIMSQVVVATADAVANGDVVDLVKLIKDAKERIPVLVSTKTLGLDDGGGGELVAALCGYGALYASSWAGLGLDGVDFGGGRPARVIPDSEVKMLPSISPGAPCSMMDGHGVNVVASCVTDEHLEGFRAQLARL >ORGLA04G0018400.1 pep chromosome:AGI1.1:4:2321806:2322303:1 gene:ORGLA04G0018400 transcript:ORGLA04G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGDTRMVNDVYSATRNFLNLTCHQKKNNVSYIFHNRKLGRDSAEPSGPSGDGTEKSGGGA >ORGLA04G0018300.1 pep chromosome:AGI1.1:4:2298326:2298601:1 gene:ORGLA04G0018300 transcript:ORGLA04G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRYLEAKEEGMKRYLEAIRSMEKCFTGITVEHLARDQNGEADTLAKSAACGGPHSPGIFFEVLHAASVPMDCSKVMAIDQEKLGEDPYD >ORGLA04G0018200.1 pep chromosome:AGI1.1:4:2295579:2296511:1 gene:ORGLA04G0018200 transcript:ORGLA04G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPSSVNMREQNNIIIISIAMTILLLVVFFCRMLGNMAGKNKRKKQPKLPPGPATMPVLGNIHQILMNKPVFRWIHRLLDEMDTEILCLRLGSVHVIAIASPEMAREALRRNDAVLTSRPVSFAWQAFSFGYKNTIGSTGDQWKKMRRMLTSEILSSAMERRMLGQRVEEADHLVNYIYRNCNNGTVDIRHVTRHFCGNIIRKLVFGRRHFDSGAGNIGPGRDEEAHIDALFTALDYLGAFSISDYFPSLVLSGLMSTFRRLHDPIIMERMEEWRAPRRNGDKRREVADFLDVLDLLGRCTGKTVVVTR >ORGLA04G0018100.1 pep chromosome:AGI1.1:4:2275352:2275567:-1 gene:ORGLA04G0018100 transcript:ORGLA04G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTQVVTVLRRKRVELRGAGGGDYGGEASGGVDSGVSRRRRRGSQIRSWVLETHTEPRGLGAEEVTGVNP >ORGLA04G0018000.1 pep chromosome:AGI1.1:4:2271571:2271897:-1 gene:ORGLA04G0018000 transcript:ORGLA04G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFITESNILQQAQQAHYNTRIDVADRCLCKGTEKPEIARGQARRPYPAAPELGPTKCSVGAAAAAREWGGHRCSQVGGYRRRERCSLVRNAPSGLKSGEDFTTACG >ORGLA04G0017900.1 pep chromosome:AGI1.1:4:2253359:2255044:-1 gene:ORGLA04G0017900 transcript:ORGLA04G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT3G23160) TAIR;Acc:AT3G23160] MVAEPLVHKVLSMATSSSSSSSSRKVKPVTTSSGKGGAAAAAVEGVVDDGRVGILSFEVANAMSRAANLYRSLSDAEAARLLGPLCLGSQAVRALVPGDDARLLALALAEKLDALNRVAAVAARLGRRCTLPALLGFDHVYADLLAGRSDAAFAAASHSEATALVRKLDRLAAATAALYAELEALADLEQSARKLPTDEARRALEQRTRWRRHDARRLRDSSLWNWTYDKAVLLLARAVCAIYDRIRLVFGDPMRGLDLLAIGRSSRQCDQSRQLSGPASANNSGHVRTNFGDTKSGPIARIDVDTPRSVNFRSNCGASPGKMFMECLSLSSSVSWKDGFEDEFLEDASCISTIRSGMLLPFSGEQGVSTMATKSGKVGRRARFGPKSTVTSLAPPSTIGGSALALHYANIVIIIEKLLRYPHLVGEEARDDLYQMLPSSLRAALRKSLKTYVKSMAIYDAFLAHDWRETLEKTLTWLAPMAHNMIRWQAERNFEQQQIVLKGNVLLLQTLYFADREKTEAVICELLVGLNYICRYEQQQNALLDCSSSLDFDDCMEWQPQ >ORGLA04G0017800.1 pep chromosome:AGI1.1:4:2247553:2247924:1 gene:ORGLA04G0017800 transcript:ORGLA04G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XAHCLSSNAGALLQDATDQVERSANQVQNSAVWGPEAVKGLSPLLFAVMAAAKNS >ORGLA04G0017700.1 pep chromosome:AGI1.1:4:2228163:2230824:1 gene:ORGLA04G0017700 transcript:ORGLA04G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPSLWIRVFFSWLLLSLPAAAAADFSHCGGCDDGDGGGGIWSTDNILQCQRVSDFLIAMAYFSIPLELLYFATCSDLFPLKWIVLQFGAFIVLCGLTHLITMFTYEPHSFHVVLALTVAKFLTALVSFATAITLLTLIPQLLRVKVRENFLRIKARELDREVGMMKRQEEASWHVRMLTHEIRKSLDRHTILYTTMVELSKTLELQNCAVWMPSESGSEMILTHQLRQMETEDSNSLSIAMDNPDVLEIKATKDAKVLAADSALGIASRGKLEAGPVAAIRMPMLKASNFKGGTPEVMETSYAILVLVLPEDGSLGWGEEELEIVEVVADQVAVALSHAAVLEESQLMREKLAAQHRDLLRAKHETTMATEARNSFQTAMYDGMRRPMHSILGLVSMMQQENMNPEQRLVMDAIVKTSSVASTLMNDVMQTSTVNREYLSLVRRAFNLHSLVKEAISVVRCLTGCKGIDFEFEVDNSLPERVVGDEKRVFHIVLHMVGTLIQRCNAGCLSLYVNTYNEKEERHNQDWMLRRANFSGSYVCVKFEIRIRESRGNLLSSSSSRRLQGPNSTSSEMGLSFNMCKKIVQMMNGNIWSVSDSKGLGETIMLALQFQLQHVTPVSGASSDLFRSAPIPNFNGLQVILVDSDDTNRAVTHKLLEKLGCLVLSVTSGIQCINSFASAESSFQLVVLDLTMRTMDGFDVALAIRKFRGNCWPPLIVALAASTDDTVRDRCQQAGINGLIQKPVTLAALGDELYRVLQNN >ORGLA04G0017600.1 pep chromosome:AGI1.1:4:2220590:2220838:-1 gene:ORGLA04G0017600 transcript:ORGLA04G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGHGFVKLPPLEDQLCFDADASAANVAYYSAAAIRLLGGANGGAIGSDDDLWSFMQSAPPAPLPSAPLFPSHTATAASRP >ORGLA04G0017500.1 pep chromosome:AGI1.1:4:2194839:2195660:-1 gene:ORGLA04G0017500 transcript:ORGLA04G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQLVIPQAGSDINELINVVENINVPSIGMEQENNIIGQAKYESRKHHNSDNWLFTSQQRNKTPVGSEFQAQVPQWTGELPVSYDNAETRKWLGTKVWPLENGNRKLSYFCNPVGKGREGVCGCNLPGSVECVRFHVAERRLQLRRELDSAFYAWGFDRMGEEIALSWTDKEEANFKACVQLNAPSSGRNFWKRLHMLFQSKGRKELVSYYFNCFLLRRRCYQNRMTPNNIDSDDEDETEFGFLGNRLGHNATKYDSSKYTLCIESTHCMDLNQ >ORGLA04G0017400.1 pep chromosome:AGI1.1:4:2182302:2183381:1 gene:ORGLA04G0017400 transcript:ORGLA04G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHEADRTGSPVGYVDPTIICKTQHTVELREDCEQLVGKTPQEKEEYVKQLHKRKKLEVATYLAIAMLAHADKDVLMVPYALTDHYILFLVYPKDQLIISLDPAHYDKETFMEFLTILNLARKYYRKRGGPVHIPSQKQLSVSTGWPIPEIPYIVQRIDDSTIWNVCADLCRFLRRYVRNARGLFYDNQSELAMDDKFKPRREWEKEHMQ >ORGLA04G0017300.1 pep chromosome:AGI1.1:4:2173175:2176240:-1 gene:ORGLA04G0017300 transcript:ORGLA04G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFHATSVEEAVKVLIPYLEDTSSAAHKSIYFDGWRDGVAASAVLRAIAENPAPSLRKKFDRIIHVDCSRWKNPRQLQRAIADQLNLPQHVMDLFDRQDEEDDFNGVEESSRAEIADIRREIYRTFMDLTCLLILNNGSDHTLDIASFGFPLNDWYNLRDNRLLWTFRGRLRLNPKIAHNVDSSHLNLFVPYYYSTEIRKVLLAREAEEITQYSGELHLDGTKAAECCLYLLSLNYRGGHIMDYNWATHACNYWVCDGIITVQEEKGGGSLQEDQAWELAAALHQEIHLEDYSSNTAPDFGYALHTPPNRWILVTQESDMKNKPTLDTTSLFIAFRNIVLLPDDMFHQANKVQVLRLCNCAFNFSSPPFHCCHNLRFLGLDKCQDHRTQEAGEDKSNNSSALEIFQRLWVLDICYIDWELPFPTESTREQQMAMNIREVHINKGRIWRRNFAWRRLKNLRKLRVIEPTHPWGNKGEIDEFADMLKLEILDLSKNTMIQVLPSLCGASSLKTLILDDCVVLEQVGPQGLPPWLESFSFASREGNKAKISSISLAGCSSLVSFTLRGPLQNLRGLDLSGTMIKMLDLRDVQDSCIGQIILLRCEKLRTILWPEKGFPNLSMLHIDSLVCHVETEHQQAYATMMDFRFAQSLVLSNHKFCWNCNKTHINICNSSTPKETTPKKKTMSYYSAQKVVGSPLHMPIVTTTQPVVCYKDVNLAMISTIDLEGSSAPLHEPLDIHVEIGEGISYANVASEQALSAVSFMMNKAESLHVHDNFSITSVNPKHVILTGDKEITWGCLKWCHIERCHKLNTVFSTDYTTYVYFKTLEAFSAAELMMANCIWSRGRITPVWDHKTFAKLRSIHLYYCPRLTFVFPLSWPALDSHLPSLETLHIVYCSELRQIFPVEAVALEDQPRVGVLKFPKLKHIHLHDVPKLHQICEISRMVAPVLETISVRGCWSLKRIPAIGSGHRSQHSRPIVDCEKNWWEKLEWEGMNVGHYPSLFEPRHSMYYKKALPRCSVLR >ORGLA04G0017200.1 pep chromosome:AGI1.1:4:2165250:2165777:1 gene:ORGLA04G0017200 transcript:ORGLA04G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDPSSVTTGRPDPSPATAERPDLSPATVGRPDSSPATAGRLDLSPATAGRLDSSPVTAGRPDSSLATAGMTAASLGQGSDDDGGDSGGGRWIRT >ORGLA04G0017100.1 pep chromosome:AGI1.1:4:2158497:2164356:-1 gene:ORGLA04G0017100 transcript:ORGLA04G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERALMSLMRRRSLLQSGGRAPPAMAADAGGSPFFSTLQQAAAADPVQSPGILPGLKIRDSASQLIGRTPMVYLNKVTEGCGARIAAKLEFLQPSFSVKDRPAISMLEDAEKKGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELILTMPSYTSLERRVTMRAFGAKLVLTDPTKGMGGTVRKAAELYENHPSAFMLQQFENPANVKQGCPWXELRPDIYGVEPAEANVLNGGKPGPHLITGNGVGFKPEILDMDIMEKVLEVKGEDAVKMARELALKEGLLVGISSGANTVAALELAKKPENKGKLIVTVLPSLGERYLSSALFEELRAEAEAMQPVPVD >ORGLA04G0017000.1 pep chromosome:AGI1.1:4:2153691:2155826:1 gene:ORGLA04G0017000 transcript:ORGLA04G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OssigP3 [Source:UniProtKB/TrEMBL;Acc:I1PJ01] MAGPWPLLRSILRNCVAGTLVGVTVNDRYASVVTVRGTSMNPTLEPQQGDRALVSRLCLDARYGLSRGDVVVFRSPTEHRSLVVKRLIALPGDWIQVPAAQEIRQIPVGHCWVEGDNPDVSWDSRSYGPIPLGLMQGRVTHIVWPPNRIGPVERKMPEGRVMQQ >ORGLA04G0016900.1 pep chromosome:AGI1.1:4:2147486:2147797:-1 gene:ORGLA04G0016900 transcript:ORGLA04G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARNVLVATGLLAFAGAGLAFPFYFVKSKNKPIIDSSKPLPPQATFRGPYVNTGSRDIGPDYTDYPKK >ORGLA04G0016800.1 pep chromosome:AGI1.1:4:2142987:2144100:-1 gene:ORGLA04G0016800 transcript:ORGLA04G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YIF1b [Source:UniProtKB/TrEMBL;Acc:I1PIZ9] MYNNYGNSPGMQMPPIGQMPPAAGQMPSANPQPGQFGNPFYGASSGLIKTGLGAYGEKFLGSSSEFMQSNINRYFSNPQYYFHVNDQYVRNKLKVILFPFLHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILAGFTLGFMGKFTPEAINLQFSRGLIGWALQIVILKGLLYSMGGGEVPLLDLVAYGGYLFAGLSLAVVSRLLWAYSYFVMMPWMSLCMGVFLVRTMKRVLFTEMRSSERHSTRQHYFLLFLAIAQFPLFFWLGNIGA >ORGLA04G0016700.1 pep chromosome:AGI1.1:4:2138163:2141913:1 gene:ORGLA04G0016700 transcript:ORGLA04G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDKEAAGEGATPRGADWEVVTLTASAYAAAPGPGGAGDRPAAETKGLDASQEGDQTKGLDASQEGRGSENALFMSGHFVFPPSEHENLPIDAGFDDIQHEKDAQEASTSVEDEGFKNVGGNYGAGSERIQFYDEGRNLSANDVEMMMGDAAEHGSFHAQDEGHGLDDDNDFDDSHDKSDLPSESADSKSRDSGAPCKCWLKKHMSCLYHQAKETNALWSVVVAAALVGLVILGRWHKDKLHLKNLKWRSGSTVRG >ORGLA04G0016600.1 pep chromosome:AGI1.1:4:2129301:2130398:-1 gene:ORGLA04G0016600 transcript:ORGLA04G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQEFQFFQGVEQEMLLLSHGGGGAAAPAPAAASDDVLAYHVGVLRDKVQQLEPLVGMVVSPAAPHGHLRRDAAAMAASSACSVLQEITAAASAVAHRLEQASAASAAASFRRGVAFADDAHAGGEQMFAAGAHGGGEGGGDEADASLFHHQPTVFRAGATTTVAASSSGGGDDDGDGEVDVVELEASYLLARYTHYCQVCGKGFKRDANLRMHMRAHGDEYKTAAALASTAMKAALAAKRCWYSCPAEGCRWNRRHPRFQALKSVVCAKNHYRRSHCPKMYVCGRCGGKQFAVLSDLRTHEKHCGELRWLCSCGTFFSRKDKLMGHVALFAAGHAPVPVAPEASPSTTTTGTTTDRSRTGLID >ORGLA04G0016500.1 pep chromosome:AGI1.1:4:2121699:2122082:-1 gene:ORGLA04G0016500 transcript:ORGLA04G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GATPISNRFVGVIDIDPHEPSVLHLLEDYGSSTTSTPREVLAIDDVGTSARTNAEAGNQVTTPAQHIRAVNAILRETPYDPVLNDDLARWTERLRESVTNLSNAFEEAAAAAHPEQPPTGDANGENPE >ORGLA04G0016400.1 pep chromosome:AGI1.1:4:2110478:2112069:-1 gene:ORGLA04G0016400 transcript:ORGLA04G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHLILQLISMAGNGVGACRVQIIPATIFLQLLFRALGADGASSFSFTNSCQYPVWVGVLHGASSPALARSGFYLAPSGTYHLAAPSSGTWSGTFWARTGCAVDSSTGRFTCATADCGSGDVACNGRGPSPPVTLAEITLAAPGSSGQDFYDVSLVDGFNVPVRLAPSSSGGGGGDCHAVSCAGDVNAACPSDLRVVSGAGEVVACRSACDAYRSARYCCTGAYGSPAACGPTDYSQVFKAACPAAYSYAYDDASSTFTCFGASSYDVTFCPRS >ORGLA04G0016300.1 pep chromosome:AGI1.1:4:2098830:2109127:1 gene:ORGLA04G0016300 transcript:ORGLA04G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVMQDSAGIRHLRKLSAAGLTHVHLLPSFHFASVDDNKSNWKFVDEAQLAKLPPGSDEQQAAIVSIQQEDPYNWGYDPVLWGVPKGSYASNPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLDSSGPFGVSSVLDKIVPGYYLRRNVNGQIENSAAMNNTASEHFMVDRLIVDDLLNWAINYKVDGFRFDLMGHIMKSTMIRAKSAIRSLTRDVHGVDGSKIYLYGEGWDFGEVAQNKRGINASQINMSGTGIGSFNDRIRDSVNGGNPFGNPLQQGFSTGLFLEPNGYYQGNEADTRRELATYADHIQIGLAGNLKDYVLRTHTGEAKKGSDIYTFDGSPVGYTSSPVETINYVSAHDNETLFDIVSIKTPIGLSIDEKCRINHLASSMIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKLDFTYETNNWGVGLPPRDKNEENWHLIKPRLENPSFRPLKNHILSVFDNFVDILKIRYSSPLFRLSTASDIEQRVRFHNTGPSMVPGVIVMSIKDAQNEKCEMAQLDKNFSYVVTIFNVCPHEVSIEIHDLASLGLELHPIQVNSSDALVRQSAYEASKGRFTVSRRTTAVFVQPRC >ORGLA04G0016200.1 pep chromosome:AGI1.1:4:2091100:2092419:-1 gene:ORGLA04G0016200 transcript:ORGLA04G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPPMQSDAAAPAAAVDFSNLTTDLVIDIHGRLAFVDRLAVGAVFGAAGHAVKPEAPWLVLPGETPETSRLYSVADRRVAAARAPDPAMRGCCVVGSSGGWVVTADARARLHMANPVTGEQHELPAITTCPFFYVSNPTWPLFHVNILQDQLVRVRYGGGEKVPAARLPLCTLVADQMRGWVYRKVILSASPRPGAYAAMLLLDVDRHRGNPAFATSDDPAWRVAPSSDGVEDAIHHRGKFYSITYSGVVEEWDRRGGDGVFTSRAVSPKLPAITGGSGGHHHRRYLVAAPGSGELMVVTKSFKVVETGERYMDSERRVCFTVQVLDDGGGEGGRWRRAASIGQAAVFVGASSNSVCVSTKAHPELRPDCVYFAADELVKGPFRRDDDDGFHSYRGCDDKKRVVGVYSLKDGGRAEGLPELGDHATWPPPAWFTPLI >ORGLA04G0016100.1 pep chromosome:AGI1.1:4:2086791:2087723:-1 gene:ORGLA04G0016100 transcript:ORGLA04G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPNPTRSDATTTPATADDDAAVDYSNLPPDIVADIHARLTHLDRLTVAAVFGAAGHSMNPEAPWLAIPGGETTTTAPAPPTKLYSISDRRAAAARAGEAAMRGCFFLGGSGDGGWLVIADKRSRLRMVNPVTGAHRALPAITTCPFFYTTSWAGRGSHVNFTAGPFMRVRHGGGPPPRPPEQLIGTSLYTVTAGQVRQYVYRKVVLSAAARPGSYAAMLVLAPDLGAPMFATSDDPAWRVAPSRDGVEDAIHHRGRFYSITYTGVVEEWDRRGGGDGGFTSRTVATAPLKPDDLKNRKYIAAAPDGKS >ORGLA04G0016000.1 pep chromosome:AGI1.1:4:2075661:2077868:-1 gene:ORGLA04G0016000 transcript:ORGLA04G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGRWVMDIIWHTLLLGGDAVGNLWSSWSMEILLGVSFAAQLVLTVTAGFRWRSASAALRRVIWLFYVGADFVATTALGHLSVSGTAGKRRLVAFWAPFFLLHLGGPDSITAYELEDNQLSARYVLELVLRVAGAVYIVYKSTSGSRALISASWLMLFVGMAKYAEKTMALRRANLASVRSAVERERRRQRHRTEGGGRRPPKLVFAGDDDDGALVMKAHALFHICKNSMVDSSVETASNTYDAAAAADTKETLFQLEWPQLFRVMEMELSLMYDFLYTKAAVIYTWHGYAIRAVSPVFTAVSMVLVELSNAPGHHRRSDVVITRLLLVATFLLETASLLRAVGSSWTGFLLHRGLRHGWIRHEALCASRWLRFHHAMASIGRIANSQAHRKWCGKMGQLSVLQLITGGGRERRQDDRSWDKECARYSEKNTMVIPAEVKEVVFRRVRQQLLDLRARMNREAADMDLRKMAANLRTKRGQLALQGRNLLGELRWSLGDELQLGILTWHVATEIYLLLSGRTAAAAVARLVRTIRTLSDYMMYLLAVRPDMLPGLVTRKLFELTCDDLARVWSKHQAAAAAATGGSAPRKFFRLRRVSPRISDMRSWEEEELAKMLIDQWRRGRDDDTGGVGGGVALNKYLSRGVELAVKLLDLEREGKADMVQVILEVWVDMVFYASYRCSKEAHAKQLSQGGELTTVLWLVAEHVGLFLVGKTGRGVEEDNWRRRKDAKRGR >ORGLA04G0015900.1 pep chromosome:AGI1.1:4:2072188:2074491:1 gene:ORGLA04G0015900 transcript:ORGLA04G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGWAMDIIWHVLVLAWNTIGDVWNGWSMEILLGASFLMQLVLAFSAGFRWRGDSNKLRNVIWLFYVGGDYVATLALGHLSVSGTSGKRRLVAFWAPFFLLHLGGPDSITAYELEDNQLSARYVLELVLRVAGAVYIVYKSISGSWALVPAAWLMLLVGVAKYTEKTLALHGANLANVRRSVERQQHRHHIGGGSHHSPKLAFATDDNDDALVMKAHTLFNICKNSLVDSSVETESTSPSTDSAAAQTREALFDLRWKELFRVMEIELSLMYDFLYTKAAVIHTWHGYCIRALSPLAITVSLVLVELSNEGGRRHKRSDIVITRVLLVATFLLELASLLRALSSTWTGFLLHSKLRPGWIRHEVLCMRRWHRFHSAITSLGRPAKAQAHRQWLGKMGQLNMLQLVITQKELERPAPKGGQYWDKEYQRCSNETMIPEDVKKLVSELVSGQLRALRHLMKEVVAQEGADALSEGGNLLRMAVYLRKKRGQQALKKGKLFEELRWSLGDELQLGILTWHIATNMFLLLSGKAAKAKGECAGDEGPKVCAIMTLSNYMMYLLAVRPYMLPGLVTRKLIELTCEELAQIWSKHQAAPAAVDDLESSSSPSFCNVRVFMRSKFSQRHNRWRVSTRLSHGREEEELANMFKGRDNDAALNKYLSRGIDVAEKLLDLEDKRKGEEMDMVQVILEVWVEMLFYASYQCSKESHAKQLSQGGELTTIVWLMAEHAGLFLVNKTTKGVEEANWRTRKENKKKEAGTSDATVNKLS >ORGLA04G0015800.1 pep chromosome:AGI1.1:4:2066387:2067697:-1 gene:ORGLA04G0015800 transcript:ORGLA04G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRGPLCPTSRRSXWPKSTTTSPSSIASPSPPRSPPHRATRSSRSHRGWSSRARRRRPPGSSPSPTAAPPPCAPRTPRCATMSSSALQAAGSRPPTSVGGCASPTLSPASRATSRPSPPSPSSRPTREVATSSLSWNPSSSSGTEANSSRGRSGRTRNPVSWNPSFTLTDGDMRRWFYRKVVLSASPRPGDYAAMLLLGNYFGTPAFATAEDGRWRVAPSRDGVEDAIHHKGKFLSVTYTGTVEAWERDGVHGEFTSKVVTPRMANGGDHRHCSKYLAAAPDGRLMIVLKNAKRVKDLFELQVFDEKTQRWEAAADIGDLTILVGINSSLCVSTTKHPELKAGCVYYTDDKIGKASLRRGARCSSWHHRGDESHNDDSDRNVAMYSLKDGTAESIPELGEHLNWPPPAWFIPSFPXQVCWLTFPRPANAGKYFPCMHV >ORGLA04G0015700.1 pep chromosome:AGI1.1:4:2061689:2062117:1 gene:ORGLA04G0015700 transcript:ORGLA04G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPLTSPCHVGSADAAPSRAGLSPDPSSRRIHPTMAGSARVGRRSAAGCAASSPPTRRQPSPPRLQPCRTGSADVAPAAARQWRWRSTSRRQPCRARSAQCRHHLPPRPLAALISEEIGSGYVVGYGVDVQHWYIYTLKVE >ORGLA04G0015600.1 pep chromosome:AGI1.1:4:2057488:2058708:-1 gene:ORGLA04G0015600 transcript:ORGLA04G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPLPPLPAARTGFSDLQPELMGEIHGRLSFVDRLAFAAASSATSLDAFKPEPPWLVIPGDTPGTATVFSLRVAPSRDGVEDAIHHGGKFLSVTYTGIVEAWERDGVSGEFTSNVVTTRMADGGDDHRKYLAAAPDGRLMIVLKNTKRMKQQNHFKVQVFDEMTQRWEAAEDIGELAILVGVNSSLCVSTAKHPEINAGCVYYTNDDMWEASMRRDFWCSNRKPNVGAYSLKDGKAMSIPGLGEHLSWPPPAWFTPSFPR >ORGLA04G0015500.1 pep chromosome:AGI1.1:4:2047793:2050224:1 gene:ORGLA04G0015500 transcript:ORGLA04G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGWVMDIIWNTLLLGRDAIGDIWSNWSVEILLGLSFVAQLVLTFTAGFRWRGAGTRMRHVILFSYVSAEYVATTALGHLSLSSTAGNRLLVAFWAPFFLLHLGGPDNITAYQLEDNQLSARYMFELALRVIGVVYIVYESTHGSWFLVTASWLMLFVGVAKYAEKTMALRRANLANVRRSVERERRLQRRRSRSRTSQPLPKANLSFAGDDDEGGLLMKAHTLFPICKNSMVDSSVETASNTDDAAIVHAKETLFREENYKNVFRVMEMELSLMYDFLYTKAAVIHTLQGYTIRIVSPVFIAVSLVLVELSNVAGHHRQSDVVITRILLVATFLLETASLLKGFASSWTAICLDRELRPVWGWGWIRHEFLCRSRWTWLRRQVASIGRLAGAKDHRRWCGKMGQLSVLQLIITGGASEREDRSWDKECERYSKEKTIVVPQDVKEMFFRRLLGDLGQLIALRKRMKADTSTETELRKMVANMRTKRGQLTLQKYKLRPQLRWSLGDELQLGILTWHIATDIYLSRSVKAVESDPVVLERWLTGIWTLSNYMMYLLAVRPDMLPGLVTRKLFELTCENLATFWSEHQTSTSVAAGGGDLESSSSSCPTPSKFYRLRDHLWRVSQKAIEQQNKLADMLIKQWGRKDESGVELNKYLSRGIELAKKLLHLEDSRDDIDMVQVILEVWVEMLFYAGYRCSKESHAKQLSQGGELTTIVWLMAEHVGLFLVNKTSKGAEEDYWNTRKRRXSRYTARFAR >ORGLA04G0015400.1 pep chromosome:AGI1.1:4:2006537:2007760:-1 gene:ORGLA04G0015400 transcript:ORGLA04G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPPSPSPAVARTGFSELQPDLVGEIHGRLSFLDRLAFVAVSSAASRDALKPEPPWLVLPGETPETATVFSLADRRTATVRASDPAMRGHVIIGSSGSWIVTADERGRMRLANPVTGEQGELPAITTIPFVNATSPGGHHFIMDMEPFVHIRYRGDHESWPHPYGTFTHTAEDIRLWFYRKVVLSASPRPGDYAAMLLLDSYFGAPAFATAGDGRWRVAPSRDGVEDAIHHGGKFLSVTYTGTVEAWERRGGDDGEFTSEVVTTPISCPPQRRKYLAAAPDGRLMIVLKNTNGGGVKKGYFEVQVFDEMTQRWEAAEDIGELAILVGVNSSVCVSTAKHPELKGGCVYYTDDEIGKAWLRREYGYSNSKPSVGVYSLKDGNVMSIPGLGEHLSWPPPAWFTPSFR >ORGLA04G0015300.1 pep chromosome:AGI1.1:4:1993328:1994368:1 gene:ORGLA04G0015300 transcript:ORGLA04G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZOS4 [Source:UniProtKB/TrEMBL;Acc:I1PIY4] MGVQEEAALAAAVVKGKRSKRQRAHAAAAVVVPIAASATAEEEESMESSLSLSGGAAAEGSSTTSPLLTTTTTARGDEAVSGCVTEEEEDMALCLMLLASGGHGERALDAEAAVAKEAKFRSRRPADGAGAGEFVYECKTCSKCFPSFQALGGHRTSHKKPRLVAPPATTEPAADDKVKPAIPETAAAAAAEEKPPKPSPPRPPASRPIATDPTVLAIPVIPKQEVLDANSAAAIASVSKQPRVHECSICGAEFASGQALGGHMRRHRPLIPASASSAVVSVLDAVDAPRQKEKSLLELDLNMPAPCDDAAAETTTSSAATSPAFAFAVSDRSPLLVPAALVGCHY >ORGLA04G0015200.1 pep chromosome:AGI1.1:4:1976356:1987273:1 gene:ORGLA04G0015200 transcript:ORGLA04G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILYFYSSVFIYRNTGCEFDCQRSSDQMCCEHSVAQFSSVQQLNPEENLALYCKPLELYNFIRHRAIENPPYLQRCLLYKIRAKQKKRIQITISLPGSNNKELQAKNIFPLYVLFARPTSNVPIEGHSPIYRFSQARLLTSFNDSGNNDRAEATFVIPDLETLIATQAYGLTFILVSRGTKKNKGRTGQNLCENDCSEKHVDYSSLRKLAGKCFWGKIPITSLNSSLETCADLILGHIVESPISICMSPGYLEPTFLEHDSCLSFCSRKADAMVPYQLQVKVSAAEAGAKDILKSPYNSFSYSDVPPSLLLRIVRLRVGNVLFNYKNTQMSEVTEDFTCPFCLVRCGNFKGLECHMTSSHDLFHYEFWISEDYQAVNVALKKDNMRTEFVAAEVDNSHRIFYYRSRFKKSRRTEILPVARADAHIMESGSPEETQAESEDDVQEENENALIDDSKKLHGSNHSQSEFLAFGKSRKLSANRADPRNRLLLQKRQFIHSHKAQPMTFEEVLSDNDSEDEVDDDIADLEDRRMLDDFVDVTKDEKRIMHMWNSFIRKQSILADSHVPWACEAFSRHHGEELLENSALLWGWRMFMIKLWNHSLLSARTMDTCNRILDDLKNERSDPKKQ >ORGLA04G0015100.1 pep chromosome:AGI1.1:4:1965694:1966008:1 gene:ORGLA04G0015100 transcript:ORGLA04G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKLLLGRVLMQSVVANANANPLAFNLFLPSPDRLSIRARQDGVAIDGDKMQLPSRKTEIGTGVHKPRPXSVLGWAGLSGLQSVAILFGPYYMGRTRIAVSPV >ORGLA04G0015000.1 pep chromosome:AGI1.1:4:1949488:1951866:1 gene:ORGLA04G0015000 transcript:ORGLA04G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRPSAHRWKQAHLKQQQVRARLLPNRQQXVIRQHHLAQLVPRNMVQQYPKVHNCVGCXXRXANNXPHLXTLRTRSLKRWHSCHLKQGGQVNDLVXPNXEXTKNQQQQXFSAVRQWKPCHTRCLEPIQCVVAELXSPTDVFLPEAKIGRNKITGQKYSFTSKKNSEDPALGLYCMELDPSGSRQYYDKLCNSSTVYFSTGEWNGRYFNSVPEMSSNVLFDSQFIDNDEEEYFTYTPFDKTVITICLIDVSGLTKQLLWVEELQDWETVFIKPKASCDVSSVCGPYTICNDNALTLCNCMKGFSVKSPRDWELDDRREGCTRNIPLGCSSNKSTTGVTDKFFPIPSVRLPYDAQSISMETVASAHECMQFLSLPPAIDDLDQKECATKSFSEKLGGGGFGFVFKGILSDSTTIAVKMLDGARQGERQFRAEQWYNSELEYKVADFGMAKLLGRDFSRVLTTMRGTIGYLAPEWISGVAITQKVDVYSYGMVLLEIISGRRNTLNECKSSGDQTVYFPVQAARNLLKGDVRSLLDHXLKGDINMEEVERACKVACWCIQDEDFNRPTMGDVVQVLEGLVEPDMPQVPRLLESILGDVQEFKFDQISGISTE >ORGLA04G0014900.1 pep chromosome:AGI1.1:4:1942049:1942450:1 gene:ORGLA04G0014900 transcript:ORGLA04G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KCRENRQQLISSGGKVDNLVFRLMKKLLLGDHNSTTTMFVGLKQTNELAKILLKMDVPKEFDPSVPRLGYARGIAEIWLKWNSDEELKDLDPVDMLLRFWLKFLIYAANRCNRECHAKKLSSGGEFTTVVWLMV >ORGLA04G0014800.1 pep chromosome:AGI1.1:4:1912055:1914103:-1 gene:ORGLA04G0014800 transcript:ORGLA04G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPLDLWNAWASQILVLHSLTLQVVLLVFAGIRRREAPAVLKFLLWLSYLLADSTAIYALGHLSIGSAAREHKLVAFWAPFLLLHLGGPDNITAYALQDNELWLRHLQILVVQVLGAGYVLYKHIIIRSERTVLLLATILMFIVGLVKYRVTWLSTPLVQRRAHSLFHICKRGIVDSVIDVDADKDDTGTTKVIRKLRKEPILWKVMELELSLMYDILYTKAAVIHTSIGYTIRTLSPIAIATSFLLFHFSGSKDNHRGVDIIVTYVLLGGALVMETTSLLSALGSSWALDFLCAMRWSWLRHAALCTGRWHRLRRMVLSLRRLITTMTAGYLNRSRGWSGTIGQLNLLSFRAAQINATDRCLGKLAMMLGIDEWWDSTCYSWIEEIPMEVKEGAVDMVSRNDLNTMGLLRHRWGEVALDKKHPGLLEELQGWRHGVDFHESIITWHIATDLILAERENKQPMDEMEKTGGSDRAQRVRSIRALSNYMMFLLVTRPDMLPGLPQNWLYQRTCDNLDEIFREHRGHLMSSKGKVNSRIFTALSALLRGHNKIRPFGLKQTNEFAKILLMALKHMSGKFDPLVPRLTFAHQISQIVLNWKEAEPEDVLFDLWTDFLIYAANRCNRESHAKKLNSGGEFMTLVWLMV >ORGLA04G0014700.1 pep chromosome:AGI1.1:4:1909154:1909576:-1 gene:ORGLA04G0014700 transcript:ORGLA04G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAIIGRIYAGQPSVVEKQQRTTLSRLGISSARAHARADACNDDDAWCLRRWIERRGVNLAAEGARRRCRLDWGGRRCGGCRRAGATGWLRXWGGGAAWRRKGWECRGGRQRWWGRRKEGENGSGMRAVEGVAAAWIGGL >ORGLA04G0014600.1 pep chromosome:AGI1.1:4:1898812:1901867:-1 gene:ORGLA04G0014600 transcript:ORGLA04G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKSLSNACKVSFSPDGPISDEALERVRALLDEIRPIDVGLDNEAQIARNWNNSTRQPNGRRGRNGANQFTSPIKYLHIHESESFSMGIFCMPPSSVIPLHNHPGMTVLSKLLYGTLHAESYDWIDVTDPTDQLQELSVRPARLVRDREMSAPETTILYPNRGGNIHTFRAITPCALFDVLSPPYSAEKGRDCSYFRKSSVREPPPVVLPGEINSAEVIWLEELEDHQPPEGFVVARGLYKGPVIRR >ORGLA04G0014500.1 pep chromosome:AGI1.1:4:1888611:1888931:-1 gene:ORGLA04G0014500 transcript:ORGLA04G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEELAVIRKKKLAGMGKELADVGKDELASVGEDEIADDCQMRASKRSEGDELTTRGFGETEWHGMKQFTSSVAYSESSANWMANPESNAYSVAYSKNSRYSSKI >ORGLA04G0014400.1 pep chromosome:AGI1.1:4:1879001:1884044:-1 gene:ORGLA04G0014400 transcript:ORGLA04G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute 4b [Source:UniProtKB/TrEMBL;Acc:I1PIX5] MDAHDGEADELPPPPPVPANVVPIKVDDVESEVPANKPAKPKRFPMARPGLGRKGQPIQLLANHYKVSVKSSEEYFFHYNVILKYEDDRPVDGKGVGRKVIDKLQQTYRSELSSKDFAYDGEKSLFTIGALPQVTNEFTVVLEDVSTGKTAANGSPGGNDSPGGSDRKRVRRPYQTKTFKVELCFAAKIPMNAIAQAIKGQESENSQEALRVLDIILRQHSAKQGCLLVRQSFFHNNPNNFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPDRIDWQKAKRALKNLRIRTTPVNSEFKIIGLSDRNCNEQMFSLRQRNGNNGDVDEVEVTVYDYFVKNKGIELRYSGNLPCINVGKPKRPTYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQKPQERMSVLNDALRRSNYDSDPMLRASGISIAQNFTQVEGRVLQPPKLKAGNGEDIFPRNGRWNFNNKKLIQTCSVDKWAVVNFSARCDVRNLIRDLIRNASAKGIQMAEPFDVFEESPSLRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPQRVNDQYLLNLLLKINAKLGGINSLLQIEASPSIPLVSKTPTIILGMDVSHGQPGQSDRPSIAAVVSSRQWPLISKYRASVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDHVIVFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTVIVAQKNHHTKFFQSGSPDNVPPGTVVDKQVCHPRNYDFYMCAHAGMIGTTRPTHYHVLHDEIGFSPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVGTFLKFEDMSDASSSQGGHTSVGSVPVPELPRLHEKVRSSMFFC >ORGLA04G0014300.1 pep chromosome:AGI1.1:4:1834414:1836759:-1 gene:ORGLA04G0014300 transcript:ORGLA04G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWMSTLMFIRGFIFSLRGVVTLSFVAHVVLVLFAGVRRRQATGGATFLLWVANQGARWAPTAALGMITIGSTVQERQQATLWAAFMLLHAAMPDNITAYSLEDSVLSLRQRVDVIVQVFGPVSPAYILYLNTLAMPGDSMLWISSFVCLMAIAKYLEGAYYALQRGNLENMRSSRKEEKKKEKVKEMISRRPRRRSSSSSLQNASRGGCRKLDDEQILLIAHDMLYITKNAFMDYLDKKNDDDDDEQEALSGTWDETLYKVVSMELSLMYDILYTKKVMVQTWGGYTIRFASPFLGATAFLLFWFHSKKGQATADVGVTYVLMGGAVILDIKWLLRAVMSTWTYSYLNDRPRSWLHHALLCSGKWRMIRRFILSLNLFRFLANNKNPTKYRMWSGTIGQYNLLSECTREEDQKTSNFWSSQWKKNAPEETWMEYEYHNSRGIAISRDFRNKLFDRVWKNMELAFPERIPVEHPLPPPYPMPLMGMAPPPPPPPQPIITGFDQELNDALDFTPDLQETILVLHIATDIFLFHTESGPNQDQSEWGKAIKALSDYMMFLVAVRPTMLPGLALSSRYEALLDALGEQWEEIKNSSSFNNSMMREKCLAKSLLDKEMKKNGRTPMRTFKWYQGNKTEILSPGAYLSVLYDSSYILSYGARLADLLLKWKPGSKIEIGDKVLEEKLKRQFPDLMKSGEATETELEYQMPKEVRDIIFREWVRLLINVSIRCTRNSHAKQLARGGELTTVVWILAEHARILRVKKTTKRKPADSYDGLGIHVSRY >ORGLA04G0014200.1 pep chromosome:AGI1.1:4:1829194:1831458:1 gene:ORGLA04G0014200 transcript:ORGLA04G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWMVRTVFLLNSWVIRALVVFSFAAHVTIVFLAGVRRRTAIGLPITILWAANQLGRWAATYALSKLALGSTPQELQLVTLWGAFLLLHAAGLDNITAYSLEDNVLSTRQKVEMILQVSGAVFAMYKNIVIRSGSGTMVWVSSFMFIMGIFKYWERAKAMQLANLENLRSSIKKKKETRRRRSLRNVRRPSSSKHDNDEEALLVAHGLLDITKGAFVDSSVDEHQIPEYAARRREIFPKSGWGMMYKVVDMELSLMYDILYTKATMVHTWYGYAIRAASPFATSMAFMLFWFESKQGQRKTDVLITYVLLGGTVLLDIRWLLRAVASTWTYSFLNDRPHLWVYHAFLCSGKWRLLRRLIVSLDPSLILAKEPSSYRKWSGKIGQYNLLHECTGDKDERTRDYLSSVVEKVASEDTWMEYEYHNLRGIHISHDFKKKLLDCIWDYMYLAYPGEDVEEKKEEEKKKKEAEKKPEGPPPPMMPVEHHNVENIRKLEEALDFLPEFQESILIMHIATDVVFMYTEFEQNAASSKSKDNMEVIKALSDYMMFLVAVRPTMLPGLKLRSLYEATEDALAKIWSKKESSRCSSRTRQKCLSDILRCMENKRREKRPDKSDNWRLGYRTRNWQPDYTTDLYSVSIVLSDGIKLADHLLQWLHRDYWVKFPKSEYSYEAKFAQMFPKLRKILNRRPMYDHPDKWSQLLEHIFLEWVRLLINASVKCTRDSHAKQLSRGGELTTVVWILVEHAGVFRVDRQKR >ORGLA04G0014100.1 pep chromosome:AGI1.1:4:1825350:1826684:-1 gene:ORGLA04G0014100 transcript:ORGLA04G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKQVKLKVRGASETEVKNVLRQEFKESIDYRNFSKNPESSSLKVEVRGTMDVGKLYERLKKMASSVKIESVIPDDVKEEIERYKKDLERMKRQKEDLELKLREKREEKKVLQADKTAAEEEQKRLKRDKENLNLKVDTKRKENRRLEEENKKLQRKIKDLEQKHKGGTSIEYHGVEVHQKMNHMHQEVHMHEVVRKLKISDNDHGNANGRGHGQLLQQLGHGRN >ORGLA04G0014000.1 pep chromosome:AGI1.1:4:1823019:1823515:-1 gene:ORGLA04G0014000 transcript:ORGLA04G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEG [Source:UniProtKB/TrEMBL;Acc:I1PIX1] MGKQMPNCSRGLLLLLLALLGCFSIPGHVYGNNLDYTRSATMNEGRKNVNINGLVPLPCNIFSPSKVCCRNVCYPSLQACEANCKPIV >ORGLA04G0013900.1 pep chromosome:AGI1.1:4:1793520:1794013:-1 gene:ORGLA04G0013900 transcript:ORGLA04G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEG [Source:UniProtKB/TrEMBL;Acc:I1PIX0] MGKQMRNCSRGLLLLLLALLGYFSIPGHVYGKNLDYTRSASMNEGRNNVNTNGLVPVPCNIFSPSKVCCRNVCYPSLQACEANCKPIV >ORGLA04G0013800.1 pep chromosome:AGI1.1:4:1773369:1773590:1 gene:ORGLA04G0013800 transcript:ORGLA04G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMWSRIWKESKFGTIGYVKFLSCTRGFSKVFRTLSMSLVCGFRLPTSCINRGGA >ORGLA04G0013700.1 pep chromosome:AGI1.1:4:1730122:1733059:-1 gene:ORGLA04G0013700 transcript:ORGLA04G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGVGSLIKYNNNVAVQFVNAWEIEFIVVSSFVLQVTALFLAGYRRRSNSAVVKSIVWTSYLLADSAATYGLGHLSVNSRPPERQQLVAFWAPFLLLHLGGPDSITAYSLEDNQLWKRILQKDFFTQVLGAAYVLYKTFPAGSGLLLPAAWVIFAVGVAKYAERIWALYNANMSDIRSALENGDDEKQEEPPQVPDINRNGRTPEYLLLYAHSQFEVCKSALVDSSSANAKNTSYLRRTIFSDEWEWEKRWTVFQMEVSLLYDIMYTKAGVIHTWYGYCLRVFSPLATTAALLLFHLSRSTTSSVGATSIAAMNSPHVLVDVGITYALLVGAILLDMVSLLSAAGSGWAYAYLVLGMPRRRHGWLYRAAVHSGMWRRLHRWLEYLRELVNAHDRRRWSGAIGQYNVLQFCTATSEKKNYTTTTEEIPKGVMELVFEELTRVILRTNMEGNSGTGNKDMSKEGIGLAKKDLTNNPSDHMEGIGSDLGDHSSHHVKWIGTSNKDLTNKSSDHVEGNESDLNDNSSGHGEGIGSGNKHLSNNSSNHMEGIGFDLSDNSSDHEEGIGSGEKDMMNKSLDRVEGIGSDLRDNFSSDETFGSGRKDTSNKSLDEHVKRIGSDRRDNSSGGETFASYGERMSIDTAEITRKLRRGVHRQTPEVSKPSAELTKLEGAATDSVGLIKAERGQLALRNLMAKKEGLGDLKRYLRDEIQEGILIWHIATDVFLRTSESDDELAAMKQQQDTDHQTHQRVEAIKLLSNYMIFLMVERPSMVPGLALGKLYRQTCRALSKELAPGVNGDANKLAEILARKKRDNPVLQQDGKLALRGNALRYATKLALMLAALNEKFAHESTTLNGKPTSIPEKKRDDDLVQFLFEMWVQMLLYVSHRCSRESHAKRLGEGGELTTVVWLMAEQAGKFYIDKELSVAEEAEQAEEKDDD >ORGLA04G0013600.1 pep chromosome:AGI1.1:4:1721171:1721915:-1 gene:ORGLA04G0013600 transcript:ORGLA04G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKLTKLKVRGANDVEVKSVVRHEFKESVDQENFKVKVDGSSLKVDVPGTVDVGKLYERLKKMSSSVKIESVVPDDLMAKMDRYKKDLQNMKKQKEAVESKQIKQE >ORGLA04G0013500.1 pep chromosome:AGI1.1:4:1717079:1717525:-1 gene:ORGLA04G0013500 transcript:ORGLA04G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGAVGGRAAFQWWRRTRRRAVAAEDVDDGGCGGLEGRGGSRTDVKLAVPAPARCSTRQQGKGGCGAVGGGRVTRVGGGGGDAARFLGNDDGSGGRWRPQAIRGSGGVGKSGAQPRERSGAGGRAGGERRAAPRRRIGFPLFFFGGG >ORGLA04G0013400.1 pep chromosome:AGI1.1:4:1710609:1714045:1 gene:ORGLA04G0013400 transcript:ORGLA04G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLQLQVLLLACLLLDAPHLSSAAATVPTPPFSFNFDFSNMSTYHSDDLRFEGNATVHGSFVDLTCNAYGLDISQCTAGRMSYSHPVPFYDETTKEVASFSTQFTFKIIVPKFNNDKAKGDGMAFFLARYPSRMPPRSGGGNLGLITNDNYSSIGPDQFVSVEFDTYNNTWEQPKQTGDHMGIYINTVTYSTNTTNVSSFSPNESMMKASITFDSKTSMLVASLQYTGNYSNYAPVNVSAKLPDPTTLLPSEVAVGFSAGTGAAFELHQIHSWSFNSTIAAPVRKDHKKAIAVGVSIGGGLILMLLVWSILSWWKWRKTNREFDKGTRGACRFNYHHLAAATNHFSMDNRIGAGAFGEVHKGFLPQLGREVAVKKILRESRAGNKDFFDEVQTISRAKQKNLVELLGWGMKGSSIIDFMCGSRQKNTDLFLVYEFVDNGNLHMHLYEKEALLSWRIRYKIVKGIISALVYLHHDRDPYILHRDIKPSNILLDKKFNARLADFGLSRTADNGTIQSSMVVGTANYLDPECMKTGKFNRSSDVYSFGLVLLEIACKKDENSYAQVWERYIDKTLMQVADDRLQGAFDKRQMERVIVLGLWCCQPNIEMRPTMEEAMDFLETDGPLPKLAKPENSSSAPSN >ORGLA04G0013300.1 pep chromosome:AGI1.1:4:1667222:1670169:-1 gene:ORGLA04G0013300 transcript:ORGLA04G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding THNIARLLVELIIGGALVFALVLWFLLSCWEQKRMRNVFDKGTGGARRFQYRDLAAATDHFSEDRKLGQGAFGAVYSGHLKLLDHQVAVKKIVRESSEGHKDFFAEVRTISESKHKNLVKFFGWCSRGHSWNILRFMCSCFWSKKNSELFLVYELMTNGNLNDYLYKSQSSEVLSWQTRYKIAKDIGSGLLYLHHECDPHILHRDIKPGNVLLDENFNAKLVDFGLSRMANQDNATLLTTAIGSEGCLDPQCLKHGKVPFKRSSDVYSFGIALLEIACARRHREQIWDLYRRGGNIVEAADTRLTMGGGLDMREIERVIVLGLWCSALQTQHRPSMRQAMDVLERDGPLPDLNSLIVVNTTLASTTEEDASSAPAAGNRYDCDEAPLLIPG >ORGLA04G0013200.1 pep chromosome:AGI1.1:4:1636495:1639293:1 gene:ORGLA04G0013200 transcript:ORGLA04G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit F [Source:UniProtKB/TrEMBL;Acc:I1PIW3] MAGRPSIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTTREDIAIVLISQYVANMIRFLVDSYNRPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >ORGLA04G0013100.1 pep chromosome:AGI1.1:4:1626330:1627073:-1 gene:ORGLA04G0013100 transcript:ORGLA04G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHPLLHHLQQPTLAYTWDPQLAPFDHAAGAGDQVAFSTASELRHALLRALAELDAARAAHQAELRRMESEAARLAALVAQPAAPPPPTPQVSSLPAAHVVAVPAVADELAALDAADEAELEMALARRLPEKGRLVEAVVSAGPLLQTLLLAGPLPRWRHPPPPAPADIPPFNPTKAADADNNSSSSASATSSSPESNCSGGGGHAPLVSPALPYHMIPFCM >ORGLA04G0013000.1 pep chromosome:AGI1.1:4:1617910:1619264:1 gene:ORGLA04G0013000 transcript:ORGLA04G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRTTHDVAMHGGTKLSGSLAAAQWRLVRQGYGGDEVKKWLNVGTEHRLWKAIADVHAFAMDIVRAQRQSSSVQDRDDLLSRFVASDEHNDEVLRDIVLSFLIAGRETTSSGLSWFFWLLSSQPDVMACITDEVRALIDTARIIAKHASISFSFLLNKALKMYHWCSAALHIGDTSRCV >ORGLA04G0012900.1 pep chromosome:AGI1.1:4:1605086:1610124:1 gene:ORGLA04G0012900 transcript:ORGLA04G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:I1PIW0] MAEAAARRWAAALVLLVLLTGTVELISGGGGSGGRRLLAGLRAGSTAAASGTRRWLRDSSWPATAAAAAAASRGDDGDGDEASSAAMTVPGAVDDPEEVVSQVHMSIRNSTARRKLGYLSCGTGNPIDDCWRCDPDWHKNRQRLADCGIGFGRNAIGGRDGKIYVVTDPSDDDAVNPKKGTLRYAVIRDEPLWIVFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQYVTNVIIHGLHIHDCRPTGNAMVRSSPSHYGWRTMADGDAVSIFGASHIWVDHCSLSNCADGLIDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVKDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTIWKGWNWRSEGDLLLNGAFFTPSGAGASASYSRASSLGAKSSSMKLLPEHRGLQPFLFSPLLPLSLSLFLQLLTMVIYDPLQEDSPFPQPPPAPNCEHCQWQQARSVAD >ORGLA04G0012800.1 pep chromosome:AGI1.1:4:1571047:1572984:1 gene:ORGLA04G0012800 transcript:ORGLA04G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAESGGIVFPNSPEKTNEQIVNKKNEPPVAPGTTVQDDTHKSSNQYWNARFNRLQTYLESCDRSTQEGYMRMLRSLSAADRSMHAIDLEKRAIHLLVEEGKELQRMKALNVLGKVSPNVPSKQAPL >ORGLA04G0012700.1 pep chromosome:AGI1.1:4:1556728:1559490:-1 gene:ORGLA04G0012700 transcript:ORGLA04G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain [Source:UniProtKB/TrEMBL;Acc:I1PIV8] MASSPFAAAAAAVQGGLALRPVAPPRLSSSDVARNGGVAAWRAPRRRMVAAGAAVGDLRPAIDEYPEGILSGEWPENFSLLSYADLRAYLESQIVTTDQMSPTAKLGEVMSRPVQVAMADQRLADIDAFFGAQSGLPVLDEEGRCIGVVSKKDKAKASNGLDSTVGEVMSSPAITLTPEKTVLEAAALMLKEKVHRIPVVNEQQQVIGIVTRTDVFKALEASKV >ORGLA04G0012600.1 pep chromosome:AGI1.1:4:1552386:1552943:1 gene:ORGLA04G0012600 transcript:ORGLA04G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding AWITNPMKKNVSSTITCLIKAHYPGTYRPLDKHGKQVPEDEAVVIAHYHNFPAHTRITILKEFLLRFKFADGREEDCARLFYRKAVERFSQALSHEKSEAKRSLEKHIENMRATELQQDGDAPSHDDFDVDDPQLWKAFLIGLSKSGGICCVTCGLMKM >ORGLA04G0012500.1 pep chromosome:AGI1.1:4:1550411:1551535:1 gene:ORGLA04G0012500 transcript:ORGLA04G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRPLESVLVAPGVKGKKVLAFKRDGLKKNEAVTGLIHDIVASSSARSALHVLDLAKVVDLYAGWRRALPGVRPFYAVKCNPDTALLGALAALSAGFDCASRAEIEAVLALGVPPAAIVYANPCKPGAHVAFAAEAGVNVTTYDSEEEVAKVKRCHPSCELLLRIKAPDCGGVKPGRYFAETAFTLAARVIGKRRRGDVREYWIDDGVYGSLNCILLDSYVPRPRPLAGARPGEETHASTVFGPTCDSINTVVTGYQLPEMSVDDWLVFDDMGAYTTAAGSSFNGFATSAINIYLAYSS >ORGLA04G0012400.1 pep chromosome:AGI1.1:4:1529441:1538423:-1 gene:ORGLA04G0012400 transcript:ORGLA04G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:I1PIV5] IHLLKLHLSLVYHRGEKQFQASRTSRGHREATPXTHYRLLKLLWLXQLSKLLQVLLRSEMACVLLKLRSWNQKRTLWHXLMHTFSLHSNHHCFLETEMLLSFQPSCHQGGPSLLSTTDRQMRLVFGLXNSLKCMLIVILGVDITEEASSYHQRLXFPMFNLRWMPWNLLSVKLLXKATPLSLKPXEKEELMTWILSQXIHGVQDITVMLMLPVEESPNLWCSVELKVITLSRMAMHDLLKGFILSLICRTIRXXSSKIGSLSLFPHQIIXGTTLQGKHEVVLIEVMXSLXLSINLKAQVSMSTVTLWNGRRLTGCLXCLLFXFNYWRSTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMXLLGFHKILXCTFSILYGVETIENAVCLHEEDHGILWKHRDWRTGLAEVRRSRRLTVSFVCTIANYEYGFYWHFYQDGKIEAEVKLTGILSVGALMPEEQRKYGTTIAPSLYAPVHQHFFVTRMDMAVDCKPNEAYNQVVEVNVNAECAGPNNMHNNAFYAEEKLLKSELQAMRDCHPSSARHWIVRNTRTVNRTGQPTGYKLIPGSNCLPLPLPEAKFLRRAGFLKHNLWVTSYKNDEMYPGGEFPNQNPRINEGLSTWVKQDRSLEETNIVLWYVFGVTHVPRLEDWPVMPVEHIGFMLKIVFLSYIAPDNVTALNIGTHIVFVLLQPDGFFDCSPAIDVPLGSEVHTKKAERPRRF >ORGLA04G0012300.1 pep chromosome:AGI1.1:4:1514623:1518856:-1 gene:ORGLA04G0012300 transcript:ORGLA04G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARSVASPSAAQVGGGSSARDVEQQKSAAAVGASSPLVHIGDDTLQSWGSKEFKVQVDLTEQDLSCGCKLFEYLGIICSHIIRVMVQYGFTEIPKKYILKRWTKDARDSIPKHLEESYLKDKEAASSRTYRNTLLHKSALDMVRLGGTSSETYEKTVEVLTKLIGELEVMCTSQVVNNKEIHCGDRTIGKKPTGVQLDDSVDSSDSEHGMPDDFCVSDEDGIGQDVSAGEDSVDVDMTNVNEEDILPPEVRRSRGRPRSTRLMSKGETSSKAKKKKASESTSKDESKNHAKGKKESTKQIRYCKQCGGHGHYKSTCGQKSSYERKKVCGVVVVLGSIVLEQNI >ORGLA04G0012200.1 pep chromosome:AGI1.1:4:1510924:1513602:1 gene:ORGLA04G0012200 transcript:ORGLA04G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVKAYMYEIFEKDSFDGLTIVTIQRILEKKFNRTMTVHEQIVFKTAFIIFVVTKFLAPQSVNNHISIRYMKALVDVENIHKYNWVEFVLHDIKDAAAALQHKIRHRKSIGYINGCIILPQLFYLDNLDFGTDTPEQENIPRIGVYNDSMIAKFIEKDVILKNRNPFPAYGKMKLRNKHDEKYNIGHHTGATEAIHVDRTYDARSDIEPPSFNLGITQDIEEVNMVACTPGHDISNVAEDSDKEQELNFLARTPDQPISKSVDASDKSGEGYQQTKLSSFSPYSMLKETSGAXGVRMYKAPTXIQEENYWRPSDILFDRPKRSIKPSHLVKSPFLSKQHSFVRHDQKALDDLCTYAISIADAEALKSSNVSHLLEMFLSFTTNCKDITFNTKIWVHISQPVPMSLSLHDIQQAIRLDTQMQEETFNVAVQVLAADEIQRFGGTDFVGWRHFLNQDFAMFATAGDDQWNPEDHLPSFKDDSLIPYDVPSCHLIFIPLLQPLHYSLYAFDMEKKNMHSXPTERRLEKIRGYSRKTLKNKISHFICSEGVHAACISRLGRGYTKLGFXVPFGHPSLNNSSSSCSQF >ORGLA04G0012100.1 pep chromosome:AGI1.1:4:1508281:1508583:-1 gene:ORGLA04G0012100 transcript:ORGLA04G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHAQALTHAASRRLNVEKNKSYEAQIAGLNQQIAALQMKIQALDKYRQNRNRDYCELEKKFKALEIRHTDQDKKYKAMEAKYTNLDKKYVTLLEKHGDCC >ORGLA04G0012000.1 pep chromosome:AGI1.1:4:1502689:1505327:-1 gene:ORGLA04G0012000 transcript:ORGLA04G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PIV1] MKLTRALAGAAVLSLCLLLAVQPEAVAAAAAGGKVESTVRTEVVKAIRADPSVGPALIRLVFHDCWVNGCDGSVLLDTTPFNSSAGVEKAAANNIGLRGFDVIDAIKAKLGDSVSCADIVVLAGRDAAAILSRGRITYAVETGRKDGVVSSAAAADATLPESTFHIDQLTDNFARKNFTAEELVALAGAHAVGVSHLSSFRDRINATTRTPIDPRYQAALAGDVEALKGRQNSTDPIEKFNIRDMDAGFRNASGFDAAGVDMAAVGVLDNSFYHANLQNMVLLRSDWELRNGTDPSLSDSLFAFRDNATVWEMEFAAAMAKLSELPAEGTRFEIRKSCRATN >ORGLA04G0011900.1 pep chromosome:AGI1.1:4:1469201:1470684:-1 gene:ORGLA04G0011900 transcript:ORGLA04G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGALIVGGADGNEWLGRGARVVAIRGAKGGDGGHGDSCGHGFGERRRKEKAATGARGRXRGASSTILASLTRLGMHGFFEAGTSSKPVHEDEWTIVHSA >ORGLA04G0011800.1 pep chromosome:AGI1.1:4:1448247:1453441:-1 gene:ORGLA04G0011800 transcript:ORGLA04G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGVENHFLSYFXMGSLRELFPEGIIYTSCDSHYSIFKAAKMYRVQCIKIDTLFSGTTMKGAVDDLDEIVMILENCGFANRFYIHCDSALVGLMMPFIKQVEEIAQAPKLTFKKPIGSICISGHKFIGCPIPCGVLITRLMDINHVMSTNIEYISSNDTTIAGSRNGHAPIFLWYALKGIGYNGLCKTVENCLKNAQYLALRLREMGVSVFLNALSITVVFERPNDETFVRKWQLACQGKIAHVVVMPNVSLERINMFLEEFTKSRIALLQDKCVAGDVGQENCLCSLHLDRKKEAV >ORGLA04G0011700.1 pep chromosome:AGI1.1:4:1434501:1434986:1 gene:ORGLA04G0011700 transcript:ORGLA04G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQATEVLEKGNIRLQDREIAGLEEQIRTLRMKLQNHESYEEQKRREYYNLESEYNKLHSSYRNLQACHHNLQTRYHNLEARHNALRWQMSRPGCCG >ORGLA04G0011600.1 pep chromosome:AGI1.1:4:1429317:1429727:1 gene:ORGLA04G0011600 transcript:ORGLA04G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHRATRRGYTGDPPTTVLERENADAHKDQVKGLNGRISKLNGTIKELNDTIEALERQVQNLTRYKEEKQKRHANLQKEFAELERKYRDLDAAHKNCGPTVRFPVFTVGQPYYHHHY >ORGLA04G0011500.1 pep chromosome:AGI1.1:4:1419324:1423424:1 gene:ORGLA04G0011500 transcript:ORGLA04G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPLPPLLPSPMHFLLLLLLHLLSFSSTAASASSEVAFLTQWLNTTAARPPDWSPSASSPCKWSHVGCDAATGSVTSVTFQSVHLAAPLPPGICAALPSLASLVVSDANLTGGVPDDLHLCRRLAVLDLSGNSLSGPIPASLGNATAMASLALNSNQLSGPIPASLGNLAASLRDLLLFDNRLSGELPASLGELRLLESLRAGGNRDLGGEIPESFSRLSNLVVLGLADTKISGALPASLGRLQSLQTLSIYTTMLSGSIPAELAGCGNLTNVYLYENSLSGPLPPSLGALPRLQKLLLWQNSLTGPIPDTFGNLTSLVSLDLSINAISGAIPASLGRLPALQDLMLSDNNLTGTIPPALANATSLVQLQLDTNAISGLIPPELGRLAALQVVFAWQNQLEGSIPASLAGLANLQALDLSHNHLTGAIPPGIFLLRNLTKLLLLSNDLSGVIPPEIGKAASLVRLRLGGNRLAGTIPAAVAGMRSINFLDLGSNRLAGGVPAELGNCSQLQMLDLSNNTLTGALPESLAGVRGLQEIDVSHNQLTGGVPDAFGRLEALSRLVLSGNSLSGAIPAALGKCRNLELLDLSDNALSGRIPDELCAIDGLDIALNLSRNGLTGPIPARISALSKLSVLDLSYNALDGGLAPLAGLDNLVTLNVSNNNFTGYLPDTKLFRQLSTSCLAGNSGLCTKGGDVCFVSIDASGRPVMSADEEEVQRMHRLKLAIALLVTATVAMVLGMVGILRARGMGIVGGKGGHGGGSSDSESGGDLAWPWQFTPFQKLSFSVEQVVRNLVDANIIGKGCSGVVYRVGLDTGEVIAVKKLWPSTRNGADKDDVAGGGRVRDSFSAEVRTLGCIRHKNIVRFLGCCWNKTTRLLMYDYMANGSLGAVLHERRHGGHGGGGAQLEWDVRYRIVLGAAQGLAYLHHDCVPPIVHRDIKANNILIGLDFEAYIADFGLAKLVDDGDFGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGQHVVDWVRRRKGAADVLDPALRGRSDAEVDEMLQVMGVALLCVAPSPDDRPAMKDVAAMLNEIRLDRDDYANVDLLLKSGAAAASPPRAAAAATSTSSSTPPSSSSFSGSSAMIYNSSSKAKSPFD >ORGLA04G0011400.1 pep chromosome:AGI1.1:4:1403075:1409056:1 gene:ORGLA04G0011400 transcript:ORGLA04G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAR2 protein family [Source:Projected from Arabidopsis thaliana (AT1G66510) TAIR;Acc:AT1G66510] MSSGGGAAAAVDPEAATELVRKGATLLLLDVPQRTLLGVDTQVFSVGPKFKGIKMVPPGPHFLYYCSPNRHANEFAPTVGFFLTTHPSEVXXXXXXXXXXXXXXXXXXXEIRYSEAVRHFEFDSQLGPYNLDSFGDWKQLSSYLSQSVIERLEPIGGEITIAWESSWMDKAPQTDMERRLMDQLKDGKFAKNAPVQSERRGCYYTTIPASIKHSNISGDELTALNLDKTCLLESVLAKNYQGQEDLLLGELQFAFIAFMMGQSLEAFMQWKALVSLLLSCSEAPLHTRTNLFVKFIRAIYYQLKHGFQHTQDNRSGEEMGNSLFLDEAWFSRDIFLYRLSKDFFAVILEATVVDGDLLSWTRKLKSLLETTFGWDLDNNTVNLIDEDDEFAPVVVEMDGS >ORGLA04G0011300.1 pep chromosome:AGI1.1:4:1380737:1391632:-1 gene:ORGLA04G0011300 transcript:ORGLA04G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEEAKGVEEGGGGASANGGDNPATATATATASASAAAAASSSSPADDRGLPRSSTMPGGINNVEITNETAGPSNLERSRTERRRQNNPADDPTKQLFDDKISLKKKLKMLNRIATVKDDGTVVVDVPSSLETSTTDGVAYDGYSDVTVEEPLDGADIPVRPPMQIVILIVGTRGDVQPFIAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFFPLGGDPKILAEYMVKNKGFLPSGPSEIPIQRKQMKEIIFSLLPACKEPDPDTGIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQAAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPVQDPAKMTEVIVKALEITGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPLPIPVDQFSLQKLVDAINFMMEPKVKDNAVELAKAMESEDGVSGAVRAFLRHLPSRTEETAPQQTSSFLEFLGPVSKCLGCS >ORGLA04G0011200.1 pep chromosome:AGI1.1:4:1365427:1373337:1 gene:ORGLA04G0011200 transcript:ORGLA04G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT3G07100) TAIR;Acc:AT3G07100] MQPPMGNDRPPQGVPGRPVSAFVPGAATAAPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPAAMAGYRGPPPPQRPFGAGPPQQGPFAAAAPPPQGPFTSAPSSQGPFAAAPQPPSQGPFGTAPPPSQGPFGTAPPPSQGPFAASVPPSQGPFASAPPPFRPPPSLVQSPTASGMAPPSVYVRPPPPVQSQPPPVQGFYGGPPPANQQFPMSRPAFQQPVQTMPPPPMVGFGNQAAYATGGPPTGGTLQSLVEDFQSLSVSSAPGSLDPGVDVKGLPRPLDGDEEPTKVLEAYPLNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEVPVINFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCGIDGSGRRYDADQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDDLPGFPRTQIGFLTFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVESFLDSLPNMFQDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGVGRLRLRGDDVRAYGTDKEHSLRVPEDPFYKQMAAEFTKNQIAVDIFSFSDKYCDIASLGSLAKYTGGQVYHYPSFQAVTHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLLSRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRFLPLYILSICKSLALRGGYADVSLDERCAAGFSMMILPTKKLLNFIYPSLYRVDEVLSMEPDRIGGSLKQLPLTMQCLDTGGLYLLDDGFTFLVWLGRMLPPELVNNILGVSLANFPDLSKVQLRECDNEYSRNFMKILGTLRERDPSYHQLCRVVRQGEQPREGFLLLSNLVEDQMAGTSSYMDWILQIHRQTQS >ORGLA04G0011100.1 pep chromosome:AGI1.1:4:1355230:1356363:-1 gene:ORGLA04G0011100 transcript:ORGLA04G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAESSLFKMPTADANIAALHKEWDDALCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKMKVDHNDGSSQQSSSLPRDISSHNDGSSQQSFQRSRFDPTGEIQTGISESHEFFNHRDAIQSSAGLSGQQGENSYNQDLDLTLEAQQGESSSPVESSELTRLNQLACPLCRGTVKGWKIIKEAREYLDEKSRSCSRETCAFSGNYRELRRHARRVHPTTRPADVDPSRRRAWHRLEHQREYGDILSAIRSAMPGAVVFGDYVVEGGDMFSPDQEGGMPNEPSGSLLTTFFLFHMISSSPMRSGDEIRGSSRGLRRQRRRYLWGENLLGLQYEDEDEDDEEENLDEDVQRPRSRRRFVRSRSEERS >ORGLA04G0011000.1 pep chromosome:AGI1.1:4:1349415:1353145:1 gene:ORGLA04G0011000 transcript:ORGLA04G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPSDPPMSALSHTEGDSSGFTRNNDRNDDAVGGEDSTSSYQPCNSMKCKDGTQIMSLANEDVSNLASDCQEPPSESNHAISHGSNTDSKEEMSSGSGYRRQQSCFSSSTCSWRSSSEVESVSSTPDGSGDVVGNMSVRSKTFERRPDHIASYPSASPDIRRLYAAEGKADFTLDYHSEERWSRRSNQSTTLRTSNGQSIEHHSEIVDIPRQVNSMDETTSSSSQWSFDNWGPSLPRGMQYGDEIPSLSSQNYGARIPSLSSRQSYGDEIPSLSRNCNYASRQSYGDEIPSLSSRHCNSFSSRQSYGDEIPSLSRHCNARSSRQNYGDEIPSLSNSDYQCYQDRIPLHHRQWCHDAEAHPQLNYGRGASHGNRYSRDSFVSSIATNQRFKMGTGKHTVTRSDHHRTIKNDNVCKHSDDTLEQVRGPRANKLENATRSKTQEDIRSPLVRRDQFNRPDFIVEYEQAKFFMIKSYSEDDIHKGIKYNVWASTPHGNNKLDAAFREAQILIKEKGKKCPVFLFFSVNSSGQFVGLAEILGPVDFKKTMDFWKLDRWNGFFPVTWHIIKDIPNRLFKHITLENNDNRIVTFSRDTQEIGLPQGLKMLKIFKDYDQETSLLDDFNFYEEKESARCAKKGINAESTHEARLLFFGTGARHSDDFKSMVCAFLFKAV >ORGLA04G0010900.1 pep chromosome:AGI1.1:4:1346227:1347581:-1 gene:ORGLA04G0010900 transcript:ORGLA04G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1PIU0] MVLQHSDRMDSLFSPQTSWVSGPIIVGAGPSGLAVAASLREQGVPFTMLERADCIASLWQKRTYDRLKLHLPKQFCELPRMAFPAHYPEYPTRRQFIDYLEDYAAAFDINPLFGHTVLSARYDETSGLWRVRASSSAGAEMEYIGSWLVVATGENAESVVPDIPGIDGFGGEVVHVADYKSGEAYRGKRVLVVGCGNSGMEVSLDLCDHGARPAMVVRDAVHVLPREVLGKSTFELAVLLMAWLPLWLVDKILVLLAWLVLGNLAKLGIRRPATGPLELKNTTGRTPVLDYGALARIRSGEITVVPGVARFGKGFAELADGRVIALDAVVLATGYRSNVPQWLQGNDFFNKDGYPKTAFPNGWKGESGLYAVGFTRRGLSGASADAIRAAKDLARVWKEATKPTKKSTACHRRCISVIF >ORGLA04G0010800.1 pep chromosome:AGI1.1:4:1335998:1337392:1 gene:ORGLA04G0010800 transcript:ORGLA04G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDEHHRLPPRSGPGALHHRGATAVPANAISLHVDAEADDGPAAAERSSTTREKRLERFMEYSKRALLYEFPLATSLLQHWTASFTGGSAWAKAGVALLCAALFVDLMGSVYLALVTRLLDAEVTDASCRWHGVRVYASGVLLMSMPFCLLMSLNALYAFLAVALVPPVYLVFLLFAKEHRHGHRGGVLHEFPPLRGERTAAISYEDYDGKLKGQFDASAAVNTIATGAGLMGTFFGYSTANFSPNHDVTVSESLLFLTIVGAQFVMLVTAARPMFRKESSPARLAGFLGLLVGSLPVLLSLSAFAGAIDFLGGLALLAFSIDFLELVVFFKATFYREALEEEPDAPPPPPTSTTTTDGLQLLWLCVMYIYFTALEALYQELARRKTVGWMDSGRVLVYFWAFCCCSLDGGRGKLPLLPPLEELRKRHHQSLGRARYAVMVLAALDVLWRVARMFLVGETGF >ORGLA04G0010700.1 pep chromosome:AGI1.1:4:1329763:1331226:-1 gene:ORGLA04G0010700 transcript:ORGLA04G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 [Source:UniProtKB/TrEMBL;Acc:I1PIT8] MDTSVLLLVLFVFTLLCCLALLRRAARRARAPAAVQLPTIEISDAAVARRALVDYADVFANRPFLPFPVALVTGRRSRRSDNLTSVPYGPHWCVLRRNLTAGIFHPSRLGLLAPLQRDAVDDLVAGISESAGGGAVTVVVRDAAYTAVFRLAARMCFGDGVGERQVLALRRVIRAFVLDVGVANNVFPVSTSTALARLRRWRRIRRLLSSRRRQAELYLPLIDERRRRMAARRRDRDADGGTFRPYVDALIELRVPGDGESTPTPLTDDEMVSLLMEFLAASTESVVSCIEWTLAHLVIDAEAQNKLRREVADVGDGEHIHGGRAPYMRAVILESLRLHPPVPFVIREVVGGAAAPVLDELAAMPMPGGGARVHFVIGDIGRDGKAWKDPEEFRPERFMAGGEAEGVGPVPGPKEVRMMPFGAGRRSCPGMGVAVAHVGLFVAALVREFEWTPAAGGGVDLTQQDDFFNVMRTPLRARATPRPRAPA >ORGLA04G0010600.1 pep chromosome:AGI1.1:4:1320084:1321986:1 gene:ORGLA04G0010600 transcript:ORGLA04G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTSSEPLDPLSHEASISSSSRVWTVGDEEFLRMSNFAKLPDLNKDDMERERYYTNLINDESNHFGSSEMGSQYDDKQEPVVNENVAVRPNQKRSKNFSLEEDNLLVSAWINVSFDPVQGTDQSHGTYWGRIHDYFHQNKEFESDRSQSSLVHRWSTIQEHVNKYCGFLSQIQNRNQSGVNHEDMHVQASLMYKKKEEKKFQFMHCYNVLKNLPKWNDKRNQLAASKTSSKKQKKTANDSLAISTPACNVDENRAADPENTVGEGRPMGRKKAKQQMRERSDLSRKESLDYLWDKKKEADAEKERKFEERYQIAFALEQNRIDLERDKFEFKRMIKEDKLLRTDTSAMSIEEQEYYKNVNNQILSRRSAQA >ORGLA04G0010500.1 pep chromosome:AGI1.1:4:1294941:1299969:1 gene:ORGLA04G0010500 transcript:ORGLA04G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAAHPAASSVSAAAHWMATEDPGLRSNIINNMVKKFMTITNSQHDHHYREIQNYAVRCEQDALNRTTNKEDYLRNIAQRILNMEMKVRRSQSLQAGTTPSAQRPSSQQQNVCIMPQNPGQVPDQHRASAPNSQIEASQEQTVMVAAPDRYLNFNTTAISPVAMHVHPSQQPQSQQHQQQAKQLHPTNVVGYNPTSLNQIQGQSVSGQNFQQNPVLGQNASGSGTQQRQLAETPEQHQLLRMKQQHMRGNQQQNFTQRNQILPAQQAHLGKMQIGHPGVQNNQQNVGVLCQPMTPPQCQVATAQQSSLGCHSPQTSEPMVIAGEVDWREEIFQKIKSFKDAFLSEVLEYNRMVQVPKLTEEQLRSLPVENAEKLRCIRQVKKIIAMMLDLLNTQKSNVHKGMQNIFPIFQQYLGQLRLSISKSKARNTVAKTGCQSQNCSENSQIVNLAGNTAPFTCDASRQQKQQEQVTNAKTSRMEQTIMTRTPTPQQESHGCHLLGVPSPCFSPEALQPSSTNTVEECFTPFPVTKTVQPVKVASPHVASLSASVKSSVPKPGVARVVSPSASEKSRLASSPSRPEGAHAASPSSTSVESTLPTPIAKPGTVRAASPCTPVKSTSQSPVTKPGVAEVDSRRACVTSKLKSPVGKPETTGAASPCASVKSKVSLDVDSVTEFLQHRVVAPAAANGSSNQAIPSLVSAAPPKAAHQAEDQVHDEAEKIEAKRPISRLIETLLSSSPEALRHSANSMRLAIWEADRIPAPSPLPYRPRNGKMKRDFDHVTSRPVSSPLSSTDESCMTSECAAFEDESSGEYTAKRQKTQVNANDALVHEIKTINNKLVDTVISIADENGTDEIIYQNGGGTLIKLSYNAISLSPSLKSLFAASEMTIVMPVKLLVPADYPKSSPILVDNDDEQRRLSDISHAVAMAFGRAVGELPEPRSIEATAMAWDGCVRRAVTEVAHRHGGGTFSSRRNQWRAVQLHGPMVSL >ORGLA04G0010400.1 pep chromosome:AGI1.1:4:1278476:1282872:1 gene:ORGLA04G0010400 transcript:ORGLA04G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WQPWPSSSLQPCFLWKHPLWFLNRMHRKRYLVRVXKLQRXWAWSCAFQVERHMSNWARIQIXPVQQEDNWSSMVXRASEAKGSXGVNPLFYEPKYNNNWYGIYNSRCFDDTSVTINATLASGKICLLLQSCTYDDNITNLLHLXGCEICQGGWCKGDHSCHICFXYARCLRNLWQHAMCSSGLRCCNGLVLRSRSKHXTSSXGDPCSYMVRVLGNGVLAPKISTFSSRGPSPLFPKFLKPDVAAPGSNILAAVKYSYTFKSGTSMACPHVSGVAALLKALHPDWSPAIIKSAIVTIASNDRFGLPILADGLPQKPVDPFDYGGGFIDLNRAVDPGLAYDVDQKDYIPFHDCFLAGNSSCESESRNLNIPSIAILNLKEPTTVLRTVTNVGQADAIYKAVVQSPPGMQILVEPSILKFSAGMNKQSFKVTFTTTHKVQGNYLFVSLAXHDGGAHYVKIPIAVRPVLSNNYYSDV >ORGLA04G0010300.1 pep chromosome:AGI1.1:4:1265095:1269193:1 gene:ORGLA04G0010300 transcript:ORGLA04G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTCAAVPSHRRRHRRVRSIIRSTTISRCGGRRRWXLPHVLRIRLLFCIXRRQPRRVXSQXRKSVXTVMWLYVHSFPIRSSIRMLWQXKISPKLYIKXNTYRNSASAISSDKYIIRRWCPICEQALKPWRYHHNANGGKRVTXLRLLWEPRHLEMGCRQSNMSHSENXSAIVRLREQQQFVCXXIYWLPLQVLFGLRRKCLYRRWLXGYXXVXSTQLLXWKLPELPRELQVLTLPSWFNFXSCXTCMHIWTWPSSSWSSNWTWLWYWSPFSCSRSNFICSKVEETYAKKNFRKEYFRKNKGLLLEQLMSSDENIAHDPKIFSLEELEKATDNFHSTRILGCGGHGTVYKGIFLDQRVVAIKKSRIVEQNEIDQFINEVAILSQIVHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLLHGEQSTAFSLTWEDSIRIALEVASALSYLHSAATIPIFHRDVKSANILLNDNYTSKVLDFGASRSISIDETRVVTIVQGTFGYLDPEYFHTCQLTEKSDIXSFGVILVEILSRKKPILVNCFGEKQNLGHCFLQTQQDGTIMEIVDPQIAKEANESEINEMASLAEICLRIRGEERPKMKEVELRLQLLRAMITERNRQELLRNNGIGPSVQSNSSTTSMTRSVVLCAGIGISTDQDVTCCYTMEQELISWIDLPR >ORGLA04G0010200.1 pep chromosome:AGI1.1:4:1262900:1263511:1 gene:ORGLA04G0010200 transcript:ORGLA04G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAPPLLPLLLSYPLLRFSSSFFLSLCKPVHYGDNDGGPAAREEAGGIIDRIRKTTFAHGCAACVEKCFNRM >ORGLA04G0010100.1 pep chromosome:AGI1.1:4:1254943:1261951:1 gene:ORGLA04G0010100 transcript:ORGLA04G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRMPPMATSVFLLLSALLLLILPLQTSYFVVAQHNNKASKKLYIAYLGEKQHEDPQETTASHQDMLTRIIGSKEAALESIIYSYKHAFSGFAAMLTESQPPLLALAMVXMVHPKXTHTNCSTNCAANKGQSLFYQPKDNNWYEIHHSSCLISNGEKINASLASGKIVFCYSPLSVSITSPFGYVSLAVKAAKEAGAKGIIIATYGLDILDYFEKCGAMPCIFVDFDAVGQINSSGDENTTPLVKIAPARTWVGGEVLAPKISTFSSRGPSPLLPQFLKPDVAAPGSNILAAVKDSYKFQSGTSMACPHVSGVAALLKALHPDWSPAIIKSALVTTASNDRYGLPILANGLPQKIADPFDYGGGFIDPNKATDPGLAYDVDPKDYDLVVNCESANSSCESIFQNLNLPSIAIPNLTMPTTVLRTVTNVGQDDAIYKAVVQCPPGVRISVEPSVLQFKQGKKKQSFKVTFSMTHKVQGSYLFGSLAWCDGAAHYVRIPIAIRPVVSENYADL >ORGLA04G0010000.1 pep chromosome:AGI1.1:4:1242913:1251403:1 gene:ORGLA04G0010000 transcript:ORGLA04G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CNEGSRRRIVIVVLLVLLLPLQTSCQQSTTKKLYVVYLGDKQHEDPEQTTASHHDMLTTILGRQEPLISVTVQDICTIYSFISDVYVYVCIVTXLTLEIEISCIXRPGSFXXXXXXXXXXXXXXXXXLPEVRSIKPSSVHRLHTTHSQDFLGLDYTKPTGLLHDAKYGDGVIIGIIDTGIWPESASFSDDGLGPVPSKWKGTCQTGQEFSSNQCNRKIIGARWYDKHLTPKDLEGEYRSARDANSHGTHVASTAAGALVPNVSFHGLAAGYARGAAPHARLAVYKACWGQGDCSEAAVMQAIDDAIHDGVDVLSLSLGLPSSEYYTSLHAVNNGIAVVFAAGNDGPAPRTVTNASPWVISVASATMDRAFPTVITLSNSTSNFVGQSLFYDTDDKNYNCCLFGTPETSNVTLAAGKIVLCNSPSSVSLISPTIQPVWNILLAVNALKEAGAKGIIFAAYAFDILDAVESCGSMPCVLVDFEVAQQIKQSADENTALLVKVTAAQTWIGGEVLAPKISAFSSRGPSPLYPEFLKPDIAAPGSNILAAVKDSYKFKSGTSMACPHVSGVVALLKALHPDWSPAIIKSALVTTASNEKYGLPILADGLPQKIADPFDYGGGFINPNRLVDPGLAYDVDPKDYIALDCDSANSSCESAYKNLNLPSIAIPNLKQPTTVLRTVTNVGQADAVYKAVVQSPPGVQILVEPSILQFSQSKKKQSFKVTFSMTHKFQGGYLFGSLAWCDGGAHYVRIPIAVRPVVSDNYADL >ORGLA04G0009900.1 pep chromosome:AGI1.1:4:1229284:1232068:-1 gene:ORGLA04G0009900 transcript:ORGLA04G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSPPPNWSSSSPSLCSRFTLARHSLFSDLAPFLAADLWERRPRHGSSGARPHRRQRAAAGSRSCSRRLEANPAELLLHQLRLTILSKTPQESLRTVQRGGRSTTVFSNSDLAPPSSIPIQPIRRIPSSWSETLRLSVFPHSSKERIPVMSYVSCVVIALPMAARAMSDLLQLGSCLVGLP >ORGLA04G0009800.1 pep chromosome:AGI1.1:4:1224907:1225092:1 gene:ORGLA04G0009800 transcript:ORGLA04G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLLSLQLLCLRVGMWFICGIRFQFLQHFSARSIGDVFLFISSYRMQVWGVTFCLDSGVN >ORGLA04G0009700.1 pep chromosome:AGI1.1:4:1218168:1219481:1 gene:ORGLA04G0009700 transcript:ORGLA04G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVERRFSWLAVVTIVLVSISVYTCSFLAGVALGRALERRNNLHPSSINGAVDDDTEYSVLPGRRSSSSSWRMGKKVGPWGGSGGWHDFGIGGRSSRSSPVLPRQLNSIVLYHSRGAIHSLYYDYYIQLQPQQQGRGGGGHDELKLVKNGPWGQKYSFDSIAVRETIKLSDDEQLIAMEGTFGHFRDVVEPVITSLTFRTNAGRTYGPYGGGESGSGTPFSVPAEEGRIIVGFWGRAGWLLDSIGVYVRRER >ORGLA04G0009600.1 pep chromosome:AGI1.1:4:1216109:1216961:1 gene:ORGLA04G0009600 transcript:ORGLA04G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHSSGAIHSLYFDYYIQQQQRHGGRDRHGGGQLKLMNHGPWGQASSYNSIAVRDEIKLSAREQVTAVEGTVGNFRDVDEPVITSLTFHTNAGRKYGPYGGNGKQGTPFSIPVGKGCIVVGFWGRCGWLLDAIGVYVSPQS >ORGLA04G0009500.1 pep chromosome:AGI1.1:4:1211769:1213056:1 gene:ORGLA04G0009500 transcript:ORGLA04G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFSGAEVVMIVAITICLYTFAFVSGIILAWGLDKKPPRASNSAVDRRRRLSSINNGNNAEDHHGRQAAAAVVVPRAVSTRPTTMNPISSPSSSMKIKLSADEQVTSVEGTIGRFRDVDEPVITSLTFRTNASKAYGPYGGAGDKQEGTHFSIPVDNGGVVVGFWGRAGWLIDAIGVYISPI >ORGLA04G0009400.1 pep chromosome:AGI1.1:4:1190382:1193966:-1 gene:ORGLA04G0009400 transcript:ORGLA04G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSWNQGSSVCSWAGVRCNRQGRVSVLDVQNLNLAGQISPDIGNLSALQSIYLQKNRFIGNIPDQLGRLSLLETLNGSSNHFSGSIPSGLTNCTHLVTLDLSANSITGMIPISFHSLQNLKMLKLGQNQLTGAIPPSLGNMSQLTTLDASTNTIAGEIPKELGHLRHLQYFDLSINNLTGTVPRQLYNISNLAFFAVAMNKLHGEIPNDISLGLPKLHIFIVCYNKLTGHIPPSLHNITKIHSIRISHNFLTGKVPPGLQRLSKLVWYNIGFNQIVHTTSILDDLTNSTKLEYLGIYENQIVGKIPDSIGNLSSSLENLYIGGNRITGHIPPMIGRLTRLTLLNMTDNLLDGEIPLEISYLKDLNALGLSGNNLPGPIPTQFGNLTALTMLDISKNRLAGSIPKELGHLSHILSLDLSCNNLNGSIPDTVFSLTSLSSILNMSYNALTGVIPEGIGRLGNIVAIDLSYNLLDGSIPTSIGKCQSIQSLSMCGNAISGVIPREIKNLKGLQILDLSNNRLVGGIPEGLEKLQALQKLNLSFNDLKGLVPSGGIFKNSSAVDIHGNAELYNMESTGFRSYSKHHRKLVVVLAVPIASTVTLLIFVGVMFMLWKSKCLRIDVTKVGTVIDDSILKRKLYPLVSYEELFHATENFNERNLVGIGSFSSVYKAVLHETSPFAVKVLDLNKIGATNSWVAECEILSTIRHRNLVKLVTLCSSIDFTGNEFRALVYEFMTNGSLEDWIHGPRRHEDSERGLSAVEVLSIAIDIASALEYMHDGSCRAGQVVHCDIKPSNVLLDGDMTAKIGDFGLARLHTQTCVRDEESVSTTHNMKGTIGYIPPEYGYGAKTSTSGDVYSYGIMLLEMITGKSPVDQMFGGEMNLEKWARVSIPHQADEVVDKRFMIKAGSGESSADGQQQQQVDTVDSKLLLETILVPMVDVALCCVRESPDSRISMHDALSRLKRINEKFLKSLAVSTP >ORGLA04G0009300.1 pep chromosome:AGI1.1:4:1182733:1183647:1 gene:ORGLA04G0009300 transcript:ORGLA04G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYYKEKILADGRQLVFKEVHHEECAKQINGKYHTNFTSRQIYHKFHKLKAQWKAKDKRAKFINVPIRWYDEMEFIFQDKHATGEFNVLQTPYDRPMEDDDFIGDKNGSPGDVDPSSNYDSDCLPDQENNTGSSSSSRRAKGRKTDKGKRVRADDNVVYEITGAMDNMSEIMRFTHMTHPNESLFKIIDEMTEYPVMVRFELQTYLATHENIAAMLKGRPLDSIKEYVAQWIIQNYPAAM >ORGLA04G0009200.1 pep chromosome:AGI1.1:4:1166582:1167193:-1 gene:ORGLA04G0009200 transcript:ORGLA04G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSREERVRRSTPRMGVAPNPGASSSGAHGAARAVELETLLEEHSEGMLVRTGLTCRHGFFPILYCCWSGPDTGRRFLACSQVEQPCDFKYWIDEQFEGRAKRVIQDLVSMRHSMSELYEHSSRQWDDMYADRQRVKQQIHELKCTIREQRERIVILICFSIVIACGICSLC >ORGLA04G0009100.1 pep chromosome:AGI1.1:4:1133111:1139862:1 gene:ORGLA04G0009100 transcript:ORGLA04G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSKLQALFLVLLFQLNLQSQWSYGLQRDQSRLYIVYLGERQHEDADLVTASHHDILTSILGSKEETLRSIVYSYRHGFSGFSAMLTKAQARKIAGLPGVLSVTENQIYKAHTTRSWDFLGLDYKQTNGLLAKARYGEDVIIGVVDSGITPESPSFDDDGYGPPPSKWKGICQVGPSFGANSCNRKIIGARWYAYDVPNGTLNTEVLSPRDVHGHGTHTASTAGGNIVHNVSRLGLATGTVHGGAPRARLAIYKACWSTPDGTAGCSGAGVLKAFDDAVHDGVDILSLSIGGLLEYIGTLYVVANGISVIYSAGNDGPIAQTVENSSPWLLTVAAATMDRSFPVVITLGNNDKFMAQSFVTTKIASQFSEIQLLLDDNCDADKIDSSVKGKIAFCFIKAFNVVPSYGRIINAVSSKGGRGVIWALYTTDLFLTEDLLTLDIPFVPVDYEISYRIFQYIRNSENGDIPKAKISLTRTMIGSENSAPKVAAFSSRGPSAIYPGVLKPDIAAPGVAILAASPNTPEFKGVPYRFDSGTSMACPHVSGIVAVLKSLHPEWSPAALKSAIMTTATTFDNNRMPIQANGRVPKIADPFDYGAGFVNPIMAADPGLIYDINPSDYLKFFNCMGGLGSQDNCTTAKESVIELNLPSIAIPNLRTSQTAVRTVTNVGDHQDVVYKAFLEPPAGVEMAVEPSELVFNKDKKAQSFKVTFKATRKVQGDYTFGSLAWHDGGSHWVRIPIAARIVIEEIYSRIS >ORGLA04G0009000.1 pep chromosome:AGI1.1:4:1117693:1127239:1 gene:ORGLA04G0009000 transcript:ORGLA04G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSRQSLFLSFLLQFLLQLPWSSHALKQSEPPTKLYIVYLGERRHDDADLVTDSHHDILASVLGSKEAALESIVYSYRYSFSGFAARLTKAQASIIQGLPDVVSVRENHIHQLHTSRSWDFLGMDYRQPNGLLAKAKYGEDIIIGVLDTGITPESPSFTDDGYGPPPSKWKGICQVGPSFEAKSCNRKLIGARWYIDDDTLSSMSKNEILSPRDVEGHGTHTASTAGGNIVHNASILGLATGTVRGGAPRARVAMYKICWSGSGCSAAVQLKALDDAVYDGVDVLSLSLGSPLEDLGTLHVVAKGIPVVYSAGNDGPIAQTVENSSPWLLTVAAATMDRSFPVVITLGDNHKFVAQSFVLSRQTTSQFSEIQVFEGDDCNADNINSTVKGKTVFCFGTKLDPEPDINSIIKVTGDKGGTGVIMPKYNTDTLLQDGPLTLPIPFVVVDYEIAYRIYQYTKYENDGTAKVKISLTQTTIGKVTAPKVAAFSSRGPSSIYPGVIKPDIAAVGVTILAAAPKDFIDLGIPYHFESGTSMACPHVSGIVAVLKSLHPEWSPAALKSAIMTTALTYDNDGMPIQANGRVQKIADPFDYGAGFINPNMAADPGLIYDISASDYLKFFNCMGGLGSGDNCTTVKGSLADLNLPSIAIPNLKTFQVTTRTVTNVGQANAVYKAFLQPPVGIEMAVEPPVLVFSKDRKVQSFKVTFKVTRRPIQGDYRFGSLAWHDGGNHWVRIPIAVRIVIEEIYSKIS >ORGLA04G0008900.1 pep chromosome:AGI1.1:4:1106186:1106702:1 gene:ORGLA04G0008900 transcript:ORGLA04G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITATTYDNNRMPVRNIPKVADPFDYGAGFINPNMAADLGLIYDIAASNYLKFFNCIRGLATGDNCTTAKRSLADLNLPSIAIPNLKTF >ORGLA04G0008800.1 pep chromosome:AGI1.1:4:1094476:1104291:1 gene:ORGLA04G0008800 transcript:ORGLA04G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKIYIVYLGERRHDDADVVTGSHHDMLASVLGSKEVALESIVYSYRHSFSGFAARLTEAQASTIRGLPDVISVRENQIHRLHTSRSWDFLGMDYRQPNGLLAKAKYGEDIIIGVIDTGITPESPSFADDGYGPAPSKWKGVCQVGPSFKAKSCNRKLIGARWYIDDDTLRSMSKDEILSPRDVVGHGTHTASTAGGNIIHNASIFGLAAGTVRGGAPRARVAMYKTCWNGVGCSAAGQLKAIDDAIHDGVDILSLSLGGPFEDPGTLHVVAKGIPVVYSAGNDGPIAQTVENSSPWLLTVAAATMDRSFPVVITLGNNDKFVAQSFAISGKTSSQFGEIQFYEREDCSAENIHNTVKGKIVFCFFGTKFDSEPDYYNITKATSEKGGIGVILPKYNTDTLLGDTLLTLPIPLVAVDYEITYRIYQYIKENDGTPKVKISLTQTTIGKVSAPKVAAFSSRGPSYIYPGVLKPDIAAPGVTVLAAAPKAFMDAGILYRFDSGTSMSCPHVSGIIAVLKSLHPQWSPAALKSAIMTTALTYDNNGMPIQANGKVPKIADPFDYGAGVVNPNMAADPGLIYDIEPSDYFKFFNCMGGLGSADNCTTVKGSLADLNLPSIAIPNLRTFQATTRTVTNVGQANARYKAFLYPPAGVEMTVDPPVLVFSKEKKVQSFKVTIKATGRPIQGDYSFGSLVWHDGGIHWVRIPIAVRIVIEEIYSKIS >ORGLA04G0008700.1 pep chromosome:AGI1.1:4:1085780:1087338:1 gene:ORGLA04G0008700 transcript:ORGLA04G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAFGDGMAKGVALWGRATAPQPMGWWWAAGGAREIEIGRRRGDRTLTYDNNGMPIQANGRVQKIADPFDYGAGFVNPVMAADPGLIYDITASDYLKFFNCMGGLGSGDNCTTAKGSLTDLNLPSIAIPNLRTFQAMTRTVTNVGQVNAVYKAFFQAPAGVEMAVEPPVLVFNKDRRVQSFRVTFKATRKVQGDYRFGSLAWHDGGSHWVRIPIAVRIVIEEIYSKIS >ORGLA04G0008600.1 pep chromosome:AGI1.1:4:1074262:1075473:1 gene:ORGLA04G0008600 transcript:ORGLA04G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPTNFGSIFQFLDYCDMSSNRLYGGIPSLAEATSLEVLDLSSNNLNEEILPTLIGNLSILTSLLLSNNDLTGPMPPFHWNLGSLKHLSLENNRFSGRLSPLLSNSSNLKTLNVRNNHLSGIIPDGLLSFQQLGVILLGGNDFHGPIPLDLCFNNYLHFVDLSNNQFSGEIPNCFYNDFWTDLPMYFNDDPFSGNITERMSVDFTTKGENLTYMGEPLVLMTGIDLSMNQLSGAIPPPLGFLRQLKSLNLSHNQLVGPIPETFMYMQDMESLDLSYNHLNGSLPMQLANLSFLCSFNVAYNNLSGEIPFQQQLGTFDESAFEGNDNLCGEIINKNCSSVLHQNQGVFDAIDTSLVFWSYVFGCFALGFWGTVALLIWDEVCRRRLCDLMDALMFKLGWEFVP >ORGLA04G0008500.1 pep chromosome:AGI1.1:4:1056713:1059144:-1 gene:ORGLA04G0008500 transcript:ORGLA04G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLDLLLGVFRQPTLGGVALELASLAAPLWLAALVGLIIGWAWRPRWALAVVGEAPPETPALPPPRATADDSCRNNELVAVVPRTAVAAATPVEDEGLAVDTGDLMHLRRLVEEKDGGPSWIHMMDKTLPTMRYQAWRRDPEGGPPQYRSSTIFEDASPEVVRDFFWDDEFRIKNTWDDMLLQHETLEECTKTGTMVLRWVRKFPFFCSDREYIIGRRIWASGKTYYCVTKGVPRPSVPRCNKPRRVDVYYSSWCIRPVESRNGDGSMTACEVLLFHHEEMGIPREIAKLGVRQGMWGCVKRIEPGLRAYQIARTAGEPLSKCAAMAHVNTKVDPDELITAEDKTEASSTNNAEAEKPKHWTGNIPKVILVGGAVALACTFDHGLLTKALIFGTARRFAGPGRR >ORGLA04G0008400.1 pep chromosome:AGI1.1:4:1048800:1050991:1 gene:ORGLA04G0008400 transcript:ORGLA04G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyruvate dehydrogenase E1 alpha [Source:Projected from Arabidopsis thaliana (AT1G01090) TAIR;Acc:AT1G01090] AVTREEALELYEDMVLGRIFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLNQADCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSEPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKQSSPDGLDVTLAFFGDGTCNNGQFFECLNMAQLWKLPIVFVVENNLWAIGMSHLRATSDPEIYKKGPAFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPTLVECETYRFRGHSLADPDELRKPDEKSHYAARDPITALKKYIIEQNLATESELKSIEKKIDDVVEEAVEFADASPLPPRSQLLENVFSDPKGFGIGPDGKYRCEDPLFTQGTAQV >ORGLA04G0008300.1 pep chromosome:AGI1.1:4:1044291:1044674:1 gene:ORGLA04G0008300 transcript:ORGLA04G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADAILSSQVAGECLKINKLAAASPVKVVQVQKPSKETKNISAAPVAAAAVKVVVSKQVMKPRFAVELDGLNCFETLVPR >ORGLA04G0008200.1 pep chromosome:AGI1.1:4:1039100:1042980:-1 gene:ORGLA04G0008200 transcript:ORGLA04G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFVGNLDYDTRHSELDRLFYRYGRIDRIDMKSGFAFVYFEDERDGDEAIRALDGYPFGPGRRRLSVEWSRGDRGSRRDGYSKPPVNTKPTKTLFVINFDPINTRVTDIERHFEPFGKLSNVRIRRNFAFVQFETQEEATKALEATHSTKLLDRVISVEYAFRDDTERGDRYDGARGGYGRRDDSPYRRSVSPVYRSRPSPDYGRQRSPVYGSYDRSPVNDRYRSRSPVRRSRSPLANRRAYD >ORGLA04G0008100.1 pep chromosome:AGI1.1:4:1032463:1036729:1 gene:ORGLA04G0008100 transcript:ORGLA04G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCVHEYAAGANVSLQCRSSKQEHMSTTEVRFEDWMAEAVVFGILCKIGSILSSHLTQAFVAHLGKEVSVFVEIESSIKQIRSEFRLMQAFLQDGQEKESHSRLAETFLHEVQQASFEVEDILDEFVYLFGQKRTASLKSLRNCFPKPKSMMHWQRLAAELTEAQNRLQNLRNLKVQYNIDLSEESPSSIRYEDSQVHTIQHIMHNNKIVGFANERHCLQELLMTNEKSCSIISIWGMGGSGKTTLVKTVFERKAIKNRFDCLIWVTVSQTYDITEIMRKIIQCALKETCPADLESMCSEGVALKLQGTLQGRTYMMILDDVWDTNVWFNLEPFLDVNSRGSKVVITTRINDVASLADDKNRLQLRGLNEAESWDLFCMWAFRHTEDQTCPLRLERVARQIVGRCEGLPLAITAVGNLLSFKRLDSFEWDKFYNQLNWELHSRLDNQGLNLVTRLLGLSYRHLPGHLKNCFLLSSIFPEDYIIHGKRLSRLLIAEGLVEPRKNMTLEEIATEYIEKLVDRCLIQVVRRDKLGRIWQLQMHDIVRELAISISEKEGFCMIYTSKEAHTSVIGCEPRRLSVHENYDRVQQSINAQRVRSFYPYQLDSDYSVISNVQWVSTTARYLKVKQLPESIDRLQNLRTLDIYHTEIGKLPSGITRLRLLRHLIAGKAEASYFGIADVYTGVQMPNGTWRSLDINLFTGISASSKLVEQLAKLTQLRSLRLTDVKSTHYAKLFASISKMRFLRRLFIEAAHRDECVSLEALNPAPHHLELLCMKGKLHESVIGCHLFEVNRLSLRELTLQNSRLSIDPLPSLSNFCNLTLLGLFNTYSGESLLFQAGWFPKLQTLTLAELQNVNSIVIQEYSMANLYNLALICLKNLEYLPQGMEFLKSVEEFNLVGMHHKFMEDVQAGSSYEKVKHIPVVDYFDQSKGRWDQLSRVYGKGNP >ORGLA04G0008000.1 pep chromosome:AGI1.1:4:1029007:1031433:1 gene:ORGLA04G0008000 transcript:ORGLA04G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G57430) TAIR;Acc:AT3G57430] MASPQQQLDHSALPPAIKSAAALRDARSARAIHAAALRRGLLHRPSPAVANALLTAYARCGQLAAALEVFGSISDSAHDAVSFNSLISALCLFRRWDHALAALRAMLAGGHPLTSFTLVSVLRAVSHLPAAAAAAVRLGREAHAFALKNGLLHGHQRFAFNALLSMYARLGLVADAQRLFAGATPGRGDVVTWNTMVSVLVQSGMFDEAVQTLYDMVALGVRPDGVTFASALPACSRLELLDIGREMHAYVIKDDELAANSFVASALVDMYATHEQVGKARQVFDMVPDSGKQLGMWNAMICGYAQAGMDEEALRLFARMEAEAGFVPCETTMASVLPACARSEAFAGKEAVHGYVVKRGMAGNRFVQNALMDMYARLGKTDVARRIFAMVDLPDVVSWNTLITGCVVQGHVADAFQLAREMQQLEEGGVVPNAITLMTLLPVCAILAAPARGKEIHGYSVRHALDTDVAVGSALVDMYAKCGCLALSRAVFDRLPRRNTITWNVLIMAYGMHGLGGEATVLFDRMTASGEARPNEVTFMAALAACSHSGMVDRGLQLFHAMERDHGVEPTPDIHACVVDILGRAGRLDEAYAMVTSMEAGEQQVSAWSTMLGACRLHRNVHLGEIAGERLLELEPEEASHYVLLCNIYSAAGQWTRAAEVRSRMRRRGVAKEPGCSWIEVDGAIHRFMAGESAHPASAEVHAHMEALWGEMVARGYTPDTSCVLHDMDDGDKAAVLRCHSEKLAIAFGLLRAAPGATIRVAKNLRVCNDCHEAAKFMSKMVGREIVLRDVRRFHHFRNGQCSCGDYW >ORGLA04G0007900.1 pep chromosome:AGI1.1:4:968550:970302:-1 gene:ORGLA04G0007900 transcript:ORGLA04G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFAQTDSKSVFAESVLVRRRRSRGGDRDGVVGDGDGDGEGVAHPDQARGLPPQGLLEGSRRPRHLRHHARRRRRAPRRPPRPDPLRPRQLRRPRRPPLRLLLRAPWRRPGHFWEEADAETLRRCDICSQGW >ORGLA04G0007800.1 pep chromosome:AGI1.1:4:962389:965777:1 gene:ORGLA04G0007800 transcript:ORGLA04G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMSDESLKLYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDTLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDESKMMERLWGENFFDPATKKWTNKSTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKADEKDLMGKALMKRVMQTWLPASNALLEMMIYHLPSPSKAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEADACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMTSDPLEVSSQANQLVLDIRKRKGLKEQMTPLSDFEDKL >ORGLA04G0007700.1 pep chromosome:AGI1.1:4:956543:959354:1 gene:ORGLA04G0007700 transcript:ORGLA04G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGGGGDYGAFMERFVLPPPPSQQLPLHGLTFAIKDIFDIAGRVTGFGNPDWARTHAPAAATSPVVLAALAAGATSLGTTIMDEMAYSINGENTHYGTPTNPCAPGRVPGGSSSGSAVAVAANLVDFSLGTDTGGSVRVPAAYCGIFGLRPSHGLVSAENVIPMAQMFDTVGWFARDLSTLSRVTKVLLPLPDDTVKQPTQVTVPMDCFQILGSLDDRTYQIINASVAKRFDSQIIDNRNLGDFISDNVPSIGKFIADFSESELPSVPALSVISHVMRGLQRSQFKANHAEWVNTVKPNLGPGLRERILEAIASGDNEPLEDFQAIRAEFKSALAALLKDHGILAIPTVPGPPPKVGMEAAPLENFRARAFSLLSIAGLSGFCQVSIPLGTRNGLPVSVSLVARHGADHFLLNVAEELYQTLIDEATKAWSS >ORGLA04G0007600.1 pep chromosome:AGI1.1:4:950641:953689:1 gene:ORGLA04G0007600 transcript:ORGLA04G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDFGAFMERFVLPPPPPPSSQQLPLHGLTFAIKDIFDIAGRVTGFGNPDWARTHAPAAATSPVVLAALAAGATSLGTTIMDEMAYSIYGENAHYGTPANPCAPGRVPGGSSSGSAVAVAANLVDFSLGTDTGGSVRVPAAYCGIFGLRTSHGLVSAQNVIPMAQMFDTVGWFARDLSTLSRVTKVLLPLPDDTVKHPTHVTIPMDCFQILGSPDDHTYQIVNASVAKKFGSHAIDNANLGDFVSDNVPSIGKFIADFSESELPSVPALSVISHVMFSLLRSQFKANHAEWVNSVKPNLGPGLRENIHGAVASGDDEPLEEFLAVRAEFKSALAALLKDHGILAIPTVPGPPPMVGIQAQAAPLDNYQARAFSLLDIAVVSGFCQVSIPLGKRNGLPVSVSLVARHGADHFLLNVAEELYQTLIDEAAKAWAS >ORGLA04G0007500.1 pep chromosome:AGI1.1:4:942511:949580:1 gene:ORGLA04G0007500 transcript:ORGLA04G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-linked oxidoreductases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38890) TAIR;Acc:AT4G38890] MAATAAAAAAAPPADPPDSSPAASSPPRPSPEELVARAVAPVKPAFLRPPPVRDAPKDEGKANGGGAVVAEKKSKRQLKRERKQEQKSSSHLCIEVGKSGNVSSCKYGDSCRFSHDIDAYLAQKPADLEGTCPFTNLDQLCPYGLTCRFLGTHKDIHAASGNLSEKHEINALNKDIQKLLWKNKYKFPKASAQIKLLGLKEVIKSKPDAANDDKKVNHDNLDGNDDENKEPLCNPPVNAECDSTLCEELDRSEGEPLIDNSIPCVEPRPTKKSKVESDEIDKHGAGTLNTNTESEDPNLSNGLEPSNNASSCRTDLITTPHLREKKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADITCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAYPDTVARTVELVDNECSVDFIDINMGCPIDIVVNKGAGSSLLTKPMRIKSIVQAASTVTEKPLTVKVRTAFFEGRNRADSIVSDIYDWGASAITVHGRSRQQRYSKLADWDYIYQCAQKAPDQLHVVGNGDVFSFTDWNKHVSGCSKISTCMIARGALIKPWIFTEVKEQRHWDITSGERFNILKDFVSFGLEHWGSDSKGVETTRYFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYCGRDDLETLMISDSAADWIRISEMLLGKVPEGFTFTPKHKSNAYDRAENG >ORGLA04G0007400.1 pep chromosome:AGI1.1:4:930715:935210:-1 gene:ORGLA04G0007400 transcript:ORGLA04G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNOM-like 2 [Source:Projected from Arabidopsis thaliana (AT5G19610) TAIR;Acc:AT5G19610] MARTAAAAVSDDDDDGPPAYTVPRGPRRDPRLKDLGISCMLNTEVAALLAVIRRRPDPYSYLPPSVAAAEEATFAGLIQSLKNLRGLLFQPRHGAWRCSDPAMYLKPFLDVVQSEESPPAATGVALSSVLKILRIDVFDECSPGARDAIQAVLTAVSSCRVERIADPGAEEAVLLRVLQVLAALLRARAAPLLSDHSVCNAVNTCFQVVQHAASGRGSELLQRTARHCMHEILQAVFARLPDIPAADAADADDLSVAGFGARCMADIFNFLCTLLLNAPDMVATGDGHGAFITEEDVQLFSLVLINSAIELGGEAIGKHPKLLRLIQDDLFYHLIHYATETSPLVLSMICSTALNLYHFLRRFLKLQLEAFFLYVLLRLCGGGGGAQLQEVAIEGLISFCRQPTFVIEMYVNYDCDPMLRNVYEEVGKLLCKAAFPASSPMTTVQLQAFEGLVNMLTTIADNVEVDKAPDHAAYAVDISDYRLFWVERWDATAAAGSGNNETWVDFVRKRKLRKKKVAIAANHYNRDEKKGMEYLRLSQLVPTPPEPRSMAFFLRYSPGLDKNKIGELLGDPEEQSLRVLKEFTETFDFTGVILDTALRTYLETFRLPGESQKIQRILEAFSERFYEQQTAEVFATKDAAFILCYSLIMLNTDLHNPQVKKKMSEDDFIRNNRAINAGKDLPREYLSELFHSISGNAITVFSQASAAAEMTPTRWADLVKRSRAIDPFTPCDFKHKLTREVFVTVSGPAVATLAAIFDYTDDEDILNQCVEGLISVARIARYGLEDVLDELLCCLCKFTTLLNPYATTEETLFTFSNELKPRMATLALFTITNRFGESVRGAWKNVVDCLLKLKRLKLLPPSLVDQDGGGAAAVSTERLGHRAKSESGVIFPSSHRGAGTSRHVSGMIRRFSQFLSLDAGGESLLSVGSEFENNLKIIQQCRIGSIFTESGKLPDESVQNLGRALIFAAGGKGQKFSTPVEEEETVGFCWDLIAVVSSANVHRFTTFWPQLHDCFAAVSQLPLFSPCPFAEKAIVALFRVAVRLLSGGGGDRMAEELVFKSINLMWKLDKEILDTCCEGISECIVKLLMEYASNVQTPIGWKTVLHLLSVTGRHPETFDQSVAAMIKLMNDGAQVVTRFNYAACIEAAFGFAALKISPLDISTRILQLMADSVNWLILWHKSGYSDPGNAWSSSSSSSSSSAAAMMMMEDASRMGNLATSMFIKLAEALRKTSLVRREEIRNQAVAELGRAFALAAAGELELGPTGSLACFNLVIFAMVDDLHEKTLEYSRREGAERETRSMEGTLAAATELLADVFVLFLGTLAQGAGFRTFWLGVLRRLDTCIKSDLAAGGGAGVMQDLVPRMLKRMIVEMKEKGVLVAMEGDELWEITHIQIQWIAPAVMEELFPD >ORGLA04G0007300.1 pep chromosome:AGI1.1:4:925088:928769:-1 gene:ORGLA04G0007300 transcript:ORGLA04G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGGGRGRGARVAVPAGDSGKGAQAEWLRIYDGIVAMLRKTQAQVEELVAERDHLAAFVKIQHDFMVSRVGRLQSSLQQARKADAIRKRYEAANMEILLGDKDREARSYQKIAELTENDLEDFRISIAALAAENYELKEKLKEVERHAELAKNTVDHHIHSPRDLRAELKKLKHAYKTLSSEKEKEVSALRAEKDFVWNQLRTMENDYTDLLKKKKIEAAQATEAAQKLQKNLEQLQDQNKGNEIGRLQAEAVDAKMNISILEDKLQEMLSLVKEKDLEIEQLKHGQPMTSQINKKDTNQRNRKCRSQDPPSRDKSTNLQATPPGRKVKISRQHASSSKQKQVQSRNNSRRQKIEGDKSEVGEKRKRALPSSSGLQRCSARQQAKSSASPVVQPLLFSPIFRVPKVKTPTPP >ORGLA04G0007200.1 pep chromosome:AGI1.1:4:920737:922460:-1 gene:ORGLA04G0007200 transcript:ORGLA04G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTPGPYSGVSTLALVARASALGVGVVYGAVKLSILKATKPHKKEAANAHH >ORGLA04G0007100.1 pep chromosome:AGI1.1:4:917049:918846:1 gene:ORGLA04G0007100 transcript:ORGLA04G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRTRYHWIYLRENSPNLSLSQLFRHLMIGQIFANFXLFAIMEHLGSSSIVRGRGKNKRIWTYFEDEELIKALFEIALDPSWKSEGGFKNGYCQVLENVLAKKLPSSGLTAVPHIESRVRHFRTKFGAIEVMLTKSGFSWNDNRKMIQCEKQQYDDHCRNNNEAKGLYGVAFPHYDTLAAIYGKDIATGEGAEGLGEAVANMEKEIVQDIQDEEDEEDEERVSRETPRRSIDSAAARRSIDSATPRRSIDSTASSSKKRKKDSNKLKNTLSSDPFMDVFANVQGDLRDVTKHVGAMVASMQREAEIQEKAMAEENPLQKIQNEAILECQKLGLTGTEVVNAAAAFVKVPAQMSMLLALPESLRREYVLKILAGNSLDQSCSVATATGNISLYFVIINCKHIALYH >ORGLA04G0007000.1 pep chromosome:AGI1.1:4:914855:915076:-1 gene:ORGLA04G0007000 transcript:ORGLA04G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGDARGARGLRRRRAQGEEEAPATRARRGGGDGDARDVEEKLRRRSIWTRRVRRVRLHSVSVSFSARLGV >ORGLA04G0006900.1 pep chromosome:AGI1.1:4:908075:910841:-1 gene:ORGLA04G0006900 transcript:ORGLA04G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGGDGVTVVGRAEIDTRAPFRSVKEAVVLFGEKVLAGELHAGAGRRLLATSDQLQQNRAATTTTPPARWPTVSQVKQVAGAVAAAAAATRHAPAMATAELEEAKQELENERSEKQKMVGCILSLQEELSNAMSELNKLKSRDNDDADGGEAAAKVIDLQVEDLKFVEIDDDKPQPRQHSPSPGEFQKRRYVTFADPPTAASAAYHAPAPPPLPDVVHHRPAAAAPPLYREVRFQRQMSAGHEAVKAAAAAEQEARKKKKKPLIPLVGALFMRKKKSSRSCHDDSALNSRTAF >ORGLA04G0006800.1 pep chromosome:AGI1.1:4:901678:902970:1 gene:ORGLA04G0006800 transcript:ORGLA04G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1PIP9] MVEKAWTSSVSFSYGALVGLLPFHFDVLITNRSSTMMVVMTAMILVVVGVLLLLLWRARRRRGGVYLVDYGCFLGEPRHRVPFATALEHGRLMTNLLDEESTNFMVRLHQKSGIGEETSVPDSFRYIPPESGIEASREEAELVIFSAVDKAFAAAAATGLVPADDIGTLIVACSFTTPTPALADVVVRRYGLRADVRSVNLSGMGCSGALIAIGLAENLLRVAPPGSRVLIVATEILSSMLYTGRKREMLVPNVLFRMGAAAIIMSNSPEKARYRLGPIVRTLTAARDGDYRCAFQEEDDEGITGINLSKDLPVVAANALKGHLVAFGLAVLPTSELLRVAISFINHKIINKFFTTTKDSNNNYYRPGFHRLFQHFCIHPGGRRVLDEVQRGLGLTDDDMEASHMTLHRFGNMASSSLLYELAYIEAKGRM >ORGLA04G0006700.1 pep chromosome:AGI1.1:4:890558:892113:1 gene:ORGLA04G0006700 transcript:ORGLA04G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAPLWFTSLAGLGAAYLTVVFLRLLPYLALYLRRPKDLRRCYGEWAVVTGPTTGLGRSMAMELARRGFNLVLLDLDRDNLREVSEAIREAHAGAVATRTVVFDLSTVGTGAGEEGMRRLREAVDGVEVGMLVNNAAVARPGALYFHEADVERLVAMIRVNAMALTAVTAAVLPAMARRGRGAIVNVGSGSTVAVPSFPLYTVYSSSKRYVEQLSKSLYVEYKGKGIDVQLQVPFYVHTNMLSAAIKDRMLLPAFVATAGDYTRAAARWVGHGHIAVPDAGQQLQWFLAAFVPDFAHDWYRLRKHLQHRAILWNLI >ORGLA04G0006600.1 pep chromosome:AGI1.1:4:873519:875629:-1 gene:ORGLA04G0006600 transcript:ORGLA04G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGGGGGDGVTVVGRAEIDMRAPFRSVKEAVVLFGEKETPLLAGDGDVHGPGLHRLRLMNRAATTPPAIWPTFSQQQVSGGVVAVTRHVPAELEEARQELEKERSEKQKMAGCILSLQEELSNAMSELNKLKARDDVGDGDGGEAAAKVIDLQVEDLKFVEIDDDKPQPRQQSPSPGEFQKRRYVTFADPPPPTASAYDRAPPQAPLPDVVIELRHRHNHSSTPSPPQLREVRFMRQMSAGHGMMKAAAVAAADQEGRKKKKSLIPLVGALFMRKNKSSSCSCHNDDSALNPRTSF >ORGLA04G0006500.1 pep chromosome:AGI1.1:4:864899:865384:-1 gene:ORGLA04G0006500 transcript:ORGLA04G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERFLAEPLKATTVTCIPRLRGGGGGGARRHRRAASSGGGAAPPVSSSVLDRVRDVVLRLAMLSAASTTTKQGALPPQQQTATPIRTSPGRSAAATSRRSAAVRMSPAAPAYADSYRSEAVDECIEFLKRSAAGVGVGGAPASPAVGVMNSPSPLHACAM >ORGLA04G0006400.1 pep chromosome:AGI1.1:4:844652:845086:1 gene:ORGLA04G0006400 transcript:ORGLA04G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMPRRSPPRRPVLWPPAGGRRTLLRDSPDLRRVALVRAGPHALRLCSARWPARGRRRWGKKERTREREIMTCGTHIYLAHSNSLPMMIIAVNDGWVRMIRNLIKTNIKFTLHDKLDPLVIKTAGLALVNLGWSHVSANVSYG >ORGLA04G0006300.1 pep chromosome:AGI1.1:4:834082:835016:1 gene:ORGLA04G0006300 transcript:ORGLA04G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTLLLPSSSSSSKTATATDTSDRRRHHHHHNHTKRKKKPPPPSLSPMPRTPPPAGSGSHRAMAPSSKKSTKQVVVAAKTTTGHRPKKAAAPTMPSRGGAPSASAAPVSSSWEQLKSLLSCRSATAAARVHDPAAPSSALSRLRSHGAGACGASLCAIRDVVDAASSASAASTAAASLDRDTTPLTRSSRRAHRAATSSSGGGGGHHASLRGLSGCYECRAINVEPMSRRYPRPRELCACSQCGEVFTKADSLEHHQAIRHTVN >ORGLA04G0006200.1 pep chromosome:AGI1.1:4:826322:828949:1 gene:ORGLA04G0006200 transcript:ORGLA04G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G26610) TAIR;Acc:AT5G26610] MERRDRGGGSSSRNPHGAPVEEEEELEDDFEFRLPMSHRPTENLETEGLEQASVDTQLTSSNVGFRLLQKMGWKGKGLGKNEQGITEPIKAGIRDAKLGVGKQEQDDFFTSEDNVQRRKLNIELEETEEHIKKRELLALHIDICILFMQELLASALMILEHFGHFLFGGSFSCLVQIMTIQVIAEREQKIRSEVKEIQKVFFCSLCNKQYKLAHEFESHLSSYDHNHRKRFKEMKEMQSSSSRDDRQKREQQREEKELAKFAQLADAHRKQQQQKQEPSESSSERITMKNLPNPSNQDQRKTLKFGFSKMAPSKVPHISTTYFVKLI >ORGLA04G0006100.1 pep chromosome:AGI1.1:4:814186:820016:-1 gene:ORGLA04G0006100 transcript:ORGLA04G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic initiation factor 3 gamma subunit family protein [Source:Projected from Arabidopsis thaliana (AT2G45730) TAIR;Acc:AT2G45730] MAAIPREAWEGCSVLLDINDGDRLAFFRLTPAATVKVGNRTCSLQPLVGRPFGSLFSVGPSGLVPCADAPSSRDDTTQDAADGPSQDETRDNRSLVDNNTAQNLSSDDIEAMKRDGVSGDEIVEALIANSSTFGKKTVFSQEKYKLKKQKKYAPKVLLRRPSTRSICETYFKKSPARTGFMRVDALSLLLSMANVGPYSDVLVVDMVGGLVVGAVAERLGGTGYVCSTYLGSAPSSIDIIRMYNLSSDMTTRIVQAPLSGLCSLQNSGDVSSGLNDSIQGEAQEPTAVPVENTQPSVPQPTDTAVPDEKTQSSKEQSIDIDIPEPLLDEHVNQDGNSSLDSKRDEDGSSIGPKSLKAGKAPSPERMKYWSEHGFSSLIVAAPGHDVESFVADLLPLLSYSAPFAIYHQYLQPLATCMHSLQVSKMALGLQISEPWLREYQVLPSRTHPHMQMNAFGGYILSGIRIHNGDACNGSK >ORGLA04G0006000.1 pep chromosome:AGI1.1:4:802829:807620:-1 gene:ORGLA04G0006000 transcript:ORGLA04G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAAIPPAPAPTPPAPAPXXXXXFLRRSVLPPPPAPHRAPPPPGAHVHYFRAASPIPIFRAAASSRPPRPPPPSTTAAAAPPPAAPAVTPARPPPQQPAVAVAPPPATTTATATEEVAAPATGNPMANAADNEEKNERETVQSEVAKGETVQGPDKESTTGTVKGIKRPRKPKGFKKGDAGPSLFSPSNCRYDSSLGLLTKKFINLLEGAEDGTLDLNKAAETLEVQKRRIYDITNVLEGVDLIEKTLKNMIRWKGFDMSKPKERERQISALKLLELNLITMTVMVPLYVSSKETMEEIESLYDEESRLDDEIMEAQEKLNALRVDEDRRKLYVSKEDINAIPRFQGSTLIAVNAPRGTYIEVPDPNLDMDIYKDLDNQEKHYQIVFRSAMGPVDCFLISNHQETFNTDQQMADNLDAAVTSGSSQAPQQMDYVQASEIGESNGVREHTSEPSKRDDPVPGIVKIVPSDDIAADYWLSSDADVSMTDTWGT >ORGLA04G0005900.1 pep chromosome:AGI1.1:4:770911:771243:1 gene:ORGLA04G0005900 transcript:ORGLA04G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLPDLVLPRPDTVSVVDGVQDVLSLVDGVHQLTQLPHDPMVAHARFLLLHRVHGHCDLPHFSHQLCNVLSVISQASVLPRHKGFRDFGDLDARRNRRPNRRNCSNRHA >ORGLA04G0005800.1 pep chromosome:AGI1.1:4:759012:762314:-1 gene:ORGLA04G0005800 transcript:ORGLA04G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSRRWAGAVESGVDPVAEAWAKLLRSLSQAMARLRVQMESFDDAQMRWALAAGVRAKAQLLASRLAQILALFWDEGQRAALPACVRDALYGMEDMVDDLEYHMLKFQPHQQEVRCNLLISLVNLRYRFIISYASRSRFLKDLDFVASEAGSLLSAMHKLEPTAPPLPALLLADDDHQVVFGRHKEVTDIVRMLIDPPASHHHHHPTYDILPIVGMGGVGKTTLANLVYDDAKVKQHFELRLWASVSTSGGFHKIDITEQILRSANPTYPASIHSEPTLDMLQFHLSQLVASKRFLLVLDDIREESFTSMACQEILSPLSSAEKGSRILVTTTTASVPAMLGASCTYHLNVLDIEDLWSLLKKYAFHGGPTHDSTQELEEIGRNIASKLKGLPLAAKMLGGLLGATKSTKTWMNVLDKELYGDSILPVLELSYSYLPRRLKQCFSFCSLFPRNYKFNKRVLIQLWMAQGFVQSQNSADKNMEDLAEDYFEELLSRSFFDVRREACETHYVMHDLVHDLAQSVSADQCLRVEHGMISEKPSTARYVSVTQDGLQGLGSFCKPENLRTLIVLRSFIFSSSCFQDEFFRKIRNLRVLDLSCSNFVQLPNSIGELVHLRYLSLPRTLNMLPESVSKLLHLESLCFHKCSLEKLPAGITMLVNLRHLNIATRFIAQVSGIGRLVNLQGSVEFHVKKGVGCTLEELKGLKDLRGKLKIKGLDNVLSKEAASKAELYKKRHLRELSLEWNSASRNLVLDADAGILENLQPPSSIKELHIKRYQGAICPSWLQLSSLEQLQSLDLINCRNLEILPPLGLLPSLKYLCMKELCTVNQIGHEFYGDDDVPFPSLIMLVFDDFPSLFDWSGEVKGNPFPHLQKLTLIDCPNLVQVPPLPPSVSDVTMERTALISYLRLARLSSPRSDMLTLDVRNISILCWGLFHQLHLESVISLKIEGRETPFATKGLCSFTSLQRLQLCQFDLTDNTLSGTLYALPSLCSLEMIDLPNITSLSVPSDIDFFPKLAELYICNCLLFASLDSLHIFISLKRLVIERCPKLTAGSFPANFKNLTSLKVLSISHCKDFQSFPVGSVPPSLEALHLVGCHQS >ORGLA04G0005700.1 pep chromosome:AGI1.1:4:735727:740552:-1 gene:ORGLA04G0005700 transcript:ORGLA04G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAVSMRQTGEVICRLHMWNRDGLSTAANSHYECINRPPLSIRTNPTHFCSCPCDSSERSSPDNYASSVASDSNGGGIGARNGHPLRYSSNVHLLDENVNLISTESVAQLDTAMGRCGEIIGDHREELLMIFTNNSDMLSSLFYEYDTPWILGKNTKTSMDFSKCEDLGKDYLIMLKISTKVLQSMFCQFCGQNQPFFQTKKDYFQQFAKSPIKKMLEIALSFSASNWSEEHIRLMLLAYDTLQDVLPTIRELSPDEPDEFFTSILHNMRNASRGIIDNMKRFIQLKVQTWDNIAIHPTTCFLINAIKIFNVHKNLLHSTLVPGDGQDSFGYLINGVIACWKLKIKELSMLDDPDKNDSDGNNPNLFIFLLNNIKHFNRDTNGLLDGLLVHRELIEECKNEFQSDMENYTSRYMTASWGPAISCLNNHTGGSIRQSMNAFISKFEGTFDCQKVLKVPDSELKQKLRDDIENLIFPAYERSFDELQRNSNSGLFCSCFPRNLTCSMYTPEILRRSVQGLFEG >ORGLA04G0005600.1 pep chromosome:AGI1.1:4:726116:726877:1 gene:ORGLA04G0005600 transcript:ORGLA04G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGDIPVGLWYPVPGGTDEINQRKSRWILGLNMLQLPEKQRREHAVAEAFGLGEVLRGEDGIVKLDAWPCLALKCGWVGEVALKDLGRGCGGVAGRPRHRARVGWPVVAACGETRRATGFHQNNCIPQP >ORGLA04G0005500.1 pep chromosome:AGI1.1:4:714069:717955:-1 gene:ORGLA04G0005500 transcript:ORGLA04G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase/archaeosine transglycosylase-like family protein [Source:Projected from Arabidopsis thaliana (AT1G19920) TAIR;Acc:AT1G19920] MREHEYLQSLHFNCIRLPDGAGVVNMSLPIVLAIGDREKEEIGASPDVALQGPDGAVLAILRRVEIYPHNKEERIARTWGTTAPGLPYVDEAIAQAGNWLIGGDLEVIEPIKYNDGLDHYRLSPQQLRNEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKQMAFFDPSRSKDFLFISGTKMRAFAKSGENPPDGFMCPGGWKVLVDYYNSLQTEEAAVATV >ORGLA04G0005400.1 pep chromosome:AGI1.1:4:701389:704223:-1 gene:ORGLA04G0005400 transcript:ORGLA04G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDGQLLFPVMWIYVSPGFSVDKIYQKMLEAATGKPSSEFSNLDTLQMRLEAELIDKRFLLVLDDIWHEKDAIAQDKLNQLLSPLKVGKKGSKVLVTTRFKDVAMSLGSQRIIPVPNFNEEDFFNLFMHYALDDAVSLDGQERETFHTIGREIARKLKGSPLAARIVGARLGKHLDATVWTRVGDQHLLTDTMGVLWWSYQHLNVQVRRCFAYCSMFPQGYEFKRDELVDLWTSEGFIKTTDSAEQMDVVCQSYFDELVSCSFLQPKDIFGSKNKWFTMHDLLHELAAMVAGTDCFRVESGDMKEIPPDVRHLFIRSNDQTKFAEKICKLKKLRTLILITTFGGLGITIEELEAMLKKLKKLRVVHVDVQGQMVSIPGCICELKHLRFLRIHSPLSEKVHLPKKLDTAYHLQILELSGVGVLHFSNVQNMSHLINLRDIRNSGFVFPNSDVPGFPGIGELKSLRELSDFRVRKDKGYELKQLKSINHLRGRLRISGLESVQSKEEALEAKLTDKKYLSSLSLEWSQSSPEQHSCPPELQVEILEGLCPPSQLTELEIQQYNGLRCPSWMSSENQNGLFMNLQDLQLCRCYNLEHLPEIGELFVSLRWLKLVGFPKLKRMPRLPGTLENLHIQQCKALVMTSSEDVNMIRSLFVETATQIEPSLNITATEVVEIDRFAGEQPDRFEKILCDIFGRCGSLPGELIRGHIREEDYSELTLPATVVDRLIISYCFVTNTVLHRCLTGSANLVSLNLRCLPFLTEIPSEVMESMAKLSDLSIEDCIQFTHLEGLNNLSRLQHLTIAKCPNLRALGEDEKVRSLNGLAIDDIPLVPQLLSREGCSSVWSLRIDESEQLRGGDILEQLTSLISLDFSCCSWDRLPENLVNLTSLENLRLDYCKKIQSLPELPASLQSFEVEDCDALFMKSCQKAGDQNCQKIAHVPVKRFSS >ORGLA04G0005300.1 pep chromosome:AGI1.1:4:660924:661863:-1 gene:ORGLA04G0005300 transcript:ORGLA04G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPPPPPARAERHGTSTVRVTRVKLLKPRDTLILGQAYRLITVDEIQLVVRQVLEICQVRCQHLNSSSPAMNKISVGRMPECERKSPKFHGREPHKSTHVHHGGVVLLLELGDDGHVQVAHVARRW >ORGLA04G0005200.1 pep chromosome:AGI1.1:4:629735:634476:-1 gene:ORGLA04G0005200 transcript:ORGLA04G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (Ran-binding) family protein [Source:Projected from Arabidopsis thaliana (AT2G17975) TAIR;Acc:AT2G17975] MAGGGGAGSSTGGSGGGGGGGGGGREGDWDCGGCGNRNYAFRSLCNRCKQPRLLVDPNTPPDSKWLPRAGDWICTGCSNNNYASRKNCKKCGLPKEEAAMPALSMAGMAMPAYANYIARMQGLAGFKMNMNFGMAGNSALQQQLLASANWPYALAGRYGMQAAGWPFGGNNANQFSAAPKDWRSGDWLCSCGFHNYSSRTQCKQCSAPVPSGIPSTTMKTTVPDSSSTLGTKRLASEELANEWDNKRLNPGNASYPLTAGTDNLFGGIEQGAGSSNGQTPYSKFDNGNSIALPSGQVSAMPGLIGKGAKWREGDWMCSNCNNHNYASRAFCNRCKTQKEASVHPGVL >ORGLA04G0005100.1 pep chromosome:AGI1.1:4:626495:628090:-1 gene:ORGLA04G0005100 transcript:ORGLA04G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLTLSSTALLRLIKSLSPAVRGAHLSASCIHCLLLKAGLLHVGAHLPTALLSAYAALGSPDHARRLFDEMPEQGLVPRTAMARAHVASGQAAQAIAVFGDMVADGVFPDNVALAVALGACHGAGSWTARRNPGKMIHALIVTSGIEPDVFVSTELIRVYGECGELAVSRKVFDGMLSRSTITWNAMLHQYARHGKVDTAYELFLAMPRRDVVSWNTVMAGYCVAGRCREALGLFRQMVSPSSCTVHPNVPTMSTILGACAGAGCLETGIWVHAYIERNRMNDDGYLDRCLIDMYCKCGSIDNALQVFEKAPRKRDLFSWTTVICGLAMHGRATDALRMFDMMQDIGICPDDVTLVGVLNACAHGGLVDEGLAYFYSMEAKFGITPKIEHYGCMIDLLGRVGRLREAYSMIRTMPMDPNTVIWGAFLSACKVHGNMELGKIAAEEVTRLDPDDPWGRVMLSSMYAKAQDWIGLARERREMNSMQVKKTPGCSSIELKGEVHEFVAGGSQHPQYAEICSVLQDVEAQTNVG >ORGLA04G0005000.1 pep chromosome:AGI1.1:4:622518:624602:1 gene:ORGLA04G0005000 transcript:ORGLA04G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMARQPLRLLLAAVAAAAMLSFLLLLAPPPDAFLSAFLSPSSPYAHRPKLLFLLAGQSNMAGRGALARPLPPPYLPHPRLLRLAASRRWVPAAPPLHADIDTHKTCGLGPAMPFAHRLLLLLHSDEVLGLVPCAVGGTRIWMWARGQPLYEAAVARARAAVADGGGDIGAVLWFQGESDTIELDDARSYGAKMERLVADLRADLHLPNLLVIQVGLASGEGNYTDIVREAQKNINIPNVLLVDAMGLPLRDDQLHLSTEAQLQLGNMLAEAYLKFNSSRGSML >ORGLA04G0004900.1 pep chromosome:AGI1.1:4:614115:620319:1 gene:ORGLA04G0004900 transcript:ORGLA04G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPSPPPAATTATATYRRRGKPKPKTKRKAAKPPSPSPPPKRQQARDDPPPPTPHSPLNPAPEDAPPQLDAGERDPPPHARVKAAKLPPVSEEVQAVLSRGAGVHVVPSFAGWFSWKEIHQIEKQALPSFFDGKSLRRTPEIYLGIRNFIMKKFHSNPQMHLELKDLTELSDGEMDAQLKVLEFLSHWGLINFHPFPPAVQGMSELFESITNADTEEKISVVEKLFQFETVQSYLIPAPNQAELTAPIHTQSLLSEPTLAEDSITQAESSVEYHCNSCSVDCSRKRYHCRTQADFDLCCDCYDKGNLDAGMSQTDFIIMESAEIPGFGGTSWTDQETLLLLEALEIFQAKWGDIAEHVATKTKAQCMLHFLQMPIMDLFLHDGDVNETSQETAEQVSAELGTSHVTEKKEVEDKAEEIKTNDRKTVAKPKLNLTETEVNLDDNAANNDTKSSGDINVDVCSNTGVSNRSSDTEPTKKETSGENTSNIVNDALKYAFEAVGHIPKIEELGSFTEAGNPVMALAAFLSGLVDHDDVTTLCCSSLKAISDMSPALQLATMHCFILQDPPNDLKDPPVSISFANTDGGQQKDKDATSNPSVTDKDDNLKEESALSVEEPNTTSPSSKNTRKLSNAKESKDESPQMEPKPSSANDCDNPISQVAKRASDNIRGHSSTSLPVSLNNTNEPCFISSQEASAGNTKDTSHTERIEGDEPIYEDPPLQGKVESNKIEHEVTDLSTVQQHESIQTSLKKGHIQDHNSIKKIVADDVSIQRLQRAAASAISAAAVKAKLLAKHEEYQIQRLAALVIDKQQLHKMQAKMSVFTEVDNLALRAREHTERTRKKLLMERSAIIASRMAALPPRPNHQPGMPGSRLPVGYGVNQHLRRS >ORGLA04G0004800.1 pep chromosome:AGI1.1:4:608670:609266:1 gene:ORGLA04G0004800 transcript:ORGLA04G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRRRHPDVHVKALEGIVSANTFFTVAVFIGITGTITPSSSIPPNCVAGDDIARNFFLFEILSFGFYLLSSLVAQGMKLAVTLLATDDFYGDGEQKPPPSDDCEEMPAWRAAAPRERRRAVLRFARPMMLLAAGCSIMGTFFLLLSMVDAIQLKFGLVSCNIPLAVGTTFALAALVVAGLVFYGATVAYALTHYLP >ORGLA04G0004700.1 pep chromosome:AGI1.1:4:585340:585913:-1 gene:ORGLA04G0004700 transcript:ORGLA04G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CIAKEAELMLELLKHGATDTDDIIQQSSVIRLCAMSLFHLQGCQSLVAAGAMLGVAKEAKMLCDWMKKENKLVIFSKSLSLPCCELEESRLIRIRALDVMMTILQQSSFPSSKHDLEFGRGLVMNCAICDQE >ORGLA04G0004600.1 pep chromosome:AGI1.1:4:574244:575838:1 gene:ORGLA04G0004600 transcript:ORGLA04G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT5G25310) TAIR;Acc:AT5G25310] MAPGEAPARSFVVVVLAVIALSAIVAVAGKKKALSTVDVEDELDAARAAIRRAARQHHRRGGGGEGGDVGSANWLRFYGGEADYDLLSRVYRNPAAFYRSYVEMERRFKVYVYEEGEPPILHEGPCKNIYTIEGRFIEQLELMSPPDDDGGVRTWDPTRAHAFFLPLSVSQMVKFVYRPPSQDRAPLRAIVADYVRVVAARHPFWNRSAGADHFMLSCHDWGPYASRGQPELYTNAIRALCNANTSEGFRPGKDVSVPEINLYDGDMPRELLAPAPGLESRPLLAFFAGGRHGHVRDVLLRHWKGRDAATFPVYEYDLPAAGDYYSFMRRARFCLCPSGHEVASPRVVEAIQAECVPVVIADGYALPFADVLRWEAFSVAVAVGDIPRLRETLERIPAAEVERLRRGVRLVKRHLMLQQPPRRLDMFNMILHSVWLRRLNLRLHH >ORGLA04G0004500.1 pep chromosome:AGI1.1:4:568443:568682:-1 gene:ORGLA04G0004500 transcript:ORGLA04G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLVLLLAVSGSPAMADVVSYSFAAVGGGRAASNGLVVATNSSILSPATFLFDAQLFPEKYQMRVVSAAVDALRVN >ORGLA04G0004400.1 pep chromosome:AGI1.1:4:546773:558700:-1 gene:ORGLA04G0004400 transcript:ORGLA04G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPNMLRLLFLVCCSSSLAASAAASSSSGSACSCSSSDETSYSFPSTRIEDTLALLDDAEISSNNGSTILHLTPAASWNKSGTALLPTPVTLWRRLDSQTTAAQPGSYSKQDASLNTSFTMRVQYANAKHSSADDAAAAAAGLNNGLAFVIVPTINGLPPPGSTAAFAAEFDVRRQPDDNRSITVSITTGGNIIAAATTTTTNQTNCSVWIDYNGDKHRLLIYIDLQDRPKPEKPCLDVPLNLSSVVPDRAFIGFSASTTTTGGSSAMDELLLHRYSILSWSLTVKLPPSPHGLEFEWKVILPAVVGTVAITAIMNVIVAALYLNSKYNKLKMELVLTEALRRLPGTPREFKHAAIRKATNNFDEGRKLGNGGFGAVYRGTIRSSSSSPGKNGAAAAAVSSSSVEVAVKRFTRDENRCYDDFLAEVDIINRLRHRNVVPLVGWSYEKGELLLIYEYMPNGSLDRQLFPKEKPGRVLGWRTRYSIVTDIAAGLHYVHHEHEHMVLHRDIKASNILLDAAFRGRLGDFGLARIVVGLDKNSYTDVGVAGTWGFIAPEYSVSHKATRKTDVYAFGVLLLEIVTGRRALCKFQGTFQLLVDWVWRLHREGKLLDAVDNGVASSSSSSSTEEFDADDAIRLLLLGLACSNPNPSDRPSMMEVVQVVARSAAPPDVPLVKPAFVWPPEGGVEVDSTGSDVDASLCEWDQEETSSSSDALAVRVQ >ORGLA04G0004300.1 pep chromosome:AGI1.1:4:535137:539467:1 gene:ORGLA04G0004300 transcript:ORGLA04G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLWKDIHIXTEDVDAFIKDRGDGSMGVIQFKTLINLCGANMQFTLNVTLEESIKERRDKLVTKVHCMIQGYTSSKIHLSHGMKIVDAIERLGVGYHFHEEIGMFMRVLNDTPARENDMAEAALRFRLLRQHHYNAPSDVFGCFLDKNGDFKETLRHDVDALLSLYEAAHLGKCDEDLLKSAVVFTTSCLSAMAENDQLPQPLLEKVEHALTSPTQRRMKRLEAKLYISIYENDEDSNHDILELAKLDFHILQQMHRDEARRFSLWYKELNVRSTLGPYIRERPVECYFWSLCVFYEPQYAKARMMFARLIKIFSLFDDTFDSYGTLEELHLFNNAVQSWDEGAAKQIGDYFGYVMSLLSKTLNEFVVGGASPLGIDCTKKTIKEASRCMLQEIIWREEGQWEKDGGGVPTAVECYMKEYGVTVQEAKKALWCLVEEQWRSINQEFLSNTTVPIPLLTRVINLARLMETLYKTTNGYTHCSGVTDPISNVLDTCVSH >ORGLA04G0004200.1 pep chromosome:AGI1.1:4:516910:518758:1 gene:ORGLA04G0004200 transcript:ORGLA04G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLGVGGVAGAGAGLPLVLRRRTRSRTPPPPMVMTPLHRSCFFFRPQPSSLSHYPSPSPCAAADLFTVDYDPEEEEEEEEDEEGSPWEGAVVYRRDASVHHLEYATTLERLGLGDLSSPHSRACASTMGILILSSPNLTGTKDETPVLVSLDVARRRGRLRLDGIIRTVITLGCYGCAEPAPQGIFANFSLLLTEGRVEEPDVVDLGTIFEEEQTKAPVLTGSQEDGDDEDIDWDDRLHFPAGEKEIDISKHIRDIIHLEITLDALCSPTCKGLCVGCGENLNTSSCSCNTEKQQAKAKNVQRRGPLKDLLKPLQR >ORGLA04G0004100.1 pep chromosome:AGI1.1:4:501974:504329:1 gene:ORGLA04G0004100 transcript:ORGLA04G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock protein 90.1 [Source:Projected from Arabidopsis thaliana (AT5G52640) TAIR;Acc:AT5G52640] MAAAGDVQMAEKETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRLVPDKPSKTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQYVWESQAGGSFTVTLDTAGERLGRGTKITLFLKDDQLEYLEERRLKDLVKKHSEFISYPIYLWSEKTTEKEISDDEDDDIDDSKGKEKEGDIEEVKDKKKKKKVKEVSHEWVQINKQKPIWLRKPEEISREEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPRRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEWLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFFEIADNKEDYAKFYEAFSKNLKLGIHEDSQNRGKLADLLRYHSTKSGNELTSLKDYVTRMKEGQKEVYYITGESRKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDDDDAKERKRSFEPLCKVIKDILGDRVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGAYMSSKKTMEINPENGIMEELRKRADADANDKSVRDLVLLLFETALLTSGFSLDDPNTFAARIHRMLKLGLNIDDDDAAAADDDADMPALDDAAAEESKMEEVD >ORGLA04G0004000.1 pep chromosome:AGI1.1:4:490152:494492:-1 gene:ORGLA04G0004000 transcript:ORGLA04G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVKPTKGLTKSKKEKVDGDAGGMITDDCVEFTKVNTKLISVFRPFTDVSLTLCRSNYIGVTNLPIVSSECEVYYDDFVSGADFTARPQVVPPXRLAVPLDFRFFPWPDIFNQGSGGIVVKLLQPWPPPIQAEVKAKVEKLNLHGQKLEIQIIVTVYSVPMATIEGLQLLGERMLQEEQLKCEVVKSNWYSFSNLLVGDMMDTTLPMQSLGQLVPSYNLAQSENENLLIQQVRSWCRFKFSANYFLIKPYQWRKYMVDAPTYQGFHFQGMIKQQIDGVDKMLLYYHQISIVYCSVSEDVVYDVTWTPVMPSKWIHVVAIGRTWLLSSFALINFLEAGTVQLAVKLVYVKIAEMTRIRSWDSGIVNLVTIIACQISTEVKKGGSDTLDLSSVCKYKNAHDSIQVGTVSSISLSLTMFAVLPYRKLVTSIKIPNYNSGQMEVQCIHQSASFVTSIGMESSLVVAFYGSAGAYGLALDDHLQLPWDLGGIDLELQLHQLGNKLIFKAGRMSCNWAGSGWDRHPQQALRQGRGSVGARYANVTSPMAAMGISRAWGPGRDATSLRWELS >ORGLA04G0003900.1 pep chromosome:AGI1.1:4:482517:484039:-1 gene:ORGLA04G0003900 transcript:ORGLA04G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPFRLPLAVVLLFAIICVLLASPSCHADDLTATMTNEHEKEHQLMMMMMDRFHRWMATHNRSYASADEKLRRFEVYRSNMEFIEATNRNGSLTFKLGETPFTDLTHEEFLATYTGGVRLPPERRGMQDDSDEEDAVITTSAGYVAGAGAGRRTAAVPESVDWRKEGAVTPAKHQGQCAACWAFAAVAAIESLHKIKGGDLISLSEQELVDCNDTGNGTCSKGYSDDAFLWVSKNKGIASDLIYPYVGHKESCKKQLLGVHNATVRGVVTLPENREDLIMAAVARQPVAVVFDAGDPLFQNYRGNGVYKGGTGCSTNVNHALTIVGYGTNHPDTGENYWIAKNSYGNLWGDNGFVYLAKDTADRTGVCGLAIWPTFPTIR >ORGLA04G0003800.1 pep chromosome:AGI1.1:4:475614:477485:1 gene:ORGLA04G0003800 transcript:ORGLA04G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase [Source:UniProtKB/TrEMBL;Acc:I1PIL9] MAKKNYGQVYNILGWGDPYFTVNSHGHLAVKPHGRDTMSGQDIDVHSVIHRALATTITTNDGDKKPQFPMILRFPDVLKNRLDSLHAAFHGAVDSTGYASRYQGVFPIKVNQNKAVVQDLVTFGHGYSYGLEAGSKPELLIAMSCLAKAKPGAYLVCNGYKDADYVALALSARAMGLNAIIVLEMEEELDIVVEQSARLGVEPVIGVRAKLLTKIPGHFGSTAGKHGKFGMLADKIYELAGKLKKMGKLHWLKLLHYHVGSMIPTTDIVYNAAAEAAGIYCALVKEHGATGMTTLDCGGGLGVDYDGTRSGSSDMSVAYGLEQYASSIVQAVRLTCDDNGVPHPVLCTESGRAMASHHSMIILEALSAIPEPQDEEDTHHRLLSKIQDLSSKQPRTAHTVNGGGGVDVMHSHAVELKKHGIEMYKLAKKLSKRVTGDANGIYNYHMNLSVFSLVPDFWGIGQLFPMMPVSRLNEKPTINGTLVDITCDSDGKVEKFIRDAVTLPLHPLDDAAAEHGGYYVAALLSGAYQEALACKHNLFSGPTLVRVESAGGGGAFKIVSVELGPTAEEVIGTMRYDVKNDISDVIEKVATENGVWTMVEPLMKKGLTTMPYLNDYKPPKTTF >ORGLA04G0003700.1 pep chromosome:AGI1.1:4:463097:466212:1 gene:ORGLA04G0003700 transcript:ORGLA04G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G12550) TAIR;Acc:AT1G12550] MVAPSPDAGKPLVILPRPLYPEFAAALDGRFRFVLAADADEGNAAEARAVLVPALTPVSADLVARLPKLEIVVATSTGVDHIDLDACRRRGISVTNAGEVFAPDVADYAVGLVVAVLRRVAAAEAYLRRGRWVADGDYPLATKVSGKRVGIVGLGSIGGLVARRLAAFGCVIAYNSRSPKASAPYKFYPSVRELAAESDVLVLSCALTEETRRMVGREVMEALGKGGVLVNVGRGGLVDEAELVRCLREGVLGGAGLDVYENEPEVPPELWGMDNVVLSDHRAVITPESIQGVVDIVKANLDAFFSGKPLVSQVQL >ORGLA04G0003600.1 pep chromosome:AGI1.1:4:460737:461717:1 gene:ORGLA04G0003600 transcript:ORGLA04G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPVLLLCRLFPGTFTDVAHRFRLLDFYASALPIHAFLAAVAAHADPPRVVLVFGGGPIPVGAELFDAVPSLRCIITVSAGTNHIDLRECARRGVQVANAGGIYSTDVADYAVGLLLDVLRHVSAGDRFVRRGLCPEQRGGDFLPLGSKIGGRRVGIIGLGSIGSAIARRLEAFGCVVSYHNRRRREDVAYAYFPTATDLAASSDVLVVACALTAETRRIVDRGVLDALGERGVVVNVARGANVDEAELVRALAEGRVAGAGLEVFDDEPNVPPELWAMDNVVLTPHQAIFTPESMADLSRVVLANLDAFFAGEPLLTRVEASE >ORGLA04G0003500.1 pep chromosome:AGI1.1:4:457983:459516:-1 gene:ORGLA04G0003500 transcript:ORGLA04G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVAAPPATGGKRPVLLLRRINDRLAAELRSRFRVLNFYESGAPLLAFLAAAAAGPDPPLAAVVVAGGAIQVNAAFLDAVPSLGCVVTTGAGVDHIDLAECARRGVAVAGAGTVFSTDVADHAVGLLVDVLRRISASDRYVRRGLWAARGDYPLGSKLSGKHVGIIGLGSIGSLIAKRLQAFGCTISYNSRRPKDSVSYNYFPDVTDLAAASDVLIVACALNDETRHIVDSSVLEALGKDGVIVNIARGGIVDEAELIRALKEGRIAGAGLDVFEKEPDVPAELLSMDNVVLTAHEAVFTTESNWDLADLMIANLEAFFSGGPLLTPVLPK >ORGLA04G0003400.1 pep chromosome:AGI1.1:4:436973:438688:-1 gene:ORGLA04G0003400 transcript:ORGLA04G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMSYLIFSPGAGCVGRPPNSSSSHVAVVTAASPPSSSLATPGEAGLQAPRNSLDLLDADLLRRDIQIGVQIEPAFDALARPRPSAPTSEAETPRTPSLVARLMGIDGLPDSPSPATSSNSKPREKKKRVIPESISLRQPLRDLSRSLPDTPRASTSSVRPAAAGAPTWDVVDHPRLSLQVLKDNVLDRARQYMSMPTSPTSLSKKKKTRSRRDATAAADGHSSKENAVREIVRQARETVTNRKSKKNAAAIGKENASPVHHHHHCGKENAPPTAKQAAAAPPMRAPLAEQQPHAPRLPLQPRPAPPPPPPQQQRATPSRPPPPPPPLDPPPRAAAPPAKCKRPDGCERFATRIKKPAPPPILPAQPSPTSSTDVRDIVVSGERKITSSTPAVTAPPATVDEDPEYVYLRAVLERGGFMRARAAALKGHSVETPVDPLVFHLLELELPADEARLGPLRHRWNRKLLFQLTQEMLAEQLLGLDPTSPSTSSGAALVARLWRRARSFPAADCRVVEDILALVAADVEAAARARRVVERRLVAEEGGDVAEEVAERVLDALLDAEIAAVAGGE >ORGLA04G0003300.1 pep chromosome:AGI1.1:4:426860:430778:1 gene:ORGLA04G0003300 transcript:ORGLA04G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGAGKPPAVLLLRPVDPPFAVALRERYRVLDLLSSGQPLPAFLAAAAAAPDPPRAAVVMGGGSIRADAVLFDAVPSLRCVVSTAAGVDHIDLAECARRGVVVANSGTVYSGDVADHAVGMVIDVMRRVSAAERYVRRGLWPVQGDYPLGSKVSGKRVGIIGLGNIGSLIAKRLEAFGCVISYNSRNPKRSLPYTYYADVRALAADSDVLVVSCALNSETRHIVGGEVLDALGEGGVVVNVGRGANVDEAALVRALREGRIAGAGLDVFEGEPKVSPELREMENVVLTPHVAVWTAESRSDLRDHTVANLDAFFSGDPLLTPVMLP >ORGLA04G0003200.1 pep chromosome:AGI1.1:4:422382:426392:1 gene:ORGLA04G0003200 transcript:ORGLA04G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginase [Source:Projected from Arabidopsis thaliana (AT4G08900) TAIR;Acc:AT4G08900] MGGVAAGTRWIHHVRRLSAAKVSADALERGQSRVIDASLTLIRERAKXXAELLRALGGVKASACLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMNVVSESVKTVMEEDPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDAFEGNIYSHASSFARIMEGGYARRLLQPDGFQVGIRSITKEGREQGKRFGVEQYEMRTFSKDREKLESLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGDVVAGDVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >ORGLA04G0003100.1 pep chromosome:AGI1.1:4:419873:420325:1 gene:ORGLA04G0003100 transcript:ORGLA04G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHTITKNPRNNPQDNTASTQAFLQTEAVHIDQKAGGGGRRRHGSRGRLPPPRTDGRTRTGVPPPRTRERRWRTPRTSTLRREWALPDTASPPPPLPLEPAPSAPETAEAAAEKEEEESRHAWRRRSGAEKKRWGGGARAMEWETSPRG >ORGLA04G0003000.1 pep chromosome:AGI1.1:4:415008:415484:-1 gene:ORGLA04G0003000 transcript:ORGLA04G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKRSGGGGRGGAVGDCADTLRDAEERLRQSAAEMSRMGRSGSPRFAWRLSNVQTWASAALTDASTCLDSLATYAAPGIDVDALRKRVVAVSQATSNALALVNNLDPHHHL >ORGLA04G0002900.1 pep chromosome:AGI1.1:4:410227:412572:1 gene:ORGLA04G0002900 transcript:ORGLA04G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETVRSAIVGEAIRGIFSGVISKYEDNSNEGDNIERLEMAQIKLEAAIKTSNKWQITDTPLLRWQKKLKRASQECDDTLRKCKQRALEDKEIEVQVKQSSFPRRVAHATKSFVVSFIGHNNDGYSSNAVVRRFERIADSADSFLRFVQLGGRPRQYLFFDPLIAHLFAGKSLRYQTLHDGSQYHFFSIRPMSFEERGLEAMLFFVYEDCKVPKNSFRLGFILRLSESTDVMGITVKCLQSVTPHFKSTAEIVVRELTQLPTQDFSWLPPYHEYGSMEHWDNVQTTLTQWFRPDPLCCSKGYIPACSSSSYTKSSSLSSIFPEPVIQVFLQCHISLSEYNNLQGSSIIRYGTSSLEKFPLLKLWFLFMPHDSVEDLEPTSAAESYALEAIDGEKQQKGHVDVHPHQLDEMLLPKAINYLYHNAEATTYQMYWKSKHGSAHLSVEKTSMATPPQARRTTRRQGRMNKIRGLQMQEQIKNGQCWKQVQRLFSVLQMVSVIVKFHSSFIHPSCSFPVCIKMVAIDGLDHALLDRSGVHAGPVIRTLYVQQHVAMSALHGKDRDMQQKVTLLWTYKLNQISAFSGCWLAQYEPEMPLILSLQVCYGTSFTSKFNQELNLEAYILVYKMHPSYTLLSPI >ORGLA04G0002800.1 pep chromosome:AGI1.1:4:404208:404969:-1 gene:ORGLA04G0002800 transcript:ORGLA04G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PIK9] GCDASLLIVPTPTRPSPERVAIPNQTLRAFNIVNAVKSALEASCPGVVSCADALALMARDSVALLGGAAYDVALGRRDALHSNSWEVDLPAPFSSLDDTLRHFAAKGFTADETVLLFGAHTVGAAHCSSFRYRLARPDDGTMDESLRCDMVGVCGLADQPAAADDAMTFLDPVTPFAVDNAYYAQLMSNRSLLQVDQEAATHAATAGYVAYYAANPDAFLQRFSEVMAKLGTVGVLEGDAGEVRTVCTKYNTS >ORGLA04G0002700.1 pep chromosome:AGI1.1:4:399455:400783:-1 gene:ORGLA04G0002700 transcript:ORGLA04G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLGLGAIFQSGCPLLPPRPAVRRAPTRRRAVATKISCIGWDPEGVLGPPQGGHIARLEFRRRLERDSDAREAFERQVREEHERRRQEREARVIPDTDAGLVEFFLDTEAREIEVEIGRLRPRLNQPFFDYIQREIAQIKFSITRTAEMEDRLIELEAMQKVLLEGVEAYDKLQNDLVSAKERLTKILQSSDKKSTLLEMVERNELNMSILTLLDENIASAKTNNQEEAVAFMENVRSSILKYITV >ORGLA04G0002600.1 pep chromosome:AGI1.1:4:392724:395764:-1 gene:ORGLA04G0002600 transcript:ORGLA04G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MWRRQVGALLLRHRSTPSSTLRHHLPLPVPDQSPPLASNLLLRLFTSQSGEGGDGATKPFIAFVLGGPGSGKGTQCVRIASDFGFAHLSAGDLLRSEISTGSEKGELILNIIKEGKIVPSEITVELIRKAMESSDAKRVLIDGFPRCEENRIAFERITGTEPDLVIFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLKVFESLNIPVVDYYTSRGKVHKINATGTEEEIFGAVHKLFSSLSLFSLIEGIGKRANGGKSLHLQHAWTTIGHDAFSTAAARR >ORGLA04G0002500.1 pep chromosome:AGI1.1:4:386538:389106:-1 gene:ORGLA04G0002500 transcript:ORGLA04G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAESSPAATGKLRYNSPLVQVSLIGLVCFCCPGMFNALTGLGGGGQLDHSTADNANTALYSCFAVFGVLGGAAHNLLGPRVTLLAGALTYPLYAASFLYYNHHPSRQAFPVTAGALLGVGAGLLWAAQGAIMTSYPPPSRRGSYISLFWCLFNLGGVLGGLLPFSLNYHRAADAASVNDATYIAFMAFMLLGAGLALLLLPPSRIVRDDGSRATRMTYSSVSTEGWEILKLFANWRMLLVLPAAWASNFFYTYQFNNVNGRLFTLRTKGLNNVFYWGAQMLGSAAIGYFLDFGFGSSSRRRRGLCGVAAVAVLGTAIWAGGLANQLRYADGNWGERLIDFKDGRRYAGPFLLYFSYGLLDAMFQSLIYWIIGALANDTQILSRYVGFYKGVQSAGAAVAWQIDTHKTSLISQLIVNWALTTVSYPLLALLVFLAVKEEDSSVSSVEDGKEKDSKLSAPTSFH >ORGLA04G0002400.1 pep chromosome:AGI1.1:4:382758:383842:1 gene:ORGLA04G0002400 transcript:ORGLA04G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1295) [Source:Projected from Arabidopsis thaliana (AT2G46890) TAIR;Acc:AT2G46890] MAAGVKNMVIAILVPLPSLLFFFSFVRPTTTPSPVSSWCAAHPLLVANLLFLFNVDLLFWLIGNLLSNHWLIDLYWTVIPVMLLHYYRAHPAAVADTARSAVAVALTWVWSARLTHNYLRREGWQWGKREDWRFAEMRGQYGRAWWWMSFFAVYLSQQVFLIGICLPMYAIHSTTQPWGAWDVVATMACLAGIVIAHFADTQLHRFVTTNEKLKKVGEATVATMEAGLWRYSRHPNYFGEQLWWWGLYLFAWNIGQPWMVVGPLVNSLCLGYVTVLVERRMVKQEHRAEAYKLYQKRTSVWIPWFRKPVPQPYNHKDSSNQNSLKISHS >ORGLA04G0002300.1 pep chromosome:AGI1.1:4:374945:375337:1 gene:ORGLA04G0002300 transcript:ORGLA04G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSSNDTVNVASEVSSILSKLNDHLAGADEAKEPAGTSIITLAGENNGATMEVAGDVEDLVVVEAGGDEDDDEEEESVVSAYTNSNYQALNNSVLVAGSCAVKDPGVHVVIVEHVDEIRDYDDDVRDE >ORGLA04G0002200.1 pep chromosome:AGI1.1:4:367644:369566:-1 gene:ORGLA04G0002200 transcript:ORGLA04G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTEIVVGSIDEAAEKIIDFLDDTSNNRSTVIYFEANICGLGSSAVLKKVAKRLRSSSPEKLKLGLDKIIHVDCSVWQSKRALQKAIAQELELPRSVMAMFDQRDEEDDLDGVEHGARGVIPDIDVQECGVPIIMGLSSKRVLWTSQVRFGPWTGDDLHKFARLSDVAIYADPFDDESLLHEEAEEVARSTGVPKPGMSPEIVKECIMYYKVVRLIDGNHGIDWATHAANYWVCSGIIRSAGNTSAWEIAQALHTNLRLDWNDNVNNNEEKLAPLQVPCDSVIKASFFCTTSRGNKEATTSCKELLDDETFQHSSVDRLRVINLSQCTFSFASPPFLGCSSLRFLLLDRCKDKDKLRSGSAPNSTSAGDTDKDTGVSGGACFQKLWVLDLSYTDWYWLLSVEAQDLMVELRELNVKGVKHWSISHLLRDDNNSSTSVGSSTKPLGLLNLVKLQVATEPITEVQHQSQVLKEDQVAATLFPNLSSCKIVKTIILDGCFELTRIGPHDLPPSLESFSFSSNSNDNDVDVTAKIESISFRGCTQLKSVLLRGVFERLKQLDVSGTCIKTLDLRSMRGNWSLKELLLLGCKELRAILWPKQDVSLEVLHIDTSSTELDTRR >ORGLA04G0002100.1 pep chromosome:AGI1.1:4:351976:354578:1 gene:ORGLA04G0002100 transcript:ORGLA04G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIFLNNSFSYKLSMISGLFALHQFEIDSSSYPTLPSLLNEINGTSTATTVPLQGDPASDHHPHLSIDIPPAAASMSPAPTQAAADITPTPTTSILSTKASTPAGSCSSRSTSVAPKPQRSSSFMLRQTVKSLLPVGSFKSSVKFFNARISRTSSLPVTDVSQEQADKTSTTHAVDKAGHMYRSQSLPMNMKKLNNGKSFKRMNSLGGVYRVVPSTPSVPVTSSNVIPDIVPSEPGDEDGEDIAEEEAVCRICMVELSEGSDTLKLECSCKGELALAHKHCAMKWFTMKGTRTCEVCKEDVQNLPVTLVRVQSMQQPELQTIPANASRYDRLRMWQGAPILVIVSILAYFCFLEQLLVARDGIAALAISLPFSCILGLFSSLTTTSMVARRYVWIYATIQFLFVVFFTHLFYRYLHLQAVISIILATFAGFGVGMTGNSIIVEIIRWRAARAAAAPPAQTRHRRRRHGRRQQQPPPAQPAASSAAVADVENPPV >ORGLA04G0002000.1 pep chromosome:AGI1.1:4:338163:345508:1 gene:ORGLA04G0002000 transcript:ORGLA04G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH-type) family protein [Source:Projected from Arabidopsis thaliana (AT2G28450) TAIR;Acc:AT2G28450] MEMEMEDNKAPSPPSPMESDVAGEKRKREDDASSSAILAAANNTGGAQHPMWKTSLCSFFRRRAASSADGCSHGDSCRYAHSEEELRPRPDGTWDPTSDRAKKLRKVAADEVEEEVVTIDDKALDKCLVGLPRGWANDRLKTFLQDKMVMQGISYATAKKKKGMTVGFVTFENIEQLKNAIEVLTENQSGGKEIKIADANRRSHQKLHTEKPVSDNGVTTENGTSVDVPPGETSAPEAAISNKKSVRDAVTPLAHMSYNDQLEHKKNSVAQILKRLTRNARKACPTGIPLPDWVFKSKEIGGLPCKLEGILESPVINGYRNKCEFSVGFSLEGKKRVGFMLGNFREGVTAVEEPVDCPNVSEISCKYALMFQDFLQSSSLPVWNRVDNCGFWRQFTVREGRCRAQAVAQNAETQISEVMLIVQVCSTGVDDALMKDEFDKLTVALQQGAATCSPPLPLTTIVVQDHKGISNAAPADCPLIPLLVPKVDQSEGTVDKTRIHDHIGNLWFSISPTAFFQVNTLAAERLYTLAGDWANLNSGTLLFDVCCGTGTIGLTLAHRVGMVVGIEMNESAVSDAERNALINGVSNCRFVCGKAEDVMGSLLTEYLGSPQQDIPVSEGAVSGTVKDEEVIDGSKNSGENLDSSMQKNDNGESQQLGDAPADSSSSAIDEIKGNSNDRVGNGLEGSHDEYNEVAGEDIHGEASLINESVDLKVSDCLEDRKTSDGSSISNNDVTAATACQFEDIVAIVDPPRVGLHPTVIKALRTHPRIRRLVYISCNPDSLVANAIELCTPSSEKQEKNKGNRGWRTMSSAGLARQRTKSMPNSEPFVPKRAMAVDLFPHTSHCEMVMLFER >ORGLA04G0001900.1 pep chromosome:AGI1.1:4:334131:336412:1 gene:ORGLA04G0001900 transcript:ORGLA04G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMTPAADGDDDETTCMRALELIFTFVVPMTLKATIKLGLLDALTGGGRALTADELVSAAQLPAEAASSVDRMLGLLASLDVVKCASTDTGGEAAVRRYTPAPVCRWFAGERSLAPLAMFLLDDDYLSTWNQLPAAVAGGGGQVAFEKARGMPMFEYMGTNRRLNTLFNQAMVQQSTVVIGKLLERFQGFDGVSVLVDVGGGTGATLEMITSRYKNITGVNFDLPHVIAQAPSLPXXXXXXXXXXXXXXXXXXXXMNMQSMLHLHNDEECIKILKKCHQALTHNGKVIAVEIVLPAIPEPVATAQNPFRMDMIMLNNHWGGKERTEPEFAKLAVECGYTGVFRATYIFANYWALEFSK >ORGLA04G0001800.1 pep chromosome:AGI1.1:4:303756:306580:-1 gene:ORGLA04G0001800 transcript:ORGLA04G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQEYIKQGGQQLVAAILGIGTAVPPYVLPQSSFPDYYFDISNSNHLLDLKAKFADICEKTMIDKRHVHMSDEFLRSNPSVAAYNSPSINVRQNLTDVTVPQLGAAAARLAIADWGRPACEITHLVMCTTVSGCMPGADFEVVKLLGLPLTTKRCMMYHIGCHGGGTALRLAKDLAENNPGGRVLVVCSEVVSMVFRGPCESHMGNLVGQALFGDAAGAVVVGADPVEANGERTLFEMVSAWQDIIPETEEMVVAKLREEGLVYNLHRDVAARVAASMESLVKKAMVEKDWNEEVFWLVHPGGRDILDRVVLTLGLRDDKVAVCREVMRQHGNTLSSCVIVAMEEMRRRSAERGLSTAGEGLEWGLLFGFGPGLTVETILLRAPPCNQAQAV >ORGLA04G0001700.1 pep chromosome:AGI1.1:4:291202:293643:-1 gene:ORGLA04G0001700 transcript:ORGLA04G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PIJ8] MPSLYIFLGLLLFSLQAPPCPAATDTLKTGQVLSAGDKLVSRNGKFALGFFNPSANISKSSDNISSSWYIGIWFNKIPVFTVVWVANRERSIAEPDLKLTQLKISQDGNLAIVNHANESIIWSTRIVNRTEASMNTSVLLHDSGNLVIQSTSNAVLWQSFDYPTDVALPNAKIGWNKVTGLNRVGVSKKSLIDMGTGSYSVQLYTNGTRRVTLEHRNPSIEYWYWSPDESGMKIPALKQLLYMNPQTRGLVTPAYVNSSEEEYYSYNSSDESSSTFLLLDINGQIKFNVWSQDKQSWQSLYTQPVDPCRSYDTCGPFTICNGNSQPFCDCMENFTRKSPRDWDLGDRTGGCSRNSPLDCTRNTSSTDIFHPLIHVTLPRNPQTIQEATTQSECAQACLSSCSCTAYSYQNTSTCSIWHDELFSVNQDDGIEIHSQDVLYLRLAAKDLQSLRNNKRKPNVAVVIAASVIGFVLLMVGMFLLIWRNRFKWCGAPLHDGEDSSGIKAFRYNDLVHATKNFSEKLGAGGFGCVFKGMLIDLTTIAVKRLDGDRQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGRKRLLVYEHMLNGSLDAHLFQSNAGTLNWSIRYHIALGVARGLAYLHQSCHECIIHCDIKPQNILLDASFAPKIADFGMAAFVGRDFSRILTTFRGTVGYLAPEWISGVAVTPKVDVYSFGMVLLEIISGRRNSPNEYTSDNYHVSYFPVQAINKLHEGDVRNLVDPQLCDDFSLEEAERVCKVACWCIQDDEHDRPTMSEVVRVLEGMQELEMPPMPRLLAALTKCSDVGSI >ORGLA04G0001600.1 pep chromosome:AGI1.1:4:286518:289004:-1 gene:ORGLA04G0001600 transcript:ORGLA04G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PIJ7] MRPQSYAFLGLVLLLFSLLPLAPCSAANLNNDTLLAGQALAVGDKLISNNGKFTLGFFQPDAGTSKSSDTSTNSPGWYLGIWFNKIPVFTTVWVANRERPITIPELNLTQLKFSSDGNLVIFNHATESIIWSTRIIIDSHRTQETSSTNTSVVLLNTGNLVIESTTNVVLWESFDYPTDVVLPGAKFGWNKITGLNRQCISKKSLIDPGLGSYSVELDTNGTKGVILMLRNPPKVYWYGLTSPTLIPELRSLLAMDPRTRGLIIPTYVDNSQEEYYMYTSSNESSSSFLSLDMSGQIMLNVWSEANQSWQIIYAQPADPCNPFATCGPFTICNGNSNPVCECMESFTRKSSQDWDLGDRTGGCSRNTPLDCTISGNRTSSADMFHPIAHVKLPYDSESIQDATTQSKCAQACLSSCSCTAYSYQNNICSVWHGDLFSVNQNDGIENHFDDVLYLRLAAKDLQSLSKNKRKPIVGVVTTISIISLVLLIMLMVLLMVWRNRFKWCGVPLHRSQGGSGIIAFRYSDLDHATKNFSEKLGEGGFGSVFKGVLRDLTVVAVKRLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCQGDKRLLVYEHMLNGSLDTHLFQSNATILTWSTRYQIAIGVARGLSYLHQSCHECIIHCDIKPQNILLDESFTPKIADFGMAVFVGRDFSRVLTTFRGTVGYLAPEWISGVAITPKVDVYSYGMVLLEIISGMRSLPNVHSSNSHHAAYFPVQAISKLHEGDVQSLVDPRLSGDFNLEEAERVCKVACWCIQDNEFDRPTMGEVVLVLEGLQEFDMPPMPRLLAAITRSSNVAEM >ORGLA04G0001500.1 pep chromosome:AGI1.1:4:272955:275497:-1 gene:ORGLA04G0001500 transcript:ORGLA04G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESQALQDPVAEPHGAEPAAAGAPPAVVPGKEFTRTCKGLVVVLVGGYVLLQLLPSSLDYLAIIPAKTIPFVWTVFTAGYIEQVLPGAIGSSLGLLFCGKDIEPVWGRKEFLKFIILVNSICGVLAFCFAVALYYVTGKESFLVTPLSGFHGALAGFLVGLKQLLPNLELPMCFFWKIKAKWMPFFVLCFSTIMAFIVPDSINFLPTLLSGMYVSWIYLRYFQRNPLTGLKGDSSDDFSFPSLFPDAMRPVTDPVANLFDRMLCARSRPSELALPVSDPAKASRRRERGERVLEERLAADHAADTEAPALGHSTAED >ORGLA04G0001400.1 pep chromosome:AGI1.1:4:265783:268384:-1 gene:ORGLA04G0001400 transcript:ORGLA04G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit M [Source:UniProtKB/TrEMBL;Acc:I1PIJ5] MATIVNTTEEEPMLAVVRFTAELAWADAGADVAEPEVTRLCVEAQQHILAARWLDMASLMLASADLLLQSTRLPDKDKDLECILAIICNLVTKARSQDEALQIAELICAKLTHQPQDKPALRLKVLFSLYNLLGSPYAKAFVYKKALDLAAAGKAADCIIPTFKNIDSFISDWGIGKVEQRDLFLAAARILKDQKGMNKEYFNFLNKYLATFNGSADDADAIGDAKEEAVAAIIEFVKSSDLYQCDLLNMPAVAQLEKDEKYQLVYELLKIFLTQRLDSYLEFQSANSALLKGYGLVHEDCITKMRLMSLLDLSSRCAGEIPYHAIIDALKINDDEVEYWIVKAISCKILDCKVDQLNQVIIVSRHTERIFGMPQWQSLRAKLGVWRGNIASAINTIQANKVTDDGSQGIQGLMIR >ORGLA04G0001300.1 pep chromosome:AGI1.1:4:261869:263717:1 gene:ORGLA04G0001300 transcript:ORGLA04G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:I1PIJ4] MASIRRPHSPAKQQHLLRHGHLGPFASSSPPSSPLRHSSSSSSPRSAAHHHHHLLAAAGHTSFRRPLPRFAAFFLLGSFLGLLHFLSHLPRPLGPIPNPNSHHRHRDPFPILQHPHPPSTPHSNHKLLIVVTPTRARPSQAYYLTRMAHTLRLLHDSPLLWIVVQAGNPTPEAAAALRRTAVMHRYVGCCHNINASAPDFRPHQINAALDIVDNHRLDGVLYFADEEGVYSLHLFHHLRQIRRFATWPVPEISQHTNEVVLQGPVCKQGQVVGWHTTHDGNKLRRFHLAMSGFAFNSTMLWDPKLRSHLAWNSIRHPEMVKESLQGSAFVEQLVEDESQMEGIPADCSQIMNWHVPFGSESVVYPKGWRVATDLDVIIPLK >ORGLA04G0001200.1 pep chromosome:AGI1.1:4:251492:253757:-1 gene:ORGLA04G0001200 transcript:ORGLA04G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTTSYSTTSAVGRSNMSKYIRHVSTKENSIRHYRTLHVSMVLHQDRLRRVRQILTRLLRRLYAYSITRERLVDLDKEYGMKRWCTCRDKIS >ORGLA04G0001100.1 pep chromosome:AGI1.1:4:231350:240163:-1 gene:ORGLA04G0001100 transcript:ORGLA04G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) TAIR;Acc:AT5G64440] MGKPPRAMTPVEEVDLSAVRYQSPSLQAPHLTGFSLRAFVWLMESPLFGRLLTSVLKSQNNITRMLQDTVIPERPMYLPEYPPQEPEQGVLLLGDDRDPVDRVEEALHCLPPYDPSLRWPAGDKPPFLYWKIRDFAHAYRSGITTPSVVAEHIIAGVEEWSNKKPPMPMLVYFNADDLRKQAEASTKRFQQGNPISILDGIFIAIKDDIDCFPYPSKGATTFFDKIRSVEKDAVCVARLRKCGVLFIGKANMHELGLGVTGNNPNYGTARNPHSIDRYTGGSSSGPAALVSSGLCSAAIGTDGGGSVRIPSSLCGIIGLKTTYGRTDMTGALCDCGTVEVASPLAASVEDALLVYSAIAGSRPMDKLTLRPSPLCVPNLVSPDNNNILGSVKIGKYTEWFHDVSDRDISNTCEDALNLLSSSFGCQIEEIILPELEEMRTAHVVSIGTESFCDLNPHYRAGKRTEFTLDTRTSLALFGSFTSTDYVASQRIRRRIMYYHNEAFKKVDVIATPTTGITAPEIPQSSLKLGESNYVVSAYLMRFVIAGNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRVASAIEELCLKKRKRPSAFHDILNA >ORGLA04G0001000.1 pep chromosome:AGI1.1:4:225745:229206:-1 gene:ORGLA04G0001000 transcript:ORGLA04G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTWFRYAAHKFEYSISLSWKKYNVGQINSTQLTDAVWKNFFQGKLTYMHWNKGGEAMAPIESTTGGTLLVRKLVNLSPTQVFVGDVVLLKDPEKSDDLIVRRLAALEGYEMVSNDEKDEPFVLDKDQCWVLADNQSLKPKEARDSRLFGPVPMTDILGRVIYSLRTAVDHGPVENSRMAMNQDSPVLAVELDVEEMAKNNKV >ORGLA04G0000900.1 pep chromosome:AGI1.1:4:220070:224961:1 gene:ORGLA04G0000900 transcript:ORGLA04G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLLQHSHLHTVFAAATTTIGVGQFPRRRAKFPTSLVLLPRCTSSSSSSSVGAPMDANPPTTAMYPLHRCKTIYLVRHAQGVHNVEGEKDHSAYMSPQLXXXXXXXXXXXXVDCLREHVKKSGLAQKIELVITSPLLRTMQTAVGVFGGENSVDGVSAPPLMVENAGHSSRPAISSLNCPPFLAFEACREHLGVHPCDKRRSITEYRALFPAIDFSLIENDEDVLWEPNVREANSSVAARGMKFIDWLWTREEKEIAIVSHSGFLYHTLSMYSRECHPTIREEVGKHFANCELRSMVLVDTSMLGSDSPSYNYPGSIPAGLDLPSDAAP >ORGLA04G0000800.1 pep chromosome:AGI1.1:4:172425:173103:-1 gene:ORGLA04G0000800 transcript:ORGLA04G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMVSAEAQGRRVDRGDGLPGWRQTAAVASQDSQWTRLPHMEEKGSGGRRCLLSLGGRMEEDCGRWEKPRNQMRKIDSGKIFQEVEAFAIGIS >ORGLA04G0000700.1 pep chromosome:AGI1.1:4:164840:168933:-1 gene:ORGLA04G0000700 transcript:ORGLA04G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGWRRALCTSVRRDPEQQEVKRRGEAPSPRCGGGSRLGGFFSAISSSHSSSNPATTTPTLRCRTKPKPQSSSSDHQQQLPSSAPAPKKRMPLLQALSVPSSPRSPSRFALLKASILPNKGLGIITSLVVLVDFVERKEKKELIAKEINNVGWMQSRCGVCSHGVKTGAAAVFTAECSHSFHFPCIAAHAHALAAATALSCPVCAAPWRQAPFINKRTTTTDDHKRKSYDDDEPLLAPKAAAGAFNPIPEDDEDDATEFRGFFPARPRSGLAVTLAPDAALVSAGRRHGKYVVAVRVKAPALRSSPSTRAPIDLVTVLDVSQGMMGDKLHMLKRGMRLVIASLGPADRLAIVAFSGAAKRLLPLRRMTRQGQRSARQIVDRLVVCAAAQGQEQPQAVCVGDALRKATKVLEDRRDRNPVATVMLLSDTQQQQQQQQDAIRRPPAAPPATRFTHVEIPIGPGEEPARSALVAEEDDDQFSEHAFAKCLGGLVSVVMQEVQLELAFPTGEITAVYSCGHGQQAVALGGGGGGAAVSVSLGEMYAEEERELLVELRAPLSQSHPHSLSVRCSYREPASQETVRGAEQQLVVPALHGGSSSRRLHDLFVATRAVAESRRLAELNDYATAIHLLSSARAVVVQSAEQGLVGSLDTELSDMRWRRGQSAGRRRGGESEETPVGTPRARGGEPLTPTSAWRAAEQLAKVAIMRKSMNRVSDLHGFENARF >ORGLA04G0000600.1 pep chromosome:AGI1.1:4:158989:162018:1 gene:ORGLA04G0000600 transcript:ORGLA04G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELMO/CED-12 family protein [Source:Projected from Arabidopsis thaliana (AT1G03620) TAIR;Acc:AT1G03620] MVGNRIWFGGLFTSSGRRRQINAEKTFELSPVQEQRLQKLKERLNIPYDQTRRDHQEALRALWSASFPDAELSSLISEQWKDMGWQGPNPSTDFRGCGFVGLENLLFFATTYPASYQRLLLKKQGMRATWEYPFAVAGVNVSYMLIQLLELNAERPKSLPGINFIKVLSEHEEAFDVLYCIAFEMMDAQWLAMRASYMQFKDVLEATKQQLERELSLEDVNGIRDIPAYNLLYK >ORGLA04G0000500.1 pep chromosome:AGI1.1:4:142392:144037:-1 gene:ORGLA04G0000500 transcript:ORGLA04G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMEVQVPLLGMGTTMGALALALVVVVVVHVAVNAFGRRRLPPSPASLPVIGHLHLLRPPVHRTFHELAARLGPLMHVRLGSTHCVVASSAEVAAELIRSHEAKISERPLTAVARQFAYESAGFAFAPYSPHWRFMKRLCMSELLGPRTVEQLRPVRRAGLVSLLRHVLSQPEAEAVDLTRELIRMSNTSIIRMAASTVPGSVTEEAQELVKVVAELVGAFNADDYIALCRGWDLQGLGRRAADVHKRFDALLEEMIRHKEEARMRKKTDTDVGSKDLLDILLDKAEDGAAEVKLTRDNIKAFIIDVVTAGSDTSAAMVEWMVAELMNHPEALRKVREEIEAVVGRDRIAGEGDLPRLPYLQAAYKETLRLRPAAPIAHRQSTEEIQIRGFRVPAQTAVFINVWAIGRDPAYWEEPLEFRPERFLAGGGGEGVEPRGQHFQFMPFGSGRRGCPGMGLALQSVPAVVAALLQCFDWQCMDKIIDMEEADGLVCARKHRLLLHAHPRLHPFPPLL >ORGLA04G0000400.1 pep chromosome:AGI1.1:4:131167:139784:1 gene:ORGLA04G0000400 transcript:ORGLA04G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSNTNTNTSSGGGKDKHDETSPSFKEGERVLAYHGPLLYEAKILSSGFLKVQKSENKEDEWRYHVHYLGLVSIIALMLFSCLSALLIQVNGLLIMFIFNEYSVNPVEGLVSITALMLFSCLSALLIQVNSLLIVFIFNEYSWDEWVTNDRLLKLTDENIRKQQELEKSQAVDKSVKSGRSAQHKPKGSNADAKTDKEDTKIIVKGKKRKSQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKEKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYTEEVKGDVSPSIIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKGTDGI >ORGLA04G0000300.1 pep chromosome:AGI1.1:4:120055:120312:-1 gene:ORGLA04G0000300 transcript:ORGLA04G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XQKKTFSGKQRMMASIAWQRCVVYGLRRLDDVPDNSDEMACDVAGAGDALRAPSSDAPAGILLEQPADEFEEDGNVDESERTSVM >ORGLA04G0000200.1 pep chromosome:AGI1.1:4:99507:102208:1 gene:ORGLA04G0000200 transcript:ORGLA04G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:I1PII3] NEMELWGGDDGRRMMMGEEVRRQAGAGRMSEVKMGGDRPLVAVMKKEKHGAKKKKKDDDSSGMVMVGLSAACVALVTLVGICFCACRDSESSSSPYDLRDEKPLLSLNLSDGPSRKSCATTIDVSRLGALTAECEQTALASLIFGGLNLNLNLNLHIYIYAGHDLPPDLIQTLVRWSPTSDEELRLRLYAGEPAQLGPAEQFMRAIIDVPYLYQRLDALLFMAALPEEAAAVEQSFATLEVACEELRGSRLFKKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGVDGKTTLLHFVVQEIIRSEGVRAARAASGGGGGSSISSISSSDDLILLQSQSSIGSNSGRSSVDASSLEQEQDETERYRQLGLGVVSSLGDDLQNVRKAASFDADALTITVASLGHRLVKANEFLSTGMRSLEEDSGFQRRLASFVQQSQEQVTRLLEDEKRLRSLVRATVDYFHGSTGKDEGLRLFVVVRDFLGILDKVCREVKEQAAANAKAKKQQQPTPAPRSRQSSQSSFRDPRQQIQDRRAAALSRNNSSSSSSDSDD >ORGLA04G0000100.1 pep chromosome:AGI1.1:4:16717:17284:-1 gene:ORGLA04G0000100 transcript:ORGLA04G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTKTTVARRRWLSGHSCFPFSFVSRFPLPEILRRLLMVSWSLWPAAAFPSSSRSRSRCLPLKLAEPAVAAAVRSQWLLSSSSQRASGGCCPLLASSMSTVAAISSLSSSHENLVLQDLCRIERLQARGNQQAYMTGGNLYLIFLWCMVNQV >ORGLA03G0391800.1 pep chromosome:AGI1.1:3:33039846:33042766:-1 gene:ORGLA03G0391800 transcript:ORGLA03G0391800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDRTHHKHWNQAHVPSGTSKDKFQAKGQPKFIPDNYSSVDEVTAALRDAGLESSNLILGIDFTKSNEWSGRYSFGRKSLHAISATPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDQSVFSFYQDSRSCCGFEEVLERYRQIVPHLNLSGPTSFAPLIYAAISVVENSNLQYHVLVIIADGQVTTSNTKDGKLSPQEQATIQAIVDASYYPLSIVMVGVGDGPWDAMQHFDDCIPDRAFDNFQFVNFTEIMSTSKDMPKKEAAFALADLMEIPSQYKATQGLRPLEKHAGHVASHLRILPPPNKVLENDNAAASRPPTASSQSTGFGKNTTDEQVCPICLTNPKDMAFQCGHLTCKECGPTLSTCPLCRVPITMRVRLYS >ORGLA03G0391700.1 pep chromosome:AGI1.1:3:33034762:33036216:-1 gene:ORGLA03G0391700 transcript:ORGLA03G0391700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQSRLFYLCSIIFMFYLVQRTEAQITAPWEVDALKAIKGKLIDPQGRLNSWNRGDPCMGNWSYVHCYNETASDGYLHVLELQLLKLNLSGSLSAELGRLSHMQIMDFMWNNISGSIPKEVGNITSLKLLIF >ORGLA03G0391600.1 pep chromosome:AGI1.1:3:33031493:33032179:-1 gene:ORGLA03G0391600 transcript:ORGLA03G0391600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKRPVTGLRLFLEGQKSNKLAIHLQHLCSLPQIIQLEDDTYNPQTPEAEIRKYYEPIGSWKRFSHVCTAPVDSDDSSIVTGAQLEVVSHGFKKILFLRLHFSKVCNATSVKNPEWDGSPNLGQKSGLISTLISTHFSTAALKPAPRPAEVNINSAVYPGGPPVPVQTPKLLRFVDTTEMLRGPQDLPGYWVVSGAKLHLERGKISLRVKYSLLTVNSPDDEFSPDEEF >ORGLA03G0391500.1 pep chromosome:AGI1.1:3:33029096:33031019:1 gene:ORGLA03G0391500 transcript:ORGLA03G0391500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDFIEQEFQHSEQSLVLVGHSIGAYICLEIFKRLQKKVKLCVGLYPFLTLNKKSMKQSAIGYIARSSLLSKGVSSFVSFIGSLQASVTMGIVRRLLGPSWSVTAVEATCGHLLWYHTMRNVLFMAMTEFTKLSEEPDWNFISAKQDQIAFLFGVDDHWGPLAHLEEISKRAPGVALSVETEGHTHGYCCTEAGSFWAADYVANLIKTKF >ORGLA03G0391400.1 pep chromosome:AGI1.1:3:33022069:33022320:1 gene:ORGLA03G0391400 transcript:ORGLA03G0391400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAVVQIVMQVVLRRSICRLQEVFRVAVELGAAILAAVRLSGMASRRPTTTPSSPAAASRTTTYYYSPVAASMIGMSRLDRH >ORGLA03G0391300.1 pep chromosome:AGI1.1:3:33014356:33020230:-1 gene:ORGLA03G0391300 transcript:ORGLA03G0391300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVMDQRHHMSQYSHPTLAASSFSEELRLPTERQVGFWKQESLPHHMGSKSVASSPIEKPQPIGTRMAGRLELLQPYKLRDQGAAFSLEHKLFGQERHANLPPSPWRPDQETGRQTDSSLKSAALFSDGRINPNGAYNENGLFSSSVSDIFDKKLRLTSKNGLVGQSIEKVDLNHVDDEPFELTEEIEAQIIGNLLPDDDDLLSGVVDEVGYPTNANNRDDADDDIFYTGGGMELETDENKKLQEFNGSANDGIGLLNGVLNGEHLYREQPSRTLFVRNINSNVEDSELKLLFEHFGDIRALYTACKHRGFVMISYYDIRSALNAKMELQNKALRRRKLDIHYSIPKDNPSEKDINQGTIVLFNVDLSLTNDDLHKIFGDYGEIKEIRDTPQKGHHKIIEFYDVRAAEAALRALNRNDIAGKKIKLETSRLGAARRLSQHMSSELCQEEFGVCKLGSPSTSSPPIASFGSTNLATITSTGHENGSIQGMHSGIQTSISQFRETSFPGLSSTIPQSLSTPIGISSGATHSNQAALGEISQSLGRMNGHMNYSFQGMSALHPHSLPEVHNGVNNGVPYNLNSMAQVVNGTNSRTAEAVDNRHLHKVGSGNLNGHSFDRAEGALGFSRSGSSSVRGHQLMWNNSSNFHHHPNSPVLWPSPGSFVNNVPSRSPAQMHGVPRAPSSHMIDNVLPMHHLHVGSAPAINPSLWDRRHGYAGELTEAPNFHPGSVGSMGFPGSPQLHSMELNNIYPQTGGNCMDPTVSPAQIGGPSPQQRGSMFHGRNPMVPLPSFDSPGERMRSRRNDSNGNQSDNKKQYELDVDRIVRGDDSRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFIYLPIDFKNKCNVGYAFINMTNPQHIIPFYQTFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQV >ORGLA03G0391200.1 pep chromosome:AGI1.1:3:33013350:33014099:1 gene:ORGLA03G0391200 transcript:ORGLA03G0391200.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLLKMTSLNKVVSNGDTRSMLPNKVTALNPNAAEFVPSCIRPSFESSAVSDVSKADLRASGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSFEKIEQEPEELSLAGLSLNAPPFYGTTASRFSREHDLSSQANKSLELGLTSLLYEDNSQASFPTMGSSNWEQNFVGDLHFTNGNQGLHYDSESAAGFSDSFASDYAAATDDVLDPLAYLASQFPDFSSESLAELYYANGCDFNHTIEILTQLE >ORGLA03G0391100.1 pep chromosome:AGI1.1:3:33006970:33007359:1 gene:ORGLA03G0391100 transcript:ORGLA03G0391100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAARCECCGFTEECTPRYIAAVREEYMGRWVCGLCAEAVGDEIRRAGAGEATITTAEAFDRHVAFARSAAPRAGGAAAEDDLVAAVARLLRRCLDSPPASPAAPPPPPQGRKVAAGSGCPGGGDDD >ORGLA03G0391000.1 pep chromosome:AGI1.1:3:32998921:32999289:1 gene:ORGLA03G0391000 transcript:ORGLA03G0391000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGCAPRGDSEMIVGSGVAVNDERRSTARKRDQAVMWSSRWAAISGGSRWGSAPTKRGGGPGDVRGGISDDELGTGVRRPGFGAEESATPSCGGEGIGDEWRHKRGDVCERKKMGARDFF >ORGLA03G0390900.1 pep chromosome:AGI1.1:3:32994274:32994522:1 gene:ORGLA03G0390900 transcript:ORGLA03G0390900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGRRHGRERTTAGRGGAATGRRGRRLKRENGRRGFHFIGAGREPATGEGGTEAGMAAGGHGRWPGMARLFRVINGAIQGGN >ORGLA03G0390800.1 pep chromosome:AGI1.1:3:32985027:32993991:-1 gene:ORGLA03G0390800 transcript:ORGLA03G0390800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLNGNQLTGSLPEEIGFLPNLDRIQIDQNYISGPIPKSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHLLLDNNNLSGYLPPELSKLPKLLIIQLDNNNFSGTSIPSSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSWNQLRGSIPSGRPASNITTIDLSHNLLNGSIPGSFSGLPNLQRLSLDNNNLDGSVPSDVWQNIDFSGNRSLILDFQNNSLTNLSNPLSPPANVTILLSGNPICKSPNQLNITQYCRSVPVIVPGGSASNATVCSPCSTDLPYENILMSPIRCICAIPLYVDYRLKSPGFWDFVPYEGQFQQYLSSGLSLSSYQLEVSQFMWEEGPRVKMNLKLFPNNTAYFNESEVLRLRGMFTGWLIPDSDIFGPYELLNFNPGWYNKILPDRAKSSLSTGAIVGIVMAAFAAAAFLSSLITLIILKRRSRYSSSKRRSAKRIPMKIDGVKDFSFQELSHGTNDFSDSALIGQGGYGKVYRGILSDGTIVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEFMPNGTLRDHLSARSKEPLNFPTRLRIALGSSRGILYLHTEADPPIFHRDIKASNILLDSKFVAKVADFGLSRLAPEPESEGTAPGHVSTVVKGTPGYLDPEYFLTHKLTGCCGQSEWDDIVSG >ORGLA03G0390700.1 pep chromosome:AGI1.1:3:32977868:32983819:-1 gene:ORGLA03G0390700 transcript:ORGLA03G0390700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRPPPQPAASLASFLPFSSFSRFLHSPSWRPPPPPPPPRRRRLLSTAVASSSSSKGSTSLEERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEMGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAVEMKKVVESTQNLFIREAMAIEVMIGQNDNVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQQLGFETDRLKTGTPPRIDRRTVNFSGLEPQHGDEEVGWFSFDPEFHIERDQMCCYLTRTTKETHQIVRDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQVFLEPEGRDVPELYLQGFSTGLPERLQLSLVRTLPGLENCVMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRLTPLGRDIGLIDDRRWELYQSKQARIKEEKERLKSTKIPGGEFAAAVTSVSNQPVKESSTLEAILKKPHVQYKLLDKHGYGNEHLSRIEKECVEIDIKYEGFIARQQSQLQQIVNQEHKKLPEDLDYHSMTNLSLEAREKLSKVRPQTIGQASRIGGVSPADMTVLLIWMESNRRMANYKRQQSTLRSAATKADDSSDEVVHASATNA >ORGLA03G0390600.1 pep chromosome:AGI1.1:3:32973932:32976745:1 gene:ORGLA03G0390600 transcript:ORGLA03G0390600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSPAKPVNASAAAARSSLPPSPSSSSTVSPLSHTLSDEDLDAYGATKHATAAAASSTRYHYHYMRDSPTVPTTVYEDPNGEASYGGYGGYGYTYSYGPYGEVVAEERPETATPPPTAEVAAWDFFDPFTSYDQFMEDYKGHDGGSLPSNSPNYSELRRMEGIPELEDEAELEAAEAKAKASKPSTSRVADQGGKGKRPISSDVSSKGEASDGKLLQRKGSGGNGKPENASLKGSGSGDNNGSSTSKKKGIAFDGIEQPIAAAQGEGGSGKSVQSTAVSSESFSPLHQGNRSVMEAMDEIKERFDEALNCGEEVSKLLEVGKVPPQSSTPRVLRYLSSRVMDPLSLTMPSSSCLPKPRRKSRTLSGKASTSSNPSVAGRRNSAGSLSSTLEKLCAWEKKLYQEIKDEEKLRILYEKKYRRLKSLDERGLDSTTIDATRLSVRNLQSRITINIRTANAFSSKIQNIRDEELYPQLVDLIIGLRRMWKAVLLCHEKQLSAIQDSKMHLIKAVTISQSNAAAVATVELERELAKWYRCFNKWISSQRSYAEALNGWLRKWLTEPEVQEENTPDGAPPFSPGKLGAPPVFVISNDWLQVIEMVSKNEVLKTIDQFSKLVHEYKKTQEKEHRQKRKADHASRDYNKRREVLQRELGLSTSLDMVAVMENTHHSHDNRVIELEKMSRKKDEEKTKHDEIVKHAHLAASATLPVGLVPVLHQIVSFSQENVQKYTSIRTRGARVH >ORGLA03G0390500.1 pep chromosome:AGI1.1:3:32948294:32950607:1 gene:ORGLA03G0390500 transcript:ORGLA03G0390500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSSEKKTAAEVVAMLDLQRHPDGGFILETFRDPSISLPKSALPPRYKVDRAVSNAIYFLLPAGEIVKLHRIPCAETWHYYMGEPLTVFEVHDDGQFKMTVVGPDLRHGQKPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDSELHYSFVGVTCAPAFQIEDDEMATRESMKALVPKAEAFINYLVPSD >ORGLA03G0390400.1 pep chromosome:AGI1.1:3:32946071:32948026:-1 gene:ORGLA03G0390400 transcript:ORGLA03G0390400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAATFVFLLVSALQMLDQVLDLVKKRGSITDDQLKLRLEITQILKEASALSTPSTFAQAAKLKRLAAAKEKELAKLQQQDIKGKQSLYNQYGRVMLFSKVLIYGLLILWFWSAPVTTVPKHLLQPFGRMFSWRGVDAATGRVVVGIIPWLLLTSRVSKLLCQKLAPIFLHP >ORGLA03G0390300.1 pep chromosome:AGI1.1:3:32941229:32943761:1 gene:ORGLA03G0390300 transcript:ORGLA03G0390300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYIIEGRVCYLTMCDRSYPKKLAFQYLEDLRNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRIYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIWXLHXTKEAGPALPHWCKKNRKFSLGTVYTRAL >ORGLA03G0390200.1 pep chromosome:AGI1.1:3:32936109:32938190:-1 gene:ORGLA03G0390200 transcript:ORGLA03G0390200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLLLLLLAAAAAAAVAVVAVAQTNMADAEALMQLKKSFTNSSSLSSWLITNTDGDKSPCAPGSHEWHGVVCSRGKVTGLRLNGLRLGGTVDVGALVGFHNLRSVSFAGNNFSGPLPAVDRLTSIKSMFFSDNQFTGVLPDDFFSKLSHLKKLWLDHNELSGAIPASIAQATSLLELHLAHNAFSGELPPLPPPALKVFDISWNDLEGVVPEAFRKFDAGRFGGNQYLCYVPTSDRPCKRVQAAAASSSKRSPMAFVTLLVSVVVVALVLCLCCNRSSRVHDFDPAHRGGDGLDERPPVYMVKQFSTTGKRSASWLGKRTGSSLRGHRRAASAAKADELGGGAGDLVIVNNCKGVFGLTDLMKAAAEVIGSGGHGSAYKAVMANGVAVVVKRARDMNRATKDAFEAEMKRLGAMSHANLLPPLAYHYRRDEKLLVYEYIPKGSLLYVLHGDRGMDYAGLDWPTRLKVAVGVARGTAFLHGELAGHEVPHGNLKSANILLAPDFEPLLVDFGYSGLINHMQSPNSMIARRAPECAAGHPVGAKADVYCLGIVLLELLTGKFPSLYLQNAKGGTDLVMWATSAIADGYERDLFDKAITSAWKFALPDMARLMRVAVDCVETDADKRPDMKVAAARVEEVVAAAMATVRERHQAAGGESSRSSSHAQYVRDGSMQRITSVGERSSRRGSNDYSS >ORGLA03G0390100.1 pep chromosome:AGI1.1:3:32929868:32932504:1 gene:ORGLA03G0390100 transcript:ORGLA03G0390100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLARRSPERTVKKLRLAKALTLPEATSVSEACRRMALKRVDAALLTDSNGMLSGILTAEDISGRVIAEGLRPDETNVAKAMTRNPVFVMSNSSAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHSFIENLRDQLFKPSLSTIITENNSVPVVSPSDPVIAAAKKMREYRVNSVVVMTGNMLLGILTSKDLVLRLVAQSLSPDVTLVEKVMTTNPDCATLDTSILEALHSMQDGKYLHIPVADKNGQIIACLDALQLTHAAISMVEGASEANSMANTMMQKFWDSALALQPTEESDARSEESRMATSDNAEGKHIPPHVGNSFSFKLQDRKGRMHRFSC >ORGLA03G0390000.1 pep chromosome:AGI1.1:3:32924766:32928437:-1 gene:ORGLA03G0390000 transcript:ORGLA03G0390000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIAAAKAAAAAAVFQSPCSPVPAVSFAGRSVRPVRRRVVSLSFSGVRTHVAAVEQAVVQDTTKKSEAPIVVVTGASRGIGKAIALAFGKAGCKVLVNYARSSTDAEEVCKEIEAFGGQAITFRGDVSNEAGVDSMIKAAVDTWGTIDVLVNNAGITRDTLLLRMKKSQWQDVVDLNLTGVFLCTQAATKVMMKKKKGRVMNIASVVGLTGNLGQANYAAAKAAVIGLTKTAAREFASRNITVNAVAPGFISSDMTSQLGEEIEKKNLITIPLGRYGEPEEVADLVEFLALSPGGSYITGQVLTIDGGMVM >ORGLA03G0389900.1 pep chromosome:AGI1.1:3:32922934:32923944:1 gene:ORGLA03G0389900 transcript:ORGLA03G0389900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNLCAFCSKVVRTSIARVLTVISQKQRAALREAYKKKSLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAIKA >ORGLA03G0389800.1 pep chromosome:AGI1.1:3:32915008:32915313:1 gene:ORGLA03G0389800 transcript:ORGLA03G0389800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASKPFLSTSTSTSSRHIRRATVATAAAAAPDDFDYPLADPSVRWPHLRFPHLPSPRFPAAPVARPSEGGEEEEAAAGPSSAAASASALEPLDERAHRGR >ORGLA03G0389700.1 pep chromosome:AGI1.1:3:32912055:32914027:1 gene:ORGLA03G0389700 transcript:ORGLA03G0389700.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVLDIIFVFQMQVDATSNPTLTPRTPNFSTGDFPALPTVEDQNGFSKGNADILSIFNGRSSPSVSTGTGDFVSAVRKLASQNSGHWKYKKGPEYGNGVSTVSVPKQYSSTTKTSSGNKFQSVSSARAAPWLETGDAVANMYSESRGEARDFARIRNACFEQARQAYLIGNKALAKELSMKGQTYNTQMKASHEKAREAIYRQRNPSSQRGSDRLIDLHGLHVNEAIHILKVELGALKSTARATGERMQVMICVGTGHHTKGSRTARLPIAVEQFLLEEGLHYTQAQPGLLRVVVF >ORGLA03G0389600.1 pep chromosome:AGI1.1:3:32903142:32903932:1 gene:ORGLA03G0389600 transcript:ORGLA03G0389600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSESVQETLGLDFPHPSKPRVLLAASGSVAAIKFESLCRSFSEWAEVRAVATKASLHFIDRTSLPSNIILYTDDDEWSTWKKIGDEVLHIELRKWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMWNNPFTSRHLETINLLGISLVPPITKRLACGDYGNGAMAEPSVIDSTVRLACKRQPLNTNSSPVVPAGRNLPSS >ORGLA03G0389500.1 pep chromosome:AGI1.1:3:32901135:32901533:1 gene:ORGLA03G0389500 transcript:ORGLA03G0389500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDVVQVARRAILFRMPRRRRAASASASASAATATATAGRMRRKKVAVVRLGGGGGGGGGTKRRLFGALRRLRVRWLAAMYRRALRRLRVCYANAVRDLIDGAALAGALRAPVGIEYSHAAAFGPAATVGF >ORGLA03G0389400.1 pep chromosome:AGI1.1:3:32894500:32898422:-1 gene:ORGLA03G0389400 transcript:ORGLA03G0389400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAAAAVSQALRDRDVLDAVGTSAAALSLAGSSFIVLCYLLFRELRKFSFKLVYFLAVSDMFCSLFTIMGGPSNAFYCFAHDYSAHFFCVASFLWTTTIAFTLHRTVVKHKTDVEEFGSIFHLYVWGTSLATTVLRSIGSDYGRPGTWCWIQQGSMGKVLHLVTFYLPLWGAILYNGYTYFQVNRMINNATRMAVGISDRSIQSDMRADKKAFNRWGYYPLILIGSWAFATINRVHDFANPGHKIFWLSILDVGFAGLMGLFNSIAYGLNSSVRRAIAERLDMYLPERFKRSLPTLTRFKSQQENELTSLIVDASNT >ORGLA03G0389300.1 pep chromosome:AGI1.1:3:32874223:32876701:-1 gene:ORGLA03G0389300 transcript:ORGLA03G0389300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAAAGGMAPLPPSSSPPSCKAGGGGGSPRWSLLHGGGGEWGVVVRRNVVKSSLLLLLVFSTFFVFSVLRSSQTSLVPPPPAAAAGPGEPALAQSGHDVAGDDGGEHVAVAVNNVAAETQSTPDDISLPSTNSSAAAVPTTTNKAEQQQTGANMEEKCDMSMGKWVREPKGPVYTNTTCPTLPDFKNCQKHGKDPGHLYWRWQPHGCDLPRFSPDRFLAAVRGKRLAFIGDSLARNQMDSLLCLLSQAETPTEVYRDAHDKFQTWRFAAHEFTLMVMWTEFYVHAEPVVGADGKPTPSFDIHLDRLSANWTRRLPELDYAVISGGNWFNRPNYLWEGGRRVGCVKCGGAANLTDVGVPYAVRRVVRAAVEGIARCTGCKAGLVAFLRTFSPDHFEHGAWFSGGYCNRTRPLEEDEVSPDSAAWELRRVQREEVMRVKETAAAAAAASGNARRFEVLDVTKAMMLRADGHPGAAIDKRWQKNIVSDCLHWCMPGPIDMWNEMLLQRLTEISTLDQDASIFEAP >ORGLA03G0389200.1 pep chromosome:AGI1.1:3:32852961:32855702:-1 gene:ORGLA03G0389200 transcript:ORGLA03G0389200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQELVPSPWPSSSSFLVLVLATLLFVAAFLRRRQGARRKYNIPPGPRPWPVIGNLNLIGALPHRSIRDLSRRYGPLMSLRFGSFPVVVGSSVDMARYFLRANDLAFLDRPRTAAGRYTVYNYAGVLWSHYGEYWRQARRLWVTELLSARRLASTEHVRAEEVRSMLRGLRRRAGAGTAVVLKEHMLMVTLNVISRMVFGKKYIVEEGEGSSPTTAEEFRWMIEEIFFLNGVFNIGDMVPWLGWLDPQGYIGRMKRLGSMFDRFLEHILDEHVERRRREGDGFAARDMVDLLLQFADDPSLKVPIQRDGVKAFILELITGSTDTTSVSVEWAMSEVLRNPSVLAKATDELDRVVGRRRLVAEDDIPNLPYLDAVVKESMRLHPVVPLLVPRVSREDAYSVSGAGDGGAAASYDIPAGTRVLVNVWAIGRDPAVWGDAAEEFRPERFAGGEHGGVDVKGQDFELLPFGSGRRMCPGMGLGLRMVQLTLANLLHGFAWRLPGGVAAAEELSMEEKFGISVSRLVQLKAIPEPKLPAHLYDE >ORGLA03G0389100.1 pep chromosome:AGI1.1:3:32850121:32850438:-1 gene:ORGLA03G0389100 transcript:ORGLA03G0389100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPEVAIVALCAAEGCARLLKPILDFLANGMSPSSAAADAAIVAALLALVFAYLVCVFLVYLSVTTPSAVAAAVKLSIVTAFTPVFARPAIASVVVVVAGGGL >ORGLA03G0389000.1 pep chromosome:AGI1.1:3:32838474:32841168:-1 gene:ORGLA03G0389000 transcript:ORGLA03G0389000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIGDRRSISRGSSLTVDWAEDVEFPNWVDQDILEDEEFQESKRWWSQSHTLVQQGDAKPLSRTSSYPQQPLQHRASEPIIPPKSPSFTSFPPPGGRSPYTSQGLTRHGSIPSLGAGLQMGSPSMSLPSSPYHIAGLSHGLPYGGSMSFGTSNLPVNNPMQNDWPSQANLYAGEQFNLLPNMLQKQISLPNSPMSSLLFSQQQQRLAQVQVQPSHQNYLNLPPHIFYQHHSPELTGRFDSVSSVPSSRDKRSRSGRGKHNIRFSQPLPDTGNQNGDSGGIKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKRGANSRPKANFAPTSMKDLPSKSRSSGDHHAYLQVDAVGRVSFSSIRRPRSLLEVDLPSSSDGSHDQKSSLRPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLAASLQLVDPLGPSTSGHSSGLAAKDDLVFLRIVSLPKGRKFLSRYLRLLTPGSELTRIVCMAIFRHLRYLFGGLPSDSSAAETTVTLAKTVSSCVRYMELGALSACLAAVVCSPEQPPLRPLASSAGDGASLIIKSVLDRATELLTDQHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIRQMFTMQSPGSVIGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGFNASGANGGHITSESVPG >ORGLA03G0388900.1 pep chromosome:AGI1.1:3:32832891:32838256:-1 gene:ORGLA03G0388900 transcript:ORGLA03G0388900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAAASRRRGRPEPPSDAGAAPHAPPSPPRRGAKKGAAANAKKAAAEVPVVEPLRWEQVAKVMPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVEDDEFWKGVSPLEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDVENIGYVIPTPVINHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKAMGLKSDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLISQKYTGEKAHVKILRNSKVLEFNIKLATHKRLIPAHIKGRPPSYYIVAGFVFMVVSVPYLRSEYGKDYEYDAPVKLLDKHLHAMAQSPDEQLVVVSQVLVADINIGYEEIVNIQVLSFNGKPVKNLKHLATMVEDCNEEYLKFDMDYDQLVVLEAKTAKAATQDILTTHCIPSAMSEDLRT >ORGLA03G0388800.1 pep chromosome:AGI1.1:3:32820043:32821872:-1 gene:ORGLA03G0388800 transcript:ORGLA03G0388800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40980) TAIR;Acc:AT2G40980] MPNALHASTSDIFEATAHLALEDFLHASIKGLWETFWGPDESMPFSVACIHSTSSKFYPAEKAISSGRLDGVCATAVLLKSLKHSQGRWDHIVVLALLRPDIGMFSGQNDQQPSPAVLGEALFFALRVLLSRSLSRSSTVLQNSDCVYVLLVDSQFGGVVNVQGDLNKLDFDLNNVYDSAAEWIKKHAQITVSSIDRVWNKLGNANWGDIGTLQVLLAIFHSMIQFCGAPKYSLDELATEHSSRLQSRRSERHLVGRQANTNGLFRFQQQSHSPEIVEVQEEGAVNVKPKEILKLEVGSVVLMEDADWQKGFQINDILTDSEPPIYSATPVEEPTKTHLLYVGSSPSHLEPAWEDMNSWYQVQRQTKVLTLMKQRGISSRYVPQMVASGRVVHPGPCNKPNSSGSCGHPWCSTPILVTSPVGETISNLIRNGLFGVEDALRCCHDCLSALAAASSAGIRHGDIRPENVIRVSNGSRHPYFVLIGWGHAILEDRDRPLMNLFFSSTFALQEGKLCAASDAESLIYLLFFCCGGVCPELDSVEGALQWRETSWSRRVIQQKLGDVSAVLKAFADYVDSICGTPYPMDYEIWLRRLRRTINEDHGKEIDTSS >ORGLA03G0388700.1 pep chromosome:AGI1.1:3:32809299:32810272:1 gene:ORGLA03G0388700 transcript:ORGLA03G0388700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVNRIHLRNEAKTATLERLVPHLGTLEATRSLLHETKELATKNEHDLRNRIAELQESNFELSGSSKVQAAKISQLEKQIQTLENDKAELARQRDLALKEVEDRKIKSQTQFDVLVGKIKKLEGARDEVANVAVPIVQAMFLNNNGPSALDASEIFDKLRVAPDIYFKNIKEAGSMGASMALAMTKSLYPRVDIDAIDGFADGTSEEAALDLISNAQKAADKIAADVVERFQDTDLRPTGPDISDDEKTDTD >ORGLA03G0388600.1 pep chromosome:AGI1.1:3:32796701:32798327:1 gene:ORGLA03G0388600 transcript:ORGLA03G0388600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASLVSSPPPACCLQLHRLLRPAALLPSPPRLRLRLRPLRADSSPSPDAFAGWSDGDEQDEQDKSPGPFRGLLGPGLAGLFFLAGLTFAAISFRSNAANGPKAQIDTSSTNSQEAPYSTDNTCKEDGLKDAQVSLPSDRKGETSLDDEAHSSTDPLPVQVNATAEGSTEHETQHPLQNSELVPPDKYVPSEDAGQAHNLVASDGAESLVSLLSNSTEPSIAAYDSPDKLYGVGPSEGTPYVEDTLNCEITLPENQHLGETLTSDTMLGSGDASLIQEISDTAASSDAKDKDTEQNPELHRKKGISPSRMPDYTEYGHADQLLSFGSNDVSTEANKPGNGVETLASNQNEGADELENQNNLYESTTPDKSFASSGIPAPTLLSAALRVRTGQIMVPAAVDPAQASALAALQVLKVFSCMHSFIVA >ORGLA03G0388500.1 pep chromosome:AGI1.1:3:32791956:32793272:-1 gene:ORGLA03G0388500 transcript:ORGLA03G0388500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVNFGDSSSIPPVDAVVPMAMAPRLLCFLFLLLQLLLFPRVAAIVLAATPVLLLAAFLLALVLVYSEPNNNNEPDHLIPIRIRSRNPHAHDHASCTASGSSDDDDDAQDSDSESVSEPADDEKAAVWTAEDEKSIQNIGSLELERNAAVEKLMSSRSMHRYYAADRDLIDLDLDGDGHQLPPGSAPSMHRNPFFFHDDQQAAASTAKLFSRHESFRPYFVADKTQQPVVLESSGGGGSSSSSSSSSSASGDRAGQHMKQEAVADFSSSPKAMVVTVDAELPNPKSMVTVDVELISDSSDNDDDDIMSLPGQQITKVASSMSDDDDGESSFEVESITRQVNETLHAHAAAAAAAAAAAREGREEKEENKLASIEEDERRERDVFSVSVPVPPAISATINGDDGGSPPAATTAAPKAVVAAARYKTPSKKAVLGFFRK >ORGLA03G0388400.1 pep chromosome:AGI1.1:3:32789910:32791352:-1 gene:ORGLA03G0388400 transcript:ORGLA03G0388400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein [Source:Projected from Arabidopsis thaliana (AT5G41470) TAIR;Acc:AT5G41470] MMINSALTAASFQSPPTFIKLQVQLRPQKRRLQHQQHLLIVGNNGAITIGKQEFVLKPVQATLGPNSTGGGGGSPLPDVIQQFYSSLNEKDSKRLENLIAPDCIIDDNAYYKLLDIKSTQTYFRRLMDAMGKNFKFAIDEVSQGVEPTFAVMWHLEWNGKTIPFTKGCSFYICSRKEAALVIRKIHIFQESPVKPCKFSLEILNIATNLFDTFPNIAEGLLNNPEQAIQPFVRLYKSFVKPFIVPFLAYYTHFWTYLAKVLTMMLHLLYRIIKWYV >ORGLA03G0388300.1 pep chromosome:AGI1.1:3:32786753:32788726:1 gene:ORGLA03G0388300 transcript:ORGLA03G0388300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMNPYRSIDMCLRLRRSASSWAAAVADHARSGRHDAALTVFRRVLAVHPATAAADELACSALLRCCDARLAYQIHAQACRRGLVASNPVLACSLLAFYAAAASSPAAASSPTAAIPPACNLFDEMAHKDAVSYTAMISALVRAGAAHWRQALALYPCMLQAGAPPTQHTFAQLLSACASGRLHRQGTQLHAQLLRWGAGLNLVLKTALLHMYCNCGNMGYAHTVLHSTPQTDVVLWTALITGYARSGDLQSALQMFRSMTRAAVLPNAFTYAALISACSSFRALQPGRQIHARLFKFGLEHDTSVCNALVDLYSKSSSRLLDLLHTFHAVDKPNVVSWTALIAGLACHGRDEETFLAFSQMRLSGVLPNSFTVSTLLKGSSSSLAFLHARKIHGYILKTSFESLDAAVGNSLVDVYVRFARMDDAWAVATTMAFIRDRFTYTSLARGLNQMGLQQRTLEMFVRMFHEDVDIDGFSLASFLSSAASLASIETGKQLHSCSVKLGLSSDISVSNSLIDMYSKCKCMGDARNVFQSIGEPKVVSWNALMSALVSNEYYSEALSAFEDMTLVGAKPDGITFSLMLFACNRSGLVDIGIKYFNSMGNLFGVLPQRSHYTLFLDMLGRSGRLTEAASTIDVIPIQPDLVNVQGSIGILKSL >ORGLA03G0388200.1 pep chromosome:AGI1.1:3:32783218:32785677:1 gene:ORGLA03G0388200 transcript:ORGLA03G0388200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGPEEEKEEEEARFDFLEWIGPDTSAAVFTFLVHPADLARASAVSRSWRRFVVRNGFSKIQCLRLCPEASNFTRIITKQAIASASESDAEHQHRAYMHLSYALLLDDPQDCIIRCIGASTTDNFPEETIQNTLVPTDWVAMMRPSYWSSAGHFDPAVPECLFYRLRSDLCLVQQINIQPFRGQPTHPPSFFLLLLLNTSITCLLHPAFFQYGDPIYSAKYVRFQMGYPKTPLPSQLLVSHDNEGQLAADDNYIWTYTSPQFPMLQESVLQSFKLPRAVLCIGGVVKIEFLGRVQKQEMDDLYYICISHVQIVGIPLPRELGVDPYKNGVVLKYYPDTRRSGVCHGESSGDDGRNSPSKWRNFTTRILHSSSARRLGWNQAILNRLFGAHDASEEEEEET >ORGLA03G0388100.1 pep chromosome:AGI1.1:3:32778159:32782911:-1 gene:ORGLA03G0388100 transcript:ORGLA03G0388100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G17850) TAIR;Acc:AT1G17850] MAMAAAVHRFLGVFPAPSTPTPPPPPPPRQLSPRPHHIPPSLVRCCSSSPVCAATVAVAQPQEFVVVTFYKFVSIDDPRAEVSRHLHFLQGRDIHGRIYMNEQGINAQYSGPHKDAVAYADWLRKDHRFRDLLVQTSPSLCGHAFPRLKLRMRATPLTPSEWRERLEARKCLDVSSSEAAGDSSGRRLLLLDVRNDYEWDIGHFQGAQRPNVDCFRSTSFGLSESEQEMDSSDPLNGIDKENTDILMYCTGGIRCDVYSTILRKKGFRNLYTLKGGVSNYLKEGSAGWVGNLFVFDGRLSLPPATYKPGAGDDDDEEEEEGRNRSSSELGRCYACGSEVVELRHRNCANIDCNRLYLCCGRCVEELRGCCGEGCTAAPRLRPLLPSHQRYHKWHLYRHLDLGAPSSPS >ORGLA03G0388000.1 pep chromosome:AGI1.1:3:32769100:32770511:-1 gene:ORGLA03G0388000 transcript:ORGLA03G0388000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24580) TAIR;Acc:AT5G24580] MGEQVKLNDDKEEGGKEKNSKQVEEVAPAAEKKEEAAAAADAGSKEEEQVPPPPAPVILGVELHCTGCARRMRRCILRSKGVQGVEVDMGGNQLTVTGIVDPQALCARLRHKTLRNATVISPPPPPTSTEDQDQHQPSPPPPLVHSQVSDVTTVELLVNMHCEACAQQLHKKILKMRGVQTADTNLSTGKLTVTGTVSGDKLAEYIHRRTGKLATVVVPPPPKPKEEEEKTNDDKPPPPPAAEADKKDEENKPAAEDGSSKLQNEAEGNANNKEAAAAAEEEAPEEEKAAAIANNKQQQEEDGSVVVEGFPPEEMMKRMHLYWPPYGHGYTSYYHHHHHQGGQAHPCANLHSWVPPPPPPPPPPPPPPPVYYSSYVMLDRPPPPPPQLFSDENPNACVIS >ORGLA03G0387900.1 pep chromosome:AGI1.1:3:32763496:32764338:1 gene:ORGLA03G0387900 transcript:ORGLA03G0387900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGSGSNPKDYQDPPPAPLVDTGELGKWSLYRAAIAEFIATLLLVCISVSTVIGEKRQSGEGGAGVLGIAWAFGGLIFVLVYCTAGISGGHMNPAVTFAMVLARRVSLPRAALYTMAQCVGAVCGAGLARAMHGGGQYARHGGGANELAAGYSAGAGVAAEMVGTFVLVYTVFSATDPKRKARDSHVPVLAPLPIGLAVLVVHLATIPITGTGINPARSLGPALVLGLGTTKAWSHLWIFWVGPFAGAAAAMIYHHYILRGAAAKAFASSSYRSPHF >ORGLA03G0387800.1 pep chromosome:AGI1.1:3:32750835:32761080:1 gene:ORGLA03G0387800 transcript:ORGLA03G0387800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETRLDSAVFQLTPTRTRCDLVVIANGRKEKIASGLLNPFVAHLKVAQQQIAKGGYSITLEVDPEIDAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQIEDAITGQGGDNLGLRSVEDYNEKLAECIGGSKTNYDLDGDKSLILYKPGIQPPPPVQNDNATQEENSKVQLLRVLETRKIVLRKEQAMAFARAVAAGFNIDNLGFLITFADRFGASRLMKACTQFTELWRRKHETGQWIEVEPEAMSARSEFPPFNASGIMFMGDNMKQNLETLSISNGDANGEDAAKADERTAQHSGAPSEYLHGPYQSAYPPWAIHPPYPMQGMPYYPGVNPYYPPPYPPMDDPRYHHSERRVSRKHSSDSKDSETLDDESGQSGSEIESSHGHKLHKKGKRSGKKKPSVVVIRNINVTSKKHGSSESESQTSSDVASEDSDDSHTEYSKRKNKRSSSKKKESRKIILEPGDEYSRDEVAHRQDGDQGNWNVFQSFLLRTEEKTKDNDADLFATERGPPPARRKESRTTDDPLLLVERDSTDFNEGKTIGFNSAHGRIRSRKMLSGDELVILAEGRSFVDGDIKEIEAGGGGYRRGASEDFIVYGQEKPMDSGSYLDPLAEGQYKSPTLMEKNMHSVADESFMIPVRSNSQDNLGPESCTAIDIDVELPGTVKKTTDAKAGDQLFYEPDELMPEREYEDVTYGYDPAMDYDSQMQIQPAIMVEDANADDVSLGVEGEVKKLEKDKKLRLQECLDKKKDALARRLPSSKTRLTDAQKRAQNLRAYKADLQKAKKEQEEEQIKRLERLKQERQKRIAARSSTSNSISTPQQVKVKPSPKTSPSTYKSSKFSDAEPGSFSPLRKLPARTTAESDHQKTGKASKLSDSSTNAVSKSTSSLAAMKKEKNGRNELSSERLKKLAEPKSNALTDHPSNSKSASMDHSRRKSMPEDTQTKKISAIMQLDQRKSATLPELKVKSPRAPSISVKNKTIAREIRDGDPGGKSPPTLEVTDGKKADVEVSRISNSDDNVVVEKTVVILENEVVSTPPLILHPGRTSENETSSNDRTQKPSMELEYTAIRAPPSPAVLPEAENPTIHRHNDQGNYEVMTEHLKDETEELTLSAVEKPYQAPFARVTSLENDSATIHAYPHALPVQSETPVHAESIRARVLDPVSTVSVEETPEANEKPRNKESKGFRKLLKFGRKSHTSGTMDSDASSVDGALAGDGSMLKTLISRDDSGSSSKASRSFSLLSPFRRQKVIVL >ORGLA03G0387700.1 pep chromosome:AGI1.1:3:32749280:32749594:-1 gene:ORGLA03G0387700 transcript:ORGLA03G0387700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMVRRQRQQKQQVMVVVMVCWALVATAARGNCRDECLAGCQGWAIICHLSCNSACLGEVGISAMSTATPQSITDQNQQHPSQQQQQAQQSVSVLKGLDPDKI >ORGLA03G0387600.1 pep chromosome:AGI1.1:3:32743075:32748898:1 gene:ORGLA03G0387600 transcript:ORGLA03G0387600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNWEADKMLDVYIYDYLVKRNMHNTAKAFMTEGKVATDPVAIDAPGGFLFEWWSIFWDIFDARTRDKPPQPQPQPPPPIPIDIKSREQQMRLQLLQQQQNAHQQRRDPPLNAAMDALNSDVSAVLASKMMQDRMRNPNPTDSDASHQLLDANRIALLKPATNQTGQLVQGASVNMSALQQIHSRNQQPDMKGDAAMSQRSMPTDPSTLYGSGMMQPKSGLVSTGLNQGVGSVPLKGWPLTVPGIDQLRSNLGVQKQLMASPNQFQLLSPQQQLIAQAQSQNDLARMGSPAPSGSPKVRPDESDYMMKLKMAQMQQPSGHRLMELQQQQQQLQQNTRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGLPVASNMNIVQKSSLICGADGTSGLASSSNQMDNLDSFVDFDENVDSFLSNDDGDGRDIFASLKKGSSEQDSLKGLSLSEFGNNRTSNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNLNMDTKIEEHTNFITDIRFKPNSTQLATSSSDGTVRLWNAIERTGAVQTFHGHSSHVTSVDFHPKSTEVLCSCDDNGEICFWKIGQSKVSRISRMKQGGTGRVRFQPQIGQLLAVATGSIVNIVDVEKEASLHSLPKVHTNEVNCICWDEKGERVASVSQDTVKVWSVASGACIHELRSHGNQYQSCIFHPRYPNVLIVGGYQTMELWSLSDNHRNTVQAHEGLIAALAHSQFTGMIASASHDRSVKLWK >ORGLA03G0387500.1 pep chromosome:AGI1.1:3:32722678:32738178:-1 gene:ORGLA03G0387500 transcript:ORGLA03G0387500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVEEINENDLVVNCTSGKKVTINVGSAYPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRYALNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGELGPHPFAIADRSYRLMINNRISQAILVSGESGAGKTESTKMLMQYLAFMGGKAQAEGRSVQQQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDDNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPSEDCKKYKLGEAKTFHYLNQSNCIELDGLDDSKEYTDTRRAMSIVGISSDEQDAIFRVVAAILHLGNVEFAEGSEADSSMPKDEKSQFHLRTAAELFMCDEKGLEESLCKRVMATRGESITKNLDPRAAALSRDALSRIVYSRLFDWLVNKINSSIGQDPDSKILIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKDNPHFSKPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQILLNASKCSFVSSLFPPCEESTKSTKFSSIGSSFKQQLQSLLETLSAIEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFFEFINRFGILQPKVLGRSHDEVAATKMLLGKANLTGYQIGKTKVFLRAGQMAELDALRTEILGLSAKKIQTKVRSHVARKKYVMLQHSATQLQAVCRGTIARWQYEIMRREAASLKIQTCYRKHCARKTYKEICSASTTIQSGLRGMAARHKLHFYRQTKAAVIIQSHCRCYLVLSNYKRMMKAIITTQCAWRGRVARRELRELKVAAKETGALQAAKSKLEKEVEELTWRLQLEKRIRVNXCTKHMHSFPLSYLYLXCKCIXKXHDISYLLLQAKLSINKELSFKWCDISKADVEEAKAQENKKLQLQLQDLQMQLNDTKELLKREKESTKAEMEKTLVPEICVDTTQVNELTAENNRLKELVDSLETNIEEMKQKFGETDNVRDEWCKKATDAESQINELKSMMQSLQEKLNSTEAENHVLRQQAMRTRPDNMPLLNMHRKSNLANGSLPGDEQTPHGTSMEYGRTSYIERQQESVEALINCVVENVGFSEGKPVAAVTIYKCLLHWRTFEAEKTNVFDRLIQIFGSAMQKQESNADLAYWLSNSSSLLIILQKSLKPVGSSVTTPLKRTQTQTSFLGRMVFRASNITVDMDLVRQVEAKYPAFLFKQQLTAFVEGLYGMIRDNVKRDISSVLTLIIQTPRSAKAGLLTDQGNNWQAIVNHLNDLLKTLQENCVPSIFARKIFTQIFSFINAQLFNSNGEYVKQGLQELEAWCTQAKPEYAGSAWDELKHISQAVGFLVIFKKFRISYDEIINDLCTALSVQQLYKICTQYWDDKYNTESVSEEVLNEMKTLMNGKDASDGTLKSLMNEKDASDGTFLLNEEISMPLSLEEIGDSMDAKEFQNVVPPQQLLDNPAFQFLKS >ORGLA03G0387400.1 pep chromosome:AGI1.1:3:32721146:32722255:1 gene:ORGLA03G0387400 transcript:ORGLA03G0387400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGHRSMGSSSGSDRLRDLQAFDDTKAGVKGLVDAGVTTIPAIFHHHPLLLDDAEEDADVIPVIDLQADVDRGHLVGQVRAAAQCVGFFQVVNHGIPGELLEEMLAAVRRFNEQPAEGKKAWYSRDSGRRVKFNSNFDLFQSPAANWRDTLLLELTPRPGPAAEEIPPACRGVVGEYVEAVQGLGGALLELLSEALGLPPEYLGGLGGGLATMAAHYYPPCPEPHLTLGTTRHSDPSFLTVLLQESKGLQVLMRQRQRWVDVPPVAGALVVNIGDLLQLVSNDLFRSVEHRVLATTAAAEPRLSVACFFRPDYACTRVYAPVTTTPPPPLYRSTTMPEFLSHYRAKGLDGRSALHHFRIPPPSSPPH >ORGLA03G0387300.1 pep chromosome:AGI1.1:3:32707240:32707905:-1 gene:ORGLA03G0387300 transcript:ORGLA03G0387300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCCMSLHPHRRHGDGDVDGSGSARLTAGLINFLESRRAGAMSTTNSSSSVSVPAMDAHGQEEEEEPMQVQQQQAFRGVRKRPWGKFAAEIRDSTRNGVRVWLGTFDSAEEAALAYDQAAFAMRGSAAVLNFPMEQVRRSMDMSLLQEGASPVVALKRRHSMRAAAAGRRRKSAAPAPADQEGGGGVMELEDLGPDYLEELLAASQPIDITCCTSPSHHSI >ORGLA03G0387200.1 pep chromosome:AGI1.1:3:32701041:32702915:1 gene:ORGLA03G0387200 transcript:ORGLA03G0387200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPHRAALDPRGFQGPRGGHHQGHGGVLQGDLRPRRNHQHHLPAPLPCLPTPRMRRGGRLCHLSRACLLGTCAPICIYSTLPYYYYLHLPNSNHLLHYLQVRLEALTDFCWLCENPQKLVVPFDMRGYQGVYNLERRIYEGAVRGLSPVQGPLPVNFPLPDPTNPLSLNPGSLQLHSSRSAALDKSPSVTAAIAGARAAATQYSRNNTATSTPTEETRQRFSRENHADNTSGPSIVHDRSPVLQNQNLPSLALTNPPYLKNQTMPSFVQNNLPNLQNHNLSYLPHQNLPADVSNRRVSLLQNQSPSSLFHSGQSYLQNQNAEPRKSPRLQNEPPSRLVAVALRGLKRMNVSEGGEQSAPKRWPE >ORGLA03G0387100.1 pep chromosome:AGI1.1:3:32697841:32700284:1 gene:ORGLA03G0387100 transcript:ORGLA03G0387100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECVTAGSTTPPPHLHQLLSGTGAAPPPSSQDDAHPHPHPQSRRTVPARRLRQRLEQEVSELKKQLRNEEAVHDILNRALQHSNTTKSSSSLSSPSVLHNIPAFIPHKAKELLAELVLVEEEIARLETQIRSMKKAAATTTTQNAITLTHDDTVNANVNSGGSAAGDHANIKSMFFISQAINGLDTSRHHHPLMTIISNKPPTPSPKLNSLDDTSSSSNKKKKMVQQPNKLSERIVKCLICIFIRLLRSSRVADLQLQQQDNNNNNNNTSSRSSSSSPSLTRQHQGGGGGGSFRIDTSLVMNKQQQQQDCRSGQQDHYGIFAIPDSIVRDIGPYKNLVSFTSSAFDLRGFSTSPLLTKLRGMLEALQHVDLRFLTHHQNLAFWLNIYNTCIMHGILHNGLPSNPEKLLALKNKATLNVSGQKLNALVIENFILRQPSSVKEEFWKCEVDVEEQQVRSRYGLNSSEPNILFALCCGNRSSPALRIYKADRVMMDLEKAKLEYLQASLVVTSTRRRLMIPSLIYSNMHDFAKDLDSLVRWICDQLPTSWSLRKSMVDCLRWSGHRLNIHHLVDVIPYDYDFQYLLPM >ORGLA03G0387000.1 pep chromosome:AGI1.1:3:32692305:32694708:-1 gene:ORGLA03G0387000 transcript:ORGLA03G0387000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYEQDPDVLRWSLHLLLPPAAALHYQQRTSSVDCDEMIAHALQEELSYAQSQSLSSSILHLPPSAPPLKEDEQDDAPPPPPPFSSCSTPADYTNTNNHNAHDCLIEFVDDFSALDGQVGKRLHDMIPIPHVPKTNGDIPSVDEAFSDHQRLLHRLELYDLAELKVEGDGNCQFRALSDQFYRTTEHHRFVRQQIVKQLESYPEIYAGYVPMDYREYLKKMIKNGEWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSERVICLSFWAEVHYNSIYPEGELPVMENKRKRWWHF >ORGLA03G0386900.1 pep chromosome:AGI1.1:3:32687216:32690390:-1 gene:ORGLA03G0386900 transcript:ORGLA03G0386900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPSTCASSSSLFLLLRRDRRSSRSASLPGPARRLGVVRASAKEIAFDQGSRSSLQAGVEKLAAAVAVTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIDKTVHSLVEELEKKSRPVKGSGDIKAVAAISAGNDDFVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKSLVEFENARILVTDQKISSIKEIIPLLEQTTQLRAPLLIIAEDVAGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEFQAKDLGLLVESTTMEQLGIARKVTISQSSTTIIADVATKDEIQARIAQLKRELSQTDSAYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSKFVPAIKEKLDDPEERLGADIIQKALVAPAALIAHNAGVEGEVIVEKIKESEWEVGYNAMADRHENLVQAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKKKASAASGAPEGSLAM >ORGLA03G0386800.1 pep chromosome:AGI1.1:3:32679294:32683925:-1 gene:ORGLA03G0386800 transcript:ORGLA03G0386800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT2G28070) TAIR;Acc:AT2G28070] MDPYRSSSSSASSPAAALAMGRRHYYLPARPARPISFEDSPDWADDDVDSIHLATASASASLPTTAYPSPSPTPSSSSAACRGGERKVAGATLVWKELSVSLTRSRSGSGSADRRVVKSSTGYALPGTLTVIMGPARSGKSTLLRAIAGRLRPAERMYGQVLLNATNTRLPYGSYGFVDRHDVLIDSLTVREMLYYSAHLQLPGLFSSKTSIVEDAIAAMSLADYADNLIGGHCFINSLPAGERRRLSIARELVMRPHVLFIDEPLYHLDSVSALLLMVTLKKLASTGCTVIFTMYQSSTEVFGLFDRICLLSNGNTLFFGETLSCLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKSSADSVAVESLVAKLMEKEGPHLKSKGRASNTTRIGVLTWRSLVIMSRNRKYFWSRFALYMLLALSVGTIFNNAGHSLSSVMVRVSAIFVYVSFVILLSVSGVPAHIDEIKIYSHEEANQHSSTMVFLLGHFLSSIPFLFLVTISSSLVFYFLIGLRNEFNLFMYFVVTMFMCLLANEALMMIVAYIWLDTYKCTLTLICLYVIMMLVGGYFRIRGGLPCTVWKYPLSYVSFHVYAVEGLLENEYVGTSFAVGAIRTIPGVQAVGGSYDISSSANAKWVNLLVLFVMAVGYRVVLYVLLRLNVRKHMRLLGSWCCWSWTPQSDYYSSN >ORGLA03G0386700.1 pep chromosome:AGI1.1:3:32671898:32677036:-1 gene:ORGLA03G0386700 transcript:ORGLA03G0386700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSASASADPPRRSPWRHPSNGGNPNPNGDAVIDTTSWPALSEAARNPPKPPPCIDSPSEGQGKQSSRHKPARRGGAGADHSPSPRDDRATSWDHGRHHHHNNSGGRRGSFGGRRRGGGGGGFDALYRAPIGPYVRGATAPPPPPPPPMAVAPPPFLPPPLRPFAAPLLFHHDMASPVSPVSPIYYVGPPPPPEALRPLPPFPPTMLAPPAYPYYHPQPQPDPEPEPDADPQQHRANLLKQIEFYFSKDNLCTDVFLRRNMDDQGWVNIALIAGFNKVQESTDDLQYIKDTIQSSSILEMQDDKIRRQNDWNKWVIPRESNTDVLPSPNINNLTAHLGSVGLQESAASSSSMVDENHHEILTNGPTSGNNQAPVVEDGAGKL >ORGLA03G0386600.1 pep chromosome:AGI1.1:3:32661125:32664486:-1 gene:ORGLA03G0386600 transcript:ORGLA03G0386600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKMKQQMMNKLGLLVVAVAVAMAPAGSFASSSGRGAPMVPAVIVFGDSIVDPGNNNNLKTQIKANHAPYGMDFANSEPTGRYSNGLIPTDFIVQGLNVKQLMPPYLGVELSPEDLKTGVSFASGATGYDPLTPVIVSVITLDQQIEYFHEYRKRLVGVVGEEETARIIDGALFVVCAGTDDIANTYFTTPFRSVEYDIPSYVDLLVSGAAKLLDQVAALGARRIGFVGLPPIGCVPSQRTLGGGPHRRCEEKRNYAAKLFNSRMEEVIAAKTNPATTRMVYVDIYTILQELVENGDKYGFTETTRGCCGTGTIEVTGLCDARFVDICDNVSNHVFFDSYHPTQRAYKIIVDYIFDNYLQFLLA >ORGLA03G0386500.1 pep chromosome:AGI1.1:3:32651035:32652474:-1 gene:ORGLA03G0386500 transcript:ORGLA03G0386500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT4G22790) TAIR;Acc:AT4G22790] MTPPPPSPPHERKTWAESVASEFRAQRGIAFPLIAMNLTWFAKLAVTTAFLGRLGDLQLAAGTLGFSFANVTGFAVLTGLCAAMDPICGQAHGASNGKLLRKTLVMATILLLGASIPIAFLWLHVDAVLLRFGQQADMSSNARSYVVCLLPDLAVTSFVNPLKSYLSAQGVTLPTLFASALALALHVPLTMWMARTRGIQGVATAVWVSDLAVAVMLAGYVLVSERRRKAGGGGGWVEQTRGEWVRLLRLAVPSCLNTCLEWWCYEILVLLTGRLPDARRTVAVMAVTLNFDYLLFAGMLSLSVSASVRVSNELGAGEAWAARRAGMVSIVGGAVGGVGGGVAMVAARRAWGSIYSSDAGVREGVGRAMEVMAVLEVVNFPLNVCGGIVRGTARPAVGMYAVVAGFYVLALPLGVALAFKARLGIQGLLLGFLVGAAASLAVLLTFIARMDWPAEAQKARTRTTATVAQFHQHDEVVQP >ORGLA03G0386400.1 pep chromosome:AGI1.1:3:32648704:32650070:-1 gene:ORGLA03G0386400 transcript:ORGLA03G0386400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPCCVLVVRCCSGSLPSPPPPPPRPSPSNNLRIARREFVLRSSELATLAAIFHLSGTKPRYLGVQKSPPSLALCPATNNCVSTCEDITDSIHYAPPWNYNPKDGRRAKPITKHEAINQLIQVVTQTKPDNFTPRLVEKTDDYVRVEYESPIFGFVDDVEFWFPPGNKSIVQYRSASRSGFIDFNANKKRVKALRLALENEGWASESTI >ORGLA03G0386300.1 pep chromosome:AGI1.1:3:32644771:32646198:-1 gene:ORGLA03G0386300 transcript:ORGLA03G0386300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT5G51670) TAIR;Acc:AT5G51670] MPMAIESWLTKVRSAIISSKPSPSSSSSSSWRSKTVGILALEVATLMSKLVHLWRSLADAALARLRHHLINLDGVRKLVSHHDAALLALACAELTDALRVAAHSVAALATRCADPFLRDFADAFADFADTGRDPHRWVSTWKDMDSRAHKMDKQVAATSALRTAMEDLADAEHGLRKLLQTSSSRRLSATNISLAAEQQQLIFAKKQEVKHLKQTSLWSSTFDAVVSSLARAAFTILARIKLVFGAAHDHRPTTTPLHRSLTLSSAVHPSSVDVQVQPPVSRKSMSMDMGMGEALYLERQRQSGLLERSAAALVPPPGTLGAAALAPRYAWVIISIERMARSPRLVGAEERDELYGMLTASVRAQLRARLRGTVAAAEPGLAGQWRAAVGGILEWLAPMAHATVRWQAERSLEQQRKTTREMETQTLVVQTLQMAERGKVEAAVAELLVGLNYLCRFHKEITTCRTRTCHHDDAP >ORGLA03G0386200.1 pep chromosome:AGI1.1:3:32634239:32638029:1 gene:ORGLA03G0386200 transcript:ORGLA03G0386200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00090) TAIR;Acc:AT4G00090] MAAASLVSLPLLSAIFGAAIALLFLSGYLRRKRAAIAHLPPSATAAAPDQPKQVRPSNQNQPKKGHQRSHHAVDKDAAKKHHHLDVNTLRGHTDSVTALHFSDDACNLATVCADGAVRVFRVDDVSSKSFKILRINLPAGAHPTAIAFSQGASSVVVAAQALLGSSLYMYADVGAPPAGGNKQQGKLSPPEIKWEHRKIHGKESVLNLAAARATYGTGDGSTILISCSEATDIKIWHGKSGKELGTVDTNQLKNNMADISPNGRFIAAAAFTADVKVWEIVYSKDSSVKEVNKVMQLKGHKSAVTCLCFALNSEQIITASKDGTIRVWNINVRYHLDEDPKTLRVLPIPLHDSKGSVCQYDHMSVSPDEKVLATTSGSTLQWLCVETGAVLDTAEKAHEGDITGIAWAPRTIPNGGTPAFVLATAGVDKKVKLWLAPKAIST >ORGLA03G0386100.1 pep chromosome:AGI1.1:3:32629984:32630409:-1 gene:ORGLA03G0386100 transcript:ORGLA03G0386100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLQHRRRSWTARLLSSASLPPARLLVFFAIVIFFLSVSSYVDYKAIERRAEIGLRVFAAPLAAVTIFLLFLVLQHRRRYWTLRRQVHHHHAYADQAEAAGSGSPWVVALLLLLLLLMLSFQSSVHSIWFRPLWDSADY >ORGLA03G0386000.1 pep chromosome:AGI1.1:3:32618840:32628421:-1 gene:ORGLA03G0386000 transcript:ORGLA03G0386000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLRDLSQPIDVPLLDATVAAFYGTGSKEERNAADQILRDLQNNPDMWLQVVHILQNSHNLNTKFFALQVLESVIKYRWNALPTEQRDGIKNYISDVIVQLSSNEASFRQERLYVNKLNIILVQVLKHEWPARWSSFVPDLVAAAKSSETICENCMAILKLLSEEIFDFSRGEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRPELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPIAAYRNLTLQCLTEVAALQFGDFYNVQYVKMYTIFMMQLQAILPPGTIPDAYANGSSEEQAFIQNLALFFTSFFKNHMRILEASPENRAALLLGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAASVNMMGLQAQMLPGVDGTITAVQQRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSSLATTIGDLEPHQIHTFYESVGHMIQAESDNTKRDEYLKRLMSLPNQKWAEIIGQASQSIDILKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHIGKQFVPPMMDPILADYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASGFQNQFYKTYFLNIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCVVDGLTEPLWDASSVPYQYTDNAMFVRDYTIKLLGSSFPNMTPTEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLAIPGLIAPSELQDEMVDS >ORGLA03G0385900.1 pep chromosome:AGI1.1:3:32614553:32615296:1 gene:ORGLA03G0385900 transcript:ORGLA03G0385900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:I1PHL3] MMMENSREQQPESSPANNNSKKKKNKKKTASRFRRVCVFCGSSPGKKASYQVAAVQLGQQLVERGIDLVYGGGSVGLMGLVSRAVHGGGGHVVGVVPNGVLPRELIGETLGEVRAVGSMHQRKAEMARESDAFIALPGGYGTLEELLEVITWAQLRIHHKPVGLLNVDGYYDSLLAFIDKAVHEGFVSPPARRIIVAAPTASDLLCKLEEYVPPPHDATALKLTWEMSTVSEQHAGSIYSPKPDMAR >ORGLA03G0385800.1 pep chromosome:AGI1.1:3:32607893:32608171:-1 gene:ORGLA03G0385800 transcript:ORGLA03G0385800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTGGKEEEKEKLCRRCKATYAPSGNTRLSCRFHPSYFVCRRHDDQKRYYQLRDGDPPYAAKFYDCCGAEDPDAAGCTTDFHLSYDDADA >ORGLA03G0385700.1 pep chromosome:AGI1.1:3:32603764:32604564:1 gene:ORGLA03G0385700 transcript:ORGLA03G0385700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQLQLQVLALLLLLATTATATATPTPTLIFLLGGQSNMGGRGGATNGPWDGVVPPECAPSPRILRLSPELRWEEAREPLHAGIDVHNVLGVGPGMSFAHALFRAIPPSTVIGLVPCAQGGTPIANWTRGTELYERMVARGRAAMATAGAGAGARMGALLWYQGEADTIRREDAEVYARKMEGMVRDVRRDLALPELLVIQVGIATGQGKFVEPVREAQKAVRLPFLKYVDAKGLPIANDYTHLTTPAQVKLGKLLAKAYLSTL >ORGLA03G0385600.1 pep chromosome:AGI1.1:3:32599120:32600650:-1 gene:ORGLA03G0385600 transcript:ORGLA03G0385600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLLLLLLLPAAAAAVSLPPSNKVVFILGGQSNMAGRGGVVGSHWDGMVPPECAPNPSILRLSPQLRWEEAHEPLHNGIDSNRTCGVGPGMSFANALLRSGQFPVIGLVPCAVGGTRMADWAKGTDLYSDLVRRSRVALETGGRIGAVLWYQGESDTVRWADANEYARRMAMLVRNLRADLAMPHLLLIQVGLASGLGQYTEVVREAQKGIKLRNVRFVDAKGLPLEDGHLHLSTQAQVQLGHMLAQAYLNYGTSTL >ORGLA03G0385500.1 pep chromosome:AGI1.1:3:32595183:32597176:-1 gene:ORGLA03G0385500 transcript:ORGLA03G0385500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMASEAWLSPASRLFHPPRSSTAAFFSASGLPRLPRRSSCAVSTSTRGRRLRLGATDQQQQQGKQDGDEVVDSNVLQYCSIDGKGKKAEKRSLGEMEQEFLQALQAFYYDQKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYAAGNPIMSDAEFDQLKLRLKKDGSDIVTEGPRCSLRSRKVYSDLTVDYLKMFLLNVPATTLALGLFFFIDELTGFEINIFQVNSFSFLPINYSTDYLTCFSPLGNLYEQMQLPEPFGFIFTWFAALPLILFIAQSITNAIVNDFLILKGPCPNCGAENLSFFGTILSVSSGGETNKVKCADCSTEMVYDSKSRLITLPEPSQ >ORGLA03G0385400.1 pep chromosome:AGI1.1:3:32593884:32594309:1 gene:ORGLA03G0385400 transcript:ORGLA03G0385400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGRRLPTTSQVERPAAPVNLAQAQPMEVVSRFPMTAQVERPVAPVDLAPTQPMEAGLRRSWQRDGGLAAENGGGEKEPARSPTMFCRRRSGTVRRRPTSRATVIWRHQRSKAWRRDGGMAMENDGGEEDFARARAVRV >ORGLA03G0385300.1 pep chromosome:AGI1.1:3:32588877:32589185:1 gene:ORGLA03G0385300 transcript:ORGLA03G0385300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGSGGGGDRGRIRRQSVVVASVTAAASLLPSVAVVASGGSGGSGGGGEWIRRRWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA03G0385200.1 pep chromosome:AGI1.1:3:32571694:32572812:1 gene:ORGLA03G0385200 transcript:ORGLA03G0385200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVVQQEQEVVFDAAVLSGQTEIPSQFIWPAEESPGSVAAEELEVALIDVGAGAERSSVVRQVGEACERHGFFLVVNHGIEAALLEEAHRCMDAFFTLPLGEKQRAQRRAGESCGYASSFTGRFASKLPWKETLSFRYSSAGDEEGEEGVGEYLVRKLGAEHGRRLGEVYSRYCHEMSRLSLELMEVLGESLGIVGDRRHYFRRFFQRNDSIMRLNYYPACQRPLDTLGTGPHCDPTSLTILHQDHVGGLEVWAEGRWRAIRPRPGALVVNVGDTFMALSNARYRSCLHRAVVNSTAPRRSLAFFLCPEMDTVVRPPEELVDDHHPRVYPDFTWRALLDFTQRHYRADMRTLQAFSDWLNHHRHLQPTIYS >ORGLA03G0385100.1 pep chromosome:AGI1.1:3:32550206:32551989:1 gene:ORGLA03G0385100 transcript:ORGLA03G0385100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATTAAMALAPPPSHHHHHVKQLQLPPSVSVSVPLRSGFLGRALPAAAHPQPLLAAESRRSSAVSVRMSWDGPLSSVRLIMQGRNVKLNEKVKEHIEEKAGRAVAKHSQLVKEVDVRLSARGGELSRGPKICRCEITLFTKRHGVIRAEEDAESTYASIDLASSIIKRKLRKIKEKETDVRHLKGTKPPVSDWPPSSLDNNDDDAQAQLKDLEEAVGAEDEDTVLTKVVRTKVFEMPPLSVEEAMEQLVNVDHNFYAFRDEKTGEMNVLYKRKEGGFGLIVPKGDGHLHKETIPNSDHHHPSLAA >ORGLA03G0385000.1 pep chromosome:AGI1.1:3:32542451:32548996:1 gene:ORGLA03G0385000 transcript:ORGLA03G0385000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of yeast sucrose nonfermenting 4 [Source:Projected from Arabidopsis thaliana (AT1G09020) TAIR;Acc:AT1G09020] MFSHGADSAHDAGAVSTGASGVPTRFVWPYGGKRVYLTGSFTRWTEHLPMSPVEGCPTVFQAICSLSPGIHQYKFCVDGEWRHDERQPTITGDYGVVNTLCLTRDFDQINTILSPSTPGSRMNMDVDNDNFQRTVSLSDGIIQEGPQRISEAAIQISRCRVADFLNGQTGYDLLPDSGKVIALDVNLPVKQSFHILHEQGIPVAPLWDSFRGQFVGLLSPLDFILILRELETHGSNLTEEQLETHTISAWKEAKRQTYARNEGSWRANHHLVHATPYESLREIAMKILQNGVSTVPIMFSSSPDGSYPQLLHLASLSGILKCICRYFKNSQGNLPILSQPVCTIPLGTWVPKIGDPNGRPLAMLRPNTSLSAALNLLVQAGVSSIPIVDDNDSLLDTYSRSDITALAKDKVYTHIRLDEMTIHQALQLGQDANSPFGFFNGQRCQMCLRSDTLLKVMERLANPGVRRVFIVEAGSKRVEGIISLSDIFKFLLS >ORGLA03G0384900.1 pep chromosome:AGI1.1:3:32537375:32539403:-1 gene:ORGLA03G0384900 transcript:ORGLA03G0384900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:I1PHK3] MAAPITAATSPLSPASRVQVMCSMLNPTSASFSRQTASFPSIRLRPVPSRFQALSCSAKQDTIDKVCEIVKNQLAVDEGTAVSGETKFVDLGADSLDTVEIVMGLEEAFQITVDESSAQVIQTVEDAAALIDKLVAEKDA >ORGLA03G0384800.1 pep chromosome:AGI1.1:3:32531760:32536798:1 gene:ORGLA03G0384800 transcript:ORGLA03G0384800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ku80 family protein [Source:Projected from Arabidopsis thaliana (AT1G48050) TAIR;Acc:AT1G48050] MARNKEALVLLLDVGPSMHGVLQEVENICSTLVHKKLVYNRSDEIGVVLFGTKETSNELAKELGGYKHVVVARDIKVVDEETTNALQNLPRGTSPGDFLDAIVVGLDMLIRKFGNIKGKQRMCLVTDAQHPLRDPPQGTKKDQVDTIADQMKRHEIKMDCIIFRESGVRHNAVMDENDQLLYHFRERSVTKVVQVDSPTSLLGALRTRNVLPVTVFRGDLEVSSSFKIKVWVYKKTSEEKFPTLKKYSDKAPASDKFASHEVKVDYEYKSVLEPDTVVPPDQRIKGYLYGPQVVPISSAEWEAVKFKPEKGVKLLGFTDRSSISRHYFMKDVFSFVPEPGNTKAVAAVSALARAMSEMNKVAILRCVWRQGQGNVALGVLTPNISSAKNVLDSFYFNILPFAEDIREFQFRSFSSLPSSSQPTKEQQEAADNLVKMLDLAPHGREEILKPDFTPNPMLERFYRYLDLKSKQPDANVPPLDKCLKKITEPDPDVIDYQAPLIKKLGNVFELKENPKKKKARTQDRLTYTGADDQAKLLEEPSAEKVGVSEALYPPKKKAGEIGDHNPVQDFEAMLTQRSSSTWVQTAIEEMQKYITALIQDSCDRDNHQKALECLVALRKACIIEQEPNEYNGFVTKLCQKFRPAGDAKFLQLLSSKNASLISKEEAPDSDVTEEMARNFCLKPEPSSQ >ORGLA03G0384700.1 pep chromosome:AGI1.1:3:32527663:32529331:1 gene:ORGLA03G0384700 transcript:ORGLA03G0384700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G15370) TAIR;Acc:AT1G15370] MILAVLFSNSDGNILIERFHGVPAEERLHWRSFLVKLGADNLKGAKNEELLVASHKSVSIVYTMIGDVCLYIVGKDEYDELALAEVIFAITSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWKGLLENTEKDRVRRLIRLKPPVEP >ORGLA03G0384600.1 pep chromosome:AGI1.1:3:32524760:32526874:1 gene:ORGLA03G0384600 transcript:ORGLA03G0384600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G46580) TAIR;Acc:AT5G46580] MAPLPAAAAAPAFLFLSPPGKLPGGKPRLKPSCAASTSSSSSSSQPLLRAIRALPDAADLAPTLHDFFPPASPPSTSDALLLLNYLHPSWRKSLSLLCWLRALPDGAFPLDTIVFNVALKSLRAARQWPQAERLALDMLASGVPLDNITYSTLITAARRCRQFDKAVEWFERMYASDGVLPDEVTYSAILDVYAQLGMKEEVLALFDRARGSGWKPDHVAFAVLAKMFGEAGDYDGIQFVFKEMREVGIKPNIFVYNALLEALGKTGKPGLARNLFEEMTAEGVEPNARTLTALAKIYGRARWGRDALQLWEQMREKKLPADNILCNTLLSMCADVGLVGEAEQLFSEMKDPDLGDVPKPDKWSYTAMINIYGSSGDADRALQLFAEMVESGIEPNIMSYTIVIQCLGKAGRIQEAVDVLEAGMAKGLKPDDRLCGCLLSVVALSSGDETEVILACLEKVRSNLVKLIRMLGDARVGVEDLRVELKGILNSAAPEVRRPYCNCLIDICRNHGYPSERAVELFRLARHYGLYSKIHTRKEEEWSLDLRSLSVGAAKTAFDDWMKTIREHEEEEEALPQTFSVYTGSSTHKFAQGLATAVASHLEQVGAPFRASDSQLGSFISSRDDLLSWLHTTMSSPDVAV >ORGLA03G0384500.1 pep chromosome:AGI1.1:3:32520863:32521951:1 gene:ORGLA03G0384500 transcript:ORGLA03G0384500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECWEKKPRNLGGSLPVPNVQDLAARPDHLTPTLLRRYLRPHHLPLPADDHHAMAMIPVVDFARLIDHHEEAAKLRHACEEWGFFQVINHGIADETVEEMKRDVMAFFNLPLADKAAFAQQPEWIEGYGQAFVTSEDQTLDWSDLYFLTTQPPSYRDLRFWPPETSSTFRRSMDRYSLETQRVATELLRAMARNLGLRDADKMTRLAAAQSMRMNYYPPCPAKERDRVLGVSPHSDAVGLTLLLQVSPVKGLQIRRGDDWIPVDPIPGALVANVGDVVEMVTNGRYKSIEHRVAVDAAQERVSVAAFHNATFGSTYGPLEEMVGGGEARYRSISVEDYVRLVVSSKLQGKNILDAVKIITNT >ORGLA03G0384400.1 pep chromosome:AGI1.1:3:32517981:32518410:1 gene:ORGLA03G0384400 transcript:ORGLA03G0384400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWSESENARFEQALATYDSDNPNRWELIATAVGGGKTADDVRRHYDHLQHDVTTIDDDHSHAAGEALPNGNNNNNTNKGNRNQA >ORGLA03G0384300.1 pep chromosome:AGI1.1:3:32514997:32516013:-1 gene:ORGLA03G0384300 transcript:ORGLA03G0384300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARVXIVGCWWASGCKAFFSXGWMSXGNVLCCYCICFVGAGIYVGVLAVFLMLNXCGGGAADFSGLAKGHLASMDEPVQEQRHLVHIRSKMLLSEILRSIGANEARYNCHAVTDGYVGSAEASVYSVRGGEEPFLVRAHGIPAIRPCDVEESAAHALISVIKKECRVEIEDTNXLDMNRYHAKVF >ORGLA03G0384200.1 pep chromosome:AGI1.1:3:32503093:32513594:1 gene:ORGLA03G0384200 transcript:ORGLA03G0384200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGDRIGCCSLLHHAESPMTMARRWLHYWIGDSLLAFSLGGGSCLPRLLLAKMDYSLLKDVTQESHRWRVRVRATRFSEFTTANEPDKILRLDLVLLDEQGDMMDAQIPGRRVDQFKPLLKEGAVYYIKYFEVAEARPQYRPVDRLLMAKFTAHTTVTEDTGPPSTFPSYACKILSFDELRARAYKKDILSDSIGIMIAIGLVQTVSYAGVMKAVLNDHITNGRETAVVALWGPHATQFHAENLQQQADNGHVVMLFVGLTVKFRDRQLALQGSTVCRWYPNAPIQETISLISSLHGNPQVVRMIEANFGQKEAINVKVSDICDLNPHEALGNSYVVNIIIRDLVPAEPWWYIACSTYKRGTAREGNAYKCLRCNTDAIETRYRVAIMGIDPSDLANDQAKAAEFTFFGEIGEQLIGRPVLNLVASVHGARDIVPPEIKAIFGRQYVIRTSVSRGSLQRNRVSYQVDSLMLPHPDPSHAICLPSHDTCVGSSGHGSTSANAVEPHAIILSSTQSMPPSTPFVLPDTKDTRDSHGDQHEATPPTPQVIAIAHLDKKRKSSTADENLGHGGSSPDEHDRRKPSVVRTLFVDKLPPELPK >ORGLA03G0384100.1 pep chromosome:AGI1.1:3:32495580:32499858:1 gene:ORGLA03G0384100 transcript:ORGLA03G0384100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVKGRYEGDKATAAATLAFTPSAADLRFKASATDAAFARGPSLEGLTLTLEKPGSFLLDLKPHSKDVRFQFMNSALLLDRRVSLTYTHSTTLSPGPAKPPARTALDGSLTFDPANKLSLSHTLGSSGCRVKYSYAHGQDRLTTIEPCFDTANNAWDFVVTRKFQGGDAIKATYQASTKLLALDWTRDSKIGASFKVAASFDLSDQSKAPKLIAESTWNYEI >ORGLA03G0384000.1 pep chromosome:AGI1.1:3:32493747:32494865:1 gene:ORGLA03G0384000 transcript:ORGLA03G0384000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRRADLSVGWADLPPELLEGIMKLMSPLERVTVRLVCSSWRTCARASFPSDLAFEAPRLLLRRPGPPRGPLAFFSLRRAEILPFALPARLSAARCCGHMGGWLAMALDDDREIALCSVTSGESVGIPRPPVFPVAKVVLSAPPTTRGWVVAVLGRSGTIALLQPDAEGEGGRWMAMEDGAKHGGFEDMAIWRGRLCALGGDGAVVAYRVSLGARVAAARVLRAAQHPVGYAAGAGGQQRVRGRVCMYLVVDMSGSLVVVQREYSVRRDAVEVEVEVSRFAAEERKWEAVEELAAGEALFVGSVVSVAARATEGSGIRGNCVYMARREVELIAPHAIGVYSLADGEADGLAISGGHSLAAEPVWIAPSLA >ORGLA03G0383900.1 pep chromosome:AGI1.1:3:32487742:32488700:1 gene:ORGLA03G0383900 transcript:ORGLA03G0383900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMHERKQLRPGVVLSVIGSSSSSPIPAMNKGRGFKRVVKVGGGVKKEGKGVFPRLRGAVVATVRAVVGSGQRAMEDSGGTVGAMGLEVVAGRVGIERRQQMRLVMIGAIGDEEGPTG >ORGLA03G0383800.1 pep chromosome:AGI1.1:3:32486554:32486811:1 gene:ORGLA03G0383800 transcript:ORGLA03G0383800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFHDHVIRVSTGTGTSNLSINSTKLPNVLLNISPVCLQLLDCPLVAICRTVVIELISHILPIILSLFVISIYRINRVAQPSTN >ORGLA03G0383700.1 pep chromosome:AGI1.1:3:32483959:32485311:-1 gene:ORGLA03G0383700 transcript:ORGLA03G0383700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSQEQSNRIEDSLTSSNLEELMWEEINDPMEAEIEDQIEAEIEAELEAELAGPSTQRGGYTRRYINRDHEEDHNRLFAKYYCDNPLYTDDQFRRRFRMRKHLFLRIVEALGVWSPYFRLRRDAFGKMGLSPLQKCTAAIRMLAYGTPADLMDETFGVAETTAMESMINFIQGVRHLFGQQYLRRPTQEDTQRLLQFGEAHGFPGMLGSLDCMHWQWENCPVAWKRQFTRGDYGVPTIMLEAVASADIWIWHAFFGVAGSNNDINVLDQSPLFTEVLQGRAPTVQFTVNGSDYNMGYYLADGIYPEWAAFVKSIKRPLNDKAKLFAQRQESARKDVERAFGVLQKRWAIIRHPARLWEREELADIMYACIILHNMIVEDERGTYDIPDDNTYEQGHFSAQMAGLHRGPIYGFEDVLEKNLLIRDRATHRRLKQDLMEHIWQKFAGQQH >ORGLA03G0383600.1 pep chromosome:AGI1.1:3:32454890:32459925:1 gene:ORGLA03G0383600 transcript:ORGLA03G0383600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMEEEAANAATAQAAAAGDLADVVARANARAFLVSTPHHHPSPLHPLPPPPMPQAPHQYYPAPQITIPYHHHHHGELRRPTTIAYTDAPVPFETAGPPSTVVDSYHHLTPGDAGYGMPRSLALQISQHALCGGGDVVMGGGGAGAADDGEEAIRISPLTPSAHHQMMKRKNEVKKVVCIPAPPATSSRGGGGEVIPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCMARKQVERSRSDPNMLVITYTAEHNHPWPMQRNVLAGYARSHHSTHATASSSRHKQQQQQQTNQLQPALITSSSSSSSSPFNLYADVVLGGQKANMMMTTEGAGAGLGIQPSAADEVFAELEELEPDNPTMINANMQVYSTTSRPGVSSYDHQWHKF >ORGLA03G0383500.1 pep chromosome:AGI1.1:3:32429258:32432360:-1 gene:ORGLA03G0383500 transcript:ORGLA03G0383500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNAIALDEHVLDSTIRKRKRVGATQCAEANDAPNLSQNGPKQNLALFPDSTGHKKSKMMCSADDILERYNNFKISGMPVRVMSHQHGGWRDFPEDVVNSVQQSFQLKRPITSAVFQNRHILLDFMQMVCLDSVMAISKPIAWIDDHGKCFSPDSCAGVIPSEPLQHGKNEFLKSSHDLSSSYEAHEHDGMSAAESSSSASFDAVLSDVQEVNNVVEDKQKVLNESGEVSGENKKGHLSRMNETADGAMQAPRNNQSGQRADSAVRNLLFQGSGHLFTEKDIIGIYRTPMLDQLGRSRYSLFQKEVQVTKNQRGNANERYAWLACTKGTMEEMMMNGALEIAKPQQGPMYGVGAHLAPANSSNICVGLSDIDENGIIRMMLCRVIMGNVEVVFPGSNQCQPTSESFDSGVDDLQRPKHYIIWDANVHKHIYAEYAVIIKVPYMNNGDTASNISEIRNSGALDNPTKDDSLQTIASSGDEQQACMLGRAPSPRSPSSPWMPFSMLFAAISAKVPRSDMDLVHKYYEEFKRRKISRPDLVKQLRQIVGDKLLVSTVVRLQQKLPPMAATEQAPRAPGRGGGASP >ORGLA03G0383400.1 pep chromosome:AGI1.1:3:32426313:32426990:1 gene:ORGLA03G0383400 transcript:ORGLA03G0383400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLISATRDFRERTKSKLVKIMIWAGIVVITFAIAVRIYPIFIFLLKERIKPLVEALYDKLPWIWEVSLSRYWDRLIDFLDRYLWACAQRIQTGIHKQKGEFVVTFSCRVKKRLYARAIEVGIHLSLLSNLFWILKTTLAAGYRLLWVLYYIISFEGFLGSFRLYLVYFGFYCLLFSGKWLRTSEDRGERQAQISGILLRGMLIEWHSPYYALKRTRTSTLFSTRF >ORGLA03G0383300.1 pep chromosome:AGI1.1:3:32421392:32422572:-1 gene:ORGLA03G0383300 transcript:ORGLA03G0383300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1PHI7] MAAAVVAVLWACMMMMSIAPASLAASGFEEVPTIAFDEGFSPLFGEDNMVKSADGRTVSITLNRYTGSGFISSDYYHHGFFSASIKLPKDHTAGVVVAFYLSNGDVFEKTHDELDFEFLGNRYRHEWKMQTNVYGNGSTDRGREERYLMPFDPTADAHRFSILWHSRLIVFYVDGVPIREVPRTAAMGADYPSKPMALYVTIWDGSTWATDNGKYKVNYKRGPFTAVFSDLVLRGCTARSDIRLATTADDQDRCAAAEEDLMESDEYSSTMAMTARKRMAMRRFRQRQMLYTVCYDTNRYPEPFPECDVNMAERQMYWQWGESKVVRPRVRPRPGRRSKRRPSPAATAIPPPVLVSLQQAD >ORGLA03G0383200.1 pep chromosome:AGI1.1:3:32416040:32418966:1 gene:ORGLA03G0383200 transcript:ORGLA03G0383200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVAAAAAAAGAVTTAVAPPPGAAVSNGVATAPPPFLMKTYEMVDDPATDAVVSWGPGNNSFVVWNTPEFARDLLPKYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTINRRKPTHGNNQVQQPQLPAAPVPACVEVGKFGMEEEIEMLKRDKNVLMQELVRLRQQQQTTDHQLQTLGKRLQGMEQRQQQMMSFLAKAMHSPGFLAQFVQQNENSRRRIVASNKKRRLPKQDGSLDSESASLDGQIVKYQPMINEAAKAMLRKILKLDSSHRFESMGNSDNFLLENYMPNGQGFDSSSSTRNSGVTLAEVPANSGLPYVATSSGLSAICSTSTPQIQCPVVLDNGIPKEVPNMSAVPSVPKAVAPGPTDINIPEFPDLQDIVAEENVDIPGGGFEMPGPEGVFSLPEEGDDSVPIETDEILYNDDTQKLPAIIDSFWEQFLVASPLSVDNDEVDSGVLDQKETQQGNGWTKAENMANLTEQMGLLSSHHTG >ORGLA03G0383100.1 pep chromosome:AGI1.1:3:32412871:32413677:-1 gene:ORGLA03G0383100 transcript:ORGLA03G0383100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAHLLSLLVVILVAIPSSRSHALPSSSSPFDAALATLQNQIAYRFHAPDLLRRAMTHASYSRENGRALAVLGLAASQSAAALRALAADHDASASAVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVDANSTHAAEEVFWKLHVLTAASAKAAM >ORGLA03G0383000.1 pep chromosome:AGI1.1:3:32409237:32412123:1 gene:ORGLA03G0383000 transcript:ORGLA03G0383000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G46840) TAIR;Acc:AT5G46840] MAKKNKEPASDDAAAIRTLFSADNPFRRKPSDDQLAPPAPTAAAPRGKHPEPEVAEPSAKTRRDEPRRKRKRDEVEAGHERRRLGGAPAPPLPVVGEKRKVPEEVAAAAGAGQEEEEAFDDESKLLRTVFVGNLPLKTKRKALTKEFAAFGEIESVRIRSVPLTDTKIPRKGAVIKGKINDSVDNVHAYIVFKDEQGARAALSHNMALFDGNHVRVDMACPPRKKLKGEGPLYDRKRTVFVGNLPFDIKDEEVYQKFCGSSGSEGDVEAIRVVRDPDTSLGKGIAYVLFKTREAANTVVRKQDFKIRDRLLRLAHAKSADATPKKTTDAGKTKGGSKHKTTLTPSSKSHEGSDKTKRKASTLSYQGLRASKSGVVKKAKVSQGPSYQGKQQGRTSETGHDASSQKAKRPAVAARKAKQLAKKRKLDAQTPENTHRSKKAKK >ORGLA03G0382900.1 pep chromosome:AGI1.1:3:32406789:32408920:-1 gene:ORGLA03G0382900 transcript:ORGLA03G0382900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G46210) TAIR;Acc:AT3G46210] MEKSRADGRNPNQLRPFSCTRNPLDRAHGSARWAQGDTIVLAAVYGPKPGTRKGENPEKASIEVVWKPMTGQIGKQEKEYEMTLKRTLQSICLLTVHPNTTTSVILQVVGNDGSLLPCAINACCAALVFAGIPLKHLAVAIGCGVLEDGEVILDTNKAEEQQLKSFAHLVFPNSRKSASSKEPNQKEEDSERGLITSITHGVMSEEDYFSCIERGLAASSRISDFMRTTLQKQAPGDV >ORGLA03G0382800.1 pep chromosome:AGI1.1:3:32402293:32405258:-1 gene:ORGLA03G0382800 transcript:ORGLA03G0382800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDAFTDKNAVFRRLKAKPENKMCFDCSAKNPTWASVTYGIFLCLDCSAVHRSLGVHITFVRSTNLDSWTPDQLKMMAFGGNNRAHAFFKQHGWTDGGKVDAKYTSRAAELYRQILQKEVAKSSADNVLPSSPVAASQPQNPSDDFPEFKLPEAPAENTNGKQEPDVTNSQKAPTQTPKAPTHPTFATSVKKSIGAKKIGGKTGGLGVKKLTTKPSESLYDQKPEEPKPAAPVMTTSTTKSGPSLHSRFEYVENEPAVESRNGGTQMTGHVAPPKSSNFFQEYGMDNGFQKKTSTAATKTQIQETDEARKKFSNAKAISSSQFFGNQSREEKEAQMSLQKFAGSSSISSADLFGRRDMDDSNLDLSAADLINRISFQASQDLSSLKNMAGETGKKLTSIASNFISDLDRIL >ORGLA03G0382700.1 pep chromosome:AGI1.1:3:32400126:32400692:1 gene:ORGLA03G0382700 transcript:ORGLA03G0382700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG2-like (avirulence induced gene) family protein [Source:Projected from Arabidopsis thaliana (AT3G02910) TAIR;Acc:AT3G02910] MGTAAGDAAEQPPAAPEAKRAAAPRTLVFTYGTLKRGFSNHGLLQDLARDGDASFVGAATTAPRLPLVCGPYRVPFLLNLPGAGHRVSGELYAVTPRGLDRLDELEGVSRAHYERLPISVLLAEGAQVDAVAYYAHRGYADDLWARSGKKGYPEYSPAVADGYIRRKDRPQQLTFLEQIRVFVSSQSS >ORGLA03G0382600.1 pep chromosome:AGI1.1:3:32397559:32399805:-1 gene:ORGLA03G0382600 transcript:ORGLA03G0382600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDRKRPAPFAGFSPFARSLLFSASSSKPLPPPEDPPAAAAADEIPSGIAGSSRDMPPPKRAKRADPSSDEERYSSDDESYSSDSDDSDDASEELDTVQADFAFYDPKPGDFHGVKLLLKTYLDSKPWDLTGFVDLILEQTTVGTVVKIADDEEDGEGNGADGSSTGGNDDLFGLISVLNLGRYSEHRCMKDLKDYLLAVCGDKDTKKKLKQMLGDKAPDVGLLVCRRFVNFPYELVPKMYESLFDEVSWATEDEPTQELRDSFRFKQYLMVVRVLERKTPAKQKAKNSTEEDEPIIYPKLEDEIFRELSSWSFTFPISSEQSAQQEMKNYKEMGLVMAVKAEAIPKFRKKLEDLLSE >ORGLA03G0382500.1 pep chromosome:AGI1.1:3:32395090:32396652:1 gene:ORGLA03G0382500 transcript:ORGLA03G0382500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLRSASSLRGVLLRHCSVGTTSPPQVFSRVSDLQAPGCIAWKHFSTFKPNPLPKLDGLGSVTCLYSQARWASQAAAVKETENSGSKISIGPKSKQIKEDDKDDRLVYQGPISSTIRKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGAVASTVIFLSATTTAALHWFVSPYIHKLRWRPGSDSFEAEIMSWLATPLTRTIKFADVRPPETNRPFVTFRAEGNFYFVDSEHFPNKALLARLTPKHPNESAFKNL >ORGLA03G0382400.1 pep chromosome:AGI1.1:3:32391824:32394688:-1 gene:ORGLA03G0382400 transcript:ORGLA03G0382400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRKNFRRRTDDAEDAYGDDSSNSKPTATKTQTPPVPKPRSPRRQGASRLSFVEDEDDDDAEEGPLSQRRRPAATVRQARTASPAAATLHRLTPARDRLKSSPAVAAAVPAPKPSNFQSHAGEYTPERLRELQKNARPLPGSLMRAPPPPPPPTAEAPRQRLPGAAASPAPATNTTAAAVEPVVILKGLVKPMSQASIGPRNPSQNEDKDEDESEEEEEEEGPVIPDRATIEAIRAKRQQLQQPRHAAPDYISLDGGGVLSSREAAGGSSDEDDDETRGRIAIYAEKSDSQRSTKGVFGVINNRGPAASLGVINDGFREVEDEKDDDEDEEERKWEEEQFRKGLGRRVDDASAQRAANGGPAPVQVQPQPSGYSIDPRYQPSFSGVLPGTSTFASGSAEFLSIAQQADVASKALQENIRKLKETHKTTVDALVKTDTHLTEALSEISSLESGLQDAERKFVYMQELRNYISVMCDFLNDKAFYIEELEEHMQKLHENRVTAVSERRAADLADESSVIEAAVNAAVSVLSKGSSSAYLSAASNAAQAAAAAARESSNLPPELDEFGRDINMQKRMDLKRREEDRRRRKIRSESKRLSSEGRSANNEHIEGELSTDESDSESSAYLSSRDELLKTADLVFSDAAEEYSSLRIVKDKFEGWKTQYPLAYRDAHVALSAPSVFTPYVRLELLKWDPLHETTDFFGMEWHKILFDYGEQNSESGTDPNNVDKDLIPVLVEKVALPILHHRIMHCWDILSTQRTKNAVDAINMVISYLPTSSKALHQLLAAVNSRLTEAIAAISVPAWGSMVTRTVPGASQYAAHRFGVAIRLLKNVCLWKDIFAKPVLEKLALEELLKGKILPHMKSIILDAHDAIARAERISALLKGVWSSPSQKLQPFIDLVVELGNKLERRHMSGISEEETRGLARRLKDILVELNEYDKARAILKTFQIREAL >ORGLA03G0382300.1 pep chromosome:AGI1.1:3:32387725:32387970:-1 gene:ORGLA03G0382300 transcript:ORGLA03G0382300.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKLSALQAETAKGSFGDELTAEAKMSTRRALSSIRNNFKEIQRSLEIWLARILGDLEGMLARDGASRIREYFLSPYASAVR >ORGLA03G0382200.1 pep chromosome:AGI1.1:3:32385148:32386698:1 gene:ORGLA03G0382200 transcript:ORGLA03G0382200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKDMVMKLSGTSRHHGQQRRGGSPPPRGRTTSVYRSGYYRPGMVQDDMAVPPATYLGGGGTSMSSASSTPAWDFARPAEGEAREWVAQVEPGVQITFVSLAGGGGNDLKRIRFSREMYDKWQAQKWWGENNERIMELYNVRRFSRQVLPTPPRSDDGERESFYSQVGSTRGSPAATPSPAPLTPDRVTSWSAFVRPPSASRQQQQHSFRPLSPPPPSSSNPSERAWQQQQQPQRAGKSPAAASDAMDAARTTSCSSRDEVSISNASELEVTEWVIQDEPGVYITVRELADGTRELRRVRFSRERFAELNAKLWWEENKERIQAQYL >ORGLA03G0382100.1 pep chromosome:AGI1.1:3:32379757:32380048:-1 gene:ORGLA03G0382100 transcript:ORGLA03G0382100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKMQCKQGSPAGCNKKNKKKKKQQQQTKRREKNEGGRVVPGAGAGARRVLAAAAIFLLDAGDAGQHRLERAS >ORGLA03G0382000.1 pep chromosome:AGI1.1:3:32369574:32370793:1 gene:ORGLA03G0382000 transcript:ORGLA03G0382000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNIGMRMGAIEYRRFMSMSREEQARHLVGMGVVLTLITSILILCTATRAPTHCTRGVFLWPCIAIGLFFMVVFILGLCAAKNNNEDLFACHLLGVFIAILALIGFIIFGYVAIGPGIDLSDVKAREYNLDDYKSGWLRARVDDAACWATTSACLRGDRGAGCNAMTKLVRDPDSGLFVPDGGRWHADMSPIQSGCCKPPSSCGFTYVNGTTWTPTPAAATNNVDCSRWSNDQQKLCFQCDSCKAGFLDHTRKAWSSAAFFPIYCLISAILSCWSGLRYGSGVIHQ >ORGLA03G0381900.1 pep chromosome:AGI1.1:3:32362981:32363628:1 gene:ORGLA03G0381900 transcript:ORGLA03G0381900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGLREQRAFARMPLEDRVRLIKGKSVVLTCVASFILLVGGSINAPKTCTGGMFLGPIIAIGFFLIVIFVVGSFGLKKNDDGIYACYLLCLFFAILLLLVFIIFGYVAVGGIVFRDASNGREYNLKECKRGWLRGHVTHSQHFWATISACLRRSHVCKGMTDLVRDPNTGIFVPRPSPYERWAKRHGLDADPRVMSPVEVRTALFSTPSLRLKI >ORGLA03G0381800.1 pep chromosome:AGI1.1:3:32360300:32360563:1 gene:ORGLA03G0381800 transcript:ORGLA03G0381800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEILCAYGMRSRIWKESKFGTIGYIKFLSCTRGFPKVFRTLSMSLIRGFRLPTSCINRGEREASWYRFCIAFESN >ORGLA03G0381700.1 pep chromosome:AGI1.1:3:32328644:32331300:-1 gene:ORGLA03G0381700 transcript:ORGLA03G0381700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 73-I [Source:Projected from Arabidopsis thaliana (AT1G61010) TAIR;Acc:AT1G61010] MASVAAAPSAGPVGKRQASGGREGDQLVITPLGAGNEVGRSCVYMSFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYRLLLSDYVKVSKVSVEDMLFDEQDILRSMDKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLKAAELPQFSPDICIIESTYGVQQHQPRHVREKRFTDVIHTTVSQGGRVLIPAFALGRAQELLLILDEYWANHPELHKIPIYYASPLAKKCMAVYQTYINSMNERIRNQFAQSNPFHFKHIESLNSIDNFHDVGPSVVMASPGGLQSGLSRQLFDKWCTDKKNSCVIPGYVVEGTLAKTIINEPREVTLANGLTAPLHMQVHYISFSAHADFPQTSTFLDELQPPNIVLVHGEANEMSRLKQKLISQFDGTNIKVVNPKNCQSVEMYFSSEKMAKTIGRLAEKVPEAGESVSGLLVKKGFTYQIMAPEDLRVYTQLSTANITQRIAVPYSGSFEVIKYRLKQIYESVESSTEESDVPTLIVHERVTICLESENYVTLQWSSDPISDMVSDSVVAMVLNIGREGPKVVPVEEAVKTQEETERVAQKVVYALMVSLFGDVKVAEEGKFVISVDGQVAHLDGRSGDVECENATLKERIKTAFRRIQGAVRPIPLISS >ORGLA03G0381600.1 pep chromosome:AGI1.1:3:32326448:32328022:1 gene:ORGLA03G0381600 transcript:ORGLA03G0381600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGRKIKTVVVLVMENRSFDHMLGWMKSLNPDIDGVTGDEINHLDAVDPTSRVIRFGDGAEYVDPDPGHSMQAIYEQVYGTPFVDARATPITPPGVPSPPMAGFAQQAEKEKPGMADTVMNGFRPEAVPVYRELVRQFAVCDRWFASNPASTQPNRLFVHSATSHGLVSNDTKLLVAGLPQRTIFDSLHDAGFSFGIYYQYPPSTLFYRSLRQLKYAGNFHPFDLAFRRHCAEGKLPNYVVVEQRYFDLKMLPGNDDHPSHDVSEGQRFVKEVYEALRGGPQWEEALLVVTYDEHGGFYDHVPTPVGVPSPDGIVSAAPFFFEFNRLGVRVPALFISPWIEPGTVVHRPSGPYPTSEFEHSSIPATVKKLFNLKSFLTSRDAWAGTFDVVLTRDAPRTDCPATLPEPVKMRPTEAAEQAALTEFQEELVQLGAVLNGDHADEEVYPRKLVEGMTVAEAASYCNAAFKAWMDECDRCRKCGEDGSHIPTVVKPPPPSTSSSGSSSFASKLLSCFACGRPNKN >ORGLA03G0381500.1 pep chromosome:AGI1.1:3:32324053:32325213:1 gene:ORGLA03G0381500 transcript:ORGLA03G0381500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWKSGRSFKRCFTSTQLTAANDTMFWKLNQSGTFTTKSLYRAIIFGGIKDTKLQELWKTPVPLKIKVFMWLMLKGRIQVAKQLKKMNWSGSPFCAWSIWLVRNDWVFNDKLLTNVMFLPHKAMSFMIQWKNLAPVQLEEELEKHCLLRLFRC >ORGLA03G0381400.1 pep chromosome:AGI1.1:3:32321081:32322628:-1 gene:ORGLA03G0381400 transcript:ORGLA03G0381400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25270) TAIR;Acc:AT4G25270] MALPATVSHTTCAIQLRRRSTAPARRRGPPSRRHPHSGAALTLRAAPPPATQPTLDRVLSDLESHPRLLTPDLLDSLLAALSLHPSPRRNLARLRRLLSVSLLRRYPALARRLLHLHASLGLVSYAHHLFDHLLPARAREDAFPWNCLIAGYAHLGRHEDALALYLQMEEETVPRDRFTFTSALQACAGVGSVELGHAIHRDVVRAGLASDVPVCDALVDLYATFGDVRRALQVFDAMPDRDGVSWNIMLAGCLRHGLSQQALELWRRMLREEHEPDSITLSTMLSILPSVCDNGKWGLEIHAWAIRHGLETELSVANALIRMYSDKNEQSHALLVFESMTMRDLQSWNAIISAHLQDYRILMIFRRMVDSGMRPDETTFALVFSACDNLGLVEGGMRLFSEMENEYRIPPTMEHYTCMVNMLGKAGMIHEAYEFMSKRKPLDNEQTVLRALLQACLMHRNARVGEIIAKRLIELEPDNEHNFVKLMEIYQNVGRLVEAEKVKKTMRDRGLSCQS >ORGLA03G0381300.1 pep chromosome:AGI1.1:3:32319504:32320714:1 gene:ORGLA03G0381300 transcript:ORGLA03G0381300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF565) [Source:Projected from Arabidopsis thaliana (AT3G56830) TAIR;Acc:AT3G56830] MSALRLCHGAIKSPCARKLAAAAAPCARRGIPAPHQKKQATFCLMRASPSFRTNSRKMQWSIEAMTDDSADQSGDNNTRLFSAIQSFLSKLYGKLKKLRKGLPLKILFFLIGFYCATAFATVIGQTGDWDILSAGLAVAIVEGIGALMYRASFAFLGRIRKMITIFNYWKAGLTLGLFLDSFKYEVDEFLESCNPFNIDINIFSLW >ORGLA03G0381200.1 pep chromosome:AGI1.1:3:32317252:32318957:-1 gene:ORGLA03G0381200 transcript:ORGLA03G0381200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLRGGGAGGGGGGAGGMAWEVLKRHFSRKRAVDVRRINPKVPKEEAVAISGRLLQIFTDHGPLTVGNTWNHAKDAGISGLNSKTHMKILLKWMTGRRIVKLNCVGTGNSKKFLYSPYSADADKSEEAAAEELSKAAASQGGKATKGQQKKRATALH >ORGLA03G0381100.1 pep chromosome:AGI1.1:3:32316003:32316392:-1 gene:ORGLA03G0381100 transcript:ORGLA03G0381100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALPCAAALFLVLLLAPLLASAESPISLPPASAPTASTPAADERLHPADAALAPSQPPSEASSSAAALSPPAPPETSPLPRALPLAPRPAFRGTRAVAHGAFRRVRAGPLRRQGQTGRRRRGGRRR >ORGLA03G0381000.1 pep chromosome:AGI1.1:3:32309404:32313243:1 gene:ORGLA03G0381000 transcript:ORGLA03G0381000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKKALTNPASPSASASASTPKKSTATSKDRSTPKPRKNPNPKEEAPPPPPANNKRLNPQGGSNRKKKADAGTPSKKPKRQPPEPKPRKHKGAKSEKPHRVSGEGEKPTPTKKKKKESSKEPKREKQQASAPMSTPSKKNKEAKRDTGGAGKPTPTKRKLGDVDPPQERPSGEGQASSPTPAKKRKDKAAAAEAVADHGAGSFPMARVRQIMRAEDATIRPSNEAVFLINKATEIFLKRFADVAYRNALKDRKKSIVYDNLSTAVCNQKRYKFLSDFVPQKVTAEDALKAPVSSQVNQPQ >ORGLA03G0380900.1 pep chromosome:AGI1.1:3:32303049:32307173:-1 gene:ORGLA03G0380900 transcript:ORGLA03G0380900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin [Source:UniProtKB/TrEMBL;Acc:I1PHG3] MAQAVEEWYRQMPIITRSYLTAAVVTTVGCTLEIISPYHLYLNPKLVVQHYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYISETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVARPPNAGLGAGARFGAMGADPQAQ >ORGLA03G0380800.1 pep chromosome:AGI1.1:3:32300471:32301900:-1 gene:ORGLA03G0380800 transcript:ORGLA03G0380800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03510) TAIR;Acc:AT1G03510] MHSRHQRLASLTKLLTSHVNAGRHRDALAFFSRMVSDPSLPPLSDPSFAYAFPLALKSSSALRLPSAAAAADSLHALAAKCGFLSSPFFASALVASYGACASPALARRLFDELPHRNAIVCSAMISVHIRSGDLAGALRELDLMDVAPTASCFNSVIAAVAESGEHPARAIDLYRRMQRMGVLPSLITLLALVPSCTALGALSSIKEVHGFATRHGMFASCHLGSSLIEAYGRCGSLAGARNVFDQVQERDVVVWSSIVSAYAFHGHADVAMSLFRHMELDNVRPDGIMFLGVLKACGHAGHADDALKYFDVLTKRFGVEACGDHYSCLVDVLGRAGRLRQAYDVIQTMPVKVTAKAWGALLAACRKYGEVGLAEVAGRALFEIEPENAGNFVSLANIYSGMGMHDKAEQVRREMEQRGVRRLPGSSWMIHCKSSELF >ORGLA03G0380700.1 pep chromosome:AGI1.1:3:32296794:32297358:-1 gene:ORGLA03G0380700 transcript:ORGLA03G0380700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT5G65660) TAIR;Acc:AT5G65660] MSRSQLMHMYKLGDLTPAPSMTIPMAHSSRPTLGFPLGTALLIFVIFSLSGIFSCCYHWDKLRAFLWSRHPDVILQEGQHTVISIASPSKTTSDHKNEKAEKECGLPVIMPGDRIPKFFARPCPHEKCLPAAEEEEAEVQVKCSVPQSS >ORGLA03G0380600.1 pep chromosome:AGI1.1:3:32287616:32294116:-1 gene:ORGLA03G0380600 transcript:ORGLA03G0380600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AFG1-like ATPase family protein [Source:Projected from Arabidopsis thaliana (AT2G25530) TAIR;Acc:AT2G25530] MIPRLHRAIARRSLLTSTALLPAVARHRDAPLPSAPGLPRLLHHAPGASDQARKSGPLTLYRDLVSQGKLQHDIYQENVATRLDNLLRRLEQYEMEMEDYHARLSMWENTREKQRRRLLVQEAEDKQRDGVWIDEKRGFLDKLVSRKRRGNIEPGVGKWVSYLNREKKLDTLVGQKPVAPIAPKGIYLYGNVGSGKTMLMDMFYGATEGLIKHRRRFHFHEAMLEIHDHMHDVWKRRDEDKSIESSAFSWISSLPFDGKIKEWLIGEEKYKQNTQQKHILLAVADKFLVDRQANKSGASILCFDEIQTIDVFAVVALSGILSRLLSTGTVLVSTSNKAPEDLNQDGMQREIFLDLLSKLDENCNKILVGTETDYRRLIPTDGLTQIHYFWPLTSDIRSMYEAMWHDITRQTGGNIISVTIPVMFGRYLEIPKSCNGVARFDFEYLCGRPVGAADYIAIARNYHTIFISDIPAMSMKIRDKARRFITLIDELYNHHCRLVCLAASSIDDLFQGTDEGPLFDLESFQFEGEAEGAKLRRDVLAEGNVGAAPSPTGLVAILSGQEEMFAFRRAISRLIEMQTSLYLERVERVHSSLQQQSSVLAKSSTVSQSAPSV >ORGLA03G0380500.1 pep chromosome:AGI1.1:3:32283977:32286276:1 gene:ORGLA03G0380500 transcript:ORGLA03G0380500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of bacterial PANC [Source:Projected from Arabidopsis thaliana (AT5G48840) TAIR;Acc:AT5G48840] MAAPREPEVIRDKAAMRAWSRRRRAEGKTVAVVPTMGYLHQGHLSLISAAAAASADPVAIVVTIYVNPSQFAPSEDLATYPSDFAGDLRKLASTGVVDAVFNPPDLYVRGAGRRAAATGGAISCLEEEEAAGDGHETWVRVERLEKGMCGASRPVFFRGVATIVSKLFNIIEPDVAVFGKKDYQQWRVICRMVRDLDFAIEIIGSEIVREADGLAMSSRNVHLSREEREKALSISRSLVDARTGALKGNTDCKQIKNKIVQTLTETGGQVDYVEIVEQESLVPVEQIDGPVVICVAAWFGKVRLIDNIEIDTRS >ORGLA03G0380400.1 pep chromosome:AGI1.1:3:32280393:32282558:1 gene:ORGLA03G0380400 transcript:ORGLA03G0380400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEEKTSVKSEEASSAAEEQPPQAAAPPPRRGVPPANPFDFSTMMNLLNDPSIKEMAEQIAKDPAFTEMAEQLQKTVQSPPARGAAQEAAAAAAPALDPSKYVSTMQQLMQNPQFVAMAERLGSALMQDPAMSSMLGGLTNPAHKEQLEARIARMKDDPSLKPILDEIENGGPAAMMKYWNDPEALQKFGRAMGVGPSGEGAAAAGGEHEEAEEEGGEEGEYEDESVIHHTASVGDVEGLKKALEEGVDKDEEDSEGRRGLHFACGYGELKCAQVLLEAGAAVDAVDKNKNTALHYAAGYGRKDCVALLLDHGAAVTVQNLDGKTAIDVAKLNNQEEVLKLLEKHAFV >ORGLA03G0380300.1 pep chromosome:AGI1.1:3:32274329:32278855:1 gene:ORGLA03G0380300 transcript:ORGLA03G0380300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPPALKRPKLEKDDYESAYWPRPAASNASSASKPPQSSSSATATAATQEDEEDDIAEEAVLALIAHRERDVERCKLKLSHYQSLLDTAEKKLAEAQDRLARYRDRKPPPPPTHRDPKPSLPPTTTQRDPKPSPPQHKAPERPQLVIPGANNRPPPRPEPMPGLKKTAAPSSSSASVPPERPRALEKKPKLKIEQKEHQNLIQSVKKSSATVLRFYGGTVICSQHKRKLRCLELCPVNDQLVATSALDGIVTLWQVQPKGPAISLLSTTDCFSPKHRWPEDVAWHPHGELIFAVYSADNGDSQVSVMNRNLSGQKKVSFLPVKPHTKGIINNINFMPWSDVCFVTGGSDHAVILWQEKDDSWNHKKVHKDLHSSAVMGVAGLQQKSTILSVGSDKRIISFDLAAGRTESKNLIDYKCMSVLPNPCDFNLYMVQTAAPGRQLRLFDVRLRQTEVHTLGWKQESSESQSALINQSWSPDGWYLSSGSADPMIHIFDIRHNGQNPCQSVQAHQKRVFKALWHRTAPVLTSISSDLNIGIHKYS >ORGLA03G0380200.1 pep chromosome:AGI1.1:3:32270492:32272593:1 gene:ORGLA03G0380200 transcript:ORGLA03G0380200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTSSLSLLVRPVATRLSAASLPIVVRARRRVAVVTAAAPERKPAAASSSSNYVVVPLDAAPSGITRPLVEILRDLNKRVPDTVVRSSRRRASPSDPVIPWYHANRMLSFYAPGWCGEVRDVIYTDNGKVTVIYRVTVRGTDGEVSLKVHREAAGTTSLNDARFDDPVAAAEEAAFCKACARFGFGLYLYHEDETP >ORGLA03G0380100.1 pep chromosome:AGI1.1:3:32264602:32267353:1 gene:ORGLA03G0380100 transcript:ORGLA03G0380100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:I1PHF5] MAPPPPPPPAAAASPTPMLLALTGLSTFSDRLVEFFNHWNSFIRYATAISDDLPPLPAADQPPNLADVAEPESTTPHSPVAVDEPESSTAPNPVAVAVAEPKPAPVPQPEEPRPNRGGDPSAEHMGRICERMGSGELLRFVISRMGDLSWLLHAVPPALRRAPNPAELVLRAIGRYYIRPGGRHTEAACELLLLSYVRAGCPLRPGQEAGDDHLRAEAREAALSWRSRLVRSKGRVAAAAANDARGLLLLMAAFGVPVEFPSQEIFELLHAAGGLACAEVLKCSKHFLDKLRDVVAHMLNRGIYHQTVATIIAFELQDAFPLSAIATCVIERVGRTKDQDSQEQHHLPGSKENDEEKLALLRLLSKYVEDPKQCSTENFSIADRIAMLEQSLAKPHQAFTGTKRKRTAQEDSVECTRGPKCSYTPAASSASRNKNLEG >ORGLA03G0380000.1 pep chromosome:AGI1.1:3:32258052:32261778:-1 gene:ORGLA03G0380000 transcript:ORGLA03G0380000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSTNLDAAIESLLNVEKQMRLAGDVAGTRKAAIDIVELCYKAGAWKTLNDQIVVLSKRRGQLKQAITAMVQKAMEYIDLTPDMDTRIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEEQGQIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADPSKEKKKPKEGDNIVQEAPAEIPSLLELKRIYYELMIRYYLHNNDYLEICRCYKSIYEIPSIKEDPSKWIPVLRKICWYLVLAPHDPMQSSLLNATLEDKNLSEIPNFRLLLKQLVTMEVIQWTSLWEFFKDEYANEKNFLGGALGDKAAEDLKLRIIEHNILVVSKYYSRITLKRLADLLCLSLQEAEKHLSDMVNSKSLIAKIDRPMGVVCFRTAQDSNGILNSWAANLEKLLDLVEKSCHQIHKETMIHKAVLKA >ORGLA03G0379900.1 pep chromosome:AGI1.1:3:32254288:32256066:1 gene:ORGLA03G0379900 transcript:ORGLA03G0379900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAX interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT3G54900) TAIR;Acc:AT3G54900] MAATAAASVAAISPLPGASLPRPVSARVPLLPRASPPTWRLSVGSARARSTRCLAAAGGGGLAPEMRATLDKVVGSHKVVLFMKGTKDFPQCGFSHTVVQILRSLDVPFETLDVLANEALRQGLKEYSSWPTFPQLYIDGEFFGGCDITVDAYNSGELQETLEKAMLS >ORGLA03G0379800.1 pep chromosome:AGI1.1:3:32250586:32253974:-1 gene:ORGLA03G0379800 transcript:ORGLA03G0379800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Tu [Source:UniProtKB/TrEMBL;Acc:I1PHF2] MAAAAVLRSHGARRILSYPTLRAAVISGPTALPDASAAAAAAPQQPPPLAGTLWARSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEAGKAKAVAFDEIDKAPEEKARGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNDEIGKNAILKLMDAVDEYIPDPVRQLDKSFLMPIEDVFSIQGRGTVVTGRVEQGTIKTGEDVEILGLTPSGPLKTTVTGVEMFKKILDHGEAGDNVGLLLRGLKRGDVQRGQVVCKPGTVKTYQKFEAEIYVLTKDEGGRHTAFLSNYSPQFYFRTADVTGKVVLPDGVEMVMPGDNVTAGFELISPVPLEPGQRFALREGGRTVGAGVVSKVYS >ORGLA03G0379700.1 pep chromosome:AGI1.1:3:32246326:32247856:1 gene:ORGLA03G0379700 transcript:ORGLA03G0379700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit beta [Source:UniProtKB/TrEMBL;Acc:I1PHF1] MNKERLMKMAGAVRTGGKGTVRRKKKAVHKTGTTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFVNPKVQASIAANTWVVSGSPQTKKLQDVLPGIINQLGPDNMEHLKRIAEEMQKQVAAAGATAQAKEENDDDVPELVPGENFEEVAQETKA >ORGLA03G0379600.1 pep chromosome:AGI1.1:3:32244720:32245097:1 gene:ORGLA03G0379600 transcript:ORGLA03G0379600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSAMAAVGVLVLLCVLLHGEMAESAVFTVGDRGGWGMGAGSWANGKRFKAGDVLVFKYDSSAHNVVAVNAAGYKGCTAAPRGAKVYKSGNDRVTLARGTNYFICNFPGHCQAGMKIAVTAA >ORGLA03G0379500.1 pep chromosome:AGI1.1:3:32241445:32243419:-1 gene:ORGLA03G0379500 transcript:ORGLA03G0379500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTAAPLLLAAALFLVVAGDGSEPTPTPTPWPAQFHAKLLMEFHGNLSLADLWYDWPGGRNLHVIRYQLAADEPFYDNEWNNGTSFFYTPARRACRSAAVGVGILPPNWLVPGSVYLGRHPADGFDCHVWAKADFITYYEDTLTKRPVKWVFYTGRTSHVMSFEEGAVLEDAEWQAPEYCFGKGDETETSNDNALISEPVAGHDKGFFPRREF >ORGLA03G0379400.1 pep chromosome:AGI1.1:3:32234998:32238323:-1 gene:ORGLA03G0379400 transcript:ORGLA03G0379400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCKQQERDGHRDDGDVTEWKKVAELRAVVEAQDPACKEEDDYQLRRFLRARDHNIGKASAMLVKYLQWKREVKPGGRAIADEEVRGELAQEKLYMQGYDRQGRPLVYGFGARHFPARRDLDEFKRYVVYVLDRTCARLGGNGGQEKFAAVADLQGWGYYGNCDIRAYVAALEIMQNYYPERLGRVFLIHVPYVFMAAWKIIYPFIDDNTKKKFVFVADKDLHATLRDAIDDSNLAEDYGGKLKLVSPLINGATESNRRR >ORGLA03G0379300.1 pep chromosome:AGI1.1:3:32231900:32233273:-1 gene:ORGLA03G0379300 transcript:ORGLA03G0379300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVLLLSPRLAVAPLRPHPRLGLTPTAVGRPLPLAYLGCRAAAARQLAAVADRNVYNGVYGPWTVEDADVREVLLYRSGLVTAAASFVAAASAAFLPEGNAAGDAIRQGIDLLYATGAAGLGLSLVLIHIYVTPIKRFLQALWVVGVIGSIGTYVAGAQPLDDSLVSYVLDHPAALWFVGPTFAALTGLVFKEGLCYGKLEAGILTFVIPGLLLGHLSGLMDNGTKAGLLGVWMVLFTVFAARKFQQPIKDDIGDKSVFMFNALPEEEKKALIQKLEMQTETDG >ORGLA03G0379200.1 pep chromosome:AGI1.1:3:32223992:32225108:1 gene:ORGLA03G0379200 transcript:ORGLA03G0379200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENIELITPSEITTLLESTLLTTPLQRTSSTPNDCNTIMPISLSVYSSQHILGLVKEIMSDVKLRPYIVGAVCVVGVIVAYILYKKRTAALNNRNPNGGDDIADDFWGNWHDGNLEMFDDSNDHGSSDDEGAIHDIVAIPGEGFQFNIIAITGGGFQFLVEVFGSDSSNDEIQNVAIPGRGFQFLVQVAIPVAPTFKVFMALTKFEGFTAPPYSPNNSKNLPLTAALLKFIDAVNQGSLLPSVVFLKFIDAVNQGSLKPSVVFPSSQISGFNRSRLLTTSTSHPAVHEFNGSRLLSLSA >ORGLA03G0379100.1 pep chromosome:AGI1.1:3:32215013:32220029:1 gene:ORGLA03G0379100 transcript:ORGLA03G0379100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALRFAAVARDSPAAAAPPRVGREQQYLACAAAARPGGRCSRRRGLVVRCQSGAAAVVLNKDDAASVAAAAASSATGFTVAMKFGGSSVASAERMREVVDLILSFPEETPVVVLSAMGKTTNNLLLAGEKAVSCGAPKASEIPELAVIKELHVRTIDELGLDRSIVSGLLEELEQLLKGVAMMKELTPRTRDYLVSFGECMSTRIFAAYLNKLGKKARQYDAFDIGFITTDDFTNADILEATYPAVAKRLQGDWIDDPAIPIVTGFLGKGWKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNICANAIPVPYLTFEEAAELAYFGAQVLHPQSMRPAKEGDIPVRVKNSYNRRAPGTVITKTRDLSKSILTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWCRELIQQELDHVVEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVHDSEAKQCIQALHSAFFENGFLSEVEEEDLQHNGSPVSPNGVIYGN >ORGLA03G0379000.1 pep chromosome:AGI1.1:3:32210675:32211301:-1 gene:ORGLA03G0379000 transcript:ORGLA03G0379000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLHPPEPDTNGGSAAAAAAAAXXXXXXXXXXXXXXXXXXXXXAHPEDALDPLNHSSAAAHRRGQKPAQRRPRTAWIPAAPGTDGYEEEAEHYYDDADQSDSAAAAAARARVSGSRDASGDESDGVADWGLPNDRLPGSMGYGGVKAWLDGLGLSRYAPVFEIHEVDDEVLPLLTLEDLKDMGIGAVGSRRKLYAAIQKLQRSDSVS >ORGLA03G0378900.1 pep chromosome:AGI1.1:3:32202984:32206037:1 gene:ORGLA03G0378900 transcript:ORGLA03G0378900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSKLLALPQQWQLLLLLLLLPIASLLLVIGRNTGGRRRRRHLRLPPGPARLPVLGNLLQLGALPHRSLRDLARRHGPVMMLRLGAVPAVVVSSPEAAQEVLRTHDADCCSRPSSPGPMRLSYGYKDVAFAPYDAYGRAARRLFVAELFSAPRVQAAWRARQDQVEKLIGKLTRPEPEPVELNDHIFALTDGIIGAVAFGSIYGTERFAGSGRKRFHHLLDDVMDMLASFSAEDFFPNAAAARLFDHLTGLVARRERVFQQLDAFFEMVIEQYLDSDSSNAGGGGGNLVGALIGLWKQGKQYGDRRFTRENVKAIIFDAFIGGIGTSSVTILWAMAELMRSPRVMRKVQAEIRATVGDRDGGGMVQPDDLPRLAYLKMVVKETLRLHPPATLLMPRETMRDVRIGGYEVAARTRVMVNAWAIGRDAARWEEAEVFDPDRFEAKRVEFNGGHFELLPFGSGRRICPGIAMGAANVEFTLANLLHCFDWALPEGMVPEELSMEESGGLVLHRKAPLVLVPTRYIQL >ORGLA03G0378800.1 pep chromosome:AGI1.1:3:32198075:32201745:-1 gene:ORGLA03G0378800 transcript:ORGLA03G0378800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRKKGLARNATTEHINPPNSRKSSRSTQAAAAEHKVNDLITSSSKKQIGGGLLKKNRALRGGKKLNSIYDSAGTGNDVAGVPSSSFFNHKQSHENDEDRSCDSIFSPSFHNQKEDVTDCLSEGLVHEEVIHEPVQNAEYVTNSISCNTFDGLSKHSYDIHMQSACGSTLEDDEFSELGSLSPEVSAIYLAMQHSKLECIDEQSQDSTSTDGCADPDETVELDYFDPYAFIKDLPDLSLVVPKFRPVLLPKQTRSCPRTTLVLDLDETLVHSTLEPCEDSDFTFPVHFNLREHTIYVRCRPYLKEFLETVASMFEIIIFTASQSIYAEQLLNILDPKRRLFRHRVYRESCLFVEGNYLKDLSVLGRDLARVVIVDNSPQAFGFQLDNGVPIESWFDDRNDRELLTLLPFLQSLVGVEDVRPCIARKFNLREKVATAPSLSMHF >ORGLA03G0378700.1 pep chromosome:AGI1.1:3:32195234:32197312:-1 gene:ORGLA03G0378700 transcript:ORGLA03G0378700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G01980) TAIR;Acc:AT3G01980] MLNESMGEGDAAYAKRVLLTAAGDDVSRGIASTLATHGCRLVLVGDEGALAGTAEEARRGGGGGDAVAVVGLDLHGCDEAAVDAAVGTAWRCFDGLDAMVNCYSYEGEVQDCLNISEDEFKKTMKANVMTPWFLVKAIAKRLRDSESSCGGSVVFLTQIIGAERGLYPGAAAYGTSLGAIHQLVRLSAMELGKHKMRVNAVCRGLHLGDRFPVWVGKEKAEKATGEVMPLRRWLDPEKDVASTVLYLVGDESRYMTGSTIFVDGAQSIVRPRMRSFM >ORGLA03G0378600.1 pep chromosome:AGI1.1:3:32192060:32193846:1 gene:ORGLA03G0378600 transcript:ORGLA03G0378600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PHE0] MAPKVAVTEATGRQAASFVLGCVATLTVMLLFQYQAPPDYGRAARSPVQFSTSRDQLLLHCGGNGTAPPPPVIARGGEEANITGKPPTTATAVAEEQPPTKPPATSTASSPTHHIPATSTDLEEEGGEFRGLAAAVARAATDDRTVIITCVNHAFAAPDSLLDIFLEGFRVGDGTPELLRHVLVVAMDPTALTRCRAVHPHCYLYTMPGLDVDFTSEKFFASKDYLELVWSKLKLQRRILQLGYNFLFTDVDIVWLRNPFKHVAVYADMAISSDVFFGDPDNIDNFPNTGFFYVKPSARTIAMTKEWHEARSSHPGLNEQPVFNHIKKKLVKKLKLKVQYLDTAYIGGFCSYGKDLSKICTMHANCCIGLQSKISDLKGVLADWKNYTRLPPWAKPNARWTVPGKCIH >ORGLA03G0378500.1 pep chromosome:AGI1.1:3:32181423:32184581:1 gene:ORGLA03G0378500 transcript:ORGLA03G0378500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGKMKGGETMGGGGGSGGSSSISPLVSFVLGAAMATVCILFVMSASPGRRLADISAWSNADDAPPLPLPLQDAAADSNDSLAAAAAANVTVVAAPAPAPVQAPAPASPYGDLEEVLRRAATKDRTVIMTQINLAWTKPGSLLDLFFESFRLGEGGVSRLLDHLVIVTMDPAAYEGCQAVHRHCYFLRTTGVDYRSEKMFMSKDYLEMMWGRNKFQQTILELGYNFLFTDVDVMWFRDPFRHISMGADIAISSDVFIGDPYSLGNFPNGGFLFVRSNDKTLDFYRSWQQGRWRFFGKHEQDVFNLIKHEQQAKLGIAIQFLDTTYISGFCQLSKDLNKICTLHANCCVGLGAKMHDLRGVLDVWRNYTAAPPDERRSGKFQWKLPGICIH >ORGLA03G0378400.1 pep chromosome:AGI1.1:3:32173462:32175665:1 gene:ORGLA03G0378400 transcript:ORGLA03G0378400.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFPSYSNYILYTEPCVNGSALSYFHVLCCQPIPGPLVGGSAAAKDVNKWLDEIIGGYDSSIREFHGGDDQKLLISLLKILCRHYGKLRSPFRSDPSQEGIAGPEMAVTKLFSSCKSSGAHKGEYGAIVHCMKNIPSENQIQATAKEVQNPLVSGRRKEALQYAQAGQLWGPAYKFALQLGGEFYVDTVKKMAYHHFVSGSPLRTLCLLIAGQPADVFNVENPTVDGNYGNLHYGKLWYQYTSS >ORGLA03G0378300.1 pep chromosome:AGI1.1:3:32171127:32173181:1 gene:ORGLA03G0378300 transcript:ORGLA03G0378300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77010) TAIR;Acc:AT1G77010] MAVIIDVRNCIQLLRSCSAVAGQQLHQLLLKSGHVPSSLPPSNSLLLMYARCSPLHQHDARRLFDEMPVKNCFSYNSVITSHLNSRDHHAALNIFRSMPERNTFSWNTIITGIVSTGNLDMARSLLIEMPVKDPVACNAVLHRYVRRGQADKAFALLRTVGQCSGADASSPWNDPFVLATIVGACADWMKYDFGRQAHARMVVSKIEQDLVLSCALVNMYCKCGDLDSARYVLNGLTQVDEFSLSALIYGYASCGHLHEAIRLFDRKEEPSIAMWNSLISGCAFACCGNDAFALFARMMRSDVLPDSSTYASILNVCGFSVMVNPGQQIHGCGLKCGAVNDIIVASALIDFYSKCGLWEDACRAFRELRFHDTIVLNSMITVYSNCGQIEEARRIFDMITGKSVISWNSMVVGLSQNGHARDALGLFCEMHRLGLRLDKVAIASALSASSSICSISFGEQIFSLATVLGLQSDHVVASSLIDLYCKCGSLANGCRIFEEIDKPDEVLWNSMLIGYASNGYGHEALELLELMKTKGIKPSERTFIAVLSACCHSGLVKEGLTWFHRMKADFSVSPSAEHYACVTDLLVRAGRLEESVEFIENMPFEADAVSWTTVIGGCKAQGNEAMMQKVAKKLMEMESSHPSLYVQLSSGLASLGDWTKSAEIRSMMYERRITKNPGYSWIDS >ORGLA03G0378200.1 pep chromosome:AGI1.1:3:32162273:32167894:-1 gene:ORGLA03G0378200 transcript:ORGLA03G0378200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: protein transport, Golgi organization; LOCATED IN: vacuole; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: COG complex component, COG2 (InterP /.../009316); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G24840) TAIR;Acc:AT4G24840] MADLAVAAPPPTDLFGEPIEAHPPWFKPDSFLRPDFDPDAYVADLRSYVPLDSLAAELRSHLASLRAELVGLINRDYADFVGLSARLKGVDAAAARMRPPLAELRDKVASFRAAAAAGLAALRAGLEQRAAATAARELLELLLDTSHVVSKVEKLIKELPTAPSDSSNVEAVSGDKGYSGNVATPLNMEDGTDVRETQSILLERIASEMNRLKFYISHAQNLPFIENMEKRVQGATKLLDGSLERCFVDGLQHRDDKVIYNCLRAYAAIDNTSSAEEIFRTTVVSPLLQKIVPQNYAKVVAGVSSDDLENDYEQIMQCVEKDCKFILEISSLANSGLHVFDFLANSILKEVHLAIQKGKPGATSPGKPKQFLKNYKASLRFLDFLEGYCSSKPAVTKFRSGPAYADFMRQWHVAVYFTLKFQDIAGGLDSALTATITPVGTHENLEKPKTLLLKQSVKLLESLHACWSDDVLVFSHCDKFLRLSLQLISRYTTWLSSGLASHKASDGGTSSPADAEWALSVPVEDFIYVMHDVNAIIGELSESGQFVKHVNQSLASCPTEVLALVKQSVLQAVEPLKELLPAVMNVMIGVIVKRSNEDLKHLKGITATYRMTNKLPVRHSPYVSGILHPLKVFLEGDRIHYLSEDDKAKLRRGSTDKITSIYYDMVSEVVTVARKTESSLQRLRQGAQRRVGANTDASDNIISDTDKICMQLFLDIQEYARNLRAVGIDAREIESFRALWQCVAPRDKQDDIQF >ORGLA03G0378100.1 pep chromosome:AGI1.1:3:32154414:32158538:-1 gene:ORGLA03G0378100 transcript:ORGLA03G0378100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMATMVVGPLLSMVKDKASSYLLEQYKVMEGMEEQHEILKRKLPAILDVIADAEEQAAKHREGVKAWLEALRKVAYQANDVFDEFKYEALRRKAKAKGHYKMLGMDVIKLFPTHNRIVFRYRMCNKLSIILNAIEVLIVEMNAFGFKFRPEPPMSSMKWRKTDSKISDLSLDIANRSREEDRHKIVNSLLAQASNGDLTVIPIVGMGGMGKTTLAQLIYNDPKIQKHFQLLLWVCVSDNFDVDSLAKSILEKARKQNNGNEFKEVVNGQRFLLVLDDVWNREASKWEALKSYLQHGGSGSSVLTTTRDQAVAQLMAPPKEVHHLDRLHESFIKDIIERSAFSSQQKRPPELLKMVGDIAKKCSGSPLAATALGSTLRTKTTEKEWETILSRSTICDEENGILPILKLSYNCLPSYMRQCFSFCAIFPKDHEIDVEMLIQLWMANGFIPEKQGECPEIIGKRIFSELVSRSFFQDVKGIPFEFHDIKGSKITGRIHDLMHDVAQSSMEKECAAIDSESIRCEDFPYSARHLFLSGDRPESILNSSQEKGYPGIQTLIYSSRNEDLQNLSKLRSLRALQIRGGLILKPKYHHHLRYLDLSFSKIKALPEDISILYHLQTLNLSYCEYLRRLPNGMKYMTALRHLYTYGCRRLKSMPPDLGHLTCLQTLTCFVAGTCSGCSDLGELRQLDLGGRLELTQLENVAKADAKAANLGKKEKLTELILRCTDQEYKEAQSHNHKEVLEVLTPHEGLKVLSIYHSGSSTCPTWMNKLRYMVKLVLDGCKNLEKLPPLWQLPALKVLWLEGLDDLNCLFNSDTYTHFTFRKLKKLTLSDMTNFETWWDTNEVKGEEVIFPEVEKLTISSCPRLTALPKASNVISELSGGVCTVCHSAFPALKRMVFYGLDIFQKWEAVDGTPREEVTFPQLDCLSIRRCPELTTLPEAPKLRYLHIEGGNQRISLQAASRYITSLYSLRLEFSIDDTEKASVAKQQDSSELVIEDEKWNQKSPLEHMYLTGCNLLFSHPSALALRTCFAQLLDLRIWRVDALIYWPEEVFQGLVSLRTLDILQCFNLTGHTQSAPAPSELLPRLESLFIHSCLSFVEVPNLPASLKLLKIVACEGLKSIIFNQQQDTTMLVSAENFAQLDKSSLISGSNDHVLPRLESLDIAGCYRLEALHLPPCIKKLEICVCEKLQSLSGKLDAVQALSIEYCGSLKSLESCLGELPSLQHLRLVNCPGLVSLPKGPQAYSSLTYVKIRSCSSIKVLPPSLQQRLDDIEEKELDACYEEVLIKLPKKVATFSLLPNFGNYI >ORGLA03G0378000.1 pep chromosome:AGI1.1:3:32149261:32151473:1 gene:ORGLA03G0378000 transcript:ORGLA03G0378000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKGVPKFGSWEDEDRGEHLYTQYFENARKGKSPGRSVNPNNHHGDTEALSKASPLHAGSDPAMRKPKDDRRSNREDDLRQHETTVRKPYAESPNHRYGDHTNYDNAVRKTGIEKSPVHPRHQARVANKGGVSSPSRDRRGSLEGNRGSAPTTPGRSKFRSTGRGDETPDRGSAVPKFGEWDEKDPSTGEGFTDIFEKVREEKQSGTGNAPVMTSEADYIKRYQQRKYESTGCSCFSWFKN >ORGLA03G0377900.1 pep chromosome:AGI1.1:3:32144651:32145313:-1 gene:ORGLA03G0377900 transcript:ORGLA03G0377900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCLSNLVSFLVEGFTLFLAFVIDRLHHYLRKLITLRKAANTSREEVEKLQMENRLFREKEEKSSSEIKKLHQEIAKLNESMKKLKSESEDHERKALEAEAHVNALQKQSEELLLEYDRLLEDNQILQSQLHYKG >ORGLA03G0377800.1 pep chromosome:AGI1.1:3:32140571:32142913:1 gene:ORGLA03G0377800 transcript:ORGLA03G0377800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein binding [Source:Projected from Arabidopsis thaliana (AT4G14147) TAIR;Acc:AT4G14147] MRLFVALANTLRLYLTCIRNTLEAAMCLQFNLRVCLLYLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISMKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >ORGLA03G0377700.1 pep chromosome:AGI1.1:3:32136506:32138230:-1 gene:ORGLA03G0377700 transcript:ORGLA03G0377700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDVSYANLYLTNGTGTDCYSCSFANSTPIHETYQPRWDYWGRYMEPVTSRIPMMVVEGNHEIEVQIDNKTFASYSSRFSFPSTESGSFSPFYYSFDAGGIHFIMLAAYADYSKSGKQYKWLEKDLAKVDRSVTPWVIAGWHAPWYSTFKAHYREAECMRVAMEELLYSYAVDVVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATSYADEPGRCPDPLSTPDPFMGGSFCGFNFTSGPAAGSFCWDRQPDYSAYRESSFGHGILEVKNETHALWRWHRNQDLYGSVGDEIYIVREPDKCLIKSSRNRIAYY >ORGLA03G0377600.1 pep chromosome:AGI1.1:3:32134573:32134770:-1 gene:ORGLA03G0377600 transcript:ORGLA03G0377600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSRAGGTPQKPDHPPTRCRSQTKVWFRRHYSFQSFMRARDQKRESESNSGAEKEKLTPGKKR >ORGLA03G0377500.1 pep chromosome:AGI1.1:3:32126319:32127881:-1 gene:ORGLA03G0377500 transcript:ORGLA03G0377500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVVVQCATSWDTPRTSTTNGSHAEPSAVVKAGTAPLIQALKSTANQDVSCFHFPGHNRGKASPPSLSELIGSRTFLHDLPELPELDDLFSPKGVILDAQKRAAELFGSFKTWFLVNGSTCGIQASVMATCSPGDYLIIPRNCHISVISALVLSGAVPKYIVPEYNSGWDIAGGITPSQVDKVVKELEEDRKKVGAVLVTSPTYHGICSNIQGIVNVCHLRGIPVIVDEAHGAHFRFHRNFPSSATEQGADLVVQSTHKVLCSLTQSSMLHMAGDLVDADKVSQCLQLLQSSSPSYLLLSSLDAARAQLSENAESFDEPVSMALETKHQLRIIPGISVLDLSSFLSDFPAIDPLRITLSASDLQLSGYEADDFLAEEHQIVSELVGTQAVTFAVNLGTRRHDVQRLVHSVKHLSEKYFSENGSSSRKENPASSPLDKFSIKLTPREAFFLKKRRVSIEDSLGEICGELICPYPPGIPVLIPGEIVTQDSLSYLMDVRDNGIATSGAADGELKSIMVCNV >ORGLA03G0377400.1 pep chromosome:AGI1.1:3:32123214:32123813:1 gene:ORGLA03G0377400 transcript:ORGLA03G0377400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERRGSAGVFPFSIGCMSQSAVDVADPHDKKSTTTTQNDPSSSSASAAAMAAAAQSAEEEGGGEKVKGATAAAAAAVASSGIVATGVQRLIKGIKSLSQIFAMYDDEEEDEEEREMVIGYPTDVQHVGHIGWDGMNKVGGMVNAFSLPSSLSLRQLEMAMEAAHA >ORGLA03G0377300.1 pep chromosome:AGI1.1:3:32119501:32120059:1 gene:ORGLA03G0377300 transcript:ORGLA03G0377300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAKLDGTANKRREPHKAVSAVHDSMSMTRLYMSSIAYAALEKWSGKWRLSVSKNIRTNLVISFLPNKNCLLPDFPGRQGQRQVHLERR >ORGLA03G0377200.1 pep chromosome:AGI1.1:3:32116209:32118323:1 gene:ORGLA03G0377200 transcript:ORGLA03G0377200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XHTSSRFLVICFILKLYLTEKPSLFQEKHKEKKHKKDKKDKERKEGKDRKDKERSKDKHRDKKDRKEKHKDKKKDKSKDKSRELEEGTERHSEALHGQKVGESSRKSEEIKDPKSREDLVTRTQNEKGATNQSVQNFSVSNQRGREGFSAAPALENERTAANKMHSHSINASRKTEVLGQKSISINQQKNGTAIRRGDNITSSSQRTSDVFIAAPTAEKERVKVARPLSNSTDSAPKKDGMGQRINNISILVQKRTDSPNKETAKKEAGTNSPLLPSPANTMHKGNGKVGRPMEIPTQRFDSPSTSSATAGTDRGMPRSSIPSPSITIRRPNGLVRPPESISISSKKPDAGGASPAMGKEKEQGGRILQNNIIDPKQINSKPPTMEKITDGRTERMEKVRDGAPDVAKEDKKSDRHEKKKRKEKDKYKEKKRDKEAKKEKDEQNNNKEHDKLRENSINYQVDNSLHMKSSTPPLAPPADDAKAAQADENLKKRKNHEMNGYLQNHHDTMRPTKLPRPAHSNTPVENGTASHVAAPLSSVKPEAINIEKAIRQHKKEEKINGNQEGQRSSVEPRLHDPLVASENGAPTKKLPHPDSKYLSQIYSIPEAPQMMEWHGHDDQDWLFDHDGTQPKKTESETEADGASQVWAQPLKIDQADVIALPYVIPY >ORGLA03G0377100.1 pep chromosome:AGI1.1:3:32105701:32109578:1 gene:ORGLA03G0377100 transcript:ORGLA03G0377100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13020) TAIR;Acc:AT4G13020] MERYKVIREIGDGTCGNVFRAYNTETNEIVAVKKMKRKFFQWEECISLREVKALQKLNHPNIVKLKEVTMENHELFFIFENMECNLYDAIRERQAAFSEEEIRNFMVQILQGLAYMHNNGYFHRDLKPENLLVTDGTVKIADFGLAREVSSSPPYTDYVSTRWYRAPEVLLQSSAYTPAIDMWAVGAILAELFTLSPLFPGGSETDQLYKICAVLGTPDHTVWPEGMNLPRSSSFNFFQIPPRNLWELIPNATLEAIDLIQQLCSWDPRRRPTAEQSLQHPFFNVGNWVPRPLHASHTKTIETRPNPRLELNLWDFGTEPEDNYLDLTLSLKPSFPGTDFSNNVPEHTKEEILLYPGFENPPVQSGFWPLVASDRPMGDVPAMSSWPQAYVVDGQATLPAVGFSGSPFGLSPLQPNLFENRSFATPIRQVNFF >ORGLA03G0377000.1 pep chromosome:AGI1.1:3:32079475:32080020:-1 gene:ORGLA03G0377000 transcript:ORGLA03G0377000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVANSYVQVSTVGVTDAGANGGGKVQSLLQDIKQVFDKMVSPTTAAQPPPKLWQSLS >ORGLA03G0376900.1 pep chromosome:AGI1.1:3:32072797:32073414:-1 gene:ORGLA03G0376900 transcript:ORGLA03G0376900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAAPPLLEGEELMDIPVEKRARKSMVVVRDANGEYCSGCVLKSKGKYTYVLTQSSFASGREDTLKICFFDQIEREASAFASGDTFCLLRTKRHTDCRPIKKMRGQVMPRTYGRFCPFFSNLCIPHPRLCHIRINRCTRPSTQPYQWF >ORGLA03G0376800.1 pep chromosome:AGI1.1:3:32056162:32061672:1 gene:ORGLA03G0376800 transcript:ORGLA03G0376800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLPFSPKESWSSPVNSAIGHVRKIRRRAGHFLSRSSKNKAAHSKGGQTTKSLISGDLHSGSIQDVWATLSAEVASKLSKSVVSLALSDGNIPIYACSGTAISCQEGSGTIFLTSASLVRAFYDTEEIYDNLKIEVRHEGNEVYKGYLAKYDLDKNFAVVYTMESLDVHVVLSEHLKDRYAKKKLVAVSRNKYGGLITKSVMVGSHHNSNRSEVCHDISVMAEDWEGGPLFDFDGKFVGMNKFLAMDTTFILSWMSILIIFKHYLPTLQNRILKRLQNLKRVRDGEGPGELSDCHPEAPVHRGGLDKEHVEYLNSLGYPKPPVNVLDDGLILAYSFEENFGFVYGEVIKKFPCDIHQSVVALASFKGERRFFACTGFFIEWKGCTIILTSASLVAESGDRNKIDENLRIEVLLPNEQRRQGTLQHYSLHYNVALVSVNDMDFHARPANMKLDNECPPQVAALGRCFESGKIMAVCGDLVDWTGTLDCNFLIRSSCKTTKAGIGGPLIGLDGKVIGMNFYDKKIGTPYMPWDVILKILACFENESSAAEVGNGSDPSDAPGWKIPGDGSVKLNRFSDIIYIYIYI >ORGLA03G0376700.1 pep chromosome:AGI1.1:3:32050575:32054137:-1 gene:ORGLA03G0376700 transcript:ORGLA03G0376700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRVGTASFSLYYLSFCVFWSAGDGAHKGNDPRTKVTAKWVQGVRRMGLNLNTNPPQVDVERARQHRPSIVIVYPVKVIDGRRVVMHGAGSGFIISSTADGKCIVLTCRHVVKGSKGFDPATDLLRIRFLQGVEEDMQGQLILEDPFLDIAFILVSNMPIMLPALRFAPGVDLPVGTPVFLLGNCFLEQLPGCDIQTAIMPTIPTVSPGGISAPCKVEYGPHITRREIQFTCPNKAGYSGSPLLHEEKVIGILGHGAYQASLAVCTENLITFLETRLGVDEGTIPVEDLIQMLYQYRT >ORGLA03G0376600.1 pep chromosome:AGI1.1:3:32040735:32041632:-1 gene:ORGLA03G0376600 transcript:ORGLA03G0376600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVQSQIRRRSSRSVVCVTVTYPPGDKDIFGIVIGLSSKNAIVITCGHYFYEEVLGIGVTFEYEKILVDTDHILFNADIAMIPVSIPEGFDITDVEEIPLCETLPSLHENIHLFSPEYCRVTSGNIIGTTSDIAFQCNPCISEDSEFGAPLLNNSFELIGMSTGYGRLYLTAISSISIARAIERTQGRQFQGVQHALQHLRSNRL >ORGLA03G0376500.1 pep chromosome:AGI1.1:3:32038883:32039733:-1 gene:ORGLA03G0376500 transcript:ORGLA03G0376500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTQILFGFADVALYGPHICAVEALIDGDGAAAGERGSGPGRLAAGSALLQRAWEAGGGWSVGGLTKAGGRPTVSRNWQRPSQRRHREAQPRRSMGNPTQCARIRSRWRVHPTSLEARKANQDATMSGSTEARCAEARRVEATGPKWEASAAVTTLGAKRGESSRWRRWEAGGKRG >ORGLA03G0376400.1 pep chromosome:AGI1.1:3:32032729:32034437:-1 gene:ORGLA03G0376400 transcript:ORGLA03G0376400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLFEDIFTVTRLDPDGKKFDRVSRIEARSDQFDMYMQLDVATDVYPMHPGDRFTMVLVPTLNLDGTPDSAFFTQAGRKTLADKYEYVMHGKLYKISEDKDTSDQNAKKVEMYASFGGLLVMLKGDPSSAANFELDQRLFLLMRKV >ORGLA03G0376300.1 pep chromosome:AGI1.1:3:32027877:32030133:-1 gene:ORGLA03G0376300 transcript:ORGLA03G0376300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSRLPLQLLLVVGAAASETAHFLGVNYGRLGDDLPPPHRALELARSAGAAAVRFYDSNATFLSPAAASGLVFVPGVPNELIPSLAASRRAADEWVAATLLPFRRNRRLRYLFVGNEVLSDPTTKSRWFQLVPAMANLERALRRHGMRRVKVSTTLGMDALDGQNVFPPSAGVFRPDIADAVVRPLLAFLERTDSYLFVDAYTYFTWSANHTIVPLHYALLEPSPSPSPAYQYHDPGTGLSYTNLLDHMLDAVVAAMCRAGHCGVRLALAETGWPNAGDLDQFGANARNAATYNRNMARHLASGAGTPRRPGMRMPAFVFALFNEDLKTGPATERHWGLFHPNGSAVYEVDLTGRRPPASYPPLPPPATNDAPYPGKLWCVVGAAAANETAVREQMEAACADEAALCAPVRAGGECYLPNTVAAHASYVFSAHWNKFSKVYGGWCYFAGLAMETTTDPSHGSCKFPSVTPS >ORGLA03G0376200.1 pep chromosome:AGI1.1:3:32018420:32026825:-1 gene:ORGLA03G0376200 transcript:ORGLA03G0376200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSASGQMAALCSPSPTLPTASLLLLRRRRLRLLHPPPSAAALLLLLPARRRGRHHHRRGGVLRCDAAAASPSAGSTLENPVRRKCSPLLESALLPGGNGLTTHDWMAVPDIWRTAAEKYADRVALVDPYHEPPSELTYKQLEQEILDFSQGLRAIGVAPDEKIALFADNSCRWLVADQGIMATGAINVVRGTRSSDEELFQIYTHSESIALVVDSPQFFNRLAESFISRINARFIVLLWGEKSCLNSEVVNGIPLYDFKDITQLGRESRNTLRHSHEQGQQVVFETITPDDVATLIYTSGTSGTPKGVMLTHRNLLHQIKNLWDFVPAVPGDRFLSMLPPWHAYERASEYFIFTYGIQQVYTTVKYLKEDLQRYQPQYIVSVPLVYETLYSSIQRQISSSSTARKFVALALIKISLLYMEAKRIYEGTVLSNNPVKPSFIVYMVNWLSARIVAALLWPLHNLAKTLVYKKIHSAIGISKAGISGGGSLPMHVDKFFEAVGVKVQNGYGLTETSPVVAARRPFCNVLGTVGHPVKHTEIKVVDMETGEVLPDGSKGVVKVRGPQVMKGYYKNPSATNKVLDQEGWFDTGDIGWIAPHCPTGPSRKCGGMLVLEGRAKDTIVLTTGENVEPAEIEEAASRSDLINQIVVVGQDKRRIGALIVPNYDEVLATAKRKSILDGNNELAKDKVLNLLYDELRTWMVDCSFQIGPILIVDEPFTVDNGLLTPTLKLRRDKVTAKYHREIDALYK >ORGLA03G0376100.1 pep chromosome:AGI1.1:3:32016658:32017221:1 gene:ORGLA03G0376100 transcript:ORGLA03G0376100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGVTAAVNMWDLLTVDDPGHVPGTVGAAESKSKRKKNKKKNAAADDGDGEVVVASTAADEPCANAEAARGSEPAGKAAQMSSGNQQAAAASNDDVDGGAPPAAAAAGGGRRSPCLTVVGEMVKAVVAAGLVAFFYAVVTAPTTV >ORGLA03G0376000.1 pep chromosome:AGI1.1:3:32012928:32015825:-1 gene:ORGLA03G0376000 transcript:ORGLA03G0376000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPFDLLGEADGESGEAAVAILVGKKKAEADAFAAANPQPTKKGAKDVKGKNNGQENGSGGRNYDYDYYNRGYSNNNGGYQNYNYNGNNSHGYQNYNYNGNGQGHYNGGYQPIHQGNGQYQNNGNYHGDYGYDGQYAENYNNGQRQVYNGGQYRKKNLQYRPKEKQLSETASVCSAENKSEDKLDSASETGKKESVAGDAVAKPVSGPEESTGDVAQDNSKKDGGDPEKKKVRNTLSGSAKRKLKKKHDSKVSGKTEKEAEKAEVLKEEERKDMTLEEYEKVLEEKRKALEDSKSEGRKVTAEVFEGMQLLEKKKLDDENASKKAENEQRKEPAKQVKAPKAINLNDLLKPADGQAYRPRPPPRRVQEDGFSQGYYNGGGGPGRGNGGFRQNSRDHSAEPHGNARGNGDGGYQQGRGYSGNGNGGYQQGQGYSGNGGYQQGRGYSNNGGYRRGGNQGNGRNESDSILSPAHFPALTGTIPATPEKVQSQSQASSSPAPAQAQPQAQTLSSSSSSGAAPAPAQAKSQAPPPAPAQAKSQAPAQVKSQAKAPAPAARTKAPSKAQA >ORGLA03G0375900.1 pep chromosome:AGI1.1:3:31998133:31998336:1 gene:ORGLA03G0375900 transcript:ORGLA03G0375900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SESWVRNKHMPSFNEWLKNRIARLQNLSSETLQWLSQGPKWSATTWQGYDINGYTFHTVKQDSKCTV >ORGLA03G0375800.1 pep chromosome:AGI1.1:3:31994368:31994607:-1 gene:ORGLA03G0375800 transcript:ORGLA03G0375800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRLAIDIALHSKIILSLCNGYLNNNTFFQXLQDEDELGESMGMPSVQAALRYLPLHCAASHRTMPPLTPFHCATSYR >ORGLA03G0375700.1 pep chromosome:AGI1.1:3:31987815:31988584:1 gene:ORGLA03G0375700 transcript:ORGLA03G0375700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRLSTVPSWLPVGMDPLTTYLLEIKLAGDRKNARVKYDWFIFNKMIDSNAMCYKDFVDDIAKSYPWGPNETVTIGYVDMVHKISHHVTTDQDMLEMFEKFVDIKVIPMIICIHGMNENIDELDHTLVKANICVPDTPSLATPSQVDFSQPSSSTLPSHVLVPSDTYLINPFPMAEHVGVDDECIYLDNEEAVAGQADETRGEGAANEESEDESWATS >ORGLA03G0375600.1 pep chromosome:AGI1.1:3:31984370:31986077:-1 gene:ORGLA03G0375600 transcript:ORGLA03G0375600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSMMKFFSPSSSSAIYTTLSSRLARINATRHTPPPPPKSSRAARSLTCTTASNPKSKSKSKAKHLLLLLLIVSLILLAAFLLIRATMSSSSSSSDAVAAAAAATFEKPRTVVKKLLAESQPEGDGATVRRSIGRYELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHKGTIGTGDVQWMTAGRGIVHSEMPAADGVQKGLQLWINLSSKDKMIEPRYQELMSKDISCAEKDGVEVKIIAGEAFGVRSPVYTRTPTMYMDFTMQPGSQLHQPIPEAWNAFVYIIDGEGVFGREKASPATAHHCLVLGPGDGLSVWNKSGEPLRFALVGGQPLNEPVVQHGPFVMNTRAEIQQAMEDYYYGRNGFEKARHWSSTA >ORGLA03G0375500.1 pep chromosome:AGI1.1:3:31980833:31983732:-1 gene:ORGLA03G0375500 transcript:ORGLA03G0375500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G23700) TAIR;Acc:AT3G23700] MLAAAAAALRPAPTTAAFSPSTARSPPPTLLSFAPPRSHQRFHLSATAEGAGTTAAQEGASASASAPPVDEARLAQFAADWQAARAERDQGKILKLQVIRANSGGLIVRFNSLQGFVPNPLLSPAHWCKDPKRPIQDVTKDLVGSSISVKVVEVNEEERKLVFSEKDASWFTHSSLVKIGAIYDGIVGSVFHYGAFVHLRFPDGNYHLTGLVHISEVSWDLVQDVRDFLNEGDTVKVIVVNIDMEKSRIALSIRQLEEDPLLETLDKVIPLEADQSPSAGIISSDSSPSEADLLPGLDGICNELLQEDGITDVQFGRRALEKRVVSQDLELWLSSVPAKDNQFKLLARAGRQVQELYLTTSLDQEGIKKAVQRVLGRVP >ORGLA03G0375400.1 pep chromosome:AGI1.1:3:31978966:31979874:-1 gene:ORGLA03G0375400 transcript:ORGLA03G0375400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGGGGGGQPSCAAVSLSKYLQRKLWKRINGGKPRRRRRPEVRSASGGGEVPVSVELMTTSSWSSSSVRSPEAVVRVVMQGGVVEAYGGVVLACTVIRKHPPGLCLAYPDVFRNPHGARVRPLQPLFPGEKFYLLPERTIERLQRQIPESSVGAFDVDDVTSSTTTTTEEEEEDTRDYSSGAASSSEEEEAACDDDDDGDECAARRWCCAREYFEAKERWDECQFKKMVARGLAVEKSTEKETAMKKKKNGRRRRKKRNSAAVPSTGCRTSRAPATTRRTWEPSLPSVEEERESSPPSERG >ORGLA03G0375300.1 pep chromosome:AGI1.1:3:31977351:31977698:-1 gene:ORGLA03G0375300 transcript:ORGLA03G0375300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHRTLLLLVAVLFAAAAVALADDAKPTILTPVANTPLGSFDGDSPADDAMDDEDAAPVGAPIGTTMTEPKPELTTTPGAAGEAAGGASAGYSLGVASHVGAAAAFVAGVFAF >ORGLA03G0375200.1 pep chromosome:AGI1.1:3:31971180:31976692:1 gene:ORGLA03G0375200 transcript:ORGLA03G0375200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OxaA/YidC-like membrane insertion protein [Source:Projected from Arabidopsis thaliana (AT1G24490) TAIR;Acc:AT1G24490] MDSHLLLLARPRALALAAARAPAGFAGFRRPASPRRVAPRRVVLRPVAALGGGGGFAEVGELFGRVEAFLYTVADAAVSASPEVVQGGGGGTKEAAGDWLSGITNSMETVLKVLKDGLSALHVPYPYGFAIILLTVLVKAATFPLTKKQVESAIAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSGVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQSGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYVSSQVMQPPQNNDPSQQGAQAVVKFLPLLIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKLGGAKNPVKEYIDKLAKEESTNLGKPEPAIKSDPLPKVGKPPASQEPKPSGPQRGERFRKLKEEESRRKMFLEKAEQTEQAGTQAGIVNGKQNSDASGDNIDEQESHENEPIIANGNGGLSHSTNEMIPNGSMKEDIIQESTDSHSSVIDPTSHDAHKSRDEENEQDAV >ORGLA03G0375100.1 pep chromosome:AGI1.1:3:31964268:31967257:-1 gene:ORGLA03G0375100 transcript:ORGLA03G0375100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48460) TAIR;Acc:AT3G48460] MAARRSDVILLLLLLIAGVSVPAAAFETVYAFGDSFTDTGNTHSTTGPYSFGYVSSPPYGATFFHRSTNRYSDGRLVVDFLADRLALPGFLPPYLSPAAANATHGVNFAVAGATAIEHEFFARNNLSVDITPQSIMTELAWFEAHLRRSPAAARAVGDALFWVGEIGANDYAYSFMAATTIPQDQIRNMAIDRLTTFIEALLKKGAKYIIVQGLPLTGCLPLTMTLARPEDRDNISCAATVNQQSHAHNRRLQASLRRLRRQHPAAVIAYADYYAAHLAVMAAPARYGFTEPFKTCCGAGGGAYNFEIFSTCGSPEVTTACAQPAKYVNWDGVHMTEAMYRVVAGMFFQDGRYCHPPFSTLLARRNKGN >ORGLA03G0375000.1 pep chromosome:AGI1.1:3:31960221:31963418:1 gene:ORGLA03G0375000 transcript:ORGLA03G0375000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRFPKKPSKSGDKDPIGRSSPSVPNPPLGPRGAERSSNLSSQTPVISSSGLSYGSGMHVGNANSRVNGNSVQPTVELLPSFKDVPNTEKNNLFVKKLNLCCATFDFTDPTKSVKEKEVKRQTLLELVDYIASANGKFPEIIMQEITRMVSVNLFRTLTTPPRENKIEAFDVDDEEPVMDPAWSHLQIVYELFLRFIQSPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKTILHRVYGKFMVHRPFIRKAINNIFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIGMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVLFLGELEEILEATQPAEFQKCMVPLFRQIAHCLNSSHFQVAERALFLWNNDHIENLIKQNSRVILPIIFPALERNANGHWNQAVQSLTLNVRKLFSDHDVGVYDECQRKYEDEKAKEKETKLKQEVAWKRLEEMASAKATSGAAVLVSRTLPRQSSAV >ORGLA03G0374900.1 pep chromosome:AGI1.1:3:31954632:31957779:1 gene:ORGLA03G0374900 transcript:ORGLA03G0374900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATAGTYSPASAAGEKRRERKEELRRHLAEDADWPRADGRSFHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMLYSDTGRLNCNVSYTTFATPIRGQGTDNKEYSAMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCFGKNIIIDPTSDEEAWQDGSLMVAYMPARKEITQLTLTGEWSDGKITNAVELCMDACSKLCDILRERLKDASSLAE >ORGLA03G0374800.1 pep chromosome:AGI1.1:3:31936368:31940964:-1 gene:ORGLA03G0374800 transcript:ORGLA03G0374800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWFCCNCHFDEEDDHGKERSNAQGNKMDAKQKSSKPPAGQPEPEIAPLTIDVPELSLEDLKQKTDNFGSNALIGEGSYGRVYHATLDDGRQAAVKKLDASENEPNDEFLKQVSQASRLKHENLVEMLGYCVEGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWTQRVKIAIEAAKGLEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKSEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLQQKPAVPAASEPAPATEN >ORGLA03G0374700.1 pep chromosome:AGI1.1:3:31929470:31935172:1 gene:ORGLA03G0374700 transcript:ORGLA03G0374700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPPTPRPRVLAAAPCHVPSRRRRRVCDGLCSAVAADNGCAESPDVVLECKRLNRLVKSGRLADALDLFDRMPRKNVVAWTSVMSGCTRNGRPEAALAMFADMVESGVAPNDFACNAALVACADLGALRAGEQVHSLAVRAGFAGDAWIGSCLIEMYSRCGSLPAAKEVFDRMDSPDVVGYTSLISAFCRNGEFELAAEALIQMMKQGLKPNEHTMTTILTACPRVLGQQIHGYLIKKIGLRSQSVYSSTALIDFYSRNGEFKLAKAVFDSLHCKNVVSWCSMMQLYIRDGRLEEALQVFGDMISEGVDPNEFALSIVLGACGSIGLGRQLHCSAIKHDLITDIRVSNALLSMYGRTGLVEELEAMLNKIENPDLVSWTTAISANFQNGFGEKAIALLCQMHSEGFTPNDYAFSSVLSSCADVASLDQGMQFHCLALKLGCDSEICTRNALINMYSKCGQMGSARLAFDVMHTHDVTSWNSLIHGYAQHGDANKALEVFSKMRSNGVKPDDSTFLGVLMGCNHSGMVEEGELFFRLMIDQYSFTPAPSHYACMIDMLGRNGRFDEALRMINDMPFEPDALIWKTLLASCKLHRNLDIGKLAADRLMELSDRDSASYVLMSNIYAMHGEWEDARKVRRRMDETGVKKDAGCSWIEINNEVHTFASRDMSHPNSDSIYQMLGELVAVMQDFDELEPRHHSSSSSINSGGGRRTHVAAVPPSLLPQHQQIENHGDLTSGSNQDVWAILSVEVASNLSKSVVSLTLSDGNTLIYACSGIVIECQEGSGTIFLTSASLVTAFYDTEEVYDNLKIEVRHEGNEVLKGYLAKYDLDKNFAVVYTMESLDVHIVLAENSRDRYINKKLVAVGRDKHGVLMAKSVMVAGCRDSNRSEDSKEIRLISEDWEGGPLFDFDGKFVGMNRFSVMDRTSVLSWVSILIILKHYLPSLQNRILKRLQNVKRVRDGERPTGELPDYHPEAPVHRGGLNTEQFGYLNSMGYPKPPINVLDETFGDLCGEDLWSEINKKGCTTILTSASLVRESDDGNKIDENLRIEVLLPNKQLREGTLQHYSLHYNVALVSVKDKDFHARPANIQLDHNHGPGVAAVGRCFESGKLMAARTDVVDWSGTLDCEMFLIRSSCKITKAGIGGPLVDLEGKVIGMNFYDKKIGTPCLPWNVILMVLACFEKESSGGEVGSGSDPCGAPGWKIPRDESVRLNRWPVPLPYWRPHDDVDEQEPPEGCEHIYTYINGERYCYR >ORGLA03G0374600.1 pep chromosome:AGI1.1:3:31925885:31929332:-1 gene:ORGLA03G0374600 transcript:ORGLA03G0374600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGDLRSILPYLPVVLRGGALFWPPAAQEALKALALGPDVSRVSSGDVLADALTDLRLALNLDPLPRRAAEGFALFFDDLLSRAQARDWFDHVAPSLARLLLRLPTLLEGHYRAAGDEARGLRILSSQYAGLVLLSQELAAALLACALFCLFPTADRAEACLPAINFDSLFAALCYNSRQSQEQKVRCLVHYFDRVTASTPTGSVSFERKVLPHHPESDGITYPDMDTWMKSGVPLCTFRVFSSGLIEDEEQEALEVDFANRYLGGGALSRGCVQEEIRFMINPELIVGMLFMVSMEDNEAIEIVGAERFSQYMGYGSSFRFTGDYLDSKPFDAMGRRKTRIVAIDALDCPTRLQFESSGLLREVNKAFCGFLDQSNHQLCAKLVQDLNTKDNCPSVIPDECIGVSTGNWGCGAFGGNPEIKSMIQWIAASQALRSFINYYTFESESLKRLEEVTQWILRHRWTVGELWDMLVEYSSQRLRGDTNEGFLTWLLPKDIPNGDVDYMCE >ORGLA03G0374500.1 pep chromosome:AGI1.1:3:31923273:31924686:1 gene:ORGLA03G0374500 transcript:ORGLA03G0374500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIVDVAGGGRQQALDGSNPAVARLRQLIGGGQESSDGWSRCWEEGVTPWDLGQPTPAVVELVHSGTLPAGDATTVLVPGCGAGYDVVALSGPCRFVVGLDICDTAIQKAKQLSAAAAAADGGDGSSSFFAFVADDFFTWEPPEPFHLIFDYTFFCALHPSMRPAWAKRMADLLRPDGELITLMYXAEGQEAGPPFNTTVLDYKEVLNPLGLVITSIEDNEVAVEPRKVIS >ORGLA03G0374400.1 pep chromosome:AGI1.1:3:31917959:31920701:1 gene:ORGLA03G0374400 transcript:ORGLA03G0374400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAAAAAECGELDGTDAMAYGDDRTPRDGMVFKSYEEVLNFYKRYALRTGFGVCVKKSSFTKAGLCRRLVLVCNKWGDGKEDACYQARPTAKTNCQATVVARLWSDGLLHLMDVNLEHNHALNPSAARFLRCYKTLPSGMSKDLVVRAARGECSTSGDIEVPIFDDWGRLKIGEDDVAAINGFFADMQAKQANFFYLMDFYGEGHLRSVFWADSRSRAAYQYFNDAVWIDTTCLRNRFDTPLVLFLGVNHHGELVLLGCGLFSDESTESFLWLLKSWLTCMKGWPPNAIVTDDCAAIKAAVREVFPNARHRISDWHVLRSISEKLGESAQFEGMKTELETVIYDSLKDDEFEARWNNLISRFGLQDNEWITFLYENRHFWVPAFLKDTFWAGLSTVNHHESPNAFFEDSINPETKLVTFLSSYVNLLQNKYKMEEDDDLESLSRSRVLVSKFPMEEQLSRLYTFKMFTKLQNELNATMNCEVQLDDSTSSIVVIDLAESSEEMVNKKYEVVHCMETDRMECNCGLFQFSGIVCRHTLSVLKCQHVFDIPPCYVLNRWRNDFKQLHALDNPWKDLVTSNHIERYDYVSLQCLRLVEIGASSDEKHQHALKLIRDIRRTLLDDNLCRELEQKLTPSERAINGDSHIQAGSSEGGPAKKRRGRPPKKSKDTNVESVSNQYAHKDSLLVSSDVSQKDAFHSSSTASNLGTHVRTNGVVDLMEEVNPNELSFDSRYGVQSSHPHHYGNQLHPSNAMQFGQTTSTAEHSRVQWMYQNIFQDDQVPYGRRT >ORGLA03G0374300.1 pep chromosome:AGI1.1:3:31909058:31915500:1 gene:ORGLA03G0374300 transcript:ORGLA03G0374300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDPDSSPAPPHRDWFFPPAPPFLPSSRARTPRAPFPSTSRSSNPYSFPDRRPPPTPRSRSRSPLPPPEQQKQQQPPPTTPPPAPRRRDPRYAGVRRGDVRTLTAEKAAAAAAVPTAAQVHGSKSAASATTLRWSGMVSVAAIVLCFSSLVRSNSSLHDQVHHLKAQLAEATTKLQSCITESSMDMSSILSYQSNNSTSQNRGLKNFSLLLSLSTLYAPLLILKYMDLFLKLRSSQDSEEEVPINKRLAYRVDIFLSLQPYAKPLVLLVATLLLIGLGGLALYGVNDDSLLDCLWLSWTFVADSGNHANAEGFGPKLVSVSISIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLVLGWSDKLGSLLNQIAIANESLGGGTIVVMAEKDKEEMEADIAKMEFDLKGTAIICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLDGMQFEDVLISFPDAIPCGIKVASYGGKIILNPDDFYVLQEGDEVLVIAEDDDTYAPAPLPKVKEAVYIDIVHPERNPQKILLCGWRRDIDDMIVVMRGYLPKDFVVPKSPERILFCGWRRDMEDMIMVLDAFLAPGSELWMFNDVPEMDRERKLIDGGLDFSRLENITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPFREAMVSHVTRGSFCEGSWIGEMQQASDKSVIISEILDPRTKNLLSVSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEQGNEMQIRPADLYLREDEELNFFEVMLRGRQRKEIVIGYRLVDAERAIINPPDKVSRRRWSAKDVFVVITEKE >ORGLA03G0374200.1 pep chromosome:AGI1.1:3:31902434:31907906:-1 gene:ORGLA03G0374200 transcript:ORGLA03G0374200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVREVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCPKVHSLQLRKDYEEVKAKGTENYDRELEEMIDRLIVECERKIQRALKRLADEDAKAAIAISVSEVTQTDEVLQLSKEIKEKMKEVDTYDFEGKTDDKIKTMEVVEELRSKRADMQATLLLDAFNKDRASLPQPTPTPQMASVPAPAPPDARTQEMINEKIKKAEELGEQGMVDEAQKVMEEAEALKKLAARREPTSDPTKYTAADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQEERSKRRKAPEDDRRSREYSKDRNGQASRDRDSERKDRVEPRESRRDHDRDRDRRHDRDRRHDRDRDRDYDRSRGHDSRRRERSRSRERRRHDRY >ORGLA03G0374100.1 pep chromosome:AGI1.1:3:31900197:31901744:1 gene:ORGLA03G0374100 transcript:ORGLA03G0374100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1B/ribosomal protein S6 family protein [Source:Projected from Arabidopsis thaliana (AT1G64510) TAIR;Acc:AT1G64510] MPPPMALSISSTAAATALLPTLPPSRSRLRVAPRRAAARVARVVSAGYAAGFYGGAAAAAGGEDDEVGDEEGSSSGFGAGLGIGGGGLGMSAAEAALALEEREMPPCPPGLRQYETMVVLRPDMSEEERLALIQRYEELLVAGGAMYVEVFNRGVIPLAYSIRKRNSRTGLPSTYYDGIYLLVTYFTKPESLDALQMRLNADDDVIRSTSFKVRKRKAF >ORGLA03G0374000.1 pep chromosome:AGI1.1:3:31897595:31899236:-1 gene:ORGLA03G0374000 transcript:ORGLA03G0374000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSENPTVTERGGGKDRRDDDGGEKKEGGGGFMEKVKDFIHDIGEKIEGAVGFGKPTADVSGVHIPHISLHRADLVVDVLIKNPNPVPIPLVDIDYLIESDGRKLVSGLIPDAGTIHAHGEETVKIPISLIYDDIKSTYNDIKPGSIIPYLVRVVLLIDVPIIGRIKLPLEKSGEIPIPYKPDVDVEKIKFHRFSFEETTATLHLKLENKNDFDLGLNMLEYEMWLGDDSVASAELTESATIEKQGITTMQVPFSFRPKDFGSAVWDMIRGRGTGYTIKGKIDVDTPFGNMKLPISKEGGTTRIKKDDDDDDED >ORGLA03G0373900.1 pep chromosome:AGI1.1:3:31892959:31896654:1 gene:ORGLA03G0373900 transcript:ORGLA03G0373900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAAYSAASAIVVVMAKGKGRGGRGGPSGANKVSERRPPRITSNVKQSLRILKFWKDYERRQTSGPQPATRYRKKKVIKEVLPDDTDFYEDASSTLHYTNQGLEIASPVILVDGYNVCGYWGKLKKDFMNGRQEIARQMLIDELVSFSAVREIKVVVVFDAAASGLSTHKETYKGVDVVYSGDLSADSWIEKEVEALVADGCPKVWVVTSDALEQQLAHGEGALIWSSKRLVKEIKESEKELDEELKETRSTSLQGKLFQHKLKPKVVHALKDLRNKLEEEERRKR >ORGLA03G0373800.1 pep chromosome:AGI1.1:3:31889102:31890477:-1 gene:ORGLA03G0373800 transcript:ORGLA03G0373800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPAPWEQGGDEYDYLFKIVLIGDSGVGKSNLLSRFTRNSFSLDSKSTIGVEFATRTIQVEGKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKATTFENVKRWLKELRDHADSNIVVMLIGNKIDLKHLRSVSLEDATSFAEREGLSFVETSALDATNVDKAFQTVLTEIYRIISKKALAADEAGAGAGAVREGQSIQVSATDSSSFTSRCCSF >ORGLA03G0373700.1 pep chromosome:AGI1.1:3:31884859:31886343:-1 gene:ORGLA03G0373700 transcript:ORGLA03G0373700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxysteroid dehydrogenase 5 [Source:Projected from Arabidopsis thaliana (AT4G10020) TAIR;Acc:AT4G10020] MEQVVNAVLDLVVPPASMVMLAFAWPTLSFLRGVEWVVKTLTVENMQNKVVLITGASSAIGEQIAYEYARRNANLVLVARREHRLFAVRENARALGAGQVLVIAADVVKEDDCRRLVGDTISFFGQLNHLVNTVSLGHDFCFEEAGDTVAFPHLMDVNFWGNVYPTYAALPYLRRSHGRVVVNAAVESWLPMPRMTLYSAAKAAVIDFYESLRYEVGDEVGISVATHGWIGGEASGGKFMLEEGAEMQWKGEEREVPLAGGQVEAYARMVVAGACRGDAHVKHPNWYDVFLVFRAFAPDVLAWTFRLLLSTPSPSPPASARRHQLAALPAPPLHPLLEYPSARSPGRAAQQHKLE >ORGLA03G0373600.1 pep chromosome:AGI1.1:3:31880591:31883157:1 gene:ORGLA03G0373600 transcript:ORGLA03G0373600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTSPASRLDFYDFIGRMRRPAAADLFHSIRSFLASLSQGGEPNAEVDGGRVQTFFAEMETAIRDHPLWANATNQEIDNALEGLEKYIMTKLFDRAFASSAEDVKSDMEISEKIGLLQHFVRPHHLDIPKLLHNEAAWLLAVKELQKINSFKSPREKLSCIMSCCQVINNLLLNVSMSNDRTLSGADDFLPILIYITIKANPPQLHSNLKFIQLFRRETRLISEVEYYLTNLISAKMFIMNVNGHSLSMEESVFQTHMESAKLGNHISVASTSSSQGLGASTTGLNEESGDTEGLFLTLVDQFVSE >ORGLA03G0373500.1 pep chromosome:AGI1.1:3:31878873:31879554:1 gene:ORGLA03G0373500 transcript:ORGLA03G0373500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRDGYSIWKARAALHHYNANHPGAEYDLVKPLMAACVIFRGNMWHHVSFLAHRRDQLVAPPVEYFFAELRDGCSDDDSIVEACTMIENPQCSGNKCSFCPEQYQIAHPSEQELLCGKEEHVKDFIRLMNLSPMPFTCPTEPPEIGIVIEQ >ORGLA03G0373400.1 pep chromosome:AGI1.1:3:31876143:31876373:-1 gene:ORGLA03G0373400 transcript:ORGLA03G0373400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGRPRAPAAAAAARESAAVPGRLPARSYREKGLAARFRAGADVSCTDLAQVVAVGRSDPAWGVRRDGGDSEGG >ORGLA03G0373300.1 pep chromosome:AGI1.1:3:31874703:31874891:-1 gene:ORGLA03G0373300 transcript:ORGLA03G0373300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWIGIGFAPPTINDTSLTEWWMAARLNFWTGYRDIFDGVFALTCWFLWKERNARVFEQKF >ORGLA03G0373200.1 pep chromosome:AGI1.1:3:31862627:31873332:1 gene:ORGLA03G0373200 transcript:ORGLA03G0373200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTGNEAHKAQPNGLLWAYWEAHFKAFFFFSPRALPFVSTRKPRNQERKKSRTXDMDQEFHFDPFTGGDFDYPKGELFSGGAASGGFDYPGSCGRSSSAGEELHGHPYRDGYSFSGGAASDECHFLGRSGFPSSGGERLLVHRHPDRYRCGEPFSGGYARGHGDLLGWSSCHSSCSQLLQDPATIVIVINDGAHAHGGGLAQAGQASSMSVPLVKSKQGTSLVPQLKLGPSASPNLLHWLMEHILXYALEFSISWTTLRRHQPKLPSEWSWWMAMGSPFIQIDGICSIAASVMCVEAQHRLAFEILHGIGSFPLKAKRLKGVKKKCINKKVWSPADGAFVEDVLKVVAKGRGVETIQGIFLPINGYHMYKNVQKDVSHEAAVRLLLAHGPLLATLWVNDEYMICTTKNDLVYRGSSNREKDPNHTVVCFAYRFVGEELHLRVLDDHTEDGPVRWVLYECIDEIHLLTLKEPLTKELINRYRKKGQTESFLSNSANKVKAMLIRRLMTKYSELESSQGSSSCGRQSWEK >ORGLA03G0373100.1 pep chromosome:AGI1.1:3:31860451:31861910:1 gene:ORGLA03G0373100 transcript:ORGLA03G0373100.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIQVLLPNGTCDVGYLQHYSLHYNVALVSTHHSWNALPGGIGGPLVDLDGNVLGMNFYDKKIGTPYLRWHVITALLKLTSVVTLEGPLPCYAGVYLPGWNGGDNANLNRVFDQTACTSKYCFVLPQSPPVAPDWTIETGNSVTLNRWPVPLPYWRQPDDMEEEEPPRGFEDMYTYVDGVRLCNF >ORGLA03G0373000.1 pep chromosome:AGI1.1:3:31852680:31856918:-1 gene:ORGLA03G0373000 transcript:ORGLA03G0373000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G57770) TAIR;Acc:AT1G57770] CAAAARPARCRAVAADERPADPSIPEGEDGALSGVAERPEADVVVIGSGIGGLCCAGLLARYNQDVLVLESHDRPGGAAHSFDIKGFNFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCASYDSWMVYVPEGQFLSRIGPTDFLKDLDAFVGADAVQEWKKLLDAVIPISAAAMALPPLSIRGDLGVLSTSAGRYAPSLLKSILQMGPQGALGATKLLRPFSEIVDSLGLKNPFVRNWIDLLCFLLAGVKSDGALSAEMVYMFAEWYKPGCSLEYPLEGSGAIIDALVRGIKKFGGRLALRSHVEKILIENGRAVGVKLQSGQIVRARKAVVSNASMWDTLDLLPPDAVPRSYQDKVKATPQCESFMHLHLGFDVENAREDLGIHHIVVNDWNKGVDADQNVVLISVPTVLGNGLAPPGKHVLHAYTPGTEPFSLWEGLDRKSAEYRRLKEERSEVMWKAVELALGPRFSREKCDVKLVGSPLTHKRFLRRNRGTYGPAIKAGEATFPGQATPIPQLFCCGDSTFPGIGVPAVAASGAIVANTLVSVSQHSELLDAVGI >ORGLA03G0372900.1 pep chromosome:AGI1.1:3:31845230:31850093:-1 gene:ORGLA03G0372900 transcript:ORGLA03G0372900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGLRHGGVGSSSRPGHGFKGPASSVECLGREMLEMQLRDSKPDVGDEKNTERDVVDGSSAEAGHIIATTIRGRNGLPKQSVTYIAEHVVGTGSFGVVYQAKCRETGEIVAIKKVLQDKRYKNRELQIMHMLDHPNIVGLKHYFFSTTERDELYLNLVLEYVPETVNRIARQYSRMNQRVPLIYVKLYTYQICRALAYIHNCVGICHRDIKPQNVLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDLWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFQKRLPPEAVDLVSRFLQYSPNLRCTAMEACMHPFFDELRDPNTRLPNGRPLPPLFNFRTQELNGIPPEAIERLVPEHARRQSLFMALRT >ORGLA03G0372800.1 pep chromosome:AGI1.1:3:31842273:31844247:-1 gene:ORGLA03G0372800 transcript:ORGLA03G0372800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKGAKMPSPPPAAAAGRLVKVGLLGGAAIYAAFNSLYNVEGGHRAIVFNRLEGIKDKVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVRIGLRVLTRPLPEKLPTIYRSLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERASNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAQLIGEAINNNPAFLALRQIEAAREISHTMASSNNKVYLDSKDLLLGLQQLNVDNKNKK >ORGLA03G0372700.1 pep chromosome:AGI1.1:3:31839608:31841038:-1 gene:ORGLA03G0372700 transcript:ORGLA03G0372700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PH81] MRTKTFVLFPSLGVGHLNPMVEMAKHLRRRGLAVVVAVIDPPDNDATSADATARLAAANPSITFRLLPAPPSPDAGAHPARRALDALRLANPVLREFLRSLPDAADALLLDAFCVDALDVAAELAIPAYFFFPSGASALAALLHLPYYYPDVPSFREMGMALVRLPGMPPLRAVDMVATVQDKESDATKVRLYQFKRMAEAKGVLVNSFDRLEPKALNALAAGVCVPDKPTPRVYCIGPLVDAAAGKNGERHPCLAWLDAQPRQSVVFLCFGSKGAFPAAQLKDIARGLESSGHRFLWAVRSPPEEQSTSPEPDLERLLPAGFLERTKHRGMVVKNWAPQAEVVRHEAAGAFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNRVLMVEEMKVAVALDGGEVGGALVAVAAEEVEAKVRLVMETEEGRKLRERVVETRDMALDAINRGGSSEIAFDEFMRDLEKMNSLENGGGRSC >ORGLA03G0372600.1 pep chromosome:AGI1.1:3:31836535:31837476:-1 gene:ORGLA03G0372600 transcript:ORGLA03G0372600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEIALEPGYAFRPSDDGLVTLFLRPKIAKIPFEHRLINHADVYSTDPTELVGEHRPAPGTHGSSRVWYFFCSPRYTSKRKASGRRQRAVGGGCENVWKSEGGKKAVIGADGRRVGYLQKFSYGVYESSSSGSARSFTRLGWCMTEYGLDDDAIDGADKQVLCKVYRSPRAVCAEARTAAAKCSGSKRKADDGADHPEAPPSARPRQEEAGSEHDEQPELPPELDLDALLSAPMDENLESTFSTAATEQYMRYLMNDEPLPWAPTMDPVDGGAGGGDEFIQTANGPCMDGEVFARLAAGETLDDILGSTSS >ORGLA03G0372500.1 pep chromosome:AGI1.1:3:31831673:31834996:-1 gene:ORGLA03G0372500 transcript:ORGLA03G0372500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WENFCSGXYWLSTFPIPLRGCILYNIGYLLVSHLMGSTKETIIASMQLVLLWIIASTVMLVASGAPPPTAASLAPCPKTCGEVNIWYPYGIGPGCFRQGFELTCDTTSKPLKLFLRNTKTQVISLYPSGTVLASIMYTIPMIHGVDTYNLSWDSPGRNLNVETYNYLAFLGCGIGVYLFHPDTGNLVGHCTIKCASMEEMHMATEGGICNGMGCCTVTFPVLFRGFRVTIVKSNETIPQPFDNITIKAFLTFRPYIFSIADLLSNKINASTVGASMAYLSTVIADEPNCPTARLDNKTQFACGSNNCIDVANGGYSCACPGNSDDGNPYLLDDCKQEFNPTPKKNCSRSCGSTNIPFPFGLEPGCFAKRRFQLSCASNRTLIGRPPAKYEVTNISLDEGLLYVNKLSEFEDANTKYLSVYYGGSGYFGQQLIYGLEKSDLSEEYGVWKWSVTNLTCEDAKSKSAYACVSTNSECLDVTHGKLYIGYRCKCSLGFEGNPYVQNGCTDIDECSIPNYCNGTCYNFKGSYICCPHGMSYDRVRRQCTSNKRQNIVLGLAIGISSGFGVLALTLIAAILFKRWKRSTRKKIRRAYFRKNKGLLLEQLISSSNNVTPNTRKFSLEDLEKATNNFDSTRILGYGGHGTVYKGILSDQQVVAIKRSKIVEQSEIDQFVNEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHGLLHGDLSTNCLLTWDDRMRIALEAAGALAYLHSSAAMPIFHRDVKSTNILLDGTFTAKVSDFGASRSISIDQTRVVTIVQGTFGYLDPEYFYTSQLTEKSDVYSFGVILVELLTRKKPIFLNCLGEQKNLCHCFLQSLRDKTTMDILDSQVVEEASQTEIDGIASVAEMCLKTKGAKRPKMKEVELRLQLLRAARSRAYKEQECTPKYKCTSLNSNKNVEMGLVANPESQVVSRCYTMEREMMYSSQFPR >ORGLA03G0372400.1 pep chromosome:AGI1.1:3:31827762:31830414:-1 gene:ORGLA03G0372400 transcript:ORGLA03G0372400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPENAAPAAAPAPAPAPTPAPPPPPSSPPTKSGIPPRYDLDAKWDACLDLSIRRVAYSTLGGTFAGLLLFRSPTTRWASVALGAGVGIGAAYTECSYLFNGAPPKWSPKVSTVPSAHSEGEDK >ORGLA03G0372300.1 pep chromosome:AGI1.1:3:31822139:31826538:-1 gene:ORGLA03G0372300 transcript:ORGLA03G0372300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:I1PH77] MGKSSADLSASSESAVLLHGDLDIWITEAKCLPNMDIMSERMRRFFTGYGACGSSCAGDNARRGGVGAGGGVRPKKIITSDPYVSVCLAGATVAQTRVIPNSENPRWEERFRVEVAHAVSRLEFHVKDNDVFGAQLIGVASLPVDRILSGAPAEGWFPIDGHCSSNPMRPPPELRLSVQYRPIDDNPLYRGGAGAVPNAYFPLRRGGGVTLYQDAHVADGGLPAIQIAGGRAYEHGRCWEDICHSIVEAHHLVYMVGWSIYHPVKLVREPTRALPGETPSTLGELLKKKAREGVRIVILLWDDKTSHDKFLLKTMQDGVMHTHDEETKKFFRHSGVHCVLVPRYASTKLSIFKQQVVGTLFTHHQKCVLVDTQATGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTAFNKDFHNPTFPVNSYGPRQPWHDLHCKIEGPAAFDILTNFEQRWRKATKWKVNLKKVASWHHDTLIKINRMSWIVTPAADKANAHVCEEKDPENWHVQVFRSIDSGSVKGFPKIVQEAESQNLVCAKNLKIDKSIHSAYVKAIRSAQHFIYIENQYFIGSSFLWSSHKSAGADNLIPVELALKIASKIKANEQFAVYIVLPMWPEGIPTAAPMQQILFWQGQTMSMMYKIIADALRMQGLVEAHPQDYLNFYCLGKREVAAGDSMSQTSLCNDNSTLRSAQKLRRFMIYVHSKGMVVDDEYVIIGSANINQRSMEGCRDTEIAMGAYQPHYKWSADHDQGPPRGQVYGYRMSLWAEHLGAVEECFGRPETGECVRRVREMAEENWRAYVSPEMEETKGHLMCYPLKVDKDGRVRALPGHDCFPDVGGKVLGTQTSLPNALTT >ORGLA03G0372200.1 pep chromosome:AGI1.1:3:31796609:31812872:-1 gene:ORGLA03G0372200 transcript:ORGLA03G0372200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAYRPGLFLRNNLLALYCRCGDMRHARLLFDGMPRRDAVSWNTLIAGYSGLGSPRLALDAFRSARASGDGVDRFTFAAALASCAREGNWRNGRVVHGLAVVSGLARTAFLTNSVIDMYAKCGMIDEVRLLFDRAEERDEASWNLLLSAYVRMGWPEVAVNVLVWMHRSGVKLDSFALGGILKACSELGDSEDVRRMLHGCVVKVGLDLDVFVGSAMVDMYAKNGGLEEAIKVFDCIPNQNVVVYNAMIAGFARLGNDPCPEIRIEAVMLFSNMLKKRIKPSKFTFKSVLEVCNLTNAVRCGRQIHAHVILSGLQADEFIASVLINLYSKARSVNDSLRCFDMTLKEDVFIWTSMITAFVQNEHFEKALYLFRELLYTRKETDQFTISSVMSACAALSVPTTCKQIHCHAVKTGLDQFTVSGNSQIAMYRNIGDLKASKLIFEQISCLDTFSWSAMILSYAVHGYESKALELFEKMKNLGVMMNEIASLAVLIACSHQGLADEGLRYYENTIPDDGFSLDVKLKACMVDLLGRVGKLADAEDFIMSSGSENDPILWHALLRACRVHGDKERCTKIGEKLMELEPFSASSYVMLYNLYMDAGKISLAMRTRGLMRERGITNEAGISWTDFGGSIHNFIDGDNSCSHNTIHTTLEELLVRVKQKTEHGGTNIWELEFQSRKLSESSISRHGELLAVAFGLTTLPSVAPVRVMKNQRISWESHETLKLLSEGENREITRRSPSAHTILQGIVASRAGEGRGSSSGHIAMIDDEADFGNITDATEGQAAAKFRPKARAKPRKTSLPSRSLAPHPTVESTDENVETLNKDSTSHEQSVDKKAASLGCHGNEADGNACASGGILDTPSEDVVTVSLGLVNNPDVALDSSTVFASVHKVSQNEENNDDLSHVATHKESMVVSDTQAPPTCCSAKTIDDLADFEGLCDDTHVEEERVAKFQPKFRVKTSKAASKSQRTNQKAGVSTVDVVSQNKEDGKDQAGCNDKQLHSPTRHQESVQISYSQAHLGTHNTTIDDVANSDSIMEELAQEEMAAKFQPRLRPKAGGASPGVAETIDAACVATPEFGVSSADVVSQDTEEDSHREGLSDGSCQKYIDEEAITTSGTGPPQDLDATVDLDSHAEMVNPHPDGSPLIIGEPSAETTVKFQPYVRRKKGKGKSVSFVPPNVSHAHTPTDTNSETSNSSHFCKDIATGESLSNLPQQASEKICISGEHHPDDQECNDPENQYHEGEPYDHVIEQEPERDVRETGTPMILRNRGKLQKDGIPEHTADDIMDEDFGEPPSDEQDNDSGDEYTARGKQKGRRKSREKNINKEPSRGTKRTSGDSTIEESQKQKLQKNKSKTSSRGQKKTSKDSSVEQPEKKLTHRIRRKRMEEVKTLLETPDHEIDRMKLSVTHLRLLQEAKERIKGKEIPSGPSSSNHSTSQFGDMDDEYNEQDNWDNDRTENHVVENTTKLNYHSYMNRQTRAKWSKSETDKFYEGLQQFGSDFAMIQHLFPDKSRNQVRQKFKAEEKKHPMQVHDAIMHRSRDNLYFKEVIKKLNIEDVQPDINNTHEQEGTSNEEDPGNKVCFEASV >ORGLA03G0372100.1 pep chromosome:AGI1.1:3:31791645:31793081:1 gene:ORGLA03G0372100 transcript:ORGLA03G0372100.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDYRHIEVIDVSDDGKNAYFEVTRPVAPRVHHFNPFISPDGALVGYHRCRGRGNGDSPLLLENIKSPGSPDTFSLFRIDGSFPSFSHDGKKIAFVGLPGMYVVNPDGSGGCRKIFSGNAFSTSWDWKRNGVIYTSIGPDFASESTEVDVVAISLGDDDDETISMKKLTVGGENNAFPSPSPDGKWVVFRSGRSGNKNLYIIDAEDGEAGGIRRLTEGPWSDTMCNWSPDGEWIAFASDRHAPGSGSFAIYMVHPNGTGLRRVVHSGDGGRTNHPWFGPDSKSLVFTSDYAAVSAEPVSNPHHYQPYGEIFTVDIDGSNIRRLTHNSFEDGTPSWTPYLLEPRDVGETLQASGRCAFQDCHWLNIQDAAQPEELIYGKSC >ORGLA03G0372000.1 pep chromosome:AGI1.1:3:31789048:31789335:1 gene:ORGLA03G0372000 transcript:ORGLA03G0372000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAANLYPLTVGRRGGAAACPLCLGSQAMRSLVPGDDSWLLALTLAEKLDALNRVAAVATRLGRQCMLQALLGFDHVYADLLAGCSDAAAISSC >ORGLA03G0371900.1 pep chromosome:AGI1.1:3:31775896:31779996:-1 gene:ORGLA03G0371900 transcript:ORGLA03G0371900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSKKHHQQGGGTTTMEEGAMDGAGGGVAEEGCSGEEREGVADVAVGGGGGEGEREGRTLVVGVRADAASRTLLTWTFINVAAPGDRIVAVHVVLASAPVAAATTAVDFDTMLAVYEGFCNLKQINLKLKICKDSSVRKALVREANLFGASKVIVGIAKKKRGISSLHSVAKYCSKKLPAKCAVLAVDSGKIVFRRESNVHSGKVSAEIPGCGDNELYCEVPFLARQCKEEPLPLHEPPRDGGGSAGEEEEEHDVGTKETEPVNAVSGEQQPSGVDPAELSPDQVQGDVDPSDKGEESTANQKDEISELPGEGASVLYCVLPERNGHSAASTSSRQSNDSTEPPTEGNGELYCILPPRNDHSGRSSGDSSRSTASRKHDDSASLSAEGDGELYCRLPRTGHSGRSSGGSKRSFGAKGLIRRSSSFSSDIQKDVSVCTTTTEQTSSMVSTEAEDSPKNAARDVDTPSSSPMSLRRMIEGRPDRCRLRRRIFNHQRSSSFEWAKISMVQWAMRLPSRYTSVADNKSFKSDASPRLNCDSECESTSAVDTESMFSFSLYDISWPPNEVESLQEKYSSTCRLFTYEELKLATSNFSPDMLIGKGGTSQVYKAQLFDGTLSAVKILKPSVDAIQEFVTEVEIATSLQHDNIVSLRGFSSDNYSLVLVYDYMLQGSLDKALHGKHDSKDSLSWEKRNKIAIGIAKALEYLHHGSVTQSVIHGDVKSSNILLSEDFQAQLCDFGLAKQVSASTPHLTCTDITGTFGYLAPEYFSHGKVNEKIDVYAFGVVILEIISGRRPIRTGCSKGQESLVGWAKPLLSSGEIKQLVDPFLGNDYDCDEMERMTLAASLCTRTSSHSRPEMSQMLKLLEGDDETIHWARTQVTASFDGSDEEAVAAPDSNMQSHLNLALLGVEDDTLSHCSTEQTMDTSADGYWSRSSSFD >ORGLA03G0371800.1 pep chromosome:AGI1.1:3:31773168:31773590:-1 gene:ORGLA03G0371800 transcript:ORGLA03G0371800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDESFKRPGTIPFKWELQPGVPKQQPHHGGGGAAVGSSSASSSPAPAPAAASTLLLPPRLLAPPPAAAASHGGCDTGANILASTTTPSPSSSSHRRSMSARFTASLVLPFTRPRRGRSANSEDEDDIAFTVLYGDKIV >ORGLA03G0371700.1 pep chromosome:AGI1.1:3:31754599:31762566:1 gene:ORGLA03G0371700 transcript:ORGLA03G0371700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMDGGEHAGERRKAKEYGEKARRAMEKGGSSSEQTFFMMWTENPRNAEAAAAVAGAAGRRGGDGERAGGGQDGVLERTCGAGARPRKEVDDDDAANAASRPAVPVARVEQELDRAWSWSCSRCCRVQSSCFLNETIFSGGYTDTGASVTFDLLFLLVIGSYPFDEALILFNFVVTAARGPEDLINIFWRPPSRKVKGHRQLFHVLLAFLRTWDGKYGVCFTVCSIFAEQASTILTGCVFWDGGSMWGSGDQINGSRRNSRAAAVGGELPLGHSRTKGRGRAARTTEPRRTVVAQPHGGSRQQEDEGSSSGGWWQALTGLQSNKGRRSASRTVATQPHEGRADEFLRSGREVKANDFVEAVVKS >ORGLA03G0371600.1 pep chromosome:AGI1.1:3:31734575:31737469:1 gene:ORGLA03G0371600 transcript:ORGLA03G0371600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G71870) TAIR;Acc:AT1G71870] MAISLQGKAQQQQEEGGKGGGAVDDDGDDQPSVASELRELWGMAAPITALNCVVYLRAMVSVLCLGRLGPLDLAGGALAIGLTNITGHSVLFGLASGLEPLCAQAFGSKNYDLLTLSLQRAVLLLTLAALPIALLWLHVGPILVALGQDPTISASAAAYAVYALPDLAASAVLQPLRVYLRSQGITKPMAACSAIAVALHVPLNVLLVFGLGFGVRGVAAAQALTNTNMVLFLLAYIRWSRACDATWKGWARPAAVASGLAGLVRLAVPSCVGVCLEWWWYEVVTVLAGYLPDPAAAVGAAGVLIQTTSLMYTVPMALAACVSTRVGNELGGGKPRRARMAAMVALGCAVVIGVVHVAWTAAFSREWVELFTREAAVVRLAAAAMPILGLCELGNCPQTTGCGVLRGTARPAVGARINLLSFYLVGTPVAVTLAFGARVGFGGLWYGLLSAQAACVALVLLAVVWRTDWHLEALRAKKLTGLEMIAAAAEGDDDECKRLIAPLPPPDGHDVAVDVV >ORGLA03G0371500.1 pep chromosome:AGI1.1:3:31724719:31733327:-1 gene:ORGLA03G0371500 transcript:ORGLA03G0371500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G24200) TAIR;Acc:AT3G24200] MLSRGRCFPAASRIRPLVRAFCDAPPSLQDAAAGVPSSQDHTENIDGVKARPDELDIAIVGGGMVGLAVACALSNMPLTKHLRVGIIDSNPALKSRNYLKKDGVPDSRVSTITPATISFFRDIGAWDHVQQQRHTFFGKMQVWDYTGLGYTRYSARDVGKEYLGCVVENKVLCNSLLLRLQEENGDIEKMIYPTRLISLALPSKSRQAPTREAISSGYPPEELNRSNLVKLELSDGQTLYSKLVVGADGSKSNVRQTAGIKTTGWNYPQSAIICTVEHITENDCAWQRFLPSGPIALLPIGDNYSNIVWTMSPEESLRRKSMSPEDFVKSVNNALDFGYGPHPHSGSLDYYMEKLFSDIGSTAASTKECFEVPPKAIGVVSERMAFPLSLKHSHDYISKRLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIAEGVSVGADFGDISLLKRYENDRKAANVAMAALLDGFQKMYSVDFGPLNVLRAAAFHGAQYISPLKKNIISLQSSTSFARRYSFSRFLRVIHLSVPSYSYHGNHIIYQFERNGAIGECIIRVWNHGPAVQPCKCPICRRLINLLVPANVSIDNDDDPQIQHVLGEVQHYNRIFGGTPRNLTQRLQDLPFFIRRLFRELLDPQRTLPLVFRARMVMMVALSAIYVLSPIDILPENVLGLFGFFDDFLVLLIVFLHLAAVYRSLLLYRHGGH >ORGLA03G0371400.1 pep chromosome:AGI1.1:3:31721820:31723239:-1 gene:ORGLA03G0371400 transcript:ORGLA03G0371400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G09620) TAIR;Acc:AT4G09620] MLAKSPSLPFLTATETAAISLSPSCDLFSSPNARFSKKKYGGRLTIQPNIEFGKTQNSRTQRKWRTFSADQAQATVVDAGDNKTWEEAKQILTSLDYSIEDADKMLKKAFGWIHSPYWSEERKKEVPNAEVVSGVLNYIRTLGLSDDDLRKLLKKFPEVLGCDLDSEVKLNVGKLDSDWGINGKTLRSLLLRNPKVLGYNVDCRGDCMAQCTRCWVRF >ORGLA03G0371300.1 pep chromosome:AGI1.1:3:31719967:31721124:1 gene:ORGLA03G0371300 transcript:ORGLA03G0371300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSADHFSNNNNNQAMYLEQDEDFSQMIMELCDFDASSTTQARHGGEAAAAAAGDARAVLTYLTFLEQKIGHLRGIISSTPNPPPQIVAAELSCIVVQLVSISKNLAAARRGGDDADADAKHDGSSDADEGADGDGERAPPRGSYEVVQIEKEEILAPHVHFCGVCGKGFKRDANLRMHMRGHGEEYKSAAALAKPGGSPSRSPAAADAAARRRFYSCPYVGCKRNREHKSFQPLKTPTCVKNHYRRSHCDKSFTCRRCNVKRFSVVADLRTHEKHCGRDRWVCSCGTSFSRKDKLFAHVAIFDGHSPALPPEDYDDDAASGQLPHAAGEAVGRTVDTNRFFSDGLMIKGSMDDERGSLSPMGLDYCEFDGIDLFAAAAFDF >ORGLA03G0371200.1 pep chromosome:AGI1.1:3:31711426:31711993:1 gene:ORGLA03G0371200 transcript:ORGLA03G0371200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWTATVSLLLAAAVAMAAAVAAGEGGAANYLVFVDPPPSGVVCTAYQLSILAAALGSEEKAKGAIIYNYKNVVSGFSARLTPSELEAVKKQPQVNRVLPSATLSLMSSNFDGIS >ORGLA03G0371100.1 pep chromosome:AGI1.1:3:31707540:31710983:1 gene:ORGLA03G0371100 transcript:ORGLA03G0371100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:I1PH65] MSSSATVVPLAYQGNTSASVADWLNKGDNAWQLVAATVVGLQSVPGLVVLYGGVVKKKWAVNSAFMALYAFAAVWICWVTWAYNMSFGEKLLPIWGKARPALDQGLLVGRAALPATVHYRADGSVETAAVEPLYPMATVVYFQCVFAAITLILVAGSLLGRMSFLAWMIFVPLWLTFSYTVGAFSLWGGGFLFHWGVIDYCGGYVIHVSAGIAGFTAAYWVGPRAQKDRERFPPNNILFTLTGAGLLWMGWAGFNGGGPYAANSVASMAVLNTNICTAMSLIVWTCLDVIFFKKPSVVGAVQGMITGLVCITPAAGVVQGWAALVMGVLAGSIPWYTMMILHKRSKILQRVDDTLGVFHTHGVAGLLGGLLTGLFAEPTLCNLFLPVADSRGAFYGGAGGAQFGKQIAGGLFVVAWNVAVTSLICLAINLLVPLRMPDDKLEVGDDAVHGEEAYALWGDGEMYDVTKHGSDAAVAPVVV >ORGLA03G0371000.1 pep chromosome:AGI1.1:3:31697674:31700250:-1 gene:ORGLA03G0371000 transcript:ORGLA03G0371000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PH64] MRRKAAGDAALVGGCFLLLLLPLLSHGADMPLGSSLSPANQALWSSPNNTFSLSFTASPTSPSLFVAAITYAGGVPVWTAGNGATVDSGGALRLSSSGDLQLVNGSGAVVWSSNTGGQGVTTAALQESGNLLLRNSSATLWQSFEHPTDTVVMGQNFTSGMNLTSASYQFSLDRNTGNLTLKWTGGGTVTYFNKGYNTTFTANKTLSSPTLAMQTNGIVSLTDGSLTSPVVVAYSSNYGESGDMLRFVRLDTDGNFRAYSAARGSNAPTEQWSAVADQCQVFGYCGNMGVCGYNGTSPVCRCPSENFQLSNPADPRGGCRRKIELQNCPGNSTMLQLDNTQFLTYPPEITTEQFFVGITACRLNCLSGSSCVASTALSDGSGLCFLKVSNFVSGYQSAALPSTSFVKVCFPGIPNPPLGGGGSPSGRASGVRGWVVAVVVLGAVSGLVLCEWALWWVFCRHSPKYGAASAQYALLEYASGAPVQFSYRELQRSTKGFKEKLGAGGFGAVYRGVLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDAFLFADAPGGRMPWPTRFAVAVGTARGITYLHEECRDCIVHCDIKPENILLDEHHNAKVSDFGLAKLVNPKDHRHRTLTSVRGTRGYLAPEWLANLPITAKSDVYSYGMVLLELVSGHRNFDVSEETGRKKYSVWAYEEYEKGNIAAIVDKKLPGEDIDMVQVERALQVSFWCIQEQPAQRPSMGKVVQMLEGIMDLERPPPPKSSDSFLSLTSATTATGVSGSGSTSMVSTFTSSAAPPAPTPSPNVDEVQQEMAVGRSASARIRDRASRSLLGQEPYMTM >ORGLA03G0370900.1 pep chromosome:AGI1.1:3:31690759:31695762:1 gene:ORGLA03G0370900 transcript:ORGLA03G0370900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Streptomyces cyclase/dehydrase (InterPro:IPR005031); BEST Arabidopsis thaliana protein match is: Polyketide cyclase / dehydrase and lipid transport protein (TAIR:AT4G01650.1); Has 30201 Blast hits to 17322 proteins in 780 /.../es: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08720) TAIR;Acc:AT5G08720] MTSRVLVSSSSSSSAAAAAAFLPRIPNPCANPSHRGAPPCGAALWRHHSGRAVTTAAAAAATGDHWGAEYHGGGGGRGGKSAAGPGVQCDVDVVSWRERRVFASVAVAADVDTVWRVITDYERLAEFIPNLVHSGRIPCPHQGRVWLEQRGLQQALYWHIEARVVLDLKEVPDAVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLGALACRAENIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAIAPSKFKETPPSGLGGVLASPPSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREVWNILTAYEKLPEFVPNLAISRIIRRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAEAESGNSTSSSIVSSNADTIAIDYAEGRQSEQASTSCSSSPVKQRPKVPGLQKDIEVLKSELEKFIAKYGQDGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVHEVSRLLSLELRRPRRRANSDDESKAGSSYAITNKHAGKPNKPSVSPDKQKWLLKLKDLDANWIEY >ORGLA03G0370800.1 pep chromosome:AGI1.1:3:31686752:31689181:-1 gene:ORGLA03G0370800 transcript:ORGLA03G0370800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKAKASGGGRRGGAKDPTDALRSDKKRRDMDDSDDAELDSDMKEIVLLLRQIKDKAHKDGQKKTEQTLSSVATEIQTIVQDAKGKFEKESRQNYLKVLSKTSKECEGLLKNEYTKFQATHDKFCKEKAAHMQTLKDLFSKFEDAKEKLLIQYELQRKKEKATLSELEKTFSEKITNAEESLKKMKQDDKSIVNLRKSIGSFLDPDDEFGADDD >ORGLA03G0370700.1 pep chromosome:AGI1.1:3:31685393:31686271:1 gene:ORGLA03G0370700 transcript:ORGLA03G0370700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANQQQAEEACRRAEEFFLAGNIASAHRLARRAQRLCPSLPGVANALAAYDVHAAAAAAANPGRPNWYAVLGIDQPSSAAAAVTRDAIKRQFRRRSLLVHPDKNRSAAADGAFKLLRQACDALSDHHHPNAAAASAAGTAAAADTAAQTWWSEYWARHPEMAAAASRRRGAAADEEGPARRQGEALMVIYCKRCDREFVREPDEFGVTCRWCRRPVRPPWVRRKPSSPTTKAPPPPRPKPEMFPCPGQCPRCGVQFASMVYAGKWHLRCKTCSKYTMVDVQGPDMATCSR >ORGLA03G0370600.1 pep chromosome:AGI1.1:3:31683583:31684440:1 gene:ORGLA03G0370600 transcript:ORGLA03G0370600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGRVEELAAENAYKLAENRFLADDITGALPAARAAQRVFPALPGLANAIAAYEVHAAATTSRANGGGKWYAILAVDDDSATTSTGISGAAVITHESLKQQYRRLCLVLHPDKNSSAAAEGAFKLLRAAWDKLSLLHPPGSAAAPVSCPPPPATAQPPDWMPRQPGPHRRTMFCPNCRCSFATVVDDGVSGVNCVNCNHWVSTLWQTGRAPPPQQQQQSSSRFPCPTPCPGCDAKFTGTVSIGKHLLPCRACNKCFLVFVKSPNEAYAWIPTPKEKMPYVPWNF >ORGLA03G0370500.1 pep chromosome:AGI1.1:3:31678825:31679634:1 gene:ORGLA03G0370500 transcript:ORGLA03G0370500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDRSQRRRGSREGLRARRESLPRQRHRRSAPRGPGGAAAFPPLPGVASAVAAYEVHHAAASRADAGDKWYAILAVGDDSSATTSSGTNGAAAVITHEDLKHQYHRLCLLLHPDKNAAAAAEGAFKLLREVWDNLSLLHPPGSAASPPVSCPPPPPPPPPGPRRISCRKCRGSFFTVVGDVVSGVNCVHCNRWVSLFPCPARCARCGVRFTETVSTGTRLLRCAACERSSYVFVKNPYCATSWITGSSYRLKCCRRKPFSAPTPITILTI >ORGLA03G0370400.1 pep chromosome:AGI1.1:3:31662790:31668998:1 gene:ORGLA03G0370400 transcript:ORGLA03G0370400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANGAGGGGGVPRPTNPMVTPLLTDLYQFTMAYAYWKAGKHLDRAVFDLYFRKNPFGGEFTIFGGLEECIRFIANFKLTDTEITFLRSAMPTCEDGFFEYLSSIDCSDVEVYAIPEGSVVFPKVPLMIIEGPVAVIQLLETPFLSLVNYASLVTTNAARHRLVAGKSKNLLEFGLRRAQGPDGGISASRYCYMGGFDATSNVAAGRLFGIPIRGTHSHAFVSSFMGLDDIIDRTLASSDGSNKCEDFVSLVQNWLARIKDAGSLRGTFRETNLSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGYKAAGIRLDSGDLAYLSVETRKFFRAIEEEFGFIGFGKMNITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKRCYRLFGKEGYPLVDIMTGEDEPAPKVGERLLCRHPFNESKRAYVVPQHVEELLKCYWPGTSSEPREELPSINEIRSRCMGYLDRMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >ORGLA03G0370300.1 pep chromosome:AGI1.1:3:31661118:31662459:-1 gene:ORGLA03G0370300 transcript:ORGLA03G0370300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLVGDGAAPAAAEPAPAAAACRWTRQKDKLLETLVARCAMNRQCVGGWDAIAAAFGDDRTAAQVEQRYGEIAAEVRRVMEEPWDAEDPAIAAAAAAVPAAPVKHAAAGPGSDGGGEEGKVVVEKKSGPWSEEEHRQCLRGIEEIGHGRWTQISIEYVPSRTPIQIASHSQKYFLRMAKPKEDRKRKSIHDTPYHLHLPNAADAHAHQQQQ >ORGLA03G0370200.1 pep chromosome:AGI1.1:3:31650638:31655402:1 gene:ORGLA03G0370200 transcript:ORGLA03G0370200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:I1PH56] MEASAGLVAGSHNRNELVVIRRDGEPGPKPVKHTNGQVCQICGDDVGLTPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLKGCARVPGDEEEEDVDDLENEFNWRDKTDSQYVAESMLHGHMSYGRGGDLDGVPQHFQPIPNVPLLTNGEMADDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDDADLPLMDEARQPLSRKIPISSSLINPYRMIIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQQSQLAPVDFFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYSLEPRAPEWYFQQKIDYLKDKVAPNFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKSKKPPSRTCNCWPKWCICCCCFGNRTNKKKTAKPKTEKKKRLFFKRAENQSPAYALGEIDEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSATPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRAAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNIASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >ORGLA03G0370100.1 pep chromosome:AGI1.1:3:31641688:31645033:-1 gene:ORGLA03G0370100 transcript:ORGLA03G0370100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQNTNFQNLTEDLLGGFEYQVQVPYIMYHNAADEITGIWFYDPQECEQVGYLFSRIQKAFSRVSPKAKVSVTESEYEKPEVVPAVPSNEDTLKQPTSSIMVLDNAKYDFLSALLKGAACVGATMDEASAVQSNKSVGMVHSSTHASPHAIPPQSPAIQSNKSFGMVHSSTQAPLAIPPQSPAIRSNKSVGMIHSSTHASPFDIPPQSPAVQSNKSVGMIHSLTHASHLAIPPQSPALHGLHPSQISSVPVMPHDAHRSSSTSTIQPTSLANPLFFPPMPSLQTASHAASSLCSAAPLHPPITVQQLQSAPLHQPFSLPTASSIPPPYGMPLLQPFPPPNPSPFLTSGVSYGRPVITRDQLKDVLLSLCQNDEFIDMIYREFVKRQLP >ORGLA03G0370000.1 pep chromosome:AGI1.1:3:31633401:31641184:1 gene:ORGLA03G0370000 transcript:ORGLA03G0370000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAATTLGRELLEAARAPEFAGWLRGLRRRIHQHPELAFQEHRTSALVRAELDALGVAYVWPVAQTGVVATVVGAAGPGPVFGLRADMDALPIQEMVEWEFKSLEDGKMHACGHDVHVAMLLGAAKLLQSRRDHFNGKVKLVFQPAEEGYAGGYYVLEEGAVDDVQGIFGMHVDAGLPAGVVASRPGPFLAGSARFTATINGKGGHAAAPHHAVDPIVAVSSAVLSLQQIVARETDPLQGAVVSVTTIKGGEAFNVIPESVTLGGTLRSMTTDGMSYLMKRIREVIEGQAAVNRCTAAVDFMEDKLPPYPATVNDEEMYAHAKAVAESMLGEANVKLSPQGMGAEDFGFYAQRIPAAFFGIGVGNDGGGTTKNQLHSPHFVVDEEALPVGAAFHAAVAIEYLNKNASGRSA >ORGLA03G0369900.1 pep chromosome:AGI1.1:3:31629105:31630218:-1 gene:ORGLA03G0369900 transcript:ORGLA03G0369900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFTVRSAYKLASEAELCSENSGEWRKKFMEEYLECPSPAESSCLRVEASTGLSGQYNQRNNAGTLSAPRTMPRYCSSPDKNLMTRKDGCGAIGIVIRNSTGQVLLTSWRFLRKCAAAEEAELLACCEGLKIVAEWLPMPVILESDCATVIARLQAKGEERSRWTFLLRETKAMMTFIQEVKLAHCNRDCNRVAHELAQFAXRSGHSAVWRDSAPSCIMQTLQQDCIAIT >ORGLA03G0369800.1 pep chromosome:AGI1.1:3:31620360:31621643:-1 gene:ORGLA03G0369800 transcript:ORGLA03G0369800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRPVVLIFLLLVLIISSQFEWKQQVGEAEANPAATRRRQQVILREDAVKEKIILAQEKNIQQLNGLIQSLQLQLLHCRLSNSTAHTTSNKSTNNSAVEGHKMIDD >ORGLA03G0369700.1 pep chromosome:AGI1.1:3:31618605:31619234:1 gene:ORGLA03G0369700 transcript:ORGLA03G0369700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYESECCGGRGSYRNRRFALGFWFGLAILAAIAIIVVLAVGYGRVSSLRVAVDDASLTRFAVTATSVAYNLTVALVVRNPNWAMGVTYRSLEASYLFHGKRFDGAAAVVSSGYEQAARKTEVFRLSSGSDAAPAALGSGGEREYRKESDNGGVFDVEVDLSGEVKYALHSAWCRVEARCPLKLQLAAAGSVAFQETTCDMLRSSQSGC >ORGLA03G0369600.1 pep chromosome:AGI1.1:3:31613690:31614328:1 gene:ORGLA03G0369600 transcript:ORGLA03G0369600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWEDWGKGDCDCGWKKCLIWTAAIAGVGGLIVLLVFAFALVFPPKATADDAVLLRFALSPGSPPSNSTVSYNATVTLSLRNPNLYRGISYDPVAVAFSFNGTRFDESATVPAFYHRPRKTATFHVTVGGAGKPVPKLTAAGVAAFRAENATGRFEVEVRLDTVMQYKARKARCPLAVICPLQLQLVDPDVAATAFQRTKCTVLRAKKSGC >ORGLA03G0369500.1 pep chromosome:AGI1.1:3:31608648:31609805:-1 gene:ORGLA03G0369500 transcript:ORGLA03G0369500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein with retrovirus zinc finger-like domain [Source:Projected from Arabidopsis thaliana (AT3G26420) TAIR;Acc:AT3G26420] MADVEEYRCFIGNLSWSTTDESLKDAFGKFGNLTEAKVVFDKYSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRAITVDKAQPQGPGRDRNGDRDYDRDRGSRYDRGRDFGGGGRAPRGSGGGGDCYKCGKPGHFARECPSGDGGGRGDRYGGRDDRYGGGGGGGGRYGSDRGGDRYSGRSRDGGGYGGGGGDRYSRDRSGPY >ORGLA03G0369400.1 pep chromosome:AGI1.1:3:31604967:31607963:1 gene:ORGLA03G0369400 transcript:ORGLA03G0369400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFGAAVLVALAVTCGLIWSRSRRLSKEMRDIPGTMGWPVVGETFSFISGFSSPAGILSFMRDRQKRFGKVFKTYVLGRMTVFMTGREAAKILLSGKDGVVSLNLFYTGKQVLGPTSLLTTNGDEHKKLRRLIGEPLSIDALKKHFDFINDLAVQTLDTWLDRRVLVLEEASSVIIKLFTLKVIANMLISLEPEGEAQEKFRANFKIISSSFASLPLKIPGTAFHRGLKKARNRMYAMLDSVIARRRDGGEVRNDFLQTLLRKHAKDGAAADEDDGDRDADKLTDAQLKDNILTLLVAGHDTTTAGLTWLIKFLGENPEALQKLRVMNETLRRATILPWFSRKAAQDFSIDGYEIKKGTSVNLDVVSIHHDPSVFADPYKFDPNRFDGTLKPYSFLGFGSGPRMCPGMSLARLEICVFIHHLVCRYSTPAGSQEIKQQQLLRGKVTRNSYFSGKSDKEQQPRRIAQIFRSLKHDHSRAVTRNGTIQEATQQQQLRKGQGQWNNNQVAKPIRVTKKHYARQQWPSHAKPHMID >ORGLA03G0369300.1 pep chromosome:AGI1.1:3:31599052:31600778:-1 gene:ORGLA03G0369300 transcript:ORGLA03G0369300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDCLMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >ORGLA03G0369200.1 pep chromosome:AGI1.1:3:31596726:31597187:1 gene:ORGLA03G0369200 transcript:ORGLA03G0369200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:I1PH46] MATCTLATSCVSLSNARTQASKVAAVKSPASLSFFSQGMQFPSLKASSKKLDVSAMATYKVKLITPEGQEHEFEAPDDTYILDAAETAGVELPYSCRAGACSTCAGKIEAGSVDQSDGSFLDDAQQEEGYVLTCVSYPKSDCVIHTHKEGDLY >ORGLA03G0369100.1 pep chromosome:AGI1.1:3:31588509:31593713:1 gene:ORGLA03G0369100 transcript:ORGLA03G0369100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36360) TAIR;Acc:AT2G36360] MTPQLQPKQMHWARADSSDFGGQIPAPRSGHTAVSIGKSKVVVFGGFADKRFLSDIAVYDVENRIWYTPECNGSGSDGQAGPSPRAFHVAIVIDCNMFIFGGRSGGKRLGDFWMLDTDIWQWSELTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYIMDTMSLEWTELSVTGSVPPPRCGHSATMIEKRLLVFGGRGGAGPIMGDLWALKGVTEEDNETPGWTQLKLPGQSPSPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKLLATSNEPPPPRAYHSMTCIGSRFLLFGGFDGKNTFGDLWWLVPEGDPIAKRDLVPNVDSDSKPSNVTGGAQHSASQESQAGESPMIDLAKRLGISLSLEASASFVDEINDKELIELSSMLFGESPPTGDQHACIQALRDHWTSIPANSIQLQELGPLLRDYQRLILRRYLENSFTSFYEKEVHRFFHLKNASELRMDDIPILLMEYGKLLST >ORGLA03G0369000.1 pep chromosome:AGI1.1:3:31578560:31585589:-1 gene:ORGLA03G0369000 transcript:ORGLA03G0369000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLAYPDRFYAAAAYAGFGAGGATSSSAISRFQNDVALLLYGLYQQATVGPCNVPKPRAWNPVEQSKWTSWHGLGSMPSAEAMRLFVKILEEEDPGWYSRVPEFNPEPVVDIEMHKPKEDPKVILASTNGTSIPEPKTISENGSSVETQDKVVILEGLSAVSVHEEWTPLSVNGQRPKPRYEHGATVVQDKMYIFGGNHNGRYLSDLQALDLKSLTWSKIDAKFQAGSTDSSKSAQVSSCAGHSLISWGNKFFSVAGHTKDPSENITVKEFDPHTCTWSIVKTYGKPPVSRGGQSVTLVGTTLVLFGGEDAKRCLLNDLHILDLETMTWDDVDAIGTPPSPRSDHAAACHADRYLLIFGGGSHATCFNDLHVLDLQTMEWSRPKQQGLAPSPRAGHAGATVGENWYIVGGGNNKSGVSETLVLNMSTLTWSVVSSVEGRVPLASEGMTLVHSNYNGDDYLISFGGYNGRYSNEVFALKLTLKSDLQSKTKEHASDGTSSVLEPEVELSHDGKIREIAMDSADSDLKKDDANELLVALKAEKEELEAALNREQVQTIQLKEEIAEAEARNAELTKELQTVRGQLAAEQSRCFKLEVDVAELRQKLQSMDALEREVELLRRQKAASEQAALEAKQRQSSSGMWGWLVGTPPDKSES >ORGLA03G0368900.1 pep chromosome:AGI1.1:3:31575088:31577951:1 gene:ORGLA03G0368900 transcript:ORGLA03G0368900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein alpha [Source:Projected from Arabidopsis thaliana (AT1G50940) TAIR;Acc:AT1G50940] MAAMVVGALRRGTATAGGSSRSFARSLPRPVSTLVVAEHEGGFVKPSSLSALAAAEAIGKDDNRVSLLLGGSGPGLHKAAEHAASSHPLVSEVLVADSDVFAHPLAEPWAELLRSVQHKGGYSHVIASSTSFGKNLLPRAAALLDVSPVTDVTSISEPRVFVRPIYAGNALCTVRYTGEDPCMMSIRSTSFSPTEAMSEAKVVPITQVDLSFLSEGSSGKSAWVNLKSQDTERPDLANAPVVVTGGRGLKSAENFKVLEQLAEKLGAAVGATRAAVDAGFVPNELQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQVADYGIVADLFEVLDELLKKLPDKK >ORGLA03G0368800.1 pep chromosome:AGI1.1:3:31569683:31569910:-1 gene:ORGLA03G0368800 transcript:ORGLA03G0368800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPHFTGEGSGSWAHEVKQALRDKLRRAYGTAGAARPAASAVSRGPSHGGDDCRGSAAEDPIRRVMFLAPWGHT >ORGLA03G0368700.1 pep chromosome:AGI1.1:3:31563126:31566746:1 gene:ORGLA03G0368700 transcript:ORGLA03G0368700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPIRARLSALDDDDDDAATVSSSRSSSAATSPSRSPSPLVPRAAVLGAPRVAAQLSSTEDDNGGGEDEVFDESTDYGDDEAAGEVLDELSNGFFRIARVPPPSEDSSPISGGEPVVSVSSPTESGYFGAAEGSLKEEGFVGARNFADVFDAGSRVGFEDANGVTAGAKNTGVESSLEGSFQSSGSVVGVFDDTDVTTIGDLVSASDGSPLNVDKQGDQDSGAEVVNDEPVDAEVVNGIEPEPLVGESVDAEVVNGVVPEPLVGESGGSDGSGMHVEDELEMEGGKSDNGTAELPPVVSALEKQDTDLELRNDDSGAKDGTDNHEDATNFVDSCTGYVATGDGASELLEVPANVDDLHFVTDDGHNDDAEETDGGYEASDGYVSIPTFGNNNAVEIPVNESEHNVPASKGRRFGLGDSDDEFHDDGVEEEEGEVNGKEIEFFDYAALAELLRAATPGQGMAKVFPIESSVPLQVPPTTVSVPRKNVASSPVLEVAPNPENEMTEEERKLYRKVDVARIKYLRLIHRLGYDTEHHIAIQVLYRLSLVEGFRRIRVANHSSELESAWKKALQLEAEGTEDLEFSCNVLVLGKTGVGKSAIINSIFGEDKSKTSAFLPATTAVKEISGVVGGVKFRVVDTPGLGTTHMDEKSNRKVLNSVKKYIKRCPPDVVLYVDRIDTQRQDANNLSLLQCITSVLGSSIWSKTIITLTHSAAAPPEGPSGIPLNYEMFVTQRTHAIQQSIRQATNDPRFENTSALVENHHLCRRNTEGEKVLPNGLIWRRLLLLLCYSVKTVETNSLSARVASPANLFSLRFRMPPLPHFLSSLLQSREHPRCAADQDVGDIDPDELINEDEEDDYDQLPPFKPLSKSQVAKLSKEQQKLYFDEYDYRTKLLEKKQLKEQLRRLKEMKIEGNNHDVLGDNDNPDDEYETERSVMPDWALPSSFDSDDPAYRYRCLDPKPNFLVRAITNPDGWDHDCGFDGVSLQYSLDAANAFPASLWVQVNKDKRESTIHLVSSISAKHRENVSSLAGFDIQTIMDQLAYTLRGESKFKNSKKNTTTGGLSMTFLGDTMVTGAKFEDKLSVGDRLTLLANTGAVSIRGDTAYGVNMEATLREKDYLMGQDLAILGASLVRWHKEWSMAAKLDSQFSMGRASNVAVHVDLTNKLTGRVSIKANTSEQLKIALLGVCSMTMYLWNRMHPFIDRNY >ORGLA03G0368600.1 pep chromosome:AGI1.1:3:31544516:31546330:-1 gene:ORGLA03G0368600 transcript:ORGLA03G0368600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTNMLSPSQLSKIKTMLQSRPDICKRNSHLKFCYSLRNRNVFVPKTHKPRLGPLEGSRAKTWQTPTCAKVALEHYNRSNEDEYEMVKALYSVSSFFNGVWVHVNFLAKLKGATQCPDLVPKFFFAEVKSDFDGRSCVSCVKIDTGNPEATPIRGCGICQNNEIYHPAVGGHRGDRKSAS >ORGLA03G0368500.1 pep chromosome:AGI1.1:3:31541400:31542793:1 gene:ORGLA03G0368500 transcript:ORGLA03G0368500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVEGSKYECLLFDLDDTLYPFSSGINLACRKNIQDYMRHHLRIEESQIADMCLELYKEYGTTMAGLKALGYEFDNDEFHANVHGTLPYDNLHFDPVLRTLLLSIPQRKIIFTNSDKAHAEEVLCRVGIQDCFEGIICFETLNPPTPTCHGLHKPLSSISDELSSDLDDLDESDSFRPKSPILCKPSIEAMEAAIRIANVDPEKTIFFDDSVRNIASGKAAGFHTVIVGRPTLVPGADHALESIHNIKEALPEIWDGWSESDVVLASTASETTVIA >ORGLA03G0368400.1 pep chromosome:AGI1.1:3:31534464:31539505:1 gene:ORGLA03G0368400 transcript:ORGLA03G0368400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease 1 [Source:UniProtKB/TrEMBL;Acc:I1PH38] MGIKGLTKLLAEHAPGAAVRRRVEDYRGRVVAIDASLSIYQFLIVVGRKGTEVLTNEAGEVTSHLQGMLNRTVRILEAGIKPVFVFDGEPPDMKKKELAKRSLKRDGSSEDLNRAIEVGDEDLIEKFSKRTVKVTKKHNEDCKRLLSLMGVPVVQAPGEAEAQCAALCENHKVFAIASEDMDSLTFGARRFLRHLTDLSFKRSPVTEFEVSKVLEELGLTMDQFIDLCILSGCDYCENIRGIGGQRALKLIRQHGCIEEVVQNLSQTRYSVPEDWPYQEVRALFKEPNVCTDIPDFLWTPPDEEGLINFLVAENNFSPDRVVKSVEKIKAANDNFSLGRGKLLAPVANLTGSTSTAGKEPKCILGGPGQVMKARSPLQVCKSSSLNFIHDNSKAFMLGRRSGFHRISTYASI >ORGLA03G0368300.1 pep chromosome:AGI1.1:3:31528403:31532333:-1 gene:ORGLA03G0368300 transcript:ORGLA03G0368300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQVVESKDGTISVASAFAGHQEAVQDRDHKFLSKAVEEAYQGVDCGHGGPFGAVVVRNDEIVVSCHNMVLDYTDPTAHAEVTAIREACKKLGKIELSDCEMYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTAYYQKANLEIRRADGNGALIAEQVFENTKEKFRMY >ORGLA03G0368200.1 pep chromosome:AGI1.1:3:31524234:31527548:1 gene:ORGLA03G0368200 transcript:ORGLA03G0368200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSASMVGTQWSNVSSTYCKDMASSVYRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAEIIGSPDSSDWPVIDPLPSYGRGRELPGKRHQSLIFGTNLTDVIITGANGTIDGQGAIWWDWFHSNTLNYTRPHLVELMYSTDVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCIDHCYVRNGDDVIVIKSGWDEYGISFARPSTNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRIVNSMHGIRIKTAPGRGGYVKNVYISDVSMDNVSMAIRITGNFGEHPDDKYDRNALPMISNITIENVVGVNVGVAGILEGIEGDNFSSICLSNVSLSVQSMHPWNCSLIEGYSNSVIPESCEQLRTDCGQTPICYDGGSSSAIHAQAARHRLSSASRLLNPLLKLAML >ORGLA03G0368100.1 pep chromosome:AGI1.1:3:31515993:31518312:-1 gene:ORGLA03G0368100 transcript:ORGLA03G0368100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA cyclase family protein [Source:Projected from Arabidopsis thaliana (AT5G22100) TAIR;Acc:AT5G22100] MGRDKARRLSGSRHFRQRVVLATLTSTAITIDDIRSGGAAPGLRPHEVSLLHLLHKISDHHSLDLNETGTKLRYKPGVIVGGRDLEHDCGVHRGIGYFLEPLILLGLFARAPISIRLKGITNDTKDPSVDTFRMVTLHMLKQFGVPLEGLELKIESRGAPPLGGGEVFLRVPNINSTLKAANWADEGMVKRIRGVSFSTRVSPQIENRIIYAARGIFNRFIPDVHIFTDHRSGSAGGRSAGYGVSVVAETTTGCLLSADATVSYPNVDEMNEESENLELTSPEDLGVQVASMLLEEVAQGGVVDSAHQGLLFILCALSPPDVSKVRVGQLTPYAIETLRNIRDFLDVKFIIKPDPNSNTVTLKCVGAGVKNLARKTS >ORGLA03G0368000.1 pep chromosome:AGI1.1:3:31512619:31515063:1 gene:ORGLA03G0368000 transcript:ORGLA03G0368000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSWSVAFTIFFSCILLLETISSSGQYPIFCFYFVSVASLDCSYGSAQVKILSSSVVSEQQNVYLFLKPFQSTRSCSARRLAGEFVNGVVVPNLRLNVTGVVVTANERQLGALRCTLESVQAELAVAGLGRSVKVSPELSLPSLRAMAKCRRRGEKHWRRVMEFVRRSGSFVVVEMGAEEKADLAVADVAAAFEEGVGVAFRISGRAARSAAEMARLIGDADKGRRWTGVLAEVASPSPRRELAAAARTTARDVFAPVTNPTTTPATNPVTVPATNPAMNPVTPGIVTVPSTNPATGYSNNPNLPPLYPEPTPVTMPDPTTTTTPTPFMNPVTAPTMPSPVTNPATTPAVTNPTTMPYPYPPQQGGVMPTTPTYQPPATMPAAGGQTWCVAKAGLMDAALQSGLDYACGMGGADCTAIQPMGACYNPNTLQAHASYAFNSYFQRNPSPASCDFGGAGMLVNINPSSGTCLFQASSAGYGAGYSPGVTGTVPVGGGAGAGAGVGVGVTPMGPAVGGTGGAGVTPMGPAVGGGSGSTVLNANSPGGNSMYGSDSNPTSLTGAAAAALSSGWVLCLVWIFTFAYVKEKV >ORGLA03G0367900.1 pep chromosome:AGI1.1:3:31504632:31506561:1 gene:ORGLA03G0367900 transcript:ORGLA03G0367900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLADASSFQAKPFELASEFESPAYVHDCTVYVHLGKRMPGCRPIARLFLWEKLAVAYAYARLVWIGPHVTHMHDLRSRNAQGDRSIELASAP >ORGLA03G0367800.1 pep chromosome:AGI1.1:3:31494414:31501115:-1 gene:ORGLA03G0367800 transcript:ORGLA03G0367800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARVGAQSRHLYGGGLGEPDMDRRDKRLFGWDLNDWRWDSDRFVATPVPAAEASGLALNSSPSSSEEAGAASVRNVNARGDSDKRKRVVVIDDDDVEDDELVENGGGSLSLRIGVDAVAHGAGVGGGADEEDRNGKKIRVQGGSPSGPACQVEGCTADLTGVRDYHRRHKVCEMHAKATTAVVGNTVQRFCQQCSRFHPLQEFDEGKRSCRRRLAGHNRRRRKTRPEVAVGGSAFTEDKVSSYLLLGLLGVCANLNADNAEHLRGQELISGLLRNLGAVAKSLDPKELCKLLEACQSMQDGSNAGTSETANALVNTAVAEAAGPSNSKMPFVNGDQCGLASSSVVPVQSKSPTVATPDPPACKFKDFDLNDTYGGMEGFEDGYEGSPTPAFKTTDSPNCPSWMHQDSTQSPPQTSGNSDSTSAQSLSSSNGDAQCRTDKIVFKLFEKVPSDLPPVLRSQILGWLSSSPTDIESYIRPGCIILTVYLRLVESAWKELSDNMSSYLDKLLNSSTGNFWASGLVFVMVRHQIAFMHNGQLMLDRPLANSAHHYCKILCVRPIAAPFSTKVNFRVEGLNLVSDSSRLICSFEGSCIFQEDTDNIVDDVEHDDIEYLNFCCPLPSSRGRGFVEVEDGGFSNGFFPFIIAEQDICSEVCELESIFESSSHEQADDDNARNQALEFLNELGWLLHRANIISKQDKVPLASFNIWRFRNLGIFAMEREWCAVTKLLLDFLFIGLVDIGSQSPEEVVLSENLLHAAVRMKSAQMVRFLLGYKPNESLKGTAETFLFRPDAQGPSKFTPLHIAAATDDAEDVLDALTNDPGLVGINTWRNARDDAGFTPEDYARQRGNDAYLNMVEKKINKHLGKGHVVLGVPSSIHPVISDGVKPGEVSLEIGMTVPPPAPRCNACSRQALMYPNSTARTFLYRPAMLTVMGIAVICVCVGLLLHTCPKVYAAPTFRWELLERGPM >ORGLA03G0367700.1 pep chromosome:AGI1.1:3:31490556:31493434:1 gene:ORGLA03G0367700 transcript:ORGLA03G0367700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT4G01880) TAIR;Acc:AT4G01880] MGRAPAKRKPSSPPPPPPPGRCHFWLPNKRRHCANTPLPTSQYCGNHLPDSASDAGAPFRRLVPCPVDPSHTVLEENLEAHVGKCPLKKQAAALAAQPFYSKGINSGGGEGGGGVTSAAKRALVHKLTKDELRALIEKIKLAHALAAMAMRDSFLVTDACDNWMRNQVDRKVPYQEKHVTQQASIIGNMEAFGLLQKGGEVAEENGVKNAPAVVEFGAGRGYLTQMLADCYGIRNVFLVERRSYKLKADRSLRQNEGVTLKRLRIDIEDLNLQGIEALSGLHYLAIGKHLCGPATDMTMMCCLHERYNQAHEKEYGKSNLCGLALATCCHHLCQWNHYANKTFLSGLGITEEDFHTMTWFSSWAVDGDHSSPDSSLEVEDSSVEDRCGKAEKSDVEVSGIDRLIRSIPAGERAALGFMCKDIIDTGRLLWLREKGLDADLVSYVPSNISPENHLLIAKCTSLSG >ORGLA03G0367600.1 pep chromosome:AGI1.1:3:31488844:31489793:1 gene:ORGLA03G0367600 transcript:ORGLA03G0367600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKADTKQLLHCIMSKPRMDGKVAIVTGGASGIGEAAARLFASCGATVVIADVQDELGEAVAASVAGGGCRYVRCDVTDEAQVEAAVAAAVAEHGRLDVMVSNAGVLLPTGPVVDMDLAALDRVMSVNFRGAAACVKHAARAMVSRGTRGAIVCTASVASCQGGFGPAAYTASKHAVLGLVRAAAGELGRHGVRVNCVSPGGVATPLSCGLTGMSPEEMEAAAEPHNVLRGKVLKAADVAEAMLFLASDQAAFVSGHNLVVDGATTAVNYAVLQSVGL >ORGLA03G0367500.1 pep chromosome:AGI1.1:3:31478138:31482476:-1 gene:ORGLA03G0367500 transcript:ORGLA03G0367500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G49580) TAIR;Acc:AT5G49580] MARKGSQSKSVLNHASPNRQNAANCEVLNTPESDVMDGENRSSHVQGGSNVSGVNYGQKTKGIKKNNRSNGISSSGKSDDRASKKQSVDTNYDIGNSGENDNELSSSTSKARRDSKRSSRRGCGKNSSIEQTPMPVFAEKVLEKTRCIACMAASIFRASVMYIMEESKLLLERNRPAITTFMAIVHKGHDYVRSKIKYTYPICRAWMFSAGKLILLLLAVWFNCNIRGFDSLLRLGTNSLLTVLWCSTLSVFAMIGLKKMLILMVIAAAVVAFVGLGFAVLVIAVAAVVILWLYGSFWTTSGIIILGGASFFLKHERFALLVTCLYSMYCAKSYVGWLGLLLSLNLSFISSDVLVQLLKNNVDNNKSAGSSRNSEQNSGKSGFFGEFRQSSADNTSQSEYAQPSDRGPGDPSTSGAEKELTSEDEVSRLLNCTDHYSALGFHRYENIDVSLLKREYKKKAMLVHPDKNMGNDKAADAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRFQSASQKKGGSGIFRQGFSPSEGVDEGPYGLSRRIACKKCGDFHLWIYTGRAKSQARWCQDCNDFHQAKDGDGWVEQSFQPVLFGLLHKPELPHAYVCAESIIFDVTEWFTCQGMRCPSNTHKPSFHVNASLLKQNSGKGSTSAQRGGGIPNGVNMDGGIDEEEFFEWLQNAVQSGMFESFGAQNEPPSPGSGSNAKGSNSSSKKKKKGKKQW >ORGLA03G0367400.1 pep chromosome:AGI1.1:3:31472297:31477551:1 gene:ORGLA03G0367400 transcript:ORGLA03G0367400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKAFTKSLLFHSNKIVRRLKSFVLHAHPPPPPHVVRRPPSSDELPAAADVTVCKVEGGLLMSPSTFPYFMLVALEAGGLFRGLLLLLLYPVLLLLGHDRATKLMVMVSFAGVRKEKDGSPSFRVGRAVMPKLFLEDVSAEVFDAAARRRRRLVCVSSMPREMVEPFLKEYLAVDAVVAPELRAFRGYYLGLAESDGEVMQRLDMEEVIGMKERGGAGDGDGDGQVTVVGIAGLGNSFHQLFQNYCKEVYVASEWARRRWRPLHPRRYAKPLIFHDGRVAFRPTTSATLAMFVWLPLAVPLALLRVALIVVVLPFSLAAPIAAALGIHCRCIAASTLRAAAALDLFVCNHRSLLDPLYVSAVAGRADLAAATYSISRLSEILAPIRTFRLTRDRAADRAAMQAHLSRSRRGGGGGGGGLVVCPEGTTCREPFLLRFSPLFTELGADVQPVALHSEVAMFHGTTAGGWKMLDPFFLLMNPSPAYVVHFLDPVAGGGGGGGGGPEVANEVQRRIAETLGYTCTALTRRDKYLVLAGNDGVVANNNKSN >ORGLA03G0367300.1 pep chromosome:AGI1.1:3:31461747:31466869:1 gene:ORGLA03G0367300 transcript:ORGLA03G0367300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate/galactokinase family protein [Source:Projected from Arabidopsis thaliana (AT3G06580) TAIR;Acc:AT3G06580] MAARVPGGGGAAAAAEAEVVPTFSSLEPVYGDGSPLDEARLRLARLADKFHAVYAARPALFARSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRRAEGKEVRVANVDDKYPICVYPADPDKEIDIKNHKWGHYFMCGYKGVYEYCRSKGIDMGGPVGLDVVVDGTVPTGSGLSSSAAFVCSATIAIMGVLEKNFPKKEVAQFTCQSERHIGTQSGGMDQAISIMAKPGFAELIDFNPIHATDVQLPPGGTFVIAHCLAESKKAETAATNYNNRVVECRLAAIVLAIKLGMETKKAVSSVTTLSDVEGLCVSFAGKDGSSDPGVAVKKLLHEESYTTEEIEKITGQSLTSVFQSSQTSLDVLRAAKHFKLFQRAFHVYSEARRVYAFRDTVLSKLSAEDMLQKLGDLMNESHYSCSVLYECSCPELEELVKVCRDNGALGARLTGAGWGGCAVALVKEGIVPQFILNLKETYYKSRIDRGVINQKDLGLYVFASKPSSGAAIFKL >ORGLA03G0367200.1 pep chromosome:AGI1.1:3:31452576:31459389:1 gene:ORGLA03G0367200 transcript:ORGLA03G0367200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G17030) TAIR;Acc:AT3G17030] MDSAAASADGGAFLEFVDYAISMLSSSSSGGNGDESPGAGPAPARPPWGWAVAQVLKSCCAYSSGVTAAILLSDLFQSWTEQRKSLTAKRKVELTNLLKTRNRRRRLPNTITIDSIHEKNFLSPKSVLEAVVIDVFVIPGTNIYMLTLGDMWSTSTIDLYLHRRYYNYIGQHDVLKKGREVMLTGCCLRTAMEGSGHARILPTEYMVMLLDEEEDEDAMLLAAQFCTYSFSSMMLDENRNNISYSFYARIEKIESLEPFGSTERKQIVLVDNDDAKIKFVLWGEQVLLANLLSVGSMLALDRPFIANYVHNNHEESQELCLEYGSATQVYLVPIAQQEEQVLLTPTQIRSQGSRLSCVPSDHMTSQVTLPRDLHGSVDFSKYPFRVYVSDLHDKMVGVSLFGMVTSVCKASTSGTYFYLEIEDATGVVLMKLNFIGLWSLGRVGVGHMVYMSGLTCTLSSTNKLEVAWTEKEPGSLFVNISLLPALLNSTCLHNLSLLSDLPHSTNRTHICHVRLDHIDVNSLKVLLFHNLCGCVVSDQSGGLQCSFCKCTCHISGCTHGFQLHLTIADDSEKVFAWCIGQTAVEFLQISPDEYMELPEDERAMYLYTLQNENFTVTIANTSQRIEAYIEGEKFLPVWEITRAQKCE >ORGLA03G0367100.1 pep chromosome:AGI1.1:3:31448097:31450807:1 gene:ORGLA03G0367100 transcript:ORGLA03G0367100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALLRLLSACGGVWPTSPAPPARSSSSSSAAAAADQAAAEGRDGLLWWRDLARCHAGELSVAVVQGNHVLEDQCRVESGPPPLAATCIGVFDGHAGPDAARFACDHLLPNLREAASGPEGVTADAIRDAFLATEEGFLAVVSRMWEAQPDMATVGTCCLVGVVHQRTLFVANLGDSRAVLGKKVGRAGQITAEQLSSEHNANEEDVRQELMAQHPDDPQIVALKHGVWRVKGIIQVSRSLGDAYLKHSQYNTEQIKPKFRLPEPFSRPILSANPSIIARCLQPSDCFIIFASDGLWEHLSNQQAVEIVHNHQRAGSARRLIKAALHEAARKREMRYSDLMKIDKKVRRHFHDDITVIVLFINYDQLAKGHSQGQSLSIRCALDH >ORGLA03G0367000.1 pep chromosome:AGI1.1:3:31443885:31445938:-1 gene:ORGLA03G0367000 transcript:ORGLA03G0367000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding enhancer protein-related [Source:Projected from Arabidopsis thaliana (AT3G06610) TAIR;Acc:AT3G06610] XXXXXXXXXXXXXXXXXXXXXXXXXVDSKDLQQQSKALDKLTDRVEDRQLDSSRVQSAMAALASSKEADWNAMRLREKELAAVKINPADVEIIANELELDKKIAERTLREHKGDAVAAVRFLLR >ORGLA03G0366900.1 pep chromosome:AGI1.1:3:31439154:31442417:-1 gene:ORGLA03G0366900 transcript:ORGLA03G0366900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRRSAAAVAAVVALASVAAVAGEVFFQEKFDDGWEDRWVKSEWKKDDNTAGEWNHTSGKWYGDADDKGIQTSEDYRFYAISAKYPEFSSKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGETPYSIMFGPDICGYATKKVHAILTKNGKNHLIKKDVPCETDQLTHVYTLIIRPDAKYSILIDNTEKQTGSIYDDWNIIPPKNKRDPEAKKPEDWDDNEYIPDPEDKKPEGYDDIPKEITDPEATKPEDWDDEEDGEWTAPTIPNPEYKGPWNQKKLKNPNYKGKWKAPLIPNPDYKDDPYIYAFDSLNHIGIELWQVKSGTLFDNILITDDPEYAKKFAEETWAKHKDAEKAAFDEAEKKRLEEESANSKIDDSDDDASDDEDEADDDKADVVAEQTKDKGDEKPQDIKVSADEKPKSSKDDSSAAKKDEL >ORGLA03G0366800.1 pep chromosome:AGI1.1:3:31437492:31438550:1 gene:ORGLA03G0366800 transcript:ORGLA03G0366800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRQGLGVLPQRRPAHRRLPPELPCPDQPRRPALRRHLLLPRRRRVLRPTRSARRRLAPAPGTGDGDGRVWYVFTPVRVLGSRGARKARTVGGGGECWHAEGGPKDVKGSAAGGKLQKFSYKIKTASGAVVKPGWLMVEFSFPGSDHLALCKVYRSPRTSRYGAPSPPSSAASSPSRAAPPPVSSTSGRKRKAEESDHPEAPASSAPRRTLPAPEQHVDVDAAAASEPDQGGYLSTDQLDSVAAFVQEHEGDEEFYKSLGFDERSDPQCWTNFFLSALEEFGPAPETDAAAVAVAAVEPGPCPEYEEHDDTATTAASSHAYDSATAELVNLSDKEFYDIIFSGDQQGGAAVAG >ORGLA03G0366700.1 pep chromosome:AGI1.1:3:31433902:31435988:1 gene:ORGLA03G0366700 transcript:ORGLA03G0366700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05760) TAIR;Acc:AT3G05760] MSSNPVGVDNTFRRKFDKEEYLERARQREREEKEEARKGKEKGPPVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCKVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFESLKKRKDPGSFSEQDLDERILKQQQEDEERKRQRKEKKKEKKKELAAQNEPEEDIDPDVAAMMGFGGFRSSKK >ORGLA03G0366600.1 pep chromosome:AGI1.1:3:31431809:31432714:1 gene:ORGLA03G0366600 transcript:ORGLA03G0366600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKIELDHKDMVHDSAIDYYGKRLATASSDSTVQISSIGGASAPSQLLATLSGHYGPVWRVAWAHPKFGSILASCGYDGRVVVWKEGAAGQWSQAHVFDNHKSSLNSIAWAPYELGLCLACGSADGSISVMTMRPDGGWDSTTIEQAHPVGVMAVSWAPATALGSIVGSGELVQKLVSGGFDCVVKVWAFVNGSWKLDSVLPSDMHTDCVRDVSWAPVLGLAKFTIASASEDGKVVIWTKGKEGDKWEGKVMHDFEAPAWRVSWSLTGNILSVAAGSGDITLWKEASDGQWEKVTKVEP >ORGLA03G0366500.1 pep chromosome:AGI1.1:3:31424255:31428289:1 gene:ORGLA03G0366500 transcript:ORGLA03G0366500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKPTSKGHGFFSLFDWGKKSKKRLVSGNGSDSPAPRVSENFRESDGGTPSTRPNSFLEDAPSLKESSEHSCSSSVVDDEALARRGPTVVARLMGLDSMPTASSSGSYTMPLTVQQSPQNSTIHDEFIGRSYVGSPHKMPGSPHKMPGSPIDRFRMEALPPRFAKRTLSVAQNKLSPMKNPNHISSRNAADIMEAASRIIGTGVEVISPYRVRDVGYANTVRVYSQREIAIVQQRPPRMNEALKKRDGLTSYRLPTGKPLDGSLKSSGNTSASVVSQSNGGAPVGPKVKASSRSSPDSRATNVQGREDISKISRKLATRDPERRMVERNGINQGKNNNQVGMASSSNVLVQNNRKQNAMVKHKVNSKPPTPNRQRSNTQSINGTMRKVGTAGTPSENNTQGNRNVELRSTGHANRRQNSTAKSIPKPGRLPDGRIHSVKTRPSDKDIADRSQRRVRHNIVIDEQSPFSMNKKKISTDIVSFTFTAPVDKPLSGYRLPNHLVEKQFMKNASSVPNSSETSSAKFDSIDGDYLGLLLEQKLRELTSGVRSPYCKPAKDVRIYAPSSVLEDSQSACETSSIASTDYDRESVQSYKDGKGSFTQTDLASKSGQSSQSVKYDNDAMDQMEIERLHLSPLSTWDASVSTETGSSTESWRSANGTKVFSSTEGATTSDSACFSKFLEADAFSEYSDTASSITVTTTDIPPSDSSSSSRMDCRQEIDFIREILNTSPLNGQICSGLERFINSDILDLQLLEDLNGDIRLAVGVAEGKTLRMNRRLLFECVNEILSVRCAYYFNAGYGSWFLGMAILKKLTAEEIYAEMTDLKVAEEWMVDELVYKEMSSPLGSWVDFKLESYESGIDITTELLGSLIDEMVADLLLVSDTSL >ORGLA03G0366400.1 pep chromosome:AGI1.1:3:31418351:31419520:-1 gene:ORGLA03G0366400 transcript:ORGLA03G0366400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKELDYFKEYAARLQSFXGDDDAAAAATLSEALYIVSMGTNDFLKNYYAMARAQAAEYSTAVDFNGLPPMGCLPLERATRSGDACTDEKNTVVERFNAGLQDMIARLNDELGDGEMIVYGDVYRPVAAGGVRGGERRQQHHAHAGQPATAHLCHLLRHVRPGRMRSPFLRRARGRKAVLENGHRRPRQGGSGGGNMRRRRRQARQATRRGQRQPAVGPXGREWRRPRLRYPHLCRDRIATXAPRQMPRRCHVSQNHHQTAEGPHLHRFXXL >ORGLA03G0366300.1 pep chromosome:AGI1.1:3:31415484:31417979:-1 gene:ORGLA03G0366300 transcript:ORGLA03G0366300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADRLLHLLRAAPASPAPAAVPSSRTRATFYHQPRSLRLAAGASGMRRVAVACSSAGAGGSGVEGDEEGMTYKGAGVDIDAGTELVRRIGRLAPAIGGFGGLFPFGDQFLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYYATSKLDVDLAEKVIKGIVDGCQQSDCALLGGETAEMPDFYKEGEYDLSGFAVGAVKKDKVIDGKNIVEGDIIIGLPSSGVHSNGFSLARRVLEKSGLSLNDQLPRNDGMTTTVGEALMAPTVIYVKQVLEIISKGGVKGIAHITGGGFTDNIPRVFPSGLGAKIFTAAWEVPPVFRWIQEVGKIEDAEMRRTFNMGIGMVLVVSKEAADGILEGTHGPNHAYRIGEVISGEGVHYV >ORGLA03G0366200.1 pep chromosome:AGI1.1:3:31414638:31414862:1 gene:ORGLA03G0366200 transcript:ORGLA03G0366200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLEEFRGGSAPPRDGDERTVASSSSTPWWSGDPEAKRRRRVAAYKAYAVEARVKASLRRGFRWIKDRFVRRW >ORGLA03G0366100.1 pep chromosome:AGI1.1:3:31409690:31413594:1 gene:ORGLA03G0366100 transcript:ORGLA03G0366100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSGGATSSSSRNSVERFYLPPHSRRQQQQQQQQRLRSPTSPSLSPSPSPRSGRHKAAAAAPPAVAAAAAVGAGVLTDGDSRVDSDDSSSTSSKPSVASTATATTTAADVNVTAVEESGNLERFLTSTTPSVPFQYLPKTSLKMWRTGDCTNTSPYFCLEDLWESFREWSAYGAGVPLLLNGSDSVTQYYVPYLSAIQLYADPSRSVSRTRRLGDESDGEYLDASSESSSETDVDRLRVSSVEATHGMANGSLRTDDADGYASASSPIFQYMERDPPFCREPLTDKVSILASRFPALKAFKSCDLLPSSWMSVAWYPIYRIPTGPTLEDLDACFLTFHCLATPSKDSDSTTPACPGFGGISPCANATGKLSLPAFGLASYKLRSSIWASDGTQGQRVTSLMEEAGNWLSCVQVEHPDFRFFVSRSGTWR >ORGLA03G0366000.1 pep chromosome:AGI1.1:3:31404751:31405338:1 gene:ORGLA03G0366000 transcript:ORGLA03G0366000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWLGGFLTLDLWVAGEWVDRSGRAHGLRRAQGVHRLPHHQDSALARRPLRPQGEMRSDLLLLLFPFSICAFFSPGFSVADPPDLCFFFFCFDPVAVQRVRDPVPEEETGGAGARRRRGRRGAAGEEEEQEGERGGGDHGAPHGGVREGGGPEAAAADAAEETPRRGGEGGHPPHGPLLRRHLRLNHHPTYLPT >ORGLA03G0365900.1 pep chromosome:AGI1.1:3:31397698:31402830:-1 gene:ORGLA03G0365900 transcript:ORGLA03G0365900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pumilio 24 [Source:Projected from Arabidopsis thaliana (AT3G16810) TAIR;Acc:AT3G16810] MAGGGDLQSPKKRKRDAARKPKTLAKGGDDGKKWKRPSDANMAQGGGGGEVKVKKEPVTAKEKRLAAKEMAESRKKKRKRNYSLEKELTVLWEKMRCHNVSSTERSKLVSEALRKMDGKYSEIAGSHVTARVLQTCVKLCSQSERDAIFEALQPDLLTLSLKKYAVFLVKKLIKRATKKQFEWFISSLHGRVAKLLRHTIGASVVDFAYQLAMPPQKRRLLLELYSTELQLFTDLAGQKTHSLLETISNLGLQKSSVLQHMTTMIYPILEKGIVEYPIVHTAVLEYFTIADKTSATDVIRQFIPLLTQGSSAIDGDEPSVAPELQKKLKAKKKRLTEPLLVRIMNTREGLKIGVSCLKHGSAKDRKKIIKSLKGHIMKLALSDYGCILLVAILSIVDDTKLVTKIVIQELAKHLKQLIFDKNGRRPLLQLLHPNCSRYLPPADLACLNYRVPSLISKDEASESAAEDIPENKVDVATNKEQDGSEGMQSASDSKKDPFQRRHELLIKSELAEVLIQTLIENVGELLRTNFGKDVLHEVAVGGEDNILEGITDRIYSLHNAIASDAARPKTEDTEHAFDNYHSSRLIRRLILESPAFAAILWKKALEGKCKTWADGHSSKVVAAFLESPDSKVRDLAKAELQPLVDRGILKIPDYKAVEK >ORGLA03G0365800.1 pep chromosome:AGI1.1:3:31395393:31396678:1 gene:ORGLA03G0365800 transcript:ORGLA03G0365800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADGGGGSKAQAVREVCAASAAFSACPHRRRQRSPPFVDWYLVLAVADAASEDAVRRRYRQLALQLHPDKNTHAKAEVAFKIVSEAHACLTDGARRRAFDDERAASYCAACHDRFRHRAERRTPPATTATTTSTGGARHGKYRGGGGGGGGRRTPVAAQALREVQNRLRDECRVIDSCLKANGSGGGGGGARRRQSFPLFDPSDRLRFPGYPHTRPPPFAAEFCRFEENLAADRNQRWCGTGAGESPVYQIRTAPERAARTKRHW >ORGLA03G0365700.1 pep chromosome:AGI1.1:3:31386132:31390547:-1 gene:ORGLA03G0365700 transcript:ORGLA03G0365700.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPSGTALLAYILLACCRPQPAPEAGEEEEEESRLLSSGAEARGREAGDGGEEEEEWPYRPPSTCCEAAAVAARTARRTWDLTVGRWGLHGIAFGIKRHMKRQGDLQHEYSGNDCLQLKGHDAHTEVAYLLEHLKICMFYSKKTFSAFLQFGGYNQEDILIHKARARLMQPSFALVRDKKSKCFLLFIRGAISTKERLTAATAAEVPFHHIVLSEGQISNVVLGYAHCGMLAAARWIANLAKPHLHKAVQEFPDYQIKVIGHSMGAGIGAILTYILHEHHEFSSCTCLAFAPPACMSWELAESGKEFVTSLINRNDVVPAFSKVSAENLRAEVMVSSKLDDEQDQAHFSLFTAISKRVAFIKSHMLSVSHPTEKNTDPDSSISEPLLKHVPEITQPVTNGLSTDCNQHQTDLVANTEQDFSAVSVVTSEEKIVLSSNDNVISTKSVAGSGFAAQGDVNINGSLDTEQEQSSLTGQEEPESLKQNCDIKDKLKEPLPTCSSRQFFPPGRIIHMVAIASPDPNPGEGSSSNEIISIYETPRDLYGKIRLAPNMIKEHYMPSYISTMESLLEQLLKDDNVDTITNDL >ORGLA03G0365600.1 pep chromosome:AGI1.1:3:31385287:31385832:-1 gene:ORGLA03G0365600 transcript:ORGLA03G0365600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLRLVVVAAAVAISLRGAAAAAVTVEDACRHTRHEAYCVKALSARPESRAAALDMPALAEAALSMAAESGAAATSFVRNLAKMPGGMPPECLEGCVAKFQEAVAELRRSEAAMEVRHDAAGAKAWVTEARADGETCMDECRMTEGGAAPEIADRIDELAKLCSIALALTDVSMSKHP >ORGLA03G0365500.1 pep chromosome:AGI1.1:3:31381185:31381790:-1 gene:ORGLA03G0365500 transcript:ORGLA03G0365500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRGSVRLLLLILLLRPAAAGSPLMMTCAKTPHPDVCITVLGAIPECRNTGDPRVLAENAVRSAATIGAAAGTFARAELDIVKDTDMWQCLDECAQDIEEAVSHLDDTEGGVVDLDAKFKDVRLFMDVAERDTWSCEESCRDAPDSTVKATLLDKNEAFEKFMRVTGALIEMVIGTAGEPAPEPSADEHSDELIPDVQL >ORGLA03G0365400.1 pep chromosome:AGI1.1:3:31373470:31374129:-1 gene:ORGLA03G0365400 transcript:ORGLA03G0365400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSAAAWSTGLLDCFDDCGLCCMTCWCPCITFGRVAEMVDRGSTSCGTSGALYALLATVTGCQFVYSCVYRGKMRAQYGLGDDAACADCCVHFWCNKCALCQEYRELVARGYDPKLGWDLNVQRGAAAAAAPAVQHMGR >ORGLA03G0365300.1 pep chromosome:AGI1.1:3:31363690:31364277:-1 gene:ORGLA03G0365300 transcript:ORGLA03G0365300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSAGAVTGVPIGSAAWSTGLCDCFDDCGLCCTTCWCPCITFGRVAEMVDRGTTSCGTGGALYALLCAFTGCQWIYSCVYRGKMRAQHGLADAACGDCCVHCCCESCALCQEYRELVARGYDPKLGWHLNVERGAAAAAAAAAAAPAVQHMGR >ORGLA03G0365200.1 pep chromosome:AGI1.1:3:31360404:31361082:-1 gene:ORGLA03G0365200 transcript:ORGLA03G0365200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSAAPVTGVPVGSAAWSTGLCDCFDDCGLCCLTCWCPCITFGRVAEMVDRGSTSCGTGGALYGLLCAFTGCQWIYSCTYRGKMRTQYGLAEAGCADCCVHFCCEPCALCQEYRELVARGYDPKLGWHLNADRAAAAGAAPAVQYMGR >ORGLA03G0365100.1 pep chromosome:AGI1.1:3:31354460:31355427:-1 gene:ORGLA03G0365100 transcript:ORGLA03G0365100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQHNDWSSGLFACFNDCEVCCLTTVCPCITFGRSAEIVSRGERTCCAAGVLCVLLGFFAHCHCLYSCCYRGKMRDSFHLPEDPCCDCCVHALCLQCALCQEYRHLKSLGYKPSLGWLGNNQHVPPKHNPPMRR >ORGLA03G0365000.1 pep chromosome:AGI1.1:3:31344960:31345631:-1 gene:ORGLA03G0365000 transcript:ORGLA03G0365000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSLLSMAMFVVVLMCASAMAAQARLPPGSSPLVAACTAGPFSKLCVKDLGHRLLDIQTVLTSVSNHGAAIAGAPGQVDFRSLVAVAMEAATESGAVAATVFEGKLPGFNKSVPDFKACLDNCSVTVSSAMKEIHGAAAALKAGDDEVAKTLVLRAINDVTMCTYSCRELNGDMAVILEHSLVQFQKMMRIAVNFISKMKKSPLPPPPRSTPPAPPTPHRLH >ORGLA03G0364900.1 pep chromosome:AGI1.1:3:31339293:31342473:-1 gene:ORGLA03G0364900 transcript:ORGLA03G0364900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAAAAAIRHRTVEANGISIHVAEAGGECGAAAAVLFLHGFPELWYSWRHQMEHLAGRGFRCLAPDLRGYGDTDAPPEIESYSAFHVVGDLVALLDALGLAKVFVVGHDWGAIIAWYMCLFRPDRVTALVNTSVAFMRHVFIRSGADAIKTTDHFHKAYGPTYYICRFQEPGVAEEEFAPAHARHIIRRTLCNRFTVHKAGKPESEESPPPPPLPLPAWLTEEDVDYFAAAFERTGFTGGINYYRNMDRNWEMAAPWADAKVQVPTKFIVGDDDLTYHYAGIQDYLHKGGLKAEVPLLEDVVVIPGAGHFIQQERAEEVSDLIYNFITKFIPQPN >ORGLA03G0364800.1 pep chromosome:AGI1.1:3:31334583:31337177:-1 gene:ORGLA03G0364800 transcript:ORGLA03G0364800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVRHRTVEANGISMHVAEAGPGGGTAPAVLFVHGFPELWYSWRHQMGHLAARGYRCVAPDLRGYGGTTAPPEHTSYTIFHLVGDLVALLDALELPQVFVVGHDWGAIVSWNLCLLRPDRVRALVNLSVAFMPRRPAEKPLDYFRGAYGDDYYVCRFQEPGVEKELASLDLKRFFKLALIVQTTGSSAMSIKKMRANNREVTLPPWLSEEDISYVASVYAKTGFAGGINYYRCFDLNWELMAPWTGAKVLVPTKFIVGDGDLAYHLPGVKSYIHKGRLKKDVPMLEEVVVIKGAGHFIQQERAQEISDHIYNYIKKFNTGVSSPKSSRL >ORGLA03G0364700.1 pep chromosome:AGI1.1:3:31331491:31333992:-1 gene:ORGLA03G0364700 transcript:ORGLA03G0364700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQRLLAASTKIVGVGRNFVAHAKELGNPVPKEPVLFLKPTSSFLHAGVAGAAIEVPEPVESLHHEVELAVVISQRARDVPEASAMDFVGGYALALDMTARELQSAAKSAGLPWTLGKAQDTFTPISAVIPKSDVANPDDLELWLKVDDELRQKGSTSDMIFKIPSLISYISSIMTLMEGDVILTGTPEGVGPVRPGQKIKAGITGLIDVEFDVQKRKRSFST >ORGLA03G0364600.1 pep chromosome:AGI1.1:3:31324532:31326925:1 gene:ORGLA03G0364600 transcript:ORGLA03G0364600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PH00] MFPHILFLSLLLLVPEDPNFAAGASANESFITLGSSINTSSTQYWSSSSGRFAFGFYPNGEGFSIGVWLVIGVSRTIVWTANRDEPPIAGGSIIFGHGGALQWSRTPSTPGSQLNPISDSSTPAASAAMLNTGNFVLYDMSRQVIWSTFSFPTDTLLAGQNLRPGRFLLSSVSQSNPASGKYRLENQQDGNLVMYPVGTIDSGSAYWSTWTFNMGLLLTLSLDPNGTIWMFDRKNSYTKILFHANQPSNASPDMEIYYHLTFDPDGILRLYSHVFFKLGRAPTTEVEWLEPGSDRCLVKGVCGPNSFCHLTVTGETSCSCLPGFEFLSTNQSTLGCWRALPTGGCVRNSSNDETRVTTTMVEVKNTTWLENPYAVLPATTSIEACKLLCLSDCGCDIAMFSDSYCSKQMLPIRYGRMPGNTTLFVKIYTYQTISGTRQRGMSIHANSALISGVSLAIFSLLVLLVASLLLICRHRRSLAHMTMTAPRQEDSRIDGNIVGLRSYSFQELDVATNGFGEELGKGAYGTVFKGVVADTNQDIAVKRLEKMAEDGQREFNREVRVIARTHHRNLLRLLGFCNEGIHRLLVYEYMPNGSLANLLFHSDASPAWSKRVAIALDVARGLQYLHSEIEGPIIHCDIKPENILIDSLGIAKIADFGLAKLLIGNQTKTFTGIRGTRGYLAPEWSKNRAITMKADMYSYGIMLLEVISCKKSMDLKRAGEEYNISEWAYECVMFGDAGKVADGVDEAELVRMVNVGIWCTQSEPVMRPAMKSVALMIEGAIEVHQPPPPASYSQSLT >ORGLA03G0364500.1 pep chromosome:AGI1.1:3:31317206:31322718:1 gene:ORGLA03G0364500 transcript:ORGLA03G0364500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATSEGAWQGDNPLRFSLPLLIVQICLVVVFTRGLAYALRPLRQPRVIAEIIGGILLGPSALGRSKRFLDNVFPKDSLTVLDTLANVGLLFFLFLVGLELDPASLRRTGRTALSVAAAGISLPFALGVGASLVLRAAIAPDAPRGPLIVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDITAWVLLALAIALSGSGSPLVSIYVLLCGVAFVGFATVAVRPVLVFMARRSPEGEPVKESFVCAILVIVLAAGFATDAIGIHALFGAFVIGVLVPKEGACAGALTEKVEDLVSSLFLPLYFVSSGLKTDVTTISGAKSWGLLVLVMTTACAGKIGGTVAASLLMRVPLREALALGMLMNTKGLVELIVLNIGRDRKVLNEEAFAILVLMALVTTFMTTPAVTAVYKPARRQASYKHRTVERADADSELRVLACFHASRGIPTLINLVEASRGTRRSKLTMYAMHLVELSERSSAISMVQRARRNGLPFASRRGHEGGGGGEVVVAFEAFQRLTAVTVKPMTAISDLDTIHDDIVASALDKRAAIILLPFHKMLCHDGTLEPVDRAFHQVNVRVLRDAPCSVAVLVDRSLGGAAQVSAPDVSYSVLLLFFGGADDREALAYASRMGEHPGIALTVARFTAAADDAAEDDDAIQKHISNVRKAGNDGAFKYDEVSAHGRQEVAFAIKTLGRGKNLVVTGRSAAVATPLVDKTDCPELGHVGSYLATPEFSTTSSVLVVQKYDSRGDTGTSSSSHAGGEATVEESGVPIRRP >ORGLA03G0364400.1 pep chromosome:AGI1.1:3:31313282:31314871:1 gene:ORGLA03G0364400 transcript:ORGLA03G0364400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRVFTSSSCTLVHSPPWRATVAPGDGMVAVDGTQFVVDCGRTIFFSGFNAYWLMMMAADPALRGAVATAFQQASAHGLNLARTWAFSDGGDQPLQSSPGVYNETMFQGLDFVIAEARRHGIYLLLCLTNNFDNFGGKRQYVRWARDAGHNLTAEDDFFTNTVVKSYFKNHVKTVLTRVNTLTGVAYKDDPTIFAWELMNEPRCYADPTGAMVQAWVEEMAPYVKRVDGRHLVTPGLEGFYGDGEHESKELNPWGIYYGTNYVATHRAAGVDFATIHLYPDVWLWGSTADEQATFFRNWTLSHIDATAAYLGMPLLVTEYGKFLWKEVGANKAQRNYFLDLVLDAIYASASRGGPLVGGAFWQLLLDGDIVAGMDNLRDGYEIILAEDSRAASIIGEHSEQLAALNGQDADVLCRRASSHRKTRLGNSLSCGGGDTLELLLRMVLACFVSLSRSISSFIVQNFILL >ORGLA03G0364300.1 pep chromosome:AGI1.1:3:31308206:31309012:1 gene:ORGLA03G0364300 transcript:ORGLA03G0364300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPRCDADPTGGMVQAWVEEMAPYVKRVDGGRHLVTAGLEGFYGDGEHESKELNPWGIYYGTNYVATHRAAGVDFATIHLYPDVWLWGSTADEQAAFFRNWTRSHVHATAAFLGKPLLVTEYGKFLWKGGGANKTQRNYFLDVVLDAIYASASRGGPLVGGAFWQLLLDDDVVAGMDDLRDGYEIILAEDSRAASIIGEHSEQLASLNGQDAEALRRRRRPASSHRKTRLGSGGDSDALRLPRTLLIRFISLSRSISSFIQDNFVLF >ORGLA03G0364200.1 pep chromosome:AGI1.1:3:31300627:31302155:1 gene:ORGLA03G0364200 transcript:ORGLA03G0364200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT1G48350) TAIR;Acc:AT1G48350] MLASPALAGARAFAATVSGSLGIPIPAISAPSPSQARRRASLVVVAKVKVSTPQADRIARHVRLRKKVSGTTERPRLSVFRSNKHLYAQVIDDTKSCTLVSASTMHKSLSKDLEYSAGPTVEVAQKIGEVIAKSCLEKGITKVVFDRGGFLYHGRIKALADAARENGLDF >ORGLA03G0364100.1 pep chromosome:AGI1.1:3:31298983:31299942:1 gene:ORGLA03G0364100 transcript:ORGLA03G0364100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDEIALEPGYVFHPSDDGLITLFLRPSIAKIPFEDRLINHADVYSADPAELVGEHRPAPGTHGSSSVWYFFCSPRFTSKRKTSGRRQRAVGGGGGGESVWKSEGGKKAVIGADGRRVGYLQKFSYGVYESSSSGSARTFTRLGWCMTEYGLDDDAIDGADKQVLCKVYRSPRAVCAEARTAAAAKSADSPCSGSKRKADDGADHPEAPPSARPRQEEAGSEHGEQPAILPELDLDALLSAPMDDSLGVEFDTATTEQYMRYLMNDEPLPSAPTMEVAGGGDEFIETTNGPCMGEEEIIQRLAAGETLDDILGTNPN >ORGLA03G0364000.1 pep chromosome:AGI1.1:3:31291167:31292090:-1 gene:ORGLA03G0364000 transcript:ORGLA03G0364000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFSSCRSDRDYTKVLACHWWIQDEFLRKATHKWAFDLSDMSPRKFVIFLAGRCSNQLKXNYRVGMHR >ORGLA03G0363900.1 pep chromosome:AGI1.1:3:31281453:31287270:1 gene:ORGLA03G0363900 transcript:ORGLA03G0363900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD box RNA helicase (RH3) [Source:Projected from Arabidopsis thaliana (AT5G26742) TAIR;Acc:AT5G26742] XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNSVLSEHAFKRLQLSDDEEEEEGAYGSDEEGAYGSDEEGVEAVGGGEGDEDELAIARLGLPEQLVSTLEKRGITHLFPIQRAVLIPALDGRDLIARAKTGTGKTLAFGIPMIKQLMEEDDGRSVRRGRIPRVLVLAPTRELAKQVEKEIKESAPKLSTVCVYGGVSYNVQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVKYLVLDEADQMLAVGFEEDVETILQQLPAERQSMLFSATMPGWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLYAIPLTSTSKRTVLSDLITVYAKGGKTIVFTKTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKAGTAILMFTNSQRRTVRSLERDVGCRFDFISPPAIEDVLESSAEHVIATLRGVHTESIQYFIPAAERLQEELGPNALASALAHLSGFSQPPSSRSLISHEQGWVTLQLTRDPGYGRGFFSPRSVTGFLSDVSSAAADEVGKIFLTADEKVQGAVFDLPEEIARDLLSMELPPGNTITKVTKLPALQDDGPATDSYGRFSNSDRGFRNRRSRGGGSRGGRGGWDSDVEDRFRRGGRSFRSDNDSWSDDDFGGGRRSNRSSSFGGRGSSYGSRSSSSFGGRSSSFGSRDSSRSFSGACFNCGESGHRASDCPNK >ORGLA03G0363800.1 pep chromosome:AGI1.1:3:31274324:31277619:-1 gene:ORGLA03G0363800 transcript:ORGLA03G0363800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAAAGYTAAALVCAAAATVIALVHIYRHLLHYAEPIYQRFIVRLIFMVPVYAVMSFLSLILPDNSIYFNSIREIYDAWVIYNFFSLCLAWVGGPGAVVVSLNGRTLKPSWFLMTCCFPAIPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYADGNFSVKQSYLYITIIYTVSYSMALYALAVFYAACRDLLRPYNPVPKFIMIKSVVFLTYWQGVLVFLAAKSRFIKNAEKAADLQNFVLCVEMLIAAIGHLFAFPYNEYAGPNGRPSGDFKGSLLHALKFNDFYHDTVHQFAPTYNEYVLYNHNEGDSTQRKYPSASTVPSGRDVELSGISVMPANGAVTSSVSSNQADQEETMSTPIKDRVDNVGLYDLTDLLDVDLSNYPAKVPAISDVRKQ >ORGLA03G0363700.1 pep chromosome:AGI1.1:3:31270939:31273382:1 gene:ORGLA03G0363700 transcript:ORGLA03G0363700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain gene 4 [Source:Projected from Arabidopsis thaliana (AT5G15330) TAIR;Acc:AT5G15330] MKFGKDFRSHLEETLPAWRDKYLAYKSLKKLIKNLPPDGDPPPVAAAAEVPAGDGDGDGGIALGNWFARVLDMELQKLNDFYIEREEWYVIRLQVLKERIERVKAKKNGAFTSKSEFTEEMLEIRKAFVIIHGEMILLQTYSSLNFAGLVKILKKYDKRTGGLLSLPFTQRARHQPFFTTEPLTRLVRECEANLELLFPIEAEVLESASSSAKLQPQNDDAASHDPASSVDVETSDVYRSTLAAMKAIQGLRKASSTYNPLSLARFFHGEDGEACSGAITSESDSYSDSQIEDAEDDDKEVQSREQNTAQNAAEGQPRDE >ORGLA03G0363600.1 pep chromosome:AGI1.1:3:31253011:31253990:-1 gene:ORGLA03G0363600 transcript:ORGLA03G0363600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWNSPVLGDEKKVRLMRNRSLTREEVDAFWRRQQRKQPPSSSTSSEPNATTSPLASPRAAANVVSPLASPRAAGDISPLAASPGRAQQEMSSSRCTLALRRLERMNSMPSPLARTVMTRADDHPYQSYSHSEPPSPAAPHAGDHRHQRSSFAADHDDDDDDVASTSSECWWTRSSWAFLNETPSPEQQMFGKSQTYACVQFHVSRVVTGNA >ORGLA03G0363500.1 pep chromosome:AGI1.1:3:31247249:31247871:-1 gene:ORGLA03G0363500 transcript:ORGLA03G0363500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLLMTLIFGYMCSSCEEEQVADEGGGGGVLEAARRRDDVGLAARLPRRRRHGAVGPGEPLEGARLVASRRPYRPGDSRGGLLPPRRRRRRRRREPQQEPRLVDEEQLGVPERAAAGGDRRQGAELRAAVPRRPDRHRQRMNNATTPRPSHIA >ORGLA03G0363400.1 pep chromosome:AGI1.1:3:31242109:31244943:-1 gene:ORGLA03G0363400 transcript:ORGLA03G0363400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01470) TAIR;Acc:AT5G01470] METALFSAASLFRDADDDQDGMQVDADEQVQSVQYEERAHKFPGMELKIREFSSHQLNANMLWPGTFLFADWLVKNKSILHGRRILELGSGTGALAIFLRKAFQVDITTTDYDDGEIQENIAYNCKANDLGVLPHIRHTWGDQFPVLVPDWDIVIASDILLYVKQYPNLTRTLSFLLKEYKGCSQNAGSSASTAITNKSGTQVPVKFPIFLMSCRRRIGKDQSLFFEECEKAGLEVQHLGALVYLIYTKQ >ORGLA03G0363300.1 pep chromosome:AGI1.1:3:31237906:31240328:1 gene:ORGLA03G0363300 transcript:ORGLA03G0363300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-specific phospholipase C1 [Source:Projected from Arabidopsis thaliana (AT1G07230) TAIR;Acc:AT1G07230] MAGGGGRERRGGGRLLVGVLLLTLVVSGHCLESTHHRGLKRRRRKHEIHSPIKTVVVVVMENRSFDHILGWLSRTRPDIDGLNGTQSNRLNASDPSSPEIFVTDEAGYVDSDPGHGFEDIREQIFGSADTSAVPAPMSGFAQNARGMGLGMPQNVMSGFKPESVPVYAALADEFAVFDRWFASVPTSTQPNRLYVHSATSHGLTFNARKDLIHGFPQKTIFDSLEENGLSFGIYYQNIPATLFYQSLRRLKHLVKFHQYSLKFKLHAKWGKLPNYAVIEQRYFDCEMFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALIITYDEHGGFYDHVPTPVVGVPQPDGIVGPDPYYFKFDRLGVRVPSFLISPWIEKRTVIHEPNGPQDSSQYEHSSIPATVKKLFNLHSNFLTKRDAWAGTFENYFKIRKTPRTDCPEKLPEVTKSLRPFGPKEDSSLSEFQVELIQLASQLNGDHVLNTYPDIGRTMTVGEANRYAEDAVARFLEAGRIALRAGANESALVTMRPALTSRASPSSDLSSEL >ORGLA03G0363200.1 pep chromosome:AGI1.1:3:31229707:31234814:-1 gene:ORGLA03G0363200 transcript:ORGLA03G0363200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLSLRIAPSTPPLGLGGGRFRGRRGAVACRAATFQQLDAVAVREEESKFKAGAAEGCNILPLKRCIFSDHLTPVLAYRCLVREDDREAPSFLFESVEQGSEGTNVGRYSVVGAQPAMEIVAKANHVTVMDHKMKSRREQFAPDPMKIPRSIMEQWNPQIVEGLPDAFCGGWVGFFSYDTVRYVETKKLPFSNAPEDDRNLPDIHLGLYNDIVVFDHVEKKTHVIHWVRVDCHESVDEAYEDGKNQLEALLSRLHSVNVPTLTAGSVKLNVGQVGSALQKSSMSREDYKKAVVQAKEHILAGDIFQVVLSQRFERRTFADPFEVYRALRIVNPSPYMAYLQARGCILVASSPEILTRVEKRTIVNRPLAGTIRRGKSKAEDKVLEQLLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNVERYSHVMHISSTVTGELRDDLTCWDALRAALPVGTVSGAPKVRAMELIDQMEGKMRGPYSGGFGGVSFRGDMDIALALRTIVFPTGSRFDTMYSYTDKNARQEWVAHLQAGAGIVADSKPDDEHQECLNKAAGLARAIDLAESTFVDE >ORGLA03G0363100.1 pep chromosome:AGI1.1:3:31226952:31228870:1 gene:ORGLA03G0363100 transcript:ORGLA03G0363100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G47120) TAIR;Acc:AT3G47120] MNPLTQVKRTQVINQKEALLGIGEDGSWHAKFKDSAYVFVGGIPYDLTEGDLLAVFAQYGEVVDVNLVRDKGTGKSKGFAFLAYEDQRSTILAVDNLNGAKVLGRIVRVDHVSKYKKKEEEDEEELQKKREARGVCYAFQKGECNRGASCRYSHDEQRNANTGWGSKEESKARWEHDRHHEPPMSHKKFPSSAGEQRFPDRAKEENKSTGREGQSSRSEAYKDRDSRLRHSDRGSKDHDRYRHDRSPERSRGDRQRNNDRYAQGRDEKLERYRSEVKHDEGDQKRSRRDTDSSGHYERRGNEDSERYRKSRR >ORGLA03G0363000.1 pep chromosome:AGI1.1:3:31222435:31225038:-1 gene:ORGLA03G0363000 transcript:ORGLA03G0363000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi nucleotide sugar transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G07290) TAIR;Acc:AT1G07290] GEXAVSEXVTALAFAGAASMSLDYRPEYDDIEEAKSPNTSVAAVAVKNADASVYKIIHGFLKQKNNSIIKVAANVARKAASNKLSRKTSDVFDSLIQKQQSKWGNKTGPLLSGICYCIASCSMILLNKVVLSNYNFNAGISLMLYQNLISVIILLVLELFGVISTEKLTWKLIKVWIPVNLIFVGMLVTGMYSLKYINVAMVTILKNMTNILTAVGEIYIFRKGQNKKVWAALCLMVISAVCGGITDLSFHPVGYMWQLFNCFLTAGYSLTLRRVMDVAKQSTKSGSLNEVSMVLLNNALSIPLALILIVIFDEWQYVYEVEVTRDPMFWAFATASGLLGLAISFSSVWFLHRTGPTTYSLVGSLNKIPISVAGILLFNVPVFLLGYSLQRQKCPNVYL >ORGLA03G0362900.1 pep chromosome:AGI1.1:3:31221167:31221526:1 gene:ORGLA03G0362900 transcript:ORGLA03G0362900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGFGSRVAAAGVAPSASSSSAGRRRPSRVAMAVGATRGKPAPAEEEKSLADFIFGFIFKKDQLVETDPLLNKVDGAPPSGSTVSRKAPAKKPAASAADEEGGGGGFNLGALFAKKG >ORGLA03G0362800.1 pep chromosome:AGI1.1:3:31215169:31218669:-1 gene:ORGLA03G0362800 transcript:ORGLA03G0362800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISLEHRYKECRPRRNNSCPTKTLVGKDQLKELEHRRPSPSVIAKLMGLDVLPPAYVAHNQHQEFKDVFEVSEEPQEAVTKERSHNFPKGLPSLKRSALKLRKLMPSKSPYGDETFDNNVVNQDGFDRLNSLEINNPLFEKHPYDVNCSPNYRYEKDSTSSTFRKYPVGLGNSSLKEIVVLELGLGEVQHSGNAFSTPEPSDVNKNFRRKMKQAEFSTTNRGSQNLLGTKDINVPRIKGERHLTSNAVDSLLKRQDSSLDQYNTVDTDNTGSSQKCVSSEVNSRKSNRSSSNSSPWKIRRKYEEGAIGSKTLAEMFALSDSERLKRDSDSHVQIQDNKLNRGNNNDKEGCFIVLPKHAPRLPPHSLLDKNSSCERSSHDIFFSNTSISHNSGQFHFDSFWDKPTRQQISSPTQDDLRNASCARYHTLEQHRSSFPSYDNTRNNSWHLTDDFSTFACINEKVLFTTDEDLLRKPTETVHSSFGSRLSGEQKVSASPFHCGVYEAITISDHTCAAKSRRSLKEVDRPSPVSILEPPTDEDSCCSGYLKNDSQVMPSIDKQIYGCELRYEQEVSLSSDNDNDSSDQSLEAFEVEEEKEFSYLLDILISSGVIVADSQLLFKSWQSSGYLVGPHVFDKLERKYSKVATWPRPQRRLLFDLANSVLSEILAPCIDTHPWAKLSRNCCPVWGPEGPVEVVWQTMVRQQEELAVAHPDDKILDPEWLEFREGINMVGWHIARMLHGDLLDDVILEFLSGFVAS >ORGLA03G0362700.1 pep chromosome:AGI1.1:3:31206508:31207185:-1 gene:ORGLA03G0362700 transcript:ORGLA03G0362700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRQHRRPRRRRQPRCGAIASLVRLRIPPRLRQQGRPRHRRQLRGGAIASLVRLRIPRRLRQQGRPRKPRDGASASLVRLRRPPWKQGRPRRRRQPRGRASAALVSLRLSPRDRGNPRRPRRASAPLMRLRLTPRKQGRRRCRPQPRRSGLRPCRCR >ORGLA03G0362600.1 pep chromosome:AGI1.1:3:31199086:31201144:-1 gene:ORGLA03G0362600 transcript:ORGLA03G0362600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAAKRQFSTTSQGKEVSGISSCKPTYQRYQSCPPEVYRRQASSYSVPSSEISRSSVRSSGSFRAAAQSLAGVFSCFVPRKSRNEDELEISRTTISQGSRSTGYQVSIDPAGTGYPQESTELTVAEIFKATSNFSDKNIIKQGSYSSIYRGKLRDGSEIAIKCARKLNSQYASAELRRELEILQKIDHKNLVRFLGFFEREDESLTVVEYVSNGSLREHLDESCGNGLELAQRLNIAIDVAHAITYLHEFKEQRIIHRNVRSSNVLLTDTLTAKLAGVGLARMAGGESSESEDTQGKSAAGYVDPEYLSTYELTDKSDVYSFGVLLVELVTGRPPIERRRDLDPRPTTKWALQRFRGGEVVVAMDPRIRRSPASVATVEKVMELAEQCVAPARKERPSMRRCTEALWSVRREYHRRQDAPAAAAAVSAAPTQDRSSDWVKVV >ORGLA03G0362500.1 pep chromosome:AGI1.1:3:31190689:31198087:1 gene:ORGLA03G0362500 transcript:ORGLA03G0362500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:defective in exine formation protein (DEX1) [Source:Projected from Arabidopsis thaliana (AT3G09090) TAIR;Acc:AT3G09090] MRPLLAFAAVCALLVAAAAPAAAEEEKANKFRQREATDDMLGYPHLDEDALLKTKCPKHVELRWQTEVSSSIYATPLIADINSDGKLEVVVPSFVHYLEVLEGSDGDKLPGWPAFHQSNVHSSPLLYDIDKDGTREIVLATYNGVVNFFRVSGYMMMDKLEVPRRKVYKDWYVGLNPDPVDRSHPDVHDSSIAKKAASEESHPNIQDKPVVNESSKESQSRSTNDSTTQGVDSMKHASKEEPVESKPNSTRGQENMDVLNNLNSTDAGNNSSLSSTTENASHVQRRLLQTDEKSNQAGSSETDASDTGTAKTATVENSEPLEADADASFNLFRDVEDLPDEYNYDYDDYVDETMWGDEDWKEQQHEKAEDYVSIDAHILSTPVIADIDRDGIQEMVIAVSYFFDHEYYDKPEHLKELGGIDIGKYIASSIVVFNLDTRQVKWTAELDLSTDSGNFTAHAYSSPTVVDLDGDGNLDILVGTSFGLFYVIDHRGKVRNKFPLEMAEIHAPVIAADINDDGKIEMVTADVHGNVAAWTAEGEEIWEVHLKSLIPQRPTVGDVNGDGRTEVVVPTVSGNIYVLSGKDGSKIQPFPYRTHGRIMSPVLLLDMSKHDEKSKGLTLATTSFDGYLYLIEGSSGCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKEWRSSNQGRNNAAYRYNREGIYVKHGSRTFRDEEGKHFWVEFEIVDKYRVPYGNQAPYNVTVTLLVPGNYQGERRIVVNAAYNEPGKQRMKLPTVPVRTTGTVLVEMVDKNGFYFSDEFSLTFHMHYYKLLKWLVLLPMLGMFSVLVILRPQEGAPLPSFSRNID >ORGLA03G0362400.1 pep chromosome:AGI1.1:3:31185864:31187345:-1 gene:ORGLA03G0362400 transcript:ORGLA03G0362400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT5G58960) TAIR;Acc:AT5G58960] MANKAVTIGDLIHRVATSCLSNRLPGSYAVSDSGDTDFDDDDDDDPFADAISGAGGERRRTPSSSEEAEAEAEADDEGEDGGEGGEEEDEENLKIWEEKRKVKAAAAVAASGAERAREAETLMAEVFDAVSGVRRAYSDLQGAHCPWDPDKMRSADAAVVAKLRHLARLRDRFRRSVATGGHIPGPIPTAPPLREAVAPYEAALDDLRRQLQAKQAEVDGLKEKLAVASNRRNSRHHPSKHNASGGGGGAPTAELFAACAEQARAAIRAFAGHLLQLMRAAGLDLAAATRSLTKIPVSSPQLAKHALEAHVTRVLLVGFEHESFYLDGSLSSLLDPAAFRRERYTQFRDMRGMEPAELLGLLPTCPFGRYAASKFAALLPPRVEQAILGDGEHRRAVEGGAHPRTPFYGEFLRAAKAVWMLHLLAFALETPPSHFEAGRGAEFHPDYMESVAGGRGGGAAGMVVGFAVAPGFRLGNGAVVRARVYLVPRGGRP >ORGLA03G0362300.1 pep chromosome:AGI1.1:3:31181792:31183881:1 gene:ORGLA03G0362300 transcript:ORGLA03G0362300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 13 [Source:Projected from Arabidopsis thaliana (AT3G09210) TAIR;Acc:AT3G09210] MSLAYPLLRLPCRCSLAAAAPPPPRASPGPTISVSMSVDGGEGELTGRERRKQRGERRELRARDWKEEVQERLIHEPARRRKKPPKRTWRENLNLDFLAEHGPQWWLVRVSMAPGTDYVDLLTKAISRRYPELSFKIYNPSIQVKKRLKNGSISTKSKPLHPGLVFLYCTLNKEVHDFIRDTEGCYGFIGATVGSIKRQIKKPKPIPVEEVESIIREEKEEQERVDREFEEMENGGIVESFNKPVEDSELMLMNKIKRQFKKPISKGGSNHNAFTPGASVHVLSGPFEGFTGSLLEVNRKNKKATLQLTLFGKESFVDLDFDQIEAVDT >ORGLA03G0362200.1 pep chromosome:AGI1.1:3:31179683:31181347:-1 gene:ORGLA03G0362200 transcript:ORGLA03G0362200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPEPTQLEKEQMFGMMEKEMEYRVDLFNRLTQTCFDKCIEKRYKEAELNMGENSCIDRCVSKYWQVTNMVGQMLGNRPQM >ORGLA03G0362100.1 pep chromosome:AGI1.1:3:31173743:31178343:1 gene:ORGLA03G0362100 transcript:ORGLA03G0362100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLSVCWWPPHFKSPLXNGAAADDGSGVPVFAEYSLDELRVATDGFSPERIVSEHGEKAPNVVYRGTLFSTGRTVAIKRFGRSAWPDSRQFLEEARAVGQLRSVRLANLIGCCCENGERLLVAEFMPHETLAKHLFHWETKPLSWAMRVRAAFYVAQALEYCSSKGRALYHDLHAYRVLFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGRVIPESVVYSFGTILLDLLSGKHIPPSHALDLIRGKNYLVLMDSCLEGHVSSSDGTELIRLASRCLQYEGRDRPNLKSVVSALGNLQKDASAPSHALLGIQHDKENTERILLSAIAKVYARADLDEVHEMLENDGYCEDERATFEVSFHSWTGQQVSDSILVKKHGDSAFQSKDFATAVECYSRFIDTGVMVSPTMLARRSFVYMVLGKLQEGLADAKKAADISPEWPTAHYLQGMAYLAMGMEPEGHEELKQGAALEAERNAR >ORGLA03G0362000.1 pep chromosome:AGI1.1:3:31154025:31161331:-1 gene:ORGLA03G0362000 transcript:ORGLA03G0362000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLLVVVGSSAAAWADVPAGSRVQVVQVACGARRPATTSMAVRCVGRGGGKTGPVLGKPSDDDDDDALSRCVSWGSFLFLPVHDAFLSQIEPSMCFLGSGVEQIGPSVCFLGSGVKQTKLVKIAFRMAVVTAVFLRRVQANSNCADMSLQVSSLQEECARFLDSFVAGEEIAGHDAVRMLVGCHDKLTVVLSAYGGAGRDDVDEGQAPPVVVPPGGQIDTRLLDLFLIGTSELPTNWSDRTLVAQLTCSLYRAIEAVTKFIATTEKGVPLEQADAEGSSHQSLHVASKAAGLTSSSSRSKDVKLRAILHEDSVTGVRPWFSLFGMSFSDLYDHFPESVWDICEDSPAEAFEKKEHKGWMQFRSEMNSRYNKAVDISFDDRFADSIVFDKTLYKYAILGCKVNADGIMNQGVISASERNKIIHALERIEGDIEIGKFKWRDGADIHTSIVEALADMIGDKAKDLAVESKYDSCLMILETWSKNSIDHIMTQLKQLQVALVLLAIKNDGVILGEREIEGTTCLESLLLRIVKVLDYDASKLRSYHGSISCSNDGVMLLRTSYPENQMFSKLSSMASFAESINNCIPNHLRQLLEKVLSRRNILLLTPNGETTIYDTVLSKFGSIEQTRHHGDVAISKCLNIGFGNVRESPQTCEIEDAKHYLFSSTKSVVEILDLSIQLVLRILFGMEKAQNSLPRGYHDVMRFTHFLTTKGIDLGTAYALVHLCLDKKLQPSELILDKHELKQIDFHCERAHYLLEYKGSIFGDSTDLDACKKMLKWCCKLRIDPAATISS >ORGLA03G0361900.1 pep chromosome:AGI1.1:3:31142820:31152232:-1 gene:ORGLA03G0361900 transcript:ORGLA03G0361900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARLLERVHARPLGCTDSDKLEMSLQLTSLREQCARLLEAVEAGEIAGHAAAARLFGSYHSKLRVLLAVHGGGHLDAAAARRPAARSTAMRACCYYQPLRPPCPSIPLGHKPQQHHLFLSSRSKDVKLRAILDEDRLTGARPYSKDQEHKGWMQLRNAMNNRYIRALDTNFDESFVDSIVFDKTLYKYAILGCKVNADGIMNEGIISSSERNKIIHALERIEDDIEIGKFKWRDGADVHTSIVEALADMIGDQAKGLAVESKCDSCLMILETWSKNSIDHIMTQLKQLQAALVLLAIKNDGFVLPGEKEIEGTSCHMRIVKALDSDASKLRNCLGGICSTDGVIFMRLSSPEDYTLSKLSYIAWFANSINYRIPNLLRQLLEKVLSLRNAETAIYETTLGKLSSIEQMRRHGDVAISKCLNLRFGKACGSVQTCEIEDAKHHLFSSTKSVVEILDLSIQLVKSISFDMEKAQNCLPRGYDDVMRFAHFLTTKGIDSLTAYALVHLCLDKQLQPSELTLDKHELKQIDFHCERAHYLLEYKGSIFGDSTDLDACKQMLKWCSKLRIDPAATICS >ORGLA03G0361800.1 pep chromosome:AGI1.1:3:31140682:31142199:1 gene:ORGLA03G0361800 transcript:ORGLA03G0361800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPSPAVEGGAAAAAAGHGGRERHVLLFPLPYQGHINPMLRLAGVLRARGFAVTVFHTHFNAPDAARHPEHRFVAVPDGMSGARPPPVSVGDVVKHIRALNAACEAAFRDRLAAVLAEYSRDAVACLVADAHLLRMVEVARRLAVPTLVLRTGSAACFASFLAYPLLCDKGYLPVQESSQLDMPVSELPPYRVRDLMHIGRDGHELMCELLARAVAAVKLSSGLILNTFDALEAPELAKLRRDLAVPVFDIGPLHRFSPAADGSLLHQDRSCLAWLDAQAAESVLYVSFGSLASMGARELVETAWGIAGSGVPFLWVVRPGLVRSRRAAPDEPTRLLLPEGFEAATRRRGVVVAWAPQEEVLRHRAVGGFWTHSGWNSTTESLAEGVPMLCRPSFGDQMGNARYVEHVWKAGFEVGGELERGAVEAAIRRLMAESDGGEMRARARDLKKAAAECTGKAGSSETAIVKMVTHMLSL >ORGLA03G0361700.1 pep chromosome:AGI1.1:3:31139141:31139515:-1 gene:ORGLA03G0361700 transcript:ORGLA03G0361700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADVAFKALTAGLGVATLYLAGTFSVNVYRGLSWHSEQSVSKTLARLDHQTLDFSPPLAGEPLIRGPSPTIWCYSLHLPCRISPSPLGLGRGFAWISFDLCSVVYFASTNACPNWSMFGPLT >ORGLA03G0361600.1 pep chromosome:AGI1.1:3:31135294:31137378:1 gene:ORGLA03G0361600 transcript:ORGLA03G0361600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G20575) TAIR;Acc:AT1G20575] MADDAGGGRREYSIIVPTYNERLNVALIVYLIFKHLPDVNFEIIIVDDGSPDGTQDIVKQLQQVYGENRVLLRARLRKLGLGTAYLHGLKHASGDFVVIMDADLSHHPKYLPSFIRKQKETGADVVTGTRYVQNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRNVLEDLISSCVSKGYVFQMEMIVRATRKGYHIEEVPITFVDRVFGTSKLGGSEIVEYLKGLVYLLLTT >ORGLA03G0361500.1 pep chromosome:AGI1.1:3:31126639:31134628:1 gene:ORGLA03G0361500 transcript:ORGLA03G0361500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQRGGGGAATEGDAAAGGGHSPSTVFVSNLPYTFKSADLEAVFSEVGPVRRCFMVAPKGSETSRGFGFVQFATVKDAERSIQQKDGFSVAGRKIRVKLATHRAPLKERLQKKENAMQAKDADVTNEAKDADSTNEAQDADATNEEDDTSTAKHKETSHKKDAGLERDGCTTDAAAVLFASVTSAWDSVVHLHRKEVKGAVVWARQLGGEGSKIRKWRVIVRNLPFKITVKEIMDMFSLAGFVWDVSIPQKSDNGTSKGFAFVSFTRKQDAENVWSVPCSAIKNVNGKVVAKRTVAVDWAVPKKVYTVAAAKSSAKDDELVNVSDKGSDEESEDNLVGEDDSYELDQEASNRPADDDFETEIDISRKILENLIKSSEKAEPSGNEGSDVDTDTETEQDTSEKKQKQTHLPASVPAADKLENSKRVAEEENTLPASKFKKQDAGLDRTIFISNLPFDLSNEEVTERFSAFGKVESFFPVLHKLTKRPRGTGFLKFSTAEAADAAVSAANAAPGLGIFIKSRALKIMKALDKESAHKKELEKSKNEVEDRRNLYLTKEGEILAGTPAAEGVSDADMNKCSWLARRKAEMLQSPKFHVSRTRLIIYNLPKTMTINDVKKLCREAVISRAHKQNPIIRKVNILKNEKKSNSTAQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALENIEKVRLQKIWKERRDKLREGAQDKARPLGDHSATDGPQANNTRAFNKGHKRKTHDRSSKLSNSGKGSAEDLSAAGDGGSGIMESMVEDKRKAQRPAKRARKPNKVSDGDRQDATPPTADGNQTLSSKHNQAGTPTKRKNRKDSHSEQRRGKAPKTKKEPAGEGGVDKSLVEQYRSKFLQHGLNKTKG >ORGLA03G0361400.1 pep chromosome:AGI1.1:3:31123080:31124911:1 gene:ORGLA03G0361400 transcript:ORGLA03G0361400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G13440) TAIR;Acc:AT3G13440] MAGGDSAAVSAVEGRLSELSTNSDEKGLPRRGKSLSGRTLNTAQIQLVASHPEVYEPCDDSFALVDALLSDKAQLLTLKPRLCMEIGCGSGYVITSLAIMLRQLGSGTQYLATDINQHAVETTQATLEAHGVHADVIATDIVSGLEKRLHGLVDVVVVNPPYVPTPEEEIESNGIASSWAGGINGRQVIDRILPAVRELLSERGWLYMIALEDNDPLDICHLMNEKGYASRVLLKRCTEEESLYVLKFWQDASTGTNASHAARSPRSESSWLSQLPFRSLWHKNA >ORGLA03G0361300.1 pep chromosome:AGI1.1:3:31118926:31122762:-1 gene:ORGLA03G0361300 transcript:ORGLA03G0361300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 2 [Source:Projected from Arabidopsis thaliana (AT1G74850) TAIR;Acc:AT1G74850] MVDAPMALVSTTATVASPSYHYCDLLFPPARRWCCRARRRGGGGGRVAVVAARAPREAAAAAVVVENGGHSYEVESLIDRLSNLPPRGSIARCLETARHRLTLQDFAAVYREFSRRGDWQRSLRLFKYMQRQSWCRPDEHIHAIVIGVLGRQGPALLDKCLEVFHDLPSESRTALSYTSLIAAYARNALHEEARELLDQMKASGVAPTAATYNTVLAACARATDPPVPFDMLLGLFAEMRHDPSPSVRPDLTTYNTLLAAAAVRALSDQSEMLLRTMLEAGVLPDTASYRHIVDAFAGAGNLSRVAELFAEMAATGHTPDPSAYLGLMEAHTRVGATAEAVAVLRQMQADGCPPTAATYRVLLDLYGRQGRFDGVRELFREMRTTVPPDTATYNVLFRVFGDGGFFKEVVELFQDMLHSEVEPDIDTCENVMVACGRGGLHEDAREVLDYITTEGMVPTAKAYTGLVEALGHAAMYEEAYVAFNMMTEIGSLPTIETYNALANAFAKGGLFQEAEAIFSRMTNNAAIQKDKDSFDALIEAYCQGAQLDDAVKAYMEMRKSRFNPDERSLEGVLNAYCIAGVIDESKEQFEELQSMVTVPSIIAYCMMLSLYARNDRWTDAYDLLEEMKTNHASSTHQVIASMIKGEYDDDSNWQMVEYVLDNSNLEGCDYSLRFFNALLDVLWWFGQKGRAARVLDQALKYGLFPELFRDTKLVWSADVHRMSVGGSLVAVSVWLNKLYDRLKGDEDLPQLASVVVLRGQMEKSTVTRGLPIAKVVYSFLNDTLSSSFHYPKWNKGRIICLKSQLKKLQAAIDSSNGAAISGFVPMTNSHLPSPGSKLYTRESQADNGSAHLTDETLVEEKESELLAL >ORGLA03G0361200.1 pep chromosome:AGI1.1:3:31116283:31116570:-1 gene:ORGLA03G0361200 transcript:ORGLA03G0361200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAESAAARQAKESLELAFQMSQILDTGLDRHTLSLLMALCDRGANPEALAALVRELSSAAPPTTAAAAPSPASNATAAPSAKAASLFPSGLRKP >ORGLA03G0361100.1 pep chromosome:AGI1.1:3:31111932:31114157:-1 gene:ORGLA03G0361100 transcript:ORGLA03G0361100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRRSGSRRRAAVAERRHGGPWPSRIWGWELVAAPPLRGAQASDGXSRRXCRKAALKSCSWLLSPSSEEWXKKKSSQRLQIIPLXWQELSWTLFHLHRKISSYSSXLSFHFQFSLAPRLKESSLSITIALVDTVTREVVASGDESLMKVEIVVLEGDFEDDSAIQQNIWIYWPSYSQTMQILGSGMSTKMWEVTVEHSKTCILTDKVHLYYPHSLSKTAVVFNVVGEVRGLISEKFVCADDLMEKDKAEAHAAVKQAYENWKNVSTDGFGLSQSSIPSADIGSLSTGNCRKQ >ORGLA03G0361000.1 pep chromosome:AGI1.1:3:31106084:31109456:-1 gene:ORGLA03G0361000 transcript:ORGLA03G0361000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPKQEELQPHAVKDQLPAISYCLTSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKARVIQTLLFVAGINTLIQSFLGTRLPAVIGGSYTFVAPTISIILAGRYNGIADPHEKFVRIMRGTQGALIVASTLQIIMGFSGLWRIVVRLLSPLSAAPLVALVGFGLYELGFPSVAKCVEIGLPQIILLVALSQYIPNLVPLLGTAFERFAIIMSVALVWLYAFFLTVGGAYKNAAPKTQFHCRTDRSGLVGGAPWISVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPCPPSVMSRGIGWQGVGILLGGLFGTANGSSVSVENAGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAAIYCLLFAYVGMAGVGFLQFCNLNSFRTKFIVGFSVFMGLSVPQYFNEYTSVAGYGPVHTHARWFNDMINVVFSSKAFVGGAVAYLLDNTLQRHDSTARKDRGHHFWDRFRSFRTDPRSEEFYSLPFNLNKFFPSF >ORGLA03G0360900.1 pep chromosome:AGI1.1:3:31101957:31104588:-1 gene:ORGLA03G0360900 transcript:ORGLA03G0360900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENIQRWLRELRDHADSNIVIMMVGNKSDLNHLRSVPEEDGQALAEKEGLSFLETSALEALNVEKAFQTILKDIHQIISKKALAAQEAAGSGPPTQGTTINVTDSAVNTKRGCCSS >ORGLA03G0360800.1 pep chromosome:AGI1.1:3:31083413:31085691:-1 gene:ORGLA03G0360800 transcript:ORGLA03G0360800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRGGGGHGEAAVDGGDERWVEDSSVDYQGRPPLRAATGSWKAAMFIILIEFSERLSYFGLATSLMIYLTKVLQEEMKFAAKNVNYWTSVTTLMPLVGGFLADGYLGRFSTVLFSTLIYLSGLILLAISQLSPRLKPERNLHLHETLFFVAIYLVSVGTGGHKPALESFGADQFDDGHAAERVQKMSYFNWWNCALCAGVLLGVTVIVYLQEKVGWGAAAVVLATVMAASLAVFLAGWRHYRYRVPEGSPLTPLVRVLVAAARKRHLHLPSDANELYEVKPQNIKRRLLCHTDQLRFLDKAAVVEHDGGEERRGAWRLATVTQVEETKLVLAMVPIWVATLPFGITAAQVSTFFIKQGSVMDRRMGPHFTLPPASTFAMAAIGMIVAVAVYDKVLEPYLRRLTGGERGLSILKRIGVGIAFTIVAMAVAATVERRRLRSASPASMSVFWLVPQFLLMGIGDGFALVGLQEYFYDQVPDSMRSLGIGLYLSVIGAGSFLSSQLITAVDRVTSHGGAAAGWFGKDLKSSRLDLFYWLLACIGVANLVFYVVVATRYSYKTVMAGGKVVDDKAGDIECAAAAAAAAY >ORGLA03G0360700.1 pep chromosome:AGI1.1:3:31076885:31077376:-1 gene:ORGLA03G0360700 transcript:ORGLA03G0360700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSALFLAFVLLAVFLGALPFAESSGRHHHHHHSHLHGRGEGERGGGEARSLAAKGAAAAWPCCDNCGGCTKSIPPQCQCMDARPAGCHPACKSCVKSSLSVSPPVYQCMDRIPNLCQRRCTAAAR >ORGLA03G0360600.1 pep chromosome:AGI1.1:3:31067424:31072600:-1 gene:ORGLA03G0360600 transcript:ORGLA03G0360600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59250) TAIR;Acc:AT5G59250] ADTCAGRRGALQRWRRRWRGREGVLVVVHHPSVRXLPSPSLWSVTFGDKIGQXXVIRGMHFXFGSSFPMLRDSIGTXFQFKGERMLFNCSVDIACFIVLMRTIMLNXGRLYNALFLFPALGGLLFGYDIGATSGACISLQSVELSGTTWFSLSSIQLGLVASGSLYGALGGSLLAYRVADFLGRRIELVTAAALYISGALVTGFAPDFVLLIIGRLLYGIGIGLAMHGAPLYIAETSPSRIRGTLISLKELFIVLGILTGYLVGSLEIDVVGGWRYMFGFGAPLAVIMAIGMWNLPPSPRWLLLRAVQGKASVEDNKKKAIQALRSLRGRFRSDRVLADEIDDTLLSIKAAYAEQESEGNIWKMFEGASLKALIIGGGLVLFQQITGQPSVLYYATSILQTAGFAAASDAAKVSILIGLFKLLMTGVAVFKVDDLGRRPLLIGGIGGIAVSLFLLAAYYKILNSFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPANIFLLFGAISLLSLVFVILKVPETKGLNLEEIESKLLK >ORGLA03G0360500.1 pep chromosome:AGI1.1:3:31059454:31061559:-1 gene:ORGLA03G0360500 transcript:ORGLA03G0360500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAVLAVLRWRQRRWLVELVALLLLLARGGAAAVDEFLFPGFSGDGVATSGAAAVTSTGLLQLTNETKEVFGHGFYPKPVSLRNASTGAAASFSTTFVFAIVPKYPDAHGHGLAFALAPSVAVPGAVAGKYLGLFNTSDSTGQIKNKIVAVELDTARDDEFADIDDNHVGIDDNSLKSVNSSPAGYHDAATGGKLASVNLIGGEPLQVWIEYDGDSAWLEVTVSPAGMLRPAAPLVSCTVNLSSAVAGDTYVGFSAANGAAASSHYVLGWSFRLGGGGRAQDLDLAKLPRLPSPSKPKKTLPPLIILTILLLSVVILLLAAAAVAALVVRSRRYAEEEEEWEIEYGPHRISYKDLHGATKGFRDVIGAGGFGSVYHGVLPRSGVEVAVKKVSHDSRQGLREFVSEIASMSRLRHRNLVQLLGYCRRRGELVLVYDYMANGSLDKHLFAGGERPALSWEQRGKIVRDVAAGLLYLHEGWEQVVVHRDIKASNVLLDADMNGKLSDFGLARLYDHGANPQTTRIVGTLGYLAPELSKTGKATTSTDVFAFGAFLLEVACGRRPMEFTVDDDSPGLVELVLEHWKAGEITAARDPRIGDCDEDDLEVVLKLGLLCSHPDPRRRPSMRQVVQILEGAAPAPETLPEDLECGVGQFYGESFDEFVTGFPSTSEITTSTTQSTDEQQRLVGCVQLSTADFLKTT >ORGLA03G0360400.1 pep chromosome:AGI1.1:3:31055839:31058629:1 gene:ORGLA03G0360400 transcript:ORGLA03G0360400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAEAEVAAGPEVVLPIGAQKHDPAWKHCQMVRSAGRVRLKCVYCHKHFLGGGIHRFKEHLARRPGNACCCPKVPREVQETMLHSLDAVAAKKKRKQSLAEGIRRITHSAPAAAASASPPAPADAAEMESPIHMIPLNEVLDLGSVPLEETPPETREMKGSISKKRKKLAARQASTAPLAHQNQQPLQSTPAGLTQPFHQMVVAFDSAASQLRHFDQPGSNKEQVYMAIGRFLYDAGVSLEAVNSVYFQPMLEAVASAGGKPEAFSYHDFRGSILKKSLDEVTAQLEFYKGSWTRTGCTLLADEWTTDRGRTLINFSVYCPEGTMFLKSVDATDIVVSSDSLYELLKNVVEEVGEKNVVQVITNNSEIHAVAGKRLCETFPTLFWSQCSFQCIDGMLEDFSKVGAINEIICNAKVITGFIYNSAFAFNLMKRHLHGKDLLVPAETRAAMNFVTLKNMYNLKDSLEAMISSDEWIHYLLPKKPGGVEVTNLIGNLQFWSSCAAVVRITEPLVHLLKLVGSNKRPSMGYVYAGLYQAKAAIKKELVRKNDYMAYWDIIDWRWNKDAPRPLHLAGFFLNPLFFDGVRGGTSSEIFSGMLDCIERLVSDVKIQDKIQKELNVYRSEAAGDFRRQMAIRARRTLPPAEWWYTYGGACPNLTRLAVRILSQTCSAKGCDRRHISFEQIHDQRMNLFERQRMHHLTFVQYNLRLQHRQQHKTKAFDPVSVDNIDIVDDWVVDRSALISGQAEQSNWTEINQPVNNITSMGPSDDDEFESFIEGVDDKMIQGASRGTQEDDE >ORGLA03G0360300.1 pep chromosome:AGI1.1:3:31053291:31054593:1 gene:ORGLA03G0360300 transcript:ORGLA03G0360300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLQAATPHPPLPLRSSRRRFAAPPSPLVLRAVASSRDGPSTRLSSPAPAPPRRRRRASVRARAGAGRGGRGGSPYDVLGVPPSAPPDEIKRAYRRLALKFHPDVNKEPNAQEKFLRIKHAYNTLMNSESRSKYASTSSNTYYSSRTYGKSSTASADEEEPFYGFGDFLRDLQAEFQNWEAGLNSEQKPKSLWEELAAIGEEFVEFLENELKIDDSSDAEDNANDPYTQSGGKNKQDVNTSTSSFDDSVSEIEAALEKLKKELGLS >ORGLA03G0360200.1 pep chromosome:AGI1.1:3:31050225:31052830:1 gene:ORGLA03G0360200 transcript:ORGLA03G0360200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGGGPDWNGLLKWSLAHGDGTAKPRALSEEDKKWFMEAMQANTMDVVKRMKEITQVMKTPDDILQSQGVTPENIEDMLDELQEHVESIDMANDLHSIGGLDPLLGYLKNSHAGIRAKAAEVVSTIVQNNPKSQQLVMESNGLEPLLTNFSSDASTNSRTKALGAISSLIRHNQPGVAAFRLGNGYSALRDALGSDDARLQRKALHLLQYLLHDNKADRSVATELGLPKLMMHLASSDDSGVREAALGGLLELARDNTSGAGNALPDQDKLKDVLKSRIEGISTMDADDLSAHREERQLVDSLWKECYNEPSSLREKGLVVLPGEDAPQQPPPDVVGSMFEPPLRAWAASRPPPKEDSESESAKKDPPLLLGPGPSS >ORGLA03G0360100.1 pep chromosome:AGI1.1:3:31047121:31047390:1 gene:ORGLA03G0360100 transcript:ORGLA03G0360100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMGHGEEVAAAMEEEELQGWETPRREECRIPVVPPQCPAPPRKRPVALPELGKERREPPKGGYFQPPDLESLFVPAPPRRQASSCA >ORGLA03G0360000.1 pep chromosome:AGI1.1:3:31043165:31045117:1 gene:ORGLA03G0360000 transcript:ORGLA03G0360000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsJ-like methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G13830) TAIR;Acc:AT5G13830] MSGAGGTADFFYREAQRLGYVARSAFKVSAFLLTPLARTVCQLLPSHARSRLLCPSQLIQIQKQHKLIAPGAAVLDLGCAPGAWLQVACQNLGPLEKGGVIVGVDVKKVKVPSAHCDSRVRTVCADVMALMKQQARAMSPQERGFSVILSDMCPVVSGITTKDAAISCELGMRALSLAVGKMKAKDSDCIAILEKFQSSTEPDPDEDGILRRGGSLVIKFLENEDIPGFGKFCKEKFKKVSLLRPKATRSSSREIFMVCEGLR >ORGLA03G0359900.1 pep chromosome:AGI1.1:3:31040578:31042865:-1 gene:ORGLA03G0359900 transcript:ORGLA03G0359900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAARPTVLVTGAGGRTGNIVYNKLKERSDQFVVRGLVRTEESKQKIGGGNDVYIADIRDRDHLVPAVQGVDALIILTSAVPKMKPGFDPSKGGRPEFYYEDGMYPEQVDWIGQKNQIDTAKAAGVKHIVLVGSMGGTNPNHPLNSLGNGNILVWKRKSEQYLADSGVPYTIIRPGGLQDKDGGVRELIVGNDDELLQTDTKSIPRADVAEVCVQALQYEETKFKAFDLASKPEGTGTPTKDFKSLFSQVTARF >ORGLA03G0359800.1 pep chromosome:AGI1.1:3:31036019:31036807:1 gene:ORGLA03G0359800 transcript:ORGLA03G0359800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAGGGYLLGGQPVRCSGGHSTGSNGEGFGGHPATSPPSSSWVSLTREHNEEMRTPNAFFGLGTNSGEDIEMGDGDSDSEQVGPVDEHVNPVIQALTRKFLERVCADTH >ORGLA03G0359700.1 pep chromosome:AGI1.1:3:31022486:31024647:-1 gene:ORGLA03G0359700 transcript:ORGLA03G0359700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPRVLVLVVATVVALQVSPAAGRIPGAYGGGEWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNAALSTALFNSGQSCGACFEIKCVNQPGWEWCHPGSPSILITATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLHIAEYRAGIVPVSYRRVPCRKKGGVRFTINGFRYFNLVLITNVAGAGDIVRASVKGTSTGWMPMSRNWGQNWQSNSVLVGQALSFRVTGSDRRTSTSWNAAPAGWHFGQTFEGKNFRV >ORGLA03G0359600.1 pep chromosome:AGI1.1:3:31016899:31021041:1 gene:ORGLA03G0359600 transcript:ORGLA03G0359600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGWGARIKDGSPQPGASGMFSRSGAKDGSRLSGCSSRASSASMPPTAKTECEILQSANVKIFSFSDLRIATRNFRPDSVLGEGGFGSVYKGWIDENTLSACKPGTGIAVAVKRLNQESLQGHREWLAEVNYLGQFCHPNLVKLFGYCLEDEHRLLVYEFMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAAKGLAYLHSSEAKVIYRDFKTSNILLDTDYSAKLSDFGLAKDGPVGEKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDVYSFGVVLLEMMSGRRAIDKNRPQGEHNLVEWARPYLTHKRKIFRVLDTRLEGQYSHVGAQTVATLALECLSYEAKMRPSMEAVVTILEELQESSHVDRKPAAERRQESTTGTGKKAPTANASKNSGKPRRKSLGETREKIGPNPTALVRSH >ORGLA03G0359500.1 pep chromosome:AGI1.1:3:31012024:31014759:-1 gene:ORGLA03G0359500 transcript:ORGLA03G0359500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39630) TAIR;Acc:AT2G39630] MAAAGWPLSSSVADLLPASLSLTLLLASLVVVVVLGAAAFFFEHIRKIGCTHSLERTEVSAAFFEDPNSLNKVRCPSIYDPAEKYISLIIPAYNEEHRLPEALTETLNYLKQRSAVEKSFTYEVLIVDDGSTDHTSKVAFEFVRKHKIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLEKLEAQVRALAKKAESSLAPSNSPSQRLSDAEIAVFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCKHLRIPMAEVSVNWTEIPGSKVRMTSILHMVFELLLIKVGYGLSIWKIYS >ORGLA03G0359400.1 pep chromosome:AGI1.1:3:31008599:31010407:-1 gene:ORGLA03G0359400 transcript:ORGLA03G0359400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVSSPSAPRLSPSAARAAEQHCLRLLAASSTPTSLLQSVAFLLKSGLHANSLVLTRLFAASASAAPALLDPLVAALLRPSVPLDAFLANTLIRAHATSPIHSLRLRAAAFFPLMLRGAVVPNKFTFPFLLKACAALPGSPDVGLQAHAAALKFGFATDHYVSNTLIHMYSCFGGGFLGDARNVFDRMPKESAVTWSAMIGGYVRGGLSSDAVELFREMQANGVQADEVTVIGVLAAATDLGALELARWVRRFVEREGIGKSVTLCNALIDTLAKCGDVDGAVAVFEGMQQRSVVSWTSVIDALAMEGRGKEAVRVFEEMKVAGVPPDDVAFIGVLTACSHAGMVDEGCGYFDAMKVEYGIEPKIEHYGCMVDMFGRAGMVERAMEFVRTMPIQPNPVIWRSLVSACRAHGRLELGESITRSLLHEYPAHEANYIMLSNVFALTQRWKEKSEIRREMSKRGIKKVPGCSIVELDGEVHEFIAGDESHPQYKDIYRMVEEMARELRRVGHIAATSEVLLDLDEEDKEGALQWHSEKLAIAFALLRTPPGTQVRVVKNLRVCSDCHAAIKCISQVYRREIVVRDRSRFHRFKDGSCSCKDFW >ORGLA03G0359300.1 pep chromosome:AGI1.1:3:31001614:31002300:1 gene:ORGLA03G0359300 transcript:ORGLA03G0359300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALFASDQDMEKLNGSLERLGELSPDIQEFILEVSPKLEKRPGKRMKRSQHIEFDRRTKCSTLTLPIRKLQVSTEKTEEESSEPIVMSLHRLLSTQTLPMQNLKISTKKTEETITSEELENTEVLVEDQKELAEMLEQVLICMGRAVEEADERNLDDFKWLGQWAAILREAEQRGNVLVGTIFAGRDGDGKAGSEKADDLYGFARTVDRLALDVPCFNFLVDPCPWN >ORGLA03G0359200.1 pep chromosome:AGI1.1:3:31000687:31000908:1 gene:ORGLA03G0359200 transcript:ORGLA03G0359200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTVELLCAYGMRSQIWKESKFGTFGYIKFLSCTSGFPKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA03G0359100.1 pep chromosome:AGI1.1:3:30992047:30992268:1 gene:ORGLA03G0359100 transcript:ORGLA03G0359100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGHSIWHTMELLCAYGMRSRIWKESKFGTFGYVKFLSCTNGFPKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA03G0359000.1 pep chromosome:AGI1.1:3:30978837:30982054:-1 gene:ORGLA03G0359000 transcript:ORGLA03G0359000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLACFCCGGGAGGRGGRHVAPAALPSDPAYDEGLGHSFCYVRPDKFVVPFSADDLVADAKAAAAAEGEATTFRAISGAALSANVSTPLSTSVLLLMPEESSASATASSGFESSESFAAVPLQPVPRFSSGPISAPFSGGFMSGPLERGFQSGPLDAALLSGPLPGAATSGRMGGAVPALRRSLSHGGRRLRNFTRALLARTEKFQDSADLGSPDAAAAAVAACGGDPCGLQWAQGKAGEDRVHVVVSEERGWVFVGIYDGFNGPDATDFLVSNLYAAVHRELRGLLWDQREQNVQHDQRPDQPGSAPSTTASDNQDQWGRRRRTRRSRPPRGADDDQRRWKCEWEQERDCSNLKPPTQQRLRCNSENDHVAVLKALTRALHRTEEAYLDIADKMVGEFPELALMGSCVLAMLMKGEDMYIMNVGDSRAVLATMDSVDLEQISQGSFDGSVGDCLPCLSAVQLTSDHSTSVEEEVIRIRNEHPDDPSAISKDRVKGSLKVTRAFGAGFLKQPKWNDALLEMFRIDYVGSSPYISCNPSLFHHKLSTRDRFLILSSDGLYQYFTNEEAVAQVEMFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPHGDRRRYHDDVSVIVISLEGRIWRSCV >ORGLA03G0358900.1 pep chromosome:AGI1.1:3:30968207:30968506:1 gene:ORGLA03G0358900 transcript:ORGLA03G0358900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGFSFQEARAGSIGEQRRLVQLQFFADAIFQVSSFPLLLQKQAGIKWFRFAFKNVCCILFYYRLDLSDDRISSSAHLKCPSYVCERNIFSSIVVESM >ORGLA03G0358800.1 pep chromosome:AGI1.1:3:30959357:30960298:1 gene:ORGLA03G0358800 transcript:ORGLA03G0358800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXATGGSSSAAAAAAMMPPQAQLPFLASLHHPLGGGDHYSSGASRLGFPGLSSLDPVDYQLGGGGAAAAAIGLEQWRLPQIQQFPFLSRNDAMPPPMSGIYPFDAEAAADAAGFAGQLLAGTKVPGSSGLITQLASVKMEDSNAQSAAMNSSPREFLGLPGNLQFWGGGNGAGPGGNGDGATGGSGAGVAPGGGGSGGGWADLSGFNSSSSGNIL >ORGLA03G0358700.1 pep chromosome:AGI1.1:3:30949875:30953903:1 gene:ORGLA03G0358700 transcript:ORGLA03G0358700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDIKMWPYKVIPGPGDKPMIVVQYKGEEKQFSAEEISSMVLIKMREIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLFEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQDAEKYKSEDEEHKKKVDAKNSLENYAYNMRNTIQDEKIASKLPADDKKKIEDAVEQAIQWLDNNQLAEVEEFEDKMKELEGLCNPIIAKMYQGAGADMGGGMDDDAPAAAGSGAGPKIEEVD >ORGLA03G0358600.1 pep chromosome:AGI1.1:3:30943335:30946816:-1 gene:ORGLA03G0358600 transcript:ORGLA03G0358600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein [Source:Projected from Arabidopsis thaliana (AT3G46450) TAIR;Acc:AT3G46450] MASSSKNFQSSSKPGSDRKYQGALVASPAKAISPKTVKQIVPGKHLILSGESTSHLASFLVKVIALEVVRRFSKARCPFIWNSIQALQVLGYPPFRWIQQWAPLKFIVQGIQKLSTPLLFLSVTTTLCDRSSKRNDELGSNTEAPDVPSESDETASTSGIRDVADGTKDTEPENWLISLFKELEKQGITLPERFNEDELRRFYIAANGDFSSLLSSVKKTIRWRETFHILTLHELEKWSHLVFWHGFDTMLRPCLIVRLGLACSSLAPSDRPRFGQAVVSQIDNGTVYLTNKEDPRITVLLDCHGISPFRFPMQMMRSFITIVQENYPNRLGVLFIVRLPPVVRVIAQTLIQVLKPSTKQKLRFEGESYKKTLAEFLQIVPTFLGGKCSCPQCEKPRNISVIQAGEGSKSQPRQITIDDGSPVASMNFDEAELPSPYSCENAIRAAIIGVLMLWVFIAFLAGMNDPESISSHAP >ORGLA03G0358500.1 pep chromosome:AGI1.1:3:30938857:30941251:-1 gene:ORGLA03G0358500 transcript:ORGLA03G0358500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRSRSNSRSRSRSRSRSKSKSKSKSRSRSRSRSRSRSPRRERLRSERVSRRSRSRSHSRSRSPIRRREHRGHRDLICKNCRRPGHFARDCQSTATCNRCNLPGHFAAECTSETVCWNCKQSGHIATECKNDALCHTCSKTGHLARDCPSSGSSKLCNKCFKPGHIAVDCTNERACNNCRQPGHIARECTNEPVCNLCNVSGHLARNCRKTTISSEIQGGPFRDITCRLCGKPGHISRNCMTTMICGTCGGRGHMSYECPSARMFDRGLRRF >ORGLA03G0358400.1 pep chromosome:AGI1.1:3:30935236:30937397:1 gene:ORGLA03G0358400 transcript:ORGLA03G0358400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSGVAIHDDCKLKFNELQSKRMHRFITFMMDNKGKEIIVDKIGDRTTSYEDFTSSLPEGDCRFAIYDFDFLTAEDVPKSRIFYILWSPDNAKVRSKMLYASSNERFKKELNGIQLEVQATDAGEISLDALKDRVK >ORGLA03G0358300.1 pep chromosome:AGI1.1:3:30934004:30934620:1 gene:ORGLA03G0358300 transcript:ORGLA03G0358300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATSGVAVSEECKARFQELRAGRAHRFVVFKIDDAMRQVVVDRVGPRDAGFDELTASLPADGCRYAVYDHDFTVSDATATAAAGEGGEAPRSKIFFVSWSPAAADVRSKMVYASSNEGFKKELDGVQIDLQATDPSELTLDVLKDHTS >ORGLA03G0358200.1 pep chromosome:AGI1.1:3:30931250:30931684:1 gene:ORGLA03G0358200 transcript:ORGLA03G0358200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHPRDGEVISLSLSLMLGAAADSGERKKPRRGSSPAASGSGDFVCKTCSRAFPSFQALGGHRTSHLRGRHGLALGLAAATAKETTKKVQEKPAAAATHECHICGQGFEMGQALGGHMRRHREEAAAAAAAVHAPPVLLELFV >ORGLA03G0358100.1 pep chromosome:AGI1.1:3:30927808:30928317:1 gene:ORGLA03G0358100 transcript:ORGLA03G0358100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPRQEEVSLALALSTDCSSTASDSSAAAAGGAARRKRARRRSVVATSGEGEFVCKTCSRAFPTFQALGGHRTSHLRGRSNGLDLGAIGDKAIRLHRAADKEHMDKHECHICGLGFEMGQALGGHMRRHREEMAAAGGGSSADDWVWRCDARPEGIAAEPPVLLELFA >ORGLA03G0358000.1 pep chromosome:AGI1.1:3:30916521:30922514:1 gene:ORGLA03G0358000 transcript:ORGLA03G0358000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-activating enzyme E1 regulatory subunit [Source:UniProtKB/TrEMBL;Acc:I1PGT4] MAAATAAAFAEPKTKYDRQLRIWGDQGQAALEKASICLLTCGPTGTEAMKNLVLGGVGSVTVVDGSKVEQSDMGNNFLLDAECLGQSRAKSVCSFLQELNDAVNAKFVEESPLALIDTNPSFFSQFTVVIATQLPERSLLKLDDICRKANIVLVAARSYGLTGLVRISVKEHNVIESKPDHFLDDLRLHNPWVELKQFAKSIDINDKDPVVHKHTPYIVILVRLAEKWADAHDGRLPSTRQEKNEFKALIREHMLNLDEENYKEAVESSYKVSVTPGISDEIRQIIDDSSAEVNSSSSDFWVLVAALKEFIANEGNGELPLEGTIPDMTSLTEYYVSLQKIYQAKAESDCLALEHHVKDILKRIDRDPDSISRAYIKTFCKNARKLRVCRYRSMEEEFSSPVLSEVQKYFTDEDYCFAMNFYVLLRAVDRLAANYNRFPGIFESEIDEDVPRLKTAAVSVMSEMGMNGAPLSEDLITEMCRFGGAEIHPVAAFIGGVASQEVIKLVTKQFVPLLGTFIFNGIDHKSQVLAL >ORGLA03G0357900.1 pep chromosome:AGI1.1:3:30914331:30914974:-1 gene:ORGLA03G0357900 transcript:ORGLA03G0357900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLTFGAEPEMVDSASIAQEVMLLLERSGGGGAGYVVGHGGEAPRVFECKTCRRRFPSFQAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARGGEPAPERNPREARGVVGLDLNAAPADDTGLLLVDCL >ORGLA03G0357800.1 pep chromosome:AGI1.1:3:30910836:30913657:1 gene:ORGLA03G0357800 transcript:ORGLA03G0357800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDSKPEDRTIKIKSEGEAEAAAAQKSACCGS >ORGLA03G0357700.1 pep chromosome:AGI1.1:3:30904816:30907677:-1 gene:ORGLA03G0357700 transcript:ORGLA03G0357700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQGAGGGGGRRWTQRPCRTGGGGVVRRTVAALAKEQGATAAAAVPRRAEGTGLSLVGKQRCIRGVSNFSSNTGSTTENDYICSDSRVFNYRNSSGTSTRCSASEQLKNGMGSCHSQPSVLAELMHFDTAKAETSFSSSRRSKFSYNWKSLHGSSTTSSYGSPCHPMFNLSKHSTNPKPPPPLKNSALMSNFSYQLVRSAESPKNAKYSLSEKMSHLLKPPNSSSHQNGNFTVGALKRRHNIAHFGGAINKLLKNEVHKKATPSEGRHWQTLLDNSLIRQNKLYCSEPRNEESTEQSWSSTDSESEKAVCFSSSGSIADLHASVSTDTSDSSDHSMSSSCLSVNDRWKMTFKKVHCALAANLDSMYVTNHKELEQPSPVSVLEIPDEDFSVTKSIKLDLHPESELVRCPSVESTAEVGEIGISDYALGVDGLDASLNGEAIQLVEDIFEEFGDEEEREFSYVLDILIVSGIHGTAEDQLYKVCQSLDCPAGYDVFEKLEKKYMKVAEWSRSDRKLIFDMVNTILSEILAPCLDMHPWVKSARKMAPVWGSEGLLEKILQMLVQRREELGLSKTKPEKKALDRKWPDLSDCIDRVGRDVEDMIKDDLLEEMLLDLFS >ORGLA03G0357600.1 pep chromosome:AGI1.1:3:30902040:30903084:-1 gene:ORGLA03G0357600 transcript:ORGLA03G0357600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSEVEVDGVVFPPVARPPGSGHAHFLAGAGLFVFALCVPCQWRSGNAVQANLLAGLIDPSCRCEGSGDRRQLHQVHGHRRVPGGGRGRAGAGQEVGRQVRRRARRRRRLLPRRRHRRFREVHEGDDDPAAHRRAVLGQGDGELRRGVEGRRRVHGRRGRGRGQVQGGLQAPQLPSGRVHPLHPLPARRPHRRVLQGLVGARGRRGGGGDREQGALRGGAGFHHRRARGLAGGEAEHSGPRLAAPEGGIHRRRGGGGARAGVRVKIELRINRASKSSSYTSCTLLLPSCLTMK >ORGLA03G0357500.1 pep chromosome:AGI1.1:3:30893982:30895482:-1 gene:ORGLA03G0357500 transcript:ORGLA03G0357500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFKDMKSLSCSSPASTAICPSLERQPMVRPHKGGAIAASPLCQVPGEPRTVHRQDCRRGQQHQHKAAAANGGELVSPAGSSRYLLSSRAAAAEEIQEVEASAAPAVDAKVVREEQAGSDVKNTLTQEQVVVLKVSLHCKACAGKVKKHLAKMEGVTSFNIDFAAKKVTVVGDVTPLGVLNSVSKVKNAQFWAAPPAIAA >ORGLA03G0357400.1 pep chromosome:AGI1.1:3:30889897:30890682:1 gene:ORGLA03G0357400 transcript:ORGLA03G0357400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDNHDSSNADKGFHGAYPSGYPGTYPLMQGYPNSPGQYPTPGGYPSAPPGQYPPAGGYPGAQYPPGGYPPSQGGYPPGAYPPSGYPQQPGYPPAGYPGHGHGPPMQGGGHGAGASGYGALLAGGAAVAAAAVGAHMVRPGGGGGHGMFGHHGGKFKKGKFKHGKYGKHKKFGRKWK >ORGLA03G0357300.1 pep chromosome:AGI1.1:3:30885205:30889048:1 gene:ORGLA03G0357300 transcript:ORGLA03G0357300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptidase M1 family protein [Source:Projected from Arabidopsis thaliana (AT5G13520) TAIR;Acc:AT5G13520] MPPVDPHSYTDGDHPVTAKAALAFYLDFAASTIHASALLTLSAPHSGDLLLDTRALAVHSASTASDPPSPIPFSLADAADPVLGSALTLTLPPDTTSFLLTFSTSPSASALQWLSPQQTASSLPFVFSQCQSIHARSVFPCHDTPAARITFDLLLNVPTQLSAVAAARHVSRRDPLPSDHRGACDDALWCAPGRIVEEFQMEQSVPPYLFAFAAGGIGFRDLGPRTRVYAEGGDKVLDEAAREFAGVEEMVKVGESLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKTNEDFWLNEGFTTYAERRIVEVVQGEERAALNMGIGWRGLNRMMERFKDNMEFTKLKPKMAGIDPDDVYSEVPYEKGFQFLWRIERQIGRPAFDEFLKNYISTFKFKSIDTETFLEFLKTNVSGIENQIDLQLWIEGTGIPPDAMEPESAIYKKICSLAAEFKSGKLPSEDEVADWSGQEWELYLENLPTDVEASQVTSLDERYKLSESRDYEVKVAFLQLAIPTGCRCYFNEVEKCLKQVGRMKYLRPLYSSLARCSGEEKMLAHRIFSEAHEFYHPIARSVAESILSKHG >ORGLA03G0357200.1 pep chromosome:AGI1.1:3:30866738:30870276:1 gene:ORGLA03G0357200 transcript:ORGLA03G0357200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPERSGTSSSSVLNSGDAGGGGGGGGGGGLFRFDLLASSPDDDECSGEQHQLPAASGIVTRQLLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXPVVAKKTRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDRAAIKFRGLEADINFNLSDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKFRGVTLHKCGRWEARMGQLLGKKYIYLGLFDTEVEAARYYSFDEVTCMTSHELPGFSLTFDSCLVEFGRAYDRAAIRFNGREAVTNFEPASYNVDALPDAGNEAIVDGDLDLDLRISQPNARDSKSDVATTGLQLTCDSPESSNITVHQPMGSSPQWTVHHQSTPLPPQHQRLYPSHCLGFLPNLQERPMDRRPELGPMPFPTQAWQMQAPSHLPLLHAAASSGFSAGAGAGVAAATRRQPPFPADHPFYFPPTA >ORGLA03G0357100.1 pep chromosome:AGI1.1:3:30858900:30859361:-1 gene:ORGLA03G0357100 transcript:ORGLA03G0357100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFFSLPLTCGADGIETTGAWHGTEHLPAASAAAMAELATVQSRWALGPGAHVLARLVDLAAVDVGTDLHGGGSGELPLEGGVLVILDGVVGAAGEEPHDGGPPVAEAVTVQFLVVQSLAPCRSTSRHSASSSSGLHGPFILSHSASTPISK >ORGLA03G0357000.1 pep chromosome:AGI1.1:3:30858035:30858547:-1 gene:ORGLA03G0357000 transcript:ORGLA03G0357000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSGRSGGTTAPLFSRIHTSLINVWRAISRAQVEVRPRWENIAPNNASSQTKNYEIMLGKKKEMMTCQHRHVSATSVKPPFKTTKGVKLHRFQ >ORGLA03G0356900.1 pep chromosome:AGI1.1:3:30855986:30857683:-1 gene:ORGLA03G0356900 transcript:ORGLA03G0356900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >ORGLA03G0356800.1 pep chromosome:AGI1.1:3:30851931:30855062:-1 gene:ORGLA03G0356800 transcript:ORGLA03G0356800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAPAAQVASNPRTVEDIFKDYSARRGALVRALTSDVDEFFGLCDPDKENLCLYGLANGSWEVALPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDSWLVSVAFFFAARLNGNERKRLFNMINDLPTVYEALVDRKHVRDRSGVDSSGKSKHSTKRTGEGQVKRSRVVAEEYEDDDEEHNETFCGTCGGLYNANEFWIGCDICERWFHGKCVRITPAKAEHIKHYKCPDCSSSSSKKTRL >ORGLA03G0356700.1 pep chromosome:AGI1.1:3:30848535:30851461:1 gene:ORGLA03G0356700 transcript:ORGLA03G0356700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58490) TAIR;Acc:AT5G58490] MASGGGGGGEGETVLVTGASGFIGSCLVRRLLARGYSVHAAVLNPDDKAETDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAQLMVPAVEGTLNVLRAAKDAGGVRRVVVTSSISAIVPSPGWPAGEVRDERCWTDLDYCEKNGVWYPASKTLAEKAAWKFAEENGLDVVVVNPGTVMGLVIPPTINASMAMLVRLLEGCTEEYADFYMGPVHVEDVALAHILLYENPSASGRHLCVQSIAHWSDFASKVAELYPEYKVPKLPKETQPGLVRAEAASKKLIALGLQFSPMEKIIRDSVESLKSRGFIS >ORGLA03G0356600.1 pep chromosome:AGI1.1:3:30843025:30847912:1 gene:ORGLA03G0356600 transcript:ORGLA03G0356600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine acid phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT1G09870) TAIR;Acc:AT1G09870] MAAPRTPLPLVLLLVSAALLAAAPLSPAAETGAAAFDVRRHLSTVTRYDVARGSNSVSSAPSMSDECRVIHLNLVARHGTRAPTKKRIKELDRLAVRLKALIDEAKQGPESDSLKKIPSWMKGWESPWKGRVKGGELVSEGEEELYNLAIRVKERFQGLFDEEYHPDVYSIRATQQVPRASASAVAFGLGLLSGKGKLGPVKNRAFSVLSESRASDICLRFFDSCETYKDYRKRKEPDVEKQKEPILEHVTSALVNRYHLNFTPKDVSSLWFLCKQEASLMNITNQACQLFNEAEVYFLEWTDDLEGFVLKGYGESINYRMGLPLLKDVVQSMEEAIVAKEVEHPDGTYEKARLRFAHAETVVPFSCLLGLFLEGSDFAKIQREESLDIPPVPPQGRNWKGSVVAPFAGNNMLALYQCPGKTDGGKISRDQKSSYFVQVIHNEAPVSMPGCGNKDFCPFEEFKEKIVEPHLKHDYDALCKIRPVAREEPSSFSSRMSNFFLGLFSQKGYRVSAQDVKSEL >ORGLA03G0356500.1 pep chromosome:AGI1.1:3:30835798:30840876:1 gene:ORGLA03G0356500 transcript:ORGLA03G0356500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGPHVIKLHDSAPALLGRAPMPPPPGARDELPPPSAVLVHHPRGGLAPHPAVAALEDRLAVRDRDIQELLVDNQRFAATHVALQQQLIAAQHELRAVSIAATRARAEREDEVRALAEQAARIEAEARAAVAARAEVDQVHADVQVLAGARTELVDRLQDLRGQLARFQAEAGKTESVRAQVETMRREIQKGRAAVEFEKKAHADNLEQSKAMEKNMIAVASEIEKLRGDLANAEKRATAVTATAPVANPGFPTTYGNSEATYPAPAAYGNSETTYAPTYGNTEAAYASTYGSSEAAYAAAYGNSDAYSTNQAHTRTDGNPHYMAPPVHYAQYDSQHTNVQR >ORGLA03G0356400.1 pep chromosome:AGI1.1:3:30829289:30833583:-1 gene:ORGLA03G0356400 transcript:ORGLA03G0356400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPQHGGMAGHGGGRTRSPFLTSYALTLAFITFVSVLYFKDFSSTLHQPFLTRPPPHRRQIARPRAPSHHHGGGSSLGFFAQSGGGDVVPPFAVGAAAAAGCDVGVGEWVYDEAARPWYEEEECPYIQPQLTCQAHGRPDTAYQHWRWQPRGCSLPSFNATLMLEMLRGKRMMFVGDSLNRGQYVSLVCLLHRSIPESSKSMETFDSLTVFRAKNYNATIEFYWAPFLAESNSDDAVVHRIADRIVRGTALEKHARFWKGADILVFNSYLWWMTGQKMKILQGSFEDKSKDIVEMETEEAYGMVLNAVVKWVENNMNPRNSRVFFITMSPTHTRSKDWGDDSDGNCYNQTTPIRDLSYWGPGTSKGLMRVIGEVFSTSKVPVGIVNITQLSEYRKDAHTQIYKKQWNPLTPEQIANPKSYADCTHWCLPGLQDTWNELLYSKLFFP >ORGLA03G0356300.1 pep chromosome:AGI1.1:3:30819884:30823083:-1 gene:ORGLA03G0356300 transcript:ORGLA03G0356300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPATMQRALAVVALLAAAAAIAAAQGESPELLPFAVGAAPEGCDVGEGEWVFDEAARPWYAEEECPYIQPDLTCQAHGRPDAAYQRWRWQPRDCSLPSFNATGMLEMLRGKRMLFVGDSLLRGQYTSLLCLLHRSAPGGGGGSRSFETVDSLSIFRAKDYDATIEFYWAPMLAESNSDGAAVPDDRLIRGAPMNKHSSFWKGADVLVFNSYLWWMTGDKIQILRGADEDMSKDIVEMEAAEAYRLVLHQVTRWLEGNVDPKSARVFFVTASPSHAGAGGECYDQTTPVGAADAASYRGSTSRRMVQVAGEVLAASRVPVGVVNVTRMSELRRDAHTQVYREQRWAKPTAEQLAADPRSYADCTHWCLPGVPDAWNELLYWKLFFPARDEAI >ORGLA03G0356200.1 pep chromosome:AGI1.1:3:30813518:30813892:1 gene:ORGLA03G0356200 transcript:ORGLA03G0356200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTPETAPPSALPSPTASPICPARRQGCRLAAVYIAAHSVVIESVLGQESRRRRCPLRRSVPHRHRSPLPARPPPTPRRKPFCRQVSANAFHTELLLAATDPCCQVAADAFRTELLLAAPPRRRR >ORGLA03G0356100.1 pep chromosome:AGI1.1:3:30807273:30808856:1 gene:ORGLA03G0356100 transcript:ORGLA03G0356100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WNQAAPSEQQRKKSKGRRRNRIFFVVDEKRLDTGGNGIYFVFTLNLKPMFADAGDDDDWATMRALPPPIARFDSLERCAERLDFALVGSNVVAVSTQKRTLLYDTAAAVVSNGPELRHATIGGTALIPLGTRLYATDNRPCEPDPCFQVLLPPATPVAGSGGRRRRHWSWRALPDPPADFSMVRPAPAMIFCNTTAFVAAGARIWVSAPDRGTYSFDTTAHGNAMAWRKVGDWELPWVRRAVFVPELNLCFAMCRTRYCLCAFDVPSAEPAAAAPVTRYAWVEETYPRECLERGYFPHGPASLAYLGDGRLCIGWTIIVEFGEQYGYSNMPTRFALLLMAVQVVAVAGEEGQLRLVKHKARCYLMSNRAQEIFLLQPSLRLGELDGDVVVLGPEDAERVLTPEQRVYCPRPPRPREEVGSPAIVIIASPALLAAARVAARWRGGEEEVGGGALAWSPPWG >ORGLA03G0356000.1 pep chromosome:AGI1.1:3:30798676:30801429:-1 gene:ORGLA03G0356000 transcript:ORGLA03G0356000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGSTPPRKNRSNVTGGEGGSLEEYAWRAAGEAAAAKKATRAWGVSVSLRSHFSSLVLLLLLLLVALAVSATTKNGDPAETPHAPPLPPPASIKLPSSSSSGGGECDLFSGRWVYDEAAYPLYRESACRVMSEQSACEKYGRTDLRYQHWRWQPHGCDLPRFDAEKFLGKLRNKRLVFVGDSLNRNQWASMLCLIDTGAPELHTSINSSRSLTTFKIHEYNASVDFYWSPLLVESNSDHPLRHRVADRTVRAASINKHAAHWTNADVLVFNSYLWWQRPAMKVLWGSFDNPAAVVAAAAEEGDEYAVSKVIDSLRAYELAVRTWADWMEFHVDRARTQLFFMTMSPTHLRSDEWEDAAAAAAGGNHGCYGETEPIAWEEYRGTSGTDMAFARAVEAEARRLGERGVAVRLINVTRLSERRKDAHPSVHRRYWDPVTDEQRRNPSSYADCIHWCLPGVPDVWNQLLYAHIVS >ORGLA03G0355900.1 pep chromosome:AGI1.1:3:30792521:30796973:1 gene:ORGLA03G0355900 transcript:ORGLA03G0355900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWLAALLWLVAAGCLGSGHGSTGSLVKQPDIGSPKGRTRQPPRVDDDDDDGRPDSFFMGWREYQACINYGDAKNAHLMSLDVAAERLRLFKADLLDYGSVAAAIVGYDDVFHVHTLAAAVTGTTNVRKACSEARLGLGRVVVVSYVSAAMV >ORGLA03G0355800.1 pep chromosome:AGI1.1:3:30779601:30781053:1 gene:ORGLA03G0355800 transcript:ORGLA03G0355800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAKAPLLEGRTGATPAQTLGNIVVSIVGTGVLGLPYAFRTSGWLAGALGVAGAGAATFYCMLLLLDCRDKLREQEEEVDHDGNYTYGDLGEKCFGAIGRYFTEVTIILSQTGGSVAYLVFIGQNICSVFPTTAAGGEEPPRRVSPAAVVLAVLLPAEAALSFIRSLSSLAPFSILADACTVLAVATVVREDVQLLAGRGGSPFQGRSALAGLWGVPFACGVAVFCFEGFCLTLALEASMSDRRRFRPVLLHAIAGVTAVYVCFGVCGYLAYGDVTRDIVTLNLPNNWSTAAVKIVLCVALALTFPVMMHPIHEIVEARLFPSAGGWARKRAAVQASRVAVVGAVTAVACFVPAFGEFAAFVGSTVCALLSFVLPALFHLRLVGAAASAWRRAVDGGFLLLGLAFAAHGLYTVVSGL >ORGLA03G0355700.1 pep chromosome:AGI1.1:3:30775982:30776765:-1 gene:ORGLA03G0355700 transcript:ORGLA03G0355700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1PGR1] MSSSGPPTGDGRDDASGPGPAGAAAAADGSVPVSRSIVERWKMEPAAARARLLLRAVAWLFSLLALVVMASNKHGHGGAQDFDNYPEYTYCLGISIIAVLYTTAQVTRDVHRLSWGRDVIAGRKAAAVVDFAGDQVVAYLLMSALSAAAPVTDYMRQAADNLFTDSAAAAISMAFLAFLAAGLSALVSGYNLAMEVLV >ORGLA03G0355600.1 pep chromosome:AGI1.1:3:30766406:30775202:1 gene:ORGLA03G0355600 transcript:ORGLA03G0355600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYQIRNEYGLSDPELYAPGEKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATASRGHGLMLRLRQLEAEFPAVEKAIISQSDHSNYPHDDGVEWHTNLQIDQNMITQGDMPRFILDSYEECRGPPRLFTLDKFDVAGAGASLKRYSDPSFFKTEHSSDMIETDAVIEKKPRKIKKKALRWRKGETLESLLIANSESHTTSKDRSSRKVPPRTTKLKYRYPRESDHKNISRICREHLQEIISSQQKIFSNYSSRYYHPKFRLTDSSETASSFGEIDNFSARAQSSAKLELTKVVPINEFDTKGIAPTHINGSDCLEALEADDRQLQATQHEPDKVEDVCKRSLVEQNAMLSNSDRMQSVQEENLLSAMVPADQNDDRCRPDDTGSDQENFVDALNNMESEGEAHAEMKIKKDPGAKMELDELNFHRDEGENERHTEFSELGHVIDSSPWLNDSYNGGEPNHAISSNTNFSGVDCTNDEEPSNDVDLMEMDVSSSSSVFSDDNDVFRTNGNMNGFQQYQEASLSNDHHAVIAHSSDKQSSQKSSGLDGSSIESNDFIEKPFHSLEDDKNFAPDGTSVILGRPNDVSQCEEEIEVGNADDSLLQPTISNQEVHRSNNQLEGVAMHASVSSGKVASFPDMDPGMCTKDLELDNVVVPKETVANTPPTGLGTDHIHEHVDELDSGVAPINSSIQSDSTYESDDDDMAEDLNSLPEDDLYKYDVEDLYKHVLEDDGIIALGKGPCSTRANTHQEDPMEISDVRGDFSNGQELPVLTETASPQGELVGGGELPLLTETASPQGGEEDLADEVVVISSRDLNDEKKPSLAEVPLACGDASLLDSSASCLEHDESTETGEIAKSDEVLVNVEVAEESITGRFTDDMTPFQEDLPDGAKYSEDAEFLANPRVDNSRHDVQLQSSSPCREELETVKAPCENLCALDESREHIFEKSVLQINNLPQHIETKNTGEACSDIDDIQHLSALHCPKNPVCQKELPDETNLSADVQYHCDVEKGGAVILNSKMVEEQPENIDLVREPRAQDSFGTNPFMDPGYKVNHALADPCPSYQPCFSEEEQDFISELLIPHGNMGIEDLNPVPVADSLWEPATPPDEVPLPSEVMTEEDFRSFCHEYHEMDLTATPESIDDKPASDSNVVSSSLVTSESEFLYCVSAVRTGVDQEESRDAPGDTLMHFSAKADPDDKAANSDLKSDEPFIDEKIHELGVPSVPMELEVEQHALHEVDSHGDSQLLDNDMIDETCSSPSGNSIAVKEKQETCANLVSRAFINERTDELEVPVSNSVLLEPSEEVHDSDEYNYQDVPWSSTDEGRDEVDAHPLSKQIQTQGSEALVLGELDSRAGPSCSVNEMADHVDAPPLSTVLEAEQEPEDCISGEHNSQVTKSSLVDEKIGELDDASPLSNTLLAEMEREVCVPGKSASQIASCSPTPSNEKIDELNAPPLSSSGLIELESEDSVSGDLDSQIILCSSPNDKTNEPDGATSTHVLPVELEQEVCSFPELDSLVAPCSLNDDKVCELDEPPCKQLESENGSYCLPQVDCQIEPCYSESVVLSEASTMSSANAMPSTEETYRLSSPDPPPNKPFSNVSYEDPQKPPPLPPLLWRLGKPRLGIASTKGHMLEPERGKGPVLHTSDAGMDNMPGCLSGMTESIEPVSSQEIKERHLDPILDNNERGVEFRRLATPPTANDVAVTEHVQLFSDACENIKHQERVSSSETEAEEHQNGTGITDVMDSHPPKPLFLVPSISQQGLQGSVFPSDTSDHGEHSSYTSRAVSEDEKTVDDHNAACAMDLHITSSSASSHVSENGCNQQSHGESLPVTSVDKVHTSDASCEDNKLKNHFITSEVCSDATNLSASGLLTEEENIHNVEDQYEGPLPSEESSGCLDYPHDDHNSEKEDIHQPDGYAASPGNNNHFDSSHEGGYLHAEQPPVMGWTVRPQMLHPNYGISMEENQFEPKVEDHLLIKKPVSIRNIPRNPLVDAVAAHDRSTMRKVSELVAPTDKSKPNERNLLLEQIRNKTFNLKPVSSAKQPTIRTPPRASTRNLKVAAIIEKANAIRQAVGSDDEDGDNWSESSDT >ORGLA03G0355500.1 pep chromosome:AGI1.1:3:30760348:30761153:-1 gene:ORGLA03G0355500 transcript:ORGLA03G0355500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAVPALAVVDARFVAGDAAALSVAKTLSLSGSDFTVTDAATGAVVLRVDGVLFSLRRRCLLADADRRPVLTVQESAMVMNRRWKVFRGESTSRRDLLFTVVKPSAIQLWGSTKVSVFLASNDAEQASDFRVTGSYHDGACAVSLGDSDTVIAKIDRRFSVASALLGKNAYSVTVNAGIDYAFIVALVVVLDEMHFQP >ORGLA03G0355400.1 pep chromosome:AGI1.1:3:30757319:30758478:-1 gene:ORGLA03G0355400 transcript:ORGLA03G0355400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVARFIIEVYFSTRSPQRRRAERKPTTRMAAAAAPTVVVVDARHCAAEATAFAVARVIGTTERDFAVTDAAGAVVMRVEGAVFSLRKRTLLLDAARRPVLTMTDSTYLMSSMWHAFRGDSTSRRSVLFSVVKESVVQVRTKIFVYLGGYRSADQVPDFVIGGNYYGGACTVFAGNSDSDADAAIAQITRPNLAGTLVGLTRSVYTARINPGIDQAFILSLVVILREMHHCNTSSRNWGL >ORGLA03G0355300.1 pep chromosome:AGI1.1:3:30753538:30755676:1 gene:ORGLA03G0355300 transcript:ORGLA03G0355300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41080) TAIR;Acc:AT2G41080] MAQPSSPQEKVKHSPSRSHLFTSNRTAALRRRWSTATALHPSAGISPATAGDGRGAAPVRALSLRSMVGLVALSLDTVATKDEFVRLCATGRLRDALRRPFRGVLWSDAARLFSHLFRACRALRPLRQLHAFAATSGAATDRFTANHLMLAYADLGDLTAARELFERIPRRNVMSWNILFGGYIKNGDLGGARKLFDEMPERNVATWNAMVAGLTNLGFDEESLGFFLDMRREGMHPDEFGLGSVFRCCAGLRDVVTGRQVHAYVVRSGLDRDMCVGSSLAHMYMRCGCLQEGEAVLRMLPSLSIVSCNTIIAGRTQNGDSEGALEYFCMMRSVGVAADVVTFVSAISSCSDLAALAQGQQIHGQVMKAGVDKVVPVMTCLVHMYSRCGCLGDSERVFFGYCGSDTFLLSAMISAYGFHGHGQKAIELFKQMMNGGAEPSDVTFLALLYACSHSGLKEEGMDCFELMTKTYGMQPSVKHYTCVVDLLGRSGCLDEAEALILSMPLTPDGVIWKTLLSACKTQKNFDMAERIAKRVIELDPHDSASYVLLSNIRATSRRWGDVSEVRKAMRDNNVRKEPGVSWVELKGHIHQFCTGDESHPRQKEIDECLEEMMAKIRQCGYSPDMSMVLHDMEDEEKEVSLSHHSEKLAIAFAFLSLPEGVPIRVMKNLRVCDDCHLAIKLMSQVTGREIVVRDVSRFHHFKDGRCSCRDYW >ORGLA03G0355200.1 pep chromosome:AGI1.1:3:30749736:30753152:1 gene:ORGLA03G0355200 transcript:ORGLA03G0355200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKQQLAVWPVSAAKKYAGFDGKAAAARERSGLANASFRVYYSLRAGAVPFLWESSPGTPKAAAVSSPARESSSAARGALPPISPPPSYQSVEMKKGGRCRPRSSWPAAAAAGDIAPLKGESVKPFPALVLASALTLAASSMVGGGGGHGVRTPANFPPRQKARLPRGPVHEKSLEQQKKGPSSSSPSVSSNKSPLQLAAAIVQPQKPLESPQHMVTPVRLQESPGPRTIPCSSGSVGSGSGAAPFDICIKRDDKCSIKLSRSLLEINREKRREREQLSKEAAPLQYLRPGMVLLKKFLKHDDQVDIIRRCQKLGIGSGGFYTPGYRDGGKLSLQMMCLGKNWDPNSRSYGDTRPFDGAQPPSIPEVFSKIVKDAIQASNEFLRQKARPANDVEELPPLSPDICLVNFYTSSGKLGLHQLDCVNVSFLQDKDETKPSLHKGLPVVSFSLGDTAEFLYGDVNDVDKASKVDLESGDVLIFGGKSRLIFHGVSRIKPKTAPNWLTDEAKLRPGRLNLTFRQH >ORGLA03G0355100.1 pep chromosome:AGI1.1:3:30742889:30746614:-1 gene:ORGLA03G0355100 transcript:ORGLA03G0355100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58370) TAIR;Acc:AT5G58370] MPLHVRIREKTSIASLLSSRRNWSGGGVDGGKKSGKREGAKTKGTRTTGRNKSEKREEHKEARGNAGQRRRWSDTNGQSDAKKNASQAVRRKRKGDHDSWNGDHSDTPYSKSKLTRNGPSTMTRGKASARKGDRFRSETLDEDDLHSRKRSNSMVSSVSRGGRSNSMVSGITREGKSQSVFSRNAEASSKGKKFDTPARVSRQKEAATDANLDDHGAESKKSDDSGQIAEEKPRPRRTRVLDKTGKKIRVAKKDPVSDIEETLPPKKRKRMKLDPYDTSNKRLEDSTAKQDVCSPEKIPEKSPPEETETSINAKFRAIQPSSSIISYVEDNLLGRRRLNEIKNAGYNVKLSAPLDNVPFSTSPERERIEENVFRNKLEFFAAAKISSSFPPPTLPEIAFAGVSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFRLASKLCLVDLPGYGFAYAKDEVKDSWQELVKEYVSTRVGLERVCLLVHTKRGMKPLDYELVDLMERSKTPYQIVLTKTDLVFPIDVARRAVEIQESLKKNKSVVRPVMMVSSKTGAGVRNLRGVLGKIARFIKP >ORGLA03G0355000.1 pep chromosome:AGI1.1:3:30739349:30740624:-1 gene:ORGLA03G0355000 transcript:ORGLA03G0355000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDADADELRLVLYLPMDMAKGHGACRPAHLLPPLAGAPPPPPPFRPPPLRAAASNAEARVSFRGWLGGPRHWDLWVAKLRPLHDRLWRHLGILDAIVASTYRFNHFLTGPAADPVVEPSCHPPAPPPPANCSRHCQSTRHSTFSSSGRGSDSRQSGPQRRTPSRPASLGPLAGMMSAIRSTQRCSARRSTPGAALCGSRIQSLCSRAAGFVAAMSPGTTSSHHSHIACVLASLWGWIALSSISRTVSRCSLDWIKMCPGMFNEPTTIAGLRGRPTIWRGRM >ORGLA03G0354900.1 pep chromosome:AGI1.1:3:30734914:30737804:-1 gene:ORGLA03G0354900 transcript:ORGLA03G0354900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEVVGAEGSQQDQFTTSTHEVVDEHNEVAEVEPVLAEPNIHGQCDGEKPDEGKVLREKDTEENAKNALGVEQIERQDKALTESCIHEVEQVDGQSERLTRTGVEEKHAEITQEQENEFDNGVMETSKVSVNGAMPCSTASIQSEGEQKEAFDKGMAEKQSNQDIESIDERDSLSDAAATVFEGADDHITLDTNEEATRKHTHDCGSICENKDTQMFQEGCKLDSGVKSDIDIMEIETQATEGIQNQETMELDKQEMEEEQNPGTTIENNKMKIPEEDASTFSCGEIQTDPHSTDVNEVEFTTGTQNDEHLDIKEELIMDRRLDCEIKYGNERPLEEANTFGGCDVGVDNIGVALDVNEENSIKEMQNQEIRSTEEFQAGETNLNNGAENVDEKRILEDASTLDIGDSTNAVVNGAESTEGTQILCAFNTEKELEVQEKQDQGTENENTNQNLVNTDSFECGVEPHGTLKTTHETFPTAQVVSTSGDTFSSKNEQNDVPWEDQNKSDAEVSESNQTAPKESERAIPPEHEDREEEKEENMENKIEISIGRENDEVSEQETSTEERIVAPSGMDDQDENNKGWAEESVQTYGRYASDPVNTSWQPSKFGKPGMEESRRTHSGRSIYLRDIKESQGRTRSETSNKLHINSAGYYSRHAVPEPVSVTREIKVPLYDSTRASGRDRGPELVVTGPPEETSRWRQEQYALQILEDVQNARVAEKTRMEMEIRILKAQVSSMQRQAMNLDRVGDVISRAKRH >ORGLA03G0354800.1 pep chromosome:AGI1.1:3:30731041:30732439:1 gene:ORGLA03G0354800 transcript:ORGLA03G0354800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:I1PGQ2] MQEACVHDGHVLPKLYAKVHHCVSWNAIHAHIFSVRSCENRRNCELPQHFRPSLLLDDHLPFKEFFFQHT >ORGLA03G0354700.1 pep chromosome:AGI1.1:3:30719465:30722622:-1 gene:ORGLA03G0354700 transcript:ORGLA03G0354700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01850) TAIR;Acc:AT5G01850] MSCGSDGGCRDGGGSEEFRRPRPSKVAAGDLVEPARCSDAAASPASWIDKKLLVDPKNLFIGSKIGEGAHGKVYKGKYGDQIVAIKVLNNGTTPEEKATLEARFIREVNMMCKVKHDNLVKFIGACKEPLMVIVSELLPGMSLKNYLNSLRPSQLDIHTAIGYALDIAHAMECLHANGIIHRDLKPDNLLLTANRKKLKLTDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLQRGEKKHYTNKVDVYSFGIVLWELLTNKMPFEGMSNLQAAYAAAFKQARPPLPEETPQELVFVVQSCWVEDPAMRPSFSQIIRMLDAFLMTIPPPPPSESNEDAESEETASSLNGKNSAVSSIVSRATSKLSVVRHLFASKKAGNGRT >ORGLA03G0354600.1 pep chromosome:AGI1.1:3:30715759:30718567:-1 gene:ORGLA03G0354600 transcript:ORGLA03G0354600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPGAHRAASSSGALAPAAFPAAAHFASRAAAPFLRQQPHPGGGGDSDGDNAVEEVDEGDDDEDDEEEEAELADGAPCSSQQRCASTPGIGRAGMNRGNGMRQIEEEQQWQHSHIYNCGNEQYGHASSREDEPSTIPREMRVENGYGVIGRREGGPASSYWDLLRAHLSDPLTWVSPNDCCSLGKGILMDDAMILSCGHSYGSNGMQHIYRMKACGKCGQPITEDSIRPNLALRLAVQAFKREEESAKSLKRRRERLEQDKCGNDEPNPTEIFRGKGVQFPFAVFDRVIIKGNKRTPERFVGRVAVVTAQCLNGWYVVKTLDNAESVKLQYRSLAKFTDGGQSSAMVANNAQNANWL >ORGLA03G0354500.1 pep chromosome:AGI1.1:3:30712111:30714556:-1 gene:ORGLA03G0354500 transcript:ORGLA03G0354500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELLETFEAAKKAADAAAGADDSPEADRCLDAMRRLRGLRVTTDVLVSTQVGKRLRYLTKHPHSDIQSMATDLLGYWKKVVIEEGKKNGTTENVGSINSAARAEKAQPMKVDKSSASGSVKPEKREVNVRGQKPESIKVEKITNNDSKNQQVKVERAPKEATRTPDTKKPSSVPNGPPKLTSLVKCNDPTRDKIRELLADAFSRVHGETSKDDREEVRNILDEVDARDPFRVAVTVESALFERLGRSTGAHKAKYRSIMFNLRADNNTDFRRRVLLGQVRPERLVDISPEEMASDARKLENKQIKEKALFDCERGGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >ORGLA03G0354400.1 pep chromosome:AGI1.1:3:30709453:30710169:-1 gene:ORGLA03G0354400 transcript:ORGLA03G0354400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNPSLHELAAGAAPRGRVVRILVRDADATDSSSSEDEAVAVAQPRPRRRGKVGGGGGGVKRRVMEAGAGEARPTARFRGVRQRPWGRFAAEIRDPHLRRRLWLGTFDTAEEAAAAYDAASVRLRGSSAATNFASVRCYSLPPELPKPTISPPEAAVRPITLPIGTAEPTLLPRVKEEGESCGGRVKEEASSCEVQVLAPEPMWTMISGKRKKRSGCGTRVRAFHAVSARVEEVGGA >ORGLA03G0354300.1 pep chromosome:AGI1.1:3:30704603:30705685:1 gene:ORGLA03G0354300 transcript:ORGLA03G0354300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMRRERDAEAELNLPPGFRFHPTDDELVEHYLCRKAAGQRLPVPIIAEVDLYKFDPWDLPERALFGAREWYFFTPRDRKYPNGSRPNRAAGNGYWKATGADKPVAPRGRTLGIKKALVFYAGKAPRGVKTDWIMHEYRLADAGRAAAGAKKGSLRLDDWVLCRLYNKKNEWEKMQQGKEVKEEASDMVTSQSHSHTHSWGETRTPESEIVDNDPFPELDSFPAFQPAPPPATAMMVPKKESMDDATAAAAAAAATIPRNNSSLFVDLSYDDIQGMYSGLDMLPPGDDFYSSLFASPRVKGTTPRAGAGMGMVPF >ORGLA03G0354200.1 pep chromosome:AGI1.1:3:30694625:30695557:-1 gene:ORGLA03G0354200 transcript:ORGLA03G0354200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRAYWDDRLTKIFLDICIAEKEKLNYNKKGLTKVGWQNVYRNFREQTCKNYDSKQLQNKFNTLKRQHSLWKKLKNKSGAGWDNNTGTIRCDDDWWEDRIEEDREAKQFRHKPLAHEDELTILFGSMDDVEDGTMLCVGGIGDRTPCGGSEDNCTPIPTGHVGLLEDNAGRSSVGREAQRAGKEQVVDSPPPKKTKNMEYYVERISESMLEKSRNESSVIRGEQEEVTELLLQVEQDGVAQGSELYYIATDLFRSPARRAAFRCIRAPEHRIGWLR >ORGLA03G0354100.1 pep chromosome:AGI1.1:3:30687383:30689856:-1 gene:ORGLA03G0354100 transcript:ORGLA03G0354100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVRTAKIFEGKDPVRWLSNLYDFYNKAAKPEQGESGGGADEHQRRVAEVLEALRLPPVEAVKLREVLEGHRLPDDPAPPSWMEFIEVPSPPPNLEYGVDLTNYLGAVGDEPEQTWWAALAAHRWVFLFGGLAILGLFGYGVYWMIKRRNRRGEAAPPGATGADPPDNPPPATAAASVQGGADSPARGGRGSPPPASEPPGGATSLDEEDVPPQEVYPEGTQFMILLFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPLPEKLPTIYRSLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERASNFNIALDDVSITSLSFGKEFTHAIEAKQGEAKSAQLIGEAINNNPAFLALRQIEAAREISHTMARSNNKVYLDSKDLLLGLQQLNVDSKNKK >ORGLA03G0354000.1 pep chromosome:AGI1.1:3:30674477:30674821:1 gene:ORGLA03G0354000 transcript:ORGLA03G0354000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSNTERCYGGGGYMRLGRNPRTGTEWSLTLWRAPDDPMMGDCRHVMDTRRLLDNISWCSADKKYRTGQWNGMWFSGVPEMASYSSMFANQVVVKPDGDRLHLHRRRPLLPPRAD >ORGLA03G0353900.1 pep chromosome:AGI1.1:3:30669115:30669354:-1 gene:ORGLA03G0353900 transcript:ORGLA03G0353900.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFFFPSGASALAALLHLPFYYPEACGNIGSKTRNTPHGACSPATRPRLATTAATSWRESRAAATSSSPPLRPQRRSCGR >ORGLA03G0353800.1 pep chromosome:AGI1.1:3:30651140:30651932:1 gene:ORGLA03G0353800 transcript:ORGLA03G0353800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAALVAWLARDVSAARAAARLAAAAASRAARSSAVASRPCARSAAVAARRAARQASVAASRAAHAVCYLDDYEPSGTFLTDFDESGDDFTDKDFESDEAIWALYERWXKAYDKKRDLAEMTHRFKIFKQNAEALHRSNEGASKYEKIYCGPYCDGFDEQEREALLKFRHFPRVCEYIESLEIVFPKSREVDSPNQSP >ORGLA03G0353700.1 pep chromosome:AGI1.1:3:30647854:30648374:-1 gene:ORGLA03G0353700 transcript:ORGLA03G0353700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRHLTQIFMWYLLKGVMLTKDNLVIFRATYWLQFWAQLQKCENDGELMKVACRRLETTVMQLFANYGWRFTNRLQ >ORGLA03G0353600.1 pep chromosome:AGI1.1:3:30643593:30644228:1 gene:ORGLA03G0353600 transcript:ORGLA03G0353600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDRGLAVSVSSLLIISRGVRAVSRALVRLALLVHVVDEDDDYRYCAAAAAGDAVVQPPRLRCCERCADRIRGGEDDDAAAAARLSRHDVTAVVASLGLVAADEDDEEGDHQEPCGACEEVAELAESKMAGESELRPAGGVPRVRPRRGRVRERGGAAERAAEAGHGGGRAARRLREDDRGARRRRRRPDQLPRVQGHDGERGLEDTPH >ORGLA03G0353500.1 pep chromosome:AGI1.1:3:30638817:30641182:-1 gene:ORGLA03G0353500 transcript:ORGLA03G0353500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRFSLLLHLRRMISTVVTLSTPVEGSPLKFHPDLNKEVNEFEDATLHVDVILLKMIWRVGDSLRDLQAEFQNWEAGLNSEQKPKSLWEEFFAIGEEFVEFLENELKIDDSSAAEVDANDPYTQSGGKNKQDGNTNTNTSTSSFDDSVSEIAVALENLKKELMVLGHEVAARRRDAVLVHPLIVFPHLPVHAPATLVRSAGLGRREAERESRHGGGGGDPS >ORGLA03G0353400.1 pep chromosome:AGI1.1:3:30635964:30637562:1 gene:ORGLA03G0353400 transcript:ORGLA03G0353400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEDGEEKMAGKNKHGFPLGFRFVPEDQELLDIPDDKLRGAPLDRAHDAVFHEARILDFHTAKLYGATMGLAAMPFAAGMRHAWGRLFRWRGREALRAWQLPANRRLWGDPGSSASGWASSPRLTLCLLSSKPHAVVGVGHVAAAAAAEEGAGGWQEKGHLDALALALAPSTSPSASPGSSPAATPSSAPRHDAHPAHGGFADRQYPAFLTGEQERWTRGGHDTKAVSDVVDIVVAARRVGIGEDSCGAGGAAPFDDDRYVEGHVGVFKLGLFSAQSETIRVLEFYVKGVRTNWGMHEFIRIIGPDNEVTAKTLRCVSVIQVTAKAPI >ORGLA03G0353300.1 pep chromosome:AGI1.1:3:30632759:30635573:-1 gene:ORGLA03G0353300 transcript:ORGLA03G0353300.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLYXRLEGVVLSALGFCGVTGAAFRXXDKVIKPLLESDGGGGGGGGGSSGGGGSEVLERRVTEVLQVYGLPGKLPELRLPGMLPKPEPRLPDEPKPPSWMVFVEAPLPPPSLSYEDVGNGDSNHTITMVVADVHSEPPLQGWWLPRVLACLRRKIEQLRPRHVVYLIGAAAIVTAGYTIYVFVKRRRRRRDVRPPLPGNGGQPPPGGDHPQAPKLKHLPDDRAASGGDDAEYDEDQGPGDGDETGGEGSAAYGLHDIAAFAVAFSNSPTGPTLAVENNPAFLALQQIKVAREICNNKAVRLLQLLNPEKSHFSIPWFERLTIFDVCPRPNLVESTSGSRDLQMVRPGLGVLTRPLPTKYRSLGDNFCERVLTSLMHETLKAVVAQYNASQLIIPREFKSFRKEFTHAIETKQVDEQEAQRAKFIVEKAEQHKRKAVITEQACLNRVKLRVHWSSLQLEEEELGIFEKRDSWLPCNSNEILG >ORGLA03G0353200.1 pep chromosome:AGI1.1:3:30630660:30631088:1 gene:ORGLA03G0353200 transcript:ORGLA03G0353200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLRSARRESTLRTRNSWRSTSPRWRLLALQGPFVLSRAEPPTHGLFARSLALFADLHGVRGGEEVDRKARKMQRLPPCRILSQELDVLVELDRAGGPARTDQATARMAQPVGGSGGGGAWRRRSRGHRPTTSASLDYVA >ORGLA03G0353100.1 pep chromosome:AGI1.1:3:30624922:30625524:1 gene:ORGLA03G0353100 transcript:ORGLA03G0353100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQQLVASIDRGLVLSVSSLLIISRGVRAVSRALVRLALLLVHVVDEDDDYRYCAADAGDDGVVQPPRLRCCERCAAAPWLSRHDVAAVVASLGLVAADEDDEEGDHQAPCGACEAVAAVEKLAESKVAGEGELREAFRVFDRDGDGYVSAAELRSVLRRLGMEEGARHGDCVRMIAAHDGDGDGRISFQEFRAMMENAV >ORGLA03G0353000.1 pep chromosome:AGI1.1:3:30618927:30619571:1 gene:ORGLA03G0353000 transcript:ORGLA03G0353000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFVATFEYCSLAVSVSSLLIRFVLHPLVRDAIILVMSRAGASSLSCALLGLLAHDDSALFAADDRCAGAVAVVEPPPPLRRECELCARRGGAGLSRHDVAAVVASLGMVAAGEDDDDDDDEACGVCEAVAAVEEMAEGKVAGEGELREAFYVFDRDEDGYVSAAELWNVMRRLGIEEGARYGDCVRMIAAYDGDGDGRISFQEFRAMMENAV >ORGLA03G0352900.1 pep chromosome:AGI1.1:3:30614925:30616019:1 gene:ORGLA03G0352900 transcript:ORGLA03G0352900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQGDRYWCHHCEEVIEPVEPDMKCPSCDSGFVEEMGSAGFEPSTNLRSDRISLWAPLLLGMMGGSSRRARLRRQIMEDDDDDDEDEDDEDDSDHELEDLFRRQRRGSSLVRLLQTLRDDLRGLDDIGRDSDRDRERERRERERLRERERERERMRERERERRRERTESLILINSNNEAIILQGTFGPDDNQDDSSNTSSGVSLGDYFLGPGLDILLQRLAESDLNRSGTPPAKKEAVEALPTVNIQEVLGCSVCLEDFEMGTEAKEMPCQHKFHSQCILPWLELHSSCPICRFQLPTEESKNPCESAGGIVSVNDDGDDAGTSSDVDSANQPGSPIFSALSALFSNPSSSSDDNAPHSSES >ORGLA03G0352800.1 pep chromosome:AGI1.1:3:30593888:30606939:-1 gene:ORGLA03G0352800 transcript:ORGLA03G0352800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA GYRASE A [Source:Projected from Arabidopsis thaliana (AT3G10690) TAIR;Acc:AT3G10690] MALSAALRLPLPRLLWGPTGSLLAAAAAASRRRAAVVAVPAARFLSSSSDGSRHVQPLRAGRDERAAAGEGGAAVKERVVPVELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILYAMHEMGLASRRPFRKCARVVGEVLGKFHPHGDSAVYETLVRMAQDFSMRYPLVQGHGNFGSIDADPPAAMRYTECRLDSLTEAMFLTDLELNTVDFVPNFDNSQKEPSLLPARVPSLLLNGSSGIAVGMATNIPPHNLGELVDVLSVMIENPEATLQELLECMPGPDFPTGGTIVGNQGILEAYKTGRGRVVMRGKTDIETIDVKSKRSAIIIKEVPYQTNKSTLVERIAELVEEKVLEGISDIRDESDRSGMRVVIELKRGADPAIVLNNLYRHTALQSSFSCNMVAILDGQPKLMGLKEILQAFIDFRCSVIERRARFKLSQALERKHIVEGIVIGLDNLDSVIQIIRGTSNHAMARESLIKEFGLSDKQAEALLDITLRKLTSLERKKFVDEAKSLSEEISKLNELLSNKKLIFQLILQEATDLKNKFATPRRSFIEDSASTEVDDLDIIPNEEMLLILSEKGYVKRMKPNTFNLQNRGTIGKSVGKMRMNDNTSDFIVCQTHDHVLYFSDKGIVYSARAYKIPECTRAATGTPLVQLLSLSDGERITSIVPVNEFGEDQYLVMLTVNGYIKKVPLNAFSAIRTSGIISIQLAPGDELKWVRRCGDDDLVALASQNGMVIVNTCNKLRALGRKTRGVLAMKLKEGDKMASMDIIPATSHNMPETYSRVRDLSPPWLLFIADNGIGKRVPLNAFRQGNFNRVGLQGYKLPPDCSLAAVFVVGFSLTDDGESDEQVVLVSQSGTVNRIKVKDISIRSRSARGVILMRLEHAGKIQSASLISAAEEEEEQDPESASLISEAEEPEKQDPEVSA >ORGLA03G0352700.1 pep chromosome:AGI1.1:3:30589925:30591877:1 gene:ORGLA03G0352700 transcript:ORGLA03G0352700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >ORGLA03G0352600.1 pep chromosome:AGI1.1:3:30586027:30588123:-1 gene:ORGLA03G0352600 transcript:ORGLA03G0352600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINPVAGEVAAATAKAPPPAMATVRAPLPANHYSPYHSASAAGSYAANTQSTSSPVSPASPAMISSSSSSLPPQQQRTWQPQPTTFSQANPGHAYQQDHLPAVAGRRFFPPPAMQMQYYHQQPAGVAMVGSGHPMAAPVHSSPLATTSGSNHAVVPDAPPQEPAKRRRRNTAAAATARRGRGRPRRATASSAHSAPPPPQQQQPTTSAPAITAQRNDDVNQEDEQPHARARPSAGXTASRSRSAISREGRRRTGGXRSIASRSFRFHLAKKIQTAWTRXXHFETCIKWILTSYDVTIVGPHINPISVDIIVVXVSKSLKNVYNVSLLRMKVVKLKLNSRGGTYKRTAYRFFFSCKFISKAIXEFEFVXNGANIACKHHQITSSCIVLQLVSSVISXSCYISTCSSCQLTPMLSQDIVVFYFLQLDEWVLCKLYHSFAYKQKGKCKVHEEGSKSDRGVQDLSIDDDRKTCDIEANKPNGV >ORGLA03G0352500.1 pep chromosome:AGI1.1:3:30584209:30585270:-1 gene:ORGLA03G0352500 transcript:ORGLA03G0352500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:I1PGM9] MKVRASVKRLCAYCKVVKRRGIVFIQCKANAKHKQRQGFSTLAEAAAACHPPPPPLLTNTSPAVAAAVAEASKVAKQEPSMKFNWPLGLAALLKNSDK >ORGLA03G0352400.1 pep chromosome:AGI1.1:3:30581876:30582268:1 gene:ORGLA03G0352400 transcript:ORGLA03G0352400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSLRKSNARLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRLGNAKDTRGTAFVVYEDIYDAKNAVDHLSGFNVANRYLIVLYYQPAKMSKKSDVKKKEEEITRLQEKYGLGSKTPSSAPDA >ORGLA03G0352300.1 pep chromosome:AGI1.1:3:30579486:30581308:-1 gene:ORGLA03G0352300 transcript:ORGLA03G0352300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:I1PGM7] RKSGLPQGYKGCQFHRVIKDFMIQGGDYMKGDGTGCTSIYGTKFDDENFIAKHTGPGLLSMANSGVNSNGSQFFITCAKCEWLDNKHVVFGRVLGDGMLAVRKIENVATGPNNRPKLACVISECGEM >ORGLA03G0352200.1 pep chromosome:AGI1.1:3:30577774:30578649:-1 gene:ORGLA03G0352200 transcript:ORGLA03G0352200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVQERPGSLPSLVLELGVPTAKLMQEISTGGGHVRVALECEKRPKKLPSAPPEQASVSLLEEAMWTAYVNGRRVGYAVRREASEGDLAVMQLLSTVSVGAGVLPGDVLAEPAGAEGDGEVTYMRAGFDRVAGSKDSESFYMVNPDGDAGAGAGGGTELSIFFVRV >ORGLA03G0352100.1 pep chromosome:AGI1.1:3:30571809:30574480:-1 gene:ORGLA03G0352100 transcript:ORGLA03G0352100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGNPPLNAAEAVLKRPRSVASRKPRSKEQLISEFKDLSCTPSRSISPEDDAGVEGSGHRHKELYLNGPEARGSVPHRSDASRKIKRDDNRAAGDHDEQNKSSKSKDGKHTSEGVLALACTRNSGSPDGQHLPPKDTTSMPGLRKVKLKVSGITRPLHTKNIQEASDGGTLGTSDGSSHRHKQKDSGGHKHHQDKHDVSPSSDLVRKSKRIPKKRTLDGDSGDEDGGSGYLVKFKIAKVVPEQSIATDHAGEYDESLEDDIKKKRLSKVSKNKSLPYEVDEDFTMYRSGRDGRKKLKLVDSDDFIEEEPEMDEPKKRLSEADSHSDVKNETTGLITRQRALQGRGGNGETLIEFPDGLPTASSRRQKDKASEVEAQAKKAEAAQRRKLQVEKAEREQQAEAVRKILGIDIEKKKEERKQKERDEKEKQEKTEEYRRNCIQCVMGPEGTVVTFPDKMGLPSIFDSKPISYPPPREKCAGPSCTNPYKYRDSKTKLPLCSLQCYKAVQGSSETLTC >ORGLA03G0352000.1 pep chromosome:AGI1.1:3:30555810:30557140:1 gene:ORGLA03G0352000 transcript:ORGLA03G0352000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVEVAAAAAGRMQGEAVVPMMLPPFFMDSGIWPAAAGVVDVAASAEEEAAAAAAAQDRALAASRNHREAEKRRRERIKSHLDRLRAVLACDPKIDKASLLAKAVERVRDLKQRMAGIGEAAPAHLFPTEHDEIVVLASGGGGVGGAGGAAAVFEASVCCDDRSDLLPELIETLRALRLRTLRAEMATLGGRVRNVLVLARDAGGAGEGGDGDDDRAGYSAVSNDGGDFLKEALRALVERPGAAAGDRPKRRRVVSDMNMQAAA >ORGLA03G0351900.1 pep chromosome:AGI1.1:3:30551249:30553189:1 gene:ORGLA03G0351900 transcript:ORGLA03G0351900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHFVLLISRQGKVRLTKWYNPYPQKERSKVIKEVSTLVLTRGPKMCNFVDWHGYRVVYKRYASLYFCMCIDAADNELETLQIIHHFVEILDRYFGNVCELDLIFNFHKAYFILDEVLIAGELQESNKKAVLRLITTQDNLVEAAKEEASSLRNIIAQATK >ORGLA03G0351800.1 pep chromosome:AGI1.1:3:30544425:30549428:1 gene:ORGLA03G0351800 transcript:ORGLA03G0351800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACGYHSPCFSEDIAFLPQWLQPHRPLAVGEHGKDSAVVSSPSCVNCAFIGGPAQEQHSCLNTMVNAASCSGFSLHLSGDEGTPTGTTPSNGNVVPFSLHLSSESTSKLSSTQANGLNSVTCKDVLGGFCIDDQAQEIKTVPQNQSEAKDLREICKMSSEEINKTCDSKGHRRQQLSGRKVDVRKLRSADVNDAVELSIAASEAMVIAEMILLDSQSDKLATASLEAALHVKEARKQCFLEELEHSCGSSESDLDETYGLSELDETEMLDAFQDVGLSLVQTACASQGQNISGLKQEISHASSHPCDAEAHVLESSPEKQNIRWNSHDADTNDHVSDSLASHNKEGGVVAVQTNVGTRKHVKGLFNKETSFISESMDGMDEFPSPSRIASMEMAASSRASFLHKIKGSCEENQGAEAAQLCSQVVCSNLSLVDPLCSIVPCSISFNEGPPSQAPECIQSKGDKELISTKEFPSKQDLEGEAGPSCTPVSNILLRRRKYSSLRPFSTIAPRPYVSKSTEPHNDVDEAVCQQGSFAAVTLNKKIRRVQASKVCVENNFEAGNLHEFSKVLKNPSYAQGVSEHQNSMKSLKRKKAQFSEAKISTRKTKNIRRTQTKSRFSWSHSRLIDTIEPREYIDNKEALFHGLDFLLTGFQSHKEKEIEPLIRKFGGYVLSRVPSCPLDKRSKLAELARCKPPIVLSPKKVSTAKFLYGCAINSWILNPSWLFDSIQAGVMLPPGKYFIRQVHAMQGISMFDQSLHLRKNTLLFDGVGFLILGKISFCSKFSNVIKHGGGQVFASLQGLVQSLKDRSSSHGIILVANEASASRHLSYCGLEHDIKTAPASWVIGSLYSGKLIPLKKDRCASFRKIKMPSFQQPQAFDMSQEI >ORGLA03G0351700.1 pep chromosome:AGI1.1:3:30537302:30543653:1 gene:ORGLA03G0351700 transcript:ORGLA03G0351700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALBINA 1 [Source:Projected from Arabidopsis thaliana (AT1G08520) TAIR;Acc:AT1G08520] MAMATTALSASLPRLLPPRRRRFPTPSSSSPSAASTSTSRVVRLRAAAASAPSEVLDSTNGAIPSGKGGGGQQYGREYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAMLPPIEVVVGSIANADPNYPEEWEEGLANQVQYDADGNLKTEIIKTPFVQIPLGITEDRLIGSVDVEASVKSGTTVFQPGLLAEAHRGVLYVDEINLLDEGVSNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMSFDDRVAAVDIATQFQESSKEVFKMVEEETEVAKTQIILAREYLKDVAISTEQLKYLVMEAIRGGCQGHRAELYAARVAKCLAAMEGREKVYVDDLKKAVELVILPRSILSDNPQEQQDQQPPPPPPPPPPQDQDSQEDQDEDEEEDQEDDDEENEQQDQQIPEEFIFDAEGGIVDEKLLFFAQQAQRRRGKAGRAKNLIFSSDRGRYIGSMLPKGPIRRLAVDATLRAAAPYQKLRREKDRDKTRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDFAEVLLPPSRSIAMARNRLEKLPCGGGSPLAHGLSTAVRVGLNAEKSGDVGRIMIVAITDGRANVSLKKSTDPEATSDAPRPSSQELKDEILEVAGKIYKAGISLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKTALSDLKSS >ORGLA03G0351600.1 pep chromosome:AGI1.1:3:30529267:30532695:-1 gene:ORGLA03G0351600 transcript:ORGLA03G0351600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGEASLGGFKIGPSTLVGRGVAIRVLLLSSLWRLRERAYAAASRVRGAALPVVAPWLHLRNTHGILLVVVLFALFLRRFSGARSRAALARRRLQCKKAMRYAASYEEWARAAKVLDKMSEQVSESDFYDEELIRNRLEELRRRREEGSLRDVVFCMRGDLVRNLGNMCNPELHKGRLEVPKLIKDYIDEVSAQLKMVCESDTDDLLLEEKLAFVQETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIVAGSSVGSIICSIVATRTWPEIQSFFVDSLQTLQFFDRIGGIFAVTKRVMTYGALHDISQMQRLLRDLTGNLTFQEAYDMTGRVLGVTVCSPRKNEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKNRFGEIVPFHAPFSTDPEQGPGASKRRWRDGSLEMDLPMMQLKELFNVNHFIVSQTNPHISPLLRLKEIVTTYGGRFAGKLARLAEMEVKYRCNQILEIGLPLGGLAKLFAQDWEGDVTMVMPATAAQYLKIIQNPTYAELQMAANQGRRCTWEKISAIRTNCAIELALDESIAVLNHKRRLKRSMERVASASQGYTCSSVIRTPRRVPSWSCISRENSTGSLSEDCFATTSSSTHQGIQVVATPNVIHNDGSESESETIDLNSWTRSGGPLMRTSSADMFINFIQNLEIESEFNTGNSSGSTVSKDSCPNNNSGVTAQGTDRSTDTSETGSCNTGNNIASQPSTSTSIAVSEGELLQPERSTNGILINVVKRKSVFGEHESEAETESYVDTTNLDTCDAISASDCPGDNKDAADSNDLSAAHTDSVTSQHSSADE >ORGLA03G0351500.1 pep chromosome:AGI1.1:3:30526466:30528463:1 gene:ORGLA03G0351500 transcript:ORGLA03G0351500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G52340) TAIR;Acc:AT1G52340] MSAAAASSPAPRLESKVALVTGGASGIGEAIVRLFREHGAKVCIADIQDEAGQKLRDSLGGDQDVLFVHCDVSVEEDVARAVDATAEKFGTLDIMVNNAGFTGQKITDIRNIDFSEVRKVININLVGVFHGMKHAARIMIPNKKGSIISLGSVSSVIGGLGPHSYTATKHAVVGLTKNVAGELGKHGIRVNCVSPYAVPTALSMPYLPQGERKDDALKDFFAFVGGEANLKGVDLLPKDVAQAVLYLASDEARYISALNLMVDGGFTSVNHNLRAFED >ORGLA03G0351400.1 pep chromosome:AGI1.1:3:30523408:30525219:-1 gene:ORGLA03G0351400 transcript:ORGLA03G0351400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAWSSRAAAKRDVRVAVIGDHGTGKSSLVATIATGRFPDQDDGVARVLPPARLPVDYFPARITVTIVDTSSRPNTLERITTFWLPKIRRLLQSKVPVILAGCKVDLSDKQQQAGLENVLDFIMCTFREVEIYLECSALHRIKVDEVFYCAQMAVLHPTTPLFDKATRSIKPRCMMTFQQIFSLYDRDKDGAVSDAEMNAFLVRCFKVSLQPAEIADMKRMVQQHMIGGVNDNGLITFIGFLYLHVVFIAKG >ORGLA03G0351300.1 pep chromosome:AGI1.1:3:30515275:30520994:-1 gene:ORGLA03G0351300 transcript:ORGLA03G0351300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase [Source:UniProtKB/TrEMBL;Acc:I1PGL7] MAAAATAANLAGKQGVRVVVIGDPGTGKSSLVVSVATEAFPENVPRVMPPTRLPADYFPDRVPITIVDTSSSPEHRAKLIAECQAADAVVLTYACDRPATLERLSTFWLPELRRLQLKAPVIVVGCKLDLRDEQQVSLEQVMAPIMQTFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQEAQSLKPRCVRALKRIFILCDHDRDGALSDVELNDFQVKCFNAPLQPTEIAGVKRVVQEKMPEGVNDNGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNEIKLRDDLIPTIKRAPDQTLELTGQAIDFLRGIFNMFDTDNDDALLPAELDDLFSTAPENPWSNNPYVDCAERNVLGGLSLEGFLSKWALMTLLDPANSFANLIYVGYSGDFGSAFTTMRKRRVDRKKQQTQRNVFQCYVFGPRGAGKTALLQSFLGRQPSDALPMNGERFAANTVELSGSRKTLVFREIPEDDVRPLLADRESLAPCDVAVFVYDSCDEFSWQRTRDLLVEVATHGENTGYEVPCLIVAAKDDLDQSPLALQESTRVSQDMGIEMPIPISVRLRDLNNIFCRIVHAAQQPHLSIPETEAGKTRRQYRQLLNRSLMVVSVGAAVAVVGIAAYRVYAARKNTSS >ORGLA03G0351200.1 pep chromosome:AGI1.1:3:30504480:30508259:-1 gene:ORGLA03G0351200 transcript:ORGLA03G0351200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEDGRRLVAGCIPFRYRTSNDETSDDEPKKIVEVLMINSQSGPGLLFPKGGWENDETVEQAAAREAVEEAGVRGDIVQFLGFYDFKSKTHQDACCPEGMCRAAVFALHVKEELDSWPEQSTRRRTWLTVPEATSQCRYQWMQEALLTGFSDWHDNWSKGGGGDTNYDSL >ORGLA03G0351100.1 pep chromosome:AGI1.1:3:30493314:30494375:1 gene:ORGLA03G0351100 transcript:ORGLA03G0351100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYMAVAAAPAPPASLTLLPRTTTVIRDRERFDAAVPVAPLVLRHGAGVKHKAVVVMGATGTGKSRLAVDLALRFGGEVINSDKMQIHSGLDVVTNKVTEEECAGVPHHLIGVARPDDEFTAADFRREAARAAAGAVERGRLPIIAGGSNSYVEELVEGDGRAFRERYECCFLWVDVDLEVLRGFVARRVDEMCRRGLVREVAAAFDPRRTDYSRGIWRAIGVPELDAYLRSRGDGADEEERARMLAAAVAEIKSNTFRLACRQHRKIERLDRMWRARRVDATEVFRRRGHAADDAWQRLVAAPCIDAVRSFLFEDQERSSIAAGKPPLFAAGKATSGNISVFASMAAAAAI >ORGLA03G0351000.1 pep chromosome:AGI1.1:3:30487839:30488722:-1 gene:ORGLA03G0351000 transcript:ORGLA03G0351000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEMEMDGMVVAGAIMGCGGNESESDREQPPGLQDETRRDAGLGLWTACALRPCLPVDRLLRRKYTVGVAQPLRENDAGMTSRAVSRGNSTSPRGFTD >ORGLA03G0350900.1 pep chromosome:AGI1.1:3:30481871:30486301:1 gene:ORGLA03G0350900 transcript:ORGLA03G0350900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEDVEFVDYDRDEEEEEDAMDEDDRGGGRGGRALPVPHIVSQGVMRSRGRLLGRSTSVLASNRDRFDSLADAGNPGHGPQRSIEGWILLVSGVKEDAEEDDLYNTFSDFGHVKDLHLNLGRRTGYAKGYALVEYESFEEAQTAIKAMNGTQLLTRTVYVDWAFSRGPIQKLTSTRPLHRRSRTPPRRLAALTC >ORGLA03G0350800.1 pep chromosome:AGI1.1:3:30479244:30481551:-1 gene:ORGLA03G0350800 transcript:ORGLA03G0350800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05250) TAIR;Acc:AT3G05250] MAAAATSPSAASSSGGSGGNTAEGEMAAASAACACPICLDSFLDEAYLDTCFHSFCYKCICQWVKIVSTKHAEPLSSVQCPLCKTVNVSIIHGFNGESFERHYINQDPRKRHLSDAHDLITQFYSIRDIIGNTSSVQQFWKQRKYLRKNIWLQTWLRQEIQALTRDENVDAFIYHIHGVIESFMKRQEKGHASKMAPPEKRREEFKSLLMEAARPFLLGQTERFVAEVELFLVSHLNIDAYSRLRVQRLKESTSHVSREQDVLPQDRSLEDHYLYFLGDETDCNDEI >ORGLA03G0350700.1 pep chromosome:AGI1.1:3:30473854:30478632:1 gene:ORGLA03G0350700 transcript:ORGLA03G0350700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinase associated protein phosphatase [Source:Projected from Arabidopsis thaliana (AT5G19280) TAIR;Acc:AT5G19280] MAIPPLAVSGVAVATLAVLGLAVFACRRWRRGASPAPPPPASSQDDDINMPLISDNLDDYSVSSNSSTVDESGIRIDRIITSPKTHGIVGKGATYPTESHVIEGETHVIDVTNSKTEELYLGNTLKRPAVANGPTPDVKHIRRDSGESNHNGTIPDIIVGSNLALEVIAGPSHGINHYTQSGNKSMLPVTLGRVPPSHLVLKDSEVSGKHAQIDWNANKLKWEIVDMGSLNGTFLNSRSVNHPDVGSRRWGEPAELADGDIITLGSSSKVSVQIELQNQQPVGVGIASDPMTARRTGKKLHMEDVSCCQYPLIGVEKFGLFGIFDGHGGDGAAIAASRILPQNIANILSQQETKERVLSCHSASDVLRHAFALTEAALHHQYEGCTATILLIWFDQNEDCFAQCANLGDSACIMSVNGEIITMTEDHRVVSTTERARMANSGQPLKDGESRICGLNLGRMLGDKFLKEQDSRFSSEPYVSQAVRMTKACLASALIASDGLWDVISANRAAQLVLEGKQKYSEQKTSADKVAHHVLSEARKLRTKDNTSVIFVDLDTLRSDP >ORGLA03G0350600.1 pep chromosome:AGI1.1:3:30466646:30466939:1 gene:ORGLA03G0350600 transcript:ORGLA03G0350600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNFGFDPIPFHLLQQRERRHVMVALERTLCTTAATSSPFPLIWTKPGQAIEPAFSPRPPLHFPQESRRMVLRVRRSATVFFTSGRRRHCRFRLLR >ORGLA03G0350500.1 pep chromosome:AGI1.1:3:30463004:30463261:-1 gene:ORGLA03G0350500 transcript:ORGLA03G0350500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGGGFGGGWRGRGRPEVRERADRWAPPVSARDGEGGRVNFRSGREEWAGAGRNGPAAQEKEKGGKRKDKKEKGFSQRFNIALCLF >ORGLA03G0350400.1 pep chromosome:AGI1.1:3:30455343:30459722:-1 gene:ORGLA03G0350400 transcript:ORGLA03G0350400.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEPFDEAELLALPASPVASPPRRFKRLKKSSQIATAAHPVVGSPPPPSPPPPPPLDEETLAQFPSPPTNPSPPPPPPLDADAAAEAAPSPALTSPPPNPSSSPLPPTDTTEEEEEEEEDDGLDPLFSETCGAAGWDPLGMPRMEGEGDEEEMLGGGLIEELRREKSAKKRLDMDEGEEGGGEIAMDAEVKGKRSKRRKKDEAPKDSARGKKRSEKERRVQLDSIHAESQRLLRETRSVSFKPSVQPVYKPISSVLEKIRLRKLEILKKSVSLLFLSATPNDDDDEEEDDVSSDPVSGTAGDLGAPQVKEVDAEGKDPKIDDIENEGGMNSGDVNQCDSVPENKDALNCDKDLDNCGSKDLDKELLENSQDNLEDKAQSSDNPNNAADEIQSPPSSSPTESTDDISSEDEEYNDKENIAPSTPKDDVNVHEPLQRALAGDSCPDDAILKDFLDVEAEEEDDSDNDMMRFKDNEEDDGSDENEVFNDLIEAGYEEGEIDHEKRNALHQKWLQQQDAAETNKFMQKLKFGHQEQKKVMDQDEDDAEDCEDESENEMSYDLTPTNVVRQNSEKAKQMIARMFTDDNDTYEHSDDEEIEEHLARQRISKREVHNSSFISPLEDDSSREVFSLIKKLNIAPQPKRRGKQVTSNHELLTVGRNNSASSKSSFLGRTASGSLASSHRSAYRTYVFGRDDSNSSNKSCLSTSESNADTDQTNSSQPKKAKFSSSQSKQAATKTNSKGENSSGVSLIEVLRRSSSTSDKQEYTRQESCAVITESQAAHQFSAFKLSRRFSRVGARN >ORGLA03G0350300.1 pep chromosome:AGI1.1:3:30451204:30454377:-1 gene:ORGLA03G0350300 transcript:ORGLA03G0350300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGEGSRVSGGGGGGGFNLASYKYKDPLLGRGGRSFLFGNTWFLLSTYPARLLHTADRRAPAAFFAAINRTPCVRTHCTGQSLLQRGIVMAACGYAFRRAELGAAKRQPEKDSSVGTRISRVVAMGSAGSTPRPEVSFRHRGVEYCKKVGVSLKCREPWGPSRAFWTNAIGPSYKLSFSVEPWLRDFSTSCVAPYSAGATEHQLSLDEAVQDKQMDNSTVGPDGKPRAPGPLKLVSGSCYLPHPAKEATGGEDGHFICVDEQAIGVADGVGGWADHGVDAGLYAKELMSNSMSAIKDEPQGTIDPSRVLEKAYTCTKARGSSTACIVALKEQGIHAVNLGDSGFIIVRDGRTVLRSPVQQHDFNFTYQLESGGGSDLPSSAQTFHFPVAPGDVIIAGTDGLFDNLYSNEISAIVVEALRTGLEPEATAKKIAALAQQKAMDRNRQSPFAAAAQEAGYRYFGGKLDDITVIVSYVTSASAT >ORGLA03G0350200.1 pep chromosome:AGI1.1:3:30447800:30450188:1 gene:ORGLA03G0350200 transcript:ORGLA03G0350200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAASPSSSKSDDERRQDGDRDTRDPAASSAAAAAAAQTHAEWAASMQAYYAAAAAAAGGHPYAWPPPQSVPPMAGCAVPSAAAEGKSKRKTSGGPSGEDSSGSGDGGSEDSSERRDDADEKGLSPAKWRKLGHPDIEGETSQAAAMSEQNPVKAAPNLNIGMDIWSNSTMAAMPSGQVEVNAGTHLRRDKALSQMDERELKRERRKQSNRESARRSRLRKQERPTSFCHPPNYPVTFYGLTNQECEELSQKVTDLTAVNSTLRTELDKLKKDCEDMEAENSQLMDEMVQSEGSSVIATLSIKIDTSKDRHGSSSQLNKHTNDDSKG >ORGLA03G0350100.1 pep chromosome:AGI1.1:3:30443250:30445082:-1 gene:ORGLA03G0350100 transcript:ORGLA03G0350100.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRAKKMFFGFSVSLILINLASIMERADENLLPAVYKEVSAAFNAGPTDLGYLTFLMNFLKSIASPLAGVLALQYDRPAVLAIGTVFWAVSTGAVGVSQYFQQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGSIGGIGGSILATIMAGRDYWGLPGWRFAFLMVAFLSLLIGLLVYFYTVDPRKVSPSHFGDDEDHHERSHLIGNGIFPPQSIWKDSWIAARSVMKVRTFQIIVLQGIVGSLPWTAVVFFTMWFELIGFDNSSSAALNSMFAIGCASGSFLGGVIADRLSKYYPDSARIMCAQFSAFMGIPFSWILLTVIPQSVDYWSAFAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGMVTEKIYGYNAKTVNLENGSVAGAYALSRGLLTMMIVPFGLCFLFYSPLYFVFKRDRENVRRLPSVKEQELI >ORGLA03G0350000.1 pep chromosome:AGI1.1:3:30439844:30440401:-1 gene:ORGLA03G0350000 transcript:ORGLA03G0350000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1PGK4] MRAPSFFFLSLLLLLLIHISIHCNAADSQLGSEKVTNLLFYLHDTLSGKDPTAVPVARAENAVPKPDNPVPFSTIYVVDDLLTEGPQRESKVVGNAQGMYISTAKKGLTLVLGIDFELTDGPYKGSSFVVYSRNPVMQGNGRELAIVGGRGLFRMARGFALLQTVYLDNVNGDAIIEYNVTLLHH >ORGLA03G0349900.1 pep chromosome:AGI1.1:3:30434781:30438965:1 gene:ORGLA03G0349900 transcript:ORGLA03G0349900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPRITVAEGRLVAHGRTILTGVADNIALTHASGAGLVDGAFVGATADEPKSLHVFTFGTLRDLRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRDGGGGGGEAVYVVMLPLLEGQFRAALQGNDRDELEICIESGDKAVQTAQGTYMVYVHAGANPFDTITQAVKVVERHLQTFHHREKKKLPSFLDWFGWCTWDAFYTDVTADGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKEDAGNAVVQEGAQFASRLIGIKENTKFQKTTTTAMADGGETAASAAGLKALVEEAKKEHGVKYVYVWHAMAGYWGGVKPAAEGMEHYESAVAFPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRMALAFYGELHAYLASCGVDGVKVDAQNIIETLGAGHGGRVSLTRAFHRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLKKLVLPDGSVLRARLPGRPTRDCLFVDPARDGASLLKIWNVNKCTGVVGVFNCQGAGWCRITKKTRVHDAAPGTLTGSVRADDVDAIADVAGTGWTGDAVVYAHRSGELIRLPKGATLPVTLKVLEFELFHVCPVMTVAPGGGGGGGVTFAPIGLLDMFNSGGAVEECDVVRALDAAGEAEAEAEAAVVRLRVRGCGRFGAYSSRRPARCALDAAEVEFSYDADTGLVALDVPVPAHELYKWTVEIQV >ORGLA03G0349800.1 pep chromosome:AGI1.1:3:30431496:30431897:1 gene:ORGLA03G0349800 transcript:ORGLA03G0349800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVSSMKHITEMALKIKHAVETETENILSNPAISAALEDLADTNFSLHSYLFLPLXQQGEWAKVGAAAMASACGRSIGGRGGAWWERRPWQRAVGEAVASATTGNMG >ORGLA03G0349700.1 pep chromosome:AGI1.1:3:30425711:30429305:1 gene:ORGLA03G0349700 transcript:ORGLA03G0349700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTPATAEEGGKRRRRGKQKKANPFTVAYNRAPSSAGAAAGRPGLMVLRDPTGRDLGARYELGGELGRGEFGITYLCTEAETGDRYACKSISKRKLRTPVDVEDVRREVEIMRHMPSHPNIVSLRAAYEDEDNVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQMCHRHGVMHRDLKPENFLYANKKDSSPLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLKRHYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKREPWPRVSEPAKDLVKRMLDPNPMTRLTAEQVLEHPWLHDSKKMPDIPLGDAVRARLQQFAAMNKLKKKALKVIAEHLSAEEAADIKDMFDKMDVSKNGQLTFEDFKAGIRKLGNQMPDSDLKILMDAADIDKNGILDYQEFVAVSIHVRKIGNDEHIQKAFSYFDQNKSGYIEIEELREALVDEIDGNDEDIINSIIRDVDTDKDGKISYDEFAVMMKAGTDWRKASRQYSRQRFSNLSLKLQKDGSISDDTQ >ORGLA03G0349600.1 pep chromosome:AGI1.1:3:30418550:30419156:1 gene:ORGLA03G0349600 transcript:ORGLA03G0349600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRSRGVAAVGIAVFVAVVVVAAGAGGAAAVSCGDAVSDILSGSGGDDDAATWREFVAIGA >ORGLA03G0349500.1 pep chromosome:AGI1.1:3:30416301:30417394:-1 gene:ORGLA03G0349500 transcript:ORGLA03G0349500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMVRTLRGDRVALDVDGATTTVAQVKGMVMARERIAVAMQRLFFAGRCLDDDHRTLAEYGVRHDSLVFLSLRLATDAYQKEMHNVRLMQPETATTKQEMHQQQQLHVHVTADDEEEKAIKRKPVSRRALRKILSRLQVDAWTSQHDAKFLDLLLRHTHTGGGGARNVGELTGEDWSSIRAELNAATGSGFPVEELQRRLGEFRREFEAASRIKNHPRFSYDPRRRVVVAKQADWKNYILENPEVAAYEGRSPRHLGRLRAIFSGDGGGGGAKCRETKARSCLRKLLRNFRLRFKL >ORGLA03G0349400.1 pep chromosome:AGI1.1:3:30409255:30410603:-1 gene:ORGLA03G0349400 transcript:ORGLA03G0349400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEQHAPPPQPEPEVSLQLSAPATAADDVAAGGDEEVTVVTTYRDIHPLTPPSPTTTTPPTRLGSAAYSWDTASSHRSVSSEEQFMTMSREFTAMVAAGTTMQTGPNDGNNGGDQLTSIGEDELEETNPLAIVPDSHPIATPARSRASQLEVVPAAGPSAPAPPVEARQVKKEEVETKVSAWQTAEVAKINNRFKREEVVINGWETEQVEKASAWLKKIERKLDEQRAKALERTQNDIAKARRKAEEKRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF >ORGLA03G0349300.1 pep chromosome:AGI1.1:3:30405913:30407394:1 gene:ORGLA03G0349300 transcript:ORGLA03G0349300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PGJ7] MAAATADGHGGRRRLRVFFLPFFARGHLIPMTDLACLMAAASTDAVEVEATMAVTPANAAAIAATITGNAAVRVVCYPFPDVGLARGVECLGAAAAHDAWRVYRAVDLSRPAHESLLRHHRPDAIVADVPFWWATGVAAELGVPRLTFNPVGVFPQLAMNNLVAVRPDIVRGGADGPPVTVPGMPGGREITIPVSELPDFLVQDDHLSMSWDRIKASQLAGFGVVVNTFAALEAPYCDEFSRVDARRAYFVGPVSQPSRAAAAAVRRGGDGDVDCLRWLSTKPSQSVVYVCFGSWAHFSVTQTRELALGLEASNQPFLWVIRSDSGDGGGERWAPEGWERRMEGRGMVVRGWAPQLAVLAHPSVGAFVTHCGWNSVLEAAAAGVPALTWPLVFEQFINERLVTEVAAFGARVWEDGGGKRGVRAREAETVPAGVIARAVAGFMAGGGGRRERAAAMATALAESARVAVGENGSSWRDIRRLIQDLTDATASQP >ORGLA03G0349200.1 pep chromosome:AGI1.1:3:30398750:30403949:-1 gene:ORGLA03G0349200 transcript:ORGLA03G0349200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:I1PGJ6] MDGGGDGAVSPKKSGKHGHVCQICGDGVGTAADGELFTACDVCGFPVCRPCYEYERKDGSQACPQCKTKYKRHKGSPPILGDESDDVDADDASDVNYPTSGNQDHKHKIAERMLTWRMNSGRNDDIVHSKYDSGEIGHPKYDSGEIPRVYIPSLTHSQISGEIPGASPDHMMSPVGNIGRRGHPFPYVNHSPNPSREFSGSLGNVAWKERVDGWKMKDKGAIPMANGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPISSSRINPYRMVIVLRLIVLCIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWSPINRETYLDRLALRYDREGEPSQLAPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFCKKYSIEPRAPEWYFAQKIDYLKDKVQASFVKDRRAMKREYEEFKVRVNALVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYLLNLDCDHYINNSKALREAMCFLMDPNLGRRVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGLQGPVYVGTGCVFNRTALYGYEPPIKQKKPGYFSSLCGGRKKTKKSKEKSTEKKKSHKHVDSSVPVFNLEDIEEGIEGSGFDDEKSLLMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKSDWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSIPLLLYCILPAICLLTGKFIIPEISNFASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTSFTVTSKASDEEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQKCGINC >ORGLA03G0349100.1 pep chromosome:AGI1.1:3:30395457:30398301:1 gene:ORGLA03G0349100 transcript:ORGLA03G0349100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRALLALTFLLSGGAATAAAAMVRNGGSPSIYGGGGEEGAAVIGRGGRSLLQAAAAATTQSAVFSLDSYGAHGDGERDDTAALARAWSAACASAAPAVVLVPASRSYLLRQVTLSGPCESTIKLMVKGTLVASPDMSNWNESNRRYWIVVRGVDGLAVGGGGTIDGNGEGWWENSCKINRALPCKGAPTALSFHTCDNLSVNGLKMVNSQQIHMSVEDCTGVELAHLSISAPGTSPNTDGIHITRSKNVQVSDCTIKTGDDCVSIEDGTHGLHVTRLVCGPGHGISIGSLGDDNSRAEVSDIFIDTVHLYGTTNGARIKTWQGGSGYAKDIVFQNMVMNSVKNPIIIDQNYCDSAKKCETQEGSAVEISNVVFKNIAGTTISKSAITLNCSKNYPCYDISLQDINLEMVDDNGATGSTCQNAKWRKSGTVVPQPCTSTN >ORGLA03G0349000.1 pep chromosome:AGI1.1:3:30388543:30389738:1 gene:ORGLA03G0349000 transcript:ORGLA03G0349000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQVMPAVGAVAAAEGSCRAAGQRRGRGVSSLFAGDWRRRPRRAACTARVRGRRQQQQQQGLAVVCNLGGTYDEGFEDIHVQLINVFTYKAVKTVLTQLYEMNPPSYRWLYNFVAVNKPTDGKVFLRALGKEKQELAERVMITRLHLYSKWIKKCDHAMMYERISDENLALMRERLMETVIWPTDDTNTEKIG >ORGLA03G0348900.1 pep chromosome:AGI1.1:3:30385433:30387255:1 gene:ORGLA03G0348900 transcript:ORGLA03G0348900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERGGERGGERXXXXXXXXXXXXXXXXXXXGGRRGPRQEEEKWVPVTKLGRLVKEGRFSKIEEIYLHSLPVKEHQIVETLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDNNGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMRTYGFLTPDFWRDTKFVKSPFQEYTDLLAKPTKALMIDAPVENVEA >ORGLA03G0348800.1 pep chromosome:AGI1.1:3:30381099:30382872:1 gene:ORGLA03G0348800 transcript:ORGLA03G0348800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRGALLLVLLAVAAAASSAGATLAVKAPVPVPVPAPVPAHAPPQPKDAEGLLINGNFETAPRKVNKTLIVGRHSLPGWTLRGHVEYVSGGPQPGGMFFAVPHGVHALRLGGRASASQNVSVRPGALYALTFAATRTCAQDEALRVAVAPSLSPPADVAVRTLYSADTADTWAWGFRASSAAAQVTFSNPGVQEDASCGPLLDAVAIKELPTPYPTKDNLIKNEGFEIGPQVFKNSTVGVLLPPKQKDATSPLPGWIIESLKAVRFIDAAHFSVPAGKYAVELVAGRESAIAQVIRTVANRAYNLSFVVGDAKNGCHGSMLVEAFAGNVTQKVPFESAGNGGFKPASFRFVAAGVRTRVTFYSSYYHTKVSDGVSLCGPVLDQVKVQPLKA >ORGLA03G0348700.1 pep chromosome:AGI1.1:3:30371404:30374274:-1 gene:ORGLA03G0348700 transcript:ORGLA03G0348700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PGJ1] VTCHISARSEYENYHEIYGGEVESRKSNYADLANKYYDLVTSFYEYGWGESFHFGSRWKGETLRESLKRHEHFLALQLGLKKGMKVLDVGCGIGGPLREIARFSSASVTGLNNNAYQISRGKELNFSVGLSETCNFVKADFMNMPIPDATFDAAYAIEATCHAPDAVGVYREICRVLKPGQLFALDEWCMTDKYDPGNSRHRSIKAEIELGNGLPDIRTTKQCIQALKDAGFEVVSVKDLAEDSPLPWYLPLDSSQFSLNGFRLTRVGRFITHMLVKTLEFLHVAPQGSLRVSSFLETAAEGLVKGAKEGIFTPIFFVLARKPLDKQPEI >ORGLA03G0348600.1 pep chromosome:AGI1.1:3:30359136:30365481:-1 gene:ORGLA03G0348600 transcript:ORGLA03G0348600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLHPPPFPSLLLLHKPYHFPSKLRHGRLQEPRVMASPQAPPLPLSSLQESRSARIRIPRDEPRPTPPARSSSREEPRFVAETKLITVHSCAGRLGDAREVFDGMGRRDLLAWSAMIGAYAIRGMYSDVLALAVTMVEEGVLPDRFLITRILQACAYAEDLELGRALHSMAIRRGFMGRVKDVPVGNSVLVMYAKCGDLGWARKVFDKMVCRDLGTWNSMIFGYCRSAEWEEARHLLDSMRQEGTQPGVVTWNTLISSYARFGDLDVAMELLGQMEESGVAPDVVTWTSLVSGFVHMDRSDEALRCFIRMRLAGVEPNGMSIACAISACASLKLLNQGKELHSHAIKVGSVNNVLSGNSLVDMYAKCGEIVAAWRIFSGIPDKDIFSWNSMISGYAQAGYCGKAYELFCKMENYGVRRNVITWNTMISGYIRNGDDERAFELFQMMESHGVKRDTATWNILIAGSVHNGYYDRAIRIFRQMQALLRRPDYITILSIIPAFTNLVASWKVREIHACIFHHNLELDGKIANALINAYSKSGNLASACAVFDMHSSRNIISWNCIILAHVLHGSPNEALDLFCQMKQEGVVPDHTTLVTVIKAYGLTGKVSEGSQTFFNMANDYNITPELDHYAAMVDLLGRSGRLKEAYELIDEMPLIPNSTVWDTFLTAAVMHGNVRLAHLAARELSAIDPGDPRVQRLGSSLQDLTGKTVDVPEEMTPNKGRNLDEVESCSIEIRNKVYVFSNGDNVGLEDTVAELKSMMFKMGHSMLDIGIGTLDVEEEKEEVVGVHFYVYWVKYLAKCPFAGLHAANLLNRISLAIKLIHQVDLRVGSHREYYHIEDGQTTLSKGTEVQKHEHGNVTLRVIDLNGKNEILQVVPIYTLLEQIRIILVDFNSTINIRDKENEEMLRSEKTEDEKNLIVPLTLLDCFQQCYADEEDYSDLLTLRIDHFAPVHFLSGTGIAIVEIKCLAKDGWEDRWVKSEWKKEDNTVGEWNHTAGKWNGDTNNKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGETPYSIMFGPDICGYATKKVHAILTKGVFEEKEIEKIRKPKNGNNHLIKKDVPCETDQLTHVYTLIIRPDAKYSILIDNTEKRTGSIYDDCDNLPPKKKKDPEAKKVDVIGKD >ORGLA03G0348500.1 pep chromosome:AGI1.1:3:30352890:30353810:-1 gene:ORGLA03G0348500 transcript:ORGLA03G0348500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVKDSRPSRSPSEPNLFLQWGSRKRLRCVKTRDDGSPSPARTDVLRRTIPRVNRPLGGDVAPFRSPRRPSTLNRRKTEPFVSDNRHSMSLSPEKDRYYSTRGSPFPFEGNGFDFGGGMEEKGTIALPRFFISLSNKEKEEDFMAMKGCKLPQRPKKRPKLMQKCLLMVSPGAWLSDLSHERYEVREKKCARKRARGLKALCNESDSE >ORGLA03G0348400.1 pep chromosome:AGI1.1:3:30346017:30349472:1 gene:ORGLA03G0348400 transcript:ORGLA03G0348400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARGGGGVGWLVVVVAXXCLSCAAAAARSPAGRAHRHLKRLNKPAVKSIESPDGDIIDCVHISHQPAFDHPFLKNHTIQMRPNYHPDGLYDESKSGGGGGEKPMVQLWHQGGRCPEDTVPIRRTKRDDLLRASSMRRYGKKRHPAPNPMSVDPNLLNEGGHQHAIAYVQGDKYYGAKATINVWAPKIEQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNVLCAGFVQINSEIAMGASIFPISSYSGSQYDISIMIWKDPKEGNWWMQFGKDYVLGYWPSFLFSYLGDSASMIEWGGEVVNSQLDGVHTSTQMGSGHFPEEGFSKSSYFKNIQVVDSTNNLKAPKGVGTFTEQSNCYDVQNGNNADWGTYFYYGGPGRSSNCP >ORGLA03G0348300.1 pep chromosome:AGI1.1:3:30336506:30336877:1 gene:ORGLA03G0348300 transcript:ORGLA03G0348300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPGWAVAVARASAAAWQRVACNPETLPADQVLGLLCCAPLHLLARLAAFLCIPFVPVQAMPRLLSPRLQGHPRRLLLLPPQEFVEVEPMYSPFPSSSSSSSDDDDDSDIEDGEIVHLHFD >ORGLA03G0348200.1 pep chromosome:AGI1.1:3:30332950:30334625:1 gene:ORGLA03G0348200 transcript:ORGLA03G0348200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit 7 [Source:UniProtKB/TrEMBL;Acc:I1PGI6] MSSMLSAFSQWFVNPRRNPLARLHMQAISSRLRKYGLRYDDLYDPKHDLDIKEALERLPREVVDARHQRLKRAMDLSMKHQYLSENDQAQQTPFRGYLSDMMDLVKKERLEREELGALPLHQRTLP >ORGLA03G0348100.1 pep chromosome:AGI1.1:3:30331329:30331693:1 gene:ORGLA03G0348100 transcript:ORGLA03G0348100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSLFSPLRKLWVRAHSARRNRRGMHILYKDVKSCQDEDVHVLWSILVDSHRHPALMKLKL >ORGLA03G0348000.1 pep chromosome:AGI1.1:3:30326540:30327415:1 gene:ORGLA03G0348000 transcript:ORGLA03G0348000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQIADASSSSSSAGKGAAQNLVTCLYQAQFSGRPCVISVTWSKSLMGQGLSIGVDDLSNQCLCKADIKPWLFSKKKGSKRLDVEDGKIEIFWDLSGAKFGAGPEPMEGFYVAVVFDLELILLLGDMKKDAYRKTGANRPMLNAAFVARREHIYGKKIYTAKAQFCENGQFHDVVIECDTVGLKDPCLEIRVDKKPVMQVKRLAWKFRGNQTILVDGLPVEVFWDVHSWLFGSTTSNAVFMFQTCQAPEKSMPWSYSQVFRESQLQGLGFSLILYAWKLE >ORGLA03G0347900.1 pep chromosome:AGI1.1:3:30326133:30326414:-1 gene:ORGLA03G0347900 transcript:ORGLA03G0347900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATRRSRGEIARYLEWPRDPPTFHPNKAAPRTAQKASKRAEAIDPASKIPSRSRPLPTQSIKICRETSSKAAIFPPEISSEVSPRPPPLKQK >ORGLA03G0347800.1 pep chromosome:AGI1.1:3:30316368:30317437:1 gene:ORGLA03G0347800 transcript:ORGLA03G0347800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTASMDAISLDEWELLPDNKSSYFMEEFTSDHGTVGGKDDQFLFGASLIMINMDHFTPASHPSPYDCILDDETKNIFLPIHVSEEVYVGDPVIKFKDIDVVKIESYREEFVPKVTEIFDAEEEAEMIKSPVSAEEVDVDDDDEVMAMVVPDQCVEEEEGAQKDKEHNGFSVGKLRVNGVGALCSFGVAAATLCIFLLGGRQQQLHKTQNQKTPFQMYADNERIQQVVQQASRLNQAVSTVMGGASTRASISFGGYYDGF >ORGLA03G0347700.1 pep chromosome:AGI1.1:3:30312374:30314871:1 gene:ORGLA03G0347700 transcript:ORGLA03G0347700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCAVVAAVAAVLLVAGAAAAGGGEEEEAPSTCARRGPGFVDALASRCPCIRIEPSPPVEVRGEAIDKELNLRRRGVTYSVLFYAAWCPFSSKFRPIFEALSTMFPQIYHFTVEESSAMPSLFSRYGVRGFPAILLVNETTMVRYRGPKDLSSLVDFYKETTGFDPIAYFDVNHQDSTGDFRPVTPGDRSLRKIAKDEPFVLLAVLFIILKVAAHFVPIVVSHLKTFLVVRVRNLNLGIRRGSSQLLERALNVLDVKRLCSKLRLSNKTRDLRKGANNARAWASSFTSVSLGESSSSRQA >ORGLA03G0347600.1 pep chromosome:AGI1.1:3:30307019:30311419:-1 gene:ORGLA03G0347600 transcript:ORGLA03G0347600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongation factor P (EF-P) family protein [Source:Projected from Arabidopsis thaliana (AT4G26310) TAIR;Acc:AT4G26310] MQILRRKLLEASRRLPFYFPSAPTAHYRGHAHAVATLAAVLRDQTAGSLAAAPWAAIQRRGAKMLGSEVKLGNVIQRRGITTYPIPLVNLQGRIYQVIKAQHSHQGRGGATIQVELRDVDTGNKITERFRTDEALERVFVEEKSFTYLYQEGDNVTLMEPETFEQLEVSKELFGKTAAYLKDEMKVTLQYFDGRPMSASVPPRVTCTVVEAQPHSKGITAQPQYKRVLLDNGLTVLVPPFVEAGEKIVVSTADDSYMTRA >ORGLA03G0347500.1 pep chromosome:AGI1.1:3:30304532:30306482:1 gene:ORGLA03G0347500 transcript:ORGLA03G0347500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIEGASIDVFHSNLDGDWGYFKFVAPCTLYGTPFRTDLEADEVKKKMKKKPEVRVVLFTVPVAPGRSRFIWASRYKVGGWLDKILPRWFYHMTSNTILDSDTYLHVEDRNITTVGLDNWHKACYVPTSSDNLVIAYRNWFRKYCNHQIGWANPNPTVKQQLPQTPTRDQLLERYWSHVMQCTSCRAALKGMRALEITLQVAAVAVVGFLAAGKETAVMSEARPGIQSFSLSKNKRSQATAKGIHEETASA >ORGLA03G0347400.1 pep chromosome:AGI1.1:3:30300556:30304168:1 gene:ORGLA03G0347400 transcript:ORGLA03G0347400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSLHLLFRARTSLPLRHGVPRPRAASLAPRRRLRQPRCTSTGRLSSMPAPSAETETVAAPPVVGAGAQEXQGVRGVVPERGAEQHLVVLPEVGAGVLRRAEEEAATLRSGDRRRXPVPFYGLRCQGPLIRVXVVGGEPHGPCSRPLRTQGAFWLYILLSIGFVHGLSYRSLVRGIW >ORGLA03G0347300.1 pep chromosome:AGI1.1:3:30292648:30294141:1 gene:ORGLA03G0347300 transcript:ORGLA03G0347300.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYIYIYVCMYVCMFEFDQEGGLPIKMNIEELNIAGFHSNPEENWGYFKFIAPVTLIGSPFRAKPVVDQDNNNTNNKKQPEVTTVFFCISVSPGRCRVIWANGYNLDGWFDKMIPRWWLHIKTNQVLDSDSSVLHIEERNYAAFGLDNWHKACYVPTSSDNLIIAFRNWFKKYCNNQVGWLTPMVNQLPPASTRVEVYERYWSHVMQCTSCSAALKWMRALEVALQVASVAVVGFLAAGKGTVVTSGVQRAAVVAAVVLCFAASRWLAGFIEKTFYFEDYVLADK >ORGLA03G0347200.1 pep chromosome:AGI1.1:3:30280469:30284872:1 gene:ORGLA03G0347200 transcript:ORGLA03G0347200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPLLSRARPPLLLRDAGVKLSTVRLPPPWRQQWKHTSGERRRRLSMPASAVAAETPPPHARAEEEEEAAPAVGGGEEGRFEWLDQWYPVAPVCDLDPRKPHGKMVMGLRVVAWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRSEPEYKEILQRKRPPYFPDLDDPSFNTVFGVRDLFYGYDLLVENLMDPAHVPYAHKGLMPIQNKEDPGRYVHHLSXHDQFXFFSLLHAXTCYXASAILXCSGCTYHXXCSTVHLLSTVESDQEGGYPVKIRTEQAKIDGFLSVQEDDVCYMKFDAPCTLYGKPFRTKEPQIDQGKEKKKKQPEAMTVFLCVPVAPGRSRLIWAFPRNVDAWLDNIIPRWLYHIVTNIVLDSDSYLLHIEERNFATVGLDNWHKACYVPTSSDNMVITFRNWFRKYCKHQIGWATPMANQLPPTPTKDQVLERYRSHVMQCTSCSAALKKMKALEVALQVASVAIVGFLAVAKGSLAPSVVRRAAAVSTAVLCFAASRWLASFIEKSFYFQDYVHAYK >ORGLA03G0347100.1 pep chromosome:AGI1.1:3:30275949:30278391:1 gene:ORGLA03G0347100 transcript:ORGLA03G0347100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSLLLRSGARPASLPLRVDGAASQSIASLAAPPRRPWIGRGVALRLPTSVSAVSVHKNSKACVASYPSVVQNNILWFYPRTEPEYRDVLQRKRPPYFPDLDDPSFNTVFGVRDFPYGYRNAQAILLRNGHIGMQLDILCRGSIAMQNIHLLSTVEFDQERGGPVKMKIEEANIDGFLSIQGENWGHFRFIAPCTINRSELPLETLILIRRSSSSSLRGCWCSCASLWLLEGAGXYGRFHRASAPGLTSSYHGGFTTWXRTPFWIRICTSSISRSAISRRLALINGRKLAMFPLHLTTXSSPSETGSESTASIRLAEQLQWLISCQQLLPKISSWRGTGHMSCSAPAAALH >ORGLA03G0347000.1 pep chromosome:AGI1.1:3:30262970:30267370:-1 gene:ORGLA03G0347000 transcript:ORGLA03G0347000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-activating enzyme 18 [Source:Projected from Arabidopsis thaliana (AT1G55320) TAIR;Acc:AT1G55320] MAAAAAARGSVWEIQQRDVEAAGLADADAGAFVAALRSAAAVATGGPDAAWEAVAAGVLRPEHPHALHQLVYYSVYAGWDRAARGPPPYWFPSRIDTKQTNLGRLMEAHGPRLLGSSYKDPVSSFHLFHKFSVEHQEVYWSMVLKEISIKFQQEPKSILDTSDKSRKGGTWLQGAVLNIAECCLLPWPSQNRTDDSTAIVWRDEGLDNHPVNRMSLKELHNQVITVATALDTMFQKGDRIAIDMPMTCNAVIIYLAIVLGGFVVVSIADSFAPQEIGTRMSVSKAKAIFTQDFIIRGGKKVPLYSRVVQGTSSKAVVIPATGGFLGVSLRNGDMSWKDFLSCAAGRSSIYPTVYQPSDALTNILFSSGTTGEPKAIPWSQLSPIRCTCDTWAHLDIQPQDIFCWPTNLGWVMGPILLYSCFLSGATLALYHGSPLGRGFCKFVQDAGVTILGSVPSLVKSWKAGNFTEGLHWTKIRVLSTTGEASDIDDDLWLSSRASYKPIIECCGGTELASSYIQGSLLRSQAFGAFSGASMSTGFVILDEQGTPYPDDVPCAGEVGLFPLYFGATDRLLNADNNKVYFDGMPIYNGRQLRRHGDIIQRTVGGYYIVQGRADDTMNLGGIKTSSVEIERICNRADEALLETAAVSIKPAGGGPEQLAILAVLKDRSPPCDANVLKSKFQRAIQKNLNPLFKVSYVKIVPEFPRTASNKLLRRVLRDQLSKELSNRSKL >ORGLA03G0346900.1 pep chromosome:AGI1.1:3:30258315:30260637:-1 gene:ORGLA03G0346900 transcript:ORGLA03G0346900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase (PAP2) family protein [Source:Projected from Arabidopsis thaliana (AT3G58490) TAIR;Acc:AT3G58490] MEAVAVAGGGAGLTRWQAAALSAVAGWVWAASSFDLTRRSRALVQPWVTRRVLAETPSIVRFQKVHHKLLDSFFSVLSCVVSVPFYTGFLPLLFWSGHSKLARQMTLLMAFCDYLGNSVKDAVSAPRPSSPPVRRVTATEDEKENAMEYGLPSSHALNTVCLMGYLLHYVLTYGSHDNVMVVTGLSLAFLLVMLVGIGRIYLGMHSLIDVIAGICFGVVILAFWLAVHNHVDAFVVSGQNVTTFWASLSLLLCFAYPKPEFPTPSFEYHTAFNGVAFGIVYGIQQTYFHFHNPDVPLIFSPQLPLIVFVGRVLVGIPTILVVKFCSKALSKWLLPVMCNTLGIPIVSTCYVPALKASEKCKDKSDAKQGGYLQKVFSLFPQKAYDVDTGIRFVQYASLAWSVVDLVPAIFTHLSL >ORGLA03G0346800.1 pep chromosome:AGI1.1:3:30252751:30256616:1 gene:ORGLA03G0346800 transcript:ORGLA03G0346800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:I1PGH2] MSSPHGGLDDQIERLMQCKPLPEPEVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL >ORGLA03G0346700.1 pep chromosome:AGI1.1:3:30237679:30241366:-1 gene:ORGLA03G0346700 transcript:ORGLA03G0346700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADSVANAEESAPATGAAPTPVANHQNSRPTRSAYVPPHLRGQAPTTTAAPAPAPGPAAVQPSASVQPSGYAAIVGGSRWAGPASGGGTGAVGGPHQSVGGRGGGGGGGWNSRPGWDRRDREPNPFANSEAEEATEVDFDTANTGINFDAYEDIPVETSGHDVPPPVNTFAEIDLGDALNENIRRCKYVKPTPVQRYAIPISIAGRDLMACAQTGSGKTAAFCFPIISGIMSSRPPQRPRGSRTAYPLALILSPTRELSVQIHEEARKFAYQTGVRVVVAYGGAPIHQQLRELERGVEILVATPGRLMDLLERARVSLQMVKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTMLFSATFPKEIQRMASDFLADYIFLAVGRVGSSTDLIAQRVEFVLEADKRSYLMDLLHAQKANGTHGKQALTLVFVETKRGADALENWLYTNGFPATSIHGDRTQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNLSLARPLCELMQEANQEVPQWLERYSARSSFGGGGGRNRRSGGARFGGRDFRRDNRGGGGGGYGGGGGGYGGGGYGGGGGYGGGQGSTSSWD >ORGLA03G0346600.1 pep chromosome:AGI1.1:3:30230467:30236789:1 gene:ORGLA03G0346600 transcript:ORGLA03G0346600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSRPEEVLPLVKLRVAAGRIKRQIPPEEHWAFAYTMLQRVSRSFALVIQQLGPDLRNAVCIFYLVLRALDTVEDDTSIPAAVKVPILKEFHRHIYNRDWHYSCGTKDYKLLMDKFRLVSTAFLELGQGYQEAIEEITRLMGAGMAKFICKEVETVDDYNEYCHYVAGLVGYGLSRLFHAGGTEDLASDSLSNSMGLFLQKINIIRDYLEDINEIPKSRMFWPREIWSKYVNKLEDLKYEENSEKAVQCLNDMVTNALSHAEDCLQYMSALKDHAIFRFCAIPQIMAIGTCAICYNNVNVFRGVVKMRRGLTARVIDETNTMSDVYTAFYEFSSLIESKIDNNDPNASLTRKRVDAIKRTCKSSCSLKRRGYDLEKSKYNSMLIMVVLLLVAIVLGMIYAK >ORGLA03G0346500.1 pep chromosome:AGI1.1:3:30223568:30225364:-1 gene:ORGLA03G0346500 transcript:ORGLA03G0346500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PGG9] MGDGGGGGLDVVVFPWLAFGHMIPYLELSKRLAARGHDVTFVSTPRNVSRLPPVPAGLSARLRFVSLPMPPVDGLPEGAQSTADVPPGNDELIKKACDGLAAPFAAFMADLVAAGGRKPDWIIIDFAYHWLPPIAAEHNVPCAVFLIVQAAAIAFLGPRWANAAHPRAPLDFTAPPRWFPPPSAMAYRRNEARWVVGAFRPNASGVSDIERMWRTIESCRFTIYRSCDEVEPGVLALLTDLFRRPAVPAGILLTPPPDLAAAATDDDDVDGGSSGDRAETLRWLDEQPPKSVIYVALGSEAPVTAKNLQELALGLELAGVRFLWALRKPAVGTLSHASAADADEFLPDGFEERTRGRGVVWTGWVPQVEVLAQAAVGAFLTHCGWGSTIESLVFGHPLVMLPFVVDQGLVARAMAERGVGVEVAREDDDEGSFGRHDVAAAVRRVMVEDERKVFGENARKMKEAVGDQRRQEQYFDELVELLHAGGGEINDEKYC >ORGLA03G0346400.1 pep chromosome:AGI1.1:3:30216515:30222278:1 gene:ORGLA03G0346400 transcript:ORGLA03G0346400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT3G03960) TAIR;Acc:AT3G03960] MVGLGAMPGYGIQSMLKEGHKHLSGLDEAVLKNIDACRELSAITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGRAQQEEIGDGANLTISFAGELLEKAEELIRMGLHPSEIIIGYTKAINKAVEILEDLVEKGSENMDVRNKEEVVLRMRSAVASKQFGQEDILCPLVADACMQVCPKNPANFNVDNVRVAKLLGGGLHNSSVVRGMVLKNDAVGSIKRVEKAKIAVFAGGVDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGAAVGDMALHFCERYKLMVLRISSKFELRRFCRTTGSIALLKLSRPNADELGYADSVSVEEIGGARVTVVKNEEGGNSVATVVLRGSTDSILDDLERAVDDGVNTYKSMCRDSRIIPGAAATEIELARRLKEFSLKETGLDQYAIAKFAESFEMVPRTLAENAGLSAMEIISSLYAEHAGGNTKAGIDLEEGACKDASIMKIWDLYVTKLFALKYSADAACTVLRVDQIIMAKPAGGPRRDAQPGMDED >ORGLA03G0346300.1 pep chromosome:AGI1.1:3:30214770:30215447:1 gene:ORGLA03G0346300 transcript:ORGLA03G0346300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSSAPLLVLSAALAVLASTCIADPEPVQDFCVAVVPRAGDAAAAACPAYPGFPCKPASTVVSDDFFFAGLAVASDTDNRFGFNVTAANAETFPGLNTLGVSIGRVDLAPGGVNPLHSHPRATELIHVVAGRVLAGFVSTAGEFYSKVLGEGETFVVPRGMIHFQYNVGGVAAQVITAFNSQMPGVVAAGPTLFGSDPEIPDAVLAKSFQVDAKIIKLLKSKF >ORGLA03G0346200.1 pep chromosome:AGI1.1:3:30212294:30212983:-1 gene:ORGLA03G0346200 transcript:ORGLA03G0346200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTEAMSRTSSSAPLLVLTPDGESTAVISDDFFFAGNTENRFGFNATLGNVQAFPGLNTLGVSINRGDFAPGGLNALHSHPRADELVHVSSPYNVGDVAAQVITAFNSQLPGVVAAAPSLFGSDPEIPDAVLAENYQVDVKIIRLLKSKF >ORGLA03G0346100.1 pep chromosome:AGI1.1:3:30210110:30210811:1 gene:ORGLA03G0346100 transcript:ORGLA03G0346100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSMECTGNMSAAPLLVLTVAVLAVLASTCAADPEPIQDFCVAVPRAGGEASPAYPGFPCKPASTVVSDDFFFAGLAAAGSTDNPFGASLKPGNVEAFPALNTLGVAINRVDLAPGGVNPLHSHPRAAELVHVITGRMLVGFVSTAGKYYSKVVGEGETFAIPRGLMHFQYNPGNASARAMTVFNSQLPGVVPAATALFGADPEIPDAVLAKSFQVDAEIIKLLKSKFKK >ORGLA03G0346000.1 pep chromosome:AGI1.1:3:30203314:30206696:1 gene:ORGLA03G0346000 transcript:ORGLA03G0346000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKSSRSRPAGHSGVLPVNATAGGGDGGVPLADKMKIFKTDNFDPDAYVQSKCHAMNEKEIRHLCSYLQDLKKASSEEMRRSVYANYAAFIRTSKEISDLERELLSVRNLLSTQSALIRGLSEGVQIDSLTTGSEGSAEEGTDEDQEPSEIQNWCTDFPEMLDVLLAERRVDEALDALDEAERVVADEKQKQTLTTADILAVKRAISDNRLKLANQLAEAACQFSTRGVELRASASALKRLGDGPRAHSLLLSAHNQRLQCSMQTIHPSSTSHSGAYTASLARQVFSVIAQALSDSLELFGDEPSYLSELITWATEQAMLFALLVKRHALAACVAAGGLRAAAECIQISLGHSSLLETRGLSLSSVLMKQFKPSVEQALESSLRRIEESTAALAAADDWVLTYPPSGIRTFARSSASSLLLQPKLSNSGHRFSSMVQDFFEDVGPLHSLQLGGSAMDGLLKIFNSYVNLLISALPHSLDDEANLEGLGNKIVRVAETEEQQLALFANASLLAEELLPRAAMKLSSVNQTGVNNIRKKSVDRQNRVAEQREWKKKLQRIVDKLKDSFCRQHALDLIFTEDDDTHLSAEMYINMDNTVEEPEWVPSLIFQVRINFLPLTTIWINITAYICLKNEVHYDIHH >ORGLA03G0345900.1 pep chromosome:AGI1.1:3:30194587:30199543:-1 gene:ORGLA03G0345900 transcript:ORGLA03G0345900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PGG3] MHRSAGATMAWNVFRFCTALRGLGSIMILLVLSIVGVTYYAVVVYNYGPALFAGGASTLLALVVLLLFHFLLVMLLWSYFSVVFTDPGSVPPNWNLDFDEERGETAPLSGLDFNSQVNSQQSIAHNDTGHPRARYCRKCNQMKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPHFIAFFSDIDIPGSPAALATTFLTFVLNLAFSLSVLGFMIMHVSLVSANTTTIEAYEKKTTPRWMYDIGRKRNFIQVFGNDKRYWFIPAYSEEDLRRMPVLQGLDYPVRTDLDGQEL >ORGLA03G0345800.1 pep chromosome:AGI1.1:3:30186432:30189154:1 gene:ORGLA03G0345800 transcript:ORGLA03G0345800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGLGQVVAGSKKCLCVLVKDRDEPALGFRINVTRAMDLPSGCSIAATFSDCPKMLNMSPDSKEAEIFKQYAREHESNNATKPAPAAAAAATGSAGKATAATGXXXXXXXXXXSLAARAVAAAVLAAVFGLTVA >ORGLA03G0345700.1 pep chromosome:AGI1.1:3:30176062:30178590:1 gene:ORGLA03G0345700 transcript:ORGLA03G0345700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPHLLPSPCRRRRCLLLLLLSRLLLSSASSLEEGRVLTVGEELMGETMPLRHGSRLYRLDGTRPSAWYEVKISYPASIPSSFSIRLVDDPHSVEDLGSMNRRLLNTEKIIFKAQSSRPVYVLVTVEPEGVVAKPNVPERELAMFNIVCDELMLGIPHFAWWVGIGSLFCIALASVAPYFLPLHKLLNYEATVLSDDFAAKLS >ORGLA03G0345600.1 pep chromosome:AGI1.1:3:30169379:30172997:-1 gene:ORGLA03G0345600 transcript:ORGLA03G0345600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGERGRGSAPARPLKRPHRETLSAAGRSSRRLPGIVSGLDLRRLNATRSGSLRKVAAEAAAAGARVFSELQTLAGTVTELDATGEEERSRCPHSIVLTGDEFRVKGRTVELPCGLTLGSYITVAATPRAAHADRDPKITLVREGDEPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGTSLRCEGWRSRADEETVDGMVKCEKWIRDDEERSEQSKTSWWLNRLIGRTKKVSVDWPYPFVEDRMFVLTLTAGLEGYHVNVDGRHATSFPYRTGFVLEDATGLSLNGDLDVQSVFAGTLPTAHPSFSPQKHLEMLPIWQAPPLPDEPIEIFIGILSAGNHFAERMAVRKTWMSAAQKSSNVVARFFVALNGRKEVNAELKKEAEFFGDIVIVPFMDSYDLVVLKTVAICEYGVRVVSARYIMKCDDDNFVRLESVKDELKKIPRGKSLYVGNMNYHHKPLRTGKWAVTYEEWPEEDYPTYANGPGYVISSDIAASIVSEFTAHKLRLFKMEDVSMGMWVERFNNTRHVQYVHSIKFCQFGCIDDYYTAHYQSPRQMLCLWDKLQSGKAQCCNMR >ORGLA03G0345500.1 pep chromosome:AGI1.1:3:30152918:30166990:-1 gene:ORGLA03G0345500 transcript:ORGLA03G0345500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNLVLNHVLHNPAALAAYHHQQQQQYHHHQQLLHSSAYHQNPTHNLQHRAAVPAPAAPAAAPSIQHRPVAPATAAAAPGLPQPKKKKKQKEPAPQAHPPPPLPPPQQQQQQPKPQQQPGALERAQAAARKVRDEIIKAGEGVTGWKIAQAVLVALKVDSWGSLGVQLHEVPLLRDLFLVEGKVNTFIHCYVAARKIVSVYDLESEICKNESIGQFEELGLGPFLQHPLVAHYFSVPADLSLVPKLSSDEIINWLQKFMDNSKKKITVENFLDYLAEQKSVSGKENLGVRIQSLRLHISFLRQARRTEVSAVKFQGNTSGSGDGSCEKDLVKNRKFHLSKQALDERFSAITSRIKKLPGINKHIHFDSTDDETDGDSSSEGDAVDNSESKTGSAAIDNKDVDKRVSSCPYPSKTEEMERLGLKSETSKKPPLDSSKVKESSKKGYTREKRKSEENGSPTSSCKRPKKKQKVQMQKHELSPNCFLSIGKLEKFITTWKEACREHPVQQVLELLANYYAETPKEKKKIIKFFSEYPGIGFLNVAVRAMGCGLLDSLYDAINVFNENKSSSNIPDTTTELMEVEPPPSVTADDVIRRITEFFESNRGVSRTDASQVRKSTFLRTLLDCETCITAKFSANQFSALGHGTFLEFLGKHEQHLPPKLSSFLKVGKLTHSSVEVSVLQQQIEVLLCQAGGNWLEDGEFSEDSFSKLLKRQFPTISFDIVQDKSGEGLLDSIERQRKNIEINNIMFSMSLLEKRWSGIVPGPVQDLACIVTTDGRFIRVDPSATVDQFLEGIIQCSPFQVAVKLLSLLHVYNGSTNTPISLLKCYAQRAIGIIMDNGNDLLNTKSEGKSFSARNIWSDMSKDIDDIVHLVAKFVLDCLGHLPSEFRSLAADVLLAGLRTITKNCYSAILLEATETGQLCMLHDIGLSLGVAEWVEDCRRLCLTDEIHANIEMHASSRHPSTASGVAICENSNLLNATDVDIMKRSKSLPGKDNQIVAVSKNQNVLNIVTAKLDTAEFITNKSPTLGEVNPEEATLVIETIRREEFGLDQSLSCTENSLLKKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNTYVEDVEPTLAFILQDNGIVVLNNESGFSAENIRALCDIGNSTKKGSNQGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITEGQIGFVLPTAVAPYNTDSVSRMLSVEDVKDSSSFWNTCIVLPFRSKFKEGTSMHSIASMFSDLHPSLLLFLHRLKCIKFKNMMNDTLLVMRRKALGNGIVRISNGNDTMSWLVVSKKLQGTIVRNDVCSTEIAVAFTLQETQMGEYEPYLKQQPVFAFLPLRNYGLKFILQGDFVLPSSREEVDADNAWNQWLLSEFPSLFVSAQESFCALPCFQGCPGKAVTTFMSFVPLVGEVHGFFCQLPHLILSKLRLTRCMVLEGSSSRWVYPCNTLRGWDEQTRILISDSLLLEHLGLGYLSKDIIISDTLSRALGIHEYGPKVLIDIMSSICRVDGCIESLGLEWLCAWFISLHLSLMHHSSKNLPLTTSPEDLLCALRKIPCIPLSDGSFSSIADGPIWLPYDVLNSKPDSRSSMLNFPVLYSNLRTINPRLLSVSCQNKYLTEEMRANDLMDILLKMGVRKLSGHDIIKNHILVSLSNSTEANVANTMMIEYVVKVEKNISQADSSLAGRLSQGHHSGTPCIVYDWESPELVSILSTFSSKKCRENCVYLLEVLDKFWDAHYSAKARIHADATHSGENIAVESSFMNSIRTFKWIASAMDEDLHYATDLFYNTEDVRSILGSVAPYAVPQVCSRSLGKDIGFKIKVSHSDALMILKSWIASQTSFSASMDQMCKFYTFVSEGFATATIDIKREFLSCSSIFTPLNRARSNELVPGKFLSPKDLYWHDPTGCSEIITEKVISMKNKISMFPRKMLSSAYPSLCEFFTEACGVPKVPKTSDYVDILLGLSNAALPSEVANQLFPANYCFYNKTPFYMILSCKVVFARWANDLHSANDNMNDILFLEGSLQKLETTILPTLGDKWVSLHPSFGLVCWVDDNELMQHFEDYNGVNFIQFGELSYEDKQLLYGRIAALLKSLGIPALSKVIYREAIFYGTVDNREKVTVISWLLPYMQRYIYKMHRDTYVNFQQNEITKLSNLQVIVVEKLFHKYKLKERESSCKRRFKCNCLLQGNNLYATQEADSHSLFLELSRLFFDGSPDLHFANFLHMVKTMADSGTTAEQIESFIVNNQNVPDLPEHEAVWSFSSLIISDQDVDCQRTEFQSICDSQKTEIRSTCELNISKHQRTSGVASSWPPNDWKTAPDFITSHNSQFTPNQETNLNNVVPSLDLTKTLCENSEDIVGPVDLEGDWITEDDFGSENTVLAERIGATGDEPHMVMSINSANLPAYLDLETGSSANSVVDIELTEFNDKLANVSEKRDRLCIKAPDRDKLLRIGKQGEAAAHQHFVDHFGSNNVRWVNQENETGLPYDIVVTHKSGFTEYVEVKATTNSYKNWFYITLREWQFALEKGNAFTIARVVLKDSKKANDKSNVLILKNPYKLCLNKSVYLALIIPQQYQTKRRYFEGHSDLQSEVNH >ORGLA03G0345400.1 pep chromosome:AGI1.1:3:30148189:30151197:-1 gene:ORGLA03G0345400 transcript:ORGLA03G0345400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPKRACRLALLAAGGAYLLFLLLFELPSVSISVSTASPAAXXXXXXXXXXXXXXXASSSSSSSSSSPLRPLKTAFPSRRSPLAVSSIRFRRRNSSSIDASAASAFAAARPLMHHLLSSFSSPSPSSSPSPSPSTSDSCPSTISVPIHRLTSGGGGGNGGGVTVELPCGMGVGSHVTVVARPRPARPESEPRIAERREGEAAVMVSQFMVELLGTKAVQGEEPPRILHFNPRIRGDFSGRPVIELNTCYRMQWAQPQRCEGWASQPHEETVDGQLKCERWIRDDNSKSEESNAQLWLNRLIGRGNEVAADRPYPFEEGKLFALTVTAGLDGYHVNVDGRHVASFPYRTGYSLEDATGLSLKGDLDIESILAGHLPNSHPSFAPQRYLEMSEQWKAPPLPTEPVELFIGILSAANHFAERMAVRKSWMIDTRKSSNVVARFFVALNGEKEINEELKKEAEFFSDIVIVPFMDSYDLVVLKTIAIAEYGVRIVPAKYIMKCDDDTFVRIDSVLDQVKKVEREGSMYIGNINYYHRPLRSGKWSVSYEEWQEEVYPPYANGPGYVISSDIAQYIVSEFDNQTLRLFKMEDVSMGMWVEKFNSTRQPVKYSHDVKFFQSGCFDGYYTAHYQSPQQMICLWRKLQFGSAQCCNMR >ORGLA03G0345300.1 pep chromosome:AGI1.1:3:30145124:30146853:-1 gene:ORGLA03G0345300 transcript:ORGLA03G0345300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRTLVIFLLLLLALVPALSRPDGGGSGFYDPARVTQLSWRPRAFLYSGFLSHDECDHLVNLAKGRMEKSMVADNDSGKSIMSQVRTSSGTFLSKHEDDIVAGIEKRVAAWTFLPEENAESIQILHYELGQKYDAHFDYFHDKNNLKRGGHRVATVLMYLTDVKKGGETVFPNAAGRHLQLKDETWSDCARSGLAVKPKKGDALLFFSLHVNATTDPASLHGSCPVIEGEKWSATKWIHVRSFDNPPDVSLDLPCSDENERCTRWAAVGECYRNPKYMVGTKDSLGFCRKSCGVCDA >ORGLA03G0345200.1 pep chromosome:AGI1.1:3:30142519:30144004:-1 gene:ORGLA03G0345200 transcript:ORGLA03G0345200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRLLVLFALLSVTAVVPVFLWPDKKGGASDVAVVVAAPPFNASSVTIISWKPRIFFYKGFLSDDECDHLVKLGKEKLKRSMVADNESGKSVMSEVRTSSGMFLDKQQDPVVSGIEERIAAWTLLPQENAENIQILRYENGQKYDPHFDYFQDKVNQLQGGHRYATVLTYLSTVEKGGETVFPNAEGWESQPKDDSFSDCAKKGLAVKAVKGDSVLFFNLQPDGTPDPLSLHGSCPVIEGEKWSAPKWIHVRSYDNASSMKQSEECSDLSENCAAWAASGECDNNAVYMIGTEDAPGQCQKSCNACSL >ORGLA03G0345100.1 pep chromosome:AGI1.1:3:30139874:30141096:-1 gene:ORGLA03G0345100 transcript:ORGLA03G0345100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAGSSSAAALAMAILLGVLVLMALVMDGGEKTGAPAIAAGRRMLVGAADAGQMRTLEDFKADDPFQDSKRRVPNGPDPIHNRGTGKSGRSPGRA >ORGLA03G0345000.1 pep chromosome:AGI1.1:3:30134994:30135852:-1 gene:ORGLA03G0345000 transcript:ORGLA03G0345000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWCSFGVASSLLLCLAMAHAAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRSRRRRRRAPARAWRTRRTSSWASAAWGTSRASRPSAAATAGASATTAAPSSAASPARSAASGAAWAASAGSAVAAPAASRQ >ORGLA03G0344900.1 pep chromosome:AGI1.1:3:30129155:30131913:1 gene:ORGLA03G0344900 transcript:ORGLA03G0344900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVILAEYTEFTGNFTTIASQCLMKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKDDFTKRYAGGKAATAAANSLNRDFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQAGTQVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCK >ORGLA03G0344800.1 pep chromosome:AGI1.1:3:30122474:30126158:-1 gene:ORGLA03G0344800 transcript:ORGLA03G0344800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPTLAAELWRTPHLGGGGGGGGGGRGLEAAASGVTEQSNGSRGGGGGGGAGRRRQREAPALEDDSSRIVSTSGGGGGGQDLTDSEAKRFKASKSSGDNSSLRTEAETDSRNASKSGDQNLPPPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKASVLDEIINYIQALQRQVEFLSMKLEAVNAHVNNGIEAFPPKDFGAQVYNTAPGLTFDPQTPREYAQGSTPSEWLHMQIGGTYERVT >ORGLA03G0344700.1 pep chromosome:AGI1.1:3:30117018:30121353:-1 gene:ORGLA03G0344700 transcript:ORGLA03G0344700.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRVPAAMAAATPPRGRQQRRGEEGEGEGEDAVVELTPRRTRLPRACNSRPKVPPPPPPPPRQERARPPAGAAAGEEEETTPKCRVVTPLVAEPEAPAELPRWRLRGMWELASVINFLHVFRPLLNITVEFTAEELEEAILSPNNTLDDVHMPLLKSIPPVTRMAMGRGTWITVLCRKLKYWWNWVAEGDLPIVASHGAEIEMYKALEPATRLVILKAICDIRVEQEDIRNFIDSSLKRGYELPVFRKERIGGDSYGISYWYDEDPVLGHRLYREIRQVEYVKDPTKKAKGKGISNAPVVSYQWETVACNFIEFELAAEKLFSSRNRTEVSLGKKLKNNYLPEMEKIHKKKERLLKKQQREALLLDNRLTVNGFTSVRSRRERKRVTYTFGKLEPDAFEGKTDIGRILDHICSVPWFFCLERQYYTSFTCFIEIIALNSSFWFSGITQ >ORGLA03G0344600.1 pep chromosome:AGI1.1:3:30115570:30116770:-1 gene:ORGLA03G0344600 transcript:ORGLA03G0344600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CIVWSFYALNGLNWNDYERSINEAIKPTKKSENSSEFITTSNRRVHPKRETATNGRLAGPSPLCNGFYGEYPLRSHGYQGSEWEKKPETLDRRQRKRSRRYTQDFVEAISDVDPNFDSDDDIIGEAVYDEEYLRSRKQYKARLLELDKEFQLEQVADDGNDEVEYPSSTSEDEKEPQRYKRLATCNPRGTNLRTIDGFQTCITRSKRSTRPHMKYHQYDLSGTDTELGKPGKIKVPDPDGGSDALNDMELSTTSQDQEEEGAEVNKERPPLLSPSRNNGSDGRRFLDLNEVAPVGGFDETQSRNGERRPPG >ORGLA03G0344500.1 pep chromosome:AGI1.1:3:30110806:30114502:-1 gene:ORGLA03G0344500 transcript:ORGLA03G0344500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:I1PGE9] MAPAPATTSSSKRSKKRKQPVAPPPESDSESEELSYDTAAADEEEGEEEAPNQMEELEEEEEEEEEQEEEKKEKKQKKEMSKEKKRKKEKGNEGGSGILTNMLFSELGVSEPTARAIREMNYTYLTQIQARSIPHLLNGKDVMGAAKTGSGKTLAFLIPAIEMLHHAHFMPRNGTGVVVVCPTRELAIQTHNVAKELMKYHSQTLGYIIGGNGRRGEADQLAKGVNLLVATPGRLLDHLQNTKGFIYRRLKCLIIDEADRLLEQNFEEDMKQIFKRLPLNRQTVLFSATQTEQVKEFAKLSFEKNEESTSKPVYVGVDDAETNATVEGLQQGYCVIDSARRFLVLYAFLKKKQNKKVMVFFSSCNSVKFHAELLNFLQIECSDIHGKQKQQKRTTTFFNFCKAEKGILLCTNVAARGLDIPDVDFIVQYDPPDEPKDYIHRVGRTARGEKGKGEALLFLLPQELKFLIYLKAAKISLTELVFNENKVPNLQSHLENIVGENYFLNQSAKEAYRSYILAYDSHSMKDIFDVHNLNLKDVAASFCFKNPPKVNIDLESSASKHRRKMRKVDGGRRHGISAANPYGRKGGDDKRQFARF >ORGLA03G0344400.1 pep chromosome:AGI1.1:3:30108775:30110561:1 gene:ORGLA03G0344400 transcript:ORGLA03G0344400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAWFGPVNSGLVLLILTMLLRNLQNFQLMQTFVARQLNRRARRLAALIDPYLSITIHEYDAGRMTRSDVFAETKAYLDGAVGTRDDVRHLNAEDARGGGGGGGAGEGGGGGAGSSSSKGLVLSMADGEEVEDHFRGATLWWSAHCEQDDDKGRRGGGGRASQRRSYSLVFHECHRDLVRSAYLPHVRDQGRAFMAMSRQRKLYTNIPSSRWGDDGSYMCSLWTEVVFKHPKTFETLAMDPEKKREIIDDLDMFKNGKEQHRRVGKAWKRGYLLHGPPGTGKSTMVAAMANYLGYDVYDMELTSVHTNTDLRKLLIQTTSKSIIVIEDVDCSSNLTGRRKATGDGEDDDDDAKTTTKKVIDRGGGGVGGDSKVTLSGLLNFIDGLWSAFGEERLIVLTTNHVEDLDPALIRTGRMDKKIEMSYCDFETFKSMAKIHLDVDDHEMFAAVERLLPEVDLVPADVGEHLTAKNPRDDAGACLARLVNALQEAKAKKDAAERQDEDNGVVV >ORGLA03G0344300.1 pep chromosome:AGI1.1:3:30103266:30104828:1 gene:ORGLA03G0344300 transcript:ORGLA03G0344300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSSSSSLLNGLNSGVVLSLIAVLWTVVWQNLQRLQLQTLVGRHMNRHARRLAALVDPYLSVTIHEYEGGRMKRSAAYEEVKAYLSASSARDVRHLRAEGAKDADKLVLSMVDGEEVSDVVAADDSTDVTVWWCAYSTPPPRTDGGGYYGWGGGGRAQENRRYYRLFFLDRHRELVINTYLPSIRRQGRAVMVQNRQRKLFTNISTHNWSDVDGLVRSAWSHVVFEHPKTFDTLAMDPAKKKEIMDDLDMFKNGKDYYARVGKAWKRGYLLHGPPGTGKSAMIAAMANYLDYDIYDIELTSVHSNTDLRKLFIETTSKSIIVIEDIDCSLDLTGARKKKKEAADDDDGGSKDGGAPPKPDMKKDASSKVTLSGLLNFIDGLWSACGGERLIVFTTNHVKKLDPALIRRGRMDKHIEMSYCCFEAFKFLAKTYLDVDSHRLFAAVDELLSEVDMTPADVAENLTPKSLDDNADTCLAALVKELEKAKENKSKGKNAHGEDKDEDEDEEDDDVEVVEKDK >ORGLA03G0344200.1 pep chromosome:AGI1.1:3:30095171:30100592:-1 gene:ORGLA03G0344200 transcript:ORGLA03G0344200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXGAWRAWLGDAAHAALAQHLQTPSTWDAFLFPSSGGGSAAPPPRPLLLLQLRVRALLFDKASAALLPRGAPPAGLNSVNANYLQLHADDIYFSLEDEQEDINQHHMQSRTSFSPSRENTMLSQRHNRYEELPDTWYKQYAEKFRTWHGKFRSGDKDIPKRTSEGMSNYLKVCSVHKRKRAVFMDDQGHNISVPMSENGPSSKNAGDYSNLTDDTFIPEIRFPADCVPESAIPRTSETSRIYKIEVHGVLDNLPAPVSRNTAMLERFGMMPEYYKKGNKYRGKDGSRVEGKSLSQEQAMLMTRKLVARYLANAGFESGTAVSIDVLSEIIIKHISKLGRNLKLLTDSYRKQFSSIELLKMFLQTVGYSNIGPLMEITKMTNRGANYPMQQDAQVQNQNALLHAQQLSRQFAPQMGINTQNLTPQQQQQLLQQQWLRRNQLTSPRGPLTMADKNQAMVNVKIENTVDSQIDSPYGSLTRQQLQQLRHHQLLQQQQQQFQQQQQVQQQQQQQQQQFQHQQQQQQQQFQQQHQQQQQSQQLQQQHQQQQQPQQLQQQHQQQLAMSGGQNAQLAQQLAMSGGQNAQLAQQLAMSGGQNAQLAQQLAMSGGQNPQLAQQYKQMPSMSAYGMRMPPVKVEAFHELVSGDSSLKPDSDSNKLMSPK >ORGLA03G0344100.1 pep chromosome:AGI1.1:3:30081925:30092622:1 gene:ORGLA03G0344100 transcript:ORGLA03G0344100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTQPSSRTTQPTAPPLRPRRLAPPGEWNGPVLLLLLLPTTQGERNSTLVGFGLSAATGFSRSSDLMATCIVASIMSIGRLATALCSAILGALHKLSALPHIEEEHVGVLPSMVEAELPHLPEDLLVQILSRLEIPALLRASSVCSSWHSAYTTLHSLGQYKRHQTPCLFYTSESAGKNVGCIYSLAEQRTYKITLPDPPIRDRYLIGSSDGWLVTIDDKCEMHLLNPVTREQMALPPVITMEQVNPTYDESGAIVKYENRSQFWHDGVMFSSRSMGSIISPRWQQLFLTGRAFVFSETSTGKLLVVLIRNPFGQLSFARVGDDEWDYLPEYGRYEDCTYKDGLLYAVTTLGEIHAIDLSGPIAMVKIVMGKVMDIGDGDRNTYILHAPWGDVLQIWKTEEDDYIHPSEDDYDAILKNTASIEVYKSDLVEEKLVKINRLQDHVLFVGHNQTLCLRAEEFPSLKANHAYFTDDSQNWITEFKNNRRDIGVFNLEDNSRDELVSPQLWSNWPSPSNANLRTREWQHGGNPPSCGVADQGSVFGSHQKKGLGVYVMRLRTKKGFLSSHWQPNRQQLTEFRFFHSSLKQSMETGIRLRNSPKFRANGDCHNRKRRKLKMSDPKYAYPYPAQGYYQGPYQGPPVMAPPQYAAPPPRRQPSFLEGCLAALCCCCLIDECCCDPSIIFVS >ORGLA03G0344000.1 pep chromosome:AGI1.1:3:30076655:30081045:-1 gene:ORGLA03G0344000 transcript:ORGLA03G0344000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDSGSVISWWAEAPCCRWLAALFGCPSQSRGSSPRLQPSSSSTRSQGVQSRKGKHHNALLYGKYSNSRTSRSTARAINLPTSAESSNKEVTVGERKFPKEVVVAYVDYCKRASVCEENQRVCHMCVFIEQRCQNYIVKQSKKPGKLYATNYFGSSIITLAFDIVHLLPILDEATG >ORGLA03G0343900.1 pep chromosome:AGI1.1:3:30075036:30076588:1 gene:ORGLA03G0343900 transcript:ORGLA03G0343900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLLLAAAVAAAALPPPAAARRHASPPQPQHPDKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAAGPTNAAARAAAFLRRHGLHQHRPSFTYEQLRAATAGFDPSRKLGDGGFGTVFLAYLPPGGRPAAVKRLHVPPSPSPSFPSASATITKSFCNEVLILSALRHPHLVRLHGFCADPRALLLVYDFVPNGTLSHHLHRRCGVTAAAPPPPPLPWRTRLAMAVQIASALEYLHFGVKPAVVHRDVTSSNIFVEADMRARLGDFGLSRLLSPPDACATGARRELVCCTAPQGTPGYLDPDYHRSFQLTEKSDVYSFGVVVLELVTGLRPVDVGRERRDVTLADWVVAKIQVGELREVVDQPVLGEGAGVMASVEAVAELAFRCVAPDKDDRPDAREALAELRRIQGMLPEVSGLKGS >ORGLA03G0343800.1 pep chromosome:AGI1.1:3:30069099:30072184:1 gene:ORGLA03G0343800 transcript:ORGLA03G0343800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFARAQVVRLKSHHDKFLYADEDEVHVTQDRNGAATNARWTVERVPHSPGVVRLRSRYGRYLSASNEPFLLGMTGRKVLQAPPPGGRATDSSLEWEPVKDGFQAKLKTRYGHYLRANGGLPPWRNSVTHDVPHRTATQDWVLWDVEIVQVLTPGHDRAPTSAAPPSPAHAPELKKPPPPPEAHHRPTKSYTGHPPPPPLEKDAPPQPPRPQEVHHRPTKSYTGNPPQPLEKDASPQPPPPKPAPSRLESSLSFSAPLHKVEGRAIYYHIADDKGDVDEDDETRSFTFNGSNLEELTHKLQEETGLHDIIICTRSPITGKLAPLRLQLPPNNAAMHIVLVQESSKVAKTFPWPYGP >ORGLA03G0343700.1 pep chromosome:AGI1.1:3:30059421:30066353:1 gene:ORGLA03G0343700 transcript:ORGLA03G0343700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQRGGGGGGGGAATEGGAATGGGAGGHSPSTVFVSNLPYTFKSSDLEAVFSEVGPVRRCFMVAPKGSETSRGFGFVQFATVQDAERSIQQKDGFSVAGRKIRVKLATHRAPLKERLQKKENAVQAKDADATNEAKDADATNEAKDANATNEADATSTAKHKETSHKTDTEPLQLLKKETTLSKEVSISNTAKVKSSEKQRVAKTVIFGGLRDFAMASEVFRLAGEIGTVVSVSYPLPKEEMELHGLERDGCTTDAAAVLFASVKSAWDSVVHLHRKEVKGAVVWARQLGGEGSKIRKWRVIVRNLPFKITVKEIMDIFSLAGFIWDVSIPQKSDDGASKGFAFVSFTRKQDAENAIKNVNGKVVAKRTVAVDWAVPKKVYTVAAKSSTKDDELANVSDRGSDEESEDNLVGEDDSYELEQETSNCPADDDFKTEMDISRKVLENLIKSSERAEPSGNEGSDIDTDTETEQDTSEKKQKQTHLPASVPAADKLENSKRVAQEENTLPATKFKKQDAGLDRTLFISNLPFDLSNEEVTERFSAFGKVESFFPVLHKLTKRPRGTGFLKFSTPEAADAAVSAANAAPGLGIFIKSRALKIMKALDKESAHKKELEKAKNEVEDRRNLYLTKEGEILAGTPAAEGVSDADMNKRSWLARRKAEMLQSPKFHVSKTRLIIYNLPKTMTINDVKKLCREAVISRAHKQNPVIRKVNILKNEKKSSSTAQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALENVEKVRLQKIWKDRRDKLREAAQDKARPLGDQSATDGPDANNRRAFNKGNKRKSHDRSSKLPYAGEGPAEDLSAAGDGGTVESMVEDKRKDQRPAKRARKSNKGTTALDGDRQDATPTADRNRTLSSKHNPADALAKRKNRNDSHSEQKRGRAQRKTKKELAGEGSVDKSLVEQYRSKFLQHGLNKTKG >ORGLA03G0343600.1 pep chromosome:AGI1.1:3:30055575:30058242:-1 gene:ORGLA03G0343600 transcript:ORGLA03G0343600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAAAAGALSCTFRSPSPSPTSPAAPHWRPLLGFRSRSRSRGRGWGQRAVVAGAPRLFLPPPCRRFRYCSQSKLLGMNKGQNRCSLATFSSFGQSGISLNNEDLVKEKLLIDCGEDQDCVIDGIVALGKFDALHIGHRELAMYASKAGTPFLLSFVGIAEVLGWEYRPPIVARCDRKRVLTSWAPYCKNVVPIEYQVEFSKVRYLTPRQFVERLSRDLKIQGVVAGENYRFGYRASGDAAELVKLCEEFGLSAFIVRSVMDTARSYNGVTTSVNSSDKGQVSSSRVRHALAMGDMEYVSELLGRKHRLVLTVKENHLQERKRIMLPKSCMLNMPPADGLYENCDLVNGGHLGLCRVIINSETIEIEMKDENSLLPNTIQENQQLGIEFG >ORGLA03G0343500.1 pep chromosome:AGI1.1:3:30046781:30054312:1 gene:ORGLA03G0343500 transcript:ORGLA03G0343500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 35 [Source:UniProtKB/TrEMBL;Acc:I1PGD9] MLPDGGADDEERWLAEGIAGVQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSRLSPHKYYDLYMRAFDEMRKLEMFFREETRRGSCSVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPLRGLFLRSYLSQISRDKLPDIGSEYEGDADSINDAVEFVLQNFIEMNKLWVRMQHQGPVREKEKRGKERNELRDLVGKNLHVLSQIEGVDLDMYKETVLPRILEQVVNCKDELAQFYLMDCIIQVFPDEYHLQTLETLLSAFPQLQPNVDIKTVLSQLMDRLSSYAAASPEVLPEFLQVEAFAKFSNAIGKVIEAQVDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGNAKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDNSTTKVMALVIIQSIMKNTTCISTSDKIEALFDLIKGLIKDMDGAQNDELDDEDFKEEQNSVARLIHMLHNDDHEEMLKILCTVQKHILQGGPKRLPFTVPSLVFSALKLVRRLQGQDGDVIGEEVPATPKKIFQILHQTIEALSCVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITAIHLIIGTLQRMNIFGVENRDTLTHKTTGYSAKLLKKPDQCRAVYACSHLFWTDDQDGIMDGERVLLCLKRALRIANAAQQMANVTRGSSGSVALFIEILNKYLYFFEKGIPEITNTVIQDLIELIRTEKQSENTVADPSTEAFFASTLRYIEFQKQKGGSIGEKYEQIKTT >ORGLA03G0343400.1 pep chromosome:AGI1.1:3:30043370:30044329:1 gene:ORGLA03G0343400 transcript:ORGLA03G0343400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRSLASKSRMGLRALPKIQPQPAATVIPPRLLSHGGLLRRKHLTPPPPPPLTPYRFFSSSVSESRGTSPSPKQESSRAPRQTEEGEELFRMQDEEKLKLLSLIKNLKESEAIKREDPLFDITMKLVISSMAMLLVWTVSDILLME >ORGLA03G0343300.1 pep chromosome:AGI1.1:3:30041909:30042285:1 gene:ORGLA03G0343300 transcript:ORGLA03G0343300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIGDSAATPARSIGDSATTRVSQAYENRINHGRGFWASGRNLEANRPTMAAAHTDPRSTMVSSQ >ORGLA03G0343200.1 pep chromosome:AGI1.1:3:30038360:30040227:1 gene:ORGLA03G0343200 transcript:ORGLA03G0343200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRFLLPGSTRYYHSLMKEKTICINPHKLLLMTMVEDTITKKVAGNICSSLSNARAIGAGICCFSTSAPRGYRRAFFTNSFEDMGILKDMALVLHAAFKGWKTKFQDIPPMKKYVMLLGLACLTLHLALHYKMKKMETNLKQDMIKFRAEVKLEIEAAANAMQKEIASELAKSAQFRADSVVAFQKCKARPDVWSEYYCLTLLEALMRSRIDGK >ORGLA03G0343100.1 pep chromosome:AGI1.1:3:30029896:30037520:-1 gene:ORGLA03G0343100 transcript:ORGLA03G0343100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAAATSSAIWLVEDDILLKNAVETGASLEALAKGAVCFSRKFTLKEIQDRWNSLLYDPEISTQASARMVEYENDLSTSNPLKAKVINAKQKDLSFQKRKIDSVKNQYYAMRKRVRNEPCSTVDLGFLIDPCSCTMNGGQCVCGGLDKHSQGHHVVHNTEPGVSTMNCFGQQDGSYNGGQTMFVGMNGHSFPAKHAETDSMVKGGDIANSVPYGYSDVSQIYEQDAYTRKDPDTNEGNNVSLKGITDFQGSMQFQNLGSSNQCGSKVTESKTIVIADHCGVEHVHFPVNSSSRMQEPGSLQVIGQPEGSQTPVGSIWTEVDERGTFTLDDDKKIKTDNSDPLALQPNLDGGICAAGLDHAAITEGDFMDFPYFSNSEDLDLLNGENFLNIPHETNQEDLDDPDHVKNLLHPDEANICYDQTDPDHVKHNVDVSGIISVPTSLEVPYPGRFVECVLNTEDPEIPCNDDVIFPGESPLQCSATDFGQNSEHNTCLVSPATSPASNVEHSNVSDKALIKREDTTNTEPSSQPMNLSPPTSEQKEGSTAPSKGCVPLGAEPSEGPSTAGTLVHCHVDTNDANSCASNLPSISAAVFAEGSPCHLEQQNNFDDSLSFPLPNSVEVPDHMNYNSHDNQPELGDGAPLQNCIPPHELPDLGLQDPITTVPVSNQVEECSDNENDVPNYYDLEALILDQDLIPWVQDSEQHPEGVSRFQHPESRKSLIRLEQSARSYMNRAIVSKGAFAVIYGLHLRYYMKDSEVTLGRETEDIKVDVDLGKEGRANKISRRQAVIKMDEAGSFHIKNIGKCSIFVNSKEVPSCKRIILSSDSLIEIKDMRFIFHVNQDAVTQFVTRTPKPEH >ORGLA03G0343000.1 pep chromosome:AGI1.1:3:30026974:30028802:-1 gene:ORGLA03G0343000 transcript:ORGLA03G0343000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:I1PGD4] MGSFFSTMFTPPPAADDGGDSRVVAVHSTATWDEQWGAHKSNPNKLIVIDFSATWCGPCRFIEPAFKDMARRFADAVFFKIDVDELSEVARQWKVEAMPTFVLIKGGKEVSRVVGAKKDELERKVNMFISSSSS >ORGLA03G0342900.1 pep chromosome:AGI1.1:3:30023524:30026248:-1 gene:ORGLA03G0342900 transcript:ORGLA03G0342900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Putative small multi-drug export (InterPro /.../9577); Has 405 Blast hits to 405 proteins in 185 species: Archae - 65; Bacteria - 295; Metazoa - 0; Fungi - 0; Plants - 23; Viruses - 0; Other Eukaryotes - 22 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G02590) TAIR;Acc:AT2G02590] MAMAAASVFAASSSSSPPPLALASWRWRPPPPPPLLAVAGAARGATNPRLALRLSAAASPPVTGESRAVAGTGRCLVAPMGGDETERDATAATAPDWGALARRLALGALGCAVLCCGGAAVAAEDSIKASGFGLRVAASLRRLGWADEAVVFTLATLPVIELRGAIPVGYWMRLDPIRLTVLSVLGNMVPVPFIILYLKKLAAFLSQRSSSATRIMDLLFERARQKAAPVEEFQWLGLMLFVAVPFPGTGAWTGAIISSVLGMPFWSGFSANFVGVVLAGLLVNLLMNLGLKYAIITGLVLFFLSTVMWGVLRSLKKSLNAK >ORGLA03G0342800.1 pep chromosome:AGI1.1:3:30021611:30022444:-1 gene:ORGLA03G0342800 transcript:ORGLA03G0342800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGSAPSYVVLDRVVHLDKEAVKEESEWAIMECRDRKTYLRNDHVGDEVVYGLSLLAQIAEPPDLSKLSIRLSEPPPVQVAARPEEILDDGSSVLDLPKRALNLHTSVQSVADDLIVFTSCLRNRTHRYLVYDAIGKSLSMIPCLPNRCDPSATFQPLPLRAGAGGDYTIALLGRDMRSDRETTRRFFQDALPVSAAAILETSTAILLLRCHHAVAIQEPALPSRDAESLRGPYGVLVRRSGFLGQPRAKCPLLQLPRRAHRWLRCAIPLHPAAA >ORGLA03G0342700.1 pep chromosome:AGI1.1:3:30006456:30015622:-1 gene:ORGLA03G0342700 transcript:ORGLA03G0342700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGGGRGGRGGEQRPPYSGRGDXXXXXXXXXXXXXXXXXXXXXFVWPPPGMTPRPGPPQPQYPRPGPPAVVYGAPMPAAHHQGAYQPGGVYRAPSPGVPVIGGYARSTPVTIRAPPPSHSSAPAPYQPAAAAPAPSSSSTAPSATALAKEFEQKLFVSETALAPPAAAASAAAAPAGEASVASDKDLAPVSKKGLAHPARPGFGAAGKKVMIRANHFLVNVADNNLFHYDVSINPESKSRATNREVLNELIKLHGKTSLGGKLPAYDGRKSLYTAGSLPFESEEFVVKLIDPEKKDKERAEREYKITIRIAGRTDLYHLQQFLLGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTQFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVEEFLNIRDTSRPLSDRDRVKIKKALRGVRIETNHQEDQIRRYKITGITPIPMSQLIFPVDDNGTRKTVVQYFWDRYNYRLKYASWPCLQSGSDSRPVYLPMEVCKIVEGQRYSKKLNDKQVTNILRATCQRPQQREQSIHEMVLHNKYTEDRFAQEFGIKVCNDLVSVPARVLPPPMLKYHDSGREKTCAPSVGQWNMINKKMINGGTVDNWTCLSFSRMRPEEVQRFCGDLIQMCNATGMSFNPRPVVDVRSTNPNNIENALRDVHRRTSELLAREGKGGLQLLIVILPEVSGSYGKIKRVCETDLGIVSQCCLPRHASRPNKQYLENVALKINVKVGGRNTVLERAFIRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFSVGKDPVKVVNGGMIRELLIAFRKKTGRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVVDRQICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGESSDGGSTPGSSGQAVAREGPVEVRQLPKIKENVKDVMFYC >ORGLA03G0342600.1 pep chromosome:AGI1.1:3:29998935:30005180:1 gene:ORGLA03G0342600 transcript:ORGLA03G0342600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKERDSESSRRRRRRSPSDSEEASDSSGSPRRSRSRSRRKSRRRDTPSSSDASDSQASDSGSDSGGRVRRRSGSRRKGEVTEEQIVEYMAKKAQKKAEKVAKKMKANAVSGYSNDSNPFGDPNLTEKFVWRKKIERDVSQGQKVDISVKGEKKKQRERMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDVLLKNLNFSEEFDVELNEPYLVFKGLTVKEMEELRDDIKMHLDLDRESQINVKYWEALMVVCDWELGEARKRDALDRARVRGEEPPPDVLAEERGLHASIEGDVKDLLEGKTSTELEEMQSQIESQMRSGTAKVVEYWEAILKRLHIYKAKACLREIHASILRKHLHRLEHPDSIEQDKESEDEIDAKEKDATHIDDDDDKRYSPEPIAEQTESHLDEEDGSFSPELMHGNEDEDAIDPEEDKAELDRKREAVVMEHQKKVQQAIAVKTRVPDEMEMKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPTYTIEKDGSTGETCLIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRYRYRR >ORGLA03G0342500.1 pep chromosome:AGI1.1:3:29995385:29997271:1 gene:ORGLA03G0342500 transcript:ORGLA03G0342500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAESGVAGGGRGAAALLREVATARFARQVVLGRWFMVFACLLILSASGATYIFGIYSKVLKSSLGYDQRTLNTLSFFKDLGANVGVISGLINEVTPPWVVLAMGAAMNLAGYLMIYLAIDGRTARPPVWLMCIYICVGANSQSFANTGALVTCVKNFPESRGIVLGLLKGFVGLSGAIFTQLYVAIYGDDAKSLVLLIAWLPAAISILFVHTVRIMPYLPSRRRRADGELEASAATSNDAFFCFLYISIALATYLLTMIVVQNQTNFSHTAYVVSATALLLVLFLPLVVVIKQEYQIKKELDDSLREPPTVTIEKPAAAAMQMSAITTKPKTETPSSSSPAPAPPSCCLGSCLKHMFNPPAQGEDYTILQALVSVDMLVLFLATICGVGGTLTAIDNMGQIGQSLGYPAKSIKTFISLISIWNYAGRVTSGFASEMFLARYRFPRPLMLTAVLLLACVGHLLIAFGVAQSLYAASVIIGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGSVASPVGAYVLNVRVAGYLYDVEAARQHGGSLAGGDKTCLGVQCFRKAFLIITAATVAGALISLVLVWRTRNFYKGDIYAKFRENTATDEATTNGNSADTAAEKRSTLVNDEDSKKG >ORGLA03G0342400.1 pep chromosome:AGI1.1:3:29979241:29979747:-1 gene:ORGLA03G0342400 transcript:ORGLA03G0342400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAARIPVLSSPALVNDMARQPFLSPVHADDVVAGDCGADEKQKTHIAQNFEGMTMIMHDEVAQQCVTLMISYMLFENDWPPDLTFKIQITQFFSFHF >ORGLA03G0342300.1 pep chromosome:AGI1.1:3:29958439:29962108:1 gene:ORGLA03G0342300 transcript:ORGLA03G0342300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLHPRFPSSHAAACAHRAAAAHRDARPALRLPELHATRRRRNNVACRATRAREAPPQQQNTAAALSKEAHKYFDHAVVTVRAGDGGHGAVLAMPASPSTDAPKSPRRRSDKGKRSGVKKVSYKRNYDGSVALPMGGHGGDVVVYADEAEETLLRFHEKARYCAKRGGNVGATGTLSSRMHNGFAGETLRIPVPVGTVVKRKKGAVLADLAHPGDEVIVARGGQGGISLIDVPEYRRRKAMALSPNIMRDVSDRVLIHGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIAEYPFTTLMPNLGRLGGDPALGALQFSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRVIVHVVDAAADDPVDDYKIVREELRMYNPQYLERPYVVVLNKIDLPKAQDRLSSLAFEISSIGCEECDGNNTSEDSLNGNTGEHNTSSETKVEGGEKELRDYPRPQAVVGASVLKHIGIDEMLKEIRAALRKCLITGYLSH >ORGLA03G0342200.1 pep chromosome:AGI1.1:3:29952365:29956840:1 gene:ORGLA03G0342200 transcript:ORGLA03G0342200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRQPQKRVLESFTIKGPDGVIKPGDTVLMMAPDSSKKPYVARVEEIEATGPQASQVKFKVRWYYRPEESIGGRRPFHGSKEVFLSDHYDSQSADTIEGKCYVHTFRDYTKLRSVSAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDNLMIQCEDCSDWFHPSCVEITIKEAKKLEHFYCKSCIAENGKDLQKSNGATVQSEEKVQSKRRRR >ORGLA03G0342100.1 pep chromosome:AGI1.1:3:29950182:29950935:1 gene:ORGLA03G0342100 transcript:ORGLA03G0342100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDRSQPAPIDPRRARFPCCIVWTPLPLISWLIPFIGHIGICREDGVILDFAGPNFVSVDNFAFGAVARYIQVNSDECYKLLEPEGASTWDDALRKGVQEFQHRGYSLFTCNCHSFVVNNLNRLFYSGHDKWNVVSLAAVMFLRGRWVSTASVVKTFFPFALVITIGTLLGGATFLIGLLAFAAVMTGWFLVGTYCIKSLVEL >ORGLA03G0342000.1 pep chromosome:AGI1.1:3:29948169:29948507:-1 gene:ORGLA03G0342000 transcript:ORGLA03G0342000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKYAAYGDLLGMTARVAVRAYSHCPQTARMYYKPPPTATATTAASGDKRSASAATASSSRSSSFGADNAGSSTGAAASPCASTKQQAAAAARVAFDGAGFIVYGVERAA >ORGLA03G0341900.1 pep chromosome:AGI1.1:3:29945074:29945319:1 gene:ORGLA03G0341900 transcript:ORGLA03G0341900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVTFFAAVLVAIFLTSGGGRMSSTAARPTAVGGAGAPPAAVAVELAGTGTGTNASSQPSNCTYGNNVGGQCPPTPGAGH >ORGLA03G0341800.1 pep chromosome:AGI1.1:3:29940656:29942940:1 gene:ORGLA03G0341800 transcript:ORGLA03G0341800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTSTVITWRYQQLFVVLSSVILEWVLMLLLLFEGLLSYLVTTFASLCKLHPPCPMCTRLDHVFGTAQPGFYRDLMCNSHKAEASSWAFCHIHQKLVDVHSMCESCLISFATNKKSNLATYRSLAGKLGVGIGNEGFRPSFSLDNSSEASVIKEDITNTLCSCCSSPLKVKSYPSMVLQNIASAIDTEVNTRHVSRDQLIEEISLVRYSELKTSDSESEPWQHGGVASLLDDAVDNLKEDFTLSHPKTKFAGVIPTDGIAQDQVAKNSDLIQLQNGGSDSKNSQVSAELYHFRADGNANLQSTDFSSKTVQHPTEDSDTTDKSEDDVWHNALDSISELSVTDKPAETSTAENEPKAEFTDRTAMKDSFKAHEDLQLLLSQVSPNDAINIPGVQEQAILNNITRALSLDRNYSGSISESMAIDEAEEHCTVDQLKKQIELDRKSISLLWKELEEERNASAIATNQTMSMITRLQEEKAAMQMETLQYQRMMEEQSQYDREDLQKMAAMVQELEAEIEGYKTKLRDQSLVNEIRDAMRISRSEECETSMSRTARSLSLFEDEKAYISKHLKKLRQKLHQFSNNGKFIDPKKIDDKEDTFDVTNSEDVYQDADEDSEMTNSENSEMTNVIRNGRNFRYLSNGTEGLTNGKDDPEGQYYAMVSENDLVNFEDEISELTAKLKALEADHSFLEHSINSLRNGQEGKELIHGIACSLRELRKMGITWKDCD >ORGLA03G0341700.1 pep chromosome:AGI1.1:3:29935869:29938573:1 gene:ORGLA03G0341700 transcript:ORGLA03G0341700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNPCSPMVKEAVEMSTDEESDGVVICPPDGNNDDREEAISSNNHDNCQEGEVTCVKDPVIDSETQEDKCVNQDSVKLIDQEKSGPPKSPSKPGISGSDRSKRTVPQPFALSSQRKSHGGNSKAAHPSGNGENSGDKSNSSPASLTKKTAPITPKKIAQLDHMLHHQEEDSCSVTSSTTTSTRAGKTKATVGVAPSFVCADRADKRKEFYTKLEEKHKALEAEKNEAEARKKEEQETALKQLRKSLVIRAKPMPSFYQEGPPPKAELKKVPPTRAKSPKFTRRRSCSDAPPTPEAANTTAASSRSHRHSIANPKDANRVQCSPKNGVAAKTRAVKPVS >ORGLA03G0341600.1 pep chromosome:AGI1.1:3:29928797:29929757:1 gene:ORGLA03G0341600 transcript:ORGLA03G0341600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVAATEPEVAAEEAAAAAPETTATAGDSKPAKEAKAKKAAAPRKARSTATHPPYAEMISEAIATLKERTGSSQYAIGKFLEDKHKDHLPSNFRKQLLVQIKKLVAAGKLTKVKNSYKLPPTRAPAAAKPKAKPAAAAKPKPKPKAAAKPKAAAKPKAKAPAKSKAAAKPKAAAKPAAKPKAAAKPKSPAKPAAKPKAAPKAKAKPAAKPKAKAAPKPKAAAVTKTKATSAPARRPAKAAKTSAKDTPSKKAAPAAKKPAAAAKKAPAKKAAPAKKAAAPARKVPARKAKK >ORGLA03G0341500.1 pep chromosome:AGI1.1:3:29924219:29925248:-1 gene:ORGLA03G0341500 transcript:ORGLA03G0341500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSLAGGDMKPLATSDDLDTIILFSYRWPLPSAMTSTRATTCCLVLGGINDGKIPSERYGHCGSRRGATRADEARSGVPSLGYVPSRPGRCCLVRAVLGEEEAEVDPIGSGNPKGGGGMGFLVEEVVLSDSGGGLRFRQNMWTLAEVAALGAMAGWESGRQKRILTTVTALWAAET >ORGLA03G0341400.1 pep chromosome:AGI1.1:3:29913949:29916124:-1 gene:ORGLA03G0341400 transcript:ORGLA03G0341400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEVEAEVAAAGAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >ORGLA03G0341300.1 pep chromosome:AGI1.1:3:29909824:29911387:1 gene:ORGLA03G0341300 transcript:ORGLA03G0341300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVEEANMAAVESSKKLVAILSKSGDPFRLMAAVAETDEAVSRFGKVVTILSNRVGHARARLGKRRSSPPVDPGCLMDHPLAAAASSPAPSNGRLHFSSSAATASPSPATAAAASSAANVTPAVVDRSLFLETTLLDLNSRGAPAPAASMAAAAKNSSKLAPAPMVNSSSSANHIQFQQPMKSFQFEQTPISDKFHIEMPRGVGGGVGGGGGKEVISFSFDNSVCTSSAATSFFTSISSQLISMSDAATNSAAAAAAPTTKKPSSCARKATADDDAGGKCHCPKKKKPREKKVVTVPAISDKVADIPSDNYSWRKYGQKPIKGSPHPRGYYRCSSKKDCPARKHVERCRSDPAMLLVTYENEHNHAQPLDLSVVQQATANPQT >ORGLA03G0341200.1 pep chromosome:AGI1.1:3:29897987:29903584:1 gene:ORGLA03G0341200 transcript:ORGLA03G0341200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PGB6] MSEVDDIFITALIMPLDDDLEKDRGKGIRCSGFGRIENWAISGYDEGAAVIWVSTETSDYKCVKPASSYRSYFEHFSEKARVCVEVYKKLARSVGGNPQVDLEELIAGVVRSINSNRSFNGTVTKDFVISSGDFIYKQLIGLDHTAGNDDEMLATLPVLVALKDECKSRAGFTHLPAMPSNGTLRIKDGQDKGLTEDEDAKLARLLQEEEEWKMMKQRGKRGTSQKNIYIKICETEIANDYPLPAYYKPYNQEMDEYIFDSDIGMYSDDVPVRILDNWALYNSDSRLISLELIPMKAGAENDIVVFGSGFMREDDGSCCSTAELAQLHSSSSKSGREDPGVPIYLSPIKEWVVEFGGSMICITIRTDVAWYKLRQPTKQYAPWCEPVLKTARLAVSIITLLKEQSRASKLSFAEVIKKVAEFDSRHPAFISSKAPTVERYVVVHGQIILQQFADFPDESVKRCAFITGLLAKMEESRHTKLAIKKKSQQMRGENLNPSAKMGPILRKKLMRATTTMLISKIWGEYYATYFPGDTKEEDQNEPKEIDDDQEENEDNDAEEEVNVQDEKATRTPPSTRSRKSSADTRKEIKWEGQTAGKTVSGEVLYKCVIVQDLSISVGATVTIEDDSGETIMCFVEYMYEKLDGKNMIHGIILQEGSQTVLGNAANDREVFLTNDCLEFEASDIKELVTVNIQSLPWGHKYRKENSEAKRIEKAKAEERKRKGLPVEYICKSLYWPEKGGFFSLPYDKIGNGTGICSSCERKPVGNEFKLLSESSFVFENITYNIHDFLYIRPEFFSQGEGHETYKAGRNVGLKPYAVCHLLSVHGPAGSRKANPESTKVKVRRFYRPDDISSTKAYSSDIREVYYSEDIISVPVVMIEGKCEVRLKDDLPNSDLPAVVEHVFCCEYLYDPANGALKQLPPNVRLVTLTRKVPASKKNKGKQICDIELGGSDKPKDGQSENCLATLDIFAGCGGLSEGLQRSGVSLTKWAIEYEEPAGDAFGENHPEAAVFVENCNVILKAIMDKCGDSDDCISTSEAAERAAKLSEDKIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVAQSRKRAFIWAAAPGETLPEWPEPMHVFASPELKITLPDGKFYAAVKSTAAGAPFRSITVRDTIGDLPAVENGAGKPTIQYGSGPVSWFQKKIRSDMASLNDHISKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYRFAGNIQNKHRQIGNAVPPPLAYALGRKLKQAIDAKR >ORGLA03G0341100.1 pep chromosome:AGI1.1:3:29891324:29894421:1 gene:ORGLA03G0341100 transcript:ORGLA03G0341100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AESVYMRSSSIRSPAAALLATLIWGVLHWRNSELITVCNSXGQGYFLSNEGRLRTQKSFVQLRHLSRGKKDHYLHLQSLLLRCLYRNVXQRGERKLRAYATFLCILPRGAVKIHQRNLGVGDHWVVNLSLAKTKKSLKSSVKDTNRTKSKSGDTDDGAPASKAKAREPFTRYGRAAKRTGSKKLLMLKNKKKRFKAKHPSKKRRFRALWFYLLAAFDQRGVPTLPQLPAKYLRIKDVDLPASIIQKYLAQKLNLSSETEVEVLCGGKVVNQGMTLHDLADCWLEKGPKSRMRSSVGSPATGFMVTLFYRRPDVDVSSSPAPPQPDTESCHS >ORGLA03G0341000.1 pep chromosome:AGI1.1:3:29887363:29888193:-1 gene:ORGLA03G0341000 transcript:ORGLA03G0341000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGSIAGGFEIGQGLWTKVKQMTAFALGQLCDDLDKVHVILIQADTLSMIHQGEGWYPTMEILDCPGGSGYADRSNHHPKERPHLKDLMQAHLKDLMQSPRSCILLGDVVP >ORGLA03G0340900.1 pep chromosome:AGI1.1:3:29880400:29881291:-1 gene:ORGLA03G0340900 transcript:ORGLA03G0340900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENTNTSTAHSMALPIPPARPPPLATVARPHPPQDAVQARDGVRRTDPKTPGTARDAAKEARLDPCPNAAFAGTWPGWVAGFDVQCVYSMVCWMMTVCLNFEEPSPSLVL >ORGLA03G0340800.1 pep chromosome:AGI1.1:3:29875773:29876545:-1 gene:ORGLA03G0340800 transcript:ORGLA03G0340800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1Q4L3] MAMAAESIDAELRLGLPGSGGGDGAAAKKRRSASSTVKSEASGTACCGCAGARDVDDGASPASKVQVVGWPPVGSYRRSTFQSSSSSTAAAAKGKGGGETDQGRQNKGGGLYVKVSMDGAPYLRKVDLRMYGGYRELRDALDALFGCFSADASASAAHFAVAYEDKDGDLMLAGDVPWDMFISSCKKLWIMRGSEAR >ORGLA03G0340700.1 pep chromosome:AGI1.1:3:29872382:29873427:1 gene:ORGLA03G0340700 transcript:ORGLA03G0340700.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPASSASGKAASGMSSDEKNQRQRDARVLMPPPRSLAPNRTRPGVKHVLSSSSNTSNSTSGGTFKNRRAANQPGDHNSNLSSNSDAHKPRRKRRHRKKKKLA >ORGLA03G0340600.1 pep chromosome:AGI1.1:3:29870058:29871666:1 gene:ORGLA03G0340600 transcript:ORGLA03G0340600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRAAAVRRPKSSSASSAGAAAERKRKRAAAAKTVSLKNQIRSTERLLRKDLPNDIRVAQEKKLEELKRQQELQNQLAIQRTVQLRDRKIKFFERRKIERMIRRLEKQQRSNADDASNKLSKLKEDLEYVR >ORGLA03G0340500.1 pep chromosome:AGI1.1:3:29864686:29866765:1 gene:ORGLA03G0340500 transcript:ORGLA03G0340500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDSGGNQQIWEKWSTDGTERQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQQTQDGQPVKHEPNTPS >ORGLA03G0340400.1 pep chromosome:AGI1.1:3:29863167:29864023:1 gene:ORGLA03G0340400 transcript:ORGLA03G0340400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQPQQQGPPEDDFFDQFFSLTSSFPGAAPGGRAAGDQPFSLALSLDAAAAAEASGSGKRLGVGDDAEGGGSKADRETVQLTGLFPPVFGGGGVQPPNLRPTPPTQVFHPQQSKQGGAAVGPQPPAPRPKVRARRGQATDPHSIAERRK >ORGLA03G0340300.1 pep chromosome:AGI1.1:3:29853456:29855458:-1 gene:ORGLA03G0340300 transcript:ORGLA03G0340300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVKASSPAASSSSSSSAPAKLGAAPGRVAFRKLTAAAASAAASLRLDIDRAPAAPATERGLSSVSRTMSRLMEKGKTAFIPYITAGDPDMGTTAEALRLLDACGADVIEVGVPFSDPYNDGPVIQASAARALAAGATMDGIMSMLAEVTPELSCPVVLFSYLGPIVRRGPANFTAAAKQAGVQGLIVPDLPYLEACSFRSEVIKNNLELVLLTTPTTPPDRMKAITAASGGFVYLVSVNGVTGSRQDVNPRVEHLLQEIKQVTDKAVCVGYGISTPDHVRQIAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEKYARSLKNALP >ORGLA03G0340200.1 pep chromosome:AGI1.1:3:29846413:29848703:-1 gene:ORGLA03G0340200 transcript:ORGLA03G0340200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTTMKASPMSASSSSAPVLRRCVAPPARVAAARRLAAAAASVALEASPVPAAAAAAVERRMSVSQTMSKLKEKGKTAFIPYITAGDPDMGTTAEALRLLDACGADVIELGVPFSDPYADGPVIQASASRALAAGATPEAVLSMLKEVTPELSCPVVLLSYLGPILRRGAANFTAAAKEAGVQGLIVPDLPYVDTCTFRSEAIKSNLELVLLTTPGTPGERMKIITEASGGFVYLVSVNGVTGPRPKVNTRVEHLLQDIKLVTDKAVCVGFGISTPDHVRQIAGWGADGVIIGSAMVRQLGEAASPKQGLKRLEEYARRMKDALP >ORGLA03G0340100.1 pep chromosome:AGI1.1:3:29842779:29845205:1 gene:ORGLA03G0340100 transcript:ORGLA03G0340100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKNIIGAPRQHMAYFNYMGMLAARAPTTRXRRCXIRTSIQRQAVEDAVPPRAPAAASPAVSPRTPAAASPAAPATSSPPAPACPRGEKETHLHYRNKQKTQIFGITFGFLGEVYCKXEGRRYMMKLQKSXRRKSGCKAKRLRPLSQNGYHQKKENKKERLKL >ORGLA03G0340000.1 pep chromosome:AGI1.1:3:29837655:29841098:1 gene:ORGLA03G0340000 transcript:ORGLA03G0340000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREESNKKSKLSWSKSLVRKWFNIKSKANDFHADYDASQDRMPKKNADCIRRGRTESDVSRLTEVQDYRIFASTWNVGGKSPSKGLDLDEWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNVPAKKWVSLIRRTLNRNPGASSYGGYHTPSPVPDPVVELDADFEGSSRRHDNLSFFHRRSFQNLSQSLRVEGNYMSSQPRLDRRFSVCDPVSLGGRTSDFDGNFPCAGSPDDEYIEEDGSNGTYFSPFPYGYGTSIAMEENDEQPNTSRYCLVASKQMVGIFLTVWVRSELRNDVKNLKVSCVGRGLMGYLGNKGSISISMSLHHTSFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPRVRGAGDIKSPETILEHDRIIWLGDLNYRISLSYCSAKALVEMHNWKQLLEKDQLRIERRCGRVFQGWKEGRIYFPPTYKYSFNSDRYSGECVHSKEKRRTPAWCDRILWHGNGLIQLSYVRGESRFSDHRPVYSIFMAEVEIIRQRRRNMGCFNSRVEVEELLPYSYRFGDIKFN >ORGLA03G0339900.1 pep chromosome:AGI1.1:3:29826864:29832945:1 gene:ORGLA03G0339900 transcript:ORGLA03G0339900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRGGVWRARLRSKKVYDVQDADPAASPVSPAPRGRTGRRGGAAAGRGNKTVAEGGGRKALKPRGKGCRAVDLCEDQPCKDLPEVIARKAVTGKAQEDLGLNKVADRAANLMMDGESGDKFAAAEDESTTTPVPERVQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGGSRTGPDAQEVALKFEHRSSKGCNYGPPYEWQVYHTLNGCYGIPSVHYKGRLGDYYILVMDMLGPSLWDVWNSVGQAMSAHMVACIAVEAISILEKLHSKGFVHGDVKPENFLLGHPGSVDEKKLFLIDLGLASRWKEASSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATSPELLCCFCPAPFKHFLEMVTNMKFDEEPNYPKLISLFDGLIEGPASRPIRIDGALKVGQKRGRMVVNLDDDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLHQHIEKGNEDGLYISCVSSSANFWALIMDAGTGFCSQVYELSQVFLHKDWIMEQWEKNYYITAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNAGYSHQVVELDFLYPSEGIHRRWETGYRITSTAATPDQAAFILSIPKRKPMDETQETLRTSSFPSNHVKEKWSKNLYIASICYGRTVC >ORGLA03G0339800.1 pep chromosome:AGI1.1:3:29815179:29816057:-1 gene:ORGLA03G0339800 transcript:ORGLA03G0339800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETIAWKIDLDLELRLASPSRVPPPPPISSEASRATVGTNGAASRTVSDLVVFAASLTLAAPDSDGVVDTAIGQDAVLPGGDAASKKRAKTDPDEETDGETSCRRRPPPPTAVPAAAAVVSEPAWVRAELFPLHGLPMDMPPLRFIVAKLLQRSDFYPQQSRFLLPSSAADNLRAFLSAQEGEACGLNETSRRRRRRREKLAEATRCGGEKRREEPPRYEGVPVTVYLRGGLVCELKLSKFNGTKATVINGGGYAKFMADGGLVRGDRVEVLPLRRPPNYRLCFVIAKNNG >ORGLA03G0339700.1 pep chromosome:AGI1.1:3:29813325:29814035:-1 gene:ORGLA03G0339700 transcript:ORGLA03G0339700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRKCPANGDDGGVADHEPVAGGSFASPPPEKKAKLTVAVAVAPSSSSSATTAAAGEATAKREHGGFFAFARPENNTRLSVAVASSSSSASAAAEKAMAKLTVAGVAPSSSSASAAAAGKATAKREYGGFCAFARPDDKTRWRVAVASSAAAAADTSYSSSSPATGEQPEANRCATCRRKVGLTGFKCRCGGTFCGGHRYADEHGCGFDYKSSGRELIAKQNPVVVADKLAFRI >ORGLA03G0339600.1 pep chromosome:AGI1.1:3:29809343:29810397:-1 gene:ORGLA03G0339600 transcript:ORGLA03G0339600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:I1PGA0] MKFFAAPAGRAGLGAVVLVAASRCPLFSFFALLVLLLFQPHHQLAASHVAVNQQVSLVPDAAAAKAAGVGNGAVVDVGDEEEEGSGSRWMLIVVMTTRSGGREQRRCNAALAHVEKHYFSSVVHFADAAGVYDAHFFDKIRQTNWPLPRTTSPPPTPCSPANALLPSLAATLPVGEGLDGDVTAGEEVDAGLPAPLACLPHPSMAVRLRACGFCNGGREQWRRRVASRQPPSSCRPSPAADALVLSLLAPPKASTHQRPPQLPTQTGEE >ORGLA03G0339500.1 pep chromosome:AGI1.1:3:29806934:29807443:-1 gene:ORGLA03G0339500 transcript:ORGLA03G0339500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKRKCPDDETACGSGAGAAMCVTGCGFFGSEATNNMCSRCYREHSADNDAVEEAAAANSDLELVGVAETTTKKARMSAVVPVAVASSSSAAAEQPAAKAATAPNRCAACRKKVGLTGFKCRCGGNFCGGHRHADAHGCGFDYKSAGKEQIAKQNPLVVADKLATRI >ORGLA03G0339400.1 pep chromosome:AGI1.1:3:29799330:29799812:-1 gene:ORGLA03G0339400 transcript:ORGLA03G0339400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESWKKEAEETGVHTPEAPILCVNNCGFFGSRMTENMCSKCYRDTVKAKTVATVVEKKPLASLSSTPLVAEVTDGGSGSVADGKQVMEEDTPKPPSNRCLSCRKKVGLTGFKCRCGGTFCSMHRYADSHKCTFDYKQAGREQIAKQNPLVKADKITKI >ORGLA03G0339300.1 pep chromosome:AGI1.1:3:29796078:29797643:1 gene:ORGLA03G0339300 transcript:ORGLA03G0339300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVLQWWLGAMVAMAAAASWSGGVLPAAEALGMNWGTQASHPLPPKIVAQLLQDNGIKKVKLFDADQDTLSALAGTGIEVMVAIPNVMLDSITDYDTAKEWVRRNVSRYNFDGGVTIKYVAVGNEPFLAAYNGTFDKVTLPALMNIQNALNDAGLGDSIKATVPLNADVYDSPQDQQVPSAGRFRADIADLMTQMVQFLANNSAPFTVNIYPFISLYLNDDFPVDFAFFDGGATPVVDNGISYTNVFDANFDTLVAALKGVGHGDMPIVVGEVGWPTDGDKHATATYAQRFYNGLLKRLAANAGTPARPGQYIEVYLFGLLDEDAKSVAPGDFERHWGILRFDGQPKYPVDLTGQGQNTMLVPAKGVTYLPRTWCVINTNAKDTSKLADNINFACTFADCTALGYGSTCAGMDANGNASYAFNAYFQVQNQKDDACDFQGLGMPTQTDPSTPACNFTIQIAATSAGHRRRAGAAVLALLALFRLFLLH >ORGLA03G0339200.1 pep chromosome:AGI1.1:3:29789404:29792238:-1 gene:ORGLA03G0339200 transcript:ORGLA03G0339200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) [Source:Projected from Arabidopsis thaliana (AT5G27740) TAIR;Acc:AT5G27740] MLWVDKYRPKTLDKVTVHDQVAQNLKKLVAEQDCPHLLFYGPSGSGKKTLVMALIKQMFGAGADKVKMENKTWKIDTGSRNIEIELAMLSSAHHVEMNPSDAGFQDRYVVQEVIKEMAKNRPIDAKGKRAFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAVRSRCLNVRVNAPSEDQIAQVLEFIGKKENLQLPFGFAARIAAQSNRNLRRAILFFETCKVQQYPFTSNQVAPPLDWEQYVSEIAADIMKEQSPKRLFAVRQKFYELLVNCIPPESILKKLLAELLKKLDSDLKHEICHWAAHYEHKMRLGSKAIFHLEAFVAKFMSIYKEFLVSTFG >ORGLA03G0339100.1 pep chromosome:AGI1.1:3:29782350:29788763:1 gene:ORGLA03G0339100 transcript:ORGLA03G0339100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDAGGGGGEASPPHAGSAAAMAGAGRDIAASPTSSRSVTQTVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKAKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTMDYSKPHSIHVPESDIGYHFGTLLDNQEGVDVICNVAGEKFHAHQLVLAARSSFFRSELFEHESDEEKNEVDTSNEIKEIVIDDMEPKVFKAVLHFMYRDNLVGDDELSASSSDCSIFDTLAGKLLAAADRYELPRLRLLCESYLCKHISVNSVATTLALADRHHAMELKSVCLKFAAENLSAVIRTDGFDYLKDNCPALQSEILRTVAGCEEECSSGGKSQSVWGQLSDGGDTSGRRVRPRV >ORGLA03G0339000.1 pep chromosome:AGI1.1:3:29775913:29780887:1 gene:ORGLA03G0339000 transcript:ORGLA03G0339000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 family protein [Source:Projected from Arabidopsis thaliana (AT5G35220) TAIR;Acc:AT5G35220] MAAAAAALASSPMVHLTASRLRLPRPARSPAAATPSPSPASAACCSRGAACGLEWRPKSGLRALRRCEDRLRCFSIDGGGGGGGGGGGGTGGEDGEKRGEEEAAAAAEAKVGGAVEEMRSERTRSGSFSSSSSSSSGTPGISNEPPFLSFSVDNIDTVKLLELLGPEKVDSADVKAIKEKLFGYTTFWLTREEPFGDLGEGVLFIGNLRGKREEIFAKLQQQLRELTGDKYNLFMVEEPNSEGEDPRGGPRVSFGLLRREVSEPGPTTLWQYVISLLLFLLTVFSCVELGIASKISSLPPEIVTYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAIQLFHEVGHFLAAFPKKVKLSIPFFIPNFTLGTFGAITQFKSILPDKKTMFDISMAGPLAGAALSFSMFSVGLLLSSNPAGASDLVEVPSKLFQGSLLLGLVSRATLGYRAMHAATVAIHPLVIAGWCGLTTTAFNMLPVGCLDGGRALQGAFGKDALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSDVGTWRRAALIVSVFLVVLTLIPLWDELAEDLGVGLVTSF >ORGLA03G0338900.1 pep chromosome:AGI1.1:3:29772509:29775167:-1 gene:ORGLA03G0338900 transcript:ORGLA03G0338900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLHMQSEGDDGVVDHFVMEWRKQLRELAYYSEDCIDLCLLHIRRCDTSGVASPRRVPNPMAKRAQSSSSSGSQLIPYRRRTKRPTRERDWYNLTDGPAGLIAERVLAGDVADYVRFRAVCTAWRQCSADPREHNSLDSRFHSRRWFMLREWPERAAPHRRRFINAATGQCVAVDLPEIEGHRSFGPTAEGLLVLVDDRTLFVRVLNPFTRRLTEHPSLATLLPRDRYLVGGDLSVCGAGLAGGDGCLIALYFSNTRKLAVAKPGDERWALVDHRVPRLASSLSFAGRFYCVSDDADAVMTVKTSENQPPRLVAAAELAIQYSPMMDTLHLVDNIWRRADAGAPKAPLKTTLVHGLNGRALFVGLSRALSVCPKVFPSISADTIYPGFELDDKEETEAYHLTDATTEPSTFTRTDTLISIGR >ORGLA03G0338800.1 pep chromosome:AGI1.1:3:29755675:29760506:-1 gene:ORGLA03G0338800 transcript:ORGLA03G0338800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPVVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >ORGLA03G0338700.1 pep chromosome:AGI1.1:3:29751496:29755055:1 gene:ORGLA03G0338700 transcript:ORGLA03G0338700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASPMAGASHHLVVFLAALLALLPGSSQLQYSQTWTLFKIQQMLNHPPVLSHWRRTTDFCGGGGTAAPSAAVVCYGDTVTQLHIAGVRGAPPLPMNFSIGALVMALSRLPDLKVLTLSGLGLWGPLPDKIGRLAALEIVNMSGNYLYGGVPGGLSQLTGLQTLILDDNLLAGELPAWIGELPQLAVLSLRNNSLGGAVPASVGRMESLRSLVLASNNLTGNLPDMSGLTNLQVIDVGDNWLGPAFPALGRKVVTVVLSRNRFTGGLPGEITSFYLLERLDVSWNRFVGPFMPALLSLPAIRYLNVAGNRFTGVLSDKVACGDNLQFVDLSSNLLTGSEPACLRPDKKPATVVLVNANCLEATGGDASQHPSPFCQNQALAVGITHGGKVRKKLTHHAGFLAGIAMAALAAASAVAVVAVVAVRRKNKKGVMVRPPAMLGEDNSSSTSGYPSKMFADARYISQTVKLGALGIPPYRTFSLVELEAATDNFENSLLLGQDSFGEMYRGRLGNGTLVAIRSLKVKRNQSSLSFSRHIETISRLRHRNLVSALGHCFEYDLDDSTVTQLYLVFEYVQNGNLRSRISQGTEGRKLTWAQRISAAIGIANGIQFLHAGMMPGLFGNNLKINNILLDQNHVAKISSYNIPILGEAMKSEKGGPGGKHHTESPLLNDKTDIFDFGVILLEIVSGKPITSLYEVEIMKELMLWAVADEDLVRRRSFADQEVSKGCSDESLRTIMQICLRCLAKEAVQRPSIEDVLWNLQFAAQVQDDWEGDNRSSDGSMVSSSSRITKSSRFQNEQTRSGREKECVDSSARGSVWLQAATEDGNFETGRRQAEDERY >ORGLA03G0338600.1 pep chromosome:AGI1.1:3:29745380:29745805:1 gene:ORGLA03G0338600 transcript:ORGLA03G0338600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGPSHLVKDRGAVVASEEHITGNSKTEGKTMEVAATSSIKCRDPGILVKPFFLPSSSSSWICPSSSTRLLASWIWRCGADGRQSEPLKKRGIDRDDGDRATTNGVGERTNETREARGCFDPSASPASTHPTLAPARV >ORGLA03G0338500.1 pep chromosome:AGI1.1:3:29737539:29739882:-1 gene:ORGLA03G0338500 transcript:ORGLA03G0338500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNCSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >ORGLA03G0338400.1 pep chromosome:AGI1.1:3:29727993:29728495:1 gene:ORGLA03G0338400 transcript:ORGLA03G0338400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRITLELAAVVVVVAAAVAGSLPATTASATAYRVGDDSGWDNGVDYDAWAHGKRFKVGDTLDVEFLYAEGAHNVVVVEDEGSFEACVAPANAPTLSSGDDTVALNQAGRWLFICSFDGHCQSGMKLAVAVTH >ORGLA03G0338300.1 pep chromosome:AGI1.1:3:29723007:29723610:-1 gene:ORGLA03G0338300 transcript:ORGLA03G0338300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XGDFSQYCISLQKXMXILNIFLLVEGAFVQGIGFFTNEEYATNSDGLVIHDSTWTYKIPTVDTIPKQFNVELILQSRRCCWRRRCTARXGRRSIRAARKEFAGAGGSAMTFQMDVPATMPAVKELCGLDVVKRYLESFTAKAXRXM >ORGLA03G0338200.1 pep chromosome:AGI1.1:3:29707162:29711330:-1 gene:ORGLA03G0338200 transcript:ORGLA03G0338200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAAAARPVVVTVNGERYEAVGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVVVSKYDAVADEVTEFSASSCLTLLGSLHHCAVTTSEGIGNSRDGFHAVQRRLSGFHASQCGFCTPGMCMSIYSALARADRCSSRPSPPPGFSKLTAAEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNAFWKKGADDERADVGKLPAYSGGAAVCTFPEFLKSEIRSSMGQANGGAPAVAVTGDGWFHPKSVEEFHRLFDSKLFDERSVKIVASNTGSGVYKDQDLHDKYINISQIPELSAINRSSKGVEIGAVVSISKAIEILSDGGAVFRKIADHLSKVASSFVRNTATIGGNIIMAQRLSFPSDIATVLLAAGSTVTIQVAAKRMCITLEEFLKQPPCDSRTLLVSISIPDWGSDDGITFESFRAAPRPLGNAVSYVNSAFLARSSVDGSSGSHLIEDVCLAFGAFGAEHAIRAREVEEFLKGKLVSAPVILEAVRLLKGVVSPAEGTTHPEYRVSLAVSYLFRFLTSLANGLDEPENANVPNGSCTNGTANGSANSSPEKHSNVDSSDLPIKSRQEMVFSDEYKPVGKPIEKTGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHIKDINFRSSLASQKVITVITAKDIPTGGENIGSCFPMLGDEALFVDPVSEFAGQNIGVVIAETQKYAYMAAKQAVIEYSTENLQPPILTIEDAVQHNSYFPVPPFLAPTPIGDFNQAMSEADHKIIDGEVKLESQYYFYMETQTALAIPDEDNCITLYVSAQLPELTQNIVARCLGIPYHNVRIITRRVGGGFGGKAMKTMH >ORGLA03G0338100.1 pep chromosome:AGI1.1:3:29698210:29703825:-1 gene:ORGLA03G0338100 transcript:ORGLA03G0338100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAAAAAARAVVVAVNGERYEAVGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVVVSKYDAVADEVTEFSASSCLTLLGSLHHCAVTTSEGIGNSRDGFHAVQRRLSGFHASQCGFCTPGMCMSIYSALAKADKASGRPAPPTGFSKITAAEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNAFWKKGVDDEHADINKLPAYSGGAAVCTFPEFLKSEIRSSMGQANGDTSAVVVTGDGWFHPKSVEEFHRLFDSNLFDERSVKIVASNTGSGVYKDQDLHDKYINISQIPELSAINRSSKGVEIGAVVSISQAIDILSDGGAVFRKIADHLSKVASPFVRNTATIGGNIIMAQRLSFSSDIATVLLAAGSTVTIQVAAKRMCITLEEFLKQPPCDSRTLLVSISIPDWGSDDGITFQTFRAAPRPLGNAVSYVNSAFLARSSVDGSSGSHLIEDVCLAFGPFGAKHAIRAREVEKFLKGKLVSAPVILEAVRLLKGVVSPAEGTTHLEYRVSLAVSYLFKFLSSLTNGLDEPENANVPNGSFTNGTANGIVDSRQRRTQMLTALICQXNQGKRWFSLMNTDLLENRSRKRELSSKLLGRQCMSMTSLLQRIASMGHLSTAHTLTLTXKVXTSDHLWLHRRSSQLSLXRAFLPMEKILDHAPQCXEMKRFSLILFLNLLDRILVLXLLKLKSMPIWRRSNLXSNIVLKIFSHQFXQXKTLSSITATSKFPHFXLLRQLVSSTKPCLKLITRSXMGRXNLNPNTISTWRHRRPXLSLMKITVXPSMCRRNYLRLLKILLRGALAFHITMSVSSREELEEVLVERQXKQYIKI >ORGLA03G0338000.1 pep chromosome:AGI1.1:3:29697430:29697654:1 gene:ORGLA03G0338000 transcript:ORGLA03G0338000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGEEDVEASSDSGGSATRRCAACKFLRRRCSRDCVLAPHFPASDPHRYACVQRVFGAGNTARMLQVITDHYY >ORGLA03G0337900.1 pep chromosome:AGI1.1:3:29688940:29696277:1 gene:ORGLA03G0337900 transcript:ORGLA03G0337900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M28 family protein [Source:Projected from Arabidopsis thaliana (AT3G54720) TAIR;Acc:AT3G54720] MPALTRALRCALLAARVALAREKARRAFASHGEMLSQPAAALARLPPASVRVLVGFGAALLVXXXXXXXXAAGLRTLTREYTPLLSYPGHASLALLRADRTLLAHLSLDEPADVGCRLVRPYHAYAPSGGAVAEAVFVNLGREEDYLTLERLGVSVRGRVAVAIRGGGYRGGVVRRAAERSAAAVLIAGHADGGVERGTVILGGPGDPLTPGWAATAGAERLDFDHEDVKRRFPAIPSMPVSGKTASAIIRTLGGPALPADWQTGVGLPVDVGGVGPGPTLVNFTYQEDRKMGMIQDIFAIIKGYEEPDRYVILGNHRDAWTYGAVDPNSGTSALLDIARRLGIMLQSGWTPRRTIILCSWDAEEFGMIGSTEWVEENLEDLQSKAVAYLNVDCAVQGIGLFAGSTPQLDNLLVDVTRQVKDPDVEGKTVHDTWNKMTGGINIERLARTDSDFAPFLHHAGIPCMDLYYGKEFPGYHTALDSYHWMEKHGDPLFLRHVAIVEIWGLLALRLADDPVLPFDYQTYASQLQEHANAFSSMMENSKWVHLLNRSIEDLSDAGLEFLKEAKKLQDQNISDGYSLMRRRLLNDRLLLAERSFLQADGLQGRGWFKHLMYSPPEDYESKLSFFPGVADAISRSSNRSAKEQQAAVRHEVRKISRAIQRAADVLRGEFSNRNESLYSSVSVAP >ORGLA03G0337800.1 pep chromosome:AGI1.1:3:29682142:29682546:1 gene:ORGLA03G0337800 transcript:ORGLA03G0337800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSRGVDYSMSQTELPESTSPSESSPAMASTTPAPYVVEDCGPNLQLFSDGTVIRFEDYNILPPPVLPPALSTVQWKDVVYDAGRGLKLRVYRPPAATVAGEKLPVLVYFHGGGYVIGSFEMDNFHACCLRLAHEL >ORGLA03G0337700.1 pep chromosome:AGI1.1:3:29677736:29679249:1 gene:ORGLA03G0337700 transcript:ORGLA03G0337700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSTPPSWCLLLQKVHCPNFIDGDYAKKQGLLSMDLVCESKRAWGFDDSDLVDGIDTESMMEYVKVQARVTDAPNLSFLTMTLGGDEEEKAVFPILHAMDRNLLVFDLTFPDKIDGAYLIYDTIGKTLSMIPALSSLSSPDGMAHTTQVLIARPHAAVDDGSYALALLGKMGVVDKPGDMPVISWPDVIYQWRPSSSISPWKLIKNANLPQQWMADKSAFSADVAFSFEGHAFWVDLMHGILFCTCADLLSDDVKDVGFDSIDLPLDCLKFTPHSWTMAERQAYRTVGCTGNSIKFVSMHFRGCVKRGAPKVTVWRLEVYAKIWVKEHVLNLKTLWTQPAFLAANLPMDMAAMYPVLTVAFSTVAPTVSPAAIATVVSPPNIPASPASNAFALPDKAPCNC >ORGLA03G0337600.1 pep chromosome:AGI1.1:3:29669460:29673621:1 gene:ORGLA03G0337600 transcript:ORGLA03G0337600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLATRPLIVRRYAKDDPYALVLMGKKPLVAGVHKPVVKGEDLVTWQNVLAIWRPSSSSSPSSSSSPSPSPSPSPWDLTKTANLPGDWLESGGATTFLADVTFSFQGHAFWADLLCGVLFCRSSDLFSHSVTCVNVGFTDLPTCCQAEADVRFTGTLAGPEAYRTMGCSRGSIKFVSIDFQLFHGNRRVTVWRLHFSSKTWVKQYMVTQKHLWKQPEFTGANPNLPRDMTLMHPIINTVEEDVICLMLGKYKLGSTGIIIPYKVSYSIRVNMFNGTILSYAPIPSPYTLAPVILSSDFTCYIPSDSDTPPLGPPVASPSASEGHQNKVPFQIVFTR >ORGLA03G0337500.1 pep chromosome:AGI1.1:3:29659796:29661830:-1 gene:ORGLA03G0337500 transcript:ORGLA03G0337500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIGDPSPSSRRSVKRRPPARSPELSPKAWGGEAPELIRRLEELEEAAARLRGEKEAAEEAARELQAELDAERASAETATSEAMLMIERLQREKAAAQMEARQFRRYAEGREDREREVQEELASLSDLAASYHSRLQSHGIDPDSFSDDGEEEQHDEEDGEEVEQIDTAALQTDGSSGGDSISGMEVKAMVDDDEEEQFTPVEKEFEYTVDVRCASSTTKVSGAVVVGEFMGEGNAAAGGLYARVEALEADRAAMRREIAALRAERAQLVMARAMARRLCREVVAEQKAKKVAASPRSFSVLGVCKWVLSIIFWRNRSSTARYTFGLSTTFLGFLLLLDRSTMLSPWRRLPSPRQ >ORGLA03G0337400.1 pep chromosome:AGI1.1:3:29657670:29658167:1 gene:ORGLA03G0337400 transcript:ORGLA03G0337400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTVAMPPSSSSSRRGGLRGPRPLPLKVSSSSRGSSPSSSASKGASKKAAAAPVIVYEHTPKVVHARPQEFMTVVQRLTGKPPAAPPLMMPAYDAPAMVEQGAAAACGAGGDPLLLTLGQHQAAAPAQPPAVPSPMMAAGMLLSPGFIFSPNTMQSIQELSPLF >ORGLA03G0337300.1 pep chromosome:AGI1.1:3:29651640:29655035:-1 gene:ORGLA03G0337300 transcript:ORGLA03G0337300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCVGPSAAGQNGFFANVALWRPRPADAAPPALPPRSSAPSDQAPEPVTIPPSEHSSHHSSRSADPSTPTAAAEQPANKAAPKVKRVQSAGLLADSVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGREFACKSIAKRKLLTQEDVEDVRREIQIMHHLAGHANVVSIVGAYEDAVAVQLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIVGVIEACHSLGVMHRDLKPENFLFIHQKEDSPLKAIDFGLSIFFKPGETFTDVVGSPYYVAPEVLMKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSEPWPNISESAKDLVRKMLIRDPKKRLTAHEALCHPWVCVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMLDTDNSGHITLEELKTGLQRVGANLMDSEIDALMEAADIDNSGTIDYGEFIAATLHINKVEKEDKLFAAFSYFDKDGSGYITQDELQKACEEFGIGDTRIEDIIGDIDQDNDGRIDYNEFVEMMQKGNNAMGKMGQHSTGNFGLGEALKLR >ORGLA03G0337200.1 pep chromosome:AGI1.1:3:29643634:29646429:-1 gene:ORGLA03G0337200 transcript:ORGLA03G0337200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKVKMESKLSSAAAFVEGGVQDACDDACSICLEAFWDNDPSTVTSCKHEYHLQCILEWCQRSSQCPMCWQPISMKDPMSQELLEAVEQERNIRANRLNTAAVFHHPVLGDFEVPVGADDAELEERIIQHLAAAAAMRRSHRHGRRDGHRSRSGSHSRPQIVVFSRNEAIPGGSLHASSGQDEDHEQSSGLGSAHPFAALAAIDQGHMSGGSQLYVGHSDQGASNPSLHDERAMSRTSENQSAHVNQDTAGPSDLQSFSDTLRSRLQSASMKYKDSITKSTRGWKERWFSRSNTISGLGTEVRREVNAGIAAVSRMMERLETKDDTGPSAVPAASACSPSDANNQRTVSPNHAAVVNETSSTTCASGSGSQ >ORGLA03G0337100.1 pep chromosome:AGI1.1:3:29639839:29642174:-1 gene:ORGLA03G0337100 transcript:ORGLA03G0337100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPVCGALVCFFVLLVARAAAETAPEERPTLSSADVASDGNGNGARAGAGKAVGAGAVGGGIVSNSVLVALLDSRYTEMAELVEKALLLQTLEHAVAEHNVTVLAPRNEALERDIDPEFKRFLLEPRNLNSLQSLLLFHVLPARLPSGSWPAAATHPTLAGEVVELAAADGGGMRVAHAAVSRPDAVVRTDGVIHGIESLLVPRSVQDEFNRRRSLADISAMPPTAAPEVDPRTDRLKKPAPPGDSPVLPISDATVPDPSVALASEPSDHYDGDSQVKDFIQTLLKYGGYNELADILVNLTSLATELAQLVSEGYALTVLAPNDEAMARLTADQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGHGEGSAYLFDPDIFADGRISVQGIDAVLFPPVETKTKTKPATARIRTAPAMTGVSKIKHRRGKLLEAACQMVGILGQRSQFTRCQ >ORGLA03G0337000.1 pep chromosome:AGI1.1:3:29631221:29634182:1 gene:ORGLA03G0337000 transcript:ORGLA03G0337000.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLLQDLPEFDDDQEAPIPSKNSMGKTEVKTTDKKIDLKKEQKVEPILFKQKVESNSVQKAVSSPVSEKPIASALKDARPLGLFSPNDPENRATHDVPSDNNNGFKFPHVPSGTLLESSVSQVPLASNKDDKLISASSSIFGLKQSSTPDSEQTNTADVKTEARLGESVTKPTTLDITNLEGGNERERTEDVHKSSDKVLPSAAPFHFASAASTTASLSNGFSLPSSSKLSNVTPIDKPAVSLAPSTVSTTFAPSSTSPPVSSPIPAIPTFNFGSSTSMVAATRSDSTNTVAKPASSLLFGTGDAIAEAKSTAQDTANKASSNLTSNDGIASTTSASTAPFTFSSSGNNTFGFNSPAQPAGFSTSVDGSTTQPSATSTIFGSKLPQSEGTMSQPSKSSPVQFSSPFQTVTTTTGASSSGSGSVAFGVGTASTGSGITSFGTGASSSGPSTVSFGLGASSSGTGALLFGAGASSSGTGALPFGAGASSSGTGALSFGAGAGTSSSGPGTVSFGAGTSSGPGTVSFGVTTSSSGSLFGNSPFGSGTTFSGPGSGFAFSSPSSSAGSSLTMASTSMFSTSSTASSSPAFSNPFGSSSSPPSMFTFGQSASSGGGFSFGAQSSPAFSSQAPVFSFTSASMNSSTPQPAFGMTNANTSFGMGSPGNDQMNVEDSMADDTNQAAPAPAPIFGSSPFGQPASSPAAPVFGAPAVPSAGVFQFGGQQGSMQQNAAFPPAGGSLEFQGGNFSLGSGGGGGDKSSRRVIKVKRNPKKR >ORGLA03G0336900.1 pep chromosome:AGI1.1:3:29626146:29626799:1 gene:ORGLA03G0336900 transcript:ORGLA03G0336900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLNLTEALCGFQFVLTHLDNRQLLIKSKPGEVVKPDSFKAVNDEGMPMYQRPFMKGKLYIHFSVEFPDSLNPDQCKALETVLPPRPVSQYTDMELDECEETMPYDVNIEEEMRRRQQQQQQEAYDEDEDMHGGGAQRVQCAQQ >ORGLA03G0336800.1 pep chromosome:AGI1.1:3:29617626:29620628:-1 gene:ORGLA03G0336800 transcript:ORGLA03G0336800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISARWLKSLVGMRKVEKQQQQSKENGDGGRVAQKRDGANHFHCQNQHGQDHDNLGAPEEFPDENGPSEGDSNALSCSEPAFSSPNVPVPQTEEELKEIWAATVIQTVFRAFLARRARRALKGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRIALESQTDQQAILQEKINETHVREIEDGWCDSIGSVEDIQAKLLKRQEAAAKRERAMAYALTHQWQARQHAAITAFQPDKNSWGWNWLERWMAVRPWESRFLGSYAADGIPVSSGAMQDEENAVYTPHKKHVRRQTSTLHSNILNQKTCLPNSEGGGSSSNRSGGLASAKSKLKLSSREGCDEISARPSGLGTRSSSNPKERTGHLDPQGNKRFSLPASCVEAGKRMTNKSAVNRSLKVTKHHLASSIELPRRVELQT >ORGLA03G0336700.1 pep chromosome:AGI1.1:3:29603078:29613687:1 gene:ORGLA03G0336700 transcript:ORGLA03G0336700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTTPLAEAACRKSGLSVVDMLSPFSLFKKIDVPVRTASDQPYRLQMFKIRMVYASDVRKQDYEVADQRIKPVVSEANESALPDLLSDPPQLEDVLSKPEAELCPLWIKKFNRALMRTLSFSEHETFDHPVACLLVVSSKDNEPISKFVDLFNTNQLPSLLNEGIMDPQILKHYLILHDQQDGPQEIAMNILAEMKSTLGLNDCKLLCINSSTEADGADAENSWLPYKSHGLHNQDGACWLNTDDLNEIKDFMQDLASNHIIPYMEQKIRVLNQQVATTRKGFRNQIKNLWWRKRDDVPEAANGPMYTFTSIESQIRVLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMDSAFSTYLRIGSSGKRNATRCGIWWAEMLKTRGQYREASSVYYRVSNEEPSLHSAVLLEQAACCYVLSKPPMLRKYGFHLVLAGNSYYISDQKQHAVRAYRNALFVYKQHPWSYINDHVHFNVGRWYGVLGIFDVAIKHLLEVIACSHQSLTTQSMFLNDFFHFVQSMGKKFDVYKLQLPVINMPSLRVIYEDHRTYASDADVNVSESIWQELEEEMIPSSSIVRTNWLEKSPDLRKYKDSCVCVVGEAVKVRIELRNPLQIPVAVSCISLICQLSTSLDASSAVNSVLTTGAGEDIANTKPAISTFEDDGNNFTVSKLDIVLGGSETKSVQLEVTPKVEGILKLHGIRWTLSDLLVGYQYFEFDTKRKTKKGKKGPRRTLSNTLIVIKGLPKLTGCIDHLPTNAFAGDLRLLKLNLRNQSEYAVKNIKMKLSHPRFVIPGDLSEVDFEFPQCLRKHVQSEISTVSTKRTQGDAKGLLFTFSQDIKIQEGATFSWPIWFHAATPGNFSLYISLYYEMESPSEITYRTLRMHYNIEVFPSLNVSFAIRMCSSRLKEYIVRMDILNRTPSESFILHQLSCNDSKWAISSLPLCDSIRSIETVSANQSVSCFFKIKDLGTNSCKEAENSSCRSDMLFLSHEGNSNTEEFDVSQSPITNFHYQERYQQGRLAKGPRDLLDFILISKAVGGNYSKSDPDVQLLSHHVCHCSAIDQSPIWWFMEGPRTITHDFSKSYCEANIQLVIHNSVQHNISARVVTYDSVPDKSQTVNLQDSNSNQGGWYDVSLENDIKAISTAKGTHYQKQPSESISPFVWCSLSSAQVDLKPDTSTKVPLKVCIFMPGTYNLSNYQLQWKVHSSEVGQVDENQRSGGGQGHPFYVTVLQDA >ORGLA03G0336600.1 pep chromosome:AGI1.1:3:29595427:29602047:1 gene:ORGLA03G0336600 transcript:ORGLA03G0336600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNLFRKKATLPQPSAARKPLRIKIGQPKLPTNFEEDTWAILKDAITAIFLKQKLSCDVEKLYQAAGDLCLHKLGANLYERIKKECEVHISAKISALVGQSPDLVVFLSLVHRTWQDFCDQMLIIRGIALLLDVKYVKNVANICSVWDMGLKLFRKHLSLSPEIEHKTVTGLLRLIESERLGEAIDRTLLSHLLKMFTALGMYSESFEKPFLECTSEFYATEGVKYLQQSDIPDYLKHVETRLQEEHERCILYLEANTRKPLITATEKQLLQRHTSAILEKGFTMLMEANRVKDLSRMYTLFQRVDAIELLKQALSSYIRGTGQGIIMDEEKDKELVPFLLEFKASLDRILEESFAKNEAFSNTIKESFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGILDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQSVVLMLFNDAQKLSFLDIKESTGIEDKELRRTLQSLACGKVRVLQKMPKGRDVEDKDEFVFNEEFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKTLSHTLLITELFQQLKFPIKPSDIKKRIESLIDREYLERDRSNPQIYNYLA >ORGLA03G0336500.1 pep chromosome:AGI1.1:3:29589064:29593759:1 gene:ORGLA03G0336500 transcript:ORGLA03G0336500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(26)-N(2))-dimethyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PG69] MEDVENKLKDYEIVREGEAEIIINKKIAANEVFYNPVQVHNRDMSVALLRTFIAKREEEHKAMMDKRDKAHNKVSQSKSSGPNGENGSTGQHDEMDVDAEKETNKVADETEDLSTEATKTPSRKVARELKAPVVLEALAASGLRSIRYAREIDVLEKVVALDIDKACIEACKRNIKFNGASAMSKIEPHLTDARVYMLTHPKEFDVVDIDPYGAPSIFLDSAVQAVADGGLLMCTATDMAVLCGPNGEVCHSKYGSYPTKGKYNHEMALRILLASIESHANRYKRYIVPVLSVSMDFYIRVFVRVFTSANEVKKTPQKLSYVYQCVGCDSFHLQCLGRTVTKNNSVKNAPAIGPVVPQECSACGKKFTIGGPIWSAPIHDQEWVVSTLTEVKSMKDRYPAYDKITSVLTTISEELHDIPLFFSLHNICANVKCTSPSAVLFRSAVLNAGYRISSTHVNPLGLKTDAPWDVIWDIMRCWVKNHPVKEQPHDSVGTAILSKSPKLEANFSRAAAALSRAQAKKVKRFLPNPERHWGPKIRAGRKITSKHASLLGPDVVNRVINGAASTEDEKVAEPNNPTTETGGDATNEEDEPSTKRQKNGDVGLATEP >ORGLA03G0336400.1 pep chromosome:AGI1.1:3:29586014:29587228:-1 gene:ORGLA03G0336400 transcript:ORGLA03G0336400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT2G39120) TAIR;Acc:AT2G39120] MRPLAIRRREARLLPPLAVLVRSMAYVDVKMRWKKDASFDAVPVLSQARDLRPLVSLAGLLSPSPTPVSAVSKLRIPLEVPDRRVISFLRRFPAAFVESVGPEHNHPWFRLSGSAAGLLQEEREVFAARRADITSRLGRLLLMAPRRRLPLRAAQGMLWHLGLPEDYFRCRDYDIAQDGFRILTIGDSVCREEEDDGRELVLIDNGEHQEMPKSVLQMDAIRRFGSMETVPIPLFQSKGLRLKQKIEAWLEGFQKLPYVSPYEDFSGIDHGSDVSEKRVVGVLHELLSLFVTCSAERRRLLCLRQHLGLPQKFHRVFERHPHVFYLLLKEKTCFVVLKEAYLARGDTAIEEHPMLVVRRKYAGLMEESREIIRCRRSGKPFPSKHKDHEQIEDSEGANSAPILS >ORGLA03G0336300.1 pep chromosome:AGI1.1:3:29579850:29584138:-1 gene:ORGLA03G0336300 transcript:ORGLA03G0336300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:I1PG67] MSFPDEEEDEAFLLAVAATEEAALASSDSSKRRRLSMTSSTSSSPTSATPAPAAVPEGPYLAALKGSHSSAWKQQQETLSQARKRPGGSQTLATPGSGSGSGGAQVARGGACFKCGDSSHWARECPQSVPATGGGGGGGAFGGSGGGGGGYGDAGGAVEEKACPCGAGSCLVLTSNTPRNPGRKFYRCPMRDNGGCNYFEWCDNPSPGPANVSSNTVFQSDTSVAHMLCPCGAGACLILTTKTGKNVGRQFYRCPANQGISYLFLNVRSGHISREVALAAISSGVMNNSLGQLLHCKLQHNIILMLLQVAKFPARGAPPPASNAGKRTIGQRTAQINLQILILTKVGEHLLLQALPMRVSSVVRAGTGPVIAPPQIVVLVPSPAMSSPLLLWAHGTATDTDSRYL >ORGLA03G0336200.1 pep chromosome:AGI1.1:3:29570443:29571366:-1 gene:ORGLA03G0336200 transcript:ORGLA03G0336200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSPMAAQAADLSLTLAPSGGGGGGGGGGGGGGGGGSSSACIDGKDVRLFPCLFCNKKFLKSQALGGHQNAHKKERSIGWNPYFYMPPTPHPAGNAAAAATPGGMSSVTTPSGSYGVVGGAAAAAAAVVGATAGVGGGGGVGGGLLPAHAYAGHGYAAVPTSFPIASHSSSVVGSGGLQYYAGTDCGAAAAGAAKTTTTAAAAATAVAGSESGVQVPRFATHQHHLLAVVSSGRAMLAAPDQPGAGRDDMIDMLNWRRGSHGPTASAAATTPSPASTTTTLSTFASADGSNNGEENEELDLNLSL >ORGLA03G0336100.1 pep chromosome:AGI1.1:3:29555972:29558180:-1 gene:ORGLA03G0336100 transcript:ORGLA03G0336100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRPGNDVETIFRSAAVNSEIWIVMQEFFNMCPRHRNNLRRILKRKLLSGGWLFRE >ORGLA03G0336000.1 pep chromosome:AGI1.1:3:29552736:29555308:1 gene:ORGLA03G0336000 transcript:ORGLA03G0336000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVMEYQAIAKQKLPKMIYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSKIDMSATVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPYLTLKNFEGLDLAEMDKSNDSGLASYVAGQIDRTLSWKDVKWLQSITSLPILVKGVITAEDARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVTAAAGRIPVYLDGGVRRGTDVFKALALGAAGVFIGRPVVFALAAEGEAGVRNVLRMMREEFELTMALSGCTSLADITRAHIYTDADRLARPFPRL >ORGLA03G0335900.1 pep chromosome:AGI1.1:3:29547981:29550767:1 gene:ORGLA03G0335900 transcript:ORGLA03G0335900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNPPPKPWERAGTSSGPAPFKPPSGGSTSDIVEASGTAKPGEVVSAAESNVASNVNSTISRPVPPRPWQQQGYGSYGGYGSSMYSSLGGFGGPYSSGGLYGNNMYSSYGGGYGGMYGSSGMYGGSMYNSGMGGPYGGYGMGMGAYNQGPNSFGPPAPPPGFWMSFLRVMHGVVNFCGRVAFLFSQNTQAFHMFISALLQLCDRTGMLYGELARFVLRLLGIKTKAKKGGVQGAETSSFEGPGHHFAEAPKANNSWDSVWTDDGRGK >ORGLA03G0335800.1 pep chromosome:AGI1.1:3:29542946:29543641:-1 gene:ORGLA03G0335800 transcript:ORGLA03G0335800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKELVLLDFWVSPFGQRCRIAMAEKGLEFEYREEDLGNKSDLLLRSNPVHRKIPVLLHAGRPVSESLVILQYLDDAFPGTPHLLPPANSGDADAAYARATARFWADYVDRKLYDCGSRLWRLKGEPQAAAGREMAEILRTLEAELGDREFFGGGGGGRLGFVDVALVPFTAWFYSYERCGGFSVEEVAPRLAAWARRCGRIDSVAKHLPSPEKVYDFVGVLKKKYGVE >ORGLA03G0335700.1 pep chromosome:AGI1.1:3:29537899:29538969:1 gene:ORGLA03G0335700 transcript:ORGLA03G0335700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGDGEGGGGGGGRGKRGRGGGGGEMVEAVWGQTGSTASRIYRVRATGGKDRHSKVYTAKGIRDRRVRLSVATAIQFYDLQDRLGFDQPSKAIEWLINAASPAIDTLPSLDPAAFAAIPHAAAADAAPTRRRSQQQQLSNKSGCSSTSETSKGSDKEVTVASAPAQAASFTELLIAGVAASSAGGGAIGNGADCVGIAHPGKGGAEGASTYGFSAASSFGDAPPIGMVPAPPFNFSAPGADMAAHYSLAQDQLAAPPPPAGGDYNLNFSMSSGFLGANRGTLQSNSPSNMSGHHHHHHQQQLQRLDGSTISFLLGHAAAAAHPAASEGQITSTAALQLWDGFRHSGMKEKSKN >ORGLA03G0335600.1 pep chromosome:AGI1.1:3:29522282:29522650:1 gene:ORGLA03G0335600 transcript:ORGLA03G0335600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNLIVFYLNFTKRIVGVNLIYDTIDESLSMIPMLSSHKATIHTTQMLVTRRRTTTAIDDDEGDYALTYLGKMAVEEKPADKPWDMPVISWPDVVYQWRPLSSLPSWKMIKRANLPGEWMVD >ORGLA03G0335500.1 pep chromosome:AGI1.1:3:29518204:29520509:1 gene:ORGLA03G0335500 transcript:ORGLA03G0335500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEM3 (ligand-effect modulator 3) family protein / CDC50 family protein [Source:Projected from Arabidopsis thaliana (AT5G46150) TAIR;Acc:AT5G46150] MMEEASTSASGGGEAAANGGQRGFPPARSGVFYRFTQQNLPAWKPAMTPGCVITIFLMIGVTFVPVGLVCLHASNHVAEIAHRYDIDCVPNAYKRNRQAYIKDSSISKNCTQEVKVKYLMRAPIYVYYELDNFYQNHRRYVKSRSDKQLRYGQKYTHSSCDPIERNDGLPIVPCGLIAWSLFNDTYGFTRGSTEIKVNRKNISWKSDREHKFGKDVYPFNFQNGSLIGGGKLDPALPLSQQEDLIVWMRTAALPQFRKLYGVIEEDLQADEIITMHIANNYNTYSFGSKKSLILTTSTWLGGKNDFLGYAYLITGSLSLFLTILFALIHVKNPRPHGDANYLSWNRPNSNS >ORGLA03G0335400.1 pep chromosome:AGI1.1:3:29511030:29517818:-1 gene:ORGLA03G0335400 transcript:ORGLA03G0335400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVRSSSGAAAEPPPTPVASSAGPSSPAMQANVASIDWSGSRQASRVDSSSHVAPHAHQPSHSFDATGTALDSAPSCRPWERGDLLRRLATYKPTTWASRPKAASSLACARRGWVNVDMDKIECESCGAHLIFSTLTSWSPAEVSNAGEAFAEQLDASHHNSCPWRGNSCADSLVQLHLTQSALIGGFKDRCDGLLQFTSLPVIASSAIEHMRLTRSSQIDRLLSQSITFLSGELSYKAESTTGIDIQQDSSCSYSKARKLISLCGWEPRWLPNVQDCEENSTHSAKNADSVEPFFPRFAEHQKNSFSGSAKKDKGKGKRPLKDSGCSMRSPLLDCSFCGSTVKIWDFRSVSRPCRFSPNNIDAPETGKKLALTRGISAASGINEWVTDGMERDPAEGRDEEATNEGKSLSNAGVDLNLTMAGGLPSIQSSIPIASERFNGGLGRDLMIGQPTGSEVGDHATSYESRGPSSRKRNHEEGGSTVDKPQDRLQHADSIEGSVIDRDGEEVDDAVQDSDIPNKRSRGFDLFGSYLPSSSGAGPSRNFCFDPDADAGKFSHARAAGLAAVDRDSMRESSVAAMDTVHSADEDSMESVEYYPGDGNDIDMPSSSAHRNIEMDDVLDLNYSNQAQQSACVQPASGSDGREIGGSSTNEGEEVLDAVTAPAFARDQLSVGISGGSVGMGASHEAEIHGIDVSLQRAESVVGDAEPNTELTETMGHTGESVPGPGLMDEFVPDEVDRQEPHGDSQDMVSQSVGQADSGSKIYGSTKADSVESGEKIGGHAVGHASRMHPSLSCNAGMQTGLDVSKEEVTQAGKLLIADDVPMGLDYDPQNGLGATNGENDFESGLPEFDPVKHHNSYCPWVNGTVAAACCSNTESSSSSSPLSGWQLTVDALDTFQSLGQAQNHAMRSDSAASLYMDDHVTPNHKLARRASVSRSHGKC >ORGLA03G0335300.1 pep chromosome:AGI1.1:3:29509329:29510261:-1 gene:ORGLA03G0335300 transcript:ORGLA03G0335300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT2G34620) TAIR;Acc:AT2G34620] MPLCSFYASTSLPVAKPHSLPSSSSSKPYTATPAPTPTAASASASAAALSLHLPELPSRVKDKILSLELMGVDYGRALALNPALRDAAPESIHAVVTFLQSRGLHFKDLGRVFGMCPSLLTASVRADLRPVFAFLTDDLGIPDTAYRRVVVKCPRVLACSVRDQLRPALLYLRRLGFRDARALAFQDPILLVSSVERTMIPKLDFLAGLGMHRDDAVAMVLRCPALFTFSIERNYKPKFEYLVAEMGGGVHDIKAFPQYFTFSLDKRIAPRHRAAADAGVSLPLPDMLKATDEEFMEMLDKEIELQKQAA >ORGLA03G0335200.1 pep chromosome:AGI1.1:3:29506429:29507004:1 gene:ORGLA03G0335200 transcript:ORGLA03G0335200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGKEASPAAAAGAVAEARPGDASTRRPRCGRPRAAAAAARRSGWAGPAADLADAALVGVRSGERFETAARRRRSPREGTGATVPLPKKRHGGSGVVEEAARPPPRLPRRGGTASSLSTVPLILTPPAPPRSALSSIDAAVDGSAFPDNGGGEGGGNRQQRGQSGYGNSGLLCDSSVFLCDSSPLCVLG >ORGLA03G0335100.1 pep chromosome:AGI1.1:3:29500983:29503258:-1 gene:ORGLA03G0335100 transcript:ORGLA03G0335100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein (GLTP) family protein [Source:Projected from Arabidopsis thaliana (AT2G34690) TAIR;Acc:AT2G34690] MGSSDGDKPLRRIAASFEELEAVAKQQAPGPAMEVGSFARACSNVSVLFGCLGIAFKFAEMDYVAKVDDLLEASKSISKLPEMVELDIQKGTVRQAGSHTRNMLRVKRGIDMVKILFEQILVTEGNSLRDAASKAYAQVFAPHHGWAIRKAVAAGMYALPSKSQLLKKLNEDENSAKVQMQTFIRASGPVILYVEDLFTSRNLGMDW >ORGLA03G0335000.1 pep chromosome:AGI1.1:3:29489159:29494678:-1 gene:ORGLA03G0335000 transcript:ORGLA03G0335000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPPSLSIGAASFAAPSPPPPSPGASSSSSSAAAAPGAAKDRKMASAEQLVLDLCDPELRENALLDLSKKREIFQDLAPLLWHSYGTIAALLQEIVSIYPSLSPPTLSPGASNRVCNALALLQCVASHSDTRIPFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDSEVIGFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVASVLSQMVQALADQPSPRLLKHIIRCYLRLSENSRACTALNSCLPNALKDGTLNNFLQDDHVTRRWLQQLLHNMTMAGMGGAPHGGLDHIMGM >ORGLA03G0334900.1 pep chromosome:AGI1.1:3:29485512:29488015:-1 gene:ORGLA03G0334900 transcript:ORGLA03G0334900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase [Source:UniProtKB/TrEMBL;Acc:I1PG53] MATAVASQVAVSAPAGSDRGLRSSGIQGSNNISFSNKSWVGTTLAWESKAARPRHANKVLCMSVQQASESKVAVKPLDLESANEPPLNTYKPKEPYTATIVSVERIVGPKAPGETCHIVIDHGGNVPYWEGQSYGIIPPGENPKKPGAPHNVRLYSIASTRYGDSFDGRTTSLCVRRAVYYDPETGKEDPSKNGVCSNFLCNSKPGDKVKVTGPSGKIMLLPEEDPNATHIMIATGTGVAPFRGYLRRMFMEDVPKYRFGGLAWLFLGVANTDSLLYDEEFTSYLKQYPDNFRYDKALSREQKNKNAGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKKVAEQRGESWEQKLSQLKKNKQWHVEVY >ORGLA03G0334800.1 pep chromosome:AGI1.1:3:29479884:29483529:-1 gene:ORGLA03G0334800 transcript:ORGLA03G0334800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQPPVLSDRLNPLIHHRSAFPAGVVQPQDHGDSVPGLCSGSFIDTRGRLSSGSMTSEDSPALTPRWLSIKSNSSSDNCFEGSKRAVSWSDRHVFNPNGQVNYAEFMDLMKQELDTQLDRLKGDVTGLENFALPDNGYIIGTHLGMSLDVMLIEIDERFNALKLLLATVFRKAREMDSSSVSDLQWEHELQLEVINITIGEFISGLQEEMERKLYEQISMTNSMSKNWQDAIAQFASMRDDLGALSKLLLPSLQESHISHSKHETSSNRSNRWKYNIFGKKNKEDHSSRAEENKSFRKQKSMVVSEKSDFRHLNGMSKEEIITYFKSEMSKLKRMHELDLQEKTKELFKFKREKGLLTLKNDVEFEPLRKKFPQIISRMDQIISKNIKMPSLCMTNDGLDERCISAKRIDSLYYENQHLRGLLADNMKDVKELSSQLSEASKEMSIQLSSEDDLLRQIAKIKEEYEDLQIEAGVRDGVYQTVTRKLLDDSMNSMHDAATNFSTELSSLEAMISEKEKALCLSNEENRMLKEKIAELEQCLIQDKQEDPEVIKQESTEIILRDIEVAPHISPRRSHETPKQDMQYDELVKLNSSLEIASAALKEVENKNIDYNGIFTKNEQEKQLECILISIMKLSKEFVEIEQKLSVERSASRSEDLSDHCNHMVRQAVVLTKIGLWYKQMLETRRSELQKAEAKVVILGDKVNSHLNLLQKIYVTLDRYSPTLQQYPGLLDAFLKTCKLVAGLRSNQNKDDTTA >ORGLA03G0334700.1 pep chromosome:AGI1.1:3:29474566:29478576:1 gene:ORGLA03G0334700 transcript:ORGLA03G0334700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter protein [Source:Projected from Arabidopsis thaliana (AT3G22290) TAIR;Acc:AT3G22290] XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLHLLLDFVTSKMCCLQMSVDLKRGETLPIHINMSFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKLRLDKYGHIIGTEYLNDLVEKEHGTHNHDHDHEHEDEQKKQEHTFNEDAEKMVKSVKQAMENGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAEKIFDGSSHVNVSHIIHDLSFGPKYPGIHNPLDETTRILHDTSGTFKYYIKEEVSSSVVIDMQIVPTEYRYLSKQVLPTNQFSVTEYFVPKRATDRSAWPAVYFLYDLSPITVTIKEERRNFLHFLTRLCAVLGGTFAMTGMLDRWMYRLIESVTKSKTRSVLR >ORGLA03G0334600.1 pep chromosome:AGI1.1:3:29470313:29472937:-1 gene:ORGLA03G0334600 transcript:ORGLA03G0334600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKEGEEELPRCVGCGRRVKTLYMQYSPGNIRLMKCDTCKAVADPYIECEFTIILIDLILHKTRAYRHLLFNKLRIYSSLDKGVLVLSILTHLVLDAFRISFSRGNIADGDSSINIFSVILNCSKVLGDALLGNIVFMTMLFLEVQFILKLSFDIRRYREVLLAVIISSYFKLFLMAMMVWEFPSSVIFFVEISVLSSNTVALRVVTEFSKAHCFGVCFGAHAARYLTERWLLGAP >ORGLA03G0334500.1 pep chromosome:AGI1.1:3:29460007:29461619:-1 gene:ORGLA03G0334500 transcript:ORGLA03G0334500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAAAAGQLDVDKLTYEIFSILESKFLFGYDDPKLVFSGGDEPQGAVKGTPARGPAVVERGKVCVLSIDGGGRAADGLLAGAALVSLEASLRRRTRDETARLADFFDVAAGSGAGGVLAAMLVARGGDGRPMFSAEDALAFLMRSLRRGWSGGGGGGAAGGIRALFRRPGAAFRKVFGDLTLRDTVRPVLVPCYDLASAGPFLFSRADAVETAAYDFRLRDVCAATCAGSDGSASAVEVRSSDGATRIAAVGGGLALGNPTAAAITHVLNNKREFPLAAGVEDLLVISIGSGECDNRPTGGAAASTSEIVRIAAEGVADMVDQAVAMAFGHNRTNNYIRIQAMGSPRASRGGMRCGGGGGGDGAGWGVAEEMLSQKNVESVLFRGKKLAEQTNAEKLEWFAHELVKERDRRRTAGALAPAVVKQQPSESAPATAAAADGHTPPPTSYSNLVSQMFTTIL >ORGLA03G0334400.1 pep chromosome:AGI1.1:3:29451382:29454507:1 gene:ORGLA03G0334400 transcript:ORGLA03G0334400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAAASAANVAVIGGGISGAVCASLLAARGVAVTLFDSGRGAGGRMAQRREVMEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRPCFALMLAFSEPLTKVPVQGFSFNNSDYLSWAFCDSSKPGRAHVPLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILRCLNFPSGL >ORGLA03G0334300.1 pep chromosome:AGI1.1:3:29448844:29449158:-1 gene:ORGLA03G0334300 transcript:ORGLA03G0334300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTVFVAILAVAAAAAAAVSTTTAHGVAGGRRALDEYRSVLRVIVPLEVAGAPSSGSLDDDAAAALGPDLPEFGAAPAAGPAAAACGGDEVDCDNKVPVYGP >ORGLA03G0334200.1 pep chromosome:AGI1.1:3:29446347:29447507:1 gene:ORGLA03G0334200 transcript:ORGLA03G0334200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRAVCRCWRSATDDPRGASARDPRFRPRHWAMLDEVFQTDARLFANAATGRFLRMDLPLLGRGRRYRLIASAPGGDLVLAEASPPARGARPQPVHRRREARRHDALRGAAALRGRVRCPCHRRFAHPRPARRRLRQGLLCRPRQRRLLRVRGELRVRSHQAGRRRWPLRRRRRARDGGVDPGPRGHQDGAPSRRQNHKHLSREPRVPLLRGGIRGGSAAHLQDGQKDRADLQDEHGRGEGDRSLEEVKSIGGGRAIFVGATRCISINADKFNAIDGNRINYQERDDLTSADIYMYELESEETTKIGGAIDSLNPVFLVSTEPPFSPIQLFCSYADEALRFRLEWEKILQSLPERLPDDIMASMGADLMGDFEDEFEDFEYEFND >ORGLA03G0334100.1 pep chromosome:AGI1.1:3:29437390:29439264:-1 gene:ORGLA03G0334100 transcript:ORGLA03G0334100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWKGYKVVYRRYASLYFCMCIDAEDNELEVLEIIHHFVEVLDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNVARLIAAQDSLVEAAKEEASSISNIIAQATK >ORGLA03G0334000.1 pep chromosome:AGI1.1:3:29431431:29431879:1 gene:ORGLA03G0334000 transcript:ORGLA03G0334000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEEFGFAGDDGRITLTCDASVIENQPLVFHSVGEWRAARDRMPRPAEVGLHAAAGGGTTEEVGVSVDAAVLEREACGAGLGGDGVLLAAEGEACDDVAVLEDGGGVAEDELDTNKL >ORGLA03G0333900.1 pep chromosome:AGI1.1:3:29405184:29405627:-1 gene:ORGLA03G0333900 transcript:ORGLA03G0333900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPNMNSYWTWGSRSACPSTHGSQNVAVEMEEEEGQMEEHVVQELGEERVEENFEGITEFNPDYIGCV >ORGLA03G0333800.1 pep chromosome:AGI1.1:3:29394765:29394959:1 gene:ORGLA03G0333800 transcript:ORGLA03G0333800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVVLSGSDGGDSVELHDGSDVGGSDTESSFAVVQVRGRAADTGDRRGGRAVATFAFAAGVL >ORGLA03G0333700.1 pep chromosome:AGI1.1:3:29386559:29387062:1 gene:ORGLA03G0333700 transcript:ORGLA03G0333700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVVLSDSDGDSVELHDGSESSFAVVHENAEISDAAESNCGHVDSKIAAAKDTTFSGEEDLDDETDDDIECFDDEDKICEENPDDEIFDDEEEIDCEEDLDDDDDDCESLDDDDIECFDAEDIICEENPDDEIMSHLLT >ORGLA03G0333600.1 pep chromosome:AGI1.1:3:29374116:29378655:1 gene:ORGLA03G0333600 transcript:ORGLA03G0333600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 7 [Source:Projected from Arabidopsis thaliana (AT3G60830) TAIR;Acc:AT3G60830] MEAVVVDAGSKLLKAGIALPDQSPSLVMPSKMKLEVEDGQMGDGAVVEEVVQPVVRGFVKDWDAMEDLLNYVLYSNIGWEIGDEGQILFTEPLFTPKALREQLAQLMFEKFNVSGFYDSEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHIASKRFDIGGTDLTNLFAEELKKSNSSVNIDISDVERLKEQYACCAEDQMAFEAIGSSCRPERHTLPDGQVITIEKERYIVGEALFQPHILGLEDYGIVHQLVTSVSNVAPEYHRQLLENTMLCGGTASMTGFEDRFQREANLSASAICPSLVKPPEYMPENLARYSAWLGGAILAKVVFPQNQHVTKGDYDETGPSIVHKKCF >ORGLA03G0333500.1 pep chromosome:AGI1.1:3:29371857:29373782:-1 gene:ORGLA03G0333500 transcript:ORGLA03G0333500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14080) TAIR;Acc:AT5G14080] XXXXXXXXXXXXXXXXXXXXXXXXXXPPPSPHAAAPTSTPQAFHAHLASLAAPSRAAHPATLRSILAALSRARAAGLPLLPATRVLAASALLRHGRLPDALAHFGLVPDSAALPAPLCNSLLAALASSGSLVSARKVFDRMIGRAVELDTVGFGVFVKNVGRRHGLAEVLRLVQAVRHQGDRVDRSVVAVMVADGLCREGRIEDAWQALEDMRFQGWKPDFVAYRVVSEAFREAGRTEEEGRILKQKRKLGVAPRKEDYREFMFALLSSRQIGEAKEIAEAIVLGDFPINDDVLNALICSVSEIDVDAAVMFCKFMIGKERFPSSDMLIHLCESLCTNGKGDDMWEFFRVLLDKGYCTDVRDYHLVVSFLGKAGKVREAYDVLKEVKRKRLEPDISSYNSLMESLCRKNLLRPAKKLWDEMFTSGCSPNLQTYNILITKFAEINESEQAQQLFDRMFQKGVSPDVTTYTSVINMLCQEKKYEQAVEIFTKCVVQDTEVASSVLTVFILALCKQGNFKGALSVLSCIPSNVESVNSHVILLKCLIDVGEVDLAIEHIKSIRSNFSSSFQNIINELMASLSTSASLQPVTRLISYLNSQGIVHDVGPWMGLMEHNYA >ORGLA03G0333400.1 pep chromosome:AGI1.1:3:29362917:29364802:1 gene:ORGLA03G0333400 transcript:ORGLA03G0333400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNARSAANQTKQIVTDNELVELLWHNGSVVAQPQAAQARVVSSSGRGQSASVLTGDDTETAAWFPDTLDDALEKDLYTQLWRSVTGDAFPAAAAAGPSSHHAPPPDLPPPAARPPMRSGIGSSWTGDICSAFCGSNHIPETAAQRCRDAGAALPPERPRRSSTHDGAGTSSSGGSGSNFGASGLPSESASAHKRKGREDSDSRSEDAECEATEETKSSSRRYGSKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDEAIEYLKSLQMQVQIMWMTTGMAPMMFPGAHQFMPPMAVGMNSACMPAAQGLSHMSRLPYMNHSMPNHIPLNSSPAMNPMNVANQMQNIQLREASNPFLHPDGWQTVPPQVSGPYASGPQVAQQNQIPKASASTVLPNSGAEQPPTSDGI >ORGLA03G0333300.1 pep chromosome:AGI1.1:3:29357952:29358335:1 gene:ORGLA03G0333300 transcript:ORGLA03G0333300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSFMAMAMAMAFVLLAASSSRTCYAARMLADTPATAAAAAAPPAALPVLPAVPALPTTLPPMPAIPAVPQATLPPMPAVPAIPAVPKVSLPPMPAVPAVTLPPMPSIPTVNVPMPFQAPPPSA >ORGLA03G0333200.1 pep chromosome:AGI1.1:3:29347984:29349116:-1 gene:ORGLA03G0333200 transcript:ORGLA03G0333200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVITLVQLTWTTSPTLSTPSHTWQRSLCLPASRSFTPRQEIPASPPTLTGDQLLRLALVADKAMTAPPPTATEDLAIRSSTGTETWFGGTTWKPVPIARVFGRIREALPATPAVETLTTCXQIEEALMRLELAAAAARTPGDDTLLPQPMSPAPLAASPPRCLEDLASDAAADKILPAPLPGALLPQEMTPMPATPPPSALEPGSLPEHASSPCTIAGLFTSPPPAIIAPPPRLTLPCLRPVILTRKVKLRPRQHSQVTRRSERLAKQPTRPTMERCQCVLFRRLGILHDEEDASVERILSQYMAMLDGPLPPHAIAALTAIFGLDDDDEXAMDAALLPLVGEGITDVADEVEETLA >ORGLA03G0333100.1 pep chromosome:AGI1.1:3:29339186:29340031:1 gene:ORGLA03G0333100 transcript:ORGLA03G0333100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSKLFYPSMAEALEAEAKAKKKKNGANKIGSIGRLPTPSIHYQPHVSATSNPYNFTNVFALFGENKNKILFSDMEGHSSTYNTELHSFMIMPDLNSPKGPNCLAAHITRTAAHARYDFDIRPDVDYDFFAYNPHGEHTDSLYLMDMDQGKPSSFELLAYYPVGEWQWCSLPLPPFFDDPEHKACNNISYAVIDGTRICISSATATYSFDTVALEWSKIGDWVLPFHAKAEYIPELKLWLGLSASSPSDLCALDLSTAAMDSCDVLPMVHHVGLDADLPEG >ORGLA03G0333000.1 pep chromosome:AGI1.1:3:29334227:29335444:-1 gene:ORGLA03G0333000 transcript:ORGLA03G0333000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:I1PG34] MSEHSESATEKIMSSIMDTIAENLPKQKSGKFDAGAASDKMKEKLFGRQKTIHRVLGGGKPADVLLWRNKKISSSVLALATAIWVFFEWLDYHFLTIVSFALVLGMVVQFVWSNFSSALSGSPSKVPRVELPEELFVNIAVAVGTQVNKFLSFLQDVSCERNLKHFVLAIVGLWAAAVIGGWCNFLTVIYIGFVSAHTLPVLYEKYEDQVDDFLYNILGLLRDQYQKLDQGVLSKIPKGNMKFKKSE >ORGLA03G0332900.1 pep chromosome:AGI1.1:3:29332501:29333016:-1 gene:ORGLA03G0332900 transcript:ORGLA03G0332900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLSILVCLLLLAVSGHGLRILHDVDGDFGQGFAFGSKAAAADETEPLDPLLDDYENEISHLEFEPVDAGSTPYAAGDADAAAPAPGPAAEAGSAAGSDSMKWWLPPSTIPSFPLFPGMPGLGMPLPGIPFKPIGWGSPAAPGQYAPDPPAGAGADGDADPSAASQVIN >ORGLA03G0332800.1 pep chromosome:AGI1.1:3:29327321:29329167:-1 gene:ORGLA03G0332800 transcript:ORGLA03G0332800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44520) TAIR;Acc:AT5G44520] MDAVAGASVSSSSARLRPCPRPRRIGNVAPATVRRRTRRRAVTCAAAADADVVGLFDAAKLTVDRFVESGMVVGLGSGPASGLAIQYLGTRLRRGSLTGILGIPSSTISASEAEKAGIQVSSYEEGTQIDFAFTDADIIEEDTMTAVIGRRKTESGEPSFMVEKGIVKSADKLAFIIGHEKYVKGIEGSIPVLVKSANWIDTAEEIDDLFLGDAEVWRRPSIGTAGPLGGDFPLVTKEGHHVLDVIFTTPIPDLGKVAESLEKIAGVVDHGIVSSIPSYVVVALNGEVQVLDEKSSVIP >ORGLA03G0332700.1 pep chromosome:AGI1.1:3:29325734:29326421:-1 gene:ORGLA03G0332700 transcript:ORGLA03G0332700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELVLGRIQAICRLSGHRQLQLFHGEGLRRLSPASYQSFCSSACGQVDTTIKDQYRFDRFSDPQVAHEDRQFIEFLDRMLDAIRNPQSLARIQREKLPKDLKILDDDI >ORGLA03G0332600.1 pep chromosome:AGI1.1:3:29321062:29323620:1 gene:ORGLA03G0332600 transcript:ORGLA03G0332600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21300) TAIR;Acc:AT4G21300] MRFPQRRLLIRRVELTPPPRPCCLRTTTFSTTAAAASPDRRLLAVLRGCVSPSHLSLGLQVHGRAVTAGLDATDTALQTRLVGMYVLARRFRDAVAVFSSLPRGAAACALPWNWLIRGLTMAGDYRSALLFYLKMWAHPSAPLPDSHTFPYVVKSCAALGAIALGRLVHRTARTLGLDGDMFVGSALIKMYANGGLLWDARQVFDGMAERDCVLWNVMMDGYVKAGSVSSAVELFGDMRASGCEPNFATLACFLSVSATESDLFFGVQLHTLAVKYGLESEVAVANTLVSMYAKCKCLDDGWKLFGLMPRDDLVTWNGMISGCVQNGFVDQALLLFCDMQKSGIRPDSVTLVSLLPALTDLNGFNQGKELHGYIVRNCVHMDVFLVSALVDIYFKCRAVRMAQSVYDSSKAIDVVIGSTMISGYVLNGMSQEAVKMFRYLLEQGIRPNAVAIASVLPACASMAAMKLGQELHSYALKNAYEGRCYVESALMDMYAKCGRLDLSHYIFSKISAKDEVTWNSMISSFAQNGEPEEALNLFREMCMEGVKYSNVTISSVLSACASLPAIYYGKEIHGVVIKGPIRADLFAESALIDMYGKCGNLEWAHRVFESMPEKNEVSWNSIIASYGAYGLVKESVSLLRHMQEEGFKADHVTFLALVSACAHAGQVQEGLRLFRCMTEEYQIAPRMEHFACMVDLYSRAGKLDKAMELIVDMPFKPDAGIWGALLHACRVHRNVELAEIASQELFKLDPHNSGYYVLMSNINAVAGRWDGVSKVRRLMKDTKVQKIPGYSWVDVNNTSHLFVAADKSHPDSEDIYMSLKSLLLELREEGYIPMPDLCCPTHLDSSTQVQQQ >ORGLA03G0332500.1 pep chromosome:AGI1.1:3:29317117:29320084:-1 gene:ORGLA03G0332500 transcript:ORGLA03G0332500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein-related [Source:Projected from Arabidopsis thaliana (AT1G56050) TAIR;Acc:AT1G56050] MATMSRRALGSAFAGFTRTPAMTPTATLPSSCASPARLLRWRRSAGVGARRFASGRNARISMSLRAGIVGLPNVGKSTLFNAIVENGKAQAANFPFCTINPNVGVVAIPDARLHVLSKLSKSKETIPTSIELVDIAGLVKGASKGEGLGNQFLSNIREVDSILQVVRCFEDDDIVHVSGKVDPKSDIDVINLELIFSDLDQIEKRLDKLKKSKTKDQQVKVKEQAERTGLEKIQTVLMDGKPARSVDLADHEKEAIQHLCLLTMKPVIYVANVTESDLAEPGNNPHVKEVAKLATDLESGMVTISAQVEAELAELPLEERVEYLKSLGVTESGLGNLVKATYDLLGLRTYFTTGDKETKAWTILAGMTAPQAAGVIHSDFQKGFIRAETVSYDDFVAAGSLGVAREKGLLRLEGKDYIVQEGDVMLFRFNV >ORGLA03G0332400.1 pep chromosome:AGI1.1:3:29313409:29315710:-1 gene:ORGLA03G0332400 transcript:ORGLA03G0332400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT5G44450) TAIR;Acc:AT5G44450] MDSRGFDSEGREFSSATEMWAHEIGAAADAPVSAAVAEPAPAPAAGSNGVAGEEEAGGGGKREEWYSKAIAYWQGVEASTEGVLGGYGCVNDVDVKGSDAFLRPLLAERFGAARRHLVALDCGSGIGRVTKNFLLRHFNEVDLVEPVSHFLEAARENLTECMEVGEDTHKAANFYCVPLQDFTPDEGRYDVIWIQWCIGQLPDDDFISFFNRAKIGLKPNGFFVLKENIARNGFVLDKEDNSITRSDAYFKELFKKCGLYIHSIKDQSDLPKELFAVKMYALVTEKPKIQKNGKRRRPKNSPRMIRS >ORGLA03G0332300.1 pep chromosome:AGI1.1:3:29310302:29311665:1 gene:ORGLA03G0332300 transcript:ORGLA03G0332300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQEFTVDLNKPLVFQVGHLEERYQEWVHQPIVSKEGPRFFGNDVLEFLTRTKWFAVPTIWLPVVCWLLVKSIRMGHTIQEVGLMALFGIFIWTLIEYTLHRFLFHIETKTYWANTAHYLLHGCHHKHPMDSLRLVFPPTATAILCVPFWKLVAFFATPTTTPALFGGGLLGYVMYDCTHYYLHHGQPSKEPAKNLKRYHLNHHFRIQNKGFGITSSLWDYVFGTLPPSKTTGKNN >ORGLA03G0332200.1 pep chromosome:AGI1.1:3:29305346:29307885:1 gene:ORGLA03G0332200 transcript:ORGLA03G0332200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:I1PG26] MREILHIQGGQCGNQIGAKFWEVICDEHGVDATGRYAGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPRGLKMAATFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEYEDEEEEEAEAE >ORGLA03G0332100.1 pep chromosome:AGI1.1:3:29299311:29304266:1 gene:ORGLA03G0332100 transcript:ORGLA03G0332100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine-5'-monophosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PG25] MAASSADLADDGFPAPRLFSQGVSYTYDDVIFLPGYIGFPADAVDLSTRLSRRIPLSIPCVASPMDTVSEAAMAAAMASLGAAAVVHCNTEPHLQASIVRAAKSRRLPFISSVPFFSPASTPSLSDFAGHDYGLVTERGDSLSKLVGVAVAAETSSRQAPLPVSEYMRPAPRSVSASFDFEQAAAFLADEGLDYAPLVSDDGEVIDLITVNDVERIRSYPKLGKPSLGADGKFVVAASIGTREDDKRRLEQLVKAGANAIVVDSSQGNSIYQIDMIKYAKKMYPEVDLIGGNVVTIAQAQNLVASGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVASYAKDHNVPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSHEAPGTYEYKDGHRVKKYRGMGSLEAMTKGSDARYLGDTLKLKVAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGASSLQSAHELLRSETIRLEVRTGAAQVEGGIHGLVSYEKKAF >ORGLA03G0332000.1 pep chromosome:AGI1.1:3:29294470:29297073:-1 gene:ORGLA03G0332000 transcript:ORGLA03G0332000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRSHSNASSGMGVAPDIRDTFLELQMKKAFRYVIFKIEEKQKQVVVEKTGATTESYDDFLASLPKNDCRYALYDFDFVTGENVQKSKIFFIAWSPSTSRIRAKMLYSTSKDRIKQELDGFHYEIQATDPTEVDLEVLRERAH >ORGLA03G0331900.1 pep chromosome:AGI1.1:3:29292844:29293232:1 gene:ORGLA03G0331900 transcript:ORGLA03G0331900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIFSVHRGRVPVLVGEGKEMERMLMENWGGXEMVIKELPAAFCVFSIVLIFWYQRFSTVIDSYY >ORGLA03G0331800.1 pep chromosome:AGI1.1:3:29287654:29287872:-1 gene:ORGLA03G0331800 transcript:ORGLA03G0331800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLVISLPFIFFSILLGFGCYFLGKHKGREEMRTGVGAQVYGTPLPPPGVGAPPPPEPFTAKKDGPENLV >ORGLA03G0331700.1 pep chromosome:AGI1.1:3:29285689:29286693:-1 gene:ORGLA03G0331700 transcript:ORGLA03G0331700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSGGVRLPCLALEHAGGGSDKPVLFSISERKAIDGGGDIPGLTNANAWATPQGWILVRDAAAATTFLQNPRDSYDTIPLPHLPQDDLPPRRCTCLLSGKPAGGDGCVVLLVHPFSTVFWHCRVGGDGGGEWAKHEYDIGTQTVDDASLRVEKVPICAVTAAARRGAFYFNADAAGNLGVLDLASSSAAAAPAFASLDVDAWELGDVDHAHFFLVESEGELYMVSLVYELGGAGMTDCETRVHRLSEHEQPPRRRWRRARDLGGGRAFVMAPWYFAASCDAGECGLEADCVYMFYPGEDACVKISSVRERGGEEFVGVPPASRASWILHVDP >ORGLA03G0331600.1 pep chromosome:AGI1.1:3:29276866:29277831:-1 gene:ORGLA03G0331600 transcript:ORGLA03G0331600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENASPVVSVPVPCLSMEQRDEPAHKPALFSISDKKAIIGGDIPGLTNANAWFTPQGWILLRLSTATFLQNPQDPQDKIHLPHLPDGLSTRCSCQLSGKPSLPGCIVLVVEPVATVIWHCRIVDDEWTRHEYDIGTLPFDPPIDGKDHDDVVICQIAVYQGKFYFNSFFDTIGVLEFTPTPVFSSIEIVDPIPGGLGVTGAAHVYLVESEDELYMVCLRIVYEFTIYDMTIHKMDFLSRQWRRADEIGGRAFFLVPLYFGASCSVDEYGLEKDSVYVSYAVDKCFEVSKVEDDETEVGLNFSPYHKNISLYHRDLYFCERD >ORGLA03G0331500.1 pep chromosome:AGI1.1:3:29271914:29273163:1 gene:ORGLA03G0331500 transcript:ORGLA03G0331500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADALVVAGQAKARHPLSQIAESGTHRLLLKQWLKEEDLLARRVALREARLDGARKEIAFLYCAFFAFHAASILLLFLSASASTSAACRRSWIPCLVSLLSSLAMLWALRYKADTEAVLERLLAREREDALLLGKCVAELKRKGLEFDLLKEVDALRRAKSLRVEAKGGAGGERPKRWAARDLAVFLLFGAACGVLVLTRGSDVQNYLPWDKDFLLEQKIVMHYYQSVSGPGLSTSANFL >ORGLA03G0331400.1 pep chromosome:AGI1.1:3:29254459:29255214:1 gene:ORGLA03G0331400 transcript:ORGLA03G0331400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVAAIRRKILAFLSHQSSQVIRIIRDFRDLMGLRGVCSIRDDPEPGKDISEKDLESDEAIWALYERWCEAYEKERDHAEMTRWFEMFKNNAEYIYSLNSEITSEAEQLILGPYCDGFNEKDKAEFLHDFGHFNGVHEFVE >ORGLA03G0331300.1 pep chromosome:AGI1.1:3:29251248:29251965:-1 gene:ORGLA03G0331300 transcript:ORGLA03G0331300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGKTSCKAAVFLAALVVMATAFSSSHAAQGQGNVGEEKMACKPLQGXNTEMCMGYCQTLSYQGGTCKHNDPDMCCCPY >ORGLA03G0331200.1 pep chromosome:AGI1.1:3:29240594:29241304:-1 gene:ORGLA03G0331200 transcript:ORGLA03G0331200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit F [Source:Projected from Arabidopsis thaliana (AT1G31330) TAIR;Acc:AT1G31330] MAALAAASTAFAAKPRLARAPSSPAARFSVSCSASGNNGGAGEMAQSLAASAKTFSAALALSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKQSIKKLQSSLKKYAPDSAPALAINATIEKTKRRFENYGKFGLLCGADGLPHLIVSGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAISGEKKPAMREIIIDVELAVKLLPRGFIWPVAAYRELITGNLVVDDADIGY >ORGLA03G0331100.1 pep chromosome:AGI1.1:3:29226304:29239924:1 gene:ORGLA03G0331100 transcript:ORGLA03G0331100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRERSLEVVAPPATPLSPSKRLRRAGLVVMWLRRKPKPVGVEQMAARLIRQMQVQMVKLFMLLMFLVARLGNVETLLLEQPYLIRRLLEEHFGIFQRSLMESIQDTVRKAVHSEASILDLIHMKERQTTLLSGGAYQHPRPNISEGFPQTGGSTRMVKLFFVDVERPEDPLFTGSPVQWQNGANAKVAIFENGSQITQGDLSKLQIEILPVHDDFFTERGPANFTKEEFNKQIYMCKGKESVLKTVNLTNGEANLGSFFFTESSHGKRLRLAARVKYQDLAVRVQEATSYSFVVKDRRSKLNKKSNSPSKEEGIHCLKKISLKGKRCNDLAGKYITKVKHLMRCYHRDPAGLQKLTGMKNEDWNTMISHATTSDPGDEIHSYRVEKNTIIFFNDFFALVGMSVDGSYAPYHANNLNQLQQRKMNKWKESAYQKFEELEKLGCLIPDHVMINGQPVPVSPKNDASHSIQANPTCFNHQIALEGQGHRFQQQNGFSAVHVSTPYNVAGTSTQLKEPLQQYPYEQKSGREGPSMQHNGTSYSLTEENILNGLGSGLVQSTILSQNTAVVPGADPRHSGYASTSTADAAGTSCPVTDGVGPWDYPIFSDLYPNVEPSGLIYGHVVEADQAFLPDSHELANADNQFTGGNDDSAPFD >ORGLA03G0331000.1 pep chromosome:AGI1.1:3:29212650:29215690:-1 gene:ORGLA03G0331000 transcript:ORGLA03G0331000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GESKEDEIIEGEPDPEMEVVAGPGGEPKVGMVFLNEDKAYDCYVTYAGTVGFSVRKGWLEKTATNTTKSRAYVCSKEGFRSKSVSTDPKKPRPETRTGCLAHMTIKITVSGKYVVTEYVADHNHDLETPLVDIQVLRSHKLLAKLQQPPDPPRVVLIPNDYKNYVRTRHTKDMQLGDTQAIYEYLQRKKGEHPSFFYAIQVDEDDQLTNVFWADVKSILDYHYFGDVLCVDTRYSTSDHSRPLLLFIGVNHHKQPVIFGAALVYDESVESFKWLFETFKSAMSGKQPKTVMIDQSTAISEAVASVWPRTTQRFSLIHLYKNATKILRDAFQVSETFADDFSRWLYGYEEEGDFLSSWEILSDKYNLKDNEWLGKLYADRERWALPYGRDSFCADIAAALRSDNNTDAILADLLKKEMDFPSFFNNYDKLLENKRLAEQQADYLGVQMAQRVAPLRMLWQAANAYTPTLFEMFRLEFELTLTCMAYCCGEIGPISEYEVTVKNRPRDHFVRFDSSECMVVCSCKKFEFTGIPCCHVLKVLEVRNIKELPPHYILKRWRKDAQSESPRENYGFEAVDEDPRFLLSKRYSMLYRTFYKIAAKAAENIEAYTYMESQFDQFIEQVELLLQAKLHDKSSLNTILKVQQPNLFPNEASNSETRRVSTKKIKNVDARRQQQSPLQSNKKKKGRQGLPEPEEAEVPLRVDPPTISNDIPNHLRTPTSQFLATSHIMQAPYIAQQFGLSSLQGFPGISPFGQLQEPAPAPLQQPHLQQPPFHSGPQIPQAPPPDIQSLQFLSSNPQLGHQATDQSQYTIPVWDFL >ORGLA03G0330900.1 pep chromosome:AGI1.1:3:29209512:29212162:1 gene:ORGLA03G0330900 transcript:ORGLA03G0330900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PG13] GGKARSLPAGSASSTVTSTRPPGRGRRCRSWPSPPSWSLGCLQFLPATHFRDPADPQRNWIPFDRSRNPVWFLLQDLPDEVGSVNVFSWISCLDLRTLAVLTNSTISSSSDPHNISFNFLIPERGTDKSPYYKLKAVLPDSNVTVASQKKIEDKLNVATPEGNLFWSFPNELSPIIIGTTQFSQKRYVYISADSIVKGKIEDLGRIDLGTYAIAAVEDCTKRIGDYVSIDVLNAVQKTAPKNLVYTEPYDKDACLLDFDVLVVEPRKLRKDLIDSIMVWFRAFSLANPRDQIRLAVTLALYDNYLKLPSNWKRADANSDILNYNGPKNVCSEGGRQLQEEGNGDEWQLYLDQKSLAVLG >ORGLA03G0330800.1 pep chromosome:AGI1.1:3:29204920:29205529:-1 gene:ORGLA03G0330800 transcript:ORGLA03G0330800.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTCVRKTREGDGNLLCQTQPHENCFSDLSLYRNCSKQFKDVKSRASGEETEKSFTELLIEELKQREEEATQAQQQADVKLLEAKKLASQYQKEADKCSSGMDTCEEAREKSSEALVEQRKLTALWEERARELGWKPGNNKPHQM >ORGLA03G0330700.1 pep chromosome:AGI1.1:3:29202459:29203091:1 gene:ORGLA03G0330700 transcript:ORGLA03G0330700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPSSLNRCTVAGVLPLGIRGGRMLLAPPLLWNSGAATRKMAVATAAAASPPAEGGGKADGSAVAGGVSRTMQLGAMILVWYLLNIYFNIFNKLVCTGIPPEAPVRRRRVAALRVHVNQRVPRDETQSNLPFRDAPVRLPTQRKVSNLRARRERDGGGGDDVDAHATIIRHGEEKHHSLVQEPVPRVACHHGRTRNERPRGGELAVCEV >ORGLA03G0330600.1 pep chromosome:AGI1.1:3:29197555:29198769:-1 gene:ORGLA03G0330600 transcript:ORGLA03G0330600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLNYDSSSWKECDASNQNDSEAVCKSIEVEVVGNDDSAINCGNKLTALDSPSFTCRDDINLVESKSSPISTPSEATAEIQTPATTHAPDQEELRNENNTRTCSEHTYEAVSSVEASGSCEKLRLESCQPNISDEDFKYAKNDSLVSVELSISNECSLFQSSEGSVSSCNKRRENSSTESVEKCLKSEPLVHSSRKKVLKGNDSEVEFPSLSQWLKPPNPKVFRDEPLTSDRSHSAKSSEEDRPIIGLVAAHWRDTEPDTFTPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSDEKLLSQRKCSSGNTSQLSGLEGEENDTAASTSNYLCVAAIT >ORGLA03G0330500.1 pep chromosome:AGI1.1:3:29193797:29195597:1 gene:ORGLA03G0330500 transcript:ORGLA03G0330500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSKEFARDQAAMDQKIKSDVGEVVLAGDEEEDGDVVLPGFRFHPTDEELVTFYLQRKVARKSLSIEIIKEMDIYKHDPWDLPKASTVGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAAVNSNSGESIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPAIAAADASPCMQEAEVWTICRIFKRSITYRKQQQQQAWRPPAPAPATVTVKAPPPGDSSSNTGSFESDGGGDEFMNCGLTPAISQQQQHGGRHQMMSTMSCNGGYFFNDGIHHSHSHHKLHSQWGSLQMAPPEPKPEPEQKPLSSPAMTIAFHQNDHGFPAAAADFYKDGYLEEIARMMEVADPSPTGFYDCRY >ORGLA03G0330400.1 pep chromosome:AGI1.1:3:29181434:29182104:1 gene:ORGLA03G0330400 transcript:ORGLA03G0330400.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVFSLRTASPCISRTKKEATPLVAGLSVAAAAMGSRYMLQAWQAFRTRAAMPRVRRFYPGGFEREMTRREAALILGVRERAAFNKIKEAHKRVMVANHPDAGGSHYIASKINEAKDMLMGKGKSGSMF >ORGLA03G0330300.1 pep chromosome:AGI1.1:3:29171347:29172038:-1 gene:ORGLA03G0330300 transcript:ORGLA03G0330300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSELGTGIRGRRWWWPGARIHGREERGDVAAAGEDAADAHIETVAPPVEKEAVAAVLAAVAGIVGDALLEDEEAVRRGHGLERLQEAGIEAERRGRRRNAHEGIKIGAEPVGDAEEEAGVAVAGAVPVAELLVKDAAAERLAGGGGADYGCSVGAHEDLEQHIVRER >ORGLA03G0330200.1 pep chromosome:AGI1.1:3:29167073:29168194:1 gene:ORGLA03G0330200 transcript:ORGLA03G0330200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTILPDDLMPEIAVRSDIVSIVRCAATCKSLRGRILEEEFCCRHNNAATSLLRGVSYRFRCDLNTFVGVTQAASSPSSSPLPRFDAGILNTFEPMVSRDGLVVVLEDYVYAGPDRFNMCVCNTITGDVTSLPAMDPAMKVKRRLIYPPALLDVGDAGRSFELLVADNELHTQTFTFSSKDGGGGWGAARTIRMDAGHRKPSIPMPFHHVPGRRRARRALARRHGAVLGAPSPRRGHLLPARRRGVRRDDGATAGLRRQDAGLQEGQPSAHARRVAGRHGVEPGRGGARADLGVDVGGRIIGGGDDNGGEVEPAGGDHEAGDRPERGSRENVQQRLLRGVRGEERCRALALASFWARAAQPGDERGARCAPR >ORGLA03G0330100.1 pep chromosome:AGI1.1:3:29164562:29165824:-1 gene:ORGLA03G0330100 transcript:ORGLA03G0330100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEAESREASRQRRRRERAAAVERFVGVPLADVVRENALVHLPPAAAARLRVVHPSWASHMSSPLFAVAHAAAPRRVSGVFVPSAGFLPFDGDDDAVPSPSLSFVPASSELVVLSSSRGVACCFSPADDAYAVCNPATASWTAVPSPPWRSWPRPAIVVLFDTSAYNFRGDFTLVCPFESEPGSSGAYCFQVFTSGTGAWWVTDAMSPAEGLVAASGVAAGATAWWRTSIGTAVGYTPVTGRVDLVTCPGDSDQWEIGSAAGMLHCAVIDGGDVVVFRLHEHGIWEVTTRVAVAEILQPSQPPPPARATTSTEIVASQQHDESGAEEEEGSRAVVAAANAPLRLDDGVRLLGFQGAEVEVVVLAGRRLVAFDARTRRRREVAVPDEVDAAWDGAEHAAHINTLALIAPAALAAEPPADP >ORGLA03G0330000.1 pep chromosome:AGI1.1:3:29158403:29160310:-1 gene:ORGLA03G0330000 transcript:ORGLA03G0330000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVILSDGESNTAAVVLDGSDDSDGTASSDDIDTAASDVYNYMARSRPLVSHFGGGGGVDGGAPPPPLFYDGPLRAVVEAAAAAAPPAPPKFVKEVRYSYGEALSIGGGDEIKQRPAASEIEATTERSIDAASQEDTSCEIGNAGVVICDGDVVEHTADVDGGGATTDDISVVDVTDVAEQSADVDDGGATTDDNSVVEVTDVVDNTDMAHVDDGGATTDDDSVVDVTDVGEHTADVDGGGATTDDISVVEVTDVVDNADMAHVDDGGAATDDNSVVEVTDVAEHTADVDDGSASTDDGIAVVEVTDVVDHTATAHVDDGSTSTDDGIAVVDVTDVVDHTAKSHVDDGGAAADVISVVEVPPLTTVSAAPPPPPTTSEVDGEHESSIRSPPTPAVAAASRATNPPPPRRRTSRALRLPWRYRPVIDEHKSKSGDAPPTPPAVMVSPAATSPPPLDARTSGEVDKSVVVINDEPVHVLTAAAAAAAAAAAAAMKATASDYGGKKDTAHGGGSRCMVIREVGEEEEASHHAAYEARRRAEAFHATIKAAAAAEAPSQPPPADRRHGSGQSAALEARTQPPPATTNTAAEARTKVATERRRGSEPSAADMADFAIAYLFSSSCMILYSFLLASYFY >ORGLA03G0329900.1 pep chromosome:AGI1.1:3:29154086:29156173:-1 gene:ORGLA03G0329900 transcript:ORGLA03G0329900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPPPKSSPPLMAASPSPTNSSSSSSPAPSVSPPPAPSNPHGGGGGAPPPSPARVPSPPSRSSGGGSGSEDVARSALASARRGGYNAMVEIVFAAVGAAALLVLLVAACLCCSRKTAPRRKRKKKPHNPVTHFDADTSGSKGGGGRDTSGPKPPPPLPWLAEPRAAPSTSDAAGMSKGTFTYEQLAAATGGFAEENLVGQGGFGYVHKGVLAGGKAVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGARRVLVYEFVPNKTLEFHLHGKGLPVMPWPTRLRIALGSAKGLAYLHEDCHPRIIHRDIKSANILLDNXXXXXVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELVTGRRPIDAGAADHPWPASFMEDDSLVEWARPAMARALADGDYGGVADPRLEGSYDAVEMARVVASAAASVRHSAKKRPKMSQIVRALEGDMSLEDLNEGMRPGQSMVFGTAETGGSISEASGSYTFDMDRIIQEATAARLESGRRDDVSFSGEMSAEWKQPPHRVSR >ORGLA03G0329800.1 pep chromosome:AGI1.1:3:29146852:29152904:1 gene:ORGLA03G0329800 transcript:ORGLA03G0329800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:I1PG02] MASSALICDTEQWKGLQAHVGEIQKTHLRHLMHDVERCKAMTAEYEGIYLDYSRQRATGETMEKLFKLAEAAKLKEKIEKMFRGDKINSTENRSVLHVALRAPRDEVINSNGVNVVPEVWGVKDKIKQFSETFRSGSWVGATGKALTNVVSVGIGGSFLGPLFVHAALQTDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSNLGPDAVAKHMIAVSTNLELVEKFGIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGAASIDKHFRSSSFEKNIPVLLGLLSVWNVSFLRYPARAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLPFESGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLIPHKTFQGNRPSLSLLLPSLSAYEIGQQLLAIYEHRIAVQGFLWGINSFDQWGVELGKSLASQVRKSLHASRVEGKPVLGFNSSTTSLLTRYLAVEPSTPYNTTTLPKV >ORGLA03G0329700.1 pep chromosome:AGI1.1:3:29142382:29143782:1 gene:ORGLA03G0329700 transcript:ORGLA03G0329700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLRDGSCFDETAMQPAAKRLRARAAATAEARTTTTLPVDLLLEIVARLDAATTVRCAAAGKSIRRAILDPSFRRIRLALRAAANGAGFDPTLLFAVSYKLARLDDPPVLIVEDPQSAAGGAAAPFAISGKFLGHIVEPPPPSSYRPVLPIYKSYDSELKHSETVASRDVLVVLRERPVGVRAFCTVPRQQLCICNSLTGDTTRLPMSDVVDDYPPAFLATGGAGRSYELLVMDKRLQTQTFSSEDGKWGAIRAMEELPHPISSPLYAHRPLVVSRRNAVYWLCPQRLGGFTADLHILAVDVGAGRRRASRIELPLDCLSRMKPFGWQSDGIILAPSPSPDGELSLIVAEVLVISQWTLLPSSSSSSSEGGSPAARWSRQVVISRLAIDRQAGHDMFMGVVCFHGLGLVSGAVLMQVRVLDTVLIALLHLASKECLILRRWDKMSRPSELCLHEIDLASVLQSM >ORGLA03G0329600.1 pep chromosome:AGI1.1:3:29141035:29142051:-1 gene:ORGLA03G0329600 transcript:ORGLA03G0329600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRRRACVCPNEVTTSTGSTGDLHACHETGAAAGDRSSSPSLLDLPLDVLLEIVARCDAATVLSLAATCKALRRIILGDDFRRRLALQAAANGGFDPALLLGVSYRLHEHGGAEDDRRLVQAYGRRARFDESLLGSFTPVASRDGILVLRREHRDASSGGGGAGQLCAIKTRPLELLVCSTLTGNTSSPPTLSFCDDDFTYPPALLTVAGAGAGCSFELLVADSHLTTQTYQSQNGKWGARRAIAMPPDHPRLGAPVDGCSAAVTGRTVHWLCHKSRPNSGEHAFVVLSVDADTARGTVTELPRECIGGKTGAFDVHGLHLAGSAADGRLRLVAAGM >ORGLA03G0329500.1 pep chromosome:AGI1.1:3:29136817:29140146:1 gene:ORGLA03G0329500 transcript:ORGLA03G0329500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVPDLGSDFAQKLLLDLRRRRERLGFDSPAPPQRTSSSNAAVLPRDSHSSSQRPHRSQKPQQAAPRAGRAEATANRSHPQSGNAIAGAGKPRHRATPVVNSHQIVPFQGGGSAKQKPANSSIDVQMALAIALSNRGKLQNIELVSRNGSVFLRDTDHAMPESHLVSPSAHVGKVAIGVKKLNDILMAYSSGGGARDTGRRSSVDIGRELFGGAMDLEESLSMLVMLQEASDYMEGSGNGKVLLLEGKENLKNSARSPSSTRLVEIVDEDSEAEQAKNSKSLSMQIVPHKNSQGFSTPNSSSVLQVSTVTGNNSRSNASKADKDDAKVRMPNVIAKLMGLDNLPSTKVANKGMEKFVKPEALSRKDRRTNLVGGRLPIQIIGSERVSSKGHNMNLLPGEWKIGLKNYEVYKSANLQVSNSSSIPAADKQTRQTMRQMLSKMENAERRGSLGQQLHEEKKLTEETNQQKVANVGCRTDAGKKMDFLKRIRKISGRRQVTEEKHIIQEESTTGKKQTTGMKQLLGTDSEDKSKITRVKFNKENLATTEIKNAARGKNGKTDQRKRQSYNKQTDVHSMPKKSQKYREMKSKEGIQNLEHKKSTKSEATQLKILPYTAEIQQENGKHEEDNDIVKPSDSHGDGGISEQFAGMVEDSRTTGMASPDQFMKQITEVTSIDVHASDRSNVVDQSVTQTNDDTSSNTASETTQTPETFTEGEHHQNLQLVEFKEKPLDDLGDAKETSNPTDLQDQKMHVVSCDSFTENQLLLMRMLLKDQYLLETAKALVRNPVPVHFVNASADRWSEKGSSLFSDIAREVIRKKGKRTEAIVEVSMANTTNMKLQYLDDLIMELDGDIESLNISKKSQHLGEDCTAENLRMILHRDMQNNHPDANSMWDFGWNRISDLPIERNEVVRDLEKNILGGMITDVARELIELSVRHGCCACEA >ORGLA03G0329400.1 pep chromosome:AGI1.1:3:29132660:29135382:1 gene:ORGLA03G0329400 transcript:ORGLA03G0329400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVAFETARKIIMHPLYTPRSSPWLDLKVFYVRVSNCEVDESAPDRLTLNHIPLSPDTVIEVNGQRSSMHTEFISSSLRRDRVDKMTEEATFVSTDSIRMTGSVRFQVFDKNDLLLTGDLELCSANGVVGESKNSSKRWNMKCQPASSCNGFLKGKPSTASESVHPVIEVYLAGTFCGTPIILTKTVQHISRRKSQMKLKLDSIPENEATEQQKEELNEDSLQVSESQNPKSEQDVDVDYNSLYSRQDFIEGEDGELSWFNAGVRVGVGIGLGICVGVGLGVGLLVRTYQSTSRNFRRRLP >ORGLA03G0329300.1 pep chromosome:AGI1.1:3:29126050:29129133:1 gene:ORGLA03G0329300 transcript:ORGLA03G0329300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF59) [Source:Projected from Arabidopsis thaliana (AT3G50845) TAIR;Acc:AT3G50845] MTVGMINANPVVHERPERAAHPHPADAIDALDVFDTVRDIKDPEHPYSLEQLSVLSEESVSVDEKLGRIQYARTPVPFWRRVWSELVINLCDLEMIVCLDPRTTSGFLFLEDIQLPFLGHCSHLTITCRITFTPTVQHCSMATVIGLCLRLKLMQNFPPHFKIDIKVAPGSLANEESVNKQLNDKERVAAALENPNLRQLVDECLCSNDSHSY >ORGLA03G0329200.1 pep chromosome:AGI1.1:3:29122988:29125402:-1 gene:ORGLA03G0329200 transcript:ORGLA03G0329200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT2G22070) TAIR;Acc:AT2G22070] MRDAAALELHAADAAAAARPAMVAASDHYARLLQLCQTAANPGAGRAIHARAVKAGLLASAYLCNNLLSYYGETAGGAGGLRDARSLFDEIPLARRNVFTWNSLLSMFAKSGRLADARGVFAEMPERDAVSWTVMVVGLNRAGRFGEAIKTLLDMTADGFTPTQFTLTNVLSSCAVTQAGAVGRKVHSFVVKLGLGSCVPVANSVLNMYGKCGDAETASTVFERMPVRSVSSWNAMVSLNTHLGRMDLAESLFESMPDRSIVSWNAMIAGYNQNGLDAKALKLFSRMLHESSMAPDEFTITSVLSACANLGNVRIGKQVHAYILRTEMAYNSQVTNALISTYAKSGSVENARRIMDQSMETDLNVISFTALLEGYVKIGDMESAREMFGVMNNRDVVAWTAMIVGYEQNGRNDEAIDLFRSMITCGPEPNSYTLAAVLSVCASLACLDYGKQIHCRAIRSLLEQSSSVSNAIITMYARSGSFPWARRMFDQVCWRKETITWTSMIVALAQHGQGEEAVGLFEEMLRAGVEPDRITYVGVLSACSHAGFVNEGKRYYDQIKNEHQIAPEMSHYACMVDLLARAGLFSEAQEFIRRMPVEPDAIAWGSLLSACRVHKNAELAELAAEKLLSIDPNNSGAYSAIANVYSACGRWSDAARIWKARKEKAVRKETGFSWTHIRSKIHVFGADDVVHPQRDAVYAMAARMWEEIKGAGFVPDLQSVLHDVDDELKEELLSRHSEKLAIAFGLISTPEKTTLRVMKNLRVCNDCHAAIKAISKVTDREIIVRDATRFHHFRDGLCSCKDYW >ORGLA03G0329100.1 pep chromosome:AGI1.1:3:29119297:29122452:1 gene:ORGLA03G0329100 transcript:ORGLA03G0329100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFPTATAAASTTSHLALLLLLSSSSVFFLYKSLRLRRNNPPSPPPGQGPAPTPTLLYASATGTSKALAAGLSRRLAEAVVTAHPADAAAFDPDDLPSLPLLLLVLPTHDGGAPPPAAAFLARWLEESAADFRAGAALLSGLRFAVFGVGSRAYGETFNAAARSFSRWLRALGAAEVVAVGEGDVDGGDLEVVFEEWCGRVVRVVKGEEIGEGHNGESDGFDELEEEESDDDDDEEEVDGGEVDMEDIAGKAPAARRRNGKVEGALSNGGENGVRDMVTPIIRTSLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWKWKMDDPLDIVNAAIDQHTKMVKQMKGVPGVKPERLAEGLSPRHCALSLVGEPIMYPEINVLIDELHRRHISTFLVTNAQFPDKIKTLKPITQLYVSVDAATKESLKAVDRPLFSDFWERFLDSLKSLHDKDQRTVYRLTLVKGWNAEEIDGYAKLLSLGQPDFIEIKGVTYCGSSATSKLTMENVPWHSDVKDFSEALALKSGGVYEVACEHAHSCCVLLAKVDKFKINGKWHTWIDYDRFHELVTSGKPFRSQDYMALTPSWAVYGAEEGGFDPDQSRYKKERRHGAAALKD >ORGLA03G0329000.1 pep chromosome:AGI1.1:3:29114272:29118228:1 gene:ORGLA03G0329000 transcript:ORGLA03G0329000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G19430) TAIR;Acc:AT1G19430] XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAIVWTSLSPTSSSQQISTERSSFAADIVDPPPASHNHTATTTTTAAAGGGHRKPRPTTSRSHKKRHPPAGSHPHRPNATASPDSTPANADHAVQSKPAEPEPEPGTELEEEEVEQDQEEKEAELPMPEESGDGKAPEEESEKAPELELDELSEGDGYGEEAEEGQKKAPKKTKKQPPLFSSSAHYHWKHCGAKSGHHYIPCVDFDGDGSQRHRERSCPRLPATCLVSMPKEYKPPAPWPERKEKVWYGNIGHPRLSSYVKGHGWLNRTGDYLMFPPDEWEFKGGSRHYVEAIDEMAPDIDWGKNIRVVLDIGCKSAGFGVALLEKDVITLSLGLTNDQTDLAQVALERGIPATVGSLGSKRLPFPSGAFDAIHCGDCNIPWHSNGGKLLLEINRILRPGGYFIISSKHGDLESEEGISASMTAICWNVIAYNSDDVSEAGVKIFQRPPSNDEYDLRAKKDPPFCKEDQNKAPAWYTLIRHCLHKAPVGIEERGSEWPEEWPKRIETFPEWLGDLQTRVEADHKHWKAVVEKSYLDGLGIDWSNIRSVLDMRAVFGGFAAALASKKVWVMNVVPVHAPDTLPIIYERGLIGVYHDWCEPFSTYPRSYDLLHADHLFSRLNNRCKQPVSIVVEMDRILRPGGWAIIREKLEILDPLEKILKSLHWEIVMAFRKDKAGIMSVKKTTWRP >ORGLA03G0328900.1 pep chromosome:AGI1.1:3:29110014:29112286:-1 gene:ORGLA03G0328900 transcript:ORGLA03G0328900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G42760) TAIR;Acc:AT5G42760] EEAGAREVHGVMIAVRTLWFDARVEAAVASLGGAAQVVLLGAGMDARAYRLSCLKECTVFELDFPELLEMKTDLLHEAMSSANNQKLTMMAKSLTRVPADIRDGDWITKLQSYGYVPERNTIWVLEGILYYLHHVHAMQVLETIVACRTSVHTVLLADFMNKNAVSLSRAMYHFYHDSPDLLLPSIGFSQVTLSQIGDPQAHFGLLSHPQNLFDKLRRLPRSVETNPEDGTPCCRLYLVEASAFPDDQITKQGI >ORGLA03G0328800.1 pep chromosome:AGI1.1:3:29100811:29102533:1 gene:ORGLA03G0328800 transcript:ORGLA03G0328800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: biological_process unknown; LOCATED IN: thylakoid, chloroplast thylakoid membrane, chloroplast; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Twin-arginine translocation pathway, sign /.../uence (InterPro:IPR006311); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G42765) TAIR;Acc:AT5G42765] MSGPMRAIHSLAAAPATETATRPLRRRTSAARASRESSRQPQALPDLPSRRAALLALVLAGSTPRPPAAAAAFSLGIPGPKELLREQKKKSARFLLAPIAASREILLKAQSLLASANVGADDVEEVGRMLAAAGRDCVPRQRNSLVSLQSRSGVEVCTFSLILKNAASLLTDKDPLKVEADARLAELIQSFSDLGTVVDNSNIELTSDREKMKDGLLSTVSAIDKFEQSVKDCLGI >ORGLA03G0328700.1 pep chromosome:AGI1.1:3:29090897:29097412:-1 gene:ORGLA03G0328700 transcript:ORGLA03G0328700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFARRPLATAASHLAPFSPPLLLFFASASSSCSSAASPSSASGPRGCSAVRMETGAVEPASTGAIWSTPSVEPRTISVGKEIFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYHGTIEKLVKDLGYPEELLTWQFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFREMSKEEKVSAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDNNPGKVPSGTDYPLMYRDVRSAVDLCHRDGTLKRMVAKDPSRYINEDLAIVPMLEMIRKSGRSTFLVTNSLWDYTDVVMNYLCRPYTSDVSSSHNHKWLGYFDVVITGSAKPGFFHDGNRAGLFEVEPDSGKLLNADLHIGSPRSGQQPSRPIHKIYQGGNVGHLHRLLSVASSSQILYVGDHIYGDILRSKKVLGWRTMLVIPELEQEVKLLSESKSTRKELRHLRMERDSIEDKIHRLEWSLKFENLTEDEKEKLFSEHDILLQKKEHVRRLHQEAQRQHHHKFHKVWGQLMKTGYQNSRFAHQVERFACLYSSQVTNFALYSPNKYYRPSEDYMPHEFDVLGL >ORGLA03G0328600.1 pep chromosome:AGI1.1:3:29086427:29089224:-1 gene:ORGLA03G0328600 transcript:ORGLA03G0328600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLARQAAQALRARQMAQLGPSATAMQGHIRTYMGAGKPTRFKEDEEKEKLAKEIAKDWNAVFERSINTLFLTEMVRGLMLTLKYFFEKNVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAANLESESLYR >ORGLA03G0328500.1 pep chromosome:AGI1.1:3:29084320:29085183:-1 gene:ORGLA03G0328500 transcript:ORGLA03G0328500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQLTLLFFLLSPRLPSSSISPLLSPGDGEAAARGGRLPAGEEGAAQPGAACGGGLARRRGTGNEGEEGEGSSMGGGGFGEGLAAAGNSVVAGMEVRSARGGTGRSARRQQHRPGAVARXCAARKWRRGEESGRRRAEEGGKGSFTGRRWIGKAGAAVWRRGGWRRWGWRGWPAKVGMERMDRRGGEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA03G0328400.1 pep chromosome:AGI1.1:3:29080553:29083368:-1 gene:ORGLA03G0328400 transcript:ORGLA03G0328400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PFY8] MQPDSASHRIARVAAHLSPSPRPQMEEGVRPAPCRAKGGAPGFKVAVLGAAGGIGQPLSLLMKLNPLVSVLHLYDVVNTPGVTADVSHMDTTAVVRGFLGPNQLEAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRSLCEGVAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVARANTFVAEVLGIDPKDVNVPVVGGHAGVTILPLLSQVHPPCSFTPDEISYLTKRIQNGGTEVVEAKAGAGSATLSMAFAAAKFGDACLRAMRGDAGVVECSYVASAVTELPFFATKVRLGRAGAEEVLPLGPLNDFERAGLEMAKKELMESIQKGIDFMNK >ORGLA03G0328300.1 pep chromosome:AGI1.1:3:29073066:29076387:1 gene:ORGLA03G0328300 transcript:ORGLA03G0328300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRLLLLLVGVAAAAADADADADALLAAKAAMSDPTGALASWGGNGTRTNTTAAAAAHCAWAGVTCSSRGAVVGLDVSGLNLSGALPAELTGLRGLMRLSVGANAFSGPIPASLGRLQFLTYLNLSNNAFNGSFPAALARLRGLRVLDLYNNNLTSPLPMEVVQMPLLRHLHLGGNFFSGEIPPEYGRWGRMQYLAVSGNELSGKIPPELGNLTSLRELYIGYYNSYSGGLPPELGNLTELVRLDAANCGLSGEIPPELGKLQNLDTLFLQVNSLAGGIPSELGYLKSLSSLDLSNNVLTGEIPASFSELKNLTLLNLFRNKLRGDIPDFVGDLPSLEVLQLWENNFTGGVPRRLGRNGRLQLLDLSSNRLTGTLPPELCAGGKMHTLIALGNFLFGAIPDSLGECKSLSRVRLGENYLNGSIPKGLFELPKLTQVELQDNLLTGNFPAVSGVVAPNLGEISLSNNQLTGALPASIGNFSGVQKLLLDRNSFSGVVPPEIGRLQKLSKADLSSNALEGGVPPEIGKCRLLTYLDLSRNNISGKIPPAISGMRILNYLNLSQNHLDGEIPPSIATMQSLTAVDFSYNNLSGLVPGTGQFSYFNATSFVGNPGLCGPYLGPCRPGVAGTDHGGHGHGGLSNGVKLLIVLGLLACSIAFAVGAILKARSLKKASEARVWKLTAFQRLDFTCDDVLDCLKEENIIGKGGAGIVYKGAMPNGDHVAVKRLPAMGRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSDFEAHVADFGLAKFLQDTGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRMMTDSNKEQVMKVLDPRLSTVPLHEVMHVFYVALLCIEEQSVQRPTMREVVQILSELPKLAPRQGEVLSHAVDGFASNPPAPVPSGSAEALTGDAKDQQQQQTNSESTTPPDLISI >ORGLA03G0328200.1 pep chromosome:AGI1.1:3:29058613:29064835:1 gene:ORGLA03G0328200 transcript:ORGLA03G0328200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49650) TAIR;Acc:AT3G49650] MPSIRAPASKQTATLQVAVKCRPLTDSEQRRSRHIIQVIDDKNVVVLDPDLSKDYLELIQNRTKERRYSFDHVYAPGCSNADVYKNISSTIAGVVQGLNATVFAYGSTGSGKTYTMVGTHSDPGLMVLSFRTIFDLVKKDDSKDTFEVSCSYLEVYNEVIYDLLEKSSGHLELREDPVHGIMVAGLRSIKVHSADKILELLNIGNSRRKTESTEANSTSSRSHAVLEITVKRKQKGQYGSQVLRGKLALVDLAGSERASETNNFGQKLRDGANINRSLLALANCINALGKQNKKGLAYVPYRNSKLTRILKDGLSGNSRTVMVATISPADDQYHHTTNTLKYADRAKEIKTHVHKNIGHLDTHVEDYKRMIDNLQVEVSQLKKELAEKEHQLSVKPTEKAADNELSWLNILSQETGENVQERINLQKALFELEETNKRNRMELQHLDDAIARQQVKDKDSAVLQALTSRRQVILDNIRDNDEAGAGYRKDIELNESRKRQLQDMIEEATSNNGNRTYLHILSQYRLLGMTNAELQIEMAMRDQVIYNQRESLRSLWNIIYGTGLNQKQISKLAAKQGLTIEGCPLPVSSPDVTTPPSFSPHGRLSPFMSFPSPQSQPYSPSACFVQHGFSTMSYLRNQHETPTVCRQEHLSSYYMMSECSPFDGDGKQKTNGRSMPYFSTPGKPKEMYNFSPGTESERTPCSKEYPTSYSRNGDSRVQIKVNSLPLYTKTMIGNL >ORGLA03G0328100.1 pep chromosome:AGI1.1:3:29043753:29047795:-1 gene:ORGLA03G0328100 transcript:ORGLA03G0328100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRCLVAVAVLLLVASHAARGEERRRLLLARQDVLALHGLRGSIGVRAEYWPVKRYPCSWTGVVCRAGRVAELRLTGLRRTRAGARSQGFTVDPLRELTALEVFNASGFPLPGRIPAWFGTGLPASLEVLDLRSTSVNGTLPADLGMSGNLTTLDLAGNSISGSIPATLFLIKGLKFLDLSDNNLTGELPNVSISAGDGTGVFNASGNSLYGAIGDVLGPLRKRFRQVDLSRNYFTEVIGTGFGNSSDGAVDINMNCLSGSSQRSQGDCEAFYKRIGVSLPEPPNALPSPSKKGVKWKYVLAGVLAAAAFLVVLFLVALVFCLMKSGGRRPRGRGLEQNEEGIRSGRRSSSVNPVMLSSMAASPAANGAVKGLPTIVDEFTYEQLHNVTGGFGDDNLVKHGHSGAIYLGELESGFNVVVKKVDLKSSNKNLGELGFLAKNSHGRIVPVLGHLATDEEELLVYKYMAKGDLTSALHRKSVDAEEGLRSLDWITRLKIAIGVAEALCFLHDECSPPLVHRDIQASSVLLDDKFEVCLGSLSDVCTQQSEGSRSFFSRMLRSSKSLDKNASGPPASCSYDVYCFGKVLLELITGNFGVSGSNDTGSEEWLARTLDFIDAHDKEGLSNIVDPSLVVDEDHLEEVWAVSIVAKTCLNPKPSRRPLARYILKALENPLRVVREELQSNSSLTSTSSRSSWHFAFHGDSRRSSELRPTLGQSARKQSVKSQGSDEDEDEEEDSFSFKRASREILPDPVELEKDAVV >ORGLA03G0328000.1 pep chromosome:AGI1.1:3:29041427:29042893:-1 gene:ORGLA03G0328000 transcript:ORGLA03G0328000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKYR >ORGLA03G0327900.1 pep chromosome:AGI1.1:3:29034871:29040109:-1 gene:ORGLA03G0327900 transcript:ORGLA03G0327900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVRPASAEKPTRQWAAWTRQEEQSFFNALRLEGKNFGKITLRVQSKNKDQVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTVAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKTRKKCPRGDECLSSSSPILNRTAGNESSSVKLLSVDVSNGSKVASSKEAVFKRGAEPNSNNKSGSTKWDLSATITVKQKRRAGGGIASTAYRKWERDAMAGVSLVADAAEELERNTVNVDARTLSPSSNNVCTVDDPGTNRMNEADQQAPAKLKLQLFPINEATRKALEKDEHNPHLELTVSTRKKISSVLEHLNRKWGSSNIASGELLLFPYCAHREDLATYQRWTTRDTVVVADVFLSVNSPPVFRLRYGWLSLAELEGGSGISSTHFKNCMMPEDIHAKSPSDACVQKDGTFLNSCAPEEHLCNSKDRPALFLAMTFSTGKSAKGQEQHSDFPASWFSRQKQEQDSTNQVLEANLGMDCAIISEGEWADTLTDISVGYLLTEASIGTNTDCPGTAIAKNTLFLENPCSYDSFDAAVALHASRHKTVEQPALASHSTIWGAEETCDEFSFHLAASRKQESSNTSASSSPGSDSGVLPSTSQGFQGFLQDLAGAKVADNPCMDDAKDMEALCAHSPPRSKSDSGLKDQSLADLYWADSLGPLDLEIPSVRYQAEDLLLGDSQNSWNRMMVNSLDAFRNLSFFMADKNDSIPSIM >ORGLA03G0327800.1 pep chromosome:AGI1.1:3:29027838:29029172:-1 gene:ORGLA03G0327800 transcript:ORGLA03G0327800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALRMPVAPPAAGAGAGVHPSTAPCYCKIRLGRMPWQVAAAPLVVADGGEQAPSGALAAAFHLSKADLEWFARKPSLLFSSSSSSRGPATLKVAVYAGRKGTTCGVSSGRLIGKATIPVDLKGAEAKAAVVHSGWICVGKKSGGKGGSAAAELSLTVRAEPDPRFVFEFDGEPECSPQVLQVRGSMKQPMFTCKFGCRSNSDLRRSVVQTERDAAAAAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSRSNPGAWLILRPAGDGSWEPWGRLECWRERGGAGASDSLGYRFDLLLPGVDHAVPLAESSIAASKGGKFAIDLTSMQPQSRGGTPGCSPRGSGDFSQWPLASYSYRGFVMSSSVQGEGRCSKPTVEVGVPHVGCAEDAAAFVALAAAVDLSMDACRLFSHKLRKELSHLRSDVLR >ORGLA03G0327700.1 pep chromosome:AGI1.1:3:29019003:29019722:-1 gene:ORGLA03G0327700 transcript:ORGLA03G0327700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQPSSRCHRSKKLLRPKHILQVVLLVAVSVWLVYQLTRNRRRAVAVEGGGAAMDGEVTRRRLGRKGFIVFAGDASDGDGVRRSTGGRSNVATEAEMERGVTSDQVGDGDRGGEGDVETGEEEEEEDDGDGYIADDGLPGDEDDDGGDLRHLQADEMDVISFGPQTNSSDSIAAGPLVNGVADDMNRTAVINTSVNDSGVSLNPPVTGSLRYNHRKATGNIEAPGGLEPTITNDMEED >ORGLA03G0327600.1 pep chromosome:AGI1.1:3:29017031:29018217:1 gene:ORGLA03G0327600 transcript:ORGLA03G0327600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase-related [Source:Projected from Arabidopsis thaliana (AT4G37830) TAIR;Acc:AT4G37830] MASAAARSGLRSLAARAKATAAPAARRRMSSSAHDDAHETAKWEKITYAGIVTCTLLAAYNLSKGHPHFDEPPAYPYLHIRNKEFPWGSYTKLKVACNRCHEHPSELGHDKPEIHTGTIYW >ORGLA03G0327500.1 pep chromosome:AGI1.1:3:29012532:29014568:-1 gene:ORGLA03G0327500 transcript:ORGLA03G0327500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVATLLLLLLLDLAVANGAVDEFAYNGFAGAGDGELVLDGAASVTPDGLLRLTGGSGEKGHAFYARPLGFRNGSGGGGGVRSFTSTFVFGIMSSFTDLAGHGIAFAVSSTRDFSGAAAAEYLGLFNRATNGDPASGSVLAVELDTMYTPEFRDIDDNHVGVDFRRQRRAIYVELVEDWEVEFGPHRFAYKDLHKATKGFHDDMVLGVGGFGKVYKGVMSGSGIDVATKKICHDSKQGMREFIAEIVSLGRLRHHNIVQLLGYCRRKGELLLAYDYMINGSLDKYLYGEARLYDHGAEPSTTTIVGTMGYLDPELTRTSQATTSSDVFAFGAFVLEVVCGRRPVQPRAAAGGERLVLVDWVLRSWRSGEIAGAVDARLGGGFAAGEAEAMLKLALLCTHRLPAARPGMRRVVQWLDGGGGDVLDQLSPGHMDVAAPAFLCHDDDDDDDFVAMSFPSASTATSPTTRFTG >ORGLA03G0327400.1 pep chromosome:AGI1.1:3:29007769:29009802:1 gene:ORGLA03G0327400 transcript:ORGLA03G0327400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKGVGSLLPGLAVVLVGFVVGGAGSDDRFVYAGFTGAPLALDGTAAITASGLLELTNGTAQLKAHAVHPAALRFHGGGGGAGAVRSFSTSFVFGIIPPYSDLSGHGIVFFVGKNNFTAALPSQYLGLLNSTNNGNTTNHIFGVELDTIVSSEFQDPNDNHVGIDINSLKSVAVNTAGYYDDKTGAFHDLSLISGKAMQVWVDYDGATTQISVFMAPLKMSKPTRPLVSAVYNLSQVLVDPVYVGFSSATGTVRSRHYVLGWSFAMDGPAPAIDIAMLPKLPFYGTKARSKVLDIVLPIATAVFVLGVVVVVVLLVRRRLKYAELREDWEVEFGPHRFTYKDLFRATEGFKAKMLLGIGGFGRVYKGVLPKSNMEVAVKKVSHESRQGIKEFIAEVVSIGRLRHRNLVQLLGYCRRKGELILVYDYMPNGSLDKYLYDDKNKPTLDWTQRFRIIKGVASGLLYIHEDWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTYVVGTMGYLAPELARSGKASPLTDVFAFGAFLLEVTCGRRPVEQAMQDNQLMLVDWVLEHWQKGSLTKVIDARLHGNYNIDEAILVLKLGLLCSHPLPGARPSMRQVVQYLEGDMPFPELTPTHLSFSMLALMQNEGFDSFVMSTSLPSETMMTIGTMSGLSGGR >ORGLA03G0327300.1 pep chromosome:AGI1.1:3:28986079:28990893:1 gene:ORGLA03G0327300 transcript:ORGLA03G0327300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFASLAGGGSSSTTARLPELIPPENPDRISPPPLLYQLLAGSASSARHGHGHHHGGGGGAAAAAVQGLQVSPAGAEAAMKAEIMSHPQYSALFAAYLGCKKVGAPPDVLTKLTAVPAAQQQLDAADGHPRRRHEPRRDDDVPDHQLDQFMHADEVQGGAGAADPGSRGVLQHGGDADSNCEGTGSSEEEQDTSCPEAEEIDPSDKQLKHQLLMKYGGSLGDLRQAFSKRTKKGKLPKEARLKLLHWWELHYDKWPYPSVRTHIYASHLINSTTFFCTKLDLMKMRELLGCTCVYEQEMEKMTLAQTTGLDQKQISNWFINQRKRHWKPTPVAGMTFPTVEAAGGGFRHSGHDGGLAAAAAALPLYMGSWPFVVDGMYRLGS >ORGLA03G0327200.1 pep chromosome:AGI1.1:3:28973729:28974235:-1 gene:ORGLA03G0327200 transcript:ORGLA03G0327200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVPLLGDAFLSAPRQLFVGSHCVGAWGARGHGYRTCDFPFYPISPSTLDLIAGRRPTAVGRNPRRLRERERGDGDGDDGSTAATTAPPSPPLEAPVLATEDHEDDVVVVVAAVATSSALASSARRREREEKAAAAVAAGEGDEGDGAGTRGREREGKDGDGAERRE >ORGLA03G0327100.1 pep chromosome:AGI1.1:3:28964302:28969520:1 gene:ORGLA03G0327100 transcript:ORGLA03G0327100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFASFAGGGSSSTTARLPELISPENPDHISPPPLLYQLLAGPESSARQHGRDGHHHGGGSGAAAAAAVQGQVSPAGAEAAVKAEIMSHPQYSALLAAYLGCKKVGAPPDVLTKLTAVPAAQQLDEADGHPRRRHEPQRDDDPDQLDQFMDAYCSMLTRYREELERPIQEAAEFFSRVETQLDSLAESNCEGTGSSEEEQDQDTSCPEEIDPSDKQLKHQLLRKYGGSLGDLRQVFSKRTKKGKLPKEARQKLLHWWELHYKWPYPSEMEKMTLAQTTGLDQKQINNWFINQRKRHWKPTPVAGTAFPTMEAAGGGFRHSGHGGGLAAAAALPLYMGRPFVVDGMYRLGS >ORGLA03G0327000.1 pep chromosome:AGI1.1:3:28951467:28952728:-1 gene:ORGLA03G0327000 transcript:ORGLA03G0327000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKSYIEQNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDRIILSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLFGKQSRKDQRQQQHLARQAAAAASDLQIKQEASRGANEADGLAAGANYTWHHHHAMAVPVHPMSAPMVVEGGRVGDDVDESIRKLLFKLGGNPFAASPAPPCIPPPPMYEEAPSFVPPLAHGVPLNEGGMQCSSVLPALELDESFHFNHVKLDGLECLFGMGDHQNMRWNEVSPLVCPNNAVASSSQGMQQYCLVEEPADLGMQ >ORGLA03G0326900.1 pep chromosome:AGI1.1:3:28945417:28945866:1 gene:ORGLA03G0326900 transcript:ORGLA03G0326900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGSARLGEESYIEFRERDAIEVETVPVRCHGEIIGPMKCAIERLPFGFC >ORGLA03G0326800.1 pep chromosome:AGI1.1:3:28941086:28943413:-1 gene:ORGLA03G0326800 transcript:ORGLA03G0326800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIPSSKRDMARGAEDDGEEEEEEEEYDRVFYEDIEAPKFVDLTAPDAARPSDDPSWFCLRIGCDQNHEHVDPEALHRSFFMRVMAARSPNVRLQKAIRRRNQSSMLKCPHSAPPKPPRSRIARLSTATEAAAKPRLKTHRICTLRASPTRTKAAKVEASGARKKALTTPRSKPVRPMQDPFLSVKHQKQEPVAAAARKGTVAKALFMATPKKDASKTPAKAQAAPPLSEVCSKMRKLNLACREVPSRYLCQSSNPKSSKKCDQTAVKSVKAAQNSRPDERKKKKILGCSLQHASSEAGKENRNGRENTAADENACPEAASSSEEPKEVMQESRIEVETSQADNCDDDKENLSYVDQPTEQMVIISHSDGENLQQLENNENVPRKVDKMQSKLNAEQGGKLKKTTNPKPFRLRTDERGVLKEANPERHQTLTENNSTAAVQQIGRCRDGKGRDKPTCGEKQKKQIRNVATGQVDEAKRVLNSIRCNKVKPAMTNGKTVGKSQGASRVASSTRSTKITSGSMAPSKVGKEKSTSVKLSRLQAAAA >ORGLA03G0326700.1 pep chromosome:AGI1.1:3:28929366:28932555:-1 gene:ORGLA03G0326700 transcript:ORGLA03G0326700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPAAAAAAATTTGARRWWSRWRTPTGPSPRSPRRMTTTTRSSCAPPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRSRFYAFIRAFVVLSVLLLIVELGAYINGWDDLAASALALPVIGVESLYASWLRFRATYVAPFIQFLTDACVVLFLIQSADRLIQCLGCFYIHLKRIKPNPKSPALPDAEDPDAAYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNFLVQVLDDSDDPTTQTLIREEVLKWQQNGARIVYRHRVLRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPNPDFLKRTVPHFKDNDELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALDDSGGWMERTTVEDMDIAVRAHLRGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSLLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLAPKELKHQKTESAPNLDAIAKEQSAPRKDVKKKHNRIYKKELALSLLLLTAAARSLLSKQGIHFYFLLFQGISFLLVGLDLIGEQIE >ORGLA03G0326600.1 pep chromosome:AGI1.1:3:28919462:28922862:1 gene:ORGLA03G0326600 transcript:ORGLA03G0326600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNSSSSSGSMAATAGGVGGWLGFSLSPHMATHCAGGVDDVGHHHHHHVHQHQQQHGGGLFYNPAAVASSFYYGGGHDAVVTSAAGGGSYYGAGFSSMPLKSDGSLCIMEALRGGDQEQQGVVVSASPKLEDFLGAGPAMALSLDNSAFYYGGHGHHQGHAQDGGAVGGDPHHGGGGFLQCAVIPGAGAGHDAAYAHAALVHDQSAAAMAAGWAAMHGGGYDIANAAADDVCAAGPIIPTGGHLHPLTLSMSSAGSQSSCVTVQAAAAGEPYMAMDAVSKKRGGADRAGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMSRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKILESSTLLPGELARRKGKVGDGGGAAAVADAAAALVQAGNVAEWKMATAAALPAAARTEQQQQHGHGGHQHHDLLPSDAFSVLQDIVSTVDAAGAPPRAPHMSMAATSLGNSREQSPDRGVGVGGGGGGVLATLFAKPAAASKLYSPVPLNTWASPSPAVSSVPARAGVSIAHLPMFTAWTDA >ORGLA03G0326500.1 pep chromosome:AGI1.1:3:28895345:28897543:-1 gene:ORGLA03G0326500 transcript:ORGLA03G0326500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIEFRQRVQARGDSRYGRRVGREGASGRGMHLRDDTVGREEPHRPGMSAGQGRCRRTKTTSGGSRAFPTVDGGCAVEPLRLGAWKGAIFSIPAGDALTRAACRDVCQNMHTPVHDESMKPMEIASETAACNAHRRRFYVCIVCPGLASCGEFERADEEPGSNLPIGKDKSIV >ORGLA03G0326400.1 pep chromosome:AGI1.1:3:28883970:28892805:1 gene:ORGLA03G0326400 transcript:ORGLA03G0326400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEERKLFVAGLPQQTREGDLRGHFARYGEVVHTRVVLDMASGNSRGFGFVEFADEAATLRALADDEMPNHVFRGRKVMVMEDLLIIHVMLMEDQLDISMILWVLTIMLKIIAKLLLSTSIPQIPQRNDLLRQRRSWMGRR >ORGLA03G0326300.1 pep chromosome:AGI1.1:3:28881087:28881584:1 gene:ORGLA03G0326300 transcript:ORGLA03G0326300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVQEALDFDLDAMTSGFGFTPWAADTCPTLEQLMASSASPSPSSSLDDNAAAAEEENGEVEEEEERRRQRRKVSNRLSARRSRARKQQRLEELRGESARLRAENRELXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA03G0326200.1 pep chromosome:AGI1.1:3:28874111:28875214:1 gene:ORGLA03G0326200 transcript:ORGLA03G0326200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAASADAAALVASDLSDFVRSGSDELPRSVTQLAKLAASPEVSATVAAISEAITAGILRGVGSDSGPGSGGGVALSDRLVDRLFSESGERLAAAIAGSFARHLVAAIYSAPSTPGETSSPMKWVNLIATGKGQKAISNWVEVFVSTAVGVFVDKTIHINTYDQLFQGLTNASHDAKVKELLVSVCNGAVETMVKTTHHVMSNANYKSVGSGSNGAGEGWVETVSSTLAVPSNRKFMLDVTGRVTFETVRSFLEFALWKMHAGAKKGGNTVMDSGLRAMQYMTDKSMVIATICITLCLHVLNGTRLLVTA >ORGLA03G0326100.1 pep chromosome:AGI1.1:3:28867498:28868612:1 gene:ORGLA03G0326100 transcript:ORGLA03G0326100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVLPSSTMMASSTLWLRPRGEGGGGTRPSCRRCSPPPSPSTCGDGGGGGGGGGRADPTPDAGGSAVVPPPFPCGGSGEGTYVGGRAGCASAADALPSWRRRTGRLRRTAVRLPPSPRCLSVLSSAASQAEDQGLVPKTEGEQKHAGIYYQTGLPFVCLAVKCSGQIC >ORGLA03G0326000.1 pep chromosome:AGI1.1:3:28865462:28865929:1 gene:ORGLA03G0326000 transcript:ORGLA03G0326000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSRLSWLWRAPARALGRARDMYVRGMTGCARCVPADAAFGYPVFVPSSAAASMRSNSFGSDSRFGGGADDDLRELIRAASQRRAAEQEREARAVARSQSMASGISMARIDEDAPCEEFGGAGVMHFPRSQSCVGGVGGRIAHCHRKVAALA >ORGLA03G0325900.1 pep chromosome:AGI1.1:3:28858719:28861115:-1 gene:ORGLA03G0325900 transcript:ORGLA03G0325900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT1G49380) TAIR;Acc:AT1G49380] MPSPTCYLLLNPAASRSHHRPRLPLPAAAPPRRRVHVSCDARRTGGGGGGGGVKREAIPAGTGKAKKQVVFFDAAPPVSQRGGGGGGEGEGEGEGKVARRKKENAALGLVRRLTKRTLSLLSNLPLAISEMFAIAALMALGTVIDQGEAPSYYFEKFPEDNPVFGFITWRWILTPGFDHMFSSPVFLGLLALLAASLMACTYTTQIPIVKVARRWSFMHSAGSIRKQEFAESLPRASIQDLGVILMGYGYEVFTKGPSLYAFKGLAGRFAPIGVHIAMIFIMAGATLSATGSFKGSVDVPQGLNFVIGDVMKPKGVLSFAPDVFNTEVHVNRFYMEYYDSGEVSQFYSDLSLFDLDGKEVMRKTIKVNDPLRYGGVTIYQTDWGFSALQVKKNGEGPFNLAMAPLKLNGDKKLFGTLLPLENSGSSNVKGISMLARDLQSIVLYDQEGKFVGVRRPSSKLPIEIDGNEIVIEDAIGSTGLDLKTDPGIPIVYAGFGALMLTTCISYLSHSQIWALQDGSTVVIGGKTNRAKLEFSEEMNRLLDKVPELISVNEKKIDSKQSAT >ORGLA03G0325800.1 pep chromosome:AGI1.1:3:28856609:28857169:-1 gene:ORGLA03G0325800 transcript:ORGLA03G0325800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASVPKPAKRLSRKRSFRLGLPLLCGQSDVASPRGGGGGGAAARSSSSGRRQGELHRIFQHFDRDNDGKISGAELSAFFASMGDEMPAPSPGGGGGVAAGYMLDFAGFVALMERGGSQEEDLRSAFEVFNAVESAGRITARGLQRVLAQLGDERSVADCEAMIRAYDVDGDGGLDFHEFQRMMS >ORGLA03G0325700.1 pep chromosome:AGI1.1:3:28852977:28855331:1 gene:ORGLA03G0325700 transcript:ORGLA03G0325700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFKVGSLDARATKIRNVPIAVTPEGFWCCPSQAVLQKTMKNQNQQAKPKGGASPLASKASSVQRAPTISSERRAHSTPTRSRINSDEQKCAPAENSTPNPLKVANDRPQKQHKISVGFGQLEMSDLKVVLYGKDGVAVKMSVHRNILAENSTWFADKLSRQSPMSSMEVPDCEDVEIYVETVGLMYCNDAKQRLIKQSVPRVLRILKVAELLGFQACVLSCLEYLEAVPWVGEEEENVVSSVQHLQSGNYGVSPILKRVCSDLTSPPNDTFVHIIELVLKSGEDRGRREMKSLVLKLLKENSSCTSTSVDIYAETLYSSCQNCLESLLTLFRQATADDFAEQSLDLKEPVFRQIALEADNLLWLTEILADRNAAGEFAVMWSNQGELAELHSKLPTKSRHLVSCVTARLFVAIGKGEMLPSKDTRKLLLDVWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWKRTFVRPYVEQGNQSQPGRS >ORGLA03G0325600.1 pep chromosome:AGI1.1:3:28847454:28847738:1 gene:ORGLA03G0325600 transcript:ORGLA03G0325600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSPATSPSRLSHIALLQTPSMEEDEEEDGELLVVDMEMTGEDELLSLNGGGGGHEGQFYLITRWRSENDFENAQKGQNGKVAMSTRFILAF >ORGLA03G0325500.1 pep chromosome:AGI1.1:3:28846836:28847420:1 gene:ORGLA03G0325500 transcript:ORGLA03G0325500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVWQRRSEGRYTTSTPPTTTPCPPTKKLTMVTAMTTAGMPNPHPHQHANVDGRDARRGGGEVVEVEDSAAAASSRSGGWRLPLPAAARWHHRGGGEVAEVASWRRRLALQLASSCCCLSTVSLSASFAGEAHQQLLLPLHRIPLRLRHWRGHGAAPSLPSPARPLGRFEILAAIVDRGEATSEMSSLTAAR >ORGLA03G0325400.1 pep chromosome:AGI1.1:3:28843231:28844762:-1 gene:ORGLA03G0325400 transcript:ORGLA03G0325400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein S9 [Source:Projected from Arabidopsis thaliana (AT1G74970) TAIR;Acc:AT1G74970] MALSLTTAFSHLSLPSTSKSHPLPLLHLRFPSSSSSRRAARLALAASAAEAAEPVEVEEAPAEDGADEVVAVEDELSGPALRKYVKQRLPGGFAAQRITATGRRKTAIARVVLQEGTGRVFINFRDAKEYLQGNPMWMEYCKVPLVTLGFENSYDVFVKVHGGGLSGQAQAICLGVARALVKISTANKVTLRGEGLLTRDTRIVERKKAGLKKARKRPQFSKR >ORGLA03G0325300.1 pep chromosome:AGI1.1:3:28838116:28841987:-1 gene:ORGLA03G0325300 transcript:ORGLA03G0325300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSSSLIHGISISVSDDEEASGRXXXXXXXXXXXXXXXXXXXXXXRRAARLCAPLLLATLAVSLLLYESYRLSPSSPTPPPAANLTRVDHTGAARAADGARKPCLKILGPEKLQNLELPEVPEQNLSVQKVVYKSSLLHLGDDDSSRTEISRFNSFTGYQTLNEREESFKMKELTTLHCGFYNENGGFKVSDVDKDYMRSCSVVVATCAFGGGDDLHQPIGMTEVSIKKVCYVAFWDEVTRAAQEEEGNKIGENLMIGLWRIILVRDLPFSDQRLNGKIPKLISHRLFPMARYSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEGKAIVKKHKATPEEVKIQLDQYRQDGIPDEKRFNGKKALAEASVIVRDHAPLTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRMPGVHLFPVCARKDLVNSFGHRRKAKPLARERR >ORGLA03G0325200.1 pep chromosome:AGI1.1:3:28824054:28827628:-1 gene:ORGLA03G0325200 transcript:ORGLA03G0325200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAMEKKGHRTFAKAIKSFGSSERHKRSKSDLEDMCAKDALYASDKTCVQPKPDAVKVKVKSDINAEVQPGRGAQSFLRKEILQLEKHLKDQQVMRGALEKALGPNATAAPVNVSNENPMPKDAKELIREIATLELEVKNMEQYLLTLYRKAFEQQVPTFSPPDHRGAPKPPVPAMAAVSSQPVQLQKSPSVKASRKNNRADAMLRSSYPPPSRRTLNDPVMTDCSTSGCSSRLGESDILRCQSALSYRGICSSRISPSEDSLARALRSCHSQPFSFLEEGESTAAGVISLAEYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHGSSSSPTSSFSSTSAISPQYLGDIWSPNYKRESTLDSRLINPFHVEGLKEFSGPYNTMVEVPLICRDSRRLKEVEDLLQTYKLILYRLETVDLRRMTNEEKIAFWVNIHNALLMHAYLKYGVPQNNLKKTSLLVKAACKIAGRSINVAVIQSMVLGCNTHCPGQWLRTLLHPRIKSKVGKVGHVWQAFAVAQSEPLLRFALCSGSHSDPAVRVYTPKRLFHQLEAAKEEFIRATVGIWKEQKILLPKLVEAYAKDVKLSSQGLVDMVQRYLPESLRMAMQKCQQSRSSKIIEWVPHNLNFRYLLSRDLAFPHLN >ORGLA03G0325100.1 pep chromosome:AGI1.1:3:28822356:28823048:1 gene:ORGLA03G0325100 transcript:ORGLA03G0325100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEEAGEGWFLCDADGVGFEGRVVAVPGDEELRPGQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASAALVKAAAAAAASSPAGGRRRRGAVAPLVFPLPEEDDTAVAAAAPKPAVARKRRVASRGVRASKFSPDLTAIPESE >ORGLA03G0325000.1 pep chromosome:AGI1.1:3:28813669:28814550:1 gene:ORGLA03G0325000 transcript:ORGLA03G0325000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1PFV4] MENRERAGAGAVGSAGSLGLRVGQAVFSSASLLFMSVGVEFFSYTTFCFLVTVMGLVIPWSCTLAMIDVYSILVGCPLRVPGVMVIVVIGDWVLAILSLAAASSSAAVIDLLLQFHGSHCSPRFCGRYQLSAMMAFLSWFLTAASSLFNLWFIASR >ORGLA03G0324900.1 pep chromosome:AGI1.1:3:28809117:28811259:1 gene:ORGLA03G0324900 transcript:ORGLA03G0324900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFMSYLAMKTDAAGGEAAQAALIDADLQELGVAARKLANHALVLGGGLGFGTTFLKWLAFFAAVYLLILDRTNWKTNMLTALLVPYIFFTLPGGLFSLLRGEIGKWIAIIAVILRLFFPRHFPDWLELPGAVILLIAVAPNLFASTFRGDLVGIFICLIIGCYLLQEHIRASGGFRNAFRKGNGVSNSIGILLLFIYPVWALVLNFL >ORGLA03G0324800.1 pep chromosome:AGI1.1:3:28806568:28807458:-1 gene:ORGLA03G0324800 transcript:ORGLA03G0324800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISPMLAAPPPPAAAASPRCRGFLPAAAVTGSRRHRGGCRLLRRSAPPRVGAVTSTVRKRREEGRLDRDELRRLCQEPNPEAAVNLLDEMLVRGGAGALADLAPEEQAAVIQACGEARSLASLRRAHRLLSKSLPGIATPILHMIATLYCKLGARGDARRALEGASRPQGKDHEQEQEHGDEAKRREAYEKVRELHEEIRAAGYVPDTRYVLHDIDEDAKARALMYHSERLAIAFGLVSTPPGTPLRVIKNLRICGDCHNAVKLIAKVTGREIVVRDNKRFHHFKDGACSCGDYW >ORGLA03G0324700.1 pep chromosome:AGI1.1:3:28803771:28806249:1 gene:ORGLA03G0324700 transcript:ORGLA03G0324700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRKMKSAREMAREEKNHHHHQQQQQQQSSSKGQAWFCTTGLPSDVVIEVGDMTFHLHKFPLMSRSKKLHDLITNRESRAAGGGEQDEEEEEDAGEIREEEVVLEVDEDSDVHRIRLPDFPGGAEAFEQAAKFCYGVKLDLTPATAAPLRCAAERLGMSDDHSDDNLISRADRFMSHTVLRNPRDAIRALRSCEGLLPLADDLGLVSRCVDAIAAKAAASTPTALFGWPINDAAAAAGGGGDRPRRKNNAAAGATWFDDLAGLSLATFTRVIAAMKERNVGPEIIEGALIAYAKRSIPGLSRSGRHVGGATAAAAAVPSSDGEQKALLETVIANLPEETIKSNAHTGTAVGATTARVLFGLLRTTSILQASESARDMLERRIAARLPDAAVDDLLIPSYSYLVETLYDVECVERIVRYFLEGRDADDGNDDGSEPETPGREASRRAMLAVGRLMDAYLGEIAADANLKPDKFCDLAWALPDGARVYDDGLYRAVDIYLKAHPGLREEEKEKVSGVVDGRKLTLEACTHAAQNERLPLRTVVQVLFFEQLQLRRAIARTIMANEGGAAGQGEEEGDSDAGGTWRVATRGNQMLRLDMDSMRNRVQELERECTSMRKAIEKMDRRGGGSTPGERGATPAMEGRWGSMVTKRFGCKFPAQVCQSQQRTVVARPRRPRIEQSP >ORGLA03G0324600.1 pep chromosome:AGI1.1:3:28797418:28799707:-1 gene:ORGLA03G0324600 transcript:ORGLA03G0324600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37200) TAIR;Acc:AT4G37200] MAVVASRCTGLLLPDLGASLAGFRRRRSTPASSLSLRPRRARRRLGSLSCIAPPDSAEPQTDEPAAKDDSTEDKAEASSASQDAGNPTFPNKDLSRRIALASTIGAVGLFAYQRLDFGGVSLKDLAANATPYEEALSNGKPTVVEFYADWCEVCRELAPDVYKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLDNVVALASGEPTVPHARVVGQFSSAESRKVHQVADPRSHG >ORGLA03G0324500.1 pep chromosome:AGI1.1:3:28783460:28784998:-1 gene:ORGLA03G0324500 transcript:ORGLA03G0324500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:allene oxide synthase [Source:Projected from Arabidopsis thaliana (AT5G42650) TAIR;Acc:AT5G42650] MATAAACISFASPSPARVMIRRQTRASASASATDRQEVVSPKRRLPLRKVPGDYGPPVVGAIRDRYEYFYGPGGRDGFFAARVRAHGSTVVRLNMPPGPFVARDPRVVALLDAASFPVLFDTSLVDKTDLFTGTFMPSTDLTGGYRVLSYLDPSEPNHAPLKTLLFYLLSHRRQQVIPKFREVYGDLFGLMENDLARVGKANFGVHNDAAAFGFLCQGLLGRDPAKSALGRDGPKLITKWVLFQLSPLLSLGLPTLVEDTLLHSLRLPPALVKKDYDRLADFFRDAAKAVVDEGERLGIAREEAVHNILFALCFNSFGGMKILFPTLVKWLGRAGARVHGRLATEVRGAVRDNGGEVTMKALAEMPLVKSAVYEALRIEPPVAMQYGRAKRDMVVESHDYGYEVREGEMLFGYQPMATKDPRVFARPEEYVPDRFLGEDGARLLRHVVWSNGPETAAPTLHDKQCAGKDFVVLVARLLLVELFLRYDSFDVEVGTSTLGSSVTVTSLKKATF >ORGLA03G0324400.1 pep chromosome:AGI1.1:3:28777514:28779706:1 gene:ORGLA03G0324400 transcript:ORGLA03G0324400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:clast3-related [Source:Projected from Arabidopsis thaliana (AT3G18940) TAIR;Acc:AT3G18940] MEHAIVEGESFSPDCSTLLMPALSIGNVGQLAVDLLVSSSRARRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEAYESPSHRLAFIQQRSPIITGMVVSFAKNVANFISSIEKDHVVILSSLDSGKRRIIDASSDMQVYYLSSYNEDGSDPKCENLGWKKLEEYDPSQQRWKCLASLVEGGHLSEDMTGDPEEMTINDYYSSLPFAALFSACKAKGLKVTCVLCYCSEGDNMPESFQLAEAACKLVAQGPEQFHGNGSNGWTIPLSWKSVYGPPPDLSIF >ORGLA03G0324300.1 pep chromosome:AGI1.1:3:28776397:28776633:1 gene:ORGLA03G0324300 transcript:ORGLA03G0324300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVGVWLGEFAKMGRGAASAADAGVGRRAQSEGESCKSKVGDGVVQEITTRTRDSSILLSDSEATVCMLMDRFAPA >ORGLA03G0324200.1 pep chromosome:AGI1.1:3:28774240:28774494:1 gene:ORGLA03G0324200 transcript:ORGLA03G0324200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVDIWTVERERIRVSGAQAFRTTVASKRGYTARSDDGQNKPDGAAVETAAKKQQAAAVGGAPASVIQEDAFLSILIDCFGQ >ORGLA03G0324100.1 pep chromosome:AGI1.1:3:28767665:28773391:1 gene:ORGLA03G0324100 transcript:ORGLA03G0324100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRNLPNLSLRISPPAVSSAAAPVSSGTPTTAARTTLPTGVITDAEGGGEVAAFFGNPSSGSEPPGLSLGLGPTTPAHADAGGGRHGDHHLQPQGCAPFKRAAARASQLPAGSKRSVRAPRMRWTTALHARFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRSSHIASGEAQLQQQAGMEAAAGGGGDGGGGGVVLPMMPACDDMVGICSSPAPPAAATSSAAAYFLCATTTSTATAPLAVVPSPPAPTIPTRRTDQTPVLEKGVAIVDSLHRCQKHNYSPVLQDALHQGAEEDHLITGNLPMGGASAQASIEAMATTNSSSPASSSPSLASLEQLLPEDSFAPNLEISLGRQNWNMDHPEELSLKYL >ORGLA03G0324000.1 pep chromosome:AGI1.1:3:28763581:28764168:-1 gene:ORGLA03G0324000 transcript:ORGLA03G0324000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSTKLSKIDEAAVTTENVVEGDSRRLLSPRQVDLDDVGWCGRRTAYLTNGSGVAEARTAGSGAPKLGMVGSATSEFKVSGSGAPEFMVAGTVRGRVGDVEARRRPEISGDGRKGLSMALGSGVVPRSPMVLTLALSSSLARYDSDLAWWRREGGGDPDLEWWRHGGDRGRWVKEAVTVGGGRRRQRPVGGGRRSR >ORGLA03G0323900.1 pep chromosome:AGI1.1:3:28753557:28753961:-1 gene:ORGLA03G0323900 transcript:ORGLA03G0323900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYKILVVFALLALSASAATAITTTIPYFPSTLAMGTMNPSKLYMMQTLGMGSYATMFMSQPIALLQQQCCMQLQGMIPQCHCGASCQMMQNMQNAICGGLGQQQMMMKMVMQLPYVCNMAPANFQLFPYGCC >ORGLA03G0323800.1 pep chromosome:AGI1.1:3:28751002:28751208:-1 gene:ORGLA03G0323800 transcript:ORGLA03G0323800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYKILALFALLALSASAATTITTMPYLQPTIAMGDMDPCRQYMMQTTGTDSYATMFMPQPIALLQQ >ORGLA03G0323700.1 pep chromosome:AGI1.1:3:28744520:28750337:-1 gene:ORGLA03G0323700 transcript:ORGLA03G0323700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFHFLNCAALTFGPHVVYYSATPLSEYDTIGTCVKAAVVYLGTALVKLVCLATLLKVPENDSFDPYQELMKIFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGLEANANLVMTLSLAALGSLMWLRKNKPRTLVPIIYACALLLATMPSITSYLRRSLEWQTPKVVGFELFSSLVMAFISWQLFSACQRPM >ORGLA03G0323600.1 pep chromosome:AGI1.1:3:28743514:28743870:1 gene:ORGLA03G0323600 transcript:ORGLA03G0323600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSMATTLPSLAGAAPAARKRSGVTYVEGMNAYSGLKALNKVTLLGVRKTADYSFAKVVAKLSPAGGKSRGGAFGAQCNAAGEIFRIAVIMNGLVLVGVAVGFVLLRVEAAVEESE >ORGLA03G0323500.1 pep chromosome:AGI1.1:3:28736868:28739675:1 gene:ORGLA03G0323500 transcript:ORGLA03G0323500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLANCATLLKNRPPRPPAAGGAAGGSGRRLQESKLREALEEASEDGSLAKSRDAALLDDGGGGGDGGAEEGSGVGRSRSLARLNAQREFLRATAVAAERAFLSPDALPALEEALATFLSMYPKYSSAADVDRLRADEYPHLDKVCLDYCGFGLFSYLQSCNPSDSTASFTLSEITANLSNHALYGAAEKGTCEHDVKARIMEYLNIPESEYCLVFTVSRGSAFRLLAECYPFGTNKRLLTMFDHESQSVNWMAQSARDKGAKAYSAWFKWPTLKICSTELRKLISTKKRRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVMSCLQSPNGGTGTGMVRIMPVFPQYLSDSVDGFDGVLDGLEDDTIIPIEEGSASNSLHATHLPAFSGAYSSAQVREVIEDEMDQDSSDRDGASTIYEENESVSVGEVMKSPVFSEDESSENSFWVDLGQSPLGSDHSEQSSKGKLGSPLPASWFSGRKNVKKTSPKVPSKLRRSPIPDNHVVSFDAAVRSVSQELEHGKDFTEEDCSQNGIKNVVPIKVSEIEEDQDGKQNKRFVKFSCANGPAEGSSTSVFGGCTARGNGSTSEICSEAKDSAIRRENEGDFRLLGRREAHNSRFNGGRFVGVEEAERVSSMGRKVSFSMEDSRLCRNSETAETSGYAMGDEDDDEEYSDYDDIQDGRREPEIICKHLDHVNQLGLSKTTLRLRYLINWLVTSLLQLRLPDSGDGEGVPLVYIYGPKIKYERGAAVAFNIKDCSTGTSLINPETVQKLAEKEGLSLGIGFLSHIRIMDNQKQGVVDVGLSSSLCRPTSNGRREKKSSKNDIIGIEVVTASLGFLTNFEDVYRLWAFVAKFLDSSFLEQQRLSSIPEDSER >ORGLA03G0323400.1 pep chromosome:AGI1.1:3:28724410:28725546:-1 gene:ORGLA03G0323400 transcript:ORGLA03G0323400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPTATLLPLPLARAAPARLFFPAVSSRARGRVVRVGRHGAPEREAALSWRGAADESVNKEKHLVEHTKDRMSDYCTTLKGEECCSCWDAVEEFNKLEMELPRAELETVVKDAGGDMGHLISAIHRRAQARKTAAESLSSPGDDHSTKTKPYFPAPDELPKTAEELEGETEAAMPESTHTRLLRRMADHD >ORGLA03G0323300.1 pep chromosome:AGI1.1:3:28721434:28723276:-1 gene:ORGLA03G0323300 transcript:ORGLA03G0323300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGVVVRAVRNYDSIPKREPFSSSRSVLDEFLRQEKPLVQRTKDQITDYCTTLEGDECCSCWDAYFELNKLEQELPKEEIARMVKDSEGDPRYLINSIHHRSDLRKKMAEKSHNSLSSNSLGQAAKPRPFPVPDGLPKTQEELAEEQEALMPESSYTRLLRRMGRFPDWSSPQDSDSSFPVNSSNVP >ORGLA03G0323200.1 pep chromosome:AGI1.1:3:28716132:28720944:-1 gene:ORGLA03G0323200 transcript:ORGLA03G0323200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRVVEDEAEADLQALARRFSFSSPSSPSPSPSPPSSSRKPPLPPRRSPQKRPGLPTIPENGPPAGMATATATPKRTRPPTSTCAPPPPPHARHAPMTGQTPVAGSRSSRPPRGRGQMEVDSPQPSRALHTPPTGQRQVTRHPPPAARTMFQESPVASVSPPQQQQVAVPVSAALREFERRRAAAAEAALRQLQLQVWEAARQQSQQLRLYTVEGRAAGYGTKWVELHPQSQELLLHIEYGLLRILDLLLGDWGDVHRVLHFWGNPSWGSLSRLPCIFPFFRNKMREYKHESDLLDQCSRLYDPSVSSRSFELYATQISQEIGSTSTIMDREMVSIRSLMAVVKEMMRNTDSAIRSYQKLRPNFIRRYSGTANTGFAHHAGPSGAPTYFNQPSAIVPTFDFYSGVAMRPSPFMQHTVSKFENRLEECSRMVGELEQLIQIKNDKNYSNAFESLSTVVPNVYDYLIHVATQIRSEVVQFKFVIKVVKVENLHQYAEIMRTHYRNAWRLMGDCSDPFLEADRREAAKQEATARIVHPTGVDVSVLASQPLQSSSPTGVTSSSTRAILRTPLSALPWFSIQTSPAPSPSPFSSSGSMLQPTPFGSASTLALGSTPARFASSALGGTSLFRTPPGDALVFQTYSTQSVNCDYCTILSLTMPEKCQPTLTKSVTRQ >ORGLA03G0323100.1 pep chromosome:AGI1.1:3:28714859:28715314:1 gene:ORGLA03G0323100 transcript:ORGLA03G0323100.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGNCVGASNRSTSRENNGNHRVSRRYGVDGITVFGRAHEVKLTAKVDGRVYRCIKVREGMFLTLATEYNMPALIGCPGDEPRMLTPYVDDDEDEDDATESPTLARGITVCFARTCRWRWWSAGAALPASRFVAGNTVGITRCVSFDFGYSKF >ORGLA03G0323000.1 pep chromosome:AGI1.1:3:28708811:28710897:-1 gene:ORGLA03G0323000 transcript:ORGLA03G0323000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITMSYSGYVAQSLASSFGLRCTAAAAASSGAAPGAGARFLQDALSRPFCLFASSRHSEYHHDADDHNHPKPKPKPKAKALPAASAIAANGGGHSLLLSRSCATKAPVNDPPSSLAVGLLTVFTSGMGSATGRVGASSLSASPSISSAFNPAALLPFLQATKWLPCSDLITSAAPSRKSARPVDVAKAPTAAPAATPVSRTKPAPAPSPRPAHVPSPAVAAPSKVGVKAFVGSGVINSGVINSSGASSNVGVGVKPLVGSGAINSGAAGMVRKSSPALGAAAEVSRRNWLSRWVSSCSDDAKTVFAAVTVPLLYRSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYVFREPNILDIVIFRAPPVLQALGYSSGDVFIKRIVAKGGDTVEVRDGKLLVNGVVQDEEFVLEPLNYEMDQVTVPQGYVFVLGDNRNNSFDSHNWGPLPVKNILGRSVLRYWPPSKITDTVF >ORGLA03G0322900.1 pep chromosome:AGI1.1:3:28707267:28707794:1 gene:ORGLA03G0322900 transcript:ORGLA03G0322900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVNESNVLGRRRSHDTVELLSEKCEVDVANRLISVCVFCSISSRLPRLDKILKTWFSNKTQDSKSMQFIQAKVTSIPLIKFRLELERDITEEDGIWENISEWKTVPMVQRVALDVVAKVEEEGRLKAMSVKKVKKPYPVVDASSWSSLTSNISFTKFMSFVLPPEPLTLDVKW >ORGLA03G0322800.1 pep chromosome:AGI1.1:3:28700952:28703585:1 gene:ORGLA03G0322800 transcript:ORGLA03G0322800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataurora3 [Source:Projected from Arabidopsis thaliana (AT2G45490) TAIR;Acc:AT2G45490] MEKPEWSMDDFEIGKYIGEGKFGKVYLAREKQSGYVVALKVTFKAKLDKYRFHAHLRREIEIQHGLDHPNVLRLFAWFHDAERVVLVLEYAARGELYKLLRTVRRFSERTAATYVASLAGALAYCHKKQVIHRDIKPENLLLDIEGRLKIADFGWAVRSNAKRHTLCGTIDYLAPEMIEKKAHDHAVDNWTLGILCYEFLYGSPPFEAAEQDDTLRRIVKVDLSFPSTPYVSADAKDLICKVKFVVLIIPIVYVTLKWVELLSFELRVRMQLLVKDSNKRLSLDDIMKHPWIVKNADPSGSCSDQKART >ORGLA03G0322700.1 pep chromosome:AGI1.1:3:28688989:28690068:-1 gene:ORGLA03G0322700 transcript:ORGLA03G0322700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELLGGTTMDQLKGASALNHASLPVVLQPIVSNPSPTSSSSTSSRSSAQATQQRSSSATSSPHGQGQGGGAAEQAPLRCPRCNSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKPRPMPAPVAKPPMSCKAAPPLGLGGGPVSWASGQQAATAHLMALLNSARGVQGHGGSNVHRLLGLDTMGHLQILPGAPNGAGAGTAASLWPQSAPRPVTPPPPHMDSQLGMGTLGHHDVLSSLGLKLPSSASSSPAASYYSDQLHAVVSNAGRPQAPYDVATASLPCTTAVTSLPSALSSVSAAAPTSNTVGMDLPPVSLAAPEMQYWNGPAAMSVPWPDLPTPNGAFP >ORGLA03G0322600.1 pep chromosome:AGI1.1:3:28678657:28683670:1 gene:ORGLA03G0322600 transcript:ORGLA03G0322600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDRRTMELVAVKYIERGEKIDDNVQREIINHRSLKHPNIIRFKEVILTPTHLAIVMEYASGGELFERICKNVRFSEDEARYFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKTADVWSCGVTLYVMVVGAYPFEDPEEPKNFRKTIQRILNVQYSIPENVDISPECRHLISRIFVGDPSLRITIPEIRSHGWFLKNLPADLMDDDSMSSQYEEPDQPMQTMDQIMQILTEATIPPACSRINHILTDGLDLDDDMDDLDSDSDIDVDSSGEIVYAM >ORGLA03G0322500.1 pep chromosome:AGI1.1:3:28670308:28671813:1 gene:ORGLA03G0322500 transcript:ORGLA03G0322500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGMGLDLSLDLKMFAARSAVRMAAAAAKEATGVEACIRSLEEERRKIEMFRRELPLCARLLADVIELMKEEAGKRRKDGDDAEAKAEDGDKTKWMSTAQLWVDSRGSDADSENDRRSGSTSPASRLLGGAEESSSRAVAPPPYFRREERVVLRPAMPLLPPASHRSPPPAAAAAATAAGDDHRHVVASSFATAVPSPVPAALSLQAQAQQQQQQARKSRRCWSPELHRQFVAALQQLGGPQVATPKQIREVMKVDGLTNDEVKSHLQKYRLHNRKSPGTASASHSIVLVGDLWASQEVSCSQSGSPQGPLQLSGSGVAVSAATAGDSCCEDDDKSEGYVRK >ORGLA03G0322400.1 pep chromosome:AGI1.1:3:28653428:28660062:1 gene:ORGLA03G0322400 transcript:ORGLA03G0322400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELVERLREVLRSSDLNTTTTAILRRRLEEDFGVDLSDKKLFIREQVDLLLSEVAGKAEQEEAEVPKEEEPETGAEAAGGEPGGAEGEGEEEEEEEEEEEEEEEEEEEDSSGSRKKRRSDGANTDGKRKGGGFTKLCSISPTLQEFVGASELARTEVVKKLWAYIRENNLQDPSNKRKILCDERLKKIFNVNSIDMFQMNKALTKHIWPLNSDGPVTSASPERSTPKEKPQKRERNEGKKQKGGSSGSGSGFLVPLQLSDDLVKFIGTGESMLSRSDVVKRMWDYIKENKLQDPSDRRKIICDEKLKDLLQVESFNGFTVSKLLAPHFTKTK >ORGLA03G0322300.1 pep chromosome:AGI1.1:3:28643151:28649640:-1 gene:ORGLA03G0322300 transcript:ORGLA03G0322300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWKRSVSPSPSPSSSSASASTPASPARASTSRVGGGGGGGVPSRRRDVVGFGWGGGSDPQPRLTRQRRLRHVDDIEVGVSALGLDSSPSPAAPSSCPSSRDSVGFGLLTASSTPISRTASNMEVAPPRSSSSPVLLPHPLPLPDEGDSPCRGSGRPLPSPKLFEGDCNGSAVESNLLGVSEIGSDRASLFPRVMAKTVQKNPEHGDLRSNGTNGITCGQRRKAFKEKLQDKSSAETLTFRLNIPAKSAPSSGFSSPVQSPRRLSSVDFLSTATSTQGANLSSAQSVWSPDLYGSSPRCASPEKIMGSQERSPRSSPLRSPVLRSKNPSAPPSPMHPKLFLENHVSRPEGNGSVNFHPLPLPPASVSPKQTNFSHQPVPKVDAPSMAGQWQKGKLIGSGTFGCVYEAANRHTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSEYIEDRFYIYLEYVHPGSINKYVNQHCGAMTESVIRSFTRHILKGLAFLHSQKIMHRDIKGANLLVDVNGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVQATLVKDVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLHKDPSIPDSLSPEGKEFLRCCFRRNPAERPTASKLLEHPFVHNSNNFNQHSALHSPTGLKSTDTGHNARDKKSCKIVSCMRGKNMITTGETSSARSPGSLSNRVAVGLTALPNLETRSLSPTPMSLRSSPGSAAHTPSMHFSIAYHQPSPLPRPNGKEAINLFALKHDELPT >ORGLA03G0322200.1 pep chromosome:AGI1.1:3:28638893:28641823:1 gene:ORGLA03G0322200 transcript:ORGLA03G0322200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDWIWERRRREEEYNHQMSQDELQQPGQVQWTPAPEEKSEIAVQFFTAPYPCQNGQLDHGEHHALGGIGACSSVHWQPDRATCYWPPPLSGDGGGGSGSGSSGTGEGGYIGERCYYVGEPDVPIGLNLLVGDNDGAGVVLRDAAPQAKRRTQAGHGGDLGRQKKKARVSDKRNQESMQSGSCSDNESNCSQVNRRKVDRVAGGGNGKVPARRRSATIAQSLYARRRRERINGRLRILQKLVPNGTKVDISTMLEEAVHYVKFLQLQIKVEVQIVCHDQMLSSDELWMYAPIVYNGMDLGIDLNISPPR >ORGLA03G0322100.1 pep chromosome:AGI1.1:3:28635579:28636388:-1 gene:ORGLA03G0322100 transcript:ORGLA03G0322100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSMEALHAAVLKEEQQQHEVEEATVVTSSSATSGEEGGHLPQGWAKRKRSRRQRSEEENLALCLLMLARGGHHRVQAPPPLSASAPPPAGAEFKCSVCGKSFSSYQALGGHKTSHRVKLPTPPAAPVLAPAPVAALLPSAEDREPATSSTAASSDGMTNRVHRCSICQKEFPTGQALGGHKRKHYDGGVGAGAGASSTELLATVAAESEVGSSGNGQSATRAFDLNLPAVPEFVWRPCSKGKKMWDDEEEVQSPLAFKKPRLLTA >ORGLA03G0322000.1 pep chromosome:AGI1.1:3:28627914:28629700:1 gene:ORGLA03G0322000 transcript:ORGLA03G0322000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEVREYREDRDRAAVEEVELECEVGSSGGGEAKMCLFTDLLGDPLCRIRNSPAYLMLVAETANGGGGGGGNGREIIGLIRGCVKTVVSGGSVQAGKDPIYSKVAYILGLRVSPRYRRKGVGKKLVGRMEEWFRQSGAEYSYMATEQDNEASVRLFTGRCGYSKFRTPSVLVHPVFGHALQPSRNAAIRKLEPREAELLYRWHFAAVEFFPADIDAVLSKELSLGTFLAVPAGTRWESVEAFMDAPPASWAVMSVWNCMDAFRLEVRGAPRLMRAAAVATRLVDRAAPWLKIPSIPNLFAPFGLYFLYGVGGAGPASPRLVRALCRHAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAEDLWCIKRLADGYNHGPLGDWTKAPPGRSIFVDPREF >ORGLA03G0321900.1 pep chromosome:AGI1.1:3:28621748:28621981:1 gene:ORGLA03G0321900 transcript:ORGLA03G0321900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEARWGDAMAAEVREDEEKWQRLRTRHAMAAGLRGGCKGQRWLGWRTRSRKCREGARDGGGLVTSFPRPQAQPPL >ORGLA03G0321800.1 pep chromosome:AGI1.1:3:28598313:28602143:1 gene:ORGLA03G0321800 transcript:ORGLA03G0321800.1 gene_biotype:protein_coding transcript_biotype:protein_coding YWDYESLDIEWGEQDGYEVLRKLGRGKYSEVFEGFRPGGDERCVIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDDESKTPSLIFEYVNNTDFKVLYPTLLDYDIRYYIYELLKALDYCHSRGIMHRDVKPHNIMIDHEKRQLCLIDWGLAEFYHPKMEYNARVASRSYKGPELLVDLLDYDYSLDLWSLGCMFAAMIFRVDPFFNGQDNYDQLVKITEVLGTEDFYNYLEKYGLELDPQLERLVGRHNRKSWSMFVNSGNRHLASPEAIDFVDRLLRYDHQERPTAKEAMAHPYFNPVRST >ORGLA03G0321700.1 pep chromosome:AGI1.1:3:28589936:28593696:1 gene:ORGLA03G0321700 transcript:ORGLA03G0321700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPGGGGGGGKPKGKAVPSRYLLAPSSKSTSTSSSSTTTTTNSSATSNSTSTSASTPSRRFASPLPRRSSSVDRPRPTSNAAAAGGDALGPNGATTTTTRSLSVAFQGRAYFLETSKAKPATSPSPVRRPVAAASTTPERRRPSMGTVPERGKVFEGGHSQQRWPMSARAAHGFEGNPLTKSLDCSLDKRGAAVLAAVRSLRQSMVFEEGVRRASFDSGDYLMSSDTESVSSGSNSGSQDAGMGRARSSPKGMSVPARFLQDAAASRPNRLADPSTPFMTHSSGFASSPRTAPVKKSLLNGFVLSPLNRPIRQPSPSKLVGSRRMSSPSRPRGSVGVSASYGDQHGRSSSGYGLDSQVKRRWLGCSKVDCEHLLRILCNRHLQWRCVNAQADAALAAQKMTAEKYLSDAWITTLGMRKSVALKRFQLQLFRNNWKLMTVLKGQMDFLEEWSFLERDHANSLSGIVEALTATILCLPVTDGAKADIQDVKNAVGSAVDIMQTIGSSICTLLAKLSGTSILVSDLAKIATQERTLMDQSRELLSTLASMHVKYCSLQGQRVQTTTHRRRVRS >ORGLA03G0321600.1 pep chromosome:AGI1.1:3:28578862:28579773:-1 gene:ORGLA03G0321600 transcript:ORGLA03G0321600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRKLARVDIAELKQRLVKRLGRQRAGQYFAHLTRLLNLKLTKVEFDKLCYATIGRENIALHNALIRGIISNALSGVPPPSRQAVTGQSGTTTAPSGQCVGIALPSARNVGAVVDSGDGDFARERAVAGKVLSVEDGEEVEQVRSAPCVQSRSPITAPLGISTTPSYGARTRRLDDPMVSCYDSHHLLDTGSLFKGLQRRLESDGIGVSVQGVEVLNRGLDEFLRRLIKPCMELSRSRSSGRRVTKGNAMFAARMNGLQQANHDHCTTLQDFAVAMESDPHLLGTNWPTQLEKIQATSFGE >ORGLA03G0321500.1 pep chromosome:AGI1.1:3:28573740:28574174:1 gene:ORGLA03G0321500 transcript:ORGLA03G0321500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQHQRSIEHCSIGCFLASPPPRFFPARTRSAPGELRMKLVVFLIRGCPGEVLLRPIVPAKEGLRTRTKWHILQRFCKLEIISIETETMITISSRSIIKSRCKKSNKKILVFFLSMSVKFLLITTRRSLSVQKRSSTFSQLLH >ORGLA03G0321400.1 pep chromosome:AGI1.1:3:28573368:28573727:1 gene:ORGLA03G0321400 transcript:ORGLA03G0321400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDRAAVPVKRVWLGLAARLGLRRTSGLGKLKKEVRTCEYHDVHIMWEMLRKTDAPVPMAEKEAAAAAAVAAAAGARRRKAAWRRFLYYCCAF >ORGLA03G0321300.1 pep chromosome:AGI1.1:3:28566309:28568608:1 gene:ORGLA03G0321300 transcript:ORGLA03G0321300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PFR7] MGAGIRILVVMLAVAAAGSGVVAQLRRDYYASVCPDVETIVRDAVTKKVQETSVAVGATVRLFFHDCFVEGCDASVIVVSSGNNTAEKDHPNNLSLAGDGFDTVIKARAAVDAVPQCTNQVSCADILVMATRDVIALAGGPSYAVELGRLDGLSSTASSVDGKLPPPSFNLDQLTSLFAANNLSQTDMIALSAAHTVGFAHCGTFASRIQPSAVDPTMDAGYASQLQAACPAGVDPNIALELDPVTPRAFDNQYFVNLQKGMGLFTSDQVLYSDDRSRPTVDAWAANSSDFELAFVAAMTNLGRVGVKTDPSQGNIRRDCAMLI >ORGLA03G0321200.1 pep chromosome:AGI1.1:3:28562130:28563405:1 gene:ORGLA03G0321200 transcript:ORGLA03G0321200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1QWE1] MHAKRFSKMARPSSSWWMALLVVAAVAQLGASDLRTDYYNSTCPNVESIVLGVVKDKMQATIRTIGSTVRLFFHDCFVDGCDGSVLITSTAGNTAERDAPDNLSLAFEGFETVRSAKAAVEAACPDQVSCTDVLAIATRDAIALSGGPFFPVELGRLDGMRSSASNVAGKLPQPNNTLSELVAIFKSNGLNMSDMVALSAAHSVGLAHCSKFSDRLYRYNPPSQPTDPTLNEKYAAFLKGKCPDGGPDMMVLMDQATPALFDNQYYRNLQDGGGLLASDELLYTDNRTRPTVDSLAASTPDFYKAFADAIVKLGRVGVKSGGKGNIRKQCDVFN >ORGLA03G0321100.1 pep chromosome:AGI1.1:3:28552712:28553450:-1 gene:ORGLA03G0321100 transcript:ORGLA03G0321100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPMEQVFEHYDKDTLKMAMLKHEETFRQQVHELHRLYRIQKLLMRDLKRELKNQSNMSTSSPNGFAEYSRAALDAMRSYEQCYGAATRRGAAVHHAAAAARAALSLVPAVEYAQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAAVPLAQPSDGVREREREEGEARTRACM >ORGLA03G0321000.1 pep chromosome:AGI1.1:3:28544287:28549626:-1 gene:ORGLA03G0321000 transcript:ORGLA03G0321000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAPPPRSRPHPPSSSVAVPAAAAAVIAAALASSFLALLQPPRRAPVAAGSRVGMSKARVYADVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTEALNAYLNKYHIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAVDFLDKLLRYDHQDRLTAREAMAHPYFLQVRAAENSRARPQ >ORGLA03G0320900.1 pep chromosome:AGI1.1:3:28539128:28542693:1 gene:ORGLA03G0320900 transcript:ORGLA03G0320900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33910) TAIR;Acc:AT4G33910] MKGGVIRSGGGVGGIGVGGGGGGGGAGGGLMRTRLRLPVVLLSCSLFFLAGFFGSILFTQDPQGEEELDAPMRRERLMEAAWPGMAYGESGEPEPSLIPYQQILSWQPRALYFPQFATSQQCENIVKTAKQRLMPSTLALRKGETEESTKGIRTSSGTFLSSDEDPTGTLAEVEKKIAKATMIPRHHGEPFNILRYEIGQRYASHYDAFDPAQYGPQKSQRVASFLLYLTDVEEGGETMFPYENGENMDIGYDYEKCIGLKVKPRKGDGLLFYSLMVNGTIDPTSLHGSCPVIKGEKWVATKWIRDKSKAV >ORGLA03G0320800.1 pep chromosome:AGI1.1:3:28531261:28533950:1 gene:ORGLA03G0320800 transcript:ORGLA03G0320800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37480) TAIR;Acc:AT4G37480] MALRAAELRRLVVLLRGEAALPLPLAWRGRPVSTAARDDELAGKSAYEVLGVGETSSSAEIKASFHRLAKETHPDVAAAAGSSRFLQILAAYEILSDSQRRAHYDIYLRSQKRVLQKHPRPSHHVYPNPSGSGIVVTRESNVVEWLKWYRLTIDDIVTKKRVATGSGYFDKLESELYTAIHAAYYGPDVRSVDLLPDCFEAEERSVYETPELLHLVSGRDLFGIVSLADRVQQLPDAFQEKQTLPGFKTYGISQHVSTNLEKGTVHASPVYIHKREIDKNDGPHSDAYKDIELWICGKLVATATRSPKCNCIDKSDVEDHIHVFLVPNEVASSDFTQELPILGTITGLATTGEEGSCCVYDSRGIKTHLIVKHRTLMVKHMHWYQVGDEISPCECRCSRARLPPSRYWLFEPRCYMHDTGGWYIETFGRDKKGRKILSPRQWDGSNEHSERCAQIVYNVVLLSSGMDLVTFLENAHLSGYYIASALLHLVAEKANFLKRLHPAMYLAALAYRSLDIEDAQRKKWSITTFLELQSSHIRQLCKKIFNGGKESS >ORGLA03G0320700.1 pep chromosome:AGI1.1:3:28516720:28519014:-1 gene:ORGLA03G0320700 transcript:ORGLA03G0320700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRHGERCVILAVAAVALVAAATATAAAAVGGERRTYIVHMSRSAKPNDFVEHGEWYAASLQSVSDAATVLYTYDTLVHGYSARLTRAEAEALESQPGVLLVNPEVRYELHTTRTPEFLGLDRTDALFPQSNTGSDVIVGVLDTGVWPERPSYDDAGLGPVPAGWKGKCEEGNDFNASACNKKLIGARFFLTGYEAAKGPVDTSKESRSPRDNDGHGTHTSSTAAGSAVRGADLLGYAAGTAKGMAPHARVATYKVCWVGGCFSSDILKAMEVAVNDGVDVLSLSLGGGTADYYRDSIAVGAYSAMERGIFVSCSAGNAGPGSATLSNGAPWITTVGAGTLDRDFPAHVVLGNGKNYSGVSLYSGKQLPTTPVPFIYAGNASNSSMGALCMSGSLIPEKVAGKIVLCDRGTNARVQKGFVVKDAGGAGMVLANTAANGEELVADAHVLPGAGVGQKAGDTMRAYALSDPNPTASIVFAGTQVGIQPSPVVAAFSSRGPNTVTPGILKPDLIAPGVNILAAWSGSVGPSGLAGDSRRVGFNIISGTSMSCPHVSGLAALLRAAHQDWSPAAIRSALMTTSYNGYPNGNGILDVATGLPATPLDVGAGHVDPSKAVDPGLVYDIAAADYVDFLCAINYGPMQIAALTKHTTDACSGNRTYAVTALNYPSFSVTFPATGGTEKHTRTVTNVGQPGTYKVTASAAAGSTPVTVSVEPSTLTFTKSGEKQSYTVSFAAAAMPSGTNGFGRLVWSSDHHVVSSPIAVTWT >ORGLA03G0320600.1 pep chromosome:AGI1.1:3:28508744:28510198:1 gene:ORGLA03G0320600 transcript:ORGLA03G0320600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77360) TAIR;Acc:AT1G77360] MGGVRPTLFDARKVFVRMLSSGVGGGDALVDTADPAKRLFKLIISCRKASAVEHELDHSGVRVTPDVAERVLERLDNAGMLAYRFFEWARRQKRGGCAHTVRSYHTVVASLAKIRQYQLMWDVVAVMRREGAVNVETFGIIMRKYARAQKVDEAVYTFNVMEKYGVVPNLAAFNSLLGALCKSKNVRKAQEIFDKMNSRFSPDAKTYSILLEGWGRAPNLPKMREVYSEMLDAGCEPDIVTYGIMVDSLCKTGRVEEAVRVVQDMTSRGCQPTTYIYSVLVHTYGVEMRIEDAVATFLDMEKDGIVPDIVVYNALVSAFCKAKKFENAFRVLNDMEGHGITTNSRTWNIILNHLISLGRDDEAYKVFRRMIKCCQPDCDTYTMMIKMFCENDKVEMALKVWKYMRLKQFLPSMHTFSVLINGLCDKREVSQACVLLEDMIEKGIRPPGSTFGKLRQLLLKEGRKDVLDFLVEKMNILIQEPLFD >ORGLA03G0320500.1 pep chromosome:AGI1.1:3:28505115:28508435:-1 gene:ORGLA03G0320500 transcript:ORGLA03G0320500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G65860) TAIR;Acc:AT5G65860] MAAAAESKAGKSPEELLCAAAESGDAEAITGLLAEGADPTHFDASGLTPLMHAATGGHAAVVQLLLDAGAPWNALSPTGISAGDLASDSATFDLLLDHALRSELVLGTVARRQAGPADSPAESYLESRVSFSEERVMDADSKAVMMEWERPLMEAHARAVCSGGKVLNVGFGMGLVDTAIQRYEPEEHTIVEAHPEVYARMLKLGWGEKKNVKVVFGRWQDVLPQLGSYDGIFFDTYGEYYEDMREFHQHLPKLLKPGGIYSYFNGLCGDNAFFHVVYCQLVALELANLGYSTQFIPLPVKDCLSEEIWNGVKQKYWQLDTYHLPVCQAESEPEQ >ORGLA03G0320400.1 pep chromosome:AGI1.1:3:28500926:28503066:-1 gene:ORGLA03G0320400 transcript:ORGLA03G0320400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVARCCGHWPPGAAAADGMLWQTELRPHAAGEFSMAAAQANLAMEDQAQVLASPAATLVGVYDGHGGADASRFLRSRLFPHVQRFEKEQGGMSTEVIRRAFGAAEEEFLQQVRQAWRQRPKMAAVGSCCLLGAISGDTLYVANLGDSRAVLGRRVVGGGVAVAERLTDEHNAASEEVRRELTALNPDDAQIVVHARGAWRVKGIIQVSRTIGDVYLKKQEYSMDPVFRNVGPPIPLKRPALSAEPSIQVRKLKPNDLFLIFASDGLWEHLSDDAAVQIVFKNPRTGIANRLVKAALKEATRKREVSFRDLKTIEKGVRRHFHDDISVIVVYLDRHRGRRHTRVVDSSSNCTNAPVDIYSSNSGQSVETLQAHRGSGW >ORGLA03G0320300.1 pep chromosome:AGI1.1:3:28498617:28499899:-1 gene:ORGLA03G0320300 transcript:ORGLA03G0320300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLVSPALQAVVGAAEIPRTEALKRLWAYIKQHNLQDPADKKVIVCDEKLKVLFAGQERVGFLEVAKLLNPHFVK >ORGLA03G0320200.1 pep chromosome:AGI1.1:3:28493538:28493924:-1 gene:ORGLA03G0320200 transcript:ORGLA03G0320200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated family protein [Source:Projected from Arabidopsis thaliana (AT5G59845) TAIR;Acc:AT5G59845] MKLNTTTTLALLLLLLLASSSLQVSMAGSDFCDGKCKVRCSKASRHDDCLKYCGVCCASCNCVPSGTAGNKDECPCYRDMTTGHGARKRPKCP >ORGLA03G0320100.1 pep chromosome:AGI1.1:3:28488882:28491730:1 gene:ORGLA03G0320100 transcript:ORGLA03G0320100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:semialdehyde dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G14810) TAIR;Acc:AT1G14810] MQAAAAAVHRPHLLGAYPGGGRARRPSSTVRMALREDGPSVAIVGATGAVGQEFLRVISSRGFPYRSLRLLASERSAGKRLPFEGQEYTVQDLAAPGAFDGVDIALFSAGGGVSRAHAPAAVASGAVVVDNSSAFRMDPEVPLVIPEVNPEAMAHVRLGKGAIVANPNCSTIICLMAATPLHRHAKVVRMVVSTYQAASGAGAAAMEELKLQTQEVLAGKAPTCNIFSQQYAFNIFSHNAPIVENGYNEEEMKMVKETRKIWNDKDVKVTATCIRVPVMRAHAESVNLQFEKPLDEDTAREILRAAEGVTIIDDRASNRFPTPLEVSDKDDVAVGRIRQDLSQDDNKGLDIFVCGDQIRKGAALNAVQIAEMLLK >ORGLA03G0320000.1 pep chromosome:AGI1.1:3:28484342:28487053:-1 gene:ORGLA03G0320000 transcript:ORGLA03G0320000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP41-like family protein [Source:Projected from Arabidopsis thaliana (AT4G34270) TAIR;Acc:AT4G34270] MTKAAEAWEGPTAAELKAAGAEAIPGGVRVKGWVIRSHNGPILNSASLQLFEDKLQTTHLPEMVFGESFLSLQHAQTGIRLYFNALDALKAWKHEALPPVEVPAAAKWKFRSKPSDQVILDYDYTFTTPYIGSDALVQNPDSIQTSLDEPRNLCWEDSEDRIDLVALSAKEPILFYDEVILYEDELADNGISFLTVRVRVMPTGWFLLLRFWLRVDGVLMRLRDTRVYCSFGSDEAKPIVLRECCWREATFASLSAKGYPSDSAAYGDPNLIAHKLPVVMQKIQKLKLPN >ORGLA03G0319900.1 pep chromosome:AGI1.1:3:28469857:28474918:1 gene:ORGLA03G0319900 transcript:ORGLA03G0319900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAFLGWAVDIARDSGASSSVVLTCDGYGSVLYFSPWDSVPLPATASPDDGFPLPRFPDVCVQRSQFTNHLAAANGTGGGGSRTGVKEEASEVLSWPPTSKQSVRRLEVAEHWYRLYKTDNQGVSPDSQQVSVLAESHCDLASGNWKEISIHHKKMPSSTTTKTTTPSRDAWIVSARSDPFHLLLEAQAPLGIKADALSQIAAVHQSHRNTSHIRELSLAMDNAYIIAILSVAILFLLHYYLLGRGNGGAARLPPGPPAVPILGHLHLVKKPMHATMSRLAERYGPVFSLRLGSRRAVVVSSPGCARECFTEHDVTFANRPRFESQLRVSFNGAALATASYGAHWRNLRRIVAVQLLSAHRVGLMSGLIAGEVRAMVRRMYRAAAASPAGAARIQLKRRLFEVSLSVLMETIAHTKATRPETDPDTDMSVEAQEFKQVVDEIIPHIGAANLWDYLPALRWFDVFGVRRKILAAVSRRDAFLRRLIDAERRRLDDGDEGEKKSMIAVLLTLQKTEPEVYTDNMITALTNLFGAGTETTSTTSEWAMSLLLNHPDTLKKAQAEIDASVGNSRLITADDVTRLGYLQCIVRETLRLYPAAPMLLPHESSADCKVGGYNVPRGSMLLINAYAIHRDPAVWEEPEKFMPERFEDGGCDGNLLMPFGMGRRRCPGETLALRTLGLVLGTLIQCFDWERVDGVEVDMTEGGGLTIPKVLPLEAMCRPRDAMGGVLRELV >ORGLA03G0319800.1 pep chromosome:AGI1.1:3:28465066:28466103:1 gene:ORGLA03G0319800 transcript:ORGLA03G0319800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSTSVTMDKAYIAVFSIVILFLLVDYLRRLRGGGTSNGKNKGMRLPPGPQAVPIIGHLHLVKKPMHATLSRLAARHGPVFSLRLGSRRAVVVSSPGCARECFTEHDVAFANRPRFESQLLMSFDGTALAMASYGPHWRNLRRVAAVQLLSARRVGLMSGLIAGEVRAMVRSLCRRPAAAAPVQLKRRLFELSLSVLMETIAQSKATRPETTDTDTDMSMEAQEYKQVVEEILERIGTGNLCDYQPALRWFDVFGVRNRILAAVSRRDAFLRRLIYAARWRMDDGEKKSMIAVLLTLQKTQPEVYTDNMITALCSVSTSLKVCSPMDRDRTTDHRLIERLKNID >ORGLA03G0319700.1 pep chromosome:AGI1.1:3:28450803:28454724:1 gene:ORGLA03G0319700 transcript:ORGLA03G0319700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEEIITEAAGARGYMEMLGLGEEAADYLMCLSPSSYLSSPAASTTTAVASPTCASYLAPHPYHHLLSFSGQDQYHGDDVFGLQYYGGDQVIPAVVPQKSSPTTECSSSVSSMSSSPTATAISSSKSPAFKKKGSRGCDQRKATAPAAATTTNKRPRVRRERLGERIIALQQLVSPFGKSDTASVLHEALGYIRFLHDQVQCQVLSSPYLQRLPPSARVPEQERGTPAAEEQPPALRPSDLRSRGLCLVPISCTDHVAGAGTGHGNGADLWSVAAGMAKATATVTAAVERSKEAAAAAAAPAALLRADRPGQQLA >ORGLA03G0319600.1 pep chromosome:AGI1.1:3:28442926:28445604:-1 gene:ORGLA03G0319600 transcript:ORGLA03G0319600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G61350) TAIR;Acc:AT5G61350] MLSLQKPSKTPFVPASSALFNPESIFLPSHERSPSSCYRPMLLIPTLAKMARSMLGWKRVPLFSILLILSITNIATTYAIASQADRFVPRDNYLLSCGAPAAVQLDDGRTFRSDPDSASFLSTPVDIRITAKNSLASGAPSSQLYLTSRVFSDISTYSFFISQPGRHWIRLHFLPIPDDHYNLTTATFSVSTDDMVLLHDFSFIATPPNPVLREYIVATQGDTLKIIFTPKKDSIAFINAIEVVSAPPSLIPNTTTGMAPQGQLDISNNALQVVYRLNMGGPLVTAFNDTLGRIWLPDAPFLKLQAAANAAWVPPRTIKYPDDKTNTPLIAPANIYSTAQQMASTNTSDARFNITWEMVTEPGFSYFVRLHFCDIVSKALNSLYFNVYINGMMGVLNLDLSSLTVGLAVPYYRDFIIDSSSIINSTLIVQIGPGTTDTSNPNAILNGLEIMKISNQENSLDGLFSPKRSSQLGKKTMTGIGLAMAVMAAALAVVMCCRRRHRPGWQKTNSFQSWFLPLNSTQSSFMSTCSRLSSRNRFGSTRTKSGFSSIFASSAYGLGRYFTFVEIQKATKNFEEKAVIGVGGFGKVYLGVLEDGTKLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEFMSNGPLRDHLYGGTDIKPLSWKQRLEISIGAAKGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPAINPTLPRDQVNLAEWARTWHRKGELNKIIDPHISGQIRPDSLEIFAEAAEKCLADYGVDRPSMGDVLWKLEFALQLQEKGDIVDGTSNQFPMKSLEVTSGDSMEKSGNVVPSYVQGR >ORGLA03G0319500.1 pep chromosome:AGI1.1:3:28439439:28441365:1 gene:ORGLA03G0319500 transcript:ORGLA03G0319500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 5 [Source:Projected from Arabidopsis thaliana (AT4G29910) TAIR;Acc:AT4G29910] MSQPVTPRRTTRSSASASPSPAPASPTSPPKSRPKPSPRRQLLAAAAAPPKEDGSSADALLAELPGRRAQAMDILRLLAPAPALPLMLHGGAATGKTRALLLALRYLRPSQRLVYAALRSLPSPRALFASLLSQLSATPFSTSSRHRVPDKPSDFVAALRDALNGIVSQGEVVYLVFDNLEVVRSWDKGGQLLPLLLRLHDLLQLPQVVLVYVSSATPDAYYSMTGSVEPNYVYFPDYTVDEVRDILMHDHPNPKLYSSFLSVALKPLFRVTRRVDELSAVLEPLFRRYCEPLGDLKAVPDEGMKRRLFEHVQSHLAVALNETFNVPMRASMDEIKDGGSAGKGSAKRQFAGKDGLSSELEFHMSVSAKYLLLSAFLASRNPATLDAALFDSTGGLDNRKRKRKSSQASMHMKDTIVEEMLMKGPGTFPLERLLAIFQCITSVSEDILDEIDCPDNMASESGTTGLMSDVLLQLSTLCNSNFLSKSRSCPLEGSARYRSNIDEDLALKVARSVNFPLSKYMYRR >ORGLA03G0319400.1 pep chromosome:AGI1.1:3:28427723:28430136:-1 gene:ORGLA03G0319400 transcript:ORGLA03G0319400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSTTRRRRRSRKLSVAARKFRRKVSAAIADAPIARSGGGGGAGGEVAAANCFARHEVVHVEAPVSNVTLHLTQLQWQHSQMDAGSVICEEAWYDSVSILDSADSEDDDLDNDFASVSGDPLPDVTATATSTSTSLLDAVHRLRSIASAEACQDDDPPGKAEESNAAAAADECCCSSGGGLKESAASSTRPPFPPSIPSNKIQPMPIVSVSPHSQKKKSAVVRLSFRRRSYEGDEMTEMSGSTNYLYRPRAGSSLPCSTGEKLSDGCWSAIEPSVFRVRGESFFKDKRKSPAPNCSPYIPIGADMFACTRKINHIAQHLALPSLKAHETFPSLLIVNIQMPTYPATVFGENDGDGISLVLYFKLSDSFDKEISPQLKESIKKLMGDEMERVKGFPVDSNVPYTERLKILAGLVNPDDLQLSAAERKLVQTYNQKPVLSRPQHKFFKGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVLDLGLTIQAQKAEELPEHVLCCMRLNKIDFADSGQIPTLIMSSDE >ORGLA03G0319300.1 pep chromosome:AGI1.1:3:28419429:28427001:1 gene:ORGLA03G0319300 transcript:ORGLA03G0319300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVAVLRLAASAAATAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVAGPPEVDDDDAMTIDNLRRFFDVNVGKWNGAFYVRARLPLVLLLLLLLWVCSLQDXDAECSCSCACVLVFFSSAIRCAREGAAGDQHAAVSEHLRGGRPHQPPAIAVYQASFFSDIVCRXGGFXRVGGVQNQRDKHVHCRXIPAGRILSRGKGICSEVSDCWNAGDCPSGWCARRRXYWXRIPQKLEDPFSXAIYCMXELPLLSXRQWPSKGFPHHGPKGSARYAYNFPXKAGIXSPTYVLFRXCRYHQQXXNSSTTGKMGRPFCDXEERGVWSNTFXSXYGGSPXKGPQWSANSGXHVNKIWIXHNNNCSLDRISKXQLASVXWRIXNDLVTWRNVHGISDRHRXDCEXHGFFSFGVLLDGITRKEAAACADLXLSWFGCFINLLLXDXKALKSQNLIIIFVAVSATMAGHLIXAMPIVSPVKEKAAIAFDAGEXPPSPPPRSIEQSHRRKETASVGFGNIQLKQLERVSPIPTHLARIPSLGRQPSLSSIGRRCHCTFQLVFVAXXKQRKLTRAAAAAMADRRRSDGGGGMQQQPFTSPGQERVFDGGGVPGQVAAPYGSDFDQSSYMALLAAGAVGVGVGVQPTAAPWAVEEDVAAAPPGISLAPQFSMANYAPPPSYQHPATLVSPPLAAGLHPYSPYLHGVDAPPTQWPPRPAPPPSFSVLDLAAAAAPHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAAIEQPAKDGYNWRKYGQKQLKDAESPRSYYKCTRDGCPVKKIVERSSDGCIKEITYKGRHSHPRPVEPRRGGAASSSSSAMAAGTNHNAGAAADDAAAADEDDPSDDDDTLLHEDDDDGEEGHDRGVDGEVGQRVVRKPKIILQTRSEVDLLDDGYRWRKYGQKVVKGNPRPRSYYKCTADGCNVRKQIERASADPKCVLTTYTGRHNHDPPGRPPAAANLQMPGPAAMSLAGGGTAHQQPSGGAHQMKEET >ORGLA03G0319200.1 pep chromosome:AGI1.1:3:28416626:28418474:1 gene:ORGLA03G0319200 transcript:ORGLA03G0319200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic elongation factor 5A-1 [Source:Projected from Arabidopsis thaliana (AT1G13950) TAIR;Acc:AT1G13950] MSDSEEHHFESKADAGASKTYPQQAGTIRKNGHIVIKNRPCKWSGNHASLLVDMKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTESGGTKDDLRLPSDEALLTQIKDGFAEGKDLIVTVMSAMGEEQICALKDIGPKN >ORGLA03G0319100.1 pep chromosome:AGI1.1:3:28411661:28414874:1 gene:ORGLA03G0319100 transcript:ORGLA03G0319100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCNNYLFSEFFLFFASNLFSEFHNRNDNLYLLICVYCCVVFLSGQVVTATLDKKLMFWDSQTRNVNPNSIKNLDSDVASLSVCEMYILAAIEREVYIYDMRNLIGPVKVKDSPVEYHLRSLHSSPEWKGYAAGSVDGVVAVKYFDRGTDGDMGYVFRCHPKSRDGRSSMVPINSIGIHPLYVLYFFFVHLPAVMFLKVIFFFETNLKVMWTHWFLIGSDKTFVTGDNEGYVIAWDAQSKKKLHEFPIYSGSVASIAFNHNGQIFAVASNSNYQESDKMVEEHQIFFEMKQHF >ORGLA03G0319000.1 pep chromosome:AGI1.1:3:28410307:28411450:1 gene:ORGLA03G0319000 transcript:ORGLA03G0319000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRRREGGGAVAGAASRLRFAPSSNHLLVSSWDSGLRLYDADACELRMEAKSEAALLDCCFQDEAVALTGGSDGSITRGSRYYWATPRSGFLHRVLPDNW >ORGLA03G0318900.1 pep chromosome:AGI1.1:3:28407246:28409171:1 gene:ORGLA03G0318900 transcript:ORGLA03G0318900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKGVDGRSASNNQFFHQGQNGSIGWFARSPLEAPAINNFPRLDRSHNSSLGALAPPMSIPRIDHPSGASPIGSCTVDPRSSAINNATFQPIPSFKGSSTVNQSIGTSILKVKKNEDLDGNCPGFALDPFCASRPQHQVAISSDEEQTECLMFEHSARHRENPHFANDKGPKNFNLNEALSDGQEDYLVEQDGGSVSSLPQSKASGFPWLIKTTDTCTRPSDLQNPRKVFAHSNRIVIDLNSNTDRKEAALTIHSLSDSASTSLDCGVKKESQDCGIKKDEAFGDITTRTEVACNTTQESATCLPVLCQEYVPGDDKAANGGDKKSSAPVRNFIDLNDDAPNEDNSESSVVSHECHVVSLQNNHGKRKFVIDLEVPACEEGVAWDFNQECSPSGKLDVTQEADDAHFTCTKIAAESIVALSMHVPTIAETPDDMLQWFADLALSSTDDHVEQAEAHDCVNNSSDDGLDSFESLTLKLEETKIDEYWSRPQAPEIPNDEQAGLSVNLLTKPKRGQQRRRRQKRDFQKDILPGLTSLARPEIIEDIQLLEGLVQASGGSWQSSLTRRGRYGGRPRGRKPRKNLSETIEEEEVPVSPPAKPDTAKPDAAEIEASDRGIIGWGRTTRRCRRPRCPSGYNISAAS >ORGLA03G0318800.1 pep chromosome:AGI1.1:3:28387702:28388334:-1 gene:ORGLA03G0318800 transcript:ORGLA03G0318800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTRRRAALLVVAVCACAALPSTTTANKFSINWKPNTNYSDWPAQHGPFYKGDWLVFYYTAGQADVIQVDAAGYNTCDATNAISNYSKGRTYAFELNETKTYYFICSYGYCFGGMRLQIKTEKLPPPSPPAAAKDKSAAAFTASRASLFYAAAAAALAAILRMF >ORGLA03G0318700.1 pep chromosome:AGI1.1:3:28382588:28385131:1 gene:ORGLA03G0318700 transcript:ORGLA03G0318700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G03430) TAIR;Acc:AT2G03430] MSATMDIDGAAASTAAGGGRHSEKELFSAAESGDAAAFSSLSPADLAAALALRDEDGRSLLHVAAASGHAQVVRVLAVVGGDAAASVVNGKDEEGWAPIHTAASSGKAEIISILLDQGANVDLTTDAGRTALHYAASKGRLNIAETLIAHSANVNKKDKFGCTPLHRAASTGNAELCEFLIEEGADVDAVDKTGQTPLMHAVISEDKAVALLLVRHGADVDIEDKEGYTVLGRASDSFRPALIDAAKAMLEG >ORGLA03G0318600.1 pep chromosome:AGI1.1:3:28372630:28377502:1 gene:ORGLA03G0318600 transcript:ORGLA03G0318600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-regulated ion channel family protein [Source:Projected from Arabidopsis thaliana (AT5G15410) TAIR;Acc:AT5G15410] MPSLSFLRFLSGRSLADVCDGVKRRLGLGDDEGREEEAGLAGGSSRPAAAAAAAVAGPPGECYACTQPGVPSFHSTTCDQVHSPDWDADAGSSLVPVQAQPSAAHHAAAAAARWVFGPVLDPRSKRVQRWNRWILLARAAALAVDPLFFYALSIGRAGQPCVYMDAGLAAAVTALRTAADLAHLAHVLLQFRVAYVSRESLVVGCGKLVWDPRAIAAHYARSLKGLWFDLFVILPIPQVIFWLVIPKLIREEQIKLIMTILLLLFLLQFLPKVYHSIYIMRKMQKVTGYIFGTIWWGFGLNLFAYFIASHIAGGCWYVLAIQRVASCLQEECKIKNTCNLISLACSKETCFHLPWSDKNGLACNLTSFGQQNIPDCLSGNGPFAYGIYKGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRKYERERWAAITGDEEMEMIKDLPEGLRRDIKRYLCLELVKQVPLFHGMDDLILDNICDRLRPLVFSSGEKVIREGDPVQRMVFVLQGKLRSTQPLAKGVVATCMLGAGNFLGDELLSWCLRRPFLDRLPASSATFECVETAQAFCLDAPDLRFITEQFRYKFANEKLKRTARYYSSNWRTWAAVNIQLAWRRYKARTTTDLASAAQPPSAGGPDDGDRRLRHYAAMFMSLRPHDHLE >ORGLA03G0318500.1 pep chromosome:AGI1.1:3:28371033:28371338:1 gene:ORGLA03G0318500 transcript:ORGLA03G0318500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCRIAAQIEGHMSSYAHPTAAFGPDNLPLAGPTGPLRVPGVRNTSWAQTLGPPVSPWTGSTSRTTSSEASKAAALHEVHETATSVSTSLSRRNGTKKSR >ORGLA03G0318400.1 pep chromosome:AGI1.1:3:28360132:28365855:-1 gene:ORGLA03G0318400 transcript:ORGLA03G0318400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4 glucan phosphorylase [Source:UniProtKB/TrEMBL;Acc:I1PFN8] SQKISSVLNSIDSSTIASNIKHHAEFTPVFSPEHFSPLKAYHATAKSVLDTLIMNWNATYDYYDRTNVKQAYYLSMEFLQGRALTNAVGNLELTGQYAEALQQLGHSLEDVATQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKHGLFKQIITKDGQEEVAENWLEMGNPWEIVRTDVSYPVKFYGKVVEGTDGRMHWIGGENIKVVAHDIPIPGYKTKTTNNLRLWSTTVPSQDFDLEAFNAGDHASAYEAHLNAEKQQYTLCSASLQDIIARFERRAGDSPSWEDFPSKVAVQMNDTHPTLCIPELMRILIDVKGLSWSEAWSITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVEIIEKIDGEQLMNIIISKYGTEDTSLLKKKIKEMRILDNIDLPDSIAKLFVKPKEKKESPAKLKEKLLVKSLEPSVVVEEKTVSKVEINEDSEEVEVDSEEVVEAENEDSEDELDPFVKSDPKLPRVVRMANLCVVGGHSVNGVAAIHSEIVKEDVFNSFYEMWPAKFQNKTNGVTPRRWIRFCNPELSAIISKWIGSDDWVLNTDKLAELKKFADDEDLQSEWRAAKKANKVKVVSLIREKTGYIVSPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSAKDRINSFVPRVCIFGGKAFATYVQAKRIVKFVTDVAATVNHDPEIGDLLKVVFIPDYNVSVAEALIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAEAHEIAGLRKERAQGKFVPDPRFEEVKRFVRSGVFGTYNYDDLMGSLEGNEGYGRADYFLVGKDFPSYIECQEKVDKAYRDQKLWTRMSILNTASSSKFNSDRTIHEYAKDIWDIKPVILP >ORGLA03G0318300.1 pep chromosome:AGI1.1:3:28351291:28353111:-1 gene:ORGLA03G0318300 transcript:ORGLA03G0318300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKCAPAAAATATAKKGQKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSDDPSVVITTYEGQHCHHTASFQRGVGGAAVAAHIHGAAAVALAEQMSAFVSPPPQPHMLYGLPRLHPPSSETAVSCSMPTTTSLQELNNGEGLQRPGYNNSPQAAVTIAQRPPSPSVPPAVSFDKGLLDDIVPPGVRLG >ORGLA03G0318200.1 pep chromosome:AGI1.1:3:28344393:28345835:1 gene:ORGLA03G0318200 transcript:ORGLA03G0318200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PFN6] MVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISKPRIDAWNSEQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIDDLFKPDRVLIGGRETAEGRKAVQALKSVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVTEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLAMGKFDWDHPMHLQPTSPTAFKQVSVVWDAYEATKNAHGLCILTEWDEFKTLDYQKIYDNMQKPAFVFDGRNVVDPEKLREIGFIVYSIGKPLDAWLKDMPAVA >ORGLA03G0318100.1 pep chromosome:AGI1.1:3:28330659:28332103:1 gene:ORGLA03G0318100 transcript:ORGLA03G0318100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATQLHVMVLPFPAQGHVIPLMELSHRLADQGFKIDFVNTEFNHDRVLKALAEKGAIPGGIRMLSIPDGLDPADDHTDIGKLVQVLPAAMLSPLEKMIRSEKIKWVIVDVSMSWALELATTMGVRIALFSTYSAAIFALRMNLPKLIEDGILDETGNVKKHEMVQLMPPIDAAEIPWVSLGSTQERRRYNIQNVFKTNRLMALAEMIICNTFREIESEALELLSNALPVGPLLAPASGPTGHFLPEDMTCLTWLDTQAPGSVIYVAFGSSTIFDVAQFHELANGLAVSDQPFLWVVRPNFTNGIQEDWFNEYKDRIKGKGLVISWAPQQRVLSHPSIACFMSHCGWNSTMEGVLHGVPFLCWPYFSDQFCNQSYICNVWKTGIKLCRDKQGVVTQEEIKNKAAQLLEDKEIKERAVTLKTTARASIQEGGSSHQNFLELVNLLREQ >ORGLA03G0318000.1 pep chromosome:AGI1.1:3:28327125:28328640:1 gene:ORGLA03G0318000 transcript:ORGLA03G0318000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPRPQPHVMVLPFPAQGHVMPLMELSHRLVGLGFEVEFVHTDFNRDRVINAMANETGAIPDGIHMVSFPDGMDPAGDRANIAKLGDGLPAAMLGGIEEMIRSEGIRWVIADVSMAWVTELAATVGVHVALFSTYSAAVVAHRLQVPKLIQDGVLDEIGNVRRNEMIQLRPTMPPVLAVELPWVTLSGTPDGRRMVIQNVFKTNPTISSAEVIICNTFQDIEPGALALVPNVLPVGPLEAPVTSRLAGHFWPEDTTCLAWLDEQDACSVVYVAFGSFTVFDMARVQELADGLVLSGRPFLWVIRQNFTNGAGEGWLEEFRHRVSGKGMIVGWAPQQSVLSHPSITCFVSHCGWNSTMEGLRHGVPFLCWPYFADQYCNQSYICNVWGTGVKLQADERGVVTKEEIKNKVEQLVDDKEIKARAAKWKHAACTSIAEGGSSHENLLKFVNLLREQ >ORGLA03G0317900.1 pep chromosome:AGI1.1:3:28325475:28325822:-1 gene:ORGLA03G0317900 transcript:ORGLA03G0317900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLRIMIGSAFTALELTRETYGRRCHPPRRSGQNPHISTSVVREAALDDVNHGGELVKLVGRINASASAAGGGGREVTWMVADVNMAWAFPVAKKRSSASVSPSAASALRRWRCP >ORGLA03G0317800.1 pep chromosome:AGI1.1:3:28324428:28324922:-1 gene:ORGLA03G0317800 transcript:ORGLA03G0317800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAKGHVLVLPMPCQGHVIPLMELSHRLADEGFEVTFVNTEVDHALVVAALPPGGAAELRQRGIHLTAIPDGLAEDEDRKDLNKLIDAYSRHMPGHFERLIGEIEAGGGRPKVRWLVGDVNMGWSFAVARRLGIRVVSFSPASTACIAFMRKIPKLIEDGVLNEK >ORGLA03G0317700.1 pep chromosome:AGI1.1:3:28320078:28321782:-1 gene:ORGLA03G0317700 transcript:ORGLA03G0317700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGESHVLALPFPAQGHVIPLMELAHCLVEHGVKVTFVNTEVNHGRILGALDDASHGGELGGVDMVSISDGLGHGDDRSDLGRLTESLLSAMPSELEKLVGRINASASAAGGGGREVTWMVADVNMAWAFPVAKKLGLRVAGFCPSSAAMFVTRIRIPELVRDGVLDESGMPRWRGAFRLAPAMPPVDTAEFSWNRAGDPRGQPAIFRLILRNNAATHLAEAIACNSFEELESGAFAVDVPGRVLPVGPLASGGKPVGGFWPEDASCAAWLDAQPAGSVVYVAFGSIAALGAAQLAELAEGLALTSRPFLWVVRPGTASERCLDGLRRRAAPRGRVVGWCPQRRVLAHASTACFVSHCGWNSVVEGVSNGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPARLVARQLIRRKVEELIGDQETKARAIVLRDAASLAVGDGGSSRRNLTRFLDLIRS >ORGLA03G0317600.1 pep chromosome:AGI1.1:3:28316879:28317085:1 gene:ORGLA03G0317600 transcript:ORGLA03G0317600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSSSHSPLPMMMASAPTSPRADAVGSPDDLNHSDIAGYMPPELGLLADLALLYLNSNCFCASSR >ORGLA03G0317500.1 pep chromosome:AGI1.1:3:28309838:28310353:1 gene:ORGLA03G0317500 transcript:ORGLA03G0317500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHVLVLPMPCQGHVIPFMELSHRLADEGFEVTFVNTEVDHALVVAALPPGGAAELRQRRIHLAAIPDGLAGDEDRKDLNKLIDAYSRHMPGHLERLIGEIEAGAGGGRPKVRWLVGDVNMGWSFAVARRLGIRVVSFWAASTACLAIMHKIPKLIEDGVLNEKGKHVV >ORGLA03G0317400.1 pep chromosome:AGI1.1:3:28291007:28293923:1 gene:ORGLA03G0317400 transcript:ORGLA03G0317400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEATSSGGGGGGGAGVNDPRQPSTARQYTPPKLSPQDLPIDYAGFLAVVFGVLGVMLRYKVCSWIAIIFCAQSLVNMKNFENDLKQLSMAFMFAVMGLVTNYLGPPRPGTKR >ORGLA03G0317300.1 pep chromosome:AGI1.1:3:28288241:28290698:-1 gene:ORGLA03G0317300 transcript:ORGLA03G0317300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARRGKPGRSEQRRRGASSPPPRSIRGPVNFLLQSWYGECTKMLAKVNESGIKDTSVNRSTSGFQQDANYEFSNRALQHGYSVPGPVFEEKSFSAAQEFVQNSHQFDHFLRPFRPGQCEGMQMPNDSLDITQRSILSNASCLDHAEEITSYDTDGYDDRTISFGSSCSTIPASYPYISPLQRNHLISDTRDCTWTALMQESLEASNSNNGLNEDCSDLTFMKVLLVLTKRLN >ORGLA03G0317200.1 pep chromosome:AGI1.1:3:28285769:28288196:-1 gene:ORGLA03G0317200 transcript:ORGLA03G0317200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGAPESAISDGSSCHQQYNSTSQVTDLFYTSASQWATTSSSVLPLPFCGSNPVSCLGANGEDHLLTDDHTLLHEQRRAVCGTSYDLTDNVANPVLEFTNILDGQSSLNKTYISHDGLVATNGVWKGHRDVMENHPLGVYSSSHARHPQMELPMTRTSHVLLPPPNLSNNPNSSFVRGTELKKAELMGAYSTTENYLDLDNSERKGIICPKSSEQNVAENICNKAAEYQCNDYSQIVCNQQTVLLPLNKASHFGGLPTKKFDGKLVSRQKKRKRATSLLSWHAQVMSGCSKTHHTRKPELDWAHATRRLVEKVDAENMKTKNSTFVSQAQKRLALTTKLMQYILPVLPDRLLAANAIDSCETIVYRTSRLALPDAFNPAISSVSDANNFIPTESMPQNQTSTSEKEDDKLVPEVLETFTMRFDELQNSFSRAERATTFQDLATETRDLERWSILHHFIKLHKYSRLHEDDVSNTRPKPCRSTIRKHAGPDQLPVDFLNSVRCRLLN >ORGLA03G0317100.1 pep chromosome:AGI1.1:3:28273244:28279667:-1 gene:ORGLA03G0317100 transcript:ORGLA03G0317100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGWGTGKGDVEEERNQIMQKIFGDESGEEVVVEEEEEEEDPEEYLAHDGDDATSGGDRGSGGGGGDGGQLQDRRLASPAAEVVEEEYGLEDNGHGGDQWEEGQGESQGSSGMAQEIEADSHDVELGNQSTQVHMKGVNTAEGGHVKRTITSETRSTENEEDHEVLHDAFDDNDKDGLAPYGSRDDNKHAHESLMNDEGPYEELLPEDMVDEDKHYEPGENIEHELKDKPLGPPLNLVVPRMLPPGQPDRMNVIKVSNIMGVNPKPFDPETYVEEDALTDESGGRKKIRLEDNIVRWKIVKNADGTESRESNARFVKWKDGSIQLLIGNEVLDISVNDSNHDNSNLFLRNGKAIIQSLPLPLLSIDHHHVHKLTTDFFSGAYAITRKASTEDEKTIKMQTWIDENDPEKVKEEREKAEGENIRASSSLQRKREQVKRKYSQPLRKRRKLTPGFLEDALEEDEAPGVGYNQRRGPGHAHFEDSLEAEALSKRHVTNAKKANVGKAVPSPSVPKHQVNEYSKSESEESEYETDVEDIDNSPTNGREDDMDEEEEEDPEEVIGDTSMSDENNEEQEHVKERKGFNSDDESPPRKQPLNRRKTVVFDSDDE >ORGLA03G0317000.1 pep chromosome:AGI1.1:3:28268690:28271312:-1 gene:ORGLA03G0317000 transcript:ORGLA03G0317000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLAEGVMELAVGAPESNSSSSSSPSGATPSSGGPMWWVSGCHGTVYSLAVMLPSLAFVGFLAWQARRSFRRLSYGRSHVVVVAYYALLWAVAVLNLLWCFLQAWQCMPDRAFSWNVLSLFTKSGMLFLEVSLIAFLLQGNETSGFESLARTFVISGAVVAADVLLKTIYVFGFGVSLFIDVDQGTGGKWGLWFLHKLVLTGVYGLIVFMYHSRWRDRLPAKPAYYNYVCAMLLLNGISLFGCFLVATGAGFGLWLYNLTSVCYHSLYLPLLYVTFLADFFQEEDMLLENVYYSEMKDAGFFDADWD >ORGLA03G0316900.1 pep chromosome:AGI1.1:3:28264473:28266441:-1 gene:ORGLA03G0316900 transcript:ORGLA03G0316900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPRLLLMALMAAALAGRSEGAWCICRQDMPDSTLQKTLDYACGDGADCKPIQQSGACFSPDTVKAHCSYAVNSFYQRNNQNSQACVFSGTATLVTTDPSSNGCMYPASASAAGTGTPTSGNGGSTGVDGPPGMGLGPSSFNDNSGASLLPEVGTAMWILILACSIMVLNFS >ORGLA03G0316800.1 pep chromosome:AGI1.1:3:28256801:28259950:-1 gene:ORGLA03G0316800 transcript:ORGLA03G0316800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARRSAASSSFFLLLLVLAVRVRASSDRVQERDRSALLELRGAAGLLGRWPTGSAVADHCSWPGVTCDASRRVVAVAVAAPPASGSSELAGELFPAVGLLTELRELSLPSRGLRGEIPAEIWRLEKLEVVNLAGNSLHGALPLAFPPRMRVLDLASNRLHGEIQGSLSDCKSLMRLNLSGNRLTGSVPGVLGGLPKLKQLDLSQNLLTGRIPSELGDCRELRSLQLFSNLLEGSIPPEIGRLRRLQVLDISSNRLNGPVPMELGNCMDLSVLILTSQFDAVNLSEFNMFIGGIPESVTALPKLRMLWAPRAGFEGNIPSNWGRCHSLEMVNLAENLLSGVIPRELGQCSNLKFLNLSSNKLSGSIDNGLCPHCMAVFDVSRNELSGTIPACANKGCTPQLLDDMPSRYLSFFMSKALAQPSSGYCKSGNCSVVYHNFANNNLGGHLTSLPFSADRFGNKILYAFHVDYNNFTGSLHEILLEQCNNVEGLIVSFRDNKISGRLTEEMSTKCSAIRALDLAGNRITGVMPGNIGLLSALVKMDISRNLLEGQIPSSFKELKSMKFLSLAENNLSGTIPSCLGKLRSLEVLDLSSNSLSGKIPRNLVTLTYLTSLLLNNNKLSGNIPDIAPSASLSIFNISFNNLSGPLPLNMHSLACNSIQGNPSLQPCGLSTLANTVMKARSLAEGDVPPSDSATVDSGGGFSKIEIASITSASAIVAVLLALIILYIYTRKCASRQSRRSIRRREVTVFVDIGAPLTYETVVRATGSFNASNCIGSGGFGATYKAEIAPGVLVAIKRLAIGRFQGVQQFQAEVKTLGRCRHPNLVTLIGYHLSDSEMFLIYNFLPGGNLERFIQERAKRPIDWRMLHKIALDIARALGFLHDSCVPRILHRDVKPSNILLDNEYNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLQKGRTREFFIEGLWDVAPHDDLIEILHLGIKCTVDSLSSRPTMKQVVRRLKELRPPSY >ORGLA03G0316700.1 pep chromosome:AGI1.1:3:28251079:28252726:1 gene:ORGLA03G0316700 transcript:ORGLA03G0316700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGVCAPRVVVDARHHMLGRLASIIAKELLNGQRVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRSPAKILWRTVRGMIPHKTKRGEAALARLKAYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGELSKEVGWNYYDTIRELEEKRKEKAKVAYDRRKQLAKLRVKAEKAAEEKLGPQLEILAPIKY >ORGLA03G0316600.1 pep chromosome:AGI1.1:3:28245323:28245923:-1 gene:ORGLA03G0316600 transcript:ORGLA03G0316600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLMKGRTVLVIAHRLSTVKSTDTVAVISDGQIVESGTHDELLSRDGIYTALVKRQLQGPRWTPFPGDPLNVNGYEFIHSENATQSRTTAVFGIHVSXKMEQSIST >ORGLA03G0316500.1 pep chromosome:AGI1.1:3:28242579:28244873:-1 gene:ORGLA03G0316500 transcript:ORGLA03G0316500.1 gene_biotype:protein_coding transcript_biotype:protein_coding APDGDAPGRRRCRLVVKSKRRNQQRRQRRKRAAARKKRGGSPASENNGEEHADAQGCLPDISTSKSENYKERAFSTQGDLPQKAGCDLEANLCAESVQSSSSQLVHSNENSNPKRPEVKNANSAGNSEHCMLDTRKTTDHDTSSMPLQDSRFDANVRIHGLHSTTEERVCQSTVGDGFTGMSNASYNPYSVRIGPFMREPYTLHSHFLHPSHVRGYIGNKFMGFPPVHPMNAFDPFNQGFNFFHTGNIPPYGVSDVHRGLNIYGLSTMGKWEYNYGRHMDYTNVERNEMRMTEEAYLSTHNSANFIRPSSLPLTYQQKPPITLLPRVSLTGFRKKKLLILDLNGLLADINQDYHNSHMADAKVRGKLGEFTFFRRPYCHDFLSFCLQNFELGIWSSRKKQNVDSVIDIIMRDFKPLLQFCWDMSKCTFTGHKTLENIHKPLVLKELRKLWNKEEPDLPWEQGYYSPSNTLLVDDSPYKALRNPPYTAIFPQPYSYLNSNDNSLGPGGDLRVYLENLT >ORGLA03G0316400.1 pep chromosome:AGI1.1:3:28237183:28241020:-1 gene:ORGLA03G0316400 transcript:ORGLA03G0316400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLASIIAKELLNGKRVVVRMNTKPSHGPIHFRSPAKILWRTVRGMIPHKTKRGEAALARLKAYEVVTPPYDRTKRIVIPDALKVLRLQPGHKYCLLGQLSKEVGWNYYDTIRICVLCIGGGCCDEDIMLPLLKALRTLAGRIEPIIKATTE >ORGLA03G0316300.1 pep chromosome:AGI1.1:3:28234046:28235953:1 gene:ORGLA03G0316300 transcript:ORGLA03G0316300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGPHVSYISYLSPHLSLLSQSALARGGVGRGGDGEDDGRRSGARRRRWMSAQPPEWQRRLSEVPRGSSPSPSSVSRSQAKAVAPTSRARSPRTAQDEPWRRDFGNEAGVDADVGADENGSRRCGRNEDPSFSRGVCIACKMDLEKVMTNVQISQN >ORGLA03G0316200.1 pep chromosome:AGI1.1:3:28216645:28221541:1 gene:ORGLA03G0316200 transcript:ORGLA03G0316200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transporter associated with antigen processing protein 2 [Source:Projected from Arabidopsis thaliana (AT5G39040) TAIR;Acc:AT5G39040] MGKNLRIKTGNRAPLLAQGETSRALSDLEEGSNVQPANVGFCRVIKLARHDAGKLVIATMALLVASLSNILVPKYGGKIIDIVSRDVRRPEDKAQALDDVTGTILYIVIIVVTGSVCTALRAWLFNSASERVVARLRKDLFSHLVNQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNITTTSIGLGFMFATSWKLTLLALVIVPVISIAVRKFGRFLRELSHQTQAAAAVASSIAEESFGAIRTVRSFAQESHEVLRYGEKVDETLKLGLKQAKVVGMFSGGLNAASTLSVVIVVIYGANLTINGYMTTGSLTSFILYSLTVGSSVSALSGLYTTVMKASGASRRVFQLLDRVSSMANSGDRCPTNENDGEVELDDVWFAYPSRPSHMILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPLKGRILLNGVPLPEISHQFLHRKVSIVSQEPVLFNCSIEENIAYGLEGKASSADVENAAKMANAHNFICSFPDQYKTVVGERGIRLSGGQKQRVAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVISDGQIVESGTHNELLSRDGIYTALVKRQLQGPRFEGTSNATAEIEPISNGQ >ORGLA03G0316100.1 pep chromosome:AGI1.1:3:28206640:28215108:1 gene:ORGLA03G0316100 transcript:ORGLA03G0316100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69220) TAIR;Acc:AT1G69220] MAFSPRSPWSRSRKPDVYSTVVVHGDDDDDDARGGGGGGRHALAEDDEEEDPSSLPPLLQRLPKDFGGGASFDEYDDPYSSDLDDASLSATVVVKRGAPASTSASSRSPFLDLRRSSPRAAEVDPYSTFVVHGTARSGGASSPRESASGTFVRRSGGSSSPRESVSGTFIRRTGSPSSPHESISGTFIHHTSGASSPRDTAQGGGGFGSSFWSPAVGQSEELRQPALLVQQQHQQQQNSRRKPSVSSVPESVTREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAIKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIVMEYCGGGSVADLIGITEEPLDESQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGMPPRSTVHPMRVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPAASEMLKHKFIEKCNPGASKMLAKIKEAKKIRAKVAAETELSGPDSDATVRINDDFGETVPTNPQQQTNHETYDGGAGDFGTMIVHPEDGDEVDESPIFPSSEFIPGLGSINSFTHDPKRAELISNFWAENTADIEANKEQYLDEHPDMQEAKTMPPSTGTVKKLKVAEGTMPCHGNQVSSASPGVASTMTKLNSSPSRKAFSVQDKLWSIYAAGNTVPIPFLKAIDISPLALVSDSVVGNGLAGSNRTDALEAVRELFSGDGQTKKGRKGQNEVPLPPGVHHRLTTSPTLMNLAQALAYHKTCYEDMPLQDSQATQEQQTIQNLCDTLRTILRL >ORGLA03G0316000.1 pep chromosome:AGI1.1:3:28201139:28206009:1 gene:ORGLA03G0316000 transcript:ORGLA03G0316000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60940) TAIR;Acc:AT5G60940] MDAAVQEAKLLRQVNALIVAHLRDHNLTQAAAAVAAATMTPLAPPEPDGDDSVPANQLLRLVAKGLAVEREETGRGGGAFDSAAAAAGYGGLLPPLGTNAVDFSTQDVKGSSKSFPKHEARHVSDHKNVVRCAKFSPDGKYFASGSGDTSIKFFEVAKIKQMMLGDSKDGPVRPVIRTFYDHTQPINDLDFHPESPILISAAKDNTIRFFDFSKTVARKAFRVIQDTHNVRSVCFHPCGDYLLAGTDHPVAHLYDINTFTCYLSANSHDSNAAINQVRYSSTGSLYVTASKDGSLRIWDGISAECVRPIIGAHASAEVTSAIFTKDERYVLSCGKDSCIKLWEVGSGRLVKQYVGAVHRQFRCQAVFNQTEEFVVSTDEQNSEVVVWDALTAENVARLPSGHTGAPRWLGHSPAEPAFVTCGNDRSVRFWKQTV >ORGLA03G0315900.1 pep chromosome:AGI1.1:3:28197020:28199760:1 gene:ORGLA03G0315900 transcript:ORGLA03G0315900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G15640) TAIR;Acc:AT5G15640] MDTTTRAKIPSLHHQTEINWDNLDKTKLYVVGAGMFSGVTVALYPVSVIKTRMQVATGEAVRRNAAATFRNILKVDGVPGLYRGFGTVITGAIPARIIFLTALETTKAASLKLVEPFKLSEPVQAAFANGLGGLSASLCSQAVFVPIDVVSQKLMVQGYSGHVRYKGGLDVAQQIIKADGIRGLYRGFGLSVMTYSPSSAVWWASYGSSQRIIWSAFDRWNDKESSPSQLTIVGVQATGGIIAGAVTSCVTTPIDTIKTRLQVNQNKPKAMEVVRRLIAEDGWKGFYRGLGPRFFSSSAWGTSMIVCYEYLKRLCAKVEEV >ORGLA03G0315800.1 pep chromosome:AGI1.1:3:28190393:28193210:-1 gene:ORGLA03G0315800 transcript:ORGLA03G0315800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGGGAGSARSVAWGASALLVAALLLSAPSATEAYDSLDPNGNITIKWDVMQWTPDGYVAVVTMFNYQQFRHIQAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNPPHCCKKDPTIVDLLPGTPYNMQIANCCKAGVINTFNQDPLNAASSFQISVGLAGTTNKTVKLPKNFTLKAPGPGYTCGRAMIVRPTKFFTNDGRRATQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVNCPTCSCGCQNNGTSPGSCVNENSPYLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKVTITNFNYRMNYTQWNLVIQHPNFNNITQLFSFNYKPLTPYGGRINDTAMFWGVKFYNDLLMQAGPLGNAQSELLLRKDSMAFTFDKGWAFPHRVYFNGDNCVMPPPDAYPWLPNASPLTKQPLTLPLLAFWIVLATFLAYA >ORGLA03G0315700.1 pep chromosome:AGI1.1:3:28187568:28188568:1 gene:ORGLA03G0315700 transcript:ORGLA03G0315700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome B5 isoform A [Source:Projected from Arabidopsis thaliana (AT1G26340) TAIR;Acc:AT1G26340] MPTLTKLYSLEEAARHNTADDCWVVVDGKIYDVTKYLDDHPGGADVLLEVTGKDAKEEFDDAGHSESAKELMQDYFIGELDPTPNIPEMEVFRKEQDVNFASKLMANAAQYWPIPATVVGISVVIAVLYARQK >ORGLA03G0315600.1 pep chromosome:AGI1.1:3:28186449:28186673:-1 gene:ORGLA03G0315600 transcript:ORGLA03G0315600.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISASPMAMASTLPLLLMHRSTPPTPRSTAPPLLHSRRLALPPRPASLPATTVVVHPHKEDVRLSKLHAASCCDSA >ORGLA03G0315500.1 pep chromosome:AGI1.1:3:28171799:28172161:-1 gene:ORGLA03G0315500 transcript:ORGLA03G0315500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRHHLAVKWEDDHLCICYLTLYIMGLLYSCYALSGQFILWSVAVLFLSERLSIQSIVWSLNYCMGAYYLFYRIRGMESLNCYIGAYFLFHLIRDVKILWAYSLFYLIIDVGSTCTLT >ORGLA03G0315400.1 pep chromosome:AGI1.1:3:28169373:28169840:1 gene:ORGLA03G0315400 transcript:ORGLA03G0315400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFEWHFHVFWGGVELWSSKNPAPPRSSFSRSSFANSTQKMEDLDRLAQLRLQGFINYV >ORGLA03G0315300.1 pep chromosome:AGI1.1:3:28165333:28167222:-1 gene:ORGLA03G0315300 transcript:ORGLA03G0315300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASRCVLLDPGVPDYVFSIGPTEQGWASIDLKKKRYQGCGRYGPLLAESVKLYVRLAEEHPALSRLAICADKNIIRNIVAEELKKKKASKAAESSPPGLMVPDLAIRAIEFDKIDEISGVTARVEVADKNLMVLSLSFVFTSSKHYLLYDAIHASMSMIPIPNWCCDIYLPSNPLPVRYGDEYALVLFAKNYPYKREGRSTCIDLLYLWTPPKSSSPSTSPPPPPPPPPPREKMYPNPSGEPWHTRKPRFSKETPASFCHHVKFTSSSHAFWADLTKGVLCCRIKDLLDSFFVHFDFIELPPGCKSDALDDSDTGPAEMFRTMGCSTGDLIKFVSISFDDSVPEDDKTVTEWTLDMGTLQWTKGEELRFGTLWELDDFKKDGLPETEPVYPLLSMEEGDGGDLYFILSRPIMRWEDPAVHHVCRFNMTSKKLVSNPLSWRPDKIVPSGLLGCEFFRHLDSQRLVPDNRKMDAGKVQSWVEMESALEEVDESMQREISRKQMVWSGSQLDLFCQAN >ORGLA03G0315200.1 pep chromosome:AGI1.1:3:28152247:28153671:-1 gene:ORGLA03G0315200 transcript:ORGLA03G0315200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRSPPPSSPWPSWVLLDPNVSDYRFCIGPTAKGWKVVDFTNKNYGAGKKYGQLMAECLKLYVRLADGDAGLDQSELAITANDGVIRSIIEEELTEQTGCLKMDLHGIVHASCVTGYVEIADKNLIILTVSYSFARDNYYLIYDATLRSLSMIPHVSAHPYCQAYYPCDPLPVRYGDEYTLVLFARNLEYQKEEQGCNYYHRDVLCLWPPPPSSEKPPLLLRDTPGPSIEPWHLKEPVFPEGKTPSEFRHHVKFTSTSHAFWADLTKGVLCCRCSDLFDSYHVNFSFIELPHGCECDALDMPDTAPAEIYRTMGCTSGSSIKFVSISFEDSMPVDEKTMTVWTLDTASWGWTKDVELSLGSLWELEDFKKNGLPETQPVYPFLRKEEDEDNVLYFTLSSLLLGPISECGEPAVHHMCRFDMRSMRLESSPLSFPPDMIVPQRLFGSEFFRYLDRHVQVPGCGKGKRKLNEDY >ORGLA03G0315100.1 pep chromosome:AGI1.1:3:28147278:28147552:1 gene:ORGLA03G0315100 transcript:ORGLA03G0315100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAACGSAGPAHLACGSVAALTTPAGPAWYRIPGLQDRLLVLAPAQPFRGLGIFLQISHSQRK >ORGLA03G0315000.1 pep chromosome:AGI1.1:3:28135282:28141800:-1 gene:ORGLA03G0315000 transcript:ORGLA03G0315000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLQPIENKISRQVTFAKRRNGLLKKAYELSILCDAEVALVLFSHAGRLYQFSSSSNMLKTLERYQRYIYASQDAAAPTSDEMQNNYQEYVNLKAHVEILQQSQRNLLGEDLAPLATNELEQLESQVVRTLKQIRSRKTQVLLDELCDLKRKEQMLQDANRVLKRKLEEIDVEAAPPQPPWNGNCSNGHGGGGGVFSSEPPQPEHFFQALGLHAVDVNQPPAPPPGGYPPEWMA >ORGLA03G0314900.1 pep chromosome:AGI1.1:3:28117876:28127252:-1 gene:ORGLA03G0314900 transcript:ORGLA03G0314900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRSGLLKKANEISVLCDAEVALIIFSTKGKLYEYATDSCMDKILERYERYSYAEKVLISAESDTQGNWCHEYRKLKAKVETIQKCQKHLMGEDLESLNLKELQQLEQQLENSLKHIRSRKSQLMLESINELQRKEKSLQEENKVLQKENPSSFLQLVEKQKVQKQQVQWDQTQPQTSSSSSSFMMREALPTTNISNYPAAAGERIEDVAAGQPQHVRIGLPPWMLSHING >ORGLA03G0314800.1 pep chromosome:AGI1.1:3:28113736:28117058:1 gene:ORGLA03G0314800 transcript:ORGLA03G0314800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGRFHRAPFPSGIPAMTRCSRHQPPAPPTVVVIDEDDDDLGDGPSDEEVFIIDGDAAKGRASSGCKTKRGNSSCSNVINLDDDDEEEEEEGKEEGGGGDRAGPSSAGAAAGSPAVTTPGRVSPRNRYGLDYVSDSYESDSSEGHSDGDDGSDCEILDDASGSARKQWEKAASRKSMPQGFRKSTSTSSAESSTQPDESEENDAECDINEYIRKYFSEDVLKKGGPISTSGANAKSSVPDAHDCSKGNASNANEAEDCNATSRIDPDPACNDEATHSQNGSVPEKTAERSQSPHIDETFEPEQCTDYSFISANRVFPACSSANWKDQSPTFVSTPEKLDEKLSDGTYARKDETLIDAHNKSTTKNKEMCPKPDNGSLDGRLTEDPPLSSRCDCSKQSEKKSAHLDANCCASAASSNKNPSANVILGGCMPPQKDLVDGPEKSGQPAVAQVAADIQDGLIGAREKHKESDEYKRAQEEEWASRQRQLRIQAEEAQRLRKRKKAEAMRLLDMEKRQKQRLEEVRESQRKNEADIQLKEKYRGVVRLELESMERRYIDMASILRALGIAAEGGEVKAAYKQALLKFHPDRVSRSDMYQQVKAEETFKFISRLKEKMLRV >ORGLA03G0314700.1 pep chromosome:AGI1.1:3:28109499:28110916:1 gene:ORGLA03G0314700 transcript:ORGLA03G0314700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTFVLAVLVMSGAAALGRELAGDGAAAAAAADVAMASRHEKWMAKHGKTYKDEEEKARRLEVFRANAKLIDSFNAAAEKDGGGGHRLATNRFADLTDDEFRAARTGYQRPPAAVAGAGGGFLYENFSLAAAPQSMDWRAMGAVTGVKDQGSCGCCWAFSAVAAVEGLAKIRTGQLVSLSEQELVDCDVRGEDQGCEGGLMDTAFQYIARRGGLAAESSYPYRGVDGACRAAAGRAAASIRGFQDVPSNDEGALMAAVARQPVSVAINGAGYVFRFYDRGVLGGAGCGTELNHAVTAVGYGTASDGTGYWLMKNSWGASWGEGGYVRIRRGVGREGACGIAQMASYPV >ORGLA03G0314600.1 pep chromosome:AGI1.1:3:28106026:28108732:-1 gene:ORGLA03G0314600 transcript:ORGLA03G0314600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAXIDFCGSLRVHQQQLKRQPMERIRVDIRRKFKDGIEVSEKFRDKRLQHDKENGSAEEYNLLQLYHCWSLKLNGFIGESRDMWPVVKRSDGSSPEWVCREKRTVQLESTSNYVSHCLTGELKFFLVIPWFLQQSLHSGNPYQNIEENLIITLEKTYEEVAKR >ORGLA03G0314500.1 pep chromosome:AGI1.1:3:28100728:28101857:-1 gene:ORGLA03G0314500 transcript:ORGLA03G0314500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNSIQQSLLADNPNVLQRKPSEGVNRFRRCRSTPSTDPLQGPPEKGSSVKAKELFKEMRPSFRLVGLLLFIYLLVGVLAFYAVMDEISGKRTNRVLDALYFCVVTMTTVGYGDLVPNNDTTKLLACAFVFMGMAVVALFVSKVADYLVEKQEVLFFKALHTNLKGGETKMLRAIETNRIKYKFYTNALLLVLSIISGTVFLWKVEKLSLVDSFYCVCATITTLGYGDKSFSSKLGRVFAVFWIITSTIIMAQFFMYLAEIYTERRQKMLANWVLTRKMTKMDLEAADLDDDRQVGAAEFVVYKLKELGKINQEEISSFLEEFEKLDVDHSGTLSPYDLTLAQSAQ >ORGLA03G0314400.1 pep chromosome:AGI1.1:3:28095682:28099386:1 gene:ORGLA03G0314400 transcript:ORGLA03G0314400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spo11/DNA topoisomerase VI, subunit A protein [Source:Projected from Arabidopsis thaliana (AT3G13170) TAIR;Acc:AT3G13170] MAGREKRRRVAALDGEERRRRQEEAATLLHRIRGLVRWVVAEVAAGRSPTVALHRYQNYCSSASAAAASPCACSYDVPVGTDVLSLLHRGSHASRLNVLLRVLLVVQQLLQQNKHCSKRDIYYMYPSIFQEQAVVDRAINDICVLFKCSRHNLNVVPVAKGLVMGWIRFLEGEKEVYCITNVNAAFSIPVSIEAIKDVVSVADYILIVEKETVFQRLANDKFCERNRCIVITGRGYPDIPTRRFLRYLVEQLHLPVYCLVDADPYGFDILATYKFGSLQLAYDANFLRVPDIRWLGVFTSDFEDYRLPDCCLLHLSSEDRRKAEGILSRCYLHREAPQWRLELEAMLQKGVKFEIEALSACSISFLSEEYIPKKIKQGRHI >ORGLA03G0314300.1 pep chromosome:AGI1.1:3:28090676:28095147:1 gene:ORGLA03G0314300 transcript:ORGLA03G0314300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome [Source:UniProtKB/TrEMBL;Acc:I1PFJ7] MSSSRSNNRATCSRSSSARSKHSARVVAQTPMDAQLHAEFEGSQRHFDYSSSVGAANRSGATTSNVSAYLQNMQRGRFVQPFGCLLAVHPETFALLAYSENAAEMLDLTPHAVPTIDQREALAVGTDVRTLFRSHSFVALQKAATFGDVNLLNPILVHARTSGKPFYAIMHRIDVGLVIDLEPVNPVDLPVTATGAIKSYKLAARAIARLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEVIAECKRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCSATPVKIIQDDSLTQPISICGSTLRAPHGCHAQYMASMGSVASLVMSVTINEDEDDDGDTGSDQQPKGRKLWGLMVCHHTSPRFVPFPLRYACEFLLQVFGIQINKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLWVLGSTPSEAEIKNIVAWLQEYHDGSTGLSTDSLVEAGYPGAAALGDVVCGMAAIKISSKDFIFWFRSHTAKEIKWGGAKHEPIDADDNGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNNAKSIVTAPSDDMKKIQGLLELRTVTNEMVRLIETATAPILAVDITGSINGWNNKAAELTGLPVMEAIGKPLVDLVIDDSVEVVKQILNSALQGIEEQNLQIKLKTFNHQENNGPVILMVNACCSRDLSEKVVGVCFVAQDMTGQNIIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGIKREDAVDKLLIGEVFTHHEYGCRVKDHGTLTKLSILMNTVISGQDPEKLLFGFFNTDGKYIESLMTATKRTDAEGKITGALCFLHVASPELQHALQVQKMSEQAAMNSFKELTYIRQELRNPLNGMQFTRNLLEPSDLTEEQRKLLASNVLCQEQLKKILHDTDLESIEQCYTEMSTVDFNLEEALNTVLMQAMPQSKEKQISIDRDWPAEVSCMHLCGDNLRLQQVLADFLACTLQFTQPAEGPIVLQVIPRMENIGSGMQIAHLEFRFVHPAPGVPEALIQEMFRHSPGASREGLGLYISQKLVKTMSGTVQYLREAESSSFIVLVEFPVAQLSTKRCKASTSKF >ORGLA03G0314200.1 pep chromosome:AGI1.1:3:28084813:28085166:-1 gene:ORGLA03G0314200 transcript:ORGLA03G0314200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDVEAGGVVRLVAAERAAEDRGGVAAEGAAERQGRTRRGGGGEAVGGGEVGGGGGELVEGAQEGEGIGIGDSKEAREEASVDGGGGGGIGRRRSPEEQRRGGSKLGRRTAAAGGE >ORGLA03G0314100.1 pep chromosome:AGI1.1:3:28076213:28077080:1 gene:ORGLA03G0314100 transcript:ORGLA03G0314100.1 gene_biotype:protein_coding transcript_biotype:protein_coding FXVLISGSFALQMKRTIIVKVDLECERCYAKIDRVLTRIRDKGEFVIDDIEFDVKHNKVIVSGPFDPDKLADKLCCKACKIIKEIEIVDLPPPPPPPAPEPEPEPPKKEEPQPPPPKEEEKPEPPPAVIIVEPPAPAPEPEPEPEPEPEPEPPKKEPPPPPPPKQEPCPPPPKVVEVPYPWPYPYPFPSWPSDCCCHHGHGGCHCCSCGKAPAPPPPQYIPCYPPQQPYPCGGYRIVCEEDPSYACAIM >ORGLA03G0314000.1 pep chromosome:AGI1.1:3:28066842:28070059:1 gene:ORGLA03G0314000 transcript:ORGLA03G0314000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLSLHHPCNSRTGFLGKRQGICLHVIPAGRVGFVRKTIECKESRIGKKPIEVPSNVTLTLEEQFIKAKGPLGELSLNYPGEVKVVKEESGKLRVSKTVETKRANQMHGLFRTLTDNIIVGVSKGFDKKLQLVGVGYRAAVEGKDLVMNLGFSHPVRMAVPEGLKVKVEENTRIIVSGYDKSEIGQFAASIKKWRPPEPYKGKGIRYADEVVRRKEGKAGKKK >ORGLA03G0313900.1 pep chromosome:AGI1.1:3:28045255:28048791:-1 gene:ORGLA03G0313900 transcript:ORGLA03G0313900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oligopeptide transporter [Source:Projected from Arabidopsis thaliana (AT4G16370) TAIR;Acc:AT4G16370] MASLKSPVAAEEQAATAAAAAAKGEGERCPVEEVALVVPETDDPTTPVMTFRAWTLGLASCVVLIFLNTFFTYRTQPLTISGILAQILVLPAGQFMAAVLPSREVRLLGGRLGSFNLNPGPFNIKEHVIITIFANCGVSYGGGDAYSIGAITVMKAYYKQSLSFLCALLIVLTTQILGYGWAGMLRRYLVDPADMWWPSNLAQVSLFRALHEKEGGDGGKGSSSRGPTRMRFFLIFFFASFAYYALPGYLLPILTFFSWACWAWPHSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWSSIANTAAGFVMFIYLIVPLCYWKFDTFDARKFPIFSNQLFTASGQKYDTTKVLTREFDLNVAAYESYGKLYLSPLFAISIGSGFLRFTATIVHVALFHGGDIWRQSRSAMSSAAAKMDVHAKLMRRYKQVPQWWFLVLLVGSVAVSLVMSFVYREEVQLPWWGMLFAFALAFVVTLPIGVIQATTNQQPGYDIIAQFMIGYALPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLGSIDNICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPARLFGRHGLYRNLVWLFLAGAVLPVPVWLLSRAFPEKKWIALINVPVISYGFAGMPPATPTNIASWLVTGTIFNYFVFKYRKGWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHELKWWGTAVDHCPLASCPTAPGIAVKGCPVF >ORGLA03G0313800.1 pep chromosome:AGI1.1:3:28039628:28044249:1 gene:ORGLA03G0313800 transcript:ORGLA03G0313800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tornado 1 [Source:Projected from Arabidopsis thaliana (AT5G55540) TAIR;Acc:AT5G55540] MGDKMITRKLSFNQKDMDVTEIDLQDYKDVDSIAFYQVPTNVGSGMSMESERLVRVHACTDHNGVSFLHKLLHRLLEHKEMYSNVVNLLFHGIEWQTEGVQLLCSFLGPGSSVKQVEFQKNVFGTKSSAALVPLSEMIQRNNTIKAIVFSECRIGASGVKLLASALAYNRSVEEVQLLDDSIGAKGAEEFSKMIEVNCVLKLLVILDNSSIAAAPIFSAVLARSRRVEVHVWGHCRDTRGGMNSCKIAEFQAGTGSLRIYNNINSTGLQRIACAMAWNTTVTTLDMSGVPLKSKWTKELRGVLELNRMLKTVKLTKCCLRDKAVVYIAAGLFKNSYLESLSLDGNRFGGVGLEHLLCPLSTFSPLQRQANLTLKVLSFGGRQTNIGRYGITAILQMLETNQSLLQLAICDDVNPWIEEIDLHETPLHVAGKTREIYEKLGQNGSSVVPNDLLDLPLSAPTCCQVFLCGQELSGKSTLCSSIKHCMNSMKLPRMDEIRTSKTPIEQMSHTNEYGMNIIFDGNTKLTMCNIGGPEESIPLHDFMFVVHGGPRIFMIVSSLIGKPADKYPKSIDVIEQELIYWLKFVASNSRRRVSHSFIPCVTIVLTHYDKVSHLAEGLQLIVAAVQRLREDFCSYAEIYPTVFVVDSRSQVSVSKLTHHLRNTTKTVLQQAPQVYEVCNDLIRYLHNWRLKNDKSVVKWSEFCEICQLSIPVLRLRSRHDNAEKLDTRRRAVAKSLHDLGEIIFFEELGVLIMNCEWFCQDILSQLGALKSIKIENSGFVRKQDLEKILQEKLCNQIQRSNWRAGASLQSGDIINMLLKLELCYEQDPGNPNTLLLVPAMLEESKEGIQRWQLTMPECRYAGRHMECEDTHMFLTNDFFPRLQVRLHNKIMCPGNQQGAVYNLEKNLIYTVIDGVHVRVELGLDHARDLLSDDDFHDVLHRRYYDLQHLATELAVTPDNLQQSETIAESDAVDPSILGIAKGVEMVLQRLKRIEQGIQDLKEEIARLRYYEYHLVTELHRKMDYVMNYSIQLEDRKVPQLFYLVSLDSRSKKLVTRILPGMRSLRVHMLCEFRQEMHVLEDQVGCDLIQVDNRAVQSLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSREVVHLLDSSVMYGATTSALSLGALGAAAMYGKARNNGSQSGTNDMEDDMKTARQWLVDFLKGQGILTGMDIAQRFGLWRVRYRDDGHIAWICRKHIVARADEIFELPL >ORGLA03G0313700.1 pep chromosome:AGI1.1:3:28034934:28035734:1 gene:ORGLA03G0313700 transcript:ORGLA03G0313700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMAMKDPSLWHKVAAISGVAALGLGTYGAHMFRPKNPAYKEVWHTASLYHLVHTAALLGAPITKRPDVFGGLLTAGIVLFSGTCYTVAYLEDRKYSSTAPLGGFAFIAAWASLLF >ORGLA03G0313600.1 pep chromosome:AGI1.1:3:28028769:28031091:-1 gene:ORGLA03G0313600 transcript:ORGLA03G0313600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKATAAASRYASYDSPSPSPSPRRAGAAATPGYGSRALVPARSGRDLRAPAAQQPTQAQHGNLGSVLRRLISMDKKPAKNLPVPPAAAAAKNGSGGKLPGLSRKLFQKGSSEPKKKALTEVKNGGNTRTLAMVLRSERELLTQSKEQEDEIAALRLQLEQKDTEVKADKHTPRSCFDDEYCSSPRTPVLNEETAFSLECSIGEDDTPNYGSPDEMFSKDLNPCLTPCISKSKSEEYEQLINSHRSGTKAGQDSLSCGSRSRPMSKSSDHHKPTSGTNSKRRVYRSDQDKFHQNLF >ORGLA03G0313500.1 pep chromosome:AGI1.1:3:28021171:28027845:-1 gene:ORGLA03G0313500 transcript:ORGLA03G0313500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:UniProtKB/TrEMBL;Acc:I1PFI9] MGRSRGVPNSGDDDTNHRSKRRRVASSGDAPDSLSAACGGAGEGGGKKALYHCNYCNKDISGKIRIKCSKCPDFDLCVECFSVGAEVTPHRSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWAEVAEHVGTKTKAQCIDHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGESKKGTSVLPGDLTPKDESPFSPPRVKVEDALGEGLAGRSPSHIAGGANKKASNVGQFKDGANVAKVEDGHVDRSIGVKKPRYSADEGPSLTELSGYNSKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLFPNPLEKDLTNEDKEVYHRYKVFMRFLSKEEHEALVRSVLEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRKKEHEVNAQKAKESGQLLSNTKVVHKTNRPMKIESDGNLDQKKGGASLDSTGRDSPKTTGHAGTKHWDDWDIVGFPGAELLSTSEKNLCCQNRLLPNHYLKMQEVLMQEIFKGSVAKKEDAHVLFKVDPAKVDNVYDMVTKKLGTNEEAPTV >ORGLA03G0313400.1 pep chromosome:AGI1.1:3:28014112:28015831:1 gene:ORGLA03G0313400 transcript:ORGLA03G0313400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMQQLVEGAANRKVAATNMNRASSRSHSVFTCLIESKWESQGINHHRFSRLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVITNLIAVSNKKSHHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSSW >ORGLA03G0313300.1 pep chromosome:AGI1.1:3:28006261:28009014:-1 gene:ORGLA03G0313300 transcript:ORGLA03G0313300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04130) TAIR;Acc:AT1G04130] MALLMDPGAGGPLTESEKADLDAIAAIKESAAAEYKEKGNRLVKMGRSHYADAVDCYTKAIAQMEPLPPPPVPSPDASVLFANRAHVNLLLGNHRRALDDAARAVQLSPSNVKAYYRAAKAAIALGLLPEAAAFCRLGIEQDPANEELKKLLAQVDAQQSEQDRHRAKVAQAVSAAKDIVAAIEKRGLKLGKAAYQELTGVKKPKLDEQGVLHWPVLLLYPEVMSSDFIEDFPETDTFVPHLDVMFSESSPPLPWDDKHAYTRDAVELYYQAGIGTVLSKSEILKILLEGTMDSKSLPESLLDEEDGENDDGKSSTITSSDKGSVKWINVKEGKTLQEVLQHKDFIIPAIPVFFVVSRKSTFYREFKAGNWSSP >ORGLA03G0313200.1 pep chromosome:AGI1.1:3:28004120:28004957:-1 gene:ORGLA03G0313200 transcript:ORGLA03G0313200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGASPAAAAATSGEPGAAAGTMKVVVAVDASEESLNALSWALDNVIGRRAGAVSVVVVHAQHGPDHFVYPVAAHGIGTAIAYAPASAIESMRKAQEEISRKVVSRALDVCKQREVSATGAIVEGDAKEAICQAVEEMHADMLVLGSRGLGKIKRAFLGSVSDYLVHHACCPVLVVKPTKAHDK >ORGLA03G0313100.1 pep chromosome:AGI1.1:3:28002097:28003471:1 gene:ORGLA03G0313100 transcript:ORGLA03G0313100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;methyltransferases [Source:Projected from Arabidopsis thaliana (AT3G58470) TAIR;Acc:AT3G58470] MASGVEERVRGEVRGEEDDRPQLSAAAAEALREFLLEQGRDGGEEGEEGGGGVELVAEDWRLSQFWYDERTARALAEEVARLVSLSGPASSAAVACVACPTLYTYLKTSSPDVTAQLLEYDVRFGQYGGDFTFYDYNQPEELPAAMKHAYRIVVADPPYLSKECLEKVAKTVSFLAHPEGSFLLLLTGEVQRDRAFELLNVRPCGFKPQHSNKLGNEFRLFTNYDPEDRLGGWEQNDGATV >ORGLA03G0313000.1 pep chromosome:AGI1.1:3:27992593:28001453:-1 gene:ORGLA03G0313000 transcript:ORGLA03G0313000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20930) TAIR;Acc:AT5G20930] MSGSSAAGEDIVQHLSSNSNPSSSKLAKLEARMAGKAAPVPSPPPPHHLVVPSAPATTFMDQEELPESSSSDDDNGEEFLIQKNILKRPRSPDGDHGLAVGNFEGSANEAVKHSEVMDTRPSIDISNRKKQGRGRGRGGAGRGRGSKTVDQTRATSTSSAVVANGRHDILTNMESRSSAVLGNDDKAALQEELSLLRGKVAILEEELSKSRQESTEYRQLSDRLAKELKDLKEQDQQKKSKQLKVLSDLLIAVSKAERQEARIRIKQESFRLGNVGVMRAGTVISETWEDGQAIKDLNSHLKSLLETKETIERHRKSLKKRQSVDKGDGSDAETSMSEEDVLLQDEICKSRLTSIKREEEQYLRERDRYELEKGRLIREMKRLRDEDGSRFNNFQILHNRYALLNLLGKGGFSEVYKAFDLVEYKYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHPNIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATPILPEKEARIIIVQIFQGLVYLNKRTQKIIHYDLKPGNVLFDEVGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFDLSKTPFISSKVDVWSAGVMFYQMLFGRRPFGHDQTQERILREDTIINARRVEFPSKPAVSNEAKELIRRCLTYNQAERPDVLTIAQEPYLSYAKR >ORGLA03G0312900.1 pep chromosome:AGI1.1:3:27985684:27989817:1 gene:ORGLA03G0312900 transcript:ORGLA03G0312900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKKLQQRMAGNGGGGGGRRHCSGVLESLEDCFTGFLIVLLEWHAQVRRRLLPLLRRQRMAVLAAPVVAAVLLLTWAAYGEAQYVLYKDATKPVEARVTDLLARMTLAEKIGQMTQIERQVASPQVLKDYFIGSLLSGGGSVPRKQATAAEWVSMVSDFQKGSLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVALGATRDPNLVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFTSGMPYVAGKNNVAACAKHFVGDGGTQNGVNEDNTIIDRRGLMTIHMPAYLNALQKGVSTVMISYSSWNGIKMHANHDLVTRYLKDRLNFKGFTISDWEGIDRITTPAGSNYSYSVQAGVLAGIDMIMVPNNYQSFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMPDSSMADQLGKKEHRDLAREAVRKSLVLLKNGKTSDKPMLPLSKKAPKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGMTILDAVKAAVDPSTTVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDSLNLTIPDPGPSTVATVCGAAQCATVLISGRPVVVQPFLGAMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTQPRTY >ORGLA03G0312800.1 pep chromosome:AGI1.1:3:27969671:27972744:1 gene:ORGLA03G0312800 transcript:ORGLA03G0312800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHKFSVILLMLCFATLGSAQYVKYKDPKQPVSVRVKDLLGRMTLAEKIGQMTQIERENATAEQIAKYFIGSVLSGGGSVPAPQASAQAWASMVNEMQKGALSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATRDPDLVKRIGEATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKVVQSLTTLISGLQGDVPSNDVGRPYVGGSKKVAACAKHYVGDGGTVKGINENNTIIDTHGLLTIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHHLITDFLKNKLRFRGFVISDWQGIDRITSPPHKNYSYSIEAGIGAGIDMIMVPYTYTEFIDDLTEQVNNKIIPMSRIDDAVYRILRVKFTMGLFESPFADSSLADELGKQEHRELAREAVRKSLVLLKNGKSSYSPVLPLPKKAGKILVAGSHADDLGRQCGGWTITWQGQPGNNITAGTTILSAIKATVDPSTTVVYSENPDSSVVTGDKYDYAIVVVGEPPYAEGFGDNLNLTIPEPGPTVIQTVCKSIKCVVVLISGRPLVVEPYIGGIDAFVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMNVGDAHYDPLFPFGYGLTTQAHSS >ORGLA03G0312700.1 pep chromosome:AGI1.1:3:27951281:27953535:1 gene:ORGLA03G0312700 transcript:ORGLA03G0312700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPTTMWRSPAAPACLLVAAVLLSAVAAATAGEEYVKYKDPKKPIGERVDDLLSRMTLAEKIGQMSQIERANATSAVIEKYFVGSVLSGGGSVPSEKATAKEWQQMVAKMQKAALKTRLGIPIIYGIDAVHGHNNVHNATIFPHNVGLGATRDPKLVKRIGQSTAHEARATGIPYTFAPCVAVCRDPRWGRCYESYSEDTKLVQLMTSAMVPGLQGDAPARYPKGTPFVAGGMNVAGCAKHFVGDGGTRDGINENNTVLSFHDLMRIHMPPYDDAVIKGVASVMISYSSWNGVKMHENRFLITDILKNKLKFRGFVITDWQAVDRITTPPHKHYYHSIQETIHAGIDMVMIPYDYPEFVADLTTQVSNGSIKLDRINDAVSRILRVKFAMGLFENPLPDPRLAGELGDKEHRQIAREAVRRSLVLLKNGKHGEKPVLPLSKKADKILVAGSHAHNLGFQCGGWTVSWQGQGGNNVTAGKSRTTILEAIKAAVDESTVIDYTEHPDKSSIAESAKEYDYAVVVVGEEPYAETEGDNLNLTIPSPGPKVIKDVCGLVKCVVVLVSGRPLVVEPYIGAMDAFVAAWLPGTEGHGVADVLFGDHGFTGKLPRTWFKSVDQLPMNFGDKHYNPLFPFGFGLTTKPSHSQS >ORGLA03G0312600.1 pep chromosome:AGI1.1:3:27946039:27947537:1 gene:ORGLA03G0312600 transcript:ORGLA03G0312600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAPEWVEKGDNAWPLAAVTLVGLQSVPRLVILYGDGGAVGPRTEKDREAFPPNNVLLTLARAGLLLWMGWTGFNGGAPYAANVDASVTVVNTHLCTATSLLVWLLLDSFVFGRLSVISAVQGMITGLVCVTPAARLVLHKRSRLLARVDDTLAVLHTHGVAGSLSGVLTGLLLLAEPRFARLFFGDDPRYVGLAYAVRDGRAGSGLRQVGVQLAGIAFVVALNVAVTSAVCLAVRVAVPQLAAGGDAIHGEDAYAVWGDGETYEQYSVHGGGSNHGGFPMTANPVASKADEMIWI >ORGLA03G0312500.1 pep chromosome:AGI1.1:3:27940150:27943636:1 gene:ORGLA03G0312500 transcript:ORGLA03G0312500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G32450) TAIR;Acc:AT5G32450] MEGERRAVRTVRVRNISDLAGEREVREFFSFSGEIEHVDIRCDGVATGRTAYVTFKDPKALEIALLLSGATIVDRVVNITPAEDYIYIPVTDQQLVVSEVVGEVTSTAPNADLDQPTEANASPTTGRVYVSKAHDVMTTVIARGSAMRQDAVNKAKAFDEKHQLRANASAKINSFDKRVGISEKINSGITVVNEKVKSVDQRLHVSDKTMAALLAAERKLNDTGSAVKTNRYVSAGTSWLNGAFSKVAKAGHVAGSRTREKFQIAVSNLTAKGPAVVA >ORGLA03G0312400.1 pep chromosome:AGI1.1:3:27930729:27937195:-1 gene:ORGLA03G0312400 transcript:ORGLA03G0312400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G04895) TAIR;Acc:AT5G04895] MRGGLRRGLGILLLPLSSSPSRAPRPPVPLAALLVYHRRLDVFARRSFCSSGGGYAVEQFSDDEYDHEYEDHRPSSSVANIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSEMYGKVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRALLPDKCGTGNGSEMAEKAENVNLDEQQDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGVKMLEFRKSLPAYKEKERLLAAIARNQAIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRISAMAVAERVSTERGENLGESVGYKVRLEGIKGKDTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLSRRRDLRLILMSATLNAELFSSYFGGAPTIHIPGFTYPVRAHFLEDILERTGYKLTSSNQLDDYGQDKVWKTQRQLLPRKRKNQITTLVEDALKTSSFETYGSRTRDSLSNWNPDCIGFNLIEAVLCHICRKERSGAVLVFMTGWDDISCLKDQLKAHPLLGDPNRVLLLACHGSMATAEQRLIFEKPPPNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQIKSLQVGSIGEFLSAALQPPAPLAVQNAVEFLKMIGALDENENLTDLGRYLSMLPVDPKLGKMLIMGAVFRCIDPILTVVAGLSARDPFLLPQDKRDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSYILKDAGLVDSDANTNNSLSHNQSLVRGIICSGLFPGITSVVHRENSMSFKTMDDGQVLLYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGAVTKGSMAGHLKMLDGYIDLFMDPSLCECYLQLKEELDKLVQKKLEDPSFDIHKEGKYILYAAQELAAGDLCEGRFVFGRETSRARLSSSDDTKGNIIKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAIVEFKGMQFAGKPKRNKQLAERDAAIEALGWLTQTSGTKLQDDGDDSPLDLTDNMLKLLSRPRRRSRNNSRK >ORGLA03G0312300.1 pep chromosome:AGI1.1:3:27925393:27929395:-1 gene:ORGLA03G0312300 transcript:ORGLA03G0312300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferredoxin hydrogenases [Source:Projected from Arabidopsis thaliana (AT4G16440) TAIR;Acc:AT4G16440] MASSSSSASSRFSPALQASDLNDFIAPSQDCIISLNKGPSARRLPIKQKEIAVSTNPPEEAVKISLKDCLACSGCITSAETVMLEKQSLGDFITRINSDKAVIVSVSPQSRASLAAFFGLSQSQVFRKLTALFKSMGVKAVYDTSSSRDLSLIEACSEFVTRYHQNQLSSGKEAGKNLPMLSSACPGWICYAEKTLGSFILPYISAVKSPQQAIGAAIKHHMVGKLGLKPHDVYHVTVMPCYDKKLEAVRDDFVFSVEDKDVTEVDSVLTTGEVLDLIQSRSVDFKTLEESPMDRLLTNVDDDGQLYGVSGGSGGYAETVFRHAAHVLFDRKIEGSVDFRILRNSDFREVTLEVEGKPVLKFALCYGFRNLQNIVRKIKMGKCEYHFIEVMACPSGCLNGGGQIKPAKDQSAKDLIQLLEDVYIQDVSVSNPFENPIAKRLYDEWLGQPGSENAKKYLHTKYHPVVKSVASQLQNW >ORGLA03G0312200.1 pep chromosome:AGI1.1:3:27923876:27924606:1 gene:ORGLA03G0312200 transcript:ORGLA03G0312200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPDEPEKAASGAGAGAGDPPPPPFLEVKCRSSGEVRRFAFGTTARYALHAVNRKLAPGAPAALHVEAVKDGEEPVSFGPAAPLADYGDGWKLQTITEQDAPGYYQTPASDTRRDDTKQSAKNPRDQETMAAYITKIVLAFVFIFLLGGLFTYLLETLPDMFQPASEPQPL >ORGLA03G0312100.1 pep chromosome:AGI1.1:3:27922629:27923485:-1 gene:ORGLA03G0312100 transcript:ORGLA03G0312100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCIPSKRHRAAAAAAAPASHSHQRERTSIPAPAAAPRPVRIYVVFYSMYGHVRLLARAVARGVGSVPGARAILFRVPETLPPAVLARMEADDGGGGGDGEDVIPVVDPDGLPDADGFLFGFPARFGAMPAQMQAFFDSTVPLCRHQRLAGKPAGLFVSTGTQAGGQETTAWTAITQLAHHGMLFVPIGYTFGEGMLEMGELRGGSPYGAGVFSGDGSRPPSELELALAEHHGKYMATLVKKMVHGAS >ORGLA03G0312000.1 pep chromosome:AGI1.1:3:27918285:27921905:1 gene:ORGLA03G0312000 transcript:ORGLA03G0312000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARATRRRAEEAEMEAEAGTEGDDGDGGGSSDYTSEDEGTEDYRRGGYHAVRVGDSFKQGAYVVQSKLGWGHFSTVWLAWDTGHSRYVALKVQKSAQHYTEAAMDEIKILKQIADGDPDDSRCVVKLLDHFKHSGPNGNHVCMVFEFLGDNLLTLIKYTDYHGIPLPMVKEICRHVLIGLDYLHRTLSIIHTDLKPENILLESTIDPSKDPRKSGVPLVAPSARTDDPPPKAHAPSVNGGLTRNQKKKIRRKAKRAAAATSEGSGTVASGETDGSDDRGNLSTANEGSPNQDGDKKEEGEGSRRGSKGTRKKMALEADLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDSYDRDEDHLALMMELLGMMPRKIALGGRYSREFFNRYGDLRHIRRLRFWPLNKVLVEKYEFSDIDANGMAEFLVPILDFVPEKRPSAAQLLQHPWLDVGPLRRQPKRPSDLTQNSSDDGVSEKQRIENEERDAMAVNLGNIAIDGASSKTTEDPQASTMQNKTNATPAK >ORGLA03G0311900.1 pep chromosome:AGI1.1:3:27911139:27913017:-1 gene:ORGLA03G0311900 transcript:ORGLA03G0311900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 5 [Source:Projected from Arabidopsis thaliana (AT1G55370) TAIR;Acc:AT1G55370] MAFCTPTATTPPHATPPPLTTSWKQLAFCNSSRLAAGGGGGGVGQRARPAGASVXXXXXXXXXXXXXXXXXXXXXXXXXXXXLAAEFAGHGVSFEAVGGSCAVKMELRNGSAAHVLLPGGLVTSYKPAMWHGAPTEVIHTTVAEGLGGRAVIRGGVSLDLRCGGAAGGGGDGMPPWSPSGAWSLRDVRGSPTGSIEVELASAAPPEASGVEARCVVTLHPEALATEFTARNAASPSPVALSAAVSTHLRVSTPDATYAVGLQGSDYRAIDPVLSEFAIVPPDFMSRSSSATTLARRWATKGFDAVLSGGGGGGAGAQEADGEEDDDYKRMTEEMCRIYSHAPRQFTIIDRGRRNSICVQRRGFEEVYVFSPGSKYQWYGKYAYVCVGPAMLEPIVLSPGATWSGAQYLRNPNL >ORGLA03G0311800.1 pep chromosome:AGI1.1:3:27906273:27909883:1 gene:ORGLA03G0311800 transcript:ORGLA03G0311800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-related [Source:Projected from Arabidopsis thaliana (AT1G54390) TAIR;Acc:AT1G54390] MAIARTGVYVDDYLEYSSTLAGDLQRILSTMRELDERAHGIMGQTKEQIKYLLGVPSHGFDRSNMDDDESASERMKKDIEASQDNALSLCTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKQEGKIPPDEPSILPAISAFSRDDKRRPGFSTPQATKKFREREWDRERGMDFDLMPPPGSNKKTTAPMDVDQTIDPNEPTYCICHQISYGDMIACDNDNCEGGEWFHYTCVGLTPETRFKGKWFCPTCRNL >ORGLA03G0311700.1 pep chromosome:AGI1.1:3:27902862:27903692:1 gene:ORGLA03G0311700 transcript:ORGLA03G0311700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSNTNAMAGVMAPLMLHGRVAIVTGGAGGIGSAVSRHLASLGARVAVAYIGDPAPANELVSGINDGYLRAEEEEKRGPRAIAVEADVSDAARVRALFDAAAAAFGGEIHILVTTAAVLDFAYPALAETSEAAYDAMFGVNARGTFLCCREAANRLARGGRGRIVTFSSSGVGSLRPGYAAYAASKAAVEVMTKILARELRGTGITANAVAPGSTGTPMMYTGKTEEDMARYIAEAPLGRLGMPDDIAPLVGFLASDAGGWINAQVIRCNGGTI >ORGLA03G0311600.1 pep chromosome:AGI1.1:3:27901981:27902211:-1 gene:ORGLA03G0311600 transcript:ORGLA03G0311600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEEDANPLPSSITAVVVALRALKPHLLVAGWEGRGPSELTAAEARERRELAMVELKLPATVADLRLDPGGAVEL >ORGLA03G0311500.1 pep chromosome:AGI1.1:3:27892352:27895790:1 gene:ORGLA03G0311500 transcript:ORGLA03G0311500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPGAGDQATDMMQKLSLESKKEGATPDATKKPAGMPYGSASAGDAQNTASPVDRSITPLLQEAVNANILYQTNGYGPSAYYYPTGYDGSANEWDSRYAAHDGTEMPPSVYGDMYGYGYAPYGPYPSGSPAPTVGHDGQSYGAQHYQYPGQYYQQPAPTNASHGINAVNSQSEMPSVAAHQARVPVESAKASANGTANGMANTNSSSLAHKQTHQNVSVANNGSYGGGTLQGGPSANNYGHSGLHSPVQWYDGPVYSNGHQRSNTNSTSYGSNSYSAKNQSQRPTANLMGMHAQIPSSGMGLTSPSYHTRMYPDNRLYGQYGQYGNALKTGLGFGSNMYNSRNNGRWGIVDSKYKPRGRASFGFGSENQDGFTELNRGPRSGGFKHQKQFGPSVTIAVKGQALPSVGKQENSAIPDKGQFNQEGFPVTYKDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAGYREAQEKSSECPVFLFFSVNTSGQFVGVAEMVGPVDFEKTVDYWQQDKWNGCFPIKWHVVKDVPNNILKHITLDNNDNKPVTNSRDTQEVKLEQGLEMLKIFKDHISKTSILDDFGFYENRQKLMQEKRAKQQLLQGQGSLDNASHEKEKNAIDGKSTAQKQALSKEGTPIVGEMLNASKSAVESSVTNGN >ORGLA03G0311400.1 pep chromosome:AGI1.1:3:27879363:27888064:1 gene:ORGLA03G0311400 transcript:ORGLA03G0311400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin-like protein XIF [Source:Projected from Arabidopsis thaliana (AT2G31900) TAIR;Acc:AT2G31900] MTKLAYLHEPGVLHNLACRYGINEIYTYTGNILIAVNPFRRLPHLYDVHMMEQYKGAAFGELSPHLFAIADACYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDVKKFKVGDPRSFHYLNQTNCYEVANVDDAREYLETRNAMDVVGIGQEEQDAIFRVVAAILHLGNINFSKGQEIDSSKLRDEKSVYHLKIVAELLMCDEKALQDSLCERVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINNSIGQDPDATNIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEDYTREEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNAVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGMLAAELVDSSDEKAACAAICDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLANAARLIQRRIKTHLTRKEFINLRKASIQSQKFWRARLARIFFEHMRRNAASIRIQKHARTHSARKSYLQMYESAIVIQTGLRAMAACNEHRFRRETKASIIIQTRWRQHKAYVDYKKQKRATLILQCLWRARIARKELRKLKMEARETGALKEAKDKLEKRVEELTWRLDVEKHLRIDLEEARGQEISNLKSVLQEMQEKLSEAHAAIEKEKEDAKLAIEQAPPKIVEVPVVDNAKVELLTSQNKELEDELVTFRTKAEDLEKRLLEVQKESDELSREILEKDSKLNQLQEMIERLETNLSSLESENQVLRQQSLLASADDDKSKQIESLESKIAILESENQLLRSKSSVAVQAVITPEVIQPSAMEGLVNGYQLEEHKILIEEVVVPPIKNLSKQKSLTDRQQENHDVLIKSLAEDRRFDNGRPAAACIVYKSLLHWHSFEAEKTNIFDRIIHTIRSSIEHAESSTELAYWLSTTSTLLYLLQNTLKSSSSAGKGLNRSRTTTGNLFSRMVQNARSSSLGSGISSGYSGMVGRPDTASKVEAKYPALRFKQQLTAYVEKIYGMIRDNLKKEINPFLIMCIQAPRAVRVRSSRGSLKSVHSNSLSRKTSSVHWQSIIKCLNHTLETMNNNHVPPMIIRKTFNQAFAFMNVQLFNSLLLRRECCSFSNGEFLKAGLQELEQWCSVTTEEYAGTSWDEFQHIRQAVGFLVLHQKTHKTLEEITDELCPVLSITQIYRIGTMFWDDKYGAQGLSQEVIGKMRTMATDDSITTPNSSFLLDDDSSIPISLDDIARLMLDIDLSDVEPTPLLRQNSQFHFLLQHHATQTDGIVC >ORGLA03G0311300.1 pep chromosome:AGI1.1:3:27875006:27877753:1 gene:ORGLA03G0311300 transcript:ORGLA03G0311300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:I1PFG7] MAESGQSIASDVTALIGNTPLVYLNKVVDGCEAQIAAKLEIMEPCSSVKDRIGYSMITDAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYKLILTMPASMSMERRIILKAFGAELVLTDPLLGMKGAIQKADELAAKMPNSYILQQFENPANPKIHYETTGPEIWKATAGKVDILVSGIGTGGTVTGTGKYLKEQNPEIKKIYGVEPTESAILSGGRPGPHKIQGIGAGFVPGVLDVNLLDEVVQVSSDEAISMAKQLALKEGLLVGISSGAAAVAAIRVAQRPENKGKLVVVVFPSFGERYLSSVLFESIKREAENMVFEP >ORGLA03G0311200.1 pep chromosome:AGI1.1:3:27870319:27871694:-1 gene:ORGLA03G0311200 transcript:ORGLA03G0311200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II 11 kDa protein-related [Source:Projected from Arabidopsis thaliana (AT1G05385) TAIR;Acc:AT1G05385] MRAMPSPPPHGPMSLMASRCYCCSLPPSPSPKQRRDAESKQQQQHIRGARLAVTTRRALLAAAIVVVGSGTAGRARATEDGGGGEGEGVVGAIKSIFDPNERTKSGKVLPKAYLKAAREVVRTLRESLGEDDGGDVAKFRRGADAAKESIREFLGGWRGQQAVAAEESYVALEKAIRSLAEFYSKAGPFASLPKDVKDKILANLNTADAFL >ORGLA03G0311100.1 pep chromosome:AGI1.1:3:27862161:27869142:1 gene:ORGLA03G0311100 transcript:ORGLA03G0311100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKADDKLSTPSEEQNESGGGTSVGGINDSQENKGVNGLCQGEVIDPLATANGDELSMGLSRSASGRESIEQEDTVMCASDDQKVENHCQFDDKHKEAEISQIELAVDNHIMLTDCTNQKKGIDSPVNETKGDSTPDIVFIRRKSITRKTCEAKQVKSEDEVRFEKRVTRSATVRQREVSASMCVGATNDANLESKERKEDVHHYTRKVGSTMRSKVHHTGVAECDTDTKKKLKGNVTTRRNSDAIANDDPPSITQNKESKTQMKIDIKSQPLTRRGSIVNKTEDAVSGLDQNICSSAITDKNDIELTDSEGVKSENKATVRKSILSVGAKIVASKKRILESGLDKTGGESPVAIPSLKKARDTSSDTELEQPKMSSGKKLTRNNCGSSKKGMSTRRQHQSQTAKLSTSVNCSNKNESKLSQNESDDDGTGSDTSLKNTYVRRTRSGGVVPKKQEDSSESEEPIILRKNHQRGKYSGKRAGSTPRKVKAPKGNRKEVKASSLKSLGPSEQINTGSLREEKQKISDHIKGMLLDAGWTIDLRPRNGRNYLDSVYIPPSGKGSYWSVTKAYAVFLEGMESEKKGRAKDQRPSKKSVGSPGKSHVSEEILSKLKRIVVNKRRTKVELQKLKKRKHGLLKKQKTSKRNSRGSKNKISNSRKLHLGSERKKRGGCALLARGSNKDGGSSTNGFVPYEWKRTVLSWLIDLDIIDINAKLKCVDETHSKVLLEGVTTRDGINCRCCSKVFTVLEFVAHAGGPVSKPYRNVLVDGLDTDLLHCLINAWDKQSDSERQAFFPISTETDDPNDDTCGICGDGGNLICCDGCPSTFHMSCLELEALPSDDWRCAKCSCKFCQEHSRQDAQDIAEVDSSLCTCSQCEEKYHPGCSPETTNTSNVSSQACDLFCQQSCRLLFEGLRNLLAVKKDLEPEFSCRIIQRIHENVPETVVALDERVECNSKIAVALSLMDECFLPIVDQRTGINLIRNVVYNCGSNFVRMDFRGFYIFVLERGDEIIAAASVRIHGTKLAEMPFIGTRNMYRRQGMCRRLLDGIEMILSSLNVEKLIIPAIAELVDTWTSKFGFSPLDVSEKQEVKSTSMLVFPGTGLLQKPLLKKTSPGENSSSQEVDGVFSELESGKTSNVANEDSLCSANAETQGSAAPCYGDNSKDASACN >ORGLA03G0311000.1 pep chromosome:AGI1.1:3:27857221:27858253:-1 gene:ORGLA03G0311000 transcript:ORGLA03G0311000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQARRPRGGECQGHQVVQPDGGDGDAERRLIAPADASGQAAHGGGPVVEVDDAYDNKVKIGEALEAAARAVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWNATAMLPTEKAVTAEDAAAAAGAEVEKDPGEGTRPYGVSAALAAAAKHNREDAECQSKRSARPRAADECTPALAEQFDQI >ORGLA03G0310900.1 pep chromosome:AGI1.1:3:27854831:27855745:-1 gene:ORGLA03G0310900 transcript:ORGLA03G0310900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQPRRPPPDGGGARPVMYGDVFDVSGELAGQPVAPRDAAKLQSAEEAVLGEAQKGGPAAAMQSAAAINARAGHVGRAQVTGAIADEGVAVAVAETELPGRRVVTESVAGQVVARLATPPRVVATQPSGALDKDAVTIGRALESVAAATAAAAGKPVDQSDAAAIQAAEMLATGRIITVPGGVAAAAQAAADHNAAPAARDEDRIKLRDVLTGARGKLPADKAATREDAERVASAEVRNRPDMATTPGGVADAVTAAARLNQERPT >ORGLA03G0310800.1 pep chromosome:AGI1.1:3:27850630:27853852:1 gene:ORGLA03G0310800 transcript:ORGLA03G0310800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQEGSVTAFQISGGDVQVLQVMVKSQEKLTVKPGTMCYMSGNIQTDNNYLPENDGGVWQWIFGKSISSSVFFNPGSDDGYVGISAPFPGRILPMDLANFGGELLCQADAFLCSVNDVSVTSTVEQRPRNIEIGAEVILKQKLRGQGMAFLVGGGTVMQKILAPREVITVDAACIVAMTTTINFQLKTPNQPRRVVFSGGNQLTASLTGPGVVFIQSLPFHRLSQRIASRSVAAPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >ORGLA03G0310700.1 pep chromosome:AGI1.1:3:27841064:27847013:-1 gene:ORGLA03G0310700 transcript:ORGLA03G0310700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKGKYSPGASGSGSGSGGGNAGGGPRPGGRETVVWSEKMNEYLIDALLHQQAIGNRGEGRFHSAAFDSIISGVAERFGVAIDRSNIKNRLKSIKENFHECENLFQNQSGFKWSAMNKKFYADPTVWREYIERKPEARKWINKPIDHYDRLLELFGKDRERCLASGSPKSPPAKKARRDPPKEKPQRTPTNGLVSPIVKSLKDMESQRTPTNVLVSPIIIKSSKEMVNENEVPSEAVTEINIAEEQDLSEKFTSENGAMPVEGNSCGTGMPYAPENWPCPGDQWSWKVGNRASATGHWLDRYLTPPSRFRDATGKKTSFTSRLKVEEFIKTEFPDMDPNTFFSMFIWKIPAKGHCIQRGGGEVRRVFCPYARQADPAGPCKARNNLCKLEREGFIESSPAQDCDLCCKMPDFCRECCCVFCRRVVDYSFGGYSYIKCEAVLEENKICGHIGHLDCALRTFMAGTVGGCIDLDMQYCCRRCDNKTNLMLHVEKFLEICQSLQSRDDIEPILNTGLCLVRGSRQTRAKSLESIMRSAMAKLKCGVDLAEVWKMEDNGINSTPSAEVSPATGGVTVLGIQQAPEEDAPPGFPYYVDLADNDLQRAVENLPAYITEDQHTLSVRFEDSIDHALKELKRSQEAEYKLAEQKLYSQKDHVLSLYRQLDSERSVLADPMPLADDDGSLYSTLITNVMKRVDQVKSEEEKLKVMLGIADGFGKTPSGVIQEHFGLPADTAN >ORGLA03G0310600.1 pep chromosome:AGI1.1:3:27837515:27839263:1 gene:ORGLA03G0310600 transcript:ORGLA03G0310600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLASINATRDLLPPEAASARRWFAAAARDRKPAPRPLFGGADTTPHGSSVTEPWTPTMRSTAKSRRPRQQQEAAGGGGGGAGRKTPGGSHDWALYAATVLGFLLLLWVDSGLVPEIAARGFGPKLSPEAVARLATEARLAPGGLSHKLRALERMLGQLVGGEKGISNCSSHDSVWQFEQNDQRVFYWRCAVYKSAAEEVTVWGSPLRTSGLLPRALPARHLTILSGKITEWSDGRVWPTVRASNGSSWSYGGRSSPAVRLEAETWVVEYQRSVVFEGTRLIPAAAELVASRCSAVARRACQRLARRRFHGGAGGIQANPT >ORGLA03G0310500.1 pep chromosome:AGI1.1:3:27831319:27831729:1 gene:ORGLA03G0310500 transcript:ORGLA03G0310500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMVAVVMSCECCGLEEECTGEYVGGVRAYFGGRWLCGLCSEAVKYEAGKSSPRAPVDVEEAVRAHMAFCRMLKRGGPAERVAEGMCQMLRTASWKQRRRASGSSSSSSSPSPRAAPERHHHHRAPSTLSVQLI >ORGLA03G0310400.1 pep chromosome:AGI1.1:3:27824862:27827860:-1 gene:ORGLA03G0310400 transcript:ORGLA03G0310400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03110) TAIR;Acc:AT1G03110] MEDAAVEEAEVSGGAAEFAPALVAAHPLGRSVAVAVGPELRVFDLKASSAVSLSDNSGGGSHSDAIRAISFSANGALFASAGDDKLVKVWKTDSWCCIRTITSEKRVSAVAISNDGTYVTFADKFGVIWLVTMGESGGEQEPTDNKPVSIFGHYCSIITSMKFSPDGRFIATADRDFKIRITSLPKKPLRGAHEIQSFCLGHTDFVSCIAFTCLSEGPSFLLSGGGDSTVRLWDYINGCLLDTCQVRDKVGELLEPNETEDNNLSVADICPTNDGSLVAVAIQSLNGVMLLACDLIAKKLSFLKVVTTEKCYIPTSLSSSFSADLLWTVMGASNMPNQATSQLCTRLKIIPHFKKDPLAGCDHVPTVLEDSEVPHGEKLLLALQGSLDIAKQEEVLASVLAALKVSMHKMLVKKHYSEERREQRKRGRNDKKIKK >ORGLA03G0310300.1 pep chromosome:AGI1.1:3:27823991:27824262:-1 gene:ORGLA03G0310300 transcript:ORGLA03G0310300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTCKCFSLAGGIFAASAITGLSPASDLPVEFHRKTMQFELDLDEILFPGSKNSIDEEGXXXGVRIYSQSWXGWL >ORGLA03G0310200.1 pep chromosome:AGI1.1:3:27817947:27818780:-1 gene:ORGLA03G0310200 transcript:ORGLA03G0310200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRSKVQEMILRRRSRSMNSGGGGVQQSHLSGQHASSTTVSCDGGGGGGKSATAACALLGSPRLLHCASLPSGSHAKNGGGGGGGGSEPETPYSMSPTSVIDAAAAFAPSPDAGGSKRRPWCDWGAGTHGLADALDCTGDDDDGHRQSVLAAASRAVKLQAQPQQQHPLLRSCSLDRRVEFGVKNKSSWLPLRVAGGDAAAAAAESPAEMQMEPSSEDYTCVISRGPNPRTVHIYGDRVVEGGGGATTAVALAGESSPRPINLPAPAREARGFLSL >ORGLA03G0310100.1 pep chromosome:AGI1.1:3:27813014:27815457:1 gene:ORGLA03G0310100 transcript:ORGLA03G0310100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50230) TAIR;Acc:AT5G50230] RTMVEAEAGKEAIRRALRSLRRRHLVEEGAHRPAIEALARPFAAQAVEWKEKAEKHELELQQCYKAQSRLSEQLVTEIEEGKASKALLKEKETLITTMQTELEQTREENTQLKQSLEEKTSALDLIIQEHQAVKAELEQALTKQKVAEDENRNLIDRWMLEKMKDAERLNEANAMYEEMVLKLKSAGVGGIQHNALQEADGIIRRSEAGYMDIMETPIPSTCRITIRAHDGGCGSIIFQHNTDKLISGGQDQTVKIWSAHTGALTSTLQGCLGSVNDLAVTNDNKFVIAACSSNKLFVWEVNGGRPRHTLTGHTKNVSSVDASWVKSCVLASSSNDHTIKIWDLQSGFCKSTIMSGSNANSLAFIDGDTLCSGHRDGHLRLWDIRSAKCTSQTFAHLDVSSVSVSRNRNFILTSGKDNVHNLFDPRTMEVCGKFKAMGNRVVSSWGRPCISPDENSIAAGANDGSVYIWSRLKKDGVPTILQGHSSSVVSSAWCGLGPLATADKHHIYIWT >ORGLA03G0310000.1 pep chromosome:AGI1.1:3:27803454:27812329:-1 gene:ORGLA03G0310000 transcript:ORGLA03G0310000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase, mitochondrial (SUV3) [Source:Projected from Arabidopsis thaliana (AT4G14790) TAIR;Acc:AT4G14790] MAVAAALLRRRALYSALASPSWLHDTSSCYICSISGTHSLVNHPNLRLQRGYHNSGKFDLTDLTHPHIWYPNAREKKRNVFLHVGPTNSGKTHNALKRLEASTSGVYCGPLRLLAREVAQRLNKANVPCNLITGQEREEIEGAKHSSVTVEMADMTTEYQCAVIDEIQMVGCRSRGFSFTRALLGLCSDELHVCGDPAVVPLIQRILEPTGDVVTVQYYERLSPLVPLKTTLGSFSNIKAGDCVVTFSRRSIYMLKRRIEMGGKHLCSVVYGSLPPETRTKQATMFNDQDSNLNVLVASDAIGMGLNLNISRIIFSTLEKFDGICNRELTVAEIKQIAGRAGRYGSKFPVGEVTCLNSDHLPLLHSALKSPSPIIERAGLFPTFDVLSLYSRLHGTDFFQPILERFLDKAKLSPDYFIADCEDMLKVAAIVDELPLGLYDKYLFCLSPVDIRDDISTKGLIQFAENYAKKGIVRLKEIFTPGTLQVPKSHNQLKELESIHKVLELYVWLSFRLEDSYPDRELAASQKSICSMLIEEYLERSGWQQNGRKDFLQKPKRLHQEYDASQLRKYFQEIDVRSK >ORGLA03G0309900.1 pep chromosome:AGI1.1:3:27800680:27802344:1 gene:ORGLA03G0309900 transcript:ORGLA03G0309900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22670) TAIR;Acc:AT3G22670] MRRCGRILFPPSLKFVSHSGGRLSVTRFSTTGFDLPDWFRNPKDDGSCAGLDDEEDDIFVLPTEPNVSDERRSQSSASRSLSIRPGFPATASHEDAEFEADIDEVSRILSARFASPEAIMIAMDCCSVRVTGRLVDKILTRFSNDWVAAFGFFMWVGTQGGYCHCADSYDLMVDILGKFKQFDLMWGLINQMVEVGGLMSLMTMTKVMRRLAGASRWTEAIDAFHKMDRFGVVKDTKAMNVLLDTLCKERSVKRARGVFQELRGTIPPDENSFNTLVHGWCKARMLKEALETMEEMKQHGFSPSVVTYTSLVEAYCMEKDFQTVYALLDEMRKRRCPPNVVTYTILMHALGKAGRTREALDTFDKLKEDGVAPDASFYNSLIYILGRAGRLEDAYSVVEEMRTTGIAPNVTTFNTLISAACDHSQAENALKLLVKMEEQSCKPDIKTYTPLLKLCCKRQWVKILLFLVCHMFRKDISPDFSTYTLLVSWLCRNGKVAQSCLFLEEMVSKGFAPKQETFDLVMEKLEKRNLQSVYKKIQVLRTQVTNLKHMESFQ >ORGLA03G0309800.1 pep chromosome:AGI1.1:3:27790705:27791446:-1 gene:ORGLA03G0309800 transcript:ORGLA03G0309800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRARQLKVILAVIMHVLTWCNWCGSVVWPRQPRRDSWLSDSTRDNKIRCKVEGWYLNLFFFRATKAIRARTVGQRRHGLGAWEDPKQVNGPRRRAWGWEEKKAAQGRARKEQVQWAKGSPNPPLRMVSM >ORGLA03G0309700.1 pep chromosome:AGI1.1:3:27788438:27788818:1 gene:ORGLA03G0309700 transcript:ORGLA03G0309700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYAAAAAWPRPPSLTIWSPPPSAPASGKHVIMGAWVKTEWEQGKGSFAFLELKKGGAVFVRGAGAAEAKKDGAAGGSTAATTAMACKPAVCSASALAPLRHRYPLSVRLAGIPAVATTSPCSSR >ORGLA03G0309600.1 pep chromosome:AGI1.1:3:27785045:27786275:1 gene:ORGLA03G0309600 transcript:ORGLA03G0309600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSHETLRLIHELLPSPVDWRHMGQVVQMDSICLEFVQTLIVNQRIFMQQLSHRIQAADAALDAHAPPPAAGDNLPPPALVQPPVAGFLAGAAPPPQQQQP >ORGLA03G0309500.1 pep chromosome:AGI1.1:3:27783076:27783864:-1 gene:ORGLA03G0309500 transcript:ORGLA03G0309500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAVPEINFKQGDATRLILYVRSVTARTLPETTSPAFEWRDPPGGGRLPPLDLCLPRASGLLPRPRRSPLCRLRRLRVSAGRLLLRSAHDFGPGGVALGFDPAPSCANDGGYILCDAWLRTAFLYPPCFDDYRLLCAGNVGMIPRTAADGKHRIRLVAELQPDMRFVPLPEGCKLPRTPAMRTPQAKYRCVNVSDGELTFVQIHDDAAGAPSMIMISMWTLQF >ORGLA03G0309400.1 pep chromosome:AGI1.1:3:27763698:27764324:-1 gene:ORGLA03G0309400 transcript:ORGLA03G0309400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCSQCREQRKSGERRGEARDPALESTFTQSFYSTALASNGVYVNHGPEVPELVGDFEAVGREERNGEPATAVKQQLRRRRDKNKAAVQHQGIDWLGVQAIKPSSTWRASVRGETTPLSAAAPAPSRARTKSTAQEAGGRSGARNIVERRRREGTQARRAVRWICIAREPQPCSGPTILGLLVSHNTDPAHRAEQTQKLNFYTDVCK >ORGLA03G0309300.1 pep chromosome:AGI1.1:3:27759800:27763099:1 gene:ORGLA03G0309300 transcript:ORGLA03G0309300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGGAGCSRSHSFSGISSAAATGGAGAGAGADVFVRAADNEMYVRADKIDLKNLDVQFEKTRSKVWLEQHRSSSAASPLPLLEWEIDLAKLDIQNQVAHGTFGVVYRGTYDGHDVAVKVLDWGQEGQESTAKHREAFEKEVAVWQKLDHPNVTKFVGASMGTSHLKIPSAKAESRSSSVGGGSAGGGGGQRCVVVVEYQHGGTLKTLLYKHRDKKLPYKKVVQLALDMARGLSYLHGEKIVHRDVKAENMLLDRKKTLKIADFGVARVEAGADGDDMTGQTGTIGYMAPEVLQGRAYDHKCDVYSFGVLLWETYCCAMAYPNYSLADISYHVVKLGIRPDIPRCCPKAMADIMARCWDANPDNRPEMSEVVALLEKIDTSRGKGGMTPVPEHASQGCSCFGFSRGSA >ORGLA03G0309200.1 pep chromosome:AGI1.1:3:27756880:27757726:1 gene:ORGLA03G0309200 transcript:ORGLA03G0309200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRPPPKGPEWAGGDAEAGMARPLYPMMLESPQLRWAFVRKVYAILSIQMLLTIAVASVVVFVRPVALFFVSTPAGFALYIFLIILPFIVLCPLYYYYQRHPVNLLLLALFTAAISFAVGLTCAFTKGEVILESAILTAAVVVSLTAYTFWAARRGHDFSFLGPFLFAAVMILMVFALIQVFFPLGRVSLMIYGGLAALVFCGYIVYDTDNLIKRYSYDEYVWAAVALYLDVINLFLSLLTLFRASDS >ORGLA03G0309100.1 pep chromosome:AGI1.1:3:27750614:27754087:1 gene:ORGLA03G0309100 transcript:ORGLA03G0309100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAVVSLCIAWLTIKKNIKYHLPLLNRRTPPTAAAAERHPPPPPVRLPRPCASSRDPAPPSTQPFASALEAVGASGCATRGDEGERKGEKLGFRLESSRDSNRRRIRFFAVPVLNRKEFRGISPHRRARASRTSTASSRRTPPRKHWICIEDKFRAAGDYVSQKSSSVFGKKKVEPMVKDAAAPGKGGRLKMESSNAQPQDSAYSVPKNPSMTCCRKRTDGATFLEDLKDHIEEFIHASMDEHKTCFKHHPEGQMCKHSDTALLCSFCILDILMMKHFLCFMFRISDVWDVKGCRGAFGGCQGS >ORGLA03G0309000.1 pep chromosome:AGI1.1:3:27742313:27742774:1 gene:ORGLA03G0309000 transcript:ORGLA03G0309000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLASSPLSPAPLHQTLRPSPSLRLPAHHLPVASSAAPSGAAASATECPRFLERCGLNADEFDDDAEAEPTGERRGRPSSWLDLVSPCSAVAATSSPLSWPPHPPPKKNPPLLASSMTAMMYS >ORGLA03G0308900.1 pep chromosome:AGI1.1:3:27741277:27741876:1 gene:ORGLA03G0308900 transcript:ORGLA03G0308900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRQFGDGDGAPRGAVVLSRQCRFREGGAEHEAAVHATRGGDNGGEGEVRVSIDGKRVAEVSCVGXGFRSNHATVLTDVEMMEMLWDVHFRDIPVLIEPMGWAVFGAPMGCHYCYFYDALHITI >ORGLA03G0308800.1 pep chromosome:AGI1.1:3:27729476:27731504:-1 gene:ORGLA03G0308800 transcript:ORGLA03G0308800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAAMAGGMATGPGSRVTRYAKSTAASVTPVRPGKTHALSALDNAMERHAVYLVLYYRAAPGLDREPLKESLSDVLSQYPAMTGRLTRPPPPPPPRTGGSSSATTPACARWTPRRRPRSTSGSRRLTEFADKSYALGLSCTHLHNDPTAAVLFLNAWAAAHRRDSPYPPFLHSPALAAKSAAPPPEHPLLAAKSRGSPDTGGEMSSATFRFSAAAMRALLSAVEPGTTPFAALAALFWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGEGLVIVLPSAEGESARDVAVTLPAAVTARICRDREVLRYGADVVFGPKVDTQAS >ORGLA03G0308700.1 pep chromosome:AGI1.1:3:27725273:27726739:-1 gene:ORGLA03G0308700 transcript:ORGLA03G0308700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAIGGGGRRRRLRVFFLPFFAKGHLIPMTDLACRMAAARPEEMDATMVVTPGNAALIATAVTRAAARGHPVGVLCYPFPDVGMERGVECLGVAAAHDAWRVYRAVDLSQPIHEALLLEHRPDAIVADVPFWWATDIAAELGVPRLTFSPVGVFPQLAMNNLVAVRAEIIRAGDATPPVPVPGMPGKEISIPASELPNFLLRDDQLSVSWDRIRASQLAGFGVAVNTFVDLEQTYCHEFSRVDARRAYFVGPVGMSSNTAARRGGDGNDECLRWLSTKPSRSVVYVSFGSWAYFSPRQVRELALGLEASNHPFLWVIRPEDSSGRWAPEGWEQRVAGRGMVVRGCAPQLAVLAHPSVGAFVSHCGWSSVLEAASAGVPVLAWPLVFEQFINERLVTEVVAFGARVRGGGRRSAREGEPETVPAEAVARAVAGIMARGGDGDRARARARVLAERARAAVGEGGSSWRDIHRLIDDLTEATASPEPQLQ >ORGLA03G0308600.1 pep chromosome:AGI1.1:3:27720555:27721763:-1 gene:ORGLA03G0308600 transcript:ORGLA03G0308600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLRVIVKEEELDFAAAAAAAAAGEGSPSSWAVGVMDLPRPMEGLGEAGPPPFLCKTYEVVDDPGTDTVISWGFAGNSFVVWDANAFAAVLLPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGKKELLKTIKRRRPPPSSPPSSSSSSSSSQHQQQPAAACLEVGQFGRDGVVNRLQRDKSVLIAEVVKLRQEQQTTRAQMQAMEERISAAEQKQQQMTVFLARAMKNPGFLQMLVDRQAGQHGARNRVLEDALSKKRRRPIEYLLTRNGETCAAGESAAMLAADGVAEPDGDTTPRGDGGGGGGDTESFWMQLLSLGLEEKQREDGVAGGVQESNSGGADVDNDEEDDDDDVDVLVQSIYHLSPK >ORGLA03G0308500.1 pep chromosome:AGI1.1:3:27718176:27718526:-1 gene:ORGLA03G0308500 transcript:ORGLA03G0308500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMVVNSHAKATCERHYIVEAERCRDCLESAKEEYWARGRELQVPRSAPQGGGCRGAHQGCRLQCRQAPPRSSLPSLHAREAEMGNMGEGGDSSKHWVRDDYTMRTRRASSMST >ORGLA03G0308400.1 pep chromosome:AGI1.1:3:27711803:27714428:-1 gene:ORGLA03G0308400 transcript:ORGLA03G0308400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:emp24/gp25L/p24 family/GOLD family protein [Source:Projected from Arabidopsis thaliana (AT3G22845) TAIR;Acc:AT3G22845] MAVGWRPAMLLLVVAVAAWRGEALSVTVTDTECIHEFVPYEGDTVSGNFVVVDHDIFWSSDHPGIDLTVTSPGGNTVYTLKGKSGDKFEFKAPRGGMYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTAEQKYLKAREARHRHTNESTRRRVMFYTIAEYLAFMGASALQVVYIRRLFSKNVAYNRV >ORGLA03G0308300.1 pep chromosome:AGI1.1:3:27709435:27710923:1 gene:ORGLA03G0308300 transcript:ORGLA03G0308300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLHGTALFIRPSAYQEVKSSPKHAIVPHNNLLGCSAFYNPVEGHHVQKPHIVPSCKVNFTRASQYLYRSLSERTTRHWLHRFHVNALSDEDFRSSRNIAISLFKRYKNVIDRGGGDNLKEFVSAGVNAYALGCTEEGLRKELMDIEDSGLEIEALRSNGGGTSLTFKVHSFEVRECILWLSIVFITILCTPQPTIIRWSTTPPVSADVLHQWKGFCALIANAYYTKGMAWLPVKTLQLEQMAVTGSSEEPSVVASRMQLVFSTLEVVSPQWPRV >ORGLA03G0308200.1 pep chromosome:AGI1.1:3:27680006:27696993:-1 gene:ORGLA03G0308200 transcript:ORGLA03G0308200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSTLLSKVVFAGQQEQEQPPPPPPPPPGSSSSPLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAASGSSPSAASASPASSSFPDYIHVPIGNKGKNELELDFRRFWEEFRSSSSEKEKERALNLAVDVFCRLVKEHSSVAELVTKLVEAHVFAFVIGRAFVTDVEKLRIHSKGRSLRVADVIGFFSEITELGICPGSNLLYAVEVLVTQTIDKQPLLDSGILCCLIYILNSLLSPDESSQKSSPVGQEVSTSEKSKDWGPMLSRRLEIEASVVHTMKALASHPSAAPSLIEDDALQVLFHMVANGSLTVFSQFREGLVPIHTIQLHRHAMQIKVLLMAVKDFNPQNGDAAYTMGIVDLLLECVELSYRPEAGSVRLREDIHNAHGYQFLVQFALTLCSLHKNQVLQSSPKLASEDGVNPPHRSEQDTFTSDLSPQLSRLLDVLVNLSQTGLSENYVGKSMKSSHGKGTGHNRSRTPSVDKFADEILEINSPKVKDLEAIQMLQDIFLKADNLEVQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMGGFPPALQEVILKILEYAVTVVNCIPEQELLSLCCLLQQPISTSLKHTILSFFVKLLSFDQQYKKVLREVGVLGALLDDLKQNKLFFGEEPQNRTPKSAQRMSSASSFRKTVDNKDAILSPKLMASGSTKFPMFEDEGTITVAWDCLFYLLKRAEPNQQTFRSSNGVNTILPFLVSESHRSGVLRLLSCLIIEDSLQAHPEEIGSLIEILKSGMVSTSSGSQQKLDNDAKCDTFGALWRILGANSSAQRIFGEATGFSLLLTTLHSFQNDSENEETESSLLTHMKIFGFLMRAMTAAVYSNPVNRIRLHTILSSNTFYDLLSESGLLCVDCEKHVILLLLELALEVVLPPTSNLQVESISSENPEDESGFLSATSFGLSRLDKERIYNASAVVVLIRSLLVFTPKVQLELLRFIEKLANAGPFNQENLTSVGCVGLLLETINPFLEGSSSILNHALRIVEVLGAYRLSSSELRLLVRYILQLKVKCSGHLFVNMMDKLIQIEDVRQGSISLAPFIELDMSKAGHSSIQVSLGERTWPPVSGYSFVCWFQFQNFFRSHPKEAEKTSKGSYSKRNGQVMRIFSVGAVDDANTLYAELYLHDNGVFTIATSNSSSLSFPGIEMEEGKWHHLAVVHSKPNALAGLFQSSVASLYLDGKLRHTGKLGYSPSPFGKSLQVTLGTPAIRAKVSDLSWRLRCCYLFEEVLTPGSICFMYILGQGYRGLFQDTDLLRFVPNWACGGEVMAILDSLELEVIAPSGSQRVDSAMKQGNSRLESSGIVWDMERLRNLSLQLSGKKLIFAFDGTSSDAFRASGTLSLLNLVDPTSAAASPIGGIPRYGRLSGDVYVCNQCTIGDTVQTVGGIPVVLALVEAAETRDMLHMALELLALSLQQSHQNVKDMQSLRGYHLLALFLHRRMSLFDMQSLDIFFRIAACGASFPEPQKSNMNRTASYASGISPESSLDDLTLPKFGDDMSSIGSHGDLDDFSAQKDSFSHLSELENADLAGETSEFIVLSNADMVEHVLLDWTIWVTAPISVQITLLGFLERMVSMHWFRNHNLTILRRINLVQHLLVTLQRGDVEIPVLEKLVVLLGVILEDGFLASELELVVRFVIMTFDPPELTPNRQIVREAMGKHVIVRNMLLEMLIDLQVTINAEDMLEQWHKVVSSRLVTYFLDEAVHPTSMRWIMTLLGVCLTSSATFALKFRTSGGFQGLNHVLPSFYDSPEIYYILFCLIFGKPVFPRVPEVRMLDFHSLMPSDENCGELKFVDLLDTIIAMAKATFDSLIMKSMLAHQNNNLSHLNGTLVADLVESTPDMGGDLQGEALMHKTYAARLMGGEAAAPAVATSILRFMVDLTKMCPPFSAVCRRHDFLESCVDLYFSCVRSDCAVKMAKDLTSAATDEKCMHDDDNESLKDTFSNLPQDQEQSAKTFSIASFPQEQKSSSSGSSGMHNSFETAEVKADDSSNQASSTTFLNGQANQVVQSAHDQGQMSAPSSNGIADSHQPADSPTSASMNNIGSPVLSERSAHKAASTPTASPMAPFASWPGSAGSYSDGRQLTASPSMSSTISGIDLDSSPDLKTNIQGSPAVNTLFPINSKLLLDIDDLGYGGGPCSAGATAVLDFIAQILADIISEQLKATLFIESILECVPLFVDIDSALVFQGLCLSRLMNFLERKLLLDDEEDGKKLDKSRWSANLDPLCWMIVDRVYMGCFPTPVGVLRTLEFLMSMLQLSNKDGRIEDAVPSGKGILSIARGGRQLDPYIHAILKNTNRMVMYCFLPTFLKNMGEDDLLANLAFLTETGRSLGIFKPSQEDYTVDICTVLQLLIANKRLVLCPSNVDNDLMCCFCINLMALLCDKRLTAQNLAVDLLKYLVVHRRPSLEDLLVSKPNQGQQMDILHGGLDKLLTGSTTAFFEWLQSSQQTISKVLDQCALIMWVQYITGSAKFPGVRIKGMEVRRKKDMGRKLREIAKLDSRHWEQINERRYNLDLVRDVMSTELRAIRQDKYGWILHGESEWQSQLQQLVHERGIFPVRQLSTEPAWQLCAVEGPYRMRKKLEPSKFKIDTIHNVLASNLGLDDVKITKKEDGHMVMTSGSDTMSGLNLLTYDTERKDLDAADFASFKDEDDIFKGGSTVSPPIGWTDDKSSINEQSLHSATEHGAKSSSFSYHMTESVHGKSEFNSPRRAPSVKGTDTRTSEDKSEKELLDNGEYLIRPYLEPSEKIRHKYNCERVAGLDKHDGIFLIGELSLYIIENFYIDDSNCIYEKGNEDELSVIDQALGVKKDVLGSCDSHQKSPSTWGATAKVLLGGRAWAYNGGAWGKEKLCSSSNLPHPWHMWKLDSVHELLKRDYQLRPVAIEIFSMDGCNELLVFHKKEREDVFKNLTAMNLPRNSMLDTTISASSKQDSGEGSRLFKIMAKSFSKRWQSGEITNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDTLDLRNPQTFRKLDKPMGCQTEGGEEEFRKRYDSWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSMENQKLQGGQFDHADRLFNSVKDTWVSAAGKSNTSDVKELIPEFYYLPEFLENQFNLDLGEKQSGEKVGDVVLPPWAKGSSREFIRKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGNVDIDSVSDPTMKASILAQINHFGQTPKQLFQKPHPQRRTDRKVLPHPLRYSAYLTHQEIRKTTSSVSQIVTYNDKILIAAANSFLKPVNYSEYISWGFPDRSLRILTYDQDKLVSTHENLHGGSQIQCTGVSHDGNILTTGGDDGVVAVWRFFKDGTRRLLRMEKALCAHTAKITCIYVSQPYSLIVSGSDDCSVILWDLTSLAFVKQLPRFPASVSALHVNNLTGEILTGAGVLFAVWSVNGDCLAVVNTSQLPSDLILSVASTTNSDWQDTNWYVTGHQSGAVKVWKMVHYTSDEAANSKSKSPPSTLGGMSLNGQTQEYRLLLQKVLKAHKHPVTALCLPPDLKQLLSGDASGHLFSWSLKDDSFKVS >ORGLA03G0308100.1 pep chromosome:AGI1.1:3:27675928:27678429:-1 gene:ORGLA03G0308100 transcript:ORGLA03G0308100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDPTVAKCPEGLRSPPVASGAVAAGGAGALMKGFAGAHADAVTVSLGPAGALAYSAANQSPLVPRLFGAVNDIFCLFQGHIENIASLKQHYGLSKTATEVTILIEAYRTLRDRGPLPASQVVRDLSGKFAFILYDTLSKSTFVAADADGSIPFFWGVDSENHLVFSDNVDLLKASCGNSFAPFPKGCFYTTSGGLQSFEHPLNELKPVPRVDSQGQMCGSNYKVDSEAKKDSGIPRVGSAADWSNHF >ORGLA03G0308000.1 pep chromosome:AGI1.1:3:27672984:27673394:-1 gene:ORGLA03G0308000 transcript:ORGLA03G0308000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMRDKGEGSSAARTEGRELVVRAREGEAELDEVEHIDVGLERVEWGIGSGGEESVEIREVWTDNLEVELALIRDVVDEFPFVAMDTEFPGIVCCPRRRSALCAAVDRKNEAWEICLTPVQVQSSPSGVLACQLI >ORGLA03G0307900.1 pep chromosome:AGI1.1:3:27663889:27668153:-1 gene:ORGLA03G0307900 transcript:ORGLA03G0307900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNMTEDESPLHDMENKSEMKGIDEEASLQDLPKETSSISEDLSCIVDDNSYGHIKDSGMMLQDHNEEEKPGLSMKSHGNCSCISGNTDILSRRRIRSVENELEDSASSVHDAAVVVEENPSETSKHSWKSKRHPDMDCNPKPSKCPRPFDECSKLSYKYSVQSFCSIDDHLPDGFYDAGRDMPFMPLEEYERSIGLYAREVILLDREQDEELDAIASSAQILLSNLKMPSCFVADEDAGQDLLRASVLALFVSDCFGGCDRSASLSRTRRAIVSLRKEQPFVCTCSAGSICDSTEASKRINNLYGHFDFTRLCDKSIHIIKERRNSGIVPIGALQFGVCRHRAVLMKYLCDRADPPIPCELVRGHLDYTPHAWNVVPVRKGNTWVRMIVDACYPTNIKEETDPEYFCRYVPLSRLQIILDDQGYTPRSPFPSVSLCKEIETTASSSVYYCKIGAVDAAAKVRYLDTRCASSDEVKNFEYKLLAEVRMLGALRKHQSIVEIYGHQLYSKWVQADDDKEYKILQSTIMMEYVKGGSLKGYLTKLLKEGKKHAPIDLAFYIVREVACALLELHKKLVIHRDIKSENVLVDLDLERSDGTPVVKLSDFDNAIPLHSLSHTCCIAHLGTYPPNVCVGTPCWMAPEVLRAMRDKNQYGLEVDIWSFGCFLLEMLTLRIPYQGLPDSEIYDLIMRKKQRPRLTQELEAFWTLDKPITRLELGITSDAHAEKLRLLIDLFYQCTKGIASERPKAEAVYNLLCSLPTCYDMR >ORGLA03G0307800.1 pep chromosome:AGI1.1:3:27659106:27659342:-1 gene:ORGLA03G0307800 transcript:ORGLA03G0307800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEANPSMAHSASPSPTTLSASSCSPPNTTAASTSSPSRRTSMVMASWIWPATQGKKKAGDDVVDRPSTMWWIGRQH >ORGLA03G0307700.1 pep chromosome:AGI1.1:3:27646769:27649686:-1 gene:ORGLA03G0307700 transcript:ORGLA03G0307700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQAAFAASMFPQLAQRRGSDRAVVVAPPPPAPARVAMRSGGAAAAAARGVRCRASLIEPDGGRLVELVVPEEGGRREAARREAATLAHRVRLGRVETEWLHVLSEGWASPLRGFMREAEFLQALHFNAIRGGDGAMVNMSVPIVLPLGDAQRRAIEASGARRVALVDAADRPLAVLSDIEIYKHNKEERIARTWGTTAPGLPYVDEAITNAGDWLIGGDLEVIEPIKYNDGLDQYRLSPAQLREEFARRNADAVFAFQLRNPVHNGHALLMTDTRKRLLEMGYKNPVLLLHPLGGFTKADDVPLSWRMKQHEKVLEEGVLNPESTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDADHGKKVLSMAPGLEKLNILPFKVAAYDTKQKKMDFFDPSRKDDFLFISGTKMRTLAKNRQSPPDGFMCPGGWKVLVEYYDSLTPSADSSKLREAVAA >ORGLA03G0307600.1 pep chromosome:AGI1.1:3:27637674:27643054:1 gene:ORGLA03G0307600 transcript:ORGLA03G0307600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGSGGGAEAHARSRKYDYVENSNLVLGSGSGSRPRGGADEHTGEPETLRGRIDPRSFGDRAVQTKPPVEPPRRRKARDAADHDIGHRPDAKRRRRAASACTAQREVSVLSLIDDVVYRPRTKETRAAYEALLSVIQRQLGGQPPDVLGSAADEVLAILNNDKIKSPEKKRGIDKFLDPISDQMFHQLVSIGKLITDFHDTAVCDSASALDENFGVAVEFEQNEDDEGSDSDQVLDDLDEDDGDTMLNAAGAMQIGDELDDDDMHNSNEELAINAQDIDAYWLQRKVSQAYEDIDPQVSQELSLEIMSFLSESDDKDVENWLVTLLGYDNFDFIKLLVRNRLKIFWCTCLARAEGQEKRKMIEENMLSDPTLSPILEQLHATRVSAKDRLKNMEKSIRDEAKRLTEKQNAGINGARDQRVVKRDMESGWLKGQKQLLDLENLTFHQGGLLMVNKKCELPPGSFRTPHNGYEEVHVPALKAKPYETGEKVVKISDMPDWAQPAFAGMTQLNRIQSKVYDTALFKPDNIILCAPTGAGKTNVAVLTILQQIGLHMKDGEFDNTKYKIVYVAPMKALVAEVVGNLSARLKEYNITVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSEGLFWRALFLDQSGRLKQPAXNNQGTYPSSWSLSNSSKLSRCCSFLACSLWWSLPFXXQLPTLPSCSTIHWDHCEEALPEVSVDESDLLXEGYGCCWKASSAYICALEEGDSQNCSCHQRYCIIXXQTDLLSKGXEREPRDSCRSCKSCEKQXSXRSFAVWVWYSSCWVDKGRPXAHXGAFCXXTFTGARFDSDPCMGCQFACAHCNNKGYPGLQSGKRCLDRTEPSGCHADAWSRRQTSQLPIESQFISRLADHLNAEIVLGTIQNVREACTWLGYTYLYIRMLRNPTLYGLPADIMETDNTLDERRVDLVHTAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYASHRTISTYNECLKPTMGDIELCRLFSLSEEFKYVSVRQDEKMELAKLFNCVPIPVKESLDEPSAKINVLLQAYISRLELEGLSLSSDMVYIRQNAGRLLRALFEIVLKRGWAQLAEKALNLCKMIDKHIWNVQIPLHQFPSIPKEILMKLEKKELAWERYFDLSSQEIGELIRNPKMGMQLHKCIHQLPKLNLSAHVQPITPTVLGFELTITADFQWDDEFHYLLLP >ORGLA03G0307500.1 pep chromosome:AGI1.1:3:27634396:27634728:-1 gene:ORGLA03G0307500 transcript:ORGLA03G0307500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGSAREARAAEIEADLAREARPMEGGRIGARGASGERGRLGARGTAGGGGGDLGEWRSCQWVWHGLRRTKASRRRAPVQGSHMSAEVDWRWSIGVSAVISQVVSGR >ORGLA03G0307400.1 pep chromosome:AGI1.1:3:27628530:27633698:1 gene:ORGLA03G0307400 transcript:ORGLA03G0307400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKEVVDFVVHGLPGLCSYDVVLSVLYIAGIILEDLHNTGGGACMEGLTSEQMVAFQEAFLLFDKNGDGCITLEELAAVTRSLGLEPTDQELNDMMREVDTDGNGIIDFQEFLSLIARKMKDGDGDEELKEAFEVLDKDQNGFISPTELRTVMTNLGEKMTDEEVEQMIREADTDGDGQVNYDEFVIMMKNAERKISG >ORGLA03G0307300.1 pep chromosome:AGI1.1:3:27621809:27622273:-1 gene:ORGLA03G0307300 transcript:ORGLA03G0307300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGLARWCLGGTDLGDSCAGYGDGDGDPDQRRRTCGADEDCGDGGVGVDRGWLGAAAVEGERLRKRRWTIGGGRRAEARWERRERSRKEMGVRGNREITDEEITRGGRWERRDGEEIAIGVRQRSGWRGGGAASGFWGQRHGGGGGVRVRERS >ORGLA03G0307200.1 pep chromosome:AGI1.1:3:27620213:27620847:1 gene:ORGLA03G0307200 transcript:ORGLA03G0307200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNFPYFSSPPEHIKCISALTICIIMLASPLGKCIRAVEKTDVLLNFHSLHTTPSILDKYKHS >ORGLA03G0307100.1 pep chromosome:AGI1.1:3:27616964:27618790:1 gene:ORGLA03G0307100 transcript:ORGLA03G0307100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G04750) TAIR;Acc:AT3G04750] MASTAGAGRPPWDPTVSLRLGHPALVLLERCGGGARPFKAILAHMLRLRLAFETFPMSRLLHFATVSFPHHARAAETLFEHFTPRPNLYIYNLMLSSAAAAAAAASSSPSRRPAALYMSMLASSIHPDEQTFLSLLKSVDAERRSVGKQVHAHVVVTGLHSRVYLRNSLIKMYLDAGDVEAAEAMFRCAPTADAVSCNIMLSGYVKGGCSGKALRFFRGMASRGIGVDQYTAVALLACCGRLKKAVLGRSVHGVVVRRIGVADRGLILSNALLDMYAKCGEMNTAMRVFDEAGERDGISWNTMVAGFANAGLLDLASKYFGEAPARDIISWNALLAGYARYEEFSATMILFHDMLASSVIPDKVTAVTLISAVAGKGTLNSARSVHGWVVKEHGTQDSFLASALVDMYCKCGSIKLAYAVFEKAVDKDVTLWTAMISGLAFHGHGDVALDLFWKMQAEGTEPNGVTLVAVLSACSHAGLFDEGCKIFDTLKQRFNIEPGVEHFGCMVDLLARSGRLSDAVDLARRMPMKPSRSIWGSILSASSACQNTEVAEIASKELLCLEPAEEGGYVLLSNMYAAEGQWNYSNEVRENMERKGVRKSAGASTFGS >ORGLA03G0307000.1 pep chromosome:AGI1.1:3:27594487:27596597:-1 gene:ORGLA03G0307000 transcript:ORGLA03G0307000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1PFC4] MAGADVDVGTELRLGLPGGGGGAAEAAAKAAKRGFEETIDLKLKLPTAGMEEAAAGKAEAQAAEKAKRPAEAAAADAEKPPAPKAQAVGWPPVRSFRRNIMTVQSVKSKKEEEADKQQQQPAANASGSNSSAFVKVSMDGAPYLRKVDLKMYNSYKDLSLALQKMFGTFTATGNNMNEVNGSDAVTTYEDKDGDWMLVGDVPWQMFVESCKRLRIMKGSEAIGLAPRAKDKYKNKS >ORGLA03G0306900.1 pep chromosome:AGI1.1:3:27590069:27593196:1 gene:ORGLA03G0306900 transcript:ORGLA03G0306900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT5G43670) TAIR;Acc:AT5G43670] MDFAELEAVEGLRWPWHAWPPTASAAASLVVPTSVLCTPLHPAAPDLLPLLPYAPLRCGGGGGGGGGGGCGAALNPFSRVHHATARWACPFCGASANPFPRLLAPDALPAELFPTHSSVEYALPPDAAEAGGGPGPPSVVFVVDAATSGPELAALKAEVLRVVQGLPEGVRVALVSFAASVWVHDLGFEGCTRVVVMNGERELESEKIQEFLGVRDARYNKLAMPRSTKVQRFLLPVSECEFNITSAIEDLRSMSACPRGHRPLRATGAAISTAVALLEGCCSPNAGGRIMVFTSGPTTVGPGLVVETDLGKAIRSHRDIFNGNAPLIDKAREFYKKVANRLTAHALVLDLFACSLDQVGAAELRYPIEVSGGLMVHTESFESEQFKSCLRHIFNREGVGYLNMNFNATIEIVTSREVKICGALGPCISLHRKNSSVSDKEIGEGGTNYWKMSTVDSKTCIVFFFRVDCSHNTEPPTVFFIQFMTRYRHGDGSYRLRVTTVARRWAGPRSPEIAAGFDQEAAAAVMARLAVHRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLVDRFPVPKLIKCDQHGSQARFLLARLNPSVTQKAQLSDGSEVIFTDDVSLQVFIEHLQELAVQG >ORGLA03G0306800.1 pep chromosome:AGI1.1:3:27574707:27579534:-1 gene:ORGLA03G0306800 transcript:ORGLA03G0306800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVVAGEAAAAAAAAAGAGGKKRGASRSWILFDAAGEERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITAEEVLLRDPLDDNVIPVVEELRRRLAPSSATQHDVEGAEEDESPFEFRALEVTLEAICSFLGARTTELESAAYPALDELTSKISSRNLDRVRKLKSGMTRLNARVQKVRDELEQLLDDDDDMADLYLSRKLAGAASPVSGSGGPNWFPASPTIGSKISRASRASAPTIHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGIFGMNIPYTWNDNHGYVFKWVVLVSGLFCAFMFVSIVAYARHKGLVGS >ORGLA03G0306700.1 pep chromosome:AGI1.1:3:27572261:27573352:1 gene:ORGLA03G0306700 transcript:ORGLA03G0306700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMIRVLLVEDEEINRVVARAALKAAGGGDVVDEAENGEVAVQRVRDAAAPYDLVLMDKQMPVMDGHEATRRIRGMGVTTPIVAVSSDGLPADVDAFITAGADDFTSKPLSKEKLGVILAKFRLA >ORGLA03G0306600.1 pep chromosome:AGI1.1:3:27569865:27570967:1 gene:ORGLA03G0306600 transcript:ORGLA03G0306600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSGGCGISAFATTRSPARYLTRSAIAPPSTSHNSTTTTSIVGGVPQWLDALPNLTVLWLSHNSLSGHIPPSLANLTKIVQLEFDQNLMESSIPDGLSRLPALRMLALSQNSLTGEIPPSFFNMTLLRGLALANNVFRGELPADRRGRALPWRASARARETMRGAPAPGRGGSAGAGCPSRRSRTPTDDGGAWEFLNSLTNCNALAEIFLDGNMFADVMLSSVVVPSAIGRLATSRSYSSST >ORGLA03G0306500.1 pep chromosome:AGI1.1:3:27561115:27565696:-1 gene:ORGLA03G0306500 transcript:ORGLA03G0306500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARGGVRRVRREALVACMTCPLCKGLLREATAITECLHTFCKECIMEKIDDEEVDHCPVCNIDLGCDPEEKLRPDHNVQDIRNKVFPLKVKKVGAPKAPTVTLPVKRKQRSLSSLVVDTPRVAVQTGLTGRRTKTARRTAVSHVNSPGNNGTIKLANKSEGRDHKTQKISAAQSAKMTKTGNKKKNNTDVDATIQSSSEDRKDDHTIDKEDLKKPLNSLVDTANRTKFFRSGPKGQAAKEDKIKNSIKLLAEDDTEDKLVVTGRKVMPCSNKLKVKEENNRSPSQSASSKDKTTSDYELRKGQHADSQQGQIGSTRTGALHDGITRPVWFLLVPSPDQKQDPKLPQLPTYYVRIKDGSLQTSLIQRYIMNKLDLASEDEVEITCHGEAISPSTTLQGLLELWLKSSPVEQVQASLGAQAKEFVMELGYRRPQRPPSS >ORGLA03G0306400.1 pep chromosome:AGI1.1:3:27557415:27558212:1 gene:ORGLA03G0306400 transcript:ORGLA03G0306400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:I1PFB8] MRNSTSSAAAQPAPASAAMYGSYASPSSGAGGYAKIPTYPPPPSAYPAAPPPPVMGQPVPPPPAQLHDPTAPPSPIAKAAELVTRFREQGQALIAARRPWGEVFRAPAFSRPPSVGEAVARARRNAAYFRANYALAVLAVVAASLLWHPGTLFALLALCAAWFFLYFARPASSAGQPLRLLGMEFEDGTVLAALTGVTVIALLFTNVGWNVIGSVMIGAALVAAHATFRSTDDLFLTEQEAAGDGLVAAGMSAAGPILPTYVRIA >ORGLA03G0306300.1 pep chromosome:AGI1.1:3:27555674:27555943:-1 gene:ORGLA03G0306300 transcript:ORGLA03G0306300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELVEEKKRPNQATNHSKSAGNFLPRRLCFLIRFSSISAPSCSARLLRFFPHPMGGEVVVNSRKCFAAWIPECKRLFGPQNCKAGKSLKL >ORGLA03G0306200.1 pep chromosome:AGI1.1:3:27552242:27554644:1 gene:ORGLA03G0306200 transcript:ORGLA03G0306200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTAAPRHSCAKLSVAVEDPKAGGGGAVFVKATWHPTRFSLAVTDGGAAWVAQASDAEVRLRAEQWDQPVADYLALAERYLAFQQPSSTYSFHDAANGNRRLSWTFEKQGTKLEWRWKLQPAPNTQQTIAEILDFLMDANIRLSEEVVRKTQSFDKLKQESEKCLQQSERFNIEKAEFEQCTFSKFVAVLNSKKAKLRQLKDKLTELESADKAPKEEDENSTDKTELFEEASDKDASVNDEPSETGGGDLHSSPEKTAATSRGGRGRKRTRK >ORGLA03G0306100.1 pep chromosome:AGI1.1:3:27548724:27549145:-1 gene:ORGLA03G0306100 transcript:ORGLA03G0306100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERNDVRSEDRRPQAVASDQASFPMTHGTHTLAVPLGTGCLFWMNFASLDWMHRLVLPTYLLLLAWHADAGMLHLLAFRASLEHKATKD >ORGLA03G0306000.1 pep chromosome:AGI1.1:3:27543031:27548081:1 gene:ORGLA03G0306000 transcript:ORGLA03G0306000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CwfJ-like family protein [Source:Projected from Arabidopsis thaliana (AT1G56290) TAIR;Acc:AT1G56290] MLSGVKFIPREQIGEDALGGAGSAGSDSSEDRRRRKKRGRKGRDKEERRDRRRRRRRSKYGSDSEEGSDSGDSIDEEEEKGLSRSKHRRKHQRRRHEFSDDDDDDDEESSGESDKRRGSRKSKLGGAAGDDDDDEEEDEGIGGEELRASDIVRKEMGLEWMLKSASSGRAESSQARGADKDEEEVAPEEVKKANPKELNPYLRDNGSGYPDESSPSNAGNQLLASSVVGDGGASWRLKALKRAKEQAAREGKQLEEVVGERWGSLGHLAASVSASRAAPSHAHLHAIRGRKAGQAGSSEEHSKENPKEGQQGGDSGRREYLKDVSSRHHAMRKPKPDSVPWKRNRQNISSEDQALISSAIAGINKFSNDGSFLEKINNLESKTVNVLTAEVDELKSDKGSSKKAPSVSTQKLNANQLAAKILQLRMKGKHEEAEQLSREMEAVLENEDTAVEEPRHEVRSSTRNTIKPSAADRRKREEDADRHLANKIMHNKQYNMSKSIEDEYDFGDAPSKKGKRRNKDAHEERRSTHRLTTQKERCMYCFENPSRPKHLVVAIGNFTYLMLPQLEPVVPGHCIILPLQHESATRTVDRSVWEEIRNFKKCLLKMFAQQDKDIVFMETVISLAKQRRHCMIECIPIPSEVSNNAPMYFKKAIDEAEEEWTQHDMKKLIPTKGNLHQVIPENFAYFHVEFGLDRGFVHVIDDESKFSAGFGLNVIRGMLQLREEDMHRRRRHESMDNQKQAVANFMKDWEPFDWTKQLD >ORGLA03G0305900.1 pep chromosome:AGI1.1:3:27538223:27541787:1 gene:ORGLA03G0305900 transcript:ORGLA03G0305900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGGGVRDMDALEGVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLPGLLASLATTGFQASNLGDAVDVVNQMLDWRLSHEKPREDCDEAELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMVDVIVTTAGGVEEDLIKCLAPTYRGEFSLPGTLLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLQEQSTENVWTPSKVIARLGKEINDESSYLYWAYKNNIPVYCPALTDGSLGDMLFCHAVRNPGLIIDIVQDIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLIVAATFARKFHGAKQAN >ORGLA03G0305800.1 pep chromosome:AGI1.1:3:27532440:27533060:-1 gene:ORGLA03G0305800 transcript:ORGLA03G0305800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMRLGGTDPITMEVAIGVEEATQKLPPIPLSAPTAHPLLEAQSGFEAQEELAAQASKEVVPDVVGCLDEGDGLAHIAPPGAPAPPRLFEPLPEPLLPQPPPPAPARRGRSKVKMVPSRHSARHATRPFSVPVSKRAEGRLLKEMGFIDKEEDLNDEAIAAFVKAFDKPLPPHVIAGLRCLTRVDDPASIPSDFAGQDGITTGTA >ORGLA03G0305700.1 pep chromosome:AGI1.1:3:27525772:27526284:1 gene:ORGLA03G0305700 transcript:ORGLA03G0305700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACNSCEATAVAAVNGRSASGEATAARVVLADGALRRFPGGTRASQAVKAAGGGGGGSSWFLCSADGLELGAAVAAVGGGDDEELQPGQLYFVLPAAMRRRPLQAEEMAALAVRASAALVGDHDGPLVFPEAAASAADPRAAGKGCRRSRTRRHSRGRDFVPDLGAIAE >ORGLA03G0305600.1 pep chromosome:AGI1.1:3:27515664:27516679:1 gene:ORGLA03G0305600 transcript:ORGLA03G0305600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAVRPAAATGAAGHLGGQTGDSSPVRPAASTRSDRQINLEVSHRLGWSHILPPIEILSPNNAPPKIELNPRTKTKVPMIGNGREDARSMVQSDQANHH >ORGLA03G0305500.1 pep chromosome:AGI1.1:3:27515227:27515448:1 gene:ORGLA03G0305500 transcript:ORGLA03G0305500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSQIWKESKFGTIGYVKFLSYTRGFPKVFRTPSMSLVRGFRLPTSGINRGGA >ORGLA03G0305400.1 pep chromosome:AGI1.1:3:27512216:27512842:-1 gene:ORGLA03G0305400 transcript:ORGLA03G0305400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRIIFCVVIAAAVLAVVLLATVSPLPGRHKGGGGGRDHPWTLTVYIHTTTAAAAAAAAAGTVSPPQRQQQASAFVFRHRMTAGPESASRTVGAATGFVLPAEAAGSAMSVFDTVHLAFDVAGMSGSVCVQAAAGDGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA03G0305300.1 pep chromosome:AGI1.1:3:27487390:27488889:1 gene:ORGLA03G0305300 transcript:ORGLA03G0305300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGGGGGGGSGRLLRGATAKAFHGDGSSHHRMMPSSSSSVAAGGGGGGVAGPCRIPSLKFPSLWESKRQGGGVGSRAAERKAALISLGAAGVTALERKRGGGVVLLPEEARRGADLLLPLAYEVARRLVLRQLGGATRPTQQCWSKIAEATIHQGVVRCQSFTLIGVAGSLVGSVPCFLEGCGAVVRSFFVQFRALTQTIDQAEIIKLLIEAIDMFLIGTALLTFGMGMYIMFYGSRSIQNPGMQGDNSHLGSFNLKKLKEGARIQSITQAKTRIGHAILLLLQAGVLEKFKSVPLVTGIDMACFAGAVLASSAGVFLLSKLSTTAAQAQRQPRKRTAFA >ORGLA03G0305200.1 pep chromosome:AGI1.1:3:27471270:27475642:1 gene:ORGLA03G0305200 transcript:ORGLA03G0305200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGSRWLRLGRQPVRRDLPVDHLRLWLMDPRKNVICKLGSGWLVRSRWRQLRHRGRNRCMXQRLEVFLFWMMLVSRLVPVFLFCRPCMPMIHGHMCLRWRVLRSLGAGRFHYQGSLPLERXVRLFLWRCKGRYHWFFLPLFLLLLRRDSNLLRRMFXFKKLLRLRXCLLTRVLTRRXLDWNVFQNFRXCQILNRSQTVQRALLFQSPTYHTGPRLAWFLSSQKLVRYGAKLGXMINLXGRYPSRTPPSLVCRWRETAVRVVLQWGAMEIFLLEGFTLVLAAEIIRVEGGRGRGRGWFDGRGGRQDFFQGGRWFGSAGNGHGWNNGFGLNAGPGGGNFAGSHVGFNPNLGFQPFGTASGHGAVAEQEILKPLAGFPPVGAPVAAGPVAQVGHGPVTGGPAIQGGASTAFPPAGGAAVVGAFPVAGGGVATDAAFPVLGGAGPPAAGTSAGPLLPSSGGAIGSASVQATGGSAVSVDAKGQPIGASAKGKDDAGSGAIISLADGKGDSTAGHVASGTGGNVLSLLHGNLHSLEQQGELCGYAGDGLGFFHIPLSAGMRVKHEPKAALVKVTKGQMSVSSIISELERLIPGRWKWVVHDNGDGTFRTIFPSAAELSRMVEWGNVHTKVGEAEMEIVERGVGNEVKYVMPKVWVQCKGLPSELREYLIIWAVGSILEITKAVDMIFTRRYDIARLQVLVLDPSLIPDVVDVVIGDHLYELSFRVEPENRHEESVPMEMENLDDGDLEKKKEGNVNQDLGKGAGLFDSGNSSKMGSGGQFSERGGPSAPSSQLNLIPEYDGLTVSDEEFDGLDEETIMVDKAQIQESLVAKLSAIPEAVISPSRKSKRRASDSDQLVLERAKKLKADKNLGNLQARENNRISEYACSSIYQVCTPNVDTESLDDQLEDNFLLQHLCSEIMEEVMDFSEDCNAQHSFKFELGWLLRDGFVDMEKIDFRWFCRNRLSTLMREEEIKWYQRAKTKDILEGDSNTKYFSFGF >ORGLA03G0305100.1 pep chromosome:AGI1.1:3:27468546:27468941:1 gene:ORGLA03G0305100 transcript:ORGLA03G0305100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVTSCVACLDDKDMGAGGGVEEAWAASRWRPSRADEVRHLMVRESMHGVVGNLSVFLLLSSADGLTPSISIPPHMAVSLPVAPFPRAGDTISPSRRLSRGAYTLPLPLLVTPRQGCLVSSGPRRVGEQ >ORGLA03G0305000.1 pep chromosome:AGI1.1:3:27461661:27464274:1 gene:ORGLA03G0305000 transcript:ORGLA03G0305000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVRGGATRGQAWFCTTGLPSDVVFEVQDMSFHLHKFPLMSKSRKIHRMVMEHEAEQPAGQRRRRRRRRRRRSEGSNAGEEGDEQTEIEEAEEEEEDEEEEEEGQAFCIAFPDFPGGPGTFETAAKFCYGVRVELTAWNVAPLRCAAEYLEMTEEHAEDNLAARAEAFLEQAVLRHPGEATKALKSCEELLPHAEELGIVGRCVEAIAARSSAASRSWFDDLAVLGLRMYKRVMAAMAARADVRTEARESCLVSYARGTIPGLSRSMRRRLASAPVSSEVEQRDLLEAVVASLPADKCSGRVVTAKFLFALLRTAHILRASDAACAALERKAATQLEHATLEDVLIPSYSGATETLYDVDCVERVVRHFLAEEEDHGEAEASTSAAAAITEEAPAPAATTLSRPSAVAMVHVGKLVDSYLAEIASDANLKPAKFCELALALPDHARVYDDGVYRAVDIYLKAHPRLAAEERDRVCGVVDCRKLTVEACTHAAQNERLPLRAVLQVLFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXADAGGGRGEAAWRSTATQDSQVLRLDMDSMRNRVQDLERECSSMRRAIKKIDGRSAAASPRHSDAGDDDVASADGSSRPANWRSRYGCKFSTQVCDSHARNVVASRASRMGMSP >ORGLA03G0304900.1 pep chromosome:AGI1.1:3:27455547:27457016:1 gene:ORGLA03G0304900 transcript:ORGLA03G0304900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSDGEGAGGGLPRSHPSNLPLPAPHSDPNLQFSGTDDDFSNRHSSSSATGGASPGYYSDYPSSFSGECSPYNMSPWNQTMASPWSHHSDASMAGLGGAPAMAPGTSLIGSLVREEGHIYSLAAKTDTLYTGSDSKNIRVWRKQKDSGGFKSSSGLVKAIVISGERIFTGHQDGKIRVWKVSPKNGLHKRVGSLPRLRDFLRGSLNPSNYVEVRKNRTALWIRHSDAVSCLSPTDSAQGLLYSGSWDRTFKVWRINDSKCLESVVAHDDNVNAIVAAFDGLVFTGSADGTVKVWKRELQGKGTKHVAVQTLLKQEHAVNALAVSAVAPVLYCGSSDGLVNFWEGERHLVHGGVLRGHKKAVFCLAAAGSLLLSGSADNTIYVWRRDGGVHSCLSVLTGHTEPIRCLAIVEDNKDNAAVPVDAVDSSFASGSSTRWIVYSGSLDKSIKVWRVAEDAPDALLHGPGGGDAPQMFDRYPGDPFGASSSSFR >ORGLA03G0304800.1 pep chromosome:AGI1.1:3:27451593:27454773:1 gene:ORGLA03G0304800 transcript:ORGLA03G0304800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:I1PFA2] MLGGIIGGLTGNKNARLKGSLVLMRKNALDINDFGATVIDGISEFLGRGVTCQLVSSSLVDPNNGNRGRVGTEASLEQWLTSLPSLTTGESKFGVTFEWEVEKMGIPGAIIVKNNHAAEFFLKTITLDNVPGHGAVVFVANSWIYPASKYRYNRVFFSNDTSLPSKMPAALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGEPDSGNPRPVLGGSPDRPYPRRGRTGRKPTKTDPTAESRLSLLENIYVPRDERFGHLKMADFLGYSIKALVDGIVPAIRTYVDLTPGEFDSFKDILKLYEGGLKLPSIPALEELRKRFPLQLVKDLIPAGGDYLLKLPMPHVIREDKKAWMTDDEFAREILAGVNPMVIARLTEFPPRSRLDPARYGDQTSTITAAHVERGLEGLTVQQAIDGNRLYVVDHHDHFMPYLLDINSLDDNFIYATRTLLFLRGDGTLAPLAIELSLPHLQDDGLITARSTVYTPAARGGTGAGAVEWWVWQLAKAYVNVNDYCWHQLISHWLNTHAVMEPFVIATNRQLSVAHPVHKLLLPHYRDTMTINALARQTLINGGGIFEMTVFPRKHALAMSSAFYKDWSFADQALPDDLVKRGVAVPDPASPYKVRLLIEDYPYANDGLAVWHAIEQWATEYLAIYYPNDGVLQGDAELQAWWKEVREVGHGDIKDATWWPEMKTVAELVKACATIIWIGSALHAAVNFGQYPYAGYLPNRPSVSRRPMPEPGTKEYDELARDPEKVFVRTITKQMQAIVGISLLEILSKHSSDEVYLGQRDTPEWTSDAKALEAFKRFGARLTEIESRVVAMNKDPHRKNRVGPTNFPYTLLYPNTSDLKGDAAGLSARGIPNSISI >ORGLA03G0304700.1 pep chromosome:AGI1.1:3:27442935:27443315:-1 gene:ORGLA03G0304700 transcript:ORGLA03G0304700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAIPDLIRYEAEITSSRGLFGKRITVAPGVLGQDWKQIEDGRRRPVAVSYSHGRRLRCIAEGRREEEEEVGRSRRGKDLDLDDSEGIWR >ORGLA03G0304600.1 pep chromosome:AGI1.1:3:27435722:27439662:1 gene:ORGLA03G0304600 transcript:ORGLA03G0304600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XALRKLSSDALRRQPLSRITPLYYMASLPATEERSGVTWPKQLNAPLEEVDPEIADIIEREKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGLIDYDQMEKSAVLFRPKLIVAGASAYARLYDYDRMRKVCDKQKAILLADMAHISGLVAAGVVPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKGVNKQGKEVMYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVMSNCAKFAQSLTAKGYELVSGGTDNHLVLVNLKSKGIDGSRVEKVLENVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDAAVNLALKVKAAAGGTKLKDFVATLQSDSNIQSEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >ORGLA03G0304500.1 pep chromosome:AGI1.1:3:27433371:27433598:1 gene:ORGLA03G0304500 transcript:ORGLA03G0304500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGWIQTEQGSEVLSAFSCTMFSAIQQCIAEFRHRYFGIWTGFSNGMNKNTLAKSLQFTWTAMACTPSGLKTS >ORGLA03G0304400.1 pep chromosome:AGI1.1:3:27411007:27429070:1 gene:ORGLA03G0304400 transcript:ORGLA03G0304400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAAPECTDAMGSEAAAGDVAETEEVVVASEAEYSWPQLRFDLPPRRLYHFAGQFRSAAAAASASAGNFLKGVKWSPDGSSFLTSCDDNSLRLFYLPEEAYSTEAEHPAEAAVGGEDSYGASIQVNEGEPVYDFCWYPYMSVSDPATCVFATTSRDHPIHLWDATTGELRCTYRAYDAMDEITAALSISFNSTGTKLFAGYNKAIRVFDVHRPGRDFEQHSLLKGCEGPTGIISSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVDIVYKLYRSSDTTNQRIHFDIEPCGRHLATGGQDGMVHIYDLQGGQWVTAFQAAADTVNGFVFHPYLPLATTSSGHRRFGMEDEIEEELSLAGIFNKGDRSYAVRRAAVSAYAALCAVLCAHEAPGGLPDRFVAWGLPLLGEPASAALLAEGLRELLATGDVPAVERFVPPLLAACRDVLEDERTSLTVLRCLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWVFVPDLAESDRSTIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNSLKELIAGPLEGLAPQLLMCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYGMFVDVLAQSLEVASSVQLVAALKTNLQVLSLQNLGLRASAVEALLDFSSFLSRLRLHPNHTVVTNVATTYLFVLQHGLEDVVDQAISSLMKELELLKSLLETGRASYPDIQNLSLGSNSETQSKSNSSTFSLVGYSEHQLLSLMKFDLKILLATISVDTKKRNDKAASLTSFISAKLDPFGTPFHDFLEMQFHIFSILHRLSSMDLSSTIAPSKANGSGDSGSQTQLVIESRKSFCDCKNKFMHKYGKLVVWGLNASSSMTLKLEALAWIDTFGNLVLGMERDVDKRNISYEVHEGATLLNTILFAILDCAYDREPKVRLHVATSLEILFLGRLINPMDFSVVTQVLLDKLSDPDNAVKKGFSRLLSIALPITTYTFGLLENRWSYQHSPDTANMSKHCMSWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLFFSYRGKKDMFSGQTDVSGDSDGNELFKGPDVDRTIIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAPEATEGEGRYIAPSDTSLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALHCNDAVIHYCSSRLLDIRNLAASSLKDNSRMGGATESHHAFRERLEADFLKVLRHASLALCRCHETDALVGLQRWAISTFYTYFEQDKQLVRGVSDSQNHFSWMSGLIYQSQGKYEKAAAHYSHLLQFEEGLASMESDGIQYIIARVIECYTSLSDWKCLEGWLSELQVLRAVHAGKPYSGALTSAGNELNAVHAMACFDGGDFHSAWGYLDLTPKSSSELSLDPKVAVERSELMLLRAMLQSHSKPDKAREELNKAKLMLDEALSVVPLNGLTEAAACAGQLYCIFAFEETTELACPNRTNQSPALMDYLLRLLQDPIDRINQDCNIWLKIFKVYRTTQPSSLPTLLLCQKLASLARKQSNLKLASRLNQYIINHPLSSSDEMEKEMLTLNIKYEGALLKHDQGKKEEALTDLWSLVRATVLSTVSDSSGAGTPLIAKACLKFSTWMERENSTHIMNMILPKVIEDINDSGGFRNGAEKLLLGDNGSVSASNSHVVSQEIIGIARKTSWQLCPSMGKAWHSYASWCITHANYSLSGTDSKLQNSLFPALQSELSPDRFHLTDNEKSEVQEIIRNFCADKDGNYVDCSISPTAGCSYNSEGNPIVSLIEQTICLLETAAGAPGSEACDGEGPSVRLSSELTVLFCKCDSAKDSSMTLIGKLIEIWWSLRCRRVSLFGHAAHAYFQYLSHSSTGLQPSYHRDVLKGKTKSYTLRALLYLLHIILNYGVELKEIVESGLSTVPLLPWQEIIPQLFARLSFHPEKIVRKQLESILVKLGKLSPCSIVYPTLVDINACEGEPSDELQRILDFLVKQYPKLVKDVKLAIEELGMVTVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAIMTPIVVALERRLASTSREPKTSHEVWFHKEYNAQLKSAITALKTPPGSPSALGEIWRPFDSIAASLATHLRKSCISLSEIAPQLAALSTSNIPMPGFEKQIFSSSESSFADSHGTITISSFCKEVTVLSTKTRPKKLVLQGSDGQKYTYLLKGREDLRLDSRIMQLLEAINSFFYSSSDTRSRNMAIRFYSVTPISGRAGLIQWVENVSSIYNVYKTWQKRSQLAQAQLSSVNTVNNSIHKSVPPVPRPGDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLELMKETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMIGHVLGLGDRHLDNILMDFSSGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMAVLLRNKDIILMLLEVFLWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLVTNLPATLSSLKKFLDTLEQYEVISAMFYHAEKERSSALQSETSAKSMLAEASSLAEKSRTSFELHAHELAETKAAANDEANKLAVWVEKHGRILEAIRDNSIAGVESCMQLNSKDDALSLISAVLESEVPLTVVPEPTRAQCSELDREVSQLILELQGGLSAALESLGEYALVLQQVLPVNYITTSPVTGWAQALQLSVSSGSEDLLPLAKRQAAEVIAKVQGEGINLVQQRYRDLLNQMESYVVCIERLARERSELMNSIGFENEVRSKERILSAFMNSIQLPSPKRDMGNIPFLQSGNVGVKTPAHEDIQDETGIVLSILGIAVGQLYSDVRAKVSELSSKVTGIAKFRTDETGPQADAGTSLQLFDQQVEKCALISGFVGEVHGVMEAKLVEMNTAYAKPQHRQWASTFQRILCSSTNMIEQMTEVFLPEIIRSFISYNSEVMEAFGSVSQIRGSVDTALEKLVRIELERASMTEFEQSYFMKVGRITEQQIALEEAAMMGRDHLSWEEAEELASQEEACRAQLEQLQETWSQKDMTISSLMKVESSAMNSLLSSKQYFSSLVNVDQESEFHLRRSKALLLILTKPLADLEALDHMLSACGLFPYHDHCFFVWKLSLLDSILDLCMHEISSSVEHSFTTNQLYTALKTKLTNHVEKQVYRYIMERIAPAFILQLDKEISDLLQLSQGRRESGQPKRDSAAVGRVAVMLEEYCNAHETARAAQTAVSLMQRQSNDLTEALRKIVLEIIQVEWLHDLSSPHAQKSKVLSQNILSDDKFISVLLNISRGNLLDKIQSSVSLVTRSIECLQACENTSVSAEGQLERAMGWACAGPNTSGAGSSTTKASGIPSEFHDHLLKRRKLLRVIQEQASDLANICTSVLEFEGSRDGIYLIPEDKSSGQSTDRGRTWQQTFLNLLTRLDAAYRSFTCAEQEWKLSQFNMESAGKSLYSVTNQLSVVSSRAKSALECSVYIFPVNLQDTLVSMYERASEVTASLSGFKHVSQHRTALTSECGSLLEEVLAIAEGLHDVYIVGKEAAVMHNSIMSNLSKANTILLPLEASLSADLAVMSEAISKEREKNNTSMPLIHGKALYQSYIIRIRDAYKNLEPLVPPLADDAKELHSLMTKLGRLSSIHAGSLHKVLN >ORGLA03G0304300.1 pep chromosome:AGI1.1:3:27407830:27410668:-1 gene:ORGLA03G0304300 transcript:ORGLA03G0304300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEQPPAAAEQQAGSGGGGGWGGWGLSIFSEISRNAVEVAKSAIADIQQPPEQDVGTGDGEEKEKEKAAEGGGEEDERRKAALDKLENASEDSLLGQGLKVFDSSVETITTGTWQALGSAWKSGSLLVQKLETSASSLAETIQQGELPAKASVIAPTILETGKSFTAKGMEVLERVGKETMEFIIEETGMDVDKGTGEGDPQTEEEQFEEVSFDRCFYIYGGPDQLEELEALSSHYALLFNRKKGKLVAEQKTYYDGKLKEIQQIFSLSTKIDEDGPDSNKGKKIEAADSDSDAEMKKLCDSSVSKAAKMAAGFTTALGGLSPNEIVKRTTNRLETIHSEGVHRLSEMCCLAVSQLLLLGKSVISASSKPKNEDDENDVKIEWPEDPISKAKIIRWKAQSISVDIEKVSASFATGISDVAEAYMAAIQNALADKKDDLSNQNSVQEKAKYISNHLNTDQTSAVSKLQDALQYLAYVVVCSSMPSV >ORGLA03G0304200.1 pep chromosome:AGI1.1:3:27401689:27406996:1 gene:ORGLA03G0304200 transcript:ORGLA03G0304200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSQASIGDISKTFRAYLIIFPDSEKRLIELAQALFSNRYETVRENLRKRIPSTDLLAMLRSLWEDATAIDEVIPEAALPAFSLETTRDIIRQHIATAFLHLQSEISDVLTRTPSTSNGKLEESQLQTAMETSKVKVLQGCIDLLQEFHHLIDGNTELLVKLRDLVIDWVQEGFQDFFQKLDGHFHMLSGRSKSPSQEMESVQIDKVPTALVLMLAQLCVFIEQTAIPKVTEELASCFSGGGARSYEYGPPFVPGEICRLYRSSGEKFLHHYINMKTQKISKLLNKRFTTPVWIKHKEPREVNMFVDLLLLEFNGVVSEVKQVLPGLIRRHRHSDSTGSTTSSRSNPMREDMLNRSNTHRARSQFLENHLAKLFEQKMEIFTKVEYTQESVISAVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKTSLKEFVDDEAAISFLLKEVNNAAHERCLDPIPLEPPILDKLISAKLAKIKEQSPNMQ >ORGLA03G0304100.1 pep chromosome:AGI1.1:3:27398726:27400909:-1 gene:ORGLA03G0304100 transcript:ORGLA03G0304100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANGVVLRRVPRRRGRVLLPPPRAGVAGAAVRPHCVAGAGAGAGAGGGGGEAERVPGVRRRGARRSAGGGGGCHHRWDEHPPEGDGRAGQDGRRRRRGGEGGGXGDGGGGGGAAAAAARAGRRRGEQPRFRHMMRERQRREKLSQSYADLYAMVSSRSKGDKNSIVQSAAIYIHELKVARDQLQRRNEELKAQIMGHDEQQPCVTVQFEVDEPSSSIDSMIAALRRLKGMSVKARGIRSSMSGNRLWTEMNVETTIAACEVEKAVEEALKEVERNQPDSDAPFPGSKGWTQTSHVQNVF >ORGLA03G0304000.1 pep chromosome:AGI1.1:3:27397018:27397260:-1 gene:ORGLA03G0304000 transcript:ORGLA03G0304000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIVTARDSNLDDDEGCDRAWARRIRHTELRKGAQLQRRGEATAIEEGHEGDGSVEVEEACFYLMGLDYGPKRDGFGPR >ORGLA03G0303900.1 pep chromosome:AGI1.1:3:27382254:27384139:-1 gene:ORGLA03G0303900 transcript:ORGLA03G0303900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEEANREAVQSCHRVLTLLSSPHSQLVPNKDLAAATGEAVAKFCSVASRLNNGNGLQGHARVRKIKKPLPIFDSNLFLESPALAVATAAKTPNSSPITSLQLFPRYHQMEGSSSKDPVRIPAQFPKRLLLDNPAVDSDGPSRGPPLQLIQPVSVAPPAGTPHPALPSAHLHFIQQHQSYQRFQLMQQMKMQSEMIKRSGLGEQGGSNGGGKGVNLKFDSSNCTASSSRSFLSSLSMEGSIASLDGSRSSRPFQLVSGSQTSSTPELGLMQRRRCTGREDGSGRCTTGSRCHCAKKRKLRIRRSIKVPAISNKVADIPADEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEGDHNHNRVLAAQPA >ORGLA03G0303800.1 pep chromosome:AGI1.1:3:27375267:27376363:-1 gene:ORGLA03G0303800 transcript:ORGLA03G0303800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYFFLQSLLLCVAAVALLQLAKVAATMRRRPRTPPGPWRLPVIGSMHHLVNALPHRALRDLAGVHGPLMMLRLGETPVVVASSRGAARAVLKTHDANFATRPRLLAGEIVGYGWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVTARVEEIRAVAAPSTPVNLSVLFHSTTNDIVARAAFGRKRKSAPEFMAAIKAGVGLSSGFKIPDLFPTWTTALAAVTGMKRSLRGIHKTVDAILQEIIDERRSVRGDKINNGGAADDQNADENLVDVLIALQEKGGFGGKAASASTSTTTKSRPSFWTCSPAGRGRRRRRWSGRCQS >ORGLA03G0303700.1 pep chromosome:AGI1.1:3:27368370:27372387:1 gene:ORGLA03G0303700 transcript:ORGLA03G0303700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFSAPIPRRPTSSSSSPNPGARNKAPGMATAGGAAAAAPQAMDEKARRTRDLLASFYNTDPSAAAAAAAASSAARPSPTAASASPLDTINSASFDPDVYMNVLVQQSNLEALLQRHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMEANMEQLLSKITSVQSRSDTVNTSLFDKRENIEKLHRTRNLLRKVQFIYDLPTRLNKCIKTEAYADAVRFFTGAKPIFEAYGDTSFHDCKKASEEAIDLVTQHLKTKLYSDSEPIESRAEAVVLLKQLNFPVDSLKSNLLEKLEDCLLNFQKEPTQVIYIYIYIYIYIYIYK >ORGLA03G0303600.1 pep chromosome:AGI1.1:3:27363984:27366585:-1 gene:ORGLA03G0303600 transcript:ORGLA03G0303600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G58730) TAIR;Acc:AT5G58730] MAPSPAAAMPLAAEPDEVVVEVEEEEERGVKGGGGVAGLDEVEGLVVGSYCHDVLLRGGRVVGETLGGAAAFVSNVLDAASPAGASLAVVSKVGHDFAYATAAAPARHPPVLCASPTTSFHARFSDDAASAHAPDRQLRRVHACDPIYPADLPDRRFAYGLAVGVAGEVLPETLERMIRLCRAVLVDAQALIRAFDGEAKGGGAVRHVALEATPYARLLPRVAFLKASSEEAPYVGVETARRRCCVIVTEGRDGCRLYWDGGEARVAPFPAVQVDPTGAGDSFLAGFASGLLWGLSATDAALLGNFFGAAAVSQVGVPTFDPKMLQCRNFHQLHFNAFQSFCHFNWMQAVKQILEKAVKRPCTHINGNTFTFQRSSIHDELHKSLQEAAMLVCEQKQANSPATDNGDVCSINELTSLPS >ORGLA03G0303500.1 pep chromosome:AGI1.1:3:27355686:27359957:1 gene:ORGLA03G0303500 transcript:ORGLA03G0303500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFRTSTHRERWIFQTNDLMDRWGAANQRATETLVQYGTTRLKVDPVDGSLSYPEPAPDHVVGSSGVKPLSCEEERLMRVFYEQKIQEVCSAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCIYSSCKVEENHVSAEELGKGIQQDHQIILNNEMIVLKSLDFDLIVYAPYRSIEGFVDDMEDFCRAGNGEHQRLQDLRQTAISQVDKMMLTDAPLLYTPGQLALAALHKSNDMHKILNFERYLESVFSRQHSDCPIEQFVGSINMINYLVEQLKIPTPKDMRHIDRKLKHCLDPSSQDEHKKKEKKSKHKSKRAANEAQLDS >ORGLA03G0303400.1 pep chromosome:AGI1.1:3:27347925:27349511:-1 gene:ORGLA03G0303400 transcript:ORGLA03G0303400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGLFQALPRLVVFDLDYTLWPFYCECRSKRESPSLYRHAKGIMFALREKGIDMAIASRSPTPDIAKVFIDKLEIKSMFVAQEIFSSWTHKTEHFQKIQRKTGIPYKSMLFFDDEDRNIESISKMGVTSVLVENGVNLDMFKLGLSNFATNVAASSTSKDE >ORGLA03G0303300.1 pep chromosome:AGI1.1:3:27343765:27345665:-1 gene:ORGLA03G0303300 transcript:ORGLA03G0303300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGRFEALPRLVVFDLDHTIWPLYCDCCSIGDSPRLFRHAKGIMCALKEKGIAMAVSSRSSTPDIANAFLDKLELQPMFVTKEIFDSWTHKTEHFQRIQRTTGIPYESMLFFDDEHRNFATVSKMGVTSILVDWDGGVNLEMFKLGLNNFAAKFAASSTDKDEQTSFNG >ORGLA03G0303200.1 pep chromosome:AGI1.1:3:27335144:27341894:-1 gene:ORGLA03G0303200 transcript:ORGLA03G0303200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT2G39810) TAIR;Acc:AT2G39810] CLQNALEQLASIDLIELCKEARIEHCRATRDLSSCGRYVQHVLNSCGHASLCAECSQRCDVCPICRSPIPDTGNRVRLRLYYKCLEAGLISKQHDERFQEKEDHSDPVNLDVQRLHSLFDVALQNNLASLICHYTTDVCLDENAVSSDPLLAFLLDEVVIKEWCKKKFAVQLAGISSVVEVMITSFSEAVSAHVNDLHQLMESTLKAKQHLEAMMWCIRHKFLEDIPSRHTNLASWSSDVIKRKADAKERKWPEFSDKSSAYNEANQGILFIEQALQNLGIQESNSGSEEGVEIVCLQSEQSSSMFCSTIDQFSVDKYPFKDLREAVDVLFLHGSSDMVIAKQAIFLYYLFDRHWTRPDSEWRYLVDDFAATFGISRRTLLECLVFCLLDDHSSHALEEACSLLPKISCKETHPKIAQVLLERHKPDVALVVLKCTGRDTFSSAANIEKDDTESLSEAVTAVRVRIEYGHLTEAFMYHRSYCSKVKEQRSADMSHVEDANSYKSSWMYHVEVMMTEFCNICIERNFVDRMIDLPWDSEEGKHLHKSLLDCAREMPMEPCGSLLVVFYLQRYRYLEAYEVDRSLQSFEQNALETASEEKASKIRTIAQWRQSLVTKCIEMLPEAEREDMRTIGSAERNQFAVQTMQNSSPANHMVKSPNPVIAFSLSATPIPQKKSSPLQSRNINVLNDSVGLNSSARSEFGRKVPSILQCRPVPLSSPISNVRSTAGGLFPSMGQNGEGPYLKGTKELSFTKGESGFKKGTRPAGYDSLPMYFNMGSVDTPMKEYRSSLLKTEVNKTTPFQVKDSVGKGEFDFGSRAEKPFILSGTGAGQNGHSKISDNAGFHEVHIQKTKVPPKENVLSFGKKSSVDEAPPGKGVSRWRSDESSEDEDDKRTSGYMESGASLATRRRARFSRR >ORGLA03G0303100.1 pep chromosome:AGI1.1:3:27326350:27328081:-1 gene:ORGLA03G0303100 transcript:ORGLA03G0303100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPDFQSSSQAHDFVGVKMQRAIQAIGSHGSLLKSAVLRHISAPKPSILPAVYSRSMSVSSAQIEESGFETATVADILKSKGKSADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGQDKSIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENQLITVKPDTRVLQAMQLMTEKRIRHIPVIDGTGMVGMVSIGDIVRAVVSEHREELNRLNAYIQGGY >ORGLA03G0303000.1 pep chromosome:AGI1.1:3:27323503:27324249:1 gene:ORGLA03G0303000 transcript:ORGLA03G0303000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAAPPVRGYCPAAASTSSVARVRMPASFVSAPRRVSVRLRAAAVAEVPRGLQLRREEERGLGFFGGVFGGEEEEVVEKVGEERVEGWMRESIAEIVRHIGEAPFLVHLFNDDDGGSGRGGAGRVTVRRETASAESWPDVRRRWGPGGMRRPDGIILVEQIAAAVEEGGASAGSGAAEAARQVWGLVVQARGMECASCYVLDTCRVRSPAGLCTHFCLARAQCFGDPLELQLRNAWLNRLSGRR >ORGLA03G0302900.1 pep chromosome:AGI1.1:3:27318669:27319701:-1 gene:ORGLA03G0302900 transcript:ORGLA03G0302900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM domain family protein [Source:Projected from Arabidopsis thaliana (AT4G01600) TAIR;Acc:AT4G01600] MATSWAAPPPGYPYAQGQGGAQPPHPPQSTAVAVTPVSNGVGNPYVIVTPASASPSTCQSLRKALERYGRKLEDGTRKAADTTGNIWHHLRTAPNMADAAVARLAQGTKVYAEGGHDRVFTQAFGVVPGEQLRKAYACYLSTSSGPVIGTLYISTVRLAFCSDSPISYHAPAVAVAGAAPAHPPEAIYYKVVLPLNQVKSVNPSASMTNRGERYIQIMTTDNHEFWFMGFVSYDKALKNLYEALQRRA >ORGLA03G0302800.1 pep chromosome:AGI1.1:3:27316940:27317880:1 gene:ORGLA03G0302800 transcript:ORGLA03G0302800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILNQPINPGGHPAFPAARETGQLMPASVRFDGLSTQQSTAAAVGRAHAGQSPRWQAQTLRRPSSYVGVEHDEPADAAAAAALAPFQPLTLDFLXXXXXXXXXVAADQGGGADVAPPPPPPLHALRVVVSSAVELDARQTELIARKMRRITGFASLTIENVVDPSLIAGFVVCYGPGESHVIDLSVKGKLAMLKNRVDSFDQTIAHPHQ >ORGLA03G0302700.1 pep chromosome:AGI1.1:3:27312225:27313160:1 gene:ORGLA03G0302700 transcript:ORGLA03G0302700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:syntaxin of plants 111 [Source:Projected from Arabidopsis thaliana (AT1G08560) TAIR;Acc:AT1G08560] MNDLMTKSFMSYVDLKKAAMKDLEAGGDGVELPEVGVTDERLKGFFQETEAVEEEMAAIRDALARLNAANEEGKSLHQPDALRALRGRVNADIIAVLRRARDIRARLEAMDRANAAQRRLSAGCREGTPLDRTRTALTAALRKKLKDLMLDFQALRQRIMSEYKDTVERRYYTLTGEVPEEEVIERIISEGRSEELLCAAVAEHGKGAVLATVHEIQDRHDAAREVERSLLELHQVFLDMAVVVESQGEQLDDIERHVNSATTYVQGGNKELRKAREHQRSSRKWLCIGIIILLLLVLLVIVPIATSFKRS >ORGLA03G0302600.1 pep chromosome:AGI1.1:3:27308029:27310767:-1 gene:ORGLA03G0302600 transcript:ORGLA03G0302600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT3G28460) TAIR;Acc:AT3G28460] MASSSALASSPFLPPLSTPNPRALSLRLPARRLPVASSAAPSGAAAAASARERRRFLERYGLNPDDFEDDAEAEPREERRRDRRNRRSGRGEAEDAPAKAAAEPRETHKMLQVLGGKVRRRKLLSPKDRNVRPMMEVVRGAAFDILQSAGGFPASLRPGRWLDLYSGTGSVGIEAMSRGCSEAHFVEMDPWVVSEVLKPNLECTGFLDVSHIHMIRVENFLANAEKSSGKYPSFDYISVTPPYLEVNYSTLLDQLARSPLVGEDCFILVEYPLKTDMAESCGSLIKVADRRFGRTNLLIYGPAWAEKKRRS >ORGLA03G0302500.1 pep chromosome:AGI1.1:3:27301261:27304095:-1 gene:ORGLA03G0302500 transcript:ORGLA03G0302500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:I1PF79] MFGRDPWGGPLEISNADSATDDDRSRDLDRGALMRQLDETQQSWLLAGPGDQAGKKKKKYVDLGCMVLDRKIFMWTVGTILGVGLFIGFVMMIVKLVPHKRPPPSSPRPVHPGPPQGPHVLQRATIWSFMSAGPLPKHNGVSWRGNSCMKDGLSDSTVRKSLVGGFYDAGDAIKFNYPMAWSMTMLSWSVIEYKAKYEAIGELDHVKELIKWGTDYLLKTFNSSADTIDRIVAQVGVGDTSKGGAQPNDHYCWMRPEDIDYPRPVTECHSCSDLASEMAAALAAASIVFKDSKTYSDKLVRGAKALYKFGRLQRGRYSPNGSDQAIFYNSTSYWDEFVWGGAWMYFATGNNTYLSVATAPGMAKHAGAYWLDSPNYGVFTWDDKLPGAQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPMYNSFNFTKGGMIQLNHGRPQPLQYVVNAAFLASLYSDYLDAADTPGWYCGPTFYTTEVLRKFARSQLDYVLGKNPLKMSYVVGFGNKYPKRAHHRGASIPHNGVKYGCKGGFKWRETKKPNPNILIGALVAGPDRHDGFKDVRTNYNYTEPTLAANAGLVAALISLTNVHVKSGIDKNTIFSAVPPMFPTPPPPPSAWKP >ORGLA03G0302400.1 pep chromosome:AGI1.1:3:27298691:27299527:1 gene:ORGLA03G0302400 transcript:ORGLA03G0302400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSRLVPQLLVALLQRRRFDATLRPSPAFRGFSPPTIAAALAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSPRSAPPRSPSPAPARSRRSSASSATTRRSSPPPRSPTSYARSARRASRATPSPPSTARASSTAPPTRSATTLCSPRCAGTAASRTPGSCSTRWSAPARGAALIPTPTRCSSPRTAGSEWRRDAGKRRGGGSTRRGGCSGGWGRKGLSRMS >ORGLA03G0302300.1 pep chromosome:AGI1.1:3:27280182:27295125:-1 gene:ORGLA03G0302300 transcript:ORGLA03G0302300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSNLNNSASNLQDSSGRPFTASFSGQSGSVPGAFHHSGLHNIHANFNLPNMPGSLAQRNAAMSGLPSSGVQQPGGSISARFASNNLPVGMSQLPHGHSGVSSRVNVGGGPAFSSSLNIGGTIQGLSSNLGAGGNRNSVPGMSVSPALGNLGPRITGSVGNIVGGSNIGRNISSGGLSVPSIASRMNLSGNIGSGGLNVQGSSRMMNGILQQGSPQMMNMMGSSYPTSGGSLSQNQIQGGNNSLGSMGMLHDANDSAPYDMNDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGYKGSTSDYAMELHHKEQLHDNVPVMQAQQYPMSRSVGFNLGSNYPPNRQQHQQGANSVQNAGPQNIGLRSSASQTSSLGSYEQLIQQYQQPQTQNPFRLQQVSSATQSYRDQSLKSIQGGQTPPDPYGLMGLLGVIRMNDADLASLALGMDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGEPEFHIPACYNAEQPPPLQPIHFQKFQTLTLFYIFYSMPRDEAQLCAASELYNRGWFYHKEVRVWLTRIPNVEPLVKTPHYERGSYGCFDPNNWETIRKDNFVLHYDQIEKKPAIPSSSQTVRGNISW >ORGLA03G0302200.1 pep chromosome:AGI1.1:3:27273567:27275374:-1 gene:ORGLA03G0302200 transcript:ORGLA03G0302200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFETGGVLRLLALILLAPLAGVLYYLVSESAGIQVLIFASMAGARVADVEAVARAVLPKFYCSDIHPESWRVFSACGRRCVLTANPRMMVEAFLKEYLGADIVVGTELVVWRGRATGLVRSPGVLVGENKAAALREAFGDASPEIGIGDRRTDYPFMRLCKEGYVVPVSPTPRPVPREELPKPVVFHDGRLVQKPSPALALLTVLWIPIGFVLACLRIAAGALLPMSVVYHAFRALGVRVTVKGTPPPPASRETGQSGVLFICSHRTLLDPIFLSTALGRPITAVTYSVSRLSEILSPIRTVRLTRDRAADAAMIRRLLAEGDLVICPEGTTCREPFLLRFSALFAELTDEIVPVAMENQMSMFHGTTARGWKALDPFYFFMNPSPGYVVTFLSKLPGELTCNGGGGGRSSHEVANYIQRLIASTLSYECTSFTRKDKYRALAGNDGTVVSKPNIDEKKAMGC >ORGLA03G0302100.1 pep chromosome:AGI1.1:3:27271495:27273056:1 gene:ORGLA03G0302100 transcript:ORGLA03G0302100.1 gene_biotype:protein_coding transcript_biotype:protein_coding APRRALHSGDTGMWECPDFYPVVTSHGVGGGGGVKVKHVLKVSLDLKRYEYYTFGEYDHAADAYVPDAALADGDDGLRYDYGNFYASKTFLDTARHRRVLWGWTNESDSAADDVRKGWVGVQAIPRKVWLAPDGKQLMQWPVAEIESLRGNHVNVTDKLVRGGGGGNYFEVSGLATPAQVDVEATFQVMDLDKAEPFDPAWRGADAQAVCAARGADARGGVGPFGLWVLASDELKERTAVFFSVFKRDDADVARVGGGKKHVVLMCNDPSRSSYAEQLYKPTYAGFVDVDIAPTGKISLRTLIDHSVVESFGGHGKTAILARVYPTKAVGDKARLFVFNNGESDVKVTNLNAYDMGSAKITTDA >ORGLA03G0302000.1 pep chromosome:AGI1.1:3:27243384:27246458:-1 gene:ORGLA03G0302000 transcript:ORGLA03G0302000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVERPRPESAIEENEIRITAQGLIRNYVSYATSLLQDRRIKEIVLKAMGQAISKSVAVAEIIKKRVPGLYQDTNISSVSITDVWEPIEEGLVPLEMTRHVSMISITLSPRDLDKNSPGYQTPVYVEQPRQQPRLQQAPPPPQRQVRQPPPDYEDSYVRGRGRGRGRGRGRGWGRGGYGGYGGYGNNQGGYNQGGGYYDNQGGYGGYDNQGGYGGYDNQGGYGGGGYGYNQGRYGNYQENGGYNRGRGGMRGRGNWNYRGGYERGRGGGFPGGRGYGGRGRGRMGGRGGRGN >ORGLA03G0301900.1 pep chromosome:AGI1.1:3:27238823:27240043:-1 gene:ORGLA03G0301900 transcript:ORGLA03G0301900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPASPLPPPDHLSFPFALSAAAAVSPSPGTQLHALLVKNGLFPSDHYVATALLQLHAARPDDARRVFDELPRREAIHYDLVIGAYARAGMAAEGLGVFRAMFMDGVAPDAVVLTTAITACAQAGALECGEWVHRYVERSAPGLLGDAFVGSALVSMYAKCGCLEQAVRVFDGMPERNDYVWGTMVGAFAVHGRAEEDVSCLDRMAREDGVRPDGVAVLGALSACAHAGKVDDGLRLLREMSRRYGVAPGHEHYACTVDMLCRVDRLEDAVALIETMPMAPLASVWGSVLTGCRTYANVELAEVATAELGKLGAGPDEGVYVQLSNIYLDANRKDDARRVRKLIGSRGIRKVPAYSEVEVDSVVSSFVADDQAHPQRVEIWEVLRLLADQMGGELDEGETMAELS >ORGLA03G0301800.1 pep chromosome:AGI1.1:3:27233460:27237832:1 gene:ORGLA03G0301800 transcript:ORGLA03G0301800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRVHRCGGGAVAWSPSPIVALVASPCKSQVAAARADGSLELWLVSPGSVGWHHQLTIQGDAESRVTSLVWARSGANGRLLSSSVDGSVAEWDLFHLRQKTVLDSVGVPVWQMAIEPSVDLTDSENKGSKVTANGHANGRTDSDSSSVDDGENTDDEDDSANTSLPYRANELQRLALACDDGSVRFYNVPESGALTYYRSLPRVSGRMLSVAWSNDAKFIFSGSSDGLIRCWDSTSFYEKYRITAGLGGAGSGNELCIWSLLFLRCGTLVSGDSSGSVQFWDSRHGTLLQAHTYHKGDVNALATVPGQNRVFSAGSDGQVILYKISKDELVADKEVAKEQVRKWVYVGYVRSHTHDVRALTMAVPICREDALPEEKTKKIRRREKPLEFSYHKWAHLGVPMLISGGDDTKLFAYSAREFTQFAPHNFCPAPQRPLINLARESIVNGDSVMLVQSANWLDVLLVVVQNKLTPSTSSRGDATVRHLARLKSKGSRKIISSATSTNGTMLAYSDCVKPCLFALRHKGGKKFTLDKLELPKGLPNSQCMLFSIDSSSLILAGRDGKIYVVDIATREISNVFQPMRKMDGASKEPPVTKMFLSADGQWLAAVNCSGDIYIFNLEVQRQHWFIHRMNDGSVTSGGFCPKNNALVITTSKNEVYVFDVEAKQLGDWSKRNTHHLPRRFQEFPGEVIGLSFPPLSSSSVVVYSARAMCFIDFGLPVVQDGQLPNGVVAEKIDSQKGSNKKLKRKAREEELRQEIRNNFDFFAFKDPVLFVGHLSDNSVLMVEKRWMDVVEGFGAPVHRHIYGT >ORGLA03G0301700.1 pep chromosome:AGI1.1:3:27225984:27230182:-1 gene:ORGLA03G0301700 transcript:ORGLA03G0301700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PF71] MAAMDLRVAAPASVAAAARCGTSLARPWPARAVGGGGGGGGRGRRLSVRTSVATTEAAAAAVGASEDAALEARDSKTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLSRAYNFSNGVAFGDGFVEVLAATQTPGSEGKRWFQGTADAVRQFDWLFDDAKAKDIDDVLILSGDHLYRMDYMDFVQSHRQRGADISICCLPIDDSRASDFGLMKIDDTGRVIAFSEKPKGDDLKAMQVDTTVLGLPQDEAKEKPYIASMGVYIFKKEILLNLLRWRFPTANDFGSEIIPASAKEINVKAYLFNDYWEDIGTIKSFFEANLSLAEQPPRFSFYDANKPMYTSRRNLPPSMINNSKITDSIISHGCFLDSCRIEHSVVGIRSRIGSNVHLKDTVMLGADFYETDLERGELLAEGKVPIGIGENTKIQNCIIDKNARIGKNVTISNSEGVQEADRTSEGFYIRSGITIVLKNSIIADGLVI >ORGLA03G0301600.1 pep chromosome:AGI1.1:3:27220784:27225325:-1 gene:ORGLA03G0301600 transcript:ORGLA03G0301600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPPADGRDPPADDGAAGDGAVESAAAEALLSAASEQLTLVYQGEVYVFDPVPPQKVVQAVLLVLGGSDMPPGLVSMAVPTTFDEKSTTVAARRVASLMRFREKRKERCFDKKIRYSVRKEVAQKMKRRKGQFAGRADFGDGSCSSAPCGSTANGEDDHIRESHCQNCGISSRLTPAMRRGPAGPRSLCNACGLMWANKGTLRSPLNAPKMTVQHPADLSKTGDTDDSKANLCAEHNQTTMKTDTEMVPEQEQKADVLPPTKEEDSMATS >ORGLA03G0301500.1 pep chromosome:AGI1.1:3:27211988:27216175:-1 gene:ORGLA03G0301500 transcript:ORGLA03G0301500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:folate transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G66380) TAIR;Acc:AT5G66380] MPPGASPAASAEAWTWENAAAGAAAGFATVATLHPLDVVRTRFQVSGGRGCYDLPPYRNTAHAVYTIARSEGLRGLYAGFYPAVLGSTVSWGLYFFFYNRAKQRYLQGKDDQLRPVHHLVSAAEAGALVCLFTNPIWLVKTRLQLQTPSHHTSRYSGFSDALRTILKEEGWLALYRGIGPGLLLVTHGAIQFTAYEELRKALIFAKSRQTRTDNRSCDDSLNSIDYAALGAGSKVTAILLTYPYQVIRARLQQRPGSDGTPKYKDSWHVVKETARHEGVRGFYRGITSNLLKNLPAASLTFVVYENVIKLFKAAKEKT >ORGLA03G0301400.1 pep chromosome:AGI1.1:3:27210078:27210816:1 gene:ORGLA03G0301400 transcript:ORGLA03G0301400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPWVLLPACGLLLHFANAAAVARXGAAAGLRGRWWSDGSAKGAGSARSGEAAGGWWNGGVLGQLLGMADWKLTGRGASVGCGGSHVPPMFRWWIRMERRTTAVKGSERKLSPILWASNGYAFKRGNPPEGIVEVPLPPRQEVLGENLVQDFGRMMTASFGVTTLMRALF >ORGLA03G0301300.1 pep chromosome:AGI1.1:3:27208490:27208928:-1 gene:ORGLA03G0301300 transcript:ORGLA03G0301300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVELLDMGVRVAARFHSHCPQTARMYYKPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGEELGGFRATAPSGFDFEFDTAQAVVYDVVA >ORGLA03G0301200.1 pep chromosome:AGI1.1:3:27206825:27207349:-1 gene:ORGLA03G0301200 transcript:ORGLA03G0301200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12 family protein [Source:Projected from Arabidopsis thaliana (AT4G36420) TAIR;Acc:AT4G36420] MASRLLHLRRLLPSARPSAGGGGGGGAVAAFSTVTPTPRVSALVDEICGLTLIEASSLTDALRGRLGVDQLPPLAILTGGAAPLAGGGAATGAAGEEAKAKEEKMAFDVKLEGFDAAAKLKIIKELRAFTNLGLKEAKELVEKAPAVLKAGVPKEEAESIAEKMRAIGAKIVLE >ORGLA03G0301100.1 pep chromosome:AGI1.1:3:27204318:27204672:1 gene:ORGLA03G0301100 transcript:ORGLA03G0301100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKLALPMALLLCGLMVIGSIQSAEAQGGKFCPQFCYDGLEYMTCPSTGSQHLKPACNCCIAGEKGCVLYLNNGQVINCT >ORGLA03G0301000.1 pep chromosome:AGI1.1:3:27202437:27202808:1 gene:ORGLA03G0301000 transcript:ORGLA03G0301000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSKKLSLTFVVLLLAGLVVLGEMAGAATAAAADCSTVRCIQGGYITCKNYPGKKLDGCVCLCAPTDGERCVLHLHDGSSYKCRAPN >ORGLA03G0300900.1 pep chromosome:AGI1.1:3:27200963:27201484:1 gene:ORGLA03G0300900 transcript:ORGLA03G0300900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKLSLAVVFLLSAVVVMSAMGGAEEARCSVVCIQGGYITCDNYPYQKLDGCACECAPKDGQNCVLHLEHGPPSNCPPQS >ORGLA03G0300800.1 pep chromosome:AGI1.1:3:27197539:27197882:1 gene:ORGLA03G0300800 transcript:ORGLA03G0300800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKLSLACVLLISGLVMLERIEHTEAVCTLFCAKGTYITCSNHPYEQLYGCACRCAPPDGVDCVVHLADGSTQQC >ORGLA03G0300700.1 pep chromosome:AGI1.1:3:27193174:27196712:-1 gene:ORGLA03G0300700 transcript:ORGLA03G0300700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPMPSALARGAVGVVDDAVLAARRCALAGAPVERLAWTVAAGDIFVILPDAVDMSKTIQLSREETPRVQRLYAYECAMFLMVLWRNWFVRNELIRDKVAPPIEVSKRFIMAPLIVVQRKGVSGQFFGI >ORGLA03G0300600.1 pep chromosome:AGI1.1:3:27188705:27192029:-1 gene:ORGLA03G0300600 transcript:ORGLA03G0300600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPCPRPHRRGGCGGRQAPEAGSVGGGRGVGALLVALLAVAVSSRSFPAASSSSRGGDCGCPGTRKYTGMVEDCCCDYETVDAINEEVLHPILQELVTLPFFRYFKVKLWCDCPFWADDGMCRLRDCSVCECPENEFPEPFKKPYSGLSPDSMICQEGKPQATVDRTLDAKVFKGWIETDNPWTYDDETDNAEMTYVNLQLNPERYTGYTGDSARRIWDSVYKENCPKYPSEEMCQEKKALYKLISGLHSSISVHIAYDYLLDESANLWGHNLPLLYDRVLKYPERVQNLYFTYLFVLRAVTKAADYLEQAEYNTGNPEEDLKTQSLVKQLLYNPKLRSACPLPFDEAKLWQGENGPELKQEIQKQFRNISAIMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGENHLNQSLQLQRNEVIALVNLLNRLSESVNFVHEKGASIEDVIKQQSSSTVKPVFPI >ORGLA03G0300500.1 pep chromosome:AGI1.1:3:27171214:27174263:-1 gene:ORGLA03G0300500 transcript:ORGLA03G0300500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SSXT family protein [Source:Projected from Arabidopsis thaliana (AT5G28640) TAIR;Acc:AT5G28640] MQQQHLMQMNQGMMGGYASPTTVTTDLIQQYLDENKQLILAILDNQNNGKVEECARNQAKLQHNLMYLAAIADSQPPQTAAMSQYPSNLMMQSGARYMPQQSAQMMAPQSLMAARSSMMYAQPALSPLQQQQQQAAAAHGQLGMGSGGTTSGFSILHGEASMGGGGGGGGAGNSMMNAGVFSDFGRGGGGGSSGGKEGSTSLSVDVRGANSGAQSGDGEYLKGTEEEGS >ORGLA03G0300400.1 pep chromosome:AGI1.1:3:27165128:27167296:-1 gene:ORGLA03G0300400 transcript:ORGLA03G0300400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEETGNDFQMVQGYEIVPSNEEAHAEEVQGDELVLAEDLAQGDEVQVNGLVSAEMSTPPTSRRRRKKSLVWEHFTIEAVSGGATRACCKLCKQTFAYSSGSKIAGTSHLKRHITLGSCPIIKNQEHKLALTPAVGTDNDGEGTVERPSKRRYRYTGYANAAFDQDRSCSYLAKMIILHDYPLHIVQQPAFTTFIDSLQPRFRVVDVETMEGEVYAVYQKEKENLMQAFSTMPGRISLTIGLWTTSQTLGYVSLAGQFIDSEWKIHRRMLNFMMVSSPHSENALSEAISTSLSDWNMKDKLFTITLDNDCSSHDIYSANLRDYLSNKNNLMLKGQLFVVRCYAHILNAVAQDVIASIHGVIYNIRESIKFIKASPSREEKFAEIALQLEIPSTKTLCLDVTTQWNTTYLMLLAALDYKQAFSTLETSDDNYNEAPSAEDWKKVEAACNYLKLLYDSAHSIMAAANPTSNLFFHEAWKLQLELSNATGHEDPVFSSIAKDMHERFDKYWKDCNLVLAIAVVMDPRFKMKLVEFSYSKIYGVEAAKYVKVVDDAVHELYKEYVAQPLPLTPAYVEQGDGNNAPASENGTQATAPSTGDGLVDFDMYLSEIATSQPTKSELEQYLDESLTPRIQEFDILNWWKLNTLKFPTLSRMARDILAIPMSMVSSGNSIFSAGTGTRMLDDYRSSLRPEIVEALVCAKDWLQYLPATPEAPSTTLVKVDAP >ORGLA03G0300300.1 pep chromosome:AGI1.1:3:27159593:27162602:-1 gene:ORGLA03G0300300 transcript:ORGLA03G0300300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRWQRMAAACFVLAALAMWAAPAACGARAXXXXXXXXXAAQQANASSSSSAAADEFLAPHNQARAAVGVAPLRWSGDLAAAAARTTSQQQGGQGRRCGFADMSGSPYGANQGWASYPARPAEVVASWVAQGSSNSKQHLILPEEKPKPEATREPSCFGDSSVGIAVAAAGDSGHGGTLDRKLQAATTAVYSASLHSGKELLIRVTWTRNAAGATGLAVVEPMDWLVGMAARDGAALKPVLSGLSMKPVPSGERLREARATAERALAAAEAEDEDPAAVDVNLILAFLAA >ORGLA03G0300200.1 pep chromosome:AGI1.1:3:27157680:27158375:-1 gene:ORGLA03G0300200 transcript:ORGLA03G0300200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAPVEDGGGGGGEERATGRSSCHVAWLAWWREQVRCLVASTLLPCDADVLGLSSSRRPPPPTVVRGTLFLPSTGGDRRVRLFLHEHDPSPSPDENHQAILVLDLPPGLSGADIAAAGRVVLECQRQWNNGGGALLESAKWLVYCNGRRVGFAARRREASDAEGWVLEKLWAVTAGAGRLPGGAGVEYMRGRFERTVASSDAESFHLVDPIGWLGFNGNDGLSIFFHRI >ORGLA03G0300100.1 pep chromosome:AGI1.1:3:27151999:27153633:-1 gene:ORGLA03G0300100 transcript:ORGLA03G0300100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLERTWCLEIAQPEESDHHIKLDISIYTKACMKDNYSTEKQCEKEEEEEQKQGLFGCVHVRISVLLMRDFSLIGVSPAVKRQQDENTHIQDAELLVTCTFEKYQYSDLEIA >ORGLA03G0300000.1 pep chromosome:AGI1.1:3:27148397:27149764:-1 gene:ORGLA03G0300000 transcript:ORGLA03G0300000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFVLTVAAVGAAALLFTTDIRKSGAMFRRNARQIRAWLEEESASAASRSAKDAPPKKLNGDIPKEKPKEDGH >ORGLA03G0299900.1 pep chromosome:AGI1.1:3:27130966:27131295:-1 gene:ORGLA03G0299900 transcript:ORGLA03G0299900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTERSGRPRWGRHASCSMIPMWWKARCCRRLWSMARSRGVSPLVSPDPVPASFSPSASHVPLSCAAIASLRHRKCLDMAFCASAVYGVAAPNPITESKKFTTICIWSQ >ORGLA03G0299800.1 pep chromosome:AGI1.1:3:27098883:27102859:-1 gene:ORGLA03G0299800 transcript:ORGLA03G0299800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFARPGHHLPLVLPPLPQPPATTTSLSLNHLAQRRRGTPRRHVVVVSSAGGGGSSSPPAPDDDPGNFDKHEYRRRMIRQGNYNRKSFGHKILGAVNLEYTSELISEMKRSGGEYVTMDGLVRLILAEAHGFCLGVDNAVRLVYDSRVKFPDRRLWLTNQIIHNPTVSERLDEMGIKIIPVVSGVKDLSVVQDGDVVIFPAFGFTVDEMVTLNRKNVHIVDTTCPLVLKVVHMTERHIKGNYATIIHGKYAHEETVATASFADKYIIVKDITEAKYVCDYILEGQLDGSSSTKEKFLKKFRDAVSPGFDPDIDLERVGVVNQTTMLKGETKEIGILIEQTMMSKYGLDQNNKQEHFVRVGTICNATQERQDAMYKLVEKEVDLILVVGGWNSSNTSHLQEIGELSGIPSYWVDGEQRIGPGNKISYKQKNGELVEKDKWLPHGAITIGVTSGASTPDKVVEDVLQKVFEIKRQELGEATEQ >ORGLA03G0299700.1 pep chromosome:AGI1.1:3:27093357:27095663:-1 gene:ORGLA03G0299700 transcript:ORGLA03G0299700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTQLRSALLSPAASPSRRARRAPSSVRCDSSAASSPSASASLDAAFDKKQFRHNLTRSDNYNRKGFGHKKETLELMSQEYTSDVIKTLKENGNQHTWGPVTVKLAEAYGFCWGVERAVQIAYEARKQFPDDRIWLTNEIIHNPTVNKRLEDMGVQNIPVDAGIKDFDVVEQGDVVVLPAFGAAVEEMYTLNEKKVQIVDTTCPWVSKVWNMVEKHKKGDYTSIIHGKYSHEETVATASFAGTYIIVKNIAEASYVCDYILGGQLDGSSSTKEEFLEKFKNAVSPGFDPDVDLVKVGIANQTTMLKGETEEIGKLVEKTMMRRFGVENVNDHFIAFNTICDATQERQDAMYQLVKEKVDLILVVGGWNSSNTSHLQEIGELSGIPSYWIDSEQRIGPGNKISYKLNVCCNT >ORGLA03G0299600.1 pep chromosome:AGI1.1:3:27091041:27093185:1 gene:ORGLA03G0299600 transcript:ORGLA03G0299600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRASPGGGVCGRVLRLASVQIILILFLTQGACSSSRDGKTSPPQGLDAGEKDIYLSHSCIHDEILHQRRRAGRKEYSVMPQVYHERRENMERLRGRHLLGVSSWHASQKNVKKPIRIYLNYDAVGHSPDRDCKTVGDIVKLGEPPVPSIPGTPVCDPHGDPPLVGDCWYNCTVEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYIEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRSQVLSNVFPHCFSVIYFLSFTWRFNAQNLS >ORGLA03G0299500.1 pep chromosome:AGI1.1:3:27086334:27087038:-1 gene:ORGLA03G0299500 transcript:ORGLA03G0299500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKEEEYQMMCMALQNENRHYERFKIQSIVAIDEKFYFDISASELGVIKLNPNPTFTTIQVKTLKVSRNCWELAFPHLVVESRGRLYLVVYDRHCIRDMCLFKMDFSRLEWCSVDSLYDQIFFVGKLHFTASYCARQLGLKQGLPVCFSI >ORGLA03G0299400.1 pep chromosome:AGI1.1:3:27084876:27085897:1 gene:ORGLA03G0299400 transcript:ORGLA03G0299400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: photosynthesis; LOCATED IN: photosystem I, chloroplast, thylakoid membrane; EXPRESSED IN: 20 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Photosystem I reaction centre subunit N (InterPro:IPR008796); /.../4 Blast hits to 34 proteins in 14 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 34; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G49975) TAIR;Acc:AT1G49975] MAQPQGKLVDTIRRPFTAASTFHRSATRHLQPLAMLAQRNGISRRGLLTFVTSTAAIPEAGESRKALLQEYLKKSKENKEKNDKERLDDYYKRNYRDYFGLIEGPARQKNEDELTESEKGILEWLDKNK >ORGLA03G0299300.1 pep chromosome:AGI1.1:3:27081111:27083874:1 gene:ORGLA03G0299300 transcript:ORGLA03G0299300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRVASMDDVKDARPAALPPLQPQGRVFPTGMLKVFLGFLLLGVCLSAAGMYMARHTLAAXXXXXXXXXXXXXXXXXGAPPPPPPPPEGLERWTRGPDRVEHAMADGELLWRASFVPRVPGYPYRRVPKVAFMFLTRGPLPLAPLWERFFRGHDGLYSVYVHALPSYRANFTTDSVFYRRQIPSKVAEWGEMTMCDAERRLLANALLDISNEWFVLVSESCIPIFNFNTTYRYLQNSSQSFVMAFDDPGPYGRGRYNWNMTPEVELTQWRKGSQWFEVNRELAIEIVRDTLYYPKFKEFCRPHCYVDEHYFPTMLTIEAPQSLANRSITWVDWSRGGAHPATFGRGDITEEFLRRVQEGRTCLYNGQNSTMCFLFARKFAPSALEPLLELAPTVLGFG >ORGLA03G0299200.1 pep chromosome:AGI1.1:3:27076207:27076506:-1 gene:ORGLA03G0299200 transcript:ORGLA03G0299200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVQACVWAMHAPAVHSPPQSHLHLPYPPTPLFLLFAFEYSRPIEEHQGPVWSFCVGKKKGLQEVSWGRRGLKLKRKKKPGRKCRIRWPQAPRQQIR >ORGLA03G0299100.1 pep chromosome:AGI1.1:3:27054210:27075171:-1 gene:ORGLA03G0299100 transcript:ORGLA03G0299100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endoplasmic reticulum-type calcium-transporting ATPase 3 [Source:Projected from Arabidopsis thaliana (AT1G10130) TAIR;Acc:AT1G10130] MEDAYAKSVAEVLAAFGVDPTKGLSDEQVASGSKLLVKSCCWWSSMLGFTAKTSCPKKKNITNTPSVYMSVLILTDQARGTPFWKLVLKQFDDLLVKILIAAAVISFLLARMNGETGLAAFLEPSVIFLILAANAAVGVITETNAEKALEELRAYQADVATVLRNGCFSILPATELVPGDIVEVGVGCKVPADMRTIEMLSHQLRVDQAILTGESCSVAKELESTSTMNAVYQDKTNILFSGTVVVAGRARAVVIGVGSNTAMGSIRDAMLRTEDEATPLKKKLDEFGTFLAKVIAGICILVWVVNIGHFRDPSHGGFLRGAIHYFKVAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPITDEYSISGTTFAPDGFIYDAGGLQLEFPPQSPCLLHIAMCSALCNESTLQYNPDKKCYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLTKHERASYCNRYWENQFRKLHSLQKQSLSWNMAHVQISVLEFSRDRKMMSVLCSRKQQEIMFSKGAPESVMARCTHILCNDDGSSVPLTMDIRNELEARFQSFAGKDTLRCLALALKRMPEGQQSLSYNDEANLTFIGLVGMLDPPREEVRNAIHSCMSAGIRVIVVTGDNKSTAESLCRQIGAFEHLEDFTGYSYTASEFEGLPPLEKANALQRMVLFSRVEPSHKRMLVEALQLHNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVSEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPDTLVPVQLLWVNLVTDGLPATAIGFNKPDSNIMTVKPRLHHSATTTIRWLTDAHGKQCFGIAFIVDVMGVDGDLDQCEHGLLCRLDGGGISSDEDVSAIQKIWHCLVQQTAALTNPLRHCLRLVQCIAAAVSPTFLKLLPIGWTACCASPSLLCEGDTVLAYQQLVLLLIEVNEAVVNGWLFFRYLIIGAYVGLATIAGFVWWFVYSEDGPRLPYSELARKPLLHALLLARKWDKMMWSNNHTSSYQQKPISLIKEIPSIGPQVNFDSCSTRQTSYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLAIHPWSNLWLVGSIVLTMLLHISVLYIEPLSALFSVILIDEVLKFFSRSSRGRRFPLRLRRREILPKESRDN >ORGLA03G0299000.1 pep chromosome:AGI1.1:3:27047593:27051497:1 gene:ORGLA03G0299000 transcript:ORGLA03G0299000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIHRYVTVDEETGTELFYYFVESERSPSTDPVILWLTGGPLCSGFTALVFEVGPINFVLAPYNGSLPQLVNNKYSWTKIASILFLDTPVGSGFSYTRDPKGYNVGDISSSLQVVTFLKKWFNDHPSYLSNHFYVGGSSYAGKGYMVGSPLTDPKYDRNSIIPYAHGVGIISDQLYEAAVANCKGDYFNPTNEICANVLNAIDNLMSELDNGDILLDKCAGRLIPKPINGVSSRALLEEYSRLSEPPARPTVNCFSYRFYLLNIWMNDKATRDALKIKKGTVGVWTRCNTEVFPYARDVPSTIQYHLNLTTRGYRALVFCGDHDLMVPFLGTQAWIRSLNFTIIDDWRAWHLDGQAAGFTVMYDNNLTFATLKGSGHAPISYKPKQGFAMGQRWLDRKPL >ORGLA03G0298900.1 pep chromosome:AGI1.1:3:27032948:27039666:1 gene:ORGLA03G0298900 transcript:ORGLA03G0298900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFASSPQRRAMQPRAAAAPHHLPSPTAASASVATGAPVLGVVVVVGDHSPPGIPWSPAVLPRDWIYWCRGEDWHXALLLLRXIRDEPXHGPFGIVAGGWAPLFGLLWLSLRSRSFEFCVGSLXWXFVKIGLXSVFMDTDGKHNFFWIVLSVLVSHTHLIPTVTMLGIYHLLYKWSHLXRSGSNDHPRYRSHNFYVGGASYAGKVVPVIVQYISEAIEEQRQRPLIDLKLQGYIVGNPITGSKFDKNFHVPYSHGVGIISDQLYEAAVTHCKGDFVNPTDQLCANVVYTINKLMSEVSDGNILEDKCVKAAPKPTIDVSASRAPLEEYNQLSKPPIRPSMDCVAYGYYLSYCWMNNNTTRYALKIKKGTIGEWLRCNRGVFP >ORGLA03G0298800.1 pep chromosome:AGI1.1:3:27021135:27026170:1 gene:ORGLA03G0298800 transcript:ORGLA03G0298800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELLTMAAHRVGRCMLLQPPRLATCVLFLLLPLLLSCSASSSVITHLPGFHGRLPFHLETGYVGVDEETGTELFYYFVESERSPSTGPVILWLTGGPGCSGFSGVVFEVGPMKYVLEPYNGSLPRLVYNQYSWQASSSWTLLLVLVSHMLMILKVIMSGTYHRLCKSXHFXRSGSMITHAISQIISMLEEAHTLERXFQLSXSSFQKELNKGSSHWLILSYRVIXLATPLQARRLMIISKFHILMVLGSYLINYTRLQXQTAMEIMXPQQMNYVLRPXMLLIISCLKLIMEISWMINVFVLHQNPXMKFQEVGVCXKITFGXVSRLFDLLSIVFHTVTIYHSCGXTTIXLEKLLRSRREQSANGXDARQGYPMFKMSQAASSIILTSPQEVTGHLCSVVTMISYCHFXAPRHGXDPXTSPXLMSGEHGMWMARLQDLQFCTPTTXPLPQXRVVAIPLXKPILNKVLPWANVGXIISLC >ORGLA03G0298700.1 pep chromosome:AGI1.1:3:27014686:27015147:1 gene:ORGLA03G0298700 transcript:ORGLA03G0298700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAGTRGMRALAILGRCVRAPFRALVRARDLYVSRMAACAGGGGGGGAPVGLVAVPRCQSHGFYRSAAGGSTDDDIRELIRLASRAGGPPRPPGVGPRSQSVAIGRIDEDEPCEFGLDAEARALAMAPKSKSCTVGPTARTAHRVGPVA >ORGLA03G0298600.1 pep chromosome:AGI1.1:3:27007517:27008994:-1 gene:ORGLA03G0298600 transcript:ORGLA03G0298600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRPSSSSVARAVVLLAAAMLLSTLSPCCCASAGGGGGARRREKQQQLHPVILIPGAGGNQLEARLTEEYAPSSLACRVWPVVRGRGGWFRLWFDPSVVVAPLTRCFADRMTLFYDSVADDYRNAPGVETRVSDFGSTSTLRYLDPNLKLLTGYMNVLASTLEKAGYEEGFDLFGAPYDFRYGLAGPGHPSRVGSAYLERLRKLVESACAANGWRPAILVAHSLGGLYALQMVARSPPAWRAANVKRLVTLSAPWGGSVQEMLTFASGNTLGVPFVDASLIRDEQRTAESNLWLLPAPRVFGNTTLVVSRHHNRSYSAKNMTQFLRDIGFAEGVEPYRERIRPLVEVLPEPGVPVTCLVGTGVDTVESLVYGEGGFEAGPEKVVYGDGDGTVNLDSLVGPIKAWSDSPEQVVEVVELPEVSHSGILKDKSALDQILRIIDAINLNATTSSSSINRSSQDVLYN >ORGLA03G0298500.1 pep chromosome:AGI1.1:3:27001085:27005502:-1 gene:ORGLA03G0298500 transcript:ORGLA03G0298500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSLATSLNIPEDDPGADDDPDSYAAAAAPSPSARNPHPPQSVHPHPPHSAAAEGVKEDLTELSKTLTRQFWGVANFLAPPPGETSPSPSPPSAEARYGGGTAQTPPEIAGIRSDFAEIGGRFKSGISRISNHKAMSGFSRIASNFFADEDEEEEELVDAVRGYGVEEQREELRFRAEEVATDRVRHEADDYEVRHGWEESVRHRVDGDEARHEVDDDEGRHDEWEERLKHEADGGEVMHKELDDHELELETVRHEEEEEEVEEEWDVIGITEEVLAFATNIARHPETWLDFPLLPDDDDSDGPFSYFDMSNAQQEHALAIEQLAPRLAALRIELCPIHMSEECFWKIYFVLLHPRLNKHDAELLSTPQIVEARAMLMQCLQHQSKFETEQLFHRKDDFGMHSEEDTSKDIPEVFPSMLQQTASVIPITDFETEKHPIQVTEVAVVDKSVIKEQLTKDGSKTPNVLQESFDDDIDDWFDEEAELAGHTTIPIGDEEDVSFSDLEDDDGK >ORGLA03G0298400.1 pep chromosome:AGI1.1:3:26998552:27000550:1 gene:ORGLA03G0298400 transcript:ORGLA03G0298400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 1 [Source:Projected from Arabidopsis thaliana (AT5G65890) TAIR;Acc:AT5G65890] MKYVSGPYFEPDFDPLLYRFGTPGVVVDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDGKNTTSFGSLFHVTDQMGRKLTDPSLPEFIQRALVPCQRPGGNGPSPRFTTCLGNVVGPGGPDVSDCAALEFTVHDRPGLLSSITQVLADNGCHVASGQAWTHSGRAAGVLYVTTAGGGAAAEAAAPAPSRWEHIEGLVDAVMGAREKLTGERHWVSMSAPVEGRVHTERRLHQLMHDDRDYESGPAATPVDEEHFSMGDKAATTARLARRVETRVSIESWEERGYAVVKMTSRDRPKLLFDTVCALTDMHYVVFHATVGSQGPLAIQEYYIRHKDGRTVDSNAERQKVSRCLVAAVERRASHVRLIIAILHFSSVGYSYARRHGRSLTMFPQGAKVEVRAADRSGLLSDFTRMLREHGLSLLRVELKRRKDEAVGTFYLVTDAGGEVRAETLHAVRARVGKVGISFEVAKDAPGWPPVRKTRVPAPPAEAAPAVATPAAPPAAEGQERPRPSLGSLLWSHLVKLSNNFGYIKS >ORGLA03G0298300.1 pep chromosome:AGI1.1:3:26990978:26993698:1 gene:ORGLA03G0298300 transcript:ORGLA03G0298300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT1G50000) TAIR;Acc:AT1G50000] SAPPPLHPRVLRAFVCSLHLLGGGSAAPAPAPGRIRRERLGSGPASTALKRDRRDVASRHLLAPPRERTARPAGAARAVACPVSIPRRSLRPRQGEEVRIQGDEFWHMTRVLRLGINDRVELFDGAGGLVEGSIQKVDKGGSDVKLLEDARLIAPDGIQWHVYAAFGTLKGGRADWLVEKCTELGACSVTPLLTERCHTVAENRVDRLQRLVLAAVKQCQRIHGMLLNPPIQIADLQPVISQSKLAFVASAESPPLLSTLPKSCNEESGLLIVGPEGDFTEEEVNVLKASGAVPVGLGPCRLRVETATISLLSALMLWSDAHRQETLKCR >ORGLA03G0298200.1 pep chromosome:AGI1.1:3:26989817:26990515:1 gene:ORGLA03G0298200 transcript:ORGLA03G0298200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNGVATSRRTRRAAEQEVQPPPLFAANPIFLCEDDGSAGRLIKPGGGVRGYGPGSLHALVRGRGRDVAADDDDDDGGNKLLPLPLPPCRAHREGRGGTRVPTAWHVGPTIVVGPHGAVVRIRAPPPPQQHDPFLAAYVACTKGRAGAGNNKKKKKAKAAARGGCGMWNGWASGARYARVMSCRYGGAVTVLQGATPLPAVAGYAESPAHPTLDLSRLPAVLPGRRRVHN >ORGLA03G0298100.1 pep chromosome:AGI1.1:3:26985648:26987577:1 gene:ORGLA03G0298100 transcript:ORGLA03G0298100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAMARVRGPFTPSQWIELEHQALIYKYLAANSPVPHSLLIPIRRSLTSPYSPAYFGSSTLGWGSFQLGYSGSADPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQSGHAAKAMPAAVAAAAASATQPSAPAAHSGGAVAGLAVNHQHQRMKNYAANTANPCSLQYSRDLANKHNESEQVQDSDSLSMLTSISTRNTGSLFPFSKQHNPFEVSNSRPDFGLVSPDSLMSSPHSSLENVNLLTSQSLNEQQSAVSLQHFVDWPRTPAQGALAWPDAEDMQAQRSQLSISAPMASSDLSSASTSPIHEKLMLSPLKLSREYSPIGLGFAANRDEVNQGEANWMPMFRDSLMGGPLGEVLTKNNNMEARNCLSESLNLLNDGWDSSSGFDSSPVGVLQKTTFGSVSSSTGSSPRLENHSVYDGNSNLRDDLGSVVVNHPSIRLV >ORGLA03G0298000.1 pep chromosome:AGI1.1:3:26976302:26976947:1 gene:ORGLA03G0298000 transcript:ORGLA03G0298000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLGGREGKRRRLSSSAPWRVADVDAWNKNKYIVNKYYCTDKCICFPCFLPFLIQVICWLDHRGEAWIRCCSLAASCSSLSGHSRWYQLLSHAVHVVSGDRLHGRHESKRNLIIFWCEDHHWPQCWQVLEMVYLPQVLRTSPTAPKKPPRRPSVR >ORGLA03G0297900.1 pep chromosome:AGI1.1:3:26958315:26959919:-1 gene:ORGLA03G0297900 transcript:ORGLA03G0297900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVASLSRAALAGAPAATRATASRVNRAVVPAASRPRGGRLCCRRSLTAVSAAAGASPPVSPSPSPDGGSPGVWDALGGVSVLAAGTGEAVQLRDLWDPTEGVAVVALLRHFGCFCCWELASVLKESMAKFDAAGAKLIAIGVGTPDKARILADGLPFPVDSLYADPERKAYDVLGLYHGLGRTLISPAKMYSGLNSIKKVTKNYTLKGTPADLTGILQQGGMLVFRGKELLYSWKDKGTGDHAPLDDVLNACCNQTS >ORGLA03G0297800.1 pep chromosome:AGI1.1:3:26954774:26957136:-1 gene:ORGLA03G0297800 transcript:ORGLA03G0297800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTSLPVPRVSLPPSARPAAAPRHGLLIPGRRGCFRLRGSPAAPAAAASGSPSVPSSSPEAGSGIGDALGGVAIYSAATGEPVLFRDLWDQNEGMAVVALLRHFGCPCCWELASVLRDTKERFDSAGVKLIAVGVGTPDKARILAERLPFPLDYLYADPERKAYDLLGLYFGIGRTFFNPASASVFSRFDSLKEAVKNYTIEATPDDRASVLQQGGMFVFRGKELIYARKDEGTGDHAPLDDVLNICCKAPAA >ORGLA03G0297700.1 pep chromosome:AGI1.1:3:26948921:26950619:-1 gene:ORGLA03G0297700 transcript:ORGLA03G0297700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSQAANGSVSARRLPSKQPGRHGGSGSSSVPNPYPLFATTRLLPHRRRRRLALSGADARRGALAAAGEGPSGSPATTTATEDPVLVGVTDEGVPLEGVIQFDKPGDAAAESKLVSYAKLGLLASGDVLCLLVFSAIGRFSHGLPVLDAETFKTADPFIAGWLLSAYLLGGFGDDAKGRNGVGNAVVVAAKSWAVGIPLGLAIRALSSGHIPPTPFILVAMGSTGVLLTGWRALVSLLFSTGQSQQDDVYRRGSPFELFEVKKVLQIIGIFFYTCN >ORGLA03G0297600.1 pep chromosome:AGI1.1:3:26944343:26946444:-1 gene:ORGLA03G0297600 transcript:ORGLA03G0297600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ethylene-dependent gravitropism-deficient and yellow-green-like 3 [Source:Projected from Arabidopsis thaliana (AT1G17870) TAIR;Acc:AT1G17870] MASSSLVTSLLFSSSSSSNTATSTSSRRSFSLFSKNQYCKPRPLRRSSSLLLLRCSLQQQQEEKAAPAEESHHAGGGQDDAATASHHAVEGENGVADADGGGVKKSKEELEEEEEEQQEVDWRSDEEFKRFMGNPSIEAAIKLEKKRADRKLRELDREPDANPLAGLLRGLARGQLAREKERLELAENTFKALDLNKLKSCFGYDTFFAVDVRRFGDGGIFIGNLRKPVEEVRPKLEKKIAEAAGTDVTLWFMEEKNDDITKQVCMVQPKAEIDLQLEITKLSTPWGYLSAVALAVTTFGTIAIMSGFFLKPGATFDDYVSDVLPLFAGFLSILGVSEIATRLTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARAASAYLTSVALAVSAFVSDGSLNGGENALFVRPEFFYNNPLLSFVQAVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGIVVTSLNLLPCGRLEGGRIAQALFGRGAAAVLSFATSVALGAGAIIGGSVLCLAWGLFATFVRGGEEIPAQDEITPLGSERYAWGLVLAVVCLLTLFPNGGGTYSSDFLGAPFFRGGI >ORGLA03G0297500.1 pep chromosome:AGI1.1:3:26934216:26935954:-1 gene:ORGLA03G0297500 transcript:ORGLA03G0297500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGQQSDQCPGPNSGKEFLEVNWDSVALHQKMGYNSGAFGFQAYPMVLEDREGLYRSPNGTFCQNIQLSDDHSSGAKRRKGIDDHIALLNPSASSRIQNVGDQQTEVSSQQERISMEEDNQRSCSKMQSKEDSSDGDGTKEDYVHVRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELSFDIEQILSKQMMLSQDRHLAFYGVDPGSSALVAHFNQGIMQPEMLCNVSNPADVLQGTTIQDISTVNQIPAMWEGLQNIPHLNYNPGGAMAEGSTNNSGSMKIEK >ORGLA03G0297400.1 pep chromosome:AGI1.1:3:26925151:26932915:1 gene:ORGLA03G0297400 transcript:ORGLA03G0297400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34910) TAIR;Acc:AT4G34910] STAAAASSSMAKRKQRKAATEQKVENHDEATVAAEVGPENDGHTAHAAEEAAAAEEGVEREGGGEGGAEGEEGPDAAARGGEEGKEEEEREVSFDELGLDEQLKRALRKKGLDKATPIQREAIPLILEGKDVVAKAKTGSGKTFAYLLPMLHELLKLSAEGRIRKSAPNVFILVPTRELCQQVHNEASSLLEFCTSKLKVVQVNASMSDKDIKVALSGPPNILVTTPACVASCISKGIIRGSSIKESLSMMILDEADLLLSYRCEDDIKALVPHIPRSCQSILMSATSSADIEKLTKLLLHNPFILTLTEVGHAKDDLIPKNVQQFWISCDAKDKMLYILALLKLELIQKKVLIFVNSIDSAFKLRLFLEKFGIRSSVLNAELPQNSRLHIIQAFNARLFDYLIATDDNKSKEERQANKVNKKDSRVSRKQLQQTLDAEFGVVRGIDFKNVFTVVNYDMPPDPAGYVHRVGRTGRANKTGASISLVSPKENGIFEDIENMLKDVENRDTSCISPFPLLTKNAVESLRYRAQDVARSVTTRDIKEARRQDIKNEILNSEKLKAHFDENPRDLDLLKHDKLLSNKEIPAHLRDVPEYLIDPTTKEASNVVKLSRAAMDIDKPRRRKRMGFKGGSGRSSDPLKTFSAEGKSRRRGRKERDGEQDRRKRKKVES >ORGLA03G0297300.1 pep chromosome:AGI1.1:3:26905176:26914622:-1 gene:ORGLA03G0297300 transcript:ORGLA03G0297300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WITSLLXAPHASTSSPPXTTPLPSHVARRHCHPPSNAVAGSPNRPATVRLSPAPSPRPMSPPPLNTVRCGRYTSTPLDIAACPPLSVLSICRRPRLCIFAIRNAVSMMGIATVSCGQRGEMVAAIAAVSCRQRGRDGSDNSWQHELESPDNCWAHPSICLFYRLTCSDLVPSSGLCTQELLARFPLHFSLSSISDDEQPITMEIIYFFYKVLMCYTFLLHFSLSSIGNDEQPTTMEINYLYKYREGKIEGALLAMVGRAMLVCVGGTHVLHVRFPLHFSLSSIGDDEQPTTMEINYFYKRLEKISVVVQIGDDEQPKTMEIKRVKIVVHVASITLRXSSPSGXCLSSMSRCLMSSLCPT >ORGLA03G0297200.1 pep chromosome:AGI1.1:3:26901801:26903657:-1 gene:ORGLA03G0297200 transcript:ORGLA03G0297200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding [Source:Projected from Arabidopsis thaliana (AT3G12210) TAIR;Acc:AT3G12210] MAETVDFASGDAAEWRAALAAYDRRLAALGKPDLVEVDSFYRRDLPDLLRRRDPEPFLAKPELVRLLQWKLSRGKWRPRLMDFVKGLEDAVVESASRKAFAALPDLRKAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNAKEYTLKQYLAFAEKLQTKSTELSSGEEVFTTSDVERALWSSAVASKSLKAPPGNDLENKSKTHGKRKR >ORGLA03G0297100.1 pep chromosome:AGI1.1:3:26897406:26898824:1 gene:ORGLA03G0297100 transcript:ORGLA03G0297100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPPPVLTLQVKKGRRGGETRQWRAGAVLRVGRVATGNDIAVRDAGASQRHLSIEFLPPPASRWAVSDVGSSNGTLLNGSPLVPTVPSPLSDGDVIKIGESSMLVVSIAPDSDPNPGPRRSSRQSAAVVGEQEKPPAVTRRGGRKNAAAAAVAVEPPIAEKEEPEPEEAPVVTRRGARKKAVQPPKAEEHEEGEEEVVAAVVTRRGGRKKAAEPPKPDEEEEQEKGKDEEQEEKEEEEVPVVTRRGRSRKAAPEAAVAPPPPRARSTRAAARRGKAVDTSLDERESEMAGKGRGRATRSNARKCRMAVPEDDDDDGEQQEGATAVAEEQIKDQPRAMAATDGEEEDDKVEAMDGEVEQNDKASEEEEVPVARRGRARRAPKGKATASSNAHAASDNAVEEEDGGRGEGAAVEVEGESSGSSSLETMTLREWFQRMNVYLPRMINEAAEEALSALRERHRRIDEYISTLED >ORGLA03G0297000.1 pep chromosome:AGI1.1:3:26892877:26894935:1 gene:ORGLA03G0297000 transcript:ORGLA03G0297000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLSAPLRRRLCTAAVAAAAPDPALASSAELAYRLLRRHHSDPKRLIASLSGSGLEPTSPRLLDAVLRRCGAAYALALHFFHXCSLSLPPPGPLPSSLALLAKSFSRASSAPSPSLLAPLPAQLLSPSLLSPVLRRLPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLVEELQPRLPLSLRHYTALLYGWCRMGKLDEAKHVLARMKAAEVAPDVVVFNTLLAGFVADGRFEDAFELAREMERRGCPPNAVSYTTLMQGLGARGRVDEAMRVFVEMRRKGCAPDSVTYGTLVTAFCKAGRISQGYEFLDVMAREGLRVDAGVYLGFFIAHEKKEQLEECLELMERMRECRCPPDLSIYNVVIRLACKLEETKQAVALWNEMETSELSPGVDTFAIMVTGLVGQGVLVEACGYFKDMVGRGLFVAPQYGVLKDLLNSLVRDQKLELAKDVWGCIMTKGCELNVGAWTIWIHALYAKKHVKEACMYCLDMLEAGLMPQPDTFAKLMKGLKKLYNRQIAAEITEKVRKMAEERHVSFKMYKRRGVRDLEEKPKAKRKKGQKRSRLRQAGQNQSNRHADKTDLFDDFDDE >ORGLA03G0296900.1 pep chromosome:AGI1.1:3:26887252:26892057:1 gene:ORGLA03G0296900 transcript:ORGLA03G0296900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREDDRAGRGGGGGRTEQERRPSKAWGILIFGLIGATTATFAVAQVRRSVDWVYSQFNKMQTTSWRNASNSSNRGSFSEDARRRYYQRMQQEYEEEQERVQRIRHMQSVFNRERNKFRRSYEAWRENGPPGGYNYVPRDDWYWQTDTSHSEHKNRRTYTPAGPRVYSMSHHYTVLGLDRSRTTPYTDAEVKNAFRTKAMEVHPDQNQDNREAAEERFKEVVKSYEAIKLERKNDAS >ORGLA03G0296800.1 pep chromosome:AGI1.1:3:26868189:26884419:-1 gene:ORGLA03G0296800 transcript:ORGLA03G0296800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein, HflX [Source:Projected from Arabidopsis thaliana (AT3G49725) TAIR;Acc:AT3G49725] MLRAAVSRLRAHLHPHPHPHAHHHHGLPSVTPAPLRSLSTRWGKRSSPTASPPADSDDEGSPRGLSLLPRDPERPPRLLVVQPRLRPGSLLDSKLAEALNLANSLEEPRDGFYQEGLAAKSAPPHLVVQNPSSRGRSHVDKFFGPGTVDNVKCYLRTSESEVAWGKPVLDRVGLIIEIFNAHAETKEAKLQSELAALMYMKTRLVRVRGPGGRLTFGSSGEAEVVSARGRGSGGRGFISGAGETELQLQRRRIQERRVRLLAQIEDVRRTRAIQRSNRKRHGNSFGQELVTVAVVGYTNAGKSTLVSALSETDLYSDDRLFATVDPRLRSVILPSGYLNRFFIHLLRKALLSDTVGFISDLPVQLVEAFHATLEEVVEADMLVHVLDSSAPNIEEHRSTVLQVLQQIGVSQDKINSMIEVWNKIDIVDNNDNDVTDDIEDEIFLTEGEEDKEEELFSENDVPAEESSFESLDDGTDSEYLSEENLEDNNGEISSSLEPSEMRAMNSVSSSSKDCFGELCGPETISTDGCSSTQPMSTCHVKTSAVTGTGLQELLELIDKKLTERQTIVERSYGPFDRKWRPSSSVVGEKAAEQ >ORGLA03G0296700.1 pep chromosome:AGI1.1:3:26860942:26862273:1 gene:ORGLA03G0296700 transcript:ORGLA03G0296700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVVVRRARVRARSQSPPGEFDSARRTRPRMEGEEEMRGRGGKASARARSQSPPGGSGSAARVEVEGMGASAVPDDMLLEVFKRLSPLADIVRAAAVCRRWRLLVSGAGGLPAPPPYFGFFRNYAPFALPPFVPAAGVGLGLDHGTLSVSPACGALLVDCRGRRLLLRELGAGSARELKLLVCDPLRKTSVSLPSRFVAGHKVACCALLPGAGAAFRVAVVLFGAAAHFDILVYSSAASAWEAATGALKKSMNPHQGPTVVIGDMVYKLQSEEDKYVMAVDATKMTLSAVPLPNTGMLLYAGNHWIGKTHDGRLCFFALREQLVLAKWVLESPGKWVEQPAVNLRALMNPATVGDLSRIKLSAKISDQLRGCKLVSFGGFCEGTGALFFVMADWVVSLDLATWRFERMWRNTDESRPLGDIFPVEMMVWPPVRRGDLGEKE >ORGLA03G0296600.1 pep chromosome:AGI1.1:3:26851769:26852257:1 gene:ORGLA03G0296600 transcript:ORGLA03G0296600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHNTGMPSQNLRRRSSPVETGREMDYAYLLRFECSLNHRYTIEIAVRKRDTEVRYGKARYRHGIALAMHESVTVSRVEWARRFELAVSLVGYAISTRYRVSTERERHVVPIWEQQLEWCYRSRLLSARERDRYWV >ORGLA03G0296500.1 pep chromosome:AGI1.1:3:26836134:26837888:-1 gene:ORGLA03G0296500 transcript:ORGLA03G0296500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQVVAEEKPQLLSKKAGCNSHGQDSSYFLGWQEYEKNPFDPVSNPSGIIQMGLAENQLSFDLLEEWLEKNPHALGLRREGGGASVFRELALFQDYHGLPAFKNALARFMSEQRGYKVVFDPSNIVLTAGATSANEALMFCLADHGDAFLIPTPYYPGFDRDLKWRTGAEIVPVHCASANGFRVTRAALDDAYRRAQKRRLRVKGVLITNPSNPLGTASPRADLETIVDFVAAKGIHLISDEIYAGTAFAEPPAGFVSALEVVAGRDGGGADVSDRVHVVYSLSKDLGLPGFRVGAIYSANAAVVSAATKMSSFGLVSSQTQYLLAALLGDRDFTRSYVAENKRRIKERHDQLVDGLREIGIGCLPSNAGLFCWVDMSHLMRSRSFAGEMELWKKVVFEVGLNISPGSSCHCREPGWFRVCFANMSAKTLDVAMQRLRSFVDSATGGGDNAALRRAAVPVRSVSCPLAIKWALRLTPSIADRKAER >ORGLA03G0296400.1 pep chromosome:AGI1.1:3:26811890:26813358:-1 gene:ORGLA03G0296400 transcript:ORGLA03G0296400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGAAHSFRSARVETRSGEDSRASSVQGAAGWRGGAGEDPERRGWRGGMDCGDHWRRGWQGASATSLPDPRLSASIPVISTSRSTTSLRLYSGILRVGEVGTKLILRIMHEVLMAINRGGGNGGAQAAARPTREVDPSLMTDD >ORGLA03G0296300.1 pep chromosome:AGI1.1:3:26802096:26807610:-1 gene:ORGLA03G0296300 transcript:ORGLA03G0296300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEGHRGAGRLPPSPPLLPFPKVSVQVYTVPSSSTAASAAAAGGARQVVAPATRDGGGRAAGVLDDPVKARIVSHPRYHRLLAAFLDCHKEDYCKLLVECKEELSRPLQEAEEFLRTVESELNSINSGPPLTALISESKAGLDSSDDDEHEDGSGMEMMEAAEDEDLGIIDPRSDDKALKRHLLRKYSGYLGGLRKELSKKRKKGKLPKEARQKLLTWWELHYRWPYPSEMEKIALAESTGLEQKQINNWFINQRKRHWKPTEEMQFAVMEAYHHQSTDAAAAFYVDVDARLVGATAAAPAAAVYTARPDHGVWRA >ORGLA03G0296200.1 pep chromosome:AGI1.1:3:26799537:26801580:1 gene:ORGLA03G0296200 transcript:ORGLA03G0296200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESILSRPQQQRRPPWADEITTVSEGRRDAGDGDPLLHLIKSLTIAPPLLSGQSAASSEAESSLTDILVRKPSSSSATSGNLNPNVMFELFSLYREWQEEKAKKISETQEEIENKIETADALSIKLLQRFNYSVTSMRSTSHNLAEVRPLQVEVGELKGRLTEVISNCDALCKRIAAEGPESLRSSVQPFTTSKMEPRESETLDPKTQS >ORGLA03G0296100.1 pep chromosome:AGI1.1:3:26768814:26777893:-1 gene:ORGLA03G0296100 transcript:ORGLA03G0296100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISHHFGVVGASGVHGGHQHQHQHHHHPWGSSLSTIVAPPPPPQPQQQQTQAGGMAHTPLTLNTAAAAVGNPVLQLANGSLLDACGKAKEASASASASYAADVEAIKAKIISHPHYSSLLAAYLDCQKVGAPPEVAARLTAVAQDLELRQRTALGGLGAATEPELDQFMEAYHEMLVKYREELTRPLQEAMEFLRRVETQLNTLSISGRSLRNILSSGSSEEDQEGSGGETELPEIDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKDARQQLLNWWELHYKWPYPSESQKVALAESTGLDLKQINNWFINQRKRHWKPSDEMQFVMMDGYHPTNAAAFYMDGHFINDGGLYRLG >ORGLA03G0296000.1 pep chromosome:AGI1.1:3:26765295:26767626:-1 gene:ORGLA03G0296000 transcript:ORGLA03G0296000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G65740) TAIR;Acc:AT5G65740] MALHATSSRDSVRAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQEHLKILQDYWSIMDDIDKVLWVVDPTKPTFAMSHRRIALGDDCYLLLHVNARKPRSLPEVRFLGTDGKLDRLITNWRKYCKKWSADKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQYVKMINTQEAKTIFLPPPYELVYSMILYFLTIYPFSPDGTSQVVRRSVWELPLLQRPCCREDHRPLKQSSEADFS >ORGLA03G0295900.1 pep chromosome:AGI1.1:3:26758170:26762992:-1 gene:ORGLA03G0295900 transcript:ORGLA03G0295900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10040) TAIR;Acc:AT1G10040] MAEGEGEGGEKKSQRRRLRAACLPRPGCFTVSAADEGPSGSGGGGGGSRPAPTHLVVTVNGIVGSAENWRYAAKHFIKKHPEDVVVHCSGCNGAVRTFDGVDVMGTRLAEEVLSLVQRRPELQKISFVAHSLGGLIARYAIALLYKSATEIDSHEEHEKQITDVSSNQLIDRGKIAGLEPINFITFATPHLGTRSHKQIPLLRGSYKLEKMAYRISWIAGRSGKHLFLKDIEDGKPPLLLQMVTDYGDLHFMSALRSFKRRVAYSNICNDFIVGWRTSSIRHQHELPKPQNFINHVKYPHVVYVEKPKVQDTDFSDSMIYQAKNTSEMEELMLKGLNRIPWERVDVSFKKSRQRIFAHSTIQVKTYFFNSDGADVIFHMIDHFLY >ORGLA03G0295800.1 pep chromosome:AGI1.1:3:26754433:26756722:-1 gene:ORGLA03G0295800 transcript:ORGLA03G0295800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLCSSSLLLLLLSSHGMQSTEGGELHHHQIKEPPPEVEQTGGKGWAAMSEALIGSRPPRCEGKCAPCGRCEAVQVPFCTNSAIASAPLLKFSTKINLCLPPWVQENDSAESPLNTYPWEGIHGPRFKDVHSATQNIADRKRESNPADN >ORGLA03G0295700.1 pep chromosome:AGI1.1:3:26733753:26738405:-1 gene:ORGLA03G0295700 transcript:ORGLA03G0295700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfite exporter TauE/SafE family protein [Source:Projected from Arabidopsis thaliana (AT2G36630) TAIR;Acc:AT2G36630] MAAAAGLYGRLNRSSTRGFLAYVAAGAACAAVLACFVIPAAEPRAGDANGGLRLSSRSARVWPDLAFNWRVVVATVVGFLGSAFGTVGGVGGGGIFVPMLNLLVGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVIDYKLALLFQPMLMLGITIGVELSVIFPYWLITVLIIILFIGTSSRSFYKGILMWKDETRIQMETREREEESKSSCAARDVVIDPSCEEPLLCQPQPKEKSALETFLFNLRWKNILVLMTVWSSFLVLQIFKNNSQSCSTFYWVINILQVPVALSVFLWEAVQLCRESRARRMDGNWECVCEASIEWSPAQLIFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLNRFPIPFAVYLICISILAGFWGQSLVRKLVHVLKRASLIVFILSSVIFASALTMGVVGTQKSISMINNHEYMGFLDFCEK >ORGLA03G0295600.1 pep chromosome:AGI1.1:3:26730816:26732066:1 gene:ORGLA03G0295600 transcript:ORGLA03G0295600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQSSSRNHRSKGLKLKKALQITLLVLVSVWLIYQVKHSYEKKAYHENEPNDLHKDDDQNQGEIRLGRKDLPTKLEADSSTLDERIEDEENEEMEQEMKHDENDEDPIDEPDLDKDDDLPEPGEHSADKDERSEDVGVFEDEERKERSQEDQEKTFHGDNVSSAVSHDPPSAEQDELLRRAQDKILYVDDASTAVPHDNQEAERREEEARKAREMTFRGDDVSSSVYHDAQVTKPLPEEQLKSMDSIFEGTTNLSNGITFRGPGVNESTAARELGAIPADASSHPNTRTVSESNTDTAPVNLDGNHTGSDQANSTSLKGQQEQQANSTAVLNNQIKLFIDLTSAAELNYPPNGTLASASTDAENATSVDRGHDGNTGHDGNTGTNKAEEGDTGKDLDLSTKIMNKAISEDEVVLE >ORGLA03G0295500.1 pep chromosome:AGI1.1:3:26715995:26720803:-1 gene:ORGLA03G0295500 transcript:ORGLA03G0295500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSALLTSAGINIGLCALFLSLYSVLRKQPHNYSVYFGRRLAEEKFRQQVDYFSLERLLPTAGWIVKAYWCTEEEIRRVAGLDSVVFLRLFIFSIRIFSITSLVCIFGVLPVNYHGKETNHGRIPAESLNVFTIANLKEGSRMLWVHCVALYVITISACILLYYEYKYISRKRLAHITGSPPDPGQFSVLVRSIPKSDNELLDDTIRNFFVNYHGSSYLSHQMIYRKGSMQKFVDNAERVYRKFVRVKMSSFGQSRRSDLSRCGLCGVRASSFQQYRNKFINSKKPDLSDPEVIEAQKDCPGAIVFFKTRYAAIVASRILQSSNPMLWVTDLAPEPRDVYWSNLWIPYRQIWLRKIATLAASVAFMFVFIVPVAFVQSMMQLDQIEQLFPSLKNMLKKPFFVKLVTGYLPSVVLLLSLYTVPPLMMFFSSIEGSISRSGRKKSACCKILFFTIWNVFFVNVLSGSVLNQLNVFTRPRDMPSMLAELVPKQATFFITYVLTSGWASLCSEILQVYNLVYNFFRKCIFCYRDDPEYGYSFPYHTEVPKVLLFNLLGFTFSIMAPLILPFLLVYFCLGYLVYRNQILNVYYPKYEMGGKLWPIMHSTLVFALVLTQTIALGVFTIKHATISSGFTILLIIGTVLFHQYCRHRFSSIFNSFSAQDLIEMDRDDEQSGRMEEIHKHLLDAYSQGTTNMDNSSSSRNGGAPIEMIMEDPAQDAQDSNQELCDAVKEVTGSIQEHADEM >ORGLA03G0295400.1 pep chromosome:AGI1.1:3:26707921:26713637:1 gene:ORGLA03G0295400 transcript:ORGLA03G0295400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:I1PF08] MVSGGRVGGGEGEAGEAAEVAVAMVDNEEEVAQAQAPPAAAVAARELVVGYALTSKKAKSFLQPKLRGLARKKGILFVAIDQKRPLSDQGPFDIVLHKLYSLQLTGREWQQLLEEYREEHPEVTVLDPPGAIEHLLNRQSMLQEVSELDLSDCHGRVGVPKQLFVNTDPSSIPAAVMRAGLSLPLVAKPLVAKSHELSLAYDPISLTKLEPPLVLQEFVNHGGVLFKVYIVGDAIRVVRRFSLPNVDVGDLSNNAGVFRFPRVSCASANADDADLDPHVAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLVQKEYKRRPSYSSCEG >ORGLA03G0295300.1 pep chromosome:AGI1.1:3:26698083:26700579:1 gene:ORGLA03G0295300 transcript:ORGLA03G0295300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:I1PF07] MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPSDRTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGCYRQLFHPEQLINGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPSGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESDENEDGDDGDEY >ORGLA03G0295200.1 pep chromosome:AGI1.1:3:26692526:26693977:-1 gene:ORGLA03G0295200 transcript:ORGLA03G0295200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTPLPSWVVLNSAVRIAPGAVENEPEWAIKCSHRQAYPYAWRGVKEASASMARDVTLLARLVEPPDLSSLYIRLPADELRRPRFPMPDSGNGDDDEIRDGDVSLSEGPLLRASVRAADEKLVILTSTLPDCDRASFYLIYNATKTSLSMIPLLPSYCXPSFTMRPLPMRRRSGGDGGDGDYSLAIMARTSVLDEQTRDPIDRDVLCLWPPPASAKPLPLSGRRGIEPWRVKQPHFPSQTPGSFVADTFHYLGLPMECRLDDVDSCTGRGNPAEHWTMSYVGDSIKFVSIGDGLHPELKVWALLPATMEWKKLHELSMATLWGLEGFKNAGLPENLPIHPILSTQQDGVLYLVLPAEEKVEEDIVVAVEEEDVAVTEQRYLFGLDVCNKRILSSRHLPDSGYLLGFDMFRCLDERCLCPHAAPSTDENGARPIPATRKRKLPSSPSPP >ORGLA03G0295100.1 pep chromosome:AGI1.1:3:26689125:26690193:1 gene:ORGLA03G0295100 transcript:ORGLA03G0295100.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAQSAPYYGGASAAALKPKQELDAAAAAFSQARPVKRSYDAMVAADVAKAPAAAASRPASQNQEHILAERKRREKLSQRFIALSKIVPGLKKMDKASVLGDAIKYVKQLQDQVKGLEEEARRRPVEAAVLVKKSQLSADDDDGSSCDENFDGGEATAGLPEIEARVSERTVLVKIHCENRKGALITALSEVETIGLTIMNTNVLPFTSSSLDITIMATAGENFSLSVKDIVKKLNQAFKLSLLERNLRLMVLIRSFPCFCF >ORGLA03G0295000.1 pep chromosome:AGI1.1:3:26685657:26686074:1 gene:ORGLA03G0295000 transcript:ORGLA03G0295000.1 gene_biotype:protein_coding transcript_biotype:protein_coding STCTQGNARQSGLICLLRVITIYQIVMCLEVEEQNKQAMQVPEPSPYGPSRQQGCMVKWPHRKAGMGKLLWQLKIDGGMGVSIM >ORGLA03G0294900.1 pep chromosome:AGI1.1:3:26654929:26655264:-1 gene:ORGLA03G0294900 transcript:ORGLA03G0294900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKQTSHKSKRPRDSSPTPSSHDDSDSDWSGGEDVVPQVARVARRSTHAHDGGGDGEGSSRQPQTPPHQPNVPIGPLRIRTPERDPAVVRQVYDWRRKSEVVAPRRDEDP >ORGLA03G0294800.1 pep chromosome:AGI1.1:3:26650383:26653823:1 gene:ORGLA03G0294800 transcript:ORGLA03G0294800.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFGDQRRATRRRRISTRRPPPRRGPPTTSPAHSRLQCNIHIGAKSIKKTSNAINGGVFVLLLFGVAFVSLGGGGGVLTVEVGGDGAAAGEVEDEATDVGIKTACGSFQSAAGAFAWMRESGVDAKAVAAGATTVDVLSLLSPASPPNHLALLPALRDPAPGLALPRR >ORGLA03G0294700.1 pep chromosome:AGI1.1:3:26647048:26647344:1 gene:ORGLA03G0294700 transcript:ORGLA03G0294700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIKKGHDQVAAAAAALLMVFLVAATFHFQALHARPVDTSGTLHPSSHDDNTGASPPPPTAAAAAAAGGRGLPKRSSSPSGCTNYGPGGGTVCPPR >ORGLA03G0294600.1 pep chromosome:AGI1.1:3:26634903:26640069:1 gene:ORGLA03G0294600 transcript:ORGLA03G0294600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSTDIRELISLDDVMEELGMGPNGGLIYCMEHLEDNLDDWLDEQLEGYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSHIDNCIQYGEDADVKVRDFDPEED >ORGLA03G0294500.1 pep chromosome:AGI1.1:3:26629969:26630349:1 gene:ORGLA03G0294500 transcript:ORGLA03G0294500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCTHASLCFFALTSSLLVQSSGGARPSQGEELMQRRPAAAAAASPVGSSPTTEELVDAGIAAGREADAAAPPATTPAAILGETRHSVRRFLVVAAGEDAATDDGAGASCHSNNVHITCAPPSPR >ORGLA03G0294400.1 pep chromosome:AGI1.1:3:26624705:26625160:1 gene:ORGLA03G0294400 transcript:ORGLA03G0294400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRRWLPLPASFCFFVLMSLLAQSSHGARPSPRELQGRSPPAAAASSVAVGSPPSSDDVVAAPPPPPGPVMTVTTPAGAVGDDRRGRGGDDVPLLPRRGRSVGVALVMARAARRALAAAAAAASSSATDGTGPSCHSNNMHITCSPPLQN >ORGLA03G0294300.1 pep chromosome:AGI1.1:3:26614828:26618073:1 gene:ORGLA03G0294300 transcript:ORGLA03G0294300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSEDGGRRRREAHAAGYGELGRALLDLQAAADQVFDAVTARTAEEREKLSAISRRISAAKAKIKTLSQSEEPLTIVSPAQNPSSCTNQEDFRPLFHDKYDDNSGGPSIATISVNGGFNREYGLEGTLELFQFFSEENYDYTPKEGRLKVKNKPAEAKDDTYLGSLMDKSNFPTPQNISMSGKDMKIEELPPPPPSLISKHLAKNQRSDDVRFESSWSPAHSDIPSAENRL >ORGLA03G0294200.1 pep chromosome:AGI1.1:3:26608002:26613285:1 gene:ORGLA03G0294200 transcript:ORGLA03G0294200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-O-methylthreonine resistant 1 [Source:Projected from Arabidopsis thaliana (AT3G10050) TAIR;Acc:AT3G10050] XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGEAEAVGGDAAGGLGAMEYLTSVLSSKVYDVAIESPLQLATKLSERLGVNLWIKREDLQPVFSFKLRGAYNMMAKLSREQLERGVICSSAGNHAQGVALSAQRLGCDAVIVMPVTTPEIKWRSVERLGATVVLKGDSYDEAQSYAKQRCEQEGRTFIPPFDHPDVISGQGTIGMEIVRQLQGPLHAIFVPVGGGGLIAGIAAYVKRVRPEVKIIGVEPSDANAMALSLCHGQRVMLEQVGGFADGVAVKVVGEETFRLCRELVDGIVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYGLKGENVVAITSGANMNFDRLRLVTELADVGRKREAVLATFLPEEQGSFKKFAELVGRMNITEFKYRYDCNAKDALVLYSVGIYTDDELKAMVERMESSKLRTVDLTDNDLAKDHLRYFIGGRSEVTDELVYRFIFPERPGALMKFLDAFSPRWNISLFHYRAQGETGANVLVGIQVPPEEFDEFKSRADNLGYEYMSELNNEIYRLLLRDPKI >ORGLA03G0294100.1 pep chromosome:AGI1.1:3:26598845:26601145:1 gene:ORGLA03G0294100 transcript:ORGLA03G0294100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G01570) TAIR;Acc:AT4G01570] XXXXXXXXXXXXXXXXXXXXXXXXLLAARLANHLLTTPHIPPELLPAAPLPLPVRLHVLRHPALPPTSKLSFFLAATPPSCPLLAATFPVLVRALATHSPPLLDALLPFALSSSCPSELLPALLSALLSASRVDAALALLDAAPPDLLPRLAAAAIPSLIASPDPISAVPAIRRLLPIASHPPPVRATNRLLLALSKENLYDDFRHVFGEMSRRGLPSNIRFYNICIHAFGKWRRLDMSLKLFAAMKTASPPLVPDICTHNSLIRALVVGARVADALVVYDEMKSFGIEPDVFTYRAIVDGCCKSFRMDDALRLFQEMRGSYGVKGDVVVYNSLLDGLFKAKKLDEACGFFETMVADGIQCSASTHNTVIDGLFKNGRAEAACRLFYDLRRKGQLLDGIAYSIMVREFCKEGKGDQVAEAVELMKEMEERGFAVDLVTVTSLLIGFNKSRRWDLEEQIVKFIRDSSVLPDAIRWKSNMMSALQGPQDREKDGTSIFPFDGNIDDVMSLVNPVVCTGANEETQKDEPKDDWSLSPHLDHLAKHADHLNSSAIFTIDRGQRVQGMGAKTFDTDMVNTYMSIFLAKGKLSVACKLFEIFTTLGRKGTSYTYNSLMTSFVKKGYLKQVWAILHERGGQLCPNDIATYNLIIQGLGQMGKAEVAGSIISELSKKGVYMDIVMYNTLINQLGKAGKVDEANSLLEQIIGRGIKPDVVTFNTLININAKAGRLKEADKYLRKMIAEGIAPNYATETILVFLDKEIEKKRQQPR >ORGLA03G0294000.1 pep chromosome:AGI1.1:3:26591018:26594533:-1 gene:ORGLA03G0294000 transcript:ORGLA03G0294000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:I1PEZ4] MSSSLLTDLVNLDLSESTDKVIAEYIWVGGTGMDVRSKARTLSGPVDDPSKLPKWNFDGSSTGQATGDDSEVILHPQAIFRDPFRKGKNILVMCDCYAPNGEPIPTNNRYNAARIFSHPDVKAEEPWYGIEQEYTLLQKHINWPLGWPLGGYPGPQGPYYCAAGADKSYGRDIVDAHYKACLFAGINISGINAEVMPGQWEFQIGPVVGVSAGDHVWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRSNGGYEVIKKAIKKLGMRHREHIAAYGDGNERRLTGRHETADINNFVWGVANRGASVRVGRDTEKDGKGYFEDRRPASNMDPYLVTAMIAETTILWEPSHGHGHGQSNGK >ORGLA03G0293900.1 pep chromosome:AGI1.1:3:26581992:26588182:1 gene:ORGLA03G0293900 transcript:ORGLA03G0293900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFSVTKKATTPFDGQKPGTSGLRKKVTVFQQPHYLQNFVQSTFNALPADKVKGATIVVSGDGRYFSKDAVQIITKMAAANGVRRVWVGQNSLMSTPAVSAVIRERVGADGSKATGAFILTASHNPGGPTEDFGIKYNMENGGPAPESVTDKIFSNTTTITEYLIAEDLPDVDISVVGVTTFSGPEGPFDVDVFDSTIDYIKLMKTIFDFESIKKLLASPKFTFCYDALHGVAGTYATRIFVEELGAAESSLLNCVPKEDFGGGHPDPNLTYAKELVDRMGLGKSSNAEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVQSIPYFSSGLKGVARSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGMCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKDNLGGDKLVTVEDIVRQHWGTYGRHYYTRYDYENVDAGAAKELMANLVSMQSSLSDVNKLIKEIRSDVSDVVAADEFEYKDPVDGSVSKHQGVRYLFGDGSRLVFRLSGTGSVGATIRVYIEQYEKDSSKTGRDSQDALAPLVDVALKLSKMQEYTGRSAPTVIT >ORGLA03G0293800.1 pep chromosome:AGI1.1:3:26573318:26577743:-1 gene:ORGLA03G0293800 transcript:ORGLA03G0293800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSRRWQQLWRCCCPKLVLKRGTMFQPKNTSMKRTRTKFARRVNSLLRHLCSPPTLSKFVVKFGLRRKHTCHVDVDVDGWVRFCAASRARRIAFDFTPGAKNIFKGLPDDKYIFPLHVFSGPDSSPSHVRSLNLAYVCLNTTTTGFAGFANLKKLTLHKTIMVVFQLKRFAKTSVRFINLRHLNLYLPLYGNGRSVDGILRLAYLLEVAPVLEELELHSERICHRIGMIKLRRVVMSGACHWQGLIELAHCILRCAIRLDCMIMDPMVRIKGLPVVDWLVERGRRIAKELLEREEFQGVLRVCLRIRGSRGLERYVKRDYVNLIGCKDCSIYG >ORGLA03G0293700.1 pep chromosome:AGI1.1:3:26563937:26566739:-1 gene:ORGLA03G0293700 transcript:ORGLA03G0293700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAWRPASGRRVALAAMVVLSVVVAAAMADDLAGDARALLAFRDAVGRHVAWNGSDPGGACSWTGVTCEGGRVAVLRLPGAALAGRVPEGTLGNLTALHTLSLRLNALAGALPGDLASAAALRNVFLNGNRLSGEFPRAFLALQGLVRLAIGGNDLSGSIPPALGNLTRLKVLLLENNRFSGEIPDLKQPLQQFNVSFNQLNGSIPATLRTMPRSAFLGTGLCGGPLGPCPGEVSPSPAPGEQPVSPTPANNGDKGGNGGESGKKSKKLSGGAIAGIAIGSAVGAALLLFLLICLCCRSGRTKTRSMEMPPPPSSAPAVVAAGRKPPEMTSAAAVAPMATVGNPHAPLGQSTSGKKLIFFGSAAAVAPFDLEDLLRASAEVLGKGAFGTTYKAVLESGATVAVKRLKDVTLTEPEFRDRIADIGELQHEFIVPLRAYYYSKDEKLLVYDFMPMGSLSAVLHGNRGSGRTPLNWETRSSIALAAARGVEYIHSTSSSASHGNIKSSNVLLNKSYQARLSDNGLSALVGPSSAPSRASGYRAPEVTDPRRVSQKADVYSFGVLLLELLTGKAPSQAALNDEGVDLPRWVQSVVRSEWTAEVFDMELLRYQNVEEQMVQLLQLAIDCVAQVPDARPSMPHVVLRIEEIKKSSERLEGRDPQQQASNLEAGDDQTSKPESAEGLNPFAP >ORGLA03G0293600.1 pep chromosome:AGI1.1:3:26549168:26554082:1 gene:ORGLA03G0293600 transcript:ORGLA03G0293600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSAGVVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPPNTVHEGRIYQLKLFCDTDYPDRPPTVRFQTRINMSCVNQETGMVEPSLFPMLGNWQREHTMQDILIGLKKEMSAPQNRRLHQPHDGNEDQRVEQKGLSLRCVIM >ORGLA03G0293500.1 pep chromosome:AGI1.1:3:26542730:26545199:1 gene:ORGLA03G0293500 transcript:ORGLA03G0293500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G70650) TAIR;Acc:AT1G70650] MRHRVGPAAAAAAALRRFSHLRPPAPPDPRLAFLRSEFDGREPGRQPPSPETREEPKGGERARSPVAVDIAHPWPEWVALMELLLQRGHLDASVFAGGAAVSPSKDSNVIRTACLRFGRERPELIRHLSRWDIQVALRYGCPSIDKKVVNSGKRLRAHVGLDEGEVCSQCNLRGGCERAYVKARKEEIGRTVDVMRILLTYGLDTVTGNVENRACLNKTVKESIKSLLNEIVGFDSMGPGSSTEKPTHRMPKGQSSIPMKQGDWNCPKCNFLNFAKNIKCLRCNGEFEERYQLLHENQEHLPLKKGDWICKRCNFLNFAKNTRCLQCHEKPTNRQLNPGEWECVSCNYLNFKRNAFCLKCGWKRPKSLNNQDSIESQRDLDNNKHSAISFIQDGIQSRRQILQNKAPLSDEDSDFWSSEEEGAYHSDNNIPPIKKDYKFLESFPIIGGRTAMSQDPLEREKWKDEMSRRNKGLLTKVSEESNRPSCPVRIPRSIEQIESGDNDDDISSWFSGGTSNINMKKA >ORGLA03G0293400.1 pep chromosome:AGI1.1:3:26538161:26539840:1 gene:ORGLA03G0293400 transcript:ORGLA03G0293400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGKSDYESVRDARISENLARMEMLGLRRCAGELSAIASASASASAAASRRAGIGGTTPRRNPKPPRVLTPLRRSGRLVAIAAAAPAGSASGPRRSARLNGQTTEHKALPLKGSLSKFAAEEAEEEEEEQEEKKAMVVIDKERVRILQERRCDSKGRGAVYDPVLGICCHFCRQKKLCGEEGCKRCGEGDLNQPCIGKTDCSSCHSSYGILCRACLKVRYGEDMDEVRKNKNWMCPHCIEEKGTKKFWICNSSICLKKRKLSPTGIAIYDAREQGYESVAHLLMDKLKCRAF >ORGLA03G0293300.1 pep chromosome:AGI1.1:3:26534837:26536926:1 gene:ORGLA03G0293300 transcript:ORGLA03G0293300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCAAECALSLACARWALRRLSLSGADDSASWPAASPSSFAPVPRACRSALXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDDFLPRTPAPLQHIFGSIFCLPCLLCFICMRDTFVSEEKLKDASKLYAPGRVFHIVERENCRCGRLPPQVRTAVPAEGRFEHVVLSCNATSDHGIIWIEKEAQKALDLMEQEELTLPPSQQKMLRVKETESLADHQKLSAGNPQEDDTLSSSSPFSSPRTSTTSSLRSESSSTRSEWNELVEIFLSDHEEDGDGRTNMCNWAGCLPCCK >ORGLA03G0293200.1 pep chromosome:AGI1.1:3:26518717:26529851:-1 gene:ORGLA03G0293200 transcript:ORGLA03G0293200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSPTDGSNSPRTPTSAPPAAGSPAAASSSSSSRSDKKKPKSETPRKRDKLFGGSASGSAASKGGAGAAPASASSSPSADGRKAAAAQLRDGGAGGASAAALSPILASSLGLNRIKTRSGPLPQEGHRIAAALGSSNLSRGQAQADPSAASAGGGGGGRKAGSSWADSTSGSRGKGKAAEHPARGATATSLEGKSSAKVNPNALRNHSGDLRTPTHIPDNVCAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVLKVIQVRFEKAKEEVNSDLAVFAGDLVGVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVMDQRDKIIQSADRQILAQPGDDTTTRGSKSDVRKSYSQEQHNLKWKRSQEIKPVKFLSPLDTTDVKKEVESPTRERISSWKPFPSPVPKPPKDPTPIKEESPNKKTDTPPAVSSQAELNSPVESTSHQSLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICASADRCDQKGVSVDERLIRVAEALEKLVESYTQKDLPNAVGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDYLQEADSTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINESDDLPQIVELADIARCIATTPLDEERALSLLVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLLLCDSVDMDKVDSASTVMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGSSLYGDDEPQMSEFEEMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSSEAQDLIDKLLTEDPHQRLGANGASEVKQHQFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSLSGSSSCVSNHQDDMGDESSGFTEFESSSNVNYSFSNFSFKNLSQLASINYDLLTKGLKDDPPTKSET >ORGLA03G0293100.1 pep chromosome:AGI1.1:3:26514283:26515970:1 gene:ORGLA03G0293100 transcript:ORGLA03G0293100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:I1PEY5] MAFWQARDFLFCGVCGTLLKFDSVRSASCPLCGFKRKAKDIEGKETRYTVTAEVRPIALPSSSRETLGSSVSVATDFVSPQDIRRELKLDPYVILETTLKEEDVIVERATVNKECEKCKNPELQYYTKQLRSADEGQTVFYKCAKCRHEFNENQ >ORGLA03G0293000.1 pep chromosome:AGI1.1:3:26512582:26513387:-1 gene:ORGLA03G0293000 transcript:ORGLA03G0293000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEFWDGWMDACRATEDRERRRWLSQGSAGGGVAASSGVAVNITTGPMTETEDDMAVAEEEEVVAASAETEEHVQRILLAIDAFTRQVSEMLEAGHELFKNLAADFEDRLCSIHKERRGWRGGRTRSGSCAPVTSPMSRHAPSAATALACRRRIVPARRCRTALARRRRLSATVLARHRRRFACSGRHSGAATAPG >ORGLA03G0292900.1 pep chromosome:AGI1.1:3:26509079:26509995:-1 gene:ORGLA03G0292900 transcript:ORGLA03G0292900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSTGHRAADLVLPSYPEMIVEAIASLAEENGSSQAAIARRIEAARGGGGLLPPSHPALVAAHLSRMSAAGELVAVAGGKYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRPRGRPRKNPLPEGMVPPPPTRPGATAKPRPQFAEVGFV >ORGLA03G0292800.1 pep chromosome:AGI1.1:3:26477951:26484020:-1 gene:ORGLA03G0292800 transcript:ORGLA03G0292800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLADMGCCSCFGFLRKPRVSVSRPRDADGILSEDLLNHKSAEDPDGSFYTGDDPDRSFYDRDDLDRSFYNGDDPDRSFYDGDDPDHLYGSDDGQPRKRSEDIILSRAQNGFACRESLVKETKKVFRSEDENGSKMVNQYVHLGKIGSGSYGKVVLYRSMKDGKLYAVKVLNKSYMMKVRVVRSETAMTDVLREVSIMKMLDHPNIVNLIEVIDDPNADKFYMVLEYVEGKMVCDNGLGEATSRNYLRDIISGVMYLHSHNIIHGDIKPDNLLVTSTGSVKIGDFSVSQIFEDDDDLLWRSPGTPVFTAPECCQGSAYHGRAADTWAVGVTLYCMITGHYPFLGDTLQETYDKIVNDPVQIPDNMNPQLADLLERLLCKDPANRITLQAVGEHPWVAGDQGPVVEYFCRCGFGRRKRDDLKGEVQ >ORGLA03G0292700.1 pep chromosome:AGI1.1:3:26466023:26470764:-1 gene:ORGLA03G0292700 transcript:ORGLA03G0292700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMPRPSPPGCSSSSRALRRRRPRPRGRGRYAAGEENGGVREVVQKFEEEEVEELERKEVAFRLIVHMLGGEGGLESDNVAKVRNAAAKQVRSLSEFLKIRKRDWREQGAQLKTRINTKLLCCQAALVVLVRSVSAMDVDSKASKDMLQQTLAWFIEATKSCILSSWRKLKICEELFCTLLNGISQITVSRGGQLLPVLLIPLKPLVVSTCSQADMTGSSPGALFDAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKEKDAVPVMRLNVIRLLAELCVCLKKWEVVDMILPLFIEHLEEGDASSPSLLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKAVGSAENNTVPSEATTERIETLPAGFLLVATNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQSNQTPAKPVSTSLNTMESISAIALQAVSGPYMWNSEWCVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGNSNEKAAVGQRTALSAALGSRVEVAAMTTISGVKATYLLAVAFLEILRFSCNGGILSATSTLNKSNSAFSCVFEYLLTPNLTPAVSQCLTAVVHRAFETVLSWMVQLTLHQFFEDISHVFFVLSLLCLAM >ORGLA03G0292600.1 pep chromosome:AGI1.1:3:26455847:26463368:-1 gene:ORGLA03G0292600 transcript:ORGLA03G0292600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYCGPDAKLRPHLISGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSATHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFAYAPEWYESQNKSFAQREAQSVSIFVHCLQNERPSGSADSAPKSQGREGEPNMLDQIHPVWGSVDNYTTVREKRKQLLLMLSQNEADRLEVWAQPINTKDAATFRGKISSDKWIDHARTAFAVDPRIAFSMIMRFPTNSALSSEITQLVQTHILELRTIPEALPFFITPKAVDENSSLLQQLPHWAPCSVTQALEFLTPPYKGHPRVMAYVLRVLETYPPETVTFFMPQLVQSLRYDDDKLVEGYLLGAARRSNIFAHILIWHLQGECVPDEPGKEAAAPKVTAFHSLLPAVREKIVDGFTPEARDMFEREFEFFDKVTSISGVLFPLPKEERRAGIKRELEKITVPGDDLYLPTATNKFVRGIQVDSGIPLQSAAKVPIMITFNVVDRDGDPNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFQAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRNQMGETTDGGLLEIFQQDYGPVGSPSFEAAREMFMISSAGYAVASLLLQPKDRHNGNLLFDSHGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAGRRHMNGIITTVNLMVDSGLPCFSRGEPIANLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQGIEK >ORGLA03G0292500.1 pep chromosome:AGI1.1:3:26437727:26445095:1 gene:ORGLA03G0292500 transcript:ORGLA03G0292500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-displacement protein alternatively spliced product [Source:Projected from Arabidopsis thaliana (AT3G18480) TAIR;Acc:AT3G18480] MSSPLAVVSSFWKDFDLEKERGGLDEQGLKIAENQETSQKNRRRLAESTRDFKKASSDDKLSLFNSLLKSYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASMAEQDQKLSELETENRKMKLELEEYRAEAAHLKNQQATIRRLEERNRQLEQQMEEKVREMVEMKQRSLAEDSQKTLEALKDRERALQDQLRQATESVKNMQKLHESAQSQLFELRTQSEEDRAAKETEVNLLLDEVERAQARLVSLEREKGDLRSQLQTTNEDATNSSDYVDSSDILESSLNAKEKIISELNAELRSMESTLSSERETHVNELKKLTALLSEKENALTELKKELQERPTRRLVDDLKKKVQILQAVGYNSIEAEDWELATNGEEMSKLEALLLDKNRKMEHELTQLKVKISEKSNLLEEAEKKIAELTAKAEEQQKLILKLEDDILKGYSSTDRRTSLLNDWDLQEIGSNEVAEGTDPRHAPQDQDQSSMLKVICNQRDRFRTRLRETEEELRRLKEKYEMLVVELEKTKADNVQLYGKIRYVQDYSHEKIVSRGPKKYAEDVESGSSDVETKYKKMYEDDINPFAAFSKKEKDQRYKELGLRDKITLSSGRFLLGNKYARTFIFFYTIGLHLLVFTLLYRMSALSYLSTTPANDEIILDAGNQTLPHAL >ORGLA03G0292400.1 pep chromosome:AGI1.1:3:26432254:26434302:1 gene:ORGLA03G0292400 transcript:ORGLA03G0292400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEASREENVYMAKLAEQAERYEEMVEFMEKVAKTADVGELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEAYVASIKEYRSRIETELSKICDGILKLLDSHLVPSATAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAENTLLAYKSAQDIALADLPTTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDNAEDGGDEIKEAAKPEGEGH >ORGLA03G0292300.1 pep chromosome:AGI1.1:3:26422026:26423654:-1 gene:ORGLA03G0292300 transcript:ORGLA03G0292300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein (GLTP) family protein [Source:Projected from Arabidopsis thaliana (AT4G39670) TAIR;Acc:AT4G39670] MLGFRSQTPWSGAGAEPICGDAAAAVVAARKGMETPLTAVAEAFEELARRMEADGGELRLGPFGDTCALVSVLFSCLGMAFRFAEIEYVAKVNDLIGAAKSYGTLNDILDKDVENDCVKKQGSHSRNLRRVRLGLGLIKVLFEQFLSTQECSLYDAATTAYGQVCAPFHSWAVRKAVGAGMYTLPSREQLIVRLNETDCSVQKEMRRYIDASSPIIDYIDNLFLSRSISLDW >ORGLA03G0292200.1 pep chromosome:AGI1.1:3:26416846:26418776:-1 gene:ORGLA03G0292200 transcript:ORGLA03G0292200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTSPTPFGGAAVRRRAPPASAXXXXXXXXXXXXXXXXALHVARARRGGVSSRTQRRLEERGKNKRRGGGSVTAPAPPDMDEDAAAGEGVDWEGEPLGFEVSTTPMPELPDPEKPDFWEGPQWDALGFFVQYMWAFGVFFGLVACGFAVATYNEGATDFRETPSYKESVQTQEFPEESESSGSDVFEGNPTEVAPALD >ORGLA03G0292100.1 pep chromosome:AGI1.1:3:26415433:26415849:-1 gene:ORGLA03G0292100 transcript:ORGLA03G0292100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLRTRKLTRGEPVYVVGRRPPPPPRRPGRAAEHTLLRLLCGGCARSLAVTTMIAESAAQVVSLLAPLLVVVLVAAVLASASGAGSAREREEAEAEAEARAREWAWFVFGPEADDERAPPPPSRRVLVVARHDGCG >ORGLA03G0292000.1 pep chromosome:AGI1.1:3:26412141:26414996:1 gene:ORGLA03G0292000 transcript:ORGLA03G0292000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 (Hsp 70) family protein [Source:Projected from Arabidopsis thaliana (AT1G09080) TAIR;Acc:AT1G09080] MARDKQSALIVAAFVLLCSGCLCGVADGAKGGRKTKGPVIGIDLGTTYSCVGVYRNSHVDIVANDQGNRITPSWVAFTDDERLVGEAAKNQAALNPDRTIFDIKRLIGRRFDDEEVQRDVKYLPYKVVDKGGKPYVEVRVKAGEVKVFSPEEISAMILAKMKETAESYLGQRVTDAVVTVPAYFNDAQRQATKDAGTIAGLNVPRIINEPTAAAIAYGLDRKGAGEMTNVLVYDLGGGTFDVSVLSLDHGVFEVLATSGDTHLGGEDFDRRVMDHFIRLVKRRHGRDIGGDGRALGKLRRECERAKRALSSQHQVRVEIEALFDGVDFSETLTRAKFEELNMDLFKKTLGPVRKAIADAKLKKSDIDEIVLVGGSTRIPKVQELLKEMFDGKEPTKGINPDEAVAYGAAVQGSIISGEGGAETKDILLLDVTPLTLGIETAGGVMTKLIPRNTRIPVKKSQVFTTYEDHQTTVSIKVFEGERSLTKDCRELGRFDLSGIAPAPRGVPQIEVTFEVDENGILHVTASDKAAGRSKSITITNDKGRLSQEEIDRMVREAEEFAEEDRRVRERVDARNRLENYVYRMRSAVRDGGMAGKIGDDDRERMESALTEALEWLEDNDGGARTAEKEDYEEKLKEVEQVCGPIIKQVYEKSGDASAGAGDDDDVNEL >ORGLA03G0291900.1 pep chromosome:AGI1.1:3:26403887:26409029:-1 gene:ORGLA03G0291900 transcript:ORGLA03G0291900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAARSHLSPRKHRRSPHDDDDAEDGASSPKRHKRGHHRHRHRVSPAAADPAEGEAEDGEILDQATAAVGVGVGRGLDADAGETGSVQGVLPAPEHGDNSDADLNIHVNELHTSQLARNPSQEHDYPAKSDHAAHEAIVEYHSRRSPGSRNHNEAHSKDCLRSCHASRETGFQTDGSRNSVRLDYEHGIDDRHGEPDRYSNRRWETEERGCYKKRKKSGCHIGRHTDICNSEEKHLDERKHGSLVEKKVDLHGLAYHERRSGDGRFDQKVSAHHGHGEGREMDRWNSSTRKKDEEWRNRKNDTARNSYKETDRVGSRYGEEKLNDSIDKRDKRGFRGKEMDACWSRAVNGNEGSISYTHANYGMSGIYKDGSSFGGDDTKAKCKRRPEEEKKEPYREEDEENYLENIEDRKQQEKSAHYIDNKEINNDPAATKQRSNNLRAKEDITNDHELSNVFVGAKFYNVRKSPTLPKISISLGTLDNKRATSASGLQEGSSRISHNKRTTSASGLQEGIPMRGKQVILGNIIDGEQPIDRKLGNENSMLAKKNTLHDNWEDEEGYYIYHFGEVLQGRYEITARRGKGVFSTVVHAKDLKTQKDGCREVAIKIICNNIEKYRSGKREISILEKLGTADREDKQHCVRIISSFMHQNHLCLVLESLHMNLREVIKKFGHGTGLKLTAVRVYAKHIFIALKHLRHCGVLHCDIKPDNILVNKDNNLLKLCDFGSAMSAGNNEITPYLVSRFYRAPEIILGLPYDHPLDMWSAGCCLSELYTGKILFDGSTNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQNLNFLARKKDPITKTVVNRLLLNIKPKGVGSAISSCPGDDPKMISSFKDLLEKIFVLDPKKRITVPEALSHPFITGK >ORGLA03G0291800.1 pep chromosome:AGI1.1:3:26398728:26401358:-1 gene:ORGLA03G0291800 transcript:ORGLA03G0291800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis Hop2 homolog [Source:Projected from Arabidopsis thaliana (AT1G13330) TAIR;Acc:AT1G13330] MPPKSDSVEGIVLSFVNEQNRPLNSQNVADALQKFSLKKTAVQKALDALADSGQISFKEYGKQKIYLARQDQFNIPNGEELEEMKKANIKLQEELADQKKAISEVESEVRGLQSNLTLAEIKSKEAKLQREVQEMEEKLNKLRNGVILVKPEDKKIIEESFSEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVGVNLQSYSDMLTSLSKRRKVSR >ORGLA03G0291700.1 pep chromosome:AGI1.1:3:26396939:26398233:1 gene:ORGLA03G0291700 transcript:ORGLA03G0291700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLDVLLGRTTKQTARLKSMLGLAVTRLGVLRGHRQVRCAQARGDVEQLLRLGHPDRALLRAEQVIREQNTLDAFLMLESYCNLITERAALVDSHRECPEELREAAAGLIYAAARCGDVPELQEVKRLMAAKFGREFVSSAAELRSGCGINAKIVQKLSTKQPSLESRQMVLQEIAAEKGIAGVVVHVVHEPSSHDEDSGLSHRRRRRDGERRHRVHQPQVDLEEDGSSRYRNVEAAAQAAFESAATAAAAAKAAMELSRGESGGRDDDRRRPGGAQFDRADEETLAGGEVSGDGKKSGRIRRANLGRNYSSEIEDSAEDDEVVRHEITAESEVKPRGLTRSVPVSVRTKRVS >ORGLA03G0291600.1 pep chromosome:AGI1.1:3:26392465:26392809:1 gene:ORGLA03G0291600 transcript:ORGLA03G0291600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGPRARRRRPRSGHGGRRRHILAPLSSPTALSSPPAPTPSGGGILGTWRRGSGASSPSSSTTAAAAARPRPPSPVTAARIGAGAGVPSEWKEFLLGNQGRPPARRAFSSSHL >ORGLA03G0291500.1 pep chromosome:AGI1.1:3:26369760:26371259:-1 gene:ORGLA03G0291500 transcript:ORGLA03G0291500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAAGRRNAAVLAMVLLCVLLHGELAESAVYTVGDRGGWGFNSGGWLRGKRFRAGDVLVFKYSPSAHNVVAVNAVGYKSCSAPRGAKVYKSGSDRVTLARGTNYFICSFPGHCQAGMKIAVTAA >ORGLA03G0291400.1 pep chromosome:AGI1.1:3:26365614:26368490:1 gene:ORGLA03G0291400 transcript:ORGLA03G0291400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAFLSSLVDRLSTTALSGITSLWGVKEQVDSLIHELQAVECFLKDADLREIRRQATSNNNWFWLHSLRDAAYDAEDLIESVELHEGRYHTLNPLLQPLNSYRFAKQINEIKSRFQSIIDGWAKNASMLRELRDMSSSSSLTSAADSLWRRSSCHLGDDVVVGREEEAGMIIDRLLRCTAHREVVGIVGMGGVGKTTLASLVYNKVSAIQTGGTSLRPDSPKGTSSRSSVEMYFDACAWVPVGQNADALGLLKITSAQIGVELNSTQVAAAKNAMFRFLQHKRYLIVLDDIWTTETWLELSEAFPKSTNGSKILLTTRSKEIAVSADPSSLPYELDPLSEELSFQLFITKVFGLNHVDTTSCPPQLKDVGHQLSKKCGGLPLALVVLGGLLSGKEKQFEVWRNILKSMKWSNYEAGNQCLEILALSYSCLPYHMKLCFMYLGAFKEETEISVSKLIKLWIGDDFIPQQDGKTREETANDYLHELIQRCLVQPLLPAHKQGFKRVRIHGLLCELARSEARESRFFYCENGDAVSRAEGKYYRRVALHTKLIAFHELSNSEKLRSLLIFPGVIESCVITVGHQALRPFSRAFCHAFFLFPLWGFQHNILEQLTSMQYIRVLELEGHERLACDLKSVQSNLNHLRYMSLRNTNLGEFPFPESNFPLLQTLDIRGTFIRKLPGILENLDTLRHIYLNWRVSLDIRRLTNLQTLHGVIILPNSQAERNLMALTNLRKLRFRTWRGVEYRPESPNGFDIDRYNAQSSMGNENHALAESLRQLGNLHSIFIMMPFASFQPITSDIVQAVTSHEQLHKLKLQGRVHRNLLLEDPHFSCIKSITLSGSWIVLSPMESLGSLTTLYELKLKDDALRCSEVSCLQNSFPELRYLKISGLKKLRVFHVGNGSFPNLTRFSIHDCTEFLSTVEVMEHATRLQVLKIKEMPSVLPDVTDFCHSRNINLIS >ORGLA03G0291300.1 pep chromosome:AGI1.1:3:26363530:26364034:-1 gene:ORGLA03G0291300 transcript:ORGLA03G0291300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGSAMRGAVAVAFLAVVVSCIFLSGCGVADAATYYVGDSLGWSLGSGSWPSGKKFHAGDILVFRYLPWMHNVVAVDEDGYADCNPPPFSRYYTSGSDSVRLARGDNFFVCTRYGHCNLGMKMVVTAV >ORGLA03G0291200.1 pep chromosome:AGI1.1:3:26359809:26361308:-1 gene:ORGLA03G0291200 transcript:ORGLA03G0291200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIELTKEYGYVVLALVAYAFLNFWMSFQVGKARRKYKVFYPTMYAVESENKDAKLFNCVQRGHQNSLEMMPLFFVTLLVGGLQHPLVAAGLGVFYAVARFFYFKGYATGIPDNRLKIGGLNFLAIFGLIICTASFGINLVLRESI >ORGLA03G0291100.1 pep chromosome:AGI1.1:3:26353759:26358571:1 gene:ORGLA03G0291100 transcript:ORGLA03G0291100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (Ran-binding) family protein [Source:Projected from Arabidopsis thaliana (AT1G48570) TAIR;Acc:AT1G48570] MASSSKLLALTLTSSFLRSSLLPAPSRRLPTGPLLSLRFCSAAGDVAEAPAAAAAPDHPWPEWGDFLEKLRAKGYFEQPTLASRADAAEGEVAATAAAAAAVGEDPGASADNYPSKDLNRLKNACLKFGRERFDLLSVLPKQDIRAIVEFGCPNIFRKPVNSAKRLREFLQIDEGDACSACKLRGSCDKAYVIPNAEDEARTVDVMRILLNYAIDPTSLSGENSVNGGVQESARKLLSELTMLSDTTIDPSIPKPVFQTSSKTKTFDKGIAKPKFSAGKGRETTETEMKKGDWLCPNCNFLNFARNRHCLECKADGPKKIETATTEMKTGDWICPQCHFMNFARNKMCFKCEESRPKRQLIPGEWECPSCSFVNFRRNKVCLKCKHEGPENDTHDSQHGHNRWRNTRGADRSRSFDDSFDREDDGSDPDEGERRRAAVRTTAASTLGRSTGKSKIFDSIHEDGNGREDDSEDVLPYEGQRRHIVSKRATPAQRRFTAGRSK >ORGLA03G0291000.1 pep chromosome:AGI1.1:3:26350577:26351704:-1 gene:ORGLA03G0291000 transcript:ORGLA03G0291000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQTLPVPVSVELPPAAPNPPDPTAPLLPHADDPSAPAAAAAAAARKLPVKRRSPPPRPSSPSSSDPASDPAAKQQPQQPPPPFKFQRIWSESDELRFLQGLLGCGAQGLVFPRDLNVFYDRFSESMPSPYTRSQLSEKLRRLKNKFRGMSARVARGLDPARLAPHDRDVLHLCSRLWDPANATTSPFAAAGDASSGNKRRRAAPRAGPLMPPDPSGDSNSHDYNGGISAGTPGAFGDGHGGEEMMYLEQESGHFGYHGDVAIAADGSLDGIVKVQPETLPALPSIGDIAVHSENGNGKAVVPRSNEHHMANAVLDIFEECLREVKANGITYGANVNGGSELARRWRAQRIDELDVLSRRLRLLVEDAAAAGR >ORGLA03G0290900.1 pep chromosome:AGI1.1:3:26338726:26342210:-1 gene:ORGLA03G0290900 transcript:ORGLA03G0290900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAVVVTAALCKRSNRVARVLAYALLEWILIALLLANGVFSYLISRFAAFFGLAPPCALCSRLGVDSLFEPRGEGQGAGAEPLRRVLCDAHAAEVSRLGYCRAHRRLADAGDMCEDCVSASAAAAASSWMRRSELGERDLACACCGVALESGFISPPFLFPAPAACDVDCGHRRDAAMANLNRDVVFVSEEGPVIELFDEKPLEEEDPIGAMAGLAAQCAEIVGNVLQLVPLESADLSNVRKSAVSYESRGEGNDAMDHVTSKQRNVVLKNMANTSEDKSAVSSDDDDKVGDMVSKMIDEEITALVLSQDCIEDGFSCEIDGETTESLAADHQQFCEKHSGLKDNNQEISIGSEIPENEQGAVKQELLCVLTNPRGNEFGIDNLEGNTETVHQADLNNGWNSMPVEAGVHASETSTENNEEEWIQPGELSQKSNLMPIYSREHADEEIKEDRISLTEIKQGLDSVTIDSWEEVHLISNDGTKENQAEQSELNHQSTFMTVRAIEYVTDLFDANISAGNVNPTEAALPSLHQFSYGPSTSLNKLCPDYNDVESESAPDTPIHIEDIDGLHELPDHKAMTSDTKSVDLESIELVSVDQLKSALASAHKSLSTLYTELENERSAAAIAADETMAMINRLQEQKAAMQMEAIQYQRLMEEQSEYDQEALERLNELVVKREKEKQDLERELELYRRKVHLFEVKERRKMSRHKADDHNGSSSASSSAEDSDDHSQSFYEGDESAHGLNGSNGSIPTDAVLQETARHLGTLGCSLADFEEERLSILEQLKLLEERLFDLEDEDSDSVKMDKRLSEENHLMGASNGFSDDDSNFKLHDKRKGVSYRGKKLLPLFDDTTVGDGNDLLTRQDPEADHSTENVVLEPANEQDKLAIAHEIDQVHERLHALEADKEFIKQCVRSLKKGDKGFDLLQEILQHLRDLRRIEQRTRNSGELSPHYLHPYTD >ORGLA03G0290800.1 pep chromosome:AGI1.1:3:26334069:26336300:-1 gene:ORGLA03G0290800 transcript:ORGLA03G0290800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAADGMEKPKFLTREEREKLALERRQAAVTDQRRSALDLLQSLPRPPPPPPPPLSNPPRDSSSSHHRDSSDRDRDRDRDRDRDRDRDRERRRDDDSRRDRDRDRDRDRGDSSRRDRDRERGDRDRDRERGDRDRDRDRERGDRDRERGDREKDRLEKMAEREREKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQSPHEARLLYGRGFLAGIDRREQKKVAAAHEKETRAEQRRKAGLDDRPEDDAVDKKEADAAAKYDAFDMRVDRHWTQKSLDEMTERDWRIFREDFNISYKGSKVPRPMRKWSESKLGTELLRAVEKAGYKEPSPIQMASIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYITRLPPISEENEAEGPYAVVMAPTRELAQQIEEETVKFATYLGIKVVSIVGGQSIEEQGFKIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDAKTIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQNVIMTKESEKMSRLQKILTDLGDKPAIVFCNTKKSADARAKDLDKAGFRVTTLHGGKSQEQRETSLDGFRNRRFTVLVATDVAGRGIDIPDVAHVINYEMPSSIDTYTHRIGRTGRAGKKGLATSFLTLENTDIFFDLKQMLIQSNSPVPPELARHEASKFKPGSVPDRPPRRNDTVYATH >ORGLA03G0290700.1 pep chromosome:AGI1.1:3:26326721:26330048:1 gene:ORGLA03G0290700 transcript:ORGLA03G0290700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDSHFLALTAIVTVGYQLVFFIITALLRFDKVTDFAGSTNFIILAILTLALKGAWHFRQVVLTVLVVIWGLRLGLFLLMRILQWGEDKRFDEMRDNLGKLAVFWIFQAVWVWTVSLPVTIVNASDSDPSIEARDIIGWIMWLIGAGMEAIADQQKLTFKNSPSNRGRWCNVGLWSYTRHPNYFGEIFLWWGIFVASTPVLSGAEWLVILGPVFLTLLLLFVSGIPLLEASADKRFGQNEEYRTYKNTTSPLIPLPPAVYGALPGWFKMGFLFELPLYNRVPQRDPVS >ORGLA03G0290600.1 pep chromosome:AGI1.1:3:26319649:26320845:1 gene:ORGLA03G0290600 transcript:ORGLA03G0290600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWSGIHDDMLLLVVGRLPALDLLRFRAVCASWRAAAAIFVDGRGRPRPDRPWLLLPADAPDPDDGCRFVVSRDREVPVVALPARLGRDGGRGFVPLGSSRGVIVAADDRGEMHLLDPVTGKRRALPPVISLPLVDGVEGGPAGLNVRHGGGTVSRIDGLIHKAVPVPAPDGGLLVVVIYRQVHHRNQWATARPGDRAWKSVKPTSIPAVVDVAVHRGQLYANTRYGMVYAFPELRGLGSASPEIIPSVTRRPNAYVERSFLVESPPGSAGGRRGLMQVELLRPVAASGGGEDEEEGFVVRVLDECGETWEEADDIGDVAVLVDASGAVAASTRECPGLRPSTVYFAVDLAGETRVCAYSLAAAGKGKHKRIEVIESIPMAEGYKPPCFWFAPVYTP >ORGLA03G0290500.1 pep chromosome:AGI1.1:3:26315090:26318135:-1 gene:ORGLA03G0290500 transcript:ORGLA03G0290500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytanoyl-CoA dioxygenase (PhyH) family protein [Source:Projected from Arabidopsis thaliana (AT2G01490) TAIR;Acc:AT2G01490] MPPAGSLNDEQLRFFDANGYLVLGSFSSAEEVRAMRDRMAELVDGFDGAGDVFSTKDHRQVKNDFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHALHELDPVFKKFSFGANVSSLFSSLRYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTDPPSCTGLWLALEDATKTNGCLWAIPGSHKNGLKRRMIRDEIDTHFDHPSPTYDLKEFVPLEVKSGDLVVIHGDLIHQSFENLSPVSRHALSLHVIDTEGCEWSKQNWLQRKIPPQPLYEN >ORGLA03G0290400.1 pep chromosome:AGI1.1:3:26311614:26312426:1 gene:ORGLA03G0290400 transcript:ORGLA03G0290400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A2 family protein [Source:Projected from Arabidopsis thaliana (AT2G06925) TAIR;Acc:AT2G06925] MARGGSFSRLRLRAGVVVAAAAAALLLFAVVAPPAAALNIGLQSAGDGASKAGLCSRTCESDHCTTPPLLRYGKYCGILYSGCPGEQPCDELDACCMHHDNCVQAKNDYLSTACNEELLECLARLREGSSTFQGNKCMIDEVIDVISLVIEAAVVAGRLLHKP >ORGLA03G0290300.1 pep chromosome:AGI1.1:3:26294519:26304096:-1 gene:ORGLA03G0290300 transcript:ORGLA03G0290300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocon at the outer membrane of chloroplasts 64-III [Source:Projected from Arabidopsis thaliana (AT3G17970) TAIR;Acc:AT3G17970] MASSTAANLWVLLGLGIAGVLLAAKRLRRPARPDNGAFIARLELLPPPQPPPPQARHPLTGLCFAIADAFHVTGYITSFGSLEWAKTHDAATQTSLVVSSLVDGGAMCVGKTVIDEMAFSIHGENKHFGTPTNPAAPDRVPGGCSSGSAVAVAAGIVDFSLGIDTIGGVRVPGSYCGVLAFRPSHAVVPNNGVIPVAPSLDAIGWFARDPSVLRRVGHLLLRLPYADIRQPRHFYIADDCFEMSKVHARRLTQVVTKSVEKLFGRQVLGHVNLENYLASRIPSLRNNSNGHGDSKFSSLLALSRAMQFLHKHEFKDQHMEWINSVKPSVDACIVGNLSDDGESTINNSQDARKEVRAALGALLKDDGILVIPTVLGCPPKLNARELSSQDYNVQTSCLTSLASMSGCCQVTVPLGTHDKCPVSVSLIARHGGDRFLLDTIQTIYATIQEQVDALAKSNVSSKQAMSEEAAEAAKEKGNIAFKEKQWQKAINFYTEAIKLNNKVATYYSNRAAAFLELASYRQAEADCTSAIDIDPKIVKAYLRRGTAREMLGYYKEAVDDFSHALVLEPMNKTAGVAINRLKKLFP >ORGLA03G0290200.1 pep chromosome:AGI1.1:3:26273296:26275173:1 gene:ORGLA03G0290200 transcript:ORGLA03G0290200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREYQEAGGSSGGGSSADMGSCKDKVMAGAAGEEEDVDELLAALGYKVRSSDMADVAQKLEQLEMAMGMGGVSAPGAADDGFVSHLATDTVHYNPSDLSSWVESMLSELNAPLPPIPPAPPAARHASTSSTVTGGGGSGFFELPAAADSSSSTYALRPISLPVVATADPSAADSARDTKRMRTGGGSTSSSSSSSSSLGGGASRGSVVEAAPPATQGAAAANAPAVPVVVVDTQEAGIRLVHALLACAEAVQQENFAAAEALVKQIPTLAASQGGAMRKVAAYFGEALARRVYRFRPADSTLLDAAFADLLHAHFYESCPYLKFAHFTANQAILEAFAGCRRVHVVDFGIKQGMQWPALLQALALRPGGPPSFRLTGVGPPQPDETDALQQVGWKLAQFAHTIRVDFQYRGLVAATLADLEPFMLQPEGEADANEEPEVIAVNSVFELHRLLAQPGALEKVLGTVHAVRPRIVTVVEQEANHNSGSFLDRFTESLHYYSTMFDSLEGGSSGQAELSPPAAGGGGGTDQVMSEVYLGRQICNVVACEGAERTERHETLGQWRNRLGRAGFEPVHLGSNAYKQASTLLALFAGGDGYRVEEKEGCLTLGWHTRPLIATSAWRVAAA >ORGLA03G0290100.1 pep chromosome:AGI1.1:3:26264672:26266326:-1 gene:ORGLA03G0290100 transcript:ORGLA03G0290100.1 gene_biotype:protein_coding transcript_biotype:protein_coding EMEDELVSLSFFFPVLLLVARLVRQDREKSLRLPGYPVGWSRWLVKHITRFRKNLVHTKISCLPVVTKHSRYIHAMQGTKNHISGALSHLSAYYYTTFLESV >ORGLA03G0290000.1 pep chromosome:AGI1.1:3:26244628:26252882:-1 gene:ORGLA03G0290000 transcript:ORGLA03G0290000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPFSAPVDFNNENHRAGNELTRTNMPLSVGDYGLQNGDATTFAVNTDTLVRHQLQGASLQNDLTAEDSITRLMDPETKGLYFRSRSQEEEILLLRKQIADASVKELQLLSEKHILERKLFDLRMAVDEKQEDAISGALKQLSQKKGHVKENMRLANDLKGEEEELFFFTSSLLSMLAEYNVRPPQINVSAITAGTKRLYHQMQWKIKYLNDSLGEITQPGHIYNNPNHQQTTPLRHEPSSSYNTDATRNNFHQYAQDPNDRNTGQMYHGSNYHQEIVAATPSNYFEENNGPREVRLDDSQFYRQDNQEYSADDDPLPGIEGFQIVGEPRPGFTLTACGFPTNGTTLCNFQWVRYLDNGTRQSIEGATMYDYVVTADDVDTLLAVDCTPMDDNTRQGELVTEYANNGSKITCDPEMQNTIDMHISNGRAHFNLLVLGYSSDEWELAILTLKRTGYHIKVKDEVLTEEKYSSNLQTKIPNGRTTQFVLVSSGGVNIPFNTQGISEPNNEDSDVRLRDLIVLVLRTFQSKALDAKRKGKV >ORGLA03G0289900.1 pep chromosome:AGI1.1:3:26240519:26242965:1 gene:ORGLA03G0289900 transcript:ORGLA03G0289900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLASASWVVLPPVQARVAAAVAVGPTCRVLLAYTPLLSGSARRRVVGRPLAPPRCSALEDPGPSDGGEGNGRVVEEGEEEVVRRKEQPRRRQRRRPVWRRILFASKKTRSIIILNALTVIYASDIPVLKEVEALTDPAVFNMVRFVVSAIPFIPFAIRAIGDRHVRNSGLELGLWVSLAYLCQAIGLISSDAGRASFLTAFTVIVVPLIDGIFGATIPKLTWFGAIVSLLGIGLLECGGSPPCVGDVLNFLAAVFFGIHMLRTEQISRSTDKKKFLALLSFEVLVVAFSSVLWFLFKDSYVDVNDTSFESWTFGMFLDTATSFPWIPALYTGVFSTVLCMWAEMVAMGDVSATETAIVYGLEPVWGAAFAWFLLGERWDNAAWVGAALVLCGSLTVQLFGSAPEKSKKVKTRSRNTLETPLKRQDYLSLSAIPVDSRKNIGSRLERKDKTL >ORGLA03G0289800.1 pep chromosome:AGI1.1:3:26236668:26239028:-1 gene:ORGLA03G0289800 transcript:ORGLA03G0289800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGARAVGDNGGGCVGGGPPAPASLPPPPRHPSSPRRRTPASPIGLLPTRRLRCQYSTGSLPESAAGHAVATPRSPSRRPGADAADEGEVPGLSSAAAVWMGAQARSGCEGNAESMAVALSACPYAGDLALAKGEATHGCGVMKGVIHGYVFITNSLVCMYGKLREMDNAKKAFRDATEKNIVTWNTLITSYATAGLCDEALDVLAQMEQIGGTVAPNVVSWSAVISGFGKRKRERMREREE >ORGLA03G0289700.1 pep chromosome:AGI1.1:3:26234734:26235039:1 gene:ORGLA03G0289700 transcript:ORGLA03G0289700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRTALLLEYLDSGHDNGGRNGAVAGVVGHGVGAGGRGLHGYIACAVVLLASTPYAPLHVHGDELQHGVWTAKDDCRXRRAPAWDVDYEPTSNDDSVPNS >ORGLA03G0289600.1 pep chromosome:AGI1.1:3:26225021:26231979:-1 gene:ORGLA03G0289600 transcript:ORGLA03G0289600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRAQTWAGVGKTAQAAAAHAALFCFTLLLALKVDGRTAYSWWIIFIPLWLFHGIVARGRFSMPAPSLPHGRHWAPCHSIVAAPLLIAFELLLCIYLESLRVKSKPTVDLKIVFLPLLAFEVIILVDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSGDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHKSPNPGEASSSSAAIRYRDWESGLLLPSLEDHEQERLCGLPDIGGHVMKIPLVIFQVLLCMRLEGTPPSAQYIPIFALFSPLFILQGAGVLFSLARLLEKVVLLLRNGPVSPNYLTISSKVRDCFAFLHRGSRLLGWWSIDEGSKEEQARLFYTESTGYNTFCGYPPEVVRKMPKRDLAEEVWRLQAALGEQSEITKCTKQEFERLQNEKVLCRICYEGEICMVLLPCRHRTLCKTCSDKCKKCPICRVPIEERMPVYDV >ORGLA03G0289500.1 pep chromosome:AGI1.1:3:26204320:26205507:1 gene:ORGLA03G0289500 transcript:ORGLA03G0289500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFFDSPSPMDIPLYQQLQLTPPSPKPDHHHHHHSTFFYYHHHPPPSPSFPSFPSPAAATIASPVPAMHPFMDLELEPHGQQLAAAEEEDGAGRQGVDAGVPFGVDGAAAAAAAAAAAARKDRHSKISTAGGMRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNMSKAAIREIMSDDASSVCEEDGSSSLSVDGKQQQQHSNPADRGGGAGDHKGAAHGHSDGKKPAKPRRAAANPKPPRRLANAHPVPDKESRAKARERARERTKEKNRMRWVTLASAISVEAATAAAAAGEDKSPTSPSNNLNHSSSTNLVSTELEDGSSSTRHNGVGVSGGRMQEISAASEASDVIMAFANGGAYGDSGSYYLQQQHQQDQWELGGVVYANSRHYC >ORGLA03G0289400.1 pep chromosome:AGI1.1:3:26185010:26187414:1 gene:ORGLA03G0289400 transcript:ORGLA03G0289400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDPTKLPAPSLPPSSSSPRISPRSTPVSLTSATPSGVHRPPGCGRLDTVGEGSELLDPIPEVAPVEGFGSVAAFVAATEHFMVFIQETRAKAEEACRLAVLMQKAAAAAAAGGGSDVAVALEICKKAAAATAAVGGGSSAAAATSEVCKVTNVMHKEVAAPTDLIQEGATEEEAYQPQPPILIPAPIARDFGGNMRGLTQSTMLANDSDHMTLFEKKASVGQIGIEEMRGKAKDVSSEEGSSEEMEASDDDVSMVIGGDAQDPYDDSGIEELVQDQGALEKLPTKPTKLANPNSLLRIPKGITESPSPPISRGAVGASRLAESPLSTSGNGMVSRHGEVGCAVRALGTSSWEELAGCSDGRAATHRWGTSSLAGTTTAVRQGPCRSSNQPVLVKLLIFVPLRRLQLLL >ORGLA03G0289300.1 pep chromosome:AGI1.1:3:26183025:26183381:1 gene:ORGLA03G0289300 transcript:ORGLA03G0289300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGLEGVDPAVPDSGAADSPLLTSVMSTMRMTVVARAMVTIATSHARVDLAAPDSGAADPPPPSSAMMMTIMTTRASMTTLTMASRARADPSGTGLGRGRFANVGLRSCGDCCGDRVP >ORGLA03G0289200.1 pep chromosome:AGI1.1:3:26165661:26166935:1 gene:ORGLA03G0289200 transcript:ORGLA03G0289200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFEPSTWLRAAAENTYSKPEVAVDDRPAQADIWNAIQADVVDKSATTGAKKAAAKPYVHPLVRRSSSLMSQKSLEVCTESLGSETGSGDFTASLDDVDMASLFGAPAAPASKRADQAAEDSFWQQSAAPEEAWERKELAAVNYHCSGGTRSPPRSFPPPLPSMSSRDAPCLQMRPRRQDGRLIVDAVVVRPRGYLHARRQGGRLLLSFVDCSAREQSAASKAAVATEKAPYFPVVDAKHDQDEEVAAEVEEDDEVEEEEEEVEVVDRGTVVEVKVSTQPQTPTAAKVHRSTLVINKFVGSTPLTVADLQPRCNADAACAAAAAAEATTDAPAPALRRVPSSTSTTTLAAAVAVASTSTDGDDDDDEPHHPPGAAAPAADTKQLLLFTSRCRDKQELLQSVRQCRQLRQKPLFILEPYCIATS >ORGLA03G0289100.1 pep chromosome:AGI1.1:3:26147880:26148353:1 gene:ORGLA03G0289100 transcript:ORGLA03G0289100.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVSQLRKTLLGAKSKCGMKREEARLPPWKRVGEHTVEGTGAGGTLSATATTMWCQEQPEEKEAASVT >ORGLA03G0289000.1 pep chromosome:AGI1.1:3:26147415:26147756:1 gene:ORGLA03G0289000 transcript:ORGLA03G0289000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSAPAPVVATRFWVAATTSITISQHLTRHGVDLIVVMLHVTDAGSSPFGCSDPTPLLLSPSVYHRHRMLSSTGHLHGVLADTLRGYSAIATGSIYRIRLHGGSSNSLRSWQ >ORGLA03G0288900.1 pep chromosome:AGI1.1:3:26138569:26139556:1 gene:ORGLA03G0288900 transcript:ORGLA03G0288900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTKHGTNTERGRQVMLENRKGVCPYYRHGSTSSLLVELWVKDSTDHCINPDAHKHHTHMGIIRVRIERRLSQYNMG >ORGLA03G0288800.1 pep chromosome:AGI1.1:3:26133380:26137108:-1 gene:ORGLA03G0288800 transcript:ORGLA03G0288800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPAMIAAAAGGGGGWDGEEADAGAAVVEKALPNGDVYRGGFAGGAPHGKGKYVWADGCMYEGEWRRGKASGKGRFSWPSGATFEGEFRGGRIEGQGVFVGPDGATYRGAWAADRRHGVGAKSYANGDYYEGQWRRNLQDGHGRYVWANGNQYVGEWRAGVISGRGVLIWANGSRYDGVWENGVPRGTGVFTWPDGSRYVGSWPRSCVDMPAISGTFFPPVGAGGGGAVRKRSSVEGVGDKASAVPRICIWESEGEAGDITCDIVDALEASMLYREATTVGGGVPYVRTQRSTRRVASGGTCWAPSAAATPEGKRPGQTISKGHKNYELMLQLQLGIRHSVGKSAAVPMRELSPADFDPKEKFWTRFPPEGSKVTPPHSSSDFRWKDYCPMVFRHLRKLFSVDPADYMIAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKLLIRMLPSYYQHVSQYRDSLITRFYGVHCVKPLNGQKVRFIVMGNLFCSEYRIHRRFDLKGSSYGRTADKFDDEIDETTTLKDLDLNFVFRLQRTWFRDLHEQLRRDCEFLESEGIMDYSFLVGVHFCDDLSASKTGLSTFTASPKFSMKRESFQGGGGMPELCFSDSDFDRIPDCRKPLIRLGAHMPARAEQASRRSEFDPFLLTGGGFLAPNQTGEVYDVILYFGIIDILQDYDITKRLEHAYKSLQTDPNSISAVDPKLYSKRFQDFIGRIFVEDG >ORGLA03G0288700.1 pep chromosome:AGI1.1:3:26119947:26121479:1 gene:ORGLA03G0288700 transcript:ORGLA03G0288700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIPFESSNASVRAAWKNIVDCLLKLKRFKLLPPSVVDQDGGASAVSSSTERLGHRAKSESGVIFPSSHRGAGTSRHVSGMIGRFSQFLSLDAGGESLLFVGSEFENNIKIIQQCRIGSIFTESEKLSDESVQNLGRALIFAGGGKGQKFSTPVEEEETVGFCWDLIVLVSSANVHRFTTFWPXLHDCFAVVSQLPLFSPCPFVEKAIVALFRIAVRLLSGGGGVDRMAEELVFKFVAVMVNRRG >ORGLA03G0288600.1 pep chromosome:AGI1.1:3:26104398:26113335:1 gene:ORGLA03G0288600 transcript:ORGLA03G0288600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVPPXXXXXXXXXXXAATPAASSAVAGAPAVMPPPPPPLPPPPPRSNSAPPERRALRWAAGGGSGGGNSPPEGVKLNEIVGGGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIVLSRETERGAKVIGEDSLRRLSRPSTSSSSSAHSNGHHLPRKPIGEIHLKVSSVRESRSDDRRFSIFSGTKRLHLRAETREDRAAWVEALQATKEMFPRMSTSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAAIADSERIVRTEFEVLHKQLMLLKQKQTLLLDTLRQLETEKVDLENTLVDESQRQSKEYGSTSRSKNEKYSEGSASESDDYNEPQDPAEDETDDDENIYFDTRDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDTSMKSVGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRAYEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNNEYSCKLKFKEQSIIDRNPHQVQGVVQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSENFSEAHLLWKRSKPPKFPTRYNFTRFAITLNELTAGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKATDTYRYLGGYWESREKGSWEGCPDIFGQVPNDLMITD >ORGLA03G0288500.1 pep chromosome:AGI1.1:3:26088544:26093469:1 gene:ORGLA03G0288500 transcript:ORGLA03G0288500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDNFLQPPAAAAAPAAGGGKGLPVPATGDATNLTSSSSSTSSLTLSPPDFLRQVQAALKRHRPTGSMQSNQPRATRVLVSRGEGSTKAVANPSVAQNPEGKVMQQRRGPLGASRLRNAAPDQNKAVVSSQDELLLTTPLTLGTITDTHDQNGGQNHQPKSDTDLLVDRKKSSMEVSSSQMASANALVGEDFKKDLFYLTSDPQLTSQRDNFPVAQVADDQGKNHKEIGIASAAVEMDIKYDAANLSRRIDEACDQNHGEPMTRCSAMGSSVTAVSLYSGPTIQSKSAAQIDQYASPAQMPQCGRESSGVSGHGSQKLHGMAMNHADCNTNKQQVDTNGGMDKPVSSSAVCLPSQGLSGNDQSLSAKDDGAPRRSKVEKERRKKNYDPDVFFKVNGKLYQKLGKIGSGGSSEVHKVISAECTIYALKKIKLKGRDYPTAYGFCQEIEYLNKLKGKSNIIQLIDYEVTDKSLLQDDSLSPRDGRIKDDHYIYMVLEYGEIDLANMVAQEWKERNTSNMKIDENWLRFYWQQMLKAVNTIHEERIVHSDLKPANFLLVRGALKLIDFGIAKAIMNDTTNIQRDSQIGTLNYMSPEAFMCNEQDSGGNVIKCGRPSDIWSLGCILYQMVYGKTPFADYKNFWAKFKVVTDKNHKIKYEPVDNPWLIDLMQRCLAWDRNDRWRIPQLLEHPFLVPLVPRDLPSIDQDPCRLLMERVRVHWANPKLHSFIAELEKDQCRPATQM >ORGLA03G0288400.1 pep chromosome:AGI1.1:3:26084517:26088054:-1 gene:ORGLA03G0288400 transcript:ORGLA03G0288400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MASWEEQLRDELAGRDLAVASVPGKGRGLFAARSFFPGEVVISQEPYASTPNKISVGSNCDNCFASRNLRKCSVCRVAWYCGSACQREEWKLHQLECRAIAALTEDRKKMLTPTIRLMVRLVLRRKLQDDKAIPSSGTDNYNLVDALESHISEVDKNQLVLYAQMANLVQLILPSFELDLKEITHTFSKFACNAHTICDPELRPLGTGLYPVLSIINHSCVPNAVLIFEGQTAYVRALQPISKNEEVSISYIETAATTMKRQDDLKHYYFTCTCPRCVKDSEEDALLEGYRCNDQKCDGFLLPNAGNKGYTCQKCSTSRDGEELQKMASDVLLLSDKVSSLVSSGIDNSEVGSMYKTIEELERKLYHPLSITLLHTRETLLKIYMELQDWQTALMYCRLTIPVYERIYPPFHPMIGLQFYTCGKLEWLLEYTEDALMSLTRAADILRITHGTKSEFMKELLGKLEEVRAEASFRLSAGDEQ >ORGLA03G0288300.1 pep chromosome:AGI1.1:3:26080941:26083608:1 gene:ORGLA03G0288300 transcript:ORGLA03G0288300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVSLSLPLPCAVPASSLPPRAEPDASSSFHPPASSSSSPRGGRLALAAAQPGSGRRWVGRWRAGVSSFSFLAPFFAGNKEKENREKAERLKEELLAAIRPLDRGVDATAEDKERVEKIVQQLEEVNQVKEPLKSDLLNGKWELLYTTSESILQPQRPKFLRPFGTIYQAINTDTLRAQNMETWPYFNQVTANLVPLNSRRVAVRFDYFKIFNLISIKAPGSGKGELEITYLDEELRASRGDKGNLFILKMVDPAYRVPL >ORGLA03G0288200.1 pep chromosome:AGI1.1:3:26077349:26078939:1 gene:ORGLA03G0288200 transcript:ORGLA03G0288200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSMVSVPIATSSLPLSARGRSSSVSFPAPKKGGIGHGGLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRSRSRQILLDLNFDNKVTKDLSEEEVITLRKEVTKYMIEGDLKRFNRVAIERLKEIRCYRGIRHKLGLPVRGQRTKNNCRTLKGRRASVAKKKSPASQEE >ORGLA03G0288100.1 pep chromosome:AGI1.1:3:26073312:26075929:1 gene:ORGLA03G0288100 transcript:ORGLA03G0288100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPRRDIEAAGAGSGSGDDDSPAAKRGKPEAAGARPSLTRTEAAAAASVLALFLVGIFCVFRAAPRREFEQILRLPRSLADVRLLKDNLAVYARDYQANFILGYCSIYIFMQTFMIPGTIFMSLLAGALFGVVKGGILVVFTATAGASSCYFVSKLIGRPLISWLWPEKLRYFQSEIAKRKEKLLNYMLFLRITPTLPNTFINMASPIVDIPFHIFFAATLIGLIPASYITVKAGRALGDLRSVRELYDSKTLVILFLIGTVAVAPTILKRKRIYE >ORGLA03G0288000.1 pep chromosome:AGI1.1:3:26070514:26071440:1 gene:ORGLA03G0288000 transcript:ORGLA03G0288000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAQLACSDGLLLACRGILEEVSVYSPLTGFHATMPRYDELLTDTYFLHSVHGDEMNPTPNSFQVLAVEVEPEGELALQNYSSETGAWGPVIRPVNDKVLMPRVIHYPVAAIECQGAIHWLCGCWPSCDEPRRRCSLEGYDNITHSVAVDISTGHAWMTRQRIKQQDARSGNGSXWRLALLRREDACTKMSTWVHAEEDSGRGSCGSDGDDGEARWVLSRSFDVRKLVEHAGLAHFRPEHKDWADLEVRLEWFCRRSRCVVIWVPYLGLFVLDLENMQMQRAAGDSQTHVWPYEMDLTVCYSSLKQYH >ORGLA03G0287900.1 pep chromosome:AGI1.1:3:26068430:26069927:-1 gene:ORGLA03G0287900 transcript:ORGLA03G0287900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVESSSQSHETRGQGDAEEKHPQPAAATAAGGAGGGVEIRAAKRFKLSARFADSEGTEDEDEDEDEYKSWIVSIHNDQCRQYDPKQRRFNSYRTFVGHAATPELLKHLDEDATIGPERRPLDALTEQELVESPYVLHVKILESDIGFPINLFGTVLIREHLKCVYIFRRDRDDCQLIKSSGEILNLIAPYVGPTDESIDFEINLKIRGNMGESNDRIFSKGFTEAPETSNSGQTKRVLLSSWLSTLELAYTTAHFTVQVAIGINILKGSSNFLGIIKACGTKNEGDAVLYDSEVSGTRIALGDDGSIALSRNVVVLHVDEMLLLKFFVYDDDMISKSAPIILTLGHNDESFNIEQGSYKLRVKLDWTKINLLGNIN >ORGLA03G0287800.1 pep chromosome:AGI1.1:3:26065391:26065969:1 gene:ORGLA03G0287800 transcript:ORGLA03G0287800.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVIVTAYEEVPPAPRRRRPGSGRAGATSSSSTTTAEVTTWRQAKAAPAAYQAGVAAGARYRGSNRRALLLAYAQHLRRRDQRGASGERPRVLLEWGKWKTQGHPGAGAGGDAVRRRRSLYCRFRLWTRAFLRRVRRIGENALCKNKEISQPANVG >ORGLA03G0287700.1 pep chromosome:AGI1.1:3:26045465:26051002:-1 gene:ORGLA03G0287700 transcript:ORGLA03G0287700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWSRKQSSSSGRRGADASSMDSSSRGGGGEGSGSGSRGRSSRLERRNAVKHIDYEAAGAGAGASSVPACASWSSSMSADRSLGLRPSRSLDLAVGGGGTDIRISGSVEGEVDELCRSLGLSGPEEFAIPVAAWEARKERSNSDLLPRSRFVSSPPVDDPSPMARTISAPEVIQCDLPPSFPASIPEESLNSSSNSTATDSAEEPTAAALGQESPKAAPAVAAVAPLAGLPLLSPKRGGGEVGIRGARPPVLSPPQPLMALAPPPMRRSIVAKDMSGVSAWDIVNSFAPSEEKSEVRTDDERVDASHMSDTEEEEEFADEGVAGVDGELKELRIGETFEGFTGTSSLSTTNDDDASSTTTEAMFIISPNGKFKRKIKSWMRGALLGSGSFGMVYEGISDEGAFFAVKEVSLLDQGSNAQQSILALEQEIALLSQFEHENIVQYYGTDKEESKLYIFIELVTQGSLSSLYQKYKLRDSQVSAYTRQILNGLVYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEMSKINMLRSCKGSVYWMAPEVVNPKKTYGPQADIWSLGCTVLEMLTRNIPYPNVEWTNAFFMIGKGERPQIPSYLSKDAQDFISQCVQVDPEQRPSASQLMSHPFVNRPLRASFESASPPAISSY >ORGLA03G0287600.1 pep chromosome:AGI1.1:3:26036993:26042276:-1 gene:ORGLA03G0287600 transcript:ORGLA03G0287600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAQQQQQKQHGGVVRVDQASPASSFRELDDAFLQTQTKIWLGEVLHLRFDEAVIVADLLADGELLFQVSKVLWKRLVRMNKEQMKQSKVYIYERTSSGKSNGKYMPYPKVDSFLKICQILGLAGIDLFTPSDVVEKRNVRKVCMCIRLLSKKARTMRLTVPDFDIVTHTIAMPNYIVGGIRRSLEQPQCSSSGSSGYSPSANSKALNQQRVFGAENDQQCETHYDSDEAESKLSALEPEDSVSEDNISTLLKSGNMPKEEKEGYGDSEHGMHEEKSLSESVGSIDFGNMESDSVGSTPLFHKNESYCCIESPTDQCSRTRTIRCSLSSEESDSISSHLVVDSSKAKRTHGEHLEPLNGNGKRFANDPEKESDALQKVTFDQQCDLLACDGESVCSNCDSTPYSSLTPIDSACGKLPAVSEDDSACRGLELEFRCGNETDVSQKEDKQVKSEYKAENDSSAQMNENDVPKSGKGMLKSVAGGITLVGAVFFIAHLRRSKDRSFAGVIAPFSEKSVQGDSRAKKVEKTKAGAVYPGEWLKV >ORGLA03G0287500.1 pep chromosome:AGI1.1:3:26030751:26034726:-1 gene:ORGLA03G0287500 transcript:ORGLA03G0287500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSVLLIIASLLPFSASDRQGDALYDMKLKLNATGNQLSDWNQNQVNPCTWNSVICDNNYNVVQVTLASMGFTGVLSPRIGELQFLNVLSLPGNKITGGIPEQIGNLSSLTSLDLEDNLLVGPIPASLGQLSKLQILILSQNNLNGTIPDTVARISSLTDIRLAYNKLSGSIPGSLFQVARYNFSGNNLTCGANFLHPCASSISYQGSSHGSKVGIVLGTVVGAIGILIIGAVFIVCNGRRKSHLREVFVDVSGEDDRRIAFGQLKRFAWRELQLATDSFSEKNVLGQGGFGKVYKGALPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLREFKPGEPILDWSARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGQLGAIVDRNLSSNYDGQEVEMMIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQDYERMQQRFDWGEDSIFNQEAIELSAGR >ORGLA03G0287400.1 pep chromosome:AGI1.1:3:26025125:26030009:-1 gene:ORGLA03G0287400 transcript:ORGLA03G0287400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPAAHYLPGGGRRRLLVVVVALVVLDRAGARVRAADDDTGGLSRAAFPKGFVFGTATSAFQVEGMAASGGRGPSIWDPFVHTPGNIAGNGNADVTTDEYHRYKEDVDLLKSLNFDAYRFSISWSRIFPDGEGKVNTEGVAYYNNLIDYVIKQGLIPYVNLNHYDLPLALQKKYEGWLSPKIVGVFSDYAEFCFKTYGDRVKNWFTFNEPRIVAALGHDTGTDPPNRCTKCAAGGNSATEPYIVAHNIILSHATAVDRYRNKFQASQKGKIGIVLDFNWYEPLTNSTEDQAAAQRARDFHVGWFLDPLINGQYPKNMRDIVKERLPTFTPEQAKLVKGSADYFGINQYTANYMADQPAPQQAATSYSSDWHVSFIFQRNGVPIGQQANSNWLYIVPTGMYGAVNYIKEKYNNPTIIISENGMDQSGNLTREEFLHDTERIEFYKNYLTELKKAIDDGANVVAYFAWSLLDNFEWLSGYTSKFGIVYVDFTTLKRYPKDSANWFKNMLQASGPGSKSGSGTSDSQVGSATSASHPVGSAISSSHRLLLPLLVSLHFLFPSFFMFLSL >ORGLA03G0287300.1 pep chromosome:AGI1.1:3:26005191:26012319:1 gene:ORGLA03G0287300 transcript:ORGLA03G0287300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSFFPTCSSLKVEMEEMCREMDELRSEVEALTAECRAKAELAEGLKRAGAEQAARLREARAEAERQAREVAARDEEISSSGEARRELEARLAEKEQALRHLCAAHEGLRSSARERSDALESEKRELVAALEESEARRLEQEAAARSCGEEVARLRRLLSEKEKKCSEAEQRALAPKEVMMRDDMLLKMEDQKAAIEGKLKWKSEQFRHLEDALKKVQDEFRAAKKEWGSDRSMLVDQIGTLEVNLDSKTRMAEDFRSRLEMCSQALAHEEGRRKLLEAEMSELKHLYGNVVSDYEEARSTIESLTAKRDGEIASLRSSLAEKVTLLKEMEYGKARLEQENEDMRSSLKEHQEAQIGGADAVVSLKVLQQKFRALEQTHRNCIDKLRDKEAEWKTQMEKLGSELDGCLSQLDSKDTLIKQMQIELLSSYSSLEMQAVQNWEASVALVIVESKLYDSCSYFETIQLDMQKNCAQLEHNFAAARKQLEEDNCAIAQSQAERAQQVEVIATLHQRIEQLEHMEKEREEMQRQLDTYNLDNASRDVHCLKGESSEEEKGLHEKLQKALSDLDEAYSAVSERESELSQIEINLHKQKQAMEHLEELKLSMENELKGYMDENNVLKRDLIATTEIEKSLREEKEKLLGALNEANSALSEKNCELRQFEIILHQQKQALEHLEELRVNMETEIKGYIDEICVLKRDLDATHMAKIEAEKTYSDENEKLLCALDEVNCCLLDKKNELDQVTENLHQQMQAVEEFEKLRVSMETELGRYMDENSVLKSDLVSALNSKMDAEESLREEKDKLCSIIDERCRNIDELQQHIAVLEEENLDKKLDVAGLIKSEADRSIQEVNRKYSEIVEVFDKKLLELETRLSFFEQKYTCREQELMEMFDQEEADWYTLIAEKENAISEIQENVESAQVDIKHLVESAAEKLAEVQVEVRQLYCLAGNLNSLNLIQEHDNLFKDMLIEECERELKAVQVNLALEKQQSNNLKNDLEQLKAKATAEMLENAKEHLEVANKLRSLEERKEVLDEHVGELKSRTKNMCNAFVQERKYLFDELTGLVDTIGAAIHVDEDLMTSLTKIMHKVNNEEAFRNSISLVYRGSETDKIRPESPGLKNLWPVFFNYSFSVYMVTEFFLCIFICMIVAVLVADIRLANRHAGIVDKEFHIPLFCEKKIQTPPESACFIEFPDESLPHETLQASSSAVHLHGHHGRLRRFLAAGRSRAIDVLGHGGQRAHRPRRRWQVAAAVAAAAAAAAALAAAVVEALVRVAATGGELLRAARSPHGRRRGGEPGGEPHLAPGAPHHHRGRRDGWVWAAPLLDAGGGVNGGGDE >ORGLA03G0287200.1 pep chromosome:AGI1.1:3:25995136:25997513:-1 gene:ORGLA03G0287200 transcript:ORGLA03G0287200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATSSSSRAPRPKTEELDAALHAMGFEIERVSPAEVTGRLLVTPTCCQPFKVLHGGVSALIAEGLASMGAHMASGYSRVAGVQLSINHFRSAALGDTVLVRAAPLHVGRSTQVWAVKLWKLDPSTKEKGAQISESRVTLLCNLPVPESVKNAGEALKKYSKL >ORGLA03G0287100.1 pep chromosome:AGI1.1:3:25959790:25961574:1 gene:ORGLA03G0287100 transcript:ORGLA03G0287100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALPIVETQPGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKSKLELAQFAELQAFAQFASALDKTSQNQLARGRRLRELLKQSQANPLPVEEQISTIYIGTRGYLDSLEIGQIARTTVDINRTAFEGLIFGCPHPGRCGMQPVVGNEVAELTFCLLPADDDG >ORGLA03G0287000.1 pep chromosome:AGI1.1:3:25948782:25950977:-1 gene:ORGLA03G0287000 transcript:ORGLA03G0287000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDNNFGELSGMFCGLSYDGYTDHGSQSDYFRFAGPQPAIVPQMDAGPSSATSSTASRAAVSSGTDNPEDWEFISDESLNYISRMLMEEDIDEKVSMYQEESAALRAAAKPFYDILGHKFPPSPDRQLVAWPLDSPSESSTSSYPHSLASSVTSSNISGAVDSSQCRYVGHSEYRSLSGHSSQPPVGPSSDVRNAMETLEDPLISNGRIPEYLFESFPTWDFRRGVDEAQKFLPGSDKVVIDLEAGGVAKRQEAGKAISLNGSKAEVLKVKKNRQSEDLDVMEGRNSKQSAFCSDEPDWIEMFDVLLRQTEKKATDLRKMMRFEASKNSQVAQPKGPSGTRSRGRKPTKKDVVDLRTLLIHCAQAVAADDRRTANELLKQIRQHAKPNGDGSQRLAYCFADGLEARLAGTGSQLYHKLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSLTKNASKVHIIDFGIYFGFQWPCLIRRLFKREGGPPKLRITGIDVPQPGFRPTERIEETGQRLAEYAEKIGVPFEYQGIASKWETIRVEDLNIKKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLETTVPRDDAQRALIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQDIVLKAKDKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAISTWKPNNN >ORGLA03G0286900.1 pep chromosome:AGI1.1:3:25942442:25945895:-1 gene:ORGLA03G0286900 transcript:ORGLA03G0286900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WHRRHWRPQWWWRRRQRQHDLGVRFSGTISRRCDTVSAVGGANSGDGWSKARRRACGSSRERDGWAWHSCVAMAQIAPGPHVDMSTAVASPHSTCQRRSSSIGTXIDVSCDDRNSEVLVWTEAIASAWKHLREAGYDWTGRKVSFSFSLLFISGRGLLGASNPFLLSWAYSARTQAREQQRLNRRTGXSFSFLRRSGELGALFLV >ORGLA03G0286800.1 pep chromosome:AGI1.1:3:25939565:25940686:-1 gene:ORGLA03G0286800 transcript:ORGLA03G0286800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGYDDDRLRELKAFDDTKAGVKGLVDAGVTAVPRIFHHPPDPTPVASAADAADADAIPVIDLARADADRDRVVAQVRSAAESVGFFQVVNHGVPARLTDGMLAAVRRFNERPAAAKAAFYTRDAPRRRVRFNSNFDLFESPAANWRDTLFCQAAPDPPAPEELPADVRGVLPEYAAAARRLASSVLELLSSALGLETGRLGGMGCADGVSVVSNYYPPCPEPEATVGTARHSDPAFLTVLLQDGMGGLQALLGGRWVDVPPVAGALVVNVGDLLELVSNGRMRSVEHRVVANRSRDAARVSVAAFCNVDLGRESSRSGRLYGPIAELTAGGDPPRYRSTTVAEFLAHYDGKGLDGRPALHHFRLPAAASLD >ORGLA03G0286700.1 pep chromosome:AGI1.1:3:25923012:25923917:-1 gene:ORGLA03G0286700 transcript:ORGLA03G0286700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWMWSSDFMDALGWLLVLAWRVRADEADSLEVVGMLTQIGVNRSIYGTMIQDLKNMLKTYFLGNEGPMGAAIGE >ORGLA03G0286600.1 pep chromosome:AGI1.1:3:25911864:25912460:-1 gene:ORGLA03G0286600 transcript:ORGLA03G0286600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMGNINHLCPTEHYFNLTSWIIMHRIFEDRCSNKDQVGVCQSVIDHLTHKTYVGLKDLANLCKRKMIF >ORGLA03G0286500.1 pep chromosome:AGI1.1:3:25907557:25909636:1 gene:ORGLA03G0286500 transcript:ORGLA03G0286500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEVGKLKEEIQRLGQKQPDGSYKVTFGVIFNDDRCANIFEALVGTLRAAKKRKIVKYDGELLLQGVHDNVEITLLPPPAVAAA >ORGLA03G0286400.1 pep chromosome:AGI1.1:3:25901902:25905678:-1 gene:ORGLA03G0286400 transcript:ORGLA03G0286400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAREGEGEVRRDMWGQEYRTASGGCAAALDEHYAHTMSFGRGRGHAVLRAAAADPRCALASSLAAHHVAPRDPARAAAFLAAAADNLGAATEYERAVFRALSALIGEERDEQVAIERHFELLKEFPRDLMSLKRAQLICFYMGRPDTSLKFVEQVLPENQDQNYIYGMLAFPLLELGRMDDAEKAARKGLAINKNDCWSQHNLCHVFQQECHFKEATEFMKSCSPSWAACSSFMLTHNWWHVAVCYLEGEFPTSKVLEIYDHNFMTELEKSDCEAAEVYLNALGLLLRLHIRGQVDLAKDRLAALLDALTNEKIWHVEWLLDLLVLWALSSMGEITRADNLLESLRSRVSSMDTKKQQVMQKAIQLAEAVYEYGKGEHKKVFDILGPDFDALSCKMIGASDEQVDVFNEVWYTVLINTGESSRAIEVLEKQIRKREGAPFLWRLLEKAYSLHGRAADASVASEKANALQAAYSR >ORGLA03G0286300.1 pep chromosome:AGI1.1:3:25892795:25898662:1 gene:ORGLA03G0286300 transcript:ORGLA03G0286300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAREAFAAKLANVLVGMAKEEVETLLGVPGAIAKLETTLADLSSILADADRRRIDDPGVERWVRELKDAMYDADDILDRTTYAASSCLSAWASSLIWIDHAPSIVSIGHDLLFLSSSSADDQKVTTGTRITRKLQLHGLSRENFPSLVELTSADNPKLQRISNSPRLRHIVVIRCPGLKVVKDLQSLRSVIWKDLDADALPEYLRETELNKLDVYCSLRLLKLISLQDGSYEWEKIQHVQLLKAYGKRSTEDKVDRHIFYTKDPYSFEADMGEDL >ORGLA03G0286200.1 pep chromosome:AGI1.1:3:25866599:25868223:-1 gene:ORGLA03G0286200 transcript:ORGLA03G0286200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLDAFASSLARILAETAKEEVEALLGVPGEISRLEATLGDLRAVLSDAERARDRDAAVDRWVRELRDAMYDADDILDECQAAAGGEAATPVAMAGCCCCFRAVRVPALSCFRDPVRAREIGKRVRALNRRLDGIERRSSRFGFVSQTRIISSSPSPCCSRRADSGDGRRTAPGLIRSDVVGEKIAEDTRMLADILVSKTTDLDDAGGGCNLIPTIAVTGAGGIGKTTLARMVFGDATVQESFDARIWLFVGRDADEVTMLRSAIAHAAGAASCEGLAVAGDKALLERALQRAVTHRKVLLVMDDVWSDAAWNELLRVPLSHGAPGSPILVTTRNDGVAHRMKVRYLHRVDKLRRQDAWSLLKKQIVLNKSDEAELDELEDIGMQIVDRCDGLPLAIKMIGGLLVRAEQEVPGWRFLDILHGVNMK >ORGLA03G0286100.1 pep chromosome:AGI1.1:3:25857208:25863906:1 gene:ORGLA03G0286100 transcript:ORGLA03G0286100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:I1PER5] MAEDKGGLDAVLKESVDLENIPIEEVFQNLKCSRQGLTSEEAQLRLQLFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRNGSWTEEEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMFVEIIVMYPIQHRPYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFERGVTQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQIDMQILNLAHNKTQIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWRFVALLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESVAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFFWAAYKTNFFPRIFHVESLEKTAQDDYQKLASAVYLQVSTISQALIFVTRSRSWSFIERPGFLLVFAFFVAQLIATLIAVYANWAFTSIKGIGWGWAGIVWLYNLVFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAHRTLHGLQPPDAKPFPEKTGYSELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIHQSYTV >ORGLA03G0286000.1 pep chromosome:AGI1.1:3:25834682:25850932:1 gene:ORGLA03G0286000 transcript:ORGLA03G0286000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGNGRAYSITIGVCVMEKKVFCSPMEQILERLRAFGEFEIIIFGDKVILEDPIEIWPKCDCLIAFYSSGFPLKKAEAYAALRRPFLVNELEPQHLLHDRRKVYEHLEKYGIPVPNYALVNREYPYQELDYFIEQEDFVEVHGKRFMKPFVEKPVNGDDHRIMIYYPNSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMSRDVCSAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPSLPWKSNEPVQPTEGLTRQGSGIIGTFGQSEELRSVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRQLVPPTRSGRESDSDAEDLEHIEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIRVPKRCGDGEEERPIEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASIEMDEAKARLHEIIITNAKAKNTNEPVEFPWMVDGAGLPANASQLLPKMAKLTKEVTAQVKLLAEDEDEKLALTNSFSRYDQAKAFGKTTIDVARIAAGLPCGSESFLLMFARWKKLERDLYNERKDRFDITQIPDVYDSCKYDLLHNAHLNLEGLEELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVSDPKFTEDEATFLPTKESEYQQKLQTRNEDGRRSSSTSEKSLDQEDEDDRETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDECLQGEDSLVCQSALDRLHRTRELDYMSNIVLRMFENTEVPLEDEKRFRIEMTFSRGADLSPLEDKTSENTSLLQEHTLPIMGPERLQEVGSCLTLDKFEKMVRPFAMPPEDFPPAAAPQAFSGYFKGSGMLERLASLWPFHKGATNGK >ORGLA03G0285900.1 pep chromosome:AGI1.1:3:25815984:25816673:1 gene:ORGLA03G0285900 transcript:ORGLA03G0285900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:I1PER3] WRRASMPLSSSSSSSSWPWPGETTTWWWRSTVSAXKAPTATWCTVHLAVHKHDYSFAGFANRSGHWHVFPGDEDVLLDNARRLPFRNTYRDLISGLKNVPGLPLGRAASLRAIGALSSYDADAAGEEAMRRGVATLSMVLTQALRLRLVGETVSSRWESGEARVAAEHLPYIEHWYTMSFEVLRWRRTGRWDGPFTELLRRRAGEALAVVRVIANKSFVQLLRAHNHGA >ORGLA03G0285800.1 pep chromosome:AGI1.1:3:25809764:25814680:1 gene:ORGLA03G0285800 transcript:ORGLA03G0285800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCCVAPATTDEVGAPPRDHHHAAKKSPAPSATTTTATRQRHGQEPKPKPKPRARAKPNPYDWAPPRVLPARGGAAASAVRVLEGVVPHHPRLRVTDKYQLGRELGRGEFGVTHLATDRATRERLACKSIPKRRLRTAVDVADVRREVAIMASLPDHPALVRLRAAYEDADAVHLVMELCDGGELFDRIVARGRYTERAAAAAARTVAEVVRACHAHGVMHRDLKPENFLYAGKAEDAQLKAIDFGLSVFFRPGERFREIVGSPYYMAPEVLRRDYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARAILRGAADFDREPWPRISRAAKSLVRQMLDVDPRRRPTAQQVLDHPWLHHAARAPNVPLGDVVRARLKQFSLMNRLKKKAMRVIAEHLSVEEVEVIKDMFALMDTDNNGRVTLQELKDGLTKVGSKLAEPEMELLMEAADVDGNGYLDYGEFVAVTIHLQRLSNDNHLRTAFLFFDKDGSGYIDRAELADALADDSGHADDAVLDHILREVDTDKDGRISYEEFVAMMKSGTDWRKASRQYSRERFKTLSNSLIKDGSLTMAR >ORGLA03G0285700.1 pep chromosome:AGI1.1:3:25800555:25805315:1 gene:ORGLA03G0285700 transcript:ORGLA03G0285700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRSKPAVVALIDELERMRAPLAAALAEELSSRPAPSSSAAPPPPASSSAAGAADSSVVEDLLALIYFGTLFDLKPQTEFVATMVARAQERDCCITYDYVTEDAADLLHQSDLDKVSALAALAASRPAAAVGVSHRDALQSCAQHARLWLRRADEPIHPESSITYAAVRAKLDKIMASDYYTAQPEMPEMGAVVDLSAAVQESMVVSPEAPAVEESQAEGHKDEKEASEATEIYNDNQPNVADAQNVEDEAPVNTSEEFSAAEVEQEKFEADVEEQKRNADQQFTSRRPYQNQRGGGGRGGGRRGYQNGGRGGRGGRGMGGGGYQNGRGGGGGGGYQNGRGGGEGGGYYYNEPGYYQQRGYSNRGRGGRSGGGSSYYNNQGGGSQGGGHAHPGRVELGANA >ORGLA03G0285600.1 pep chromosome:AGI1.1:3:25792312:25793319:-1 gene:ORGLA03G0285600 transcript:ORGLA03G0285600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:I1PER0] MVQLSNATRMHATTTLSSPQLYTQFALDRVARSTTTIPLSKSKSSSHHPAAACRRRGRRKSSRSGLLLLLFFALAVYLLDLSAGRGGGRRLRGGGGSVAAAAARSAARQAGISGDDVFLIDLDGGEATLAAHRHDLSFAGFANRSGHWHVFRGDEGAIPNACRRRLPFRNTYRDLIGPSLPLGRAAAAEATEAIASYDADAAGEEEAAAAALRRGVAAPVGDARGSHMRLKPIGETRVERVAGERRGACVAAAEHLPYIEHWDTMSFEVLRXRRTGRWDGAVHRAAEEARRHPQRRRGARHCQRAGESHLGAADPGSLHHLMQNCNLSLFYCLLA >ORGLA03G0285500.1 pep chromosome:AGI1.1:3:25787839:25788898:-1 gene:ORGLA03G0285500 transcript:ORGLA03G0285500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFQAAFFLYFLLDLGGYRSDVLSVSDLEPPSDGLFVVGLTGGLDADELAAVALQGHDLSLAGFANRTRHWHAFRGREGLVPSAASVLPFGGDTYRDLIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGPRRRRAPAVHRALGHHVVRAPPLQAHREVGRPVHRAAEEGRRHRRRGGGGRRRRRADRSRPGGAAACSRHLITAACSACLLHRLTRGCSLNKNIVVCCDVVFCVFRSKCLELNLVSRL >ORGLA03G0285400.1 pep chromosome:AGI1.1:3:25785415:25785882:-1 gene:ORGLA03G0285400 transcript:ORGLA03G0285400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLLDFGSGAGALAVRVDTMFVAGFANRSGHWHALRGSDHLFHRGDHHHQRARPLLLRPIARAVLEASRMGRAPAGEQARIADEHLPYIEHWDAMWHELGRWRRRGEWGGPFTGVLRERANIGSAEEALAVVGWTFRQSAKAARRRLGGGDVPH >ORGLA03G0285300.1 pep chromosome:AGI1.1:3:25782459:25783193:-1 gene:ORGLA03G0285300 transcript:ORGLA03G0285300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:I1PEQ7] MANFQAALLLLLLRFLLNLAGHRGEVLSVSAAQQQDPPGDDLVFLKLRSGDGDGTVLAMHRHDISFAGFTNGSHHWHVFRGDEDAIPNARRLPFRNTYRDLIGGLHHVPGLPLGKAAAARAAGVLASYDPDAEEGTAAVKRAVAALSVMFTQALRLEPIRETVSSGWESGEARVAAEHLPYIEHWDTMSFEVLRWRRTGEWDGPFTEVLRRRAGIRSAGEALAIAKLLANRSFVQLLQDHSHSA >ORGLA03G0285200.1 pep chromosome:AGI1.1:3:25778384:25779109:-1 gene:ORGLA03G0285200 transcript:ORGLA03G0285200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:I1PEQ6] MAAALSFFLLLLLLPAPLLLLPLAGNLPAAVLGEVRVERDLILVDLQDYGSGVGTLAVRPDVFSVAGFANRTGHWHALRGNDHLFRGDLVATPLPFGSSYGDLVGGVNNLLGLPLGSPFTSYATVVLSGYDGGGGGEAGAGDAGGGDLRGAAAPPDPGDHPDAGARGARRRRAPAVHRALGRHVGGAEAVEADRRVGRRAVRRRAEGARQHRQRGGGARRRRVDVPAAAAGRRLHSGDVPC >ORGLA03G0285100.1 pep chromosome:AGI1.1:3:25769517:25773621:-1 gene:ORGLA03G0285100 transcript:ORGLA03G0285100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHLALRSSVGSHSSALPPSYHHHRRLPPPQQQHPDPLNSVWIRRLHLLPNQLPPPPPPPPLPQPQHHHDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSGGGGGGGGTGPPMLSPFFRLPAPSPSPPVTDFGEFSPTMPLFEVGSSSGSGGFPGPSSRMIPGGSSSPFAMGVAAAAYPSHAVDMVPIRTLQDIHDRQQSVIPRNFAMRSPSSGSQHDGFSYWNMGRFRRNTTTSLVSPTGVTPSSFGKKRNADSSNFLPLKFRKMSGAT >ORGLA03G0285000.1 pep chromosome:AGI1.1:3:25754355:25758790:-1 gene:ORGLA03G0285000 transcript:ORGLA03G0285000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRANCALVLVLALALLAARDAGAAAVPKPNWLGGLNRAAFPKRFVFGTATSAYQVEGMAASGGRGPSIWDAFAHTPGNVAGNQNGDVATDQYHRYKEDVNLMKSLNFDAYRFSISWSRIFPDGEGRVNQEGVAYYNNLINYLLQKGITPYVNLYHYDLPLALEKKYGGWLNAKMADLFTEYADFCFKTFGNRVKHWFTFNEPRIVALLGYDQGTNPPKRCTKCAAGGNSATEPYIVAHNFLLSHAAAVARYRTKYQAAQQGKVGIVLDFNWYEALSNSTEDQAAAQRARDFHIGWYLDPLINGHYPQIMQDLVKDRLPKFTPEQARLVKGSADYIGINQYTASYMKGQQLMQQTPTSYSADWQVTYVFAKNGKPIGPQANSNWLYIVPWGMYGCVNYIKQKYGNPTVVITENGMDQPANLSRDQYLRDTTRVHFYRSYLTQLKKAIDEGANVAGYFAWSLLDNFEWLSGYTSKFGIVYVDFNTLERHPKASAYWFRDMLKH >ORGLA03G0284900.1 pep chromosome:AGI1.1:3:25753103:25753756:-1 gene:ORGLA03G0284900 transcript:ORGLA03G0284900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVKIVVLAAAVSAAVALSFFYRFRCVHLPTPYVAGGGRRLIVVSNQQEVGEEMDLADGNVQLLCHGYGEHDHSRSVWSARADIPGGAAARLSLPAVRGDEVFEVLCSYRGANRCWAHGVRVFANPGHDNLFCSEHAGGCEVRFRKDGGVEKQYGSTSTPPIFMGFVPDFDNARDGACASSSCVGRTINRVIGQESCCDDSCGGWEKASPKKSS >ORGLA03G0284800.1 pep chromosome:AGI1.1:3:25751488:25752801:1 gene:ORGLA03G0284800 transcript:ORGLA03G0284800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGQETDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREILHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKTLEMGAVETLIVWENLDVNRYVLKNSATGETAIKHLNKEQEADQSNFRDTSTNAELEVQDKTSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDEGVYEDSD >ORGLA03G0284700.1 pep chromosome:AGI1.1:3:25743089:25747279:1 gene:ORGLA03G0284700 transcript:ORGLA03G0284700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRQLVLTYLYLLIYVCLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGVVTFFLVRVFKVVAPVKMTFQIYATCVIPISAFFASSLWFGNTAYLYISVAFIQMLKALMPVATFIMAVLCGTDKLRWDLFLNMVLVSVGVVVSSYGEIHFNIIGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFIFLFVPWFLLEKPEMDVSQIQFNYWIFFFNAVAAFALNISIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESIITSLNIIGYAVALSGVVMYNYLKMKDVRANQLPADNAPDRATKDKKISNIYKPDNFMDSNDETTVGSLASEGAGVDEEAPLIPSSRLSYVTRTQTGSFNSR >ORGLA03G0284600.1 pep chromosome:AGI1.1:3:25734985:25735584:-1 gene:ORGLA03G0284600 transcript:ORGLA03G0284600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHHHHHHHSHQIKAPAPTWLIVKATPPPRDGAKKLAAAAYSPLLLSPSVWQKAQDAKKGKADGGDGALPASPRITCMGQVKGRPRRCSGARRGDRPAARAGSSGLLERLTLGLFGRRRRGRTSSRACSKVRDVPTCSSAQSRGKIYCGRGGAAAVCTLDPPLPVVIRRPAADDEAPTLWERRRGGGGKALETLRLT >ORGLA03G0284500.1 pep chromosome:AGI1.1:3:25729299:25730717:1 gene:ORGLA03G0284500 transcript:ORGLA03G0284500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PEP9] MDDAHSSQSPLHVVIFPWLAFGHLLPCLDLAERLAARGHRVSFVSTPRNLARLPPVRPELAELVDLVALPLPRVDGLPDGAEATSDVPFDKFELHRKAFDGLAAPFSAFLDTACAGGRRPDWVLADLMHHWVALASQERGVPCAMILPCSAAVVASSAPPTESSADQREAIVRSMGTAAPSFEAKRATEEFATEGASGVSIMTRYSLTLQRSKLVAMRSCPELEPGAFTILTRFYGKPVVPFGLLPPRPDGARGVSKNGKHDAIMQWLDAQPAKSVVYVALGSEAPMSADLLRELAHGLDLAGTRFLWAMRKPAGVDADSVLPAGFLGRTGERGLVTTRWAPQVSILAHAAVCAFLTHCGWGSVVEGLQFGHPLIMLPILGDQGPNARILEGRKLGVAVPRNDEDGSFDRGGVAGAVRAVVVEEEGKTFFANARKLQEIVADREREERCIDEFVQHLTSWNELKNNSDGQYP >ORGLA03G0284400.1 pep chromosome:AGI1.1:3:25713806:25715280:-1 gene:ORGLA03G0284400 transcript:ORGLA03G0284400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PEP8] MDSGYSSSYAAAAGMHVVICPWLAFGHLLPCLDLAQRLASRGHRVSFVSTPRNISRLPPVRPALAPLVAFVALPLPRVEGLPDGAESTNDVPHDRPDMVELHRRAFDGLAAPFSEFLGTACADWVIVDVFHHWAAAAALEHKVPCAMMLLGSAHMIASIADRRLERAETESPAAAGQGRPAAAPTFEVARMKLIRTKGSSGMSLAERFSLTLSRSSLVVVRSCVEFEPETVPLLSTLRGNPLAFLGLMPPSHEVRREDSEDATVRWLDAQPAKSVVYVALGSEVPLGVEKVHELALGLELAGTRFLWALRKPTGVSDADLLPAGFEERTRGRGVVATRWVPQMSILAHAAVGAFLTHCGWNSTIEGLMFGHPLIMLPIFGDQGPNARLIEAKNAGLQVARNDGDGSFDREGVAAAIRAVAVEEESSKVFQAKAKKLQEIVADMACHERYIDGFIQQLRSYKD >ORGLA03G0284300.1 pep chromosome:AGI1.1:3:25709068:25709553:-1 gene:ORGLA03G0284300 transcript:ORGLA03G0284300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTKNSDTGIDKNCFIRAYQAVMVPKCGYIRKAVAAARGGITTTVEFDLSTLPGGVDAFDKAARDCYGANFQLSVRNAAALLCASAFLDMQPPLARWPDASTRPRSWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA03G0284200.1 pep chromosome:AGI1.1:3:25704487:25707903:-1 gene:ORGLA03G0284200 transcript:ORGLA03G0284200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein-protein interaction regulator family protein [Source:Projected from Arabidopsis thaliana (AT1G15200) TAIR;Acc:AT1G15200] FPRPAVDLVDQSAPKRRILSAVVKMEVEDTEAKEDVKKEAEAEGPEGGSAAAEGGERRDGGFRRDGSQRMPRRELDMSLPEPLPREFPKDEDQSLVKRNKRMLGKLLVGTLEKFQQEDKKLSNTEAYMRRSEVQRKADQKAREESERLRQQEREQAIEKRKRDMMLRARVAAKAEEKRLELLYMQWAEHHKKLSNFLRTTAEPPIYYMPAKPIIDDPAIAEENKEKAFQEWKSERRAELTQFQKQVEEQYMSNVERQLERMQNARNARRVNGPSNMQEMDKELDTHRAEHGPKTRRVLEGGNDDDDDMDDMAVEDELMDEVLGINEPISDEQTKPSEEAADGVPVSEEVQ >ORGLA03G0284100.1 pep chromosome:AGI1.1:3:25698845:25703977:1 gene:ORGLA03G0284100 transcript:ORGLA03G0284100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLSLRILHFFFLVGITSASSCSSHILKSTLKSAGNTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGTFKGVDGSVYKGSWRMNKKHGMGTMVYSNSDTYEGFWNEGLPDEFGKYTWADGNVYIGRWKSGKMNGSGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGTFYQPGSKIPCNLEVSDCLTSHDGTSASSSSNEKITIGLLFLLQKLCKNWRLRRFLHRPRRISNGTTPVFDDNSGSHLCQDVSSKSFSADDQCLQDSEVDKDSVYEREYVQGVLIMEQPKNEDSRMSESGIAQENNWEKQAKGPMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKPGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHVDKPWREALFRQIALDCMFLESQSIIDYSMLLGIHFRAPNHLKSITSCQNALESTGISAETECSVALHHEETISSKGFLLVAADEPGPAVRGSHIRGSMVRAAEGGYEEVDLVLPGTGRFRVQLGVNMPARARKVQEDVNVEVENRDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYSRRFISFLEKVFPEQD >ORGLA03G0284000.1 pep chromosome:AGI1.1:3:25688112:25691353:-1 gene:ORGLA03G0284000 transcript:ORGLA03G0284000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCIEPLWPTDELLIKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAMVMTVAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEQLDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGGTLGLEECALWMPSRSGSSLQLSHTLRHQITVGSTVSINLPVVNQVFSSNRAIIIPHTSPLARIRPLAGRYVPPEVAAVRVPLLHLSNFQINDWPELSAKSYAIMVLMLPSDSARKWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSLELEIKAFNLHAVFKEVMSFIKPIAAIKRLSVSVMLAPDLPLCAIGDEKRLMQTILNISGNAVKFTKEGHITLVASVVKADSLREFRTPDFHPTASDDNFYLKVQLQIKDTGCGISPQDLPQVFTKFAQSQPGGNRGYSGSGLGLAICKRFVTLMGGHIWLDSEGTGRGCTVTFVIQLGICDNTNAYQQKLIPLVWPSSGDADFVGPVPNAPNEEKGQASLKSRYQRSI >ORGLA03G0283900.1 pep chromosome:AGI1.1:3:25683110:25685324:-1 gene:ORGLA03G0283900 transcript:ORGLA03G0283900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKIPLEVAHTLVEIAEVARYAIEHRRGHGPAHDGVSPPAVDGEEAERLRAENVILRARLADDLSILRELQGEPCVSQECPADLHNRLVAAVNNASFLAQLEKIRDESRHQQTELSPDNMTELDIADIPYTEGGGKNGSWVLVACDKPGANMEEISGIDNENYVLVNDDDIIDGMTSFIARCILEDPKSKSISPVELQKAVAMALSTLNDKWKWMSIWEAGKVLYILATWGITIVGLYRSRHVLKIAAKGAVVSAKFVMKAL >ORGLA03G0283800.1 pep chromosome:AGI1.1:3:25681943:25682437:-1 gene:ORGLA03G0283800 transcript:ORGLA03G0283800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSQKKKRPSGPNPSIKSKASSSDQKPKPSKPTEEREEKAAAAVAAEKPKKKKATNEIDEIFQATKSSGKKRKQQQQQQQQGEEESVRAKKPKERSEGAKKSNKAKKGSKGRDTDDDDEVEEKRPRRRTADGLAIYSADELGFGKSDAGGTPLCPFDCDCCF >ORGLA03G0283700.1 pep chromosome:AGI1.1:3:25678560:25679399:-1 gene:ORGLA03G0283700 transcript:ORGLA03G0283700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALLRRARWALVDHPAVASFRWEPGRTPASTPSFAAAVICAYLATVFLLHRRVVPLPSPHPRALRAVSALHSAVLLALSAAMAAGCVLSVAATAPSAWWAFCFPPGGATAASGPVFFWAHVFYLSKVYELGDTLLILLGRRPLTLLHVYHHAAVIAMCYLWLATRQSLMPIALATNAAVHVAMYGYYLCCSLGLRWPPRWKRAVTELQIAQFLFSFAASAVMLWRHFAAGGCEGMAGWAFNAVFNASLLALFLDFHGAAYAAAKGKKSRSEVVKEE >ORGLA03G0283600.1 pep chromosome:AGI1.1:3:25676490:25676660:1 gene:ORGLA03G0283600 transcript:ORGLA03G0283600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLEVAITIIFDALLLVFMVKLFFAMFQMKLVVILFYLVILLFAMAFSGRAPSSF >ORGLA03G0283500.1 pep chromosome:AGI1.1:3:25664726:25666724:1 gene:ORGLA03G0283500 transcript:ORGLA03G0283500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRALPAPVHAAVLLLRRAPFASSSSSSSGAASSGGGLQLACRRRRAGVARGGGGKGDGGASGGAEFFGEDGVVEDMDGYLNYLSLEYDSVWDTKPSWCQPWTILLSGAVAIAGSWLPIHSAVITGGVSFVICAWWYIFLYSYPKAYTEMIAERRRKVASGAEDTYGMEKSQ >ORGLA03G0283400.1 pep chromosome:AGI1.1:3:25661424:25664120:-1 gene:ORGLA03G0283400 transcript:ORGLA03G0283400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPDNMVSEQSIHGIPIREMQWTTHGGSDDCWGQDLSHGGDSVGGARQVFDEMPSRLGSAAGVALHVQVNHLIYPVSTNVMHQVFNPYGAVAVQMLVVDAWRVEAIVWFRTTCDTEWAXAELHGRNIYDGGCVLDVQHVPTLLEDRADIAPTKCSMQVLGCATTKSDTQSTPTTLEHVFPATMSPSAASTKSAVTTMSASLTEAMEAEASMDKVVENAGKAIQDLCTRIDRILEAFRDTKVDLSENKDSTRDVAVLSANTSPTTIALEVSAEAGPTNHVDSAKLGMGTTIECSMKGKNQLVDDDGKDMANDERTELIEVDTKFTSVNLCFRDPWLALNAIPSRILIGCLSHDLGVNSLSLVPSTLEVPYYCFVLGLVCRVSSPPVPLWRVAVPLYSDQVYSGSRPSPWPDPWLHSGSGSVVVFXPLQPWPPPLQAKTKGSIVERQLKLWHDPQIKQDNKGVVVNLLQPRLSPDKWNESWFSCDNAWELAQSHCKFLLTEHMALIAQYEKNRFEQDLSLCMVSKRASWNLXNLLTEGSISLMEAKAQLFRRMHWVGSKTMDQFVWNLCMPNMEKSPWPPPPHKIRTDLLWLNSHEVSSLQFNAEFWRLLARINLFDCHSGQEDMQLFQAGAKQYSPLVVRMALADHLQAPWDPGGSNLVTLLHVRKDRQQPPPRPLQIVFPIGLSGVKVWLLFALTLVQFLGSVTTYNAKCWRFSPDSVSIQGSKESNFRVAILMCRSSDRQFASSNIALNIQTSSSCHVLQCGQVLNSCQVPSSFQLKLTASCLLGKHSLRRKGIGSVPTDKRTNLQVLLHQLMYKKSYQMYETRAQVLKLTRPWNLGILLLNNSLMAIYSRSCCIDLGTSRFLRREEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA03G0283300.1 pep chromosome:AGI1.1:3:25650873:25653734:1 gene:ORGLA03G0283300 transcript:ORGLA03G0283300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G32150) TAIR;Acc:AT2G32150] MASTAAASSPFDCVLFDLDDTLYPGSAGIGLATKRNIDEFLMARCGVTAERAAALRVELFRSYGSSLAGLIALGYDVHPDEYHSYVHGRLPYDRIAADPQLAGLLRSIPQRKILFTNSDRAHMRKALQRLGVDEGCFDAVVCFETMNPHLFGEAPCASGDDRPGVILKPSPDAIVAALRIAGTNPHRTLFLDDSERNIAAGKALGLLTALVGKRVRSKEADYALESIGSLRRAIPEIWGGVAVAVAGEQLDHGAAEKTKGMRSDLDSIIQPTSVLA >ORGLA03G0283200.1 pep chromosome:AGI1.1:3:25647017:25649643:-1 gene:ORGLA03G0283200 transcript:ORGLA03G0283200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XREYFPHGKYGENTVCMGFALRLSLHLAGLKVKLGQSPTGLLFTQSELRKSRFEKVRARNLSCLMLPEPIDLPKTNAQKLSIHPPIRHAEPPIRLSKIQSKANPLCECATATHPHSGEPMDVLKRELQRKRQLLDADFGGRKLLRRAEIERSEMERIREAERRLLLQKQLRSSSSHHRAASRSTTTPGAPDGDESSRAEHRGDGEEKEGAPLLPREEVIRRLRVLRQPATLFGEDDAARLRRLHAVLEDPAALADVEAAEIGEGQTNDFLRDIQALRAKAVAASASAAAKPKAGAATAESGGETREEVPFEELCDEDKIMTFFKRLMSEWSQEMDEMPEAERQTAKGKAAVATCKQCARYLEPLFKLCKKKALPEDVRGSLLEVVRCCMRRDYLAAVDNYIKLAIGNSPWPIGVTMVGIHERSAREKIYANSVAHIMNDETTRKYLQSVKRLMTFCQRKYPTDPSRSVEFNSLANGSDLQSLLAEQNAKNSEETLRLVAAS >ORGLA03G0283100.1 pep chromosome:AGI1.1:3:25636422:25644803:1 gene:ORGLA03G0283100 transcript:ORGLA03G0283100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAEGDAAAAAAVLGADPAGLTALLGDLTSPANEARSRAEGMFHALRASHPDALALRLAHLLLSPAHPSAPMAAVLLRRLISPGSQAFVYPGLSPATQSSLRALLLSAASATGLSKSISKKLSDAVAELASFLLPSNAWPDLLTFLYKSIASPSSPPALQESALNILARLASHLAAGFPNLHALLLSALSHPSSADVRVAGLNAAISLIQSLPSAADRDQFQDLLPAMMRALAESLNCGNEGSAQEALEMMIELAGAEPRFLRRQLPDVVGSMLQIAEAPGLEDGTRHLAVEFVVTLAEARERAPGMMRKLPRYVGRLFAVLMTMLLDVQDEPAWHAAVSEEEDAGETGSYVFAQECLDRLAIAVGGNTILPVAAELLPSFFASEEWKRRHAALVTIAQIAEGCARVMIKNLEQVVGMVLNSFRNPHPRVRWAAINAIGQLSTDLGPELQNKLHHVVLPALASSMDDFQNPRVQAHAASAILNFSENCRPDILTPYLDGIVGKLLSLLQTGNQMVQEGALTALASAADSSQEHFQKYYDAVMPYLKSILMNATDKSNRMLRAKSMECISLVGMAVGKQKFKDDAKQVMEVLMTLQGSQMEADDPITSYMLQAWARLCKCLGQDFLPYMNVVMPPLLQSAQLKPDVSVTSAGPEDENGESDDEGVETITLGDKRIGIRTSLLEEKATACNMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKSQSQGRDESYLKQLSDYIVPALVEAIHKEPDTQICASMLESLNESIQLSGTLLEEGQVRSIVDGIKEVITASALRRRERTDRAKAEDFDSEEEDLLREENEQEDEIFDQIGDCLGTLVKTFKTYFLPFFDELSVYLTPMLAKDKTVEERRIAICIFDDVAEHCREAAVRYYDTYLPSLLEACTSENPDIRQAAVYGIGICAEFGGSAFRPHTGEALSRLYNVIKHPNALDLDNAMAYDNAVSALGKICQFHRDGIDASQVVPAWLSCLPIKNDLIEAKIVHEQLCTMLEKSDRELLGHNNQYLPKIVSIFAEILCAGKDLATEQTFSKMVNLLRQLQTTLPPSVLASTWSSLQPQQQLALQSVLSS >ORGLA03G0283000.1 pep chromosome:AGI1.1:3:25624811:25631464:1 gene:ORGLA03G0283000 transcript:ORGLA03G0283000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRGIESLATGDGRHHLSRTLGPVLLISMGYIDLGKWVATIDAGSRFGYDLVILVLLFNLSAILCQYLSMCIGMVTGKNLAEICREEYSPSICVILGIQAGLSLLTAELTMLSGISVGFNLVFEYDDPIAGLYFASVVVNLLPYTMSYLGKRMAGTLNACVAGFALLCFVLGLLVSQPKIPVDMNAMFPKLSGESAYSLMALLGGNVIAHNFYVHSSVVQGQRQSTTLSLGALFHDHLFSILFIFTGVFLVNYVLMGSAAVESNNTLVTFQDSVDLMNQMFMNPMAPIVFLVILIFSSHVISLTSIIGSHAILKNFFGVNLPHSAHHLLLKAVAMVPTMYYAKVAGSEGIYQLLIICPVIQAMFLPSSVIPVFRVSSSRVIMSRYKISLYVEILAILAFLLLLFTNIIFAAEILFGDSTWTNNLKGNTGSPVVLPHAIVVLISCASITFTLFLAVTPLKSXXXXXXXXXXXXXXXXXXXXXXXXXXXSNEPETQELSEHSQREDPDTTYQIEVSNERETQQLSEHSQIEDPDTFYHREELSLVEQKEDHTTSTINAIPRISSESYQTSALEHNDFPDITVESGHGTQQLTAFVPIIPEVSSSIKHKEPKSVVIDQTEPVPKVCTATVVEHNTAENIKMKSTTSKHVQEEAGASMDYDTEASYNAEVSKSSGNKAPPISDDPTSLTLSKGRDSDAGYRGSNLSRLPGLGRAARRQLAAILDEFWGHLFDYHGKLTQEANARRFNFLLGPYPKAVRSDNQAIEASGSPLMRDAIRGSATIQKSWDSRAKEVSSPGFNFGLQMGRIGSSNWSESMRLSNADIPRPTSTLFEQNTQFYSNYNVPSYPDNQFYQPATIHGYHLATSLKSMNASHSTHSSISLDPRRLPRSSESAGSNYADSARYARNQDVIGSQGSASQNTTMSCLDTMTVERAFYNPASVNEIEGVGSSAYSKKYHSSPDISALIAASRNYLPNEVNLGGAAGSSSYFSNLACERSQYVNLGSSSTAQFALSKHSQPNFHRDTSSMQSSVNPSTESIWAQQPFEQLLGVSRAELNKGEGNTDQRSSGVTKHDFSNKEYEVKLLQSLRFCIMKLLKLEGSGWLFEQNGGCDEKLIDQVAVAERVSQHTTENQLSADLQLHSSDEDLQPLQRNDNRDANCMSLLPKCGDDCVWQAPLIVSFGVWCIRQILNLCLVESRPELWGKYTYVLNRLQGILDPAFSKPQKPMKGCVCLQKVAKPISGTFTTAGMILEMIKDVEQAISSRKGRSGTVAGDVAFPKGKENLASVLKRYKRRLSNKTSAGQ >ORGLA03G0282900.1 pep chromosome:AGI1.1:3:25614173:25620027:1 gene:ORGLA03G0282900 transcript:ORGLA03G0282900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:I1PEN3] MQVQGFFDRLTGRNKEAWKEGRIRGTAVLVKKDVLGLGDFHASLLDGVHNILGHKEGVAFRLVSATARDPSNGGRGKLGKPAHLEELVVTMKSTAAGESVFRVAFEWDESQGIPGAVVVTNSNRSEFFLKTLTLDGVPGKGTVVFVANSWIYPADNYQYERVFFANDTYLPSKMPAPLIPYRQEELDILRGDGKIGPYKEHDRIYRYDYYNDLGQPDKGSKLVRPVLGGSQELPYPRRGRTGRAPTKTDPNTESRLPLLDLNIYVPRDERFGHLKMSDFLGYSLKAIVEGVLPIIRTYVDTTPKEFDSFQDIMELYEGGLKVANASALAEIKKRVPFELIKSLLPVAGDQVLKLPLPHVIKEDKFAWRTDEEFAREMLAGVNPVMIKRLTNFPAKSTLDPNVYGDHTSKITEAHIKHNMEGLTVQNALKGNRLFILDHHDHFMPFLDKINKLDGNFIYASRTILLLKDDGTLKPLAIELSLPHPDGQQHGAVSKVYTPANTGVESQIWQLAKAYASVNDSAWHQLISHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDTMNINALARQTLINADGIFEKTVFPGKYALEMSSVVYKNWKFTEQALPVDLVKRGVAVPDPTSPYNVRLLIKDYPYAVDGLVIWWAIERWVGEYLAIYYPNDGVLRGDEELQAWWKEVREVGHGDLKDQDWWPKMDTVQELTRACTIIIWIASALHAAVNFGQYPYAGFLPNRPTVSRRPMPEPGTEEYAKLERGGDEADLVFIHTITSQFQTILGISLIEILSKHSSDEVYLGQRDTPEWTSDAKALDAFKRFGSRLVDIENRIKDMNGNSALKNRNGPVKMPYMLLYPNTSDVTKEKGQGLTAMGIPNSISI >ORGLA03G0282800.1 pep chromosome:AGI1.1:3:25596000:25604565:-1 gene:ORGLA03G0282800 transcript:ORGLA03G0282800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRSLDGLVAFLTCLFPYLPEVEALRYLDAAGADALVAARLIVNRRGMEQSFVVDSGATVITAEIALRVEARLAGDLKMLITPNKSRVKALLDNTMMNHATVKDDEILHLKQSWELAESRLDHHNTKPNWQNHGSDSQNLCSYVQSLNQFSLMPGRPRSECSSQRSAASTCRRWRGCRPTSCALGITAACSRPATATARWTPSPTSSSTPSGTIRRPSRRASRVHCRXSAPNAXCESWPDLSMASCPSCALVTRTAHLTKRWWLQMANADLRIVDPALGYMSNKITRTDNISMSFCCNLPLQHGDRLCSDDVTLISLLFRKRHFELRHQQQPEPKRLCNDAYIALCHRRFKFWLHHDLVCKNVEVALATFNLDKVHKYRLHFICGVNECVSGLEYGPVRSNSPWRIYKYNHSHINFLAICDDPQSANDPATLFFAECSNYSVHEESWCIPVVSPHRDTELVRCIYCESKGTRIVHPGEKSFHGRDTEFEKVMRGERLFPGLQRGSHSNIRLAERIDADWVDNLEEDCIYITACAADNDRRVNPLNYPPMYRERVLPCCEAASDRS >ORGLA03G0282700.1 pep chromosome:AGI1.1:3:25591239:25595627:1 gene:ORGLA03G0282700 transcript:ORGLA03G0282700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:I1PEN1] MLGGIIDTITGSSKQSRLKGTVVLMRKNVLDLNDFGATVIDGLGEFLGKGVTCQLISSTAVDPNNGNRGKVGAEASLEQWLTSSLPSLTTGESRFGVTFDWDVDKLGVPGAIIVKNHHSNEFFLKTITLDDVPGRAGAVVFLANSWVYPADKYRYDRVFFANDAYLPSQMPAALKPYRDDELRNLRGDDQQGPYEEHDRVYRYDVYNDLGSPDSGNPRPILGGSPDTPYPRRGRTGRKPTTTDPDSESRLSLVEQIYVPRDERFGHLKMADFLGYSIKAIAEGIVPAIRTYVDTTPGEFDSFQDILDLYEGGLKLPDVPALEELRKRFPLQLVKDLLPAAGDYILKLPMPQIIKQDKEAWRTDEEFAREVLAGVNPMMITRLTEFPPKSSLDPSKFGDHTSTITAAHIGSNLEGLTVQQALDSNRLYILDHHDRFMPFLIDVNGLEGNFIYATRTLFFLRGDGTLAPLAIELSEPMIQGDVTAAKSTVYTPASTGVEAWVWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLSPHYRDTMTINALARQTLINAGGIFEMTVFPGKYALWMSSMVYKNWNFTEQGLPADLIKRGVAVEDATSPYKVRLLIKDYPYAADGLEIWHAIEQWVGEYLAIYYTDDGVLRGDAELQAWWAEVREVGHGDLKGAAWWPRMDAVSELRDACTTIIWIASALHAAVNFGQYPYAGYLPNRPTVSRRRMPEPGTEAYGELGRDPERAFIRTITSQLQTIIGISLIEVLSKHSSDEVYLGQRDTPAWTSDARALEAFRRFSDRLVEIEGKVVGMNGDAGLKNRNGPAEFPYMLLYPNTSDVTGAAAGITAKGIPNSISI >ORGLA03G0282600.1 pep chromosome:AGI1.1:3:25581527:25581820:-1 gene:ORGLA03G0282600 transcript:ORGLA03G0282600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEFRSGRRAAALVVVICAVLLLSSAVERAAAQVPCSKCDHACKKSCKGYGRDSSCSLPCGDPSNKAGCESCLDAYYLKCLNYCGQSCRVTCTSG >ORGLA03G0282500.1 pep chromosome:AGI1.1:3:25576431:25576724:-1 gene:ORGLA03G0282500 transcript:ORGLA03G0282500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGRRRAAAFAVVICAALLLLSSAVERAAAQVPCSQCDQACKESCKGYGRDSSSCSAPCGDPSNKDGCESCLEAYYSKCVNYCGPSCHVTCTSG >ORGLA03G0282400.1 pep chromosome:AGI1.1:3:25567326:25567640:-1 gene:ORGLA03G0282400 transcript:ORGLA03G0282400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASSPRVVACVVVALLLLSFAVEPLEAVAAAAAPATATKPIRCRKCDHACKKSCKGYGRNSDCSVPCGDPSNKAGCKSCLQAYYSKCLNYCGQACRAVCIN >ORGLA03G0282300.1 pep chromosome:AGI1.1:3:25562167:25565907:1 gene:ORGLA03G0282300 transcript:ORGLA03G0282300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:I1PEM7] MLGGLKDKLTGKNGNKIKGLAVLMSRKLLDPRDFTASLLDNVHEVFGNSITCQLVSATVADQNNEGRGIVGSEANLEQGLTNLPSVSQGESKLTVRFNWEMDKHGVPGAIIIKNHHSTKFFLKTITLHDVPGCDTIVFVANSWIYPVGKYHYNRIFFANNIFLSCLRLSQMPEALRPYREDELRYLRGEDRQGPYQEHDRIYRYDVYNDLGEPDRDNPRPVLGGSQKHPYPRRGRTGRIPTKKDPNSESRLSLLEQIYVPSDERFAHLKMSDFAGYSIKAIVQGILPAIRTYVDLTPGEFDSFEDILKLYRGGLKLPSIPALEELRKSFPVQLIKDLLPVGGSYLLKFPKPDIIKENEVAWRTDEEFAREILAGLNPMVIRRLTEFPPKSTLDPSKYGDQTSTITPAHIEKNLEGLSVQQALDSNRLYILDHHDHFMPFLIDINSLDGIFTYATRTLLFLRDDDTLKPLAIELSLPHIEGNLTTAKSKVHTPASSGIESWVWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVYKLLQPHYRDTMTINALARQTLINGGGIFEQTVFPGKHALAMSSAVYKNWNFTEQGLPDDLIKRGIAIKDPSSPSKVKLLIKDYPYATDGLAIWQAIEQWVTEYCAIYYPNDGVLQGDVELQAWWKEVREVGHGDLKDADWWPKMQSLPELTKACTTIIWIASALHAAVNFGQYPYAGYLPNRPTISRRPMPEPGSKEYTELDENPEKFFIRTITSQFQTILGVSLIEILSKHSTDEIYLGQRDTPEWTSDPKALEAFKRFSRQLVEIESKVLNMNKDPLLKNRVGPANFPYTLMFPNTSDNKGAAEGITARGIPNSISI >ORGLA03G0282200.1 pep chromosome:AGI1.1:3:25558870:25560349:1 gene:ORGLA03G0282200 transcript:ORGLA03G0282200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptidoglycan-binding LysM domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G55000) TAIR;Acc:AT1G55000] MEGGSNDFPGDLLRAVLQRLPPPDLARAACVCRLWRGVASDRAVLEAAFASPWGVRRVVGEPETRAFWRAASLARFALSHTVRRGDTVPGIALKYSIQVTDIKRFNNMMSDHGIYSRERLLIPISNPEILQGSTCYIEMDYNARREVAVFYPQGRPSGKAESSTNTAAAERRSRRILESVKRSLHTDDGTAAYYLSVTDGDPRAAMMEYSEDLRWERQQTGH >ORGLA03G0282100.1 pep chromosome:AGI1.1:3:25552203:25554801:-1 gene:ORGLA03G0282100 transcript:ORGLA03G0282100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03150) TAIR;Acc:AT1G03150] MTTIRRFCCDDLLRFSSVNLDHLTETFNMSFYMTYMARWPDYFHAAVSPGDRVMGYIMGKVEGQGESWHGHVTAVSVATEFRRQKLAKKLMNLLEEISDKMDKAYFVDLFVRASNMPAIRMYEKLGYVVYRRVLRYYSGEEDGLDMRKALSQDVEKKSIIPLKRPITPDELEYD >ORGLA03G0282000.1 pep chromosome:AGI1.1:3:25548547:25551325:1 gene:ORGLA03G0282000 transcript:ORGLA03G0282000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate synthetase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:I1PEM4] AASVATAPESAAAQGRLESLSQVAGVLGTQWGDEGKGKLVDILAQRFNIVARCQGGANAGHTIYNSEGKKFSLHLVPSGILNEKTMCVVGNGAVVHLPGFFKEIDGLESNGISCEGRILVSDRAHLLFDFHQTVDGLREVELGNSLIGTTKRGIGPCYSNKVIRNGLRVSDLRHMDTFGAKLNTLLRDAALRFEGFEYSTKTLKEEVEKYEKFAERLGPYITDTVHFMNQSILQNKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRSIGDLIGVVKAYTTRVGSGPFPTELLGKTGDLLRASGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLTGLKEVKLGIAYCTEDGKEIESFPADLDLLEKIKVKYEVLPGWEDDISSVRNYSDLPETARLYVERIEELVGIPVHYIGVGPGRDALIYK >ORGLA03G0281900.1 pep chromosome:AGI1.1:3:25542124:25547348:1 gene:ORGLA03G0281900 transcript:ORGLA03G0281900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pescadillo-related [Source:Projected from Arabidopsis thaliana (AT5G14520) TAIR;Acc:AT5G14520] MPKHYRPAGKKKEGNAAKYITRTKAVKYLQISLATFRKLCILKGVFPRDPKKKVEGNHKTYYHMKDIAFLAHDPLIEKFREIKVHRKKVKKAFAKKNKDLADRLLNRPPTYKLDRLILERYPTFVDALRDLDDCLTMVHLFAALPAVEGERVQVQRIHNCRRLSHEWQAYISRTHSLRKTFISVKGIYYQAEVQGQKITWLTPHALQQVLTDDVDFNVMLTFLEFYETLLGFINFKLYHSINVNYPPVLDPRLEALASELYALCRYMSSGRVPGNSEPAGLIEDKKDEDNKESSKTDESELRLAQLQHQLPTNEPGALMHLVQESTAADADDADAKECRSLFKNLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFDETDEDITHQIVDRPTQSHVFLSREYVQPQWIYDCVNARIILPTEGYIVGRVPPPHLSPFVDNDAEGYIPEYAETIKRLQAAAQSQVLPLPSLGDEDMENSLVEAIIDRSESNEIADKKRKLEMLEKQYHDELRMEYEGKTFSNRTADNQPDVVDKSDTKEADDHMEDSHKQAEKDAADISKTLMSRKQRGLLQAIEINQERKKDKVNLLKKRKKNADSSASAKGR >ORGLA03G0281800.1 pep chromosome:AGI1.1:3:25535987:25539152:-1 gene:ORGLA03G0281800 transcript:ORGLA03G0281800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G27640) TAIR;Acc:AT3G27640] MATRPRSSPSFFGGLRARELGGGRVPLPRASAARLPYLADLSSDPGGRGSGVIAVEHAGDPAIPFAISFCKAEQISHLLAVADEDGYVGIYDTRRRLPSSSSSLEKSAETKMSDWVAHNNAIFDVCWIKDGSQLLTASGDQTVKIWSVENKKCLGVLSGHTGSVKSLSCHSSNPELIVTGSRDGSFALWDLRIDPKTPNGHREACLMSSLVVKQAHSPTQRNRTRSRAKAASTSITSVLYLKDDISIATSGAADNIVKIWDTRNIKLSLSNRSSQAAMQPLEGVKHGISCLSQDSYGAYIAASCMDNSALHMDKGPIKAYTGSKIESFFVKSAISPDGTHILGGSSDGNVYLWQVDQPERGPIILEGHEGEATSVDWCASEVGKIATSSDDSKVRVWNTERRVFPNTSSPTVIRKRITAPNTGSRSASHELATTSRDVGVAACTSADGELPTGSRSPLQPRVLEFGTPESAKKRAFRLFQEDSLDIRKSPEAQMNSPSSVLSPPHSLKRRTIRDYFASS >ORGLA03G0281700.1 pep chromosome:AGI1.1:3:25533642:25534160:-1 gene:ORGLA03G0281700 transcript:ORGLA03G0281700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:I1PEM1] MADRDRAGQYYQQQRGQVGETVKGILPEKAPSASQALTVATLFPLGGLLLVLSGLALAASVVGLAVATPVFLIFSPVLVPAALLIGLAVAGFLTSGALGLGGLSSLTFLANTARQAFQRTPDYVEQARRRMAEAAAHAGHKTAQAGHAIQGRADQAGTGAGAGGGAGTKTSS >ORGLA03G0281600.1 pep chromosome:AGI1.1:3:25526781:25529263:-1 gene:ORGLA03G0281600 transcript:ORGLA03G0281600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phytoceramidase (aPHC) [Source:Projected from Arabidopsis thaliana (AT4G22330) TAIR;Acc:AT4G22330] MADSMVSSFWGPVTSTTELCEENYAHSSYIAEFYNTVSNVPCVLLALVGLVNALRQGFEKRFSVLHISNMILAIGSMIFHATLQHVLQQSDETPMVWEILLYLYVLYSPDWHYRSTMPTFLFLYGAAFAVVHFLVRFQVVFKLHYVGLCLLCIPRMYKYYIQTKDMAAKRLAKLWVLTLSLATLCWLFDRMFCKKLSHWYVNPQGHAWWHILMGFNSYFANTFLMFCRAQQRGWEPKITHLFGFLPYVKIQKPQKRE >ORGLA03G0281500.1 pep chromosome:AGI1.1:3:25520667:25522961:1 gene:ORGLA03G0281500 transcript:ORGLA03G0281500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLMASVLPIRQAPMNGTPISASAAAGVDGVGAAVALAAATKKSAAAAAAVAEMAKTLTVDTDDAFAGLLELAADDDAEGLRRALERAPPAAADEAGLWYGRRKVLEHRTPLMVAATYGSLAVLRLLLSLPSVDVNRRCGSDGTTALHCAASGGSPSCVEAVKLLLAAGADADATDASGYRPADVISVPPKMFDAKIALQDLLGCPKAGHGVLRVVTRAANSMSSPVSSPTAEDARSPSAAVMMTTKFADLPRVVTSEKKEYPVDPSLPDIKNSIYASDEFRMYSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGVCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTTDELRPLYVSTGSAVPSPRASATATMEMAAAMGLMPGSPSSVSAVMSPFTPPMSPSGNGMPPSLGWQQPNVPTLHLPGSSLQSSRLRTSLSARDMPADDYSLMQDIDSQLINDLCYSRIGSSTGNHTSRTKSLNPSNLDDLFSAEMVSSPRYSNADQGGMFSPSHKAAFLNQFQQQQQALLSPINTVFSPKSVDNQQLPSHSSLLQASLGISSPGRMSPRCVESGSPMNSHLAAALAQREKQQQTMRSLSSRDLGPSAARASGVVGSPLSSSWSKWGSPSGTPDWGVNGEELGKLRRSSSFELRSGGDDPDLSWVHTLVKESPPEKQVTTAESINSVGPSPLMPPSVSNGEGPSLNAPLDGHDQAAVIGALLEQMQLDQHIGSLAT >ORGLA03G0281400.1 pep chromosome:AGI1.1:3:25514809:25515705:-1 gene:ORGLA03G0281400 transcript:ORGLA03G0281400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEPSTSDSLVLATATNDRASCVGLAELLSENPAALARXPVGTEYDWEDEVTPASDVGDAEGAGSDFDLDFAFAPPVSAAKLVPADDIFAHGRIVPAYPVFDRNFLDLSPGDVAEPASTAAPSTDTYCAWTPRSAPSSPSLDIAARSTRGNCNSETTAKGVPAASTVVEREGKGKEKRGEEGGAHVRLGLTGIFYGKCDGVAWF >ORGLA03G0281300.1 pep chromosome:AGI1.1:3:25509521:25512469:1 gene:ORGLA03G0281300 transcript:ORGLA03G0281300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:I1PEL7] MGRLFVMHLEGKVYSCKHCHTHLGLSSDIISKQSFHCKHGKAYLFNKVVNVTSGVKEDRMMITGMHTVSDIFCVGCGSIVGWKYEAAHEKSQRYKEGKFILERYKVSGPDGSHYFVTHDAHVGGSDVDDV >ORGLA03G0281200.1 pep chromosome:AGI1.1:3:25501732:25506288:-1 gene:ORGLA03G0281200 transcript:ORGLA03G0281200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 homolog 9 [Source:Projected from Arabidopsis thaliana (AT2G40090) TAIR;Acc:AT2G40090] MWRRAATAALALGAGAGGAAIAYSDDPSATLKICAHLPPRLLRDAATAATIAFDYQYSLWGLDPGTPAWVSAKHDAHLRSANRLQELCFRNGGIYIKLGQHIAQLEYVVPEEYVQTMRASMLKRCPVSSYEQVCRVFGKDMGESPETVFVEFDPVPLASASLAQVHAARTHDGQKVAVKVQHDHLTDTSVIDIATVGLIVNTLHYIFPSFDYRWLVDEIRESAPKELDFLNEAKNSEKCVQNFRRLSPHIAGSIYVPKVYWTLSSSRILTMEFMDAKEVTDVKGIKELGIRPVDVSNLVSKAFSEMIFKHGFVHCDPHAANMMIRPLPQDSKKTFGWRRPQLILLDHGLYKELDYATRISYASLWKALVFADEKSIKENSVKLGAGEDLHALFAGVLTMRPWKSVIDPAVDHLVLDGSSNDRSELQMYASLYFPQISELLRRLPRVILLMLKTNDCLRSVNHALVSEKES >ORGLA03G0281100.1 pep chromosome:AGI1.1:3:25498848:25500278:1 gene:ORGLA03G0281100 transcript:ORGLA03G0281100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNSGDRARARGHHGDDDEEGVAVVGEDYPYCSDSDSDDDDDVNRYVFLARQPAPPVDRDDAEADAGAEGTGCCKRKRRVVDDDDDDDGFSPRQPALLDGSGGQAAAEEEDDEGSSDDDDAMAPRGEAGGGGRGRGVGKVSRKKARLVDLIRSPLLPAELDGSDKAAPPPPRGVCPKKIAVVVQAKNRKRRRFVDGGEEQEPQPQPPVRGKRSRGPDLEASEGLQTASAAAAAAAAEAAERASKRFVCSICGRCFGSHQALGGHVLSHKKKAKNAAIAAAARDATTTAVTEAVAPASQRQSFFAADINGQDERGCDGAEGSRYDDDEEKSPVVVDDVAACHDADVDESRGHGKAESASAIDAGGDAGNNKVAHGDGEENDKIAGVVVASSHDGDSDVGKMKIVQHKCDECGKVCLTGQALGGHMSKHRRTRPAANGGEGPATATVADGGAQTVRLIGDDVCLQRAVAIAGFHSAQ >ORGLA03G0281000.1 pep chromosome:AGI1.1:3:25495256:25498086:1 gene:ORGLA03G0281000 transcript:ORGLA03G0281000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLPRLLKAGLCVGFLDPVSNIVVNTITYKKRVPVVAGGDPDGKSAAIPTRRRRRKALSRIVADTSNVGGCGPSHRLLRDMGVAARSLQALVAFLTNYFPYLRTCEALEYLRLADADLSVAVRLIEQDRSSDAFSFASLTTETALTCAAIAGWHPNPKSLVERLYSIASQIGEASNLLSMEGCLSCRAVKNISRLVKHQQQEPVDLVGATFLPRSLEIKEKQPPFVRMKSLKSILLDKIYGLYLDVIACLPMDGLRMRYHRGLLKAGHCYGPFETPVHNIVLNTVWYETMFPPQEEVSVQMICSRSLVRVACRSLNALVAYLRACFCTISEQQAMRYLFLTGANLWGAVEMARQECHAERNMLGLDLACMVAATAAHHPDPDALVKFFMSTFSMKPLPLQTDPFMFQTGGILNVQLLMHNLMRFCPSSCGSVQTVPVLSERASMTLSCIQEEFKAEQSFICGKVNDALKKYNQRTRGLEYELHVICGLNSHIVNYTMFGRHYGPGYSRHRSKSQYSHVNFLASPRDLHSSQTVPILFFAECCNKEGVIDELTCCPVMGHPGRCYHCECEGAKIVHPDLEKYNGRTDFLEMARDKSSGTTTEDVISRCEYLHDAVDICEEDCVCFDASRDVECAEFLNSRAANKIRLE >ORGLA03G0280900.1 pep chromosome:AGI1.1:3:25461603:25464528:1 gene:ORGLA03G0280900 transcript:ORGLA03G0280900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRRRSGAARDPLAERPYRYDHEDRIVRNRSALLDAINGHYAAALDRLPVEEMPTLIPRLLKAGLCAGFSDPVSNIVVNTLSYKRLPERKPMVLGRPVDGKTAARRRRSALSRIVADTSDVTWHSPNHSRLRDMRMPLRSLEALVAFLVACFPYLPTWEALQYLRLANADLLAAARLVEEDRNTKAFSLASRTTKTALRCAPSRRGNPSPERSSTGRSPSPLGWSRSPGLAGVTAPQFLQNKVKQPPFVRTKSLKNIVVDKIYGLYLQVLAKMPREFLQRRYHRGLLKAGHCYGPFMNPAHNIVLNTVWYDTMFPAEEEYSEVAMICSRTLVSTACRSLLGLVAYLRACFPTVSRQQAIRYLLLAEVNLQRAIEMAGQEGHAMKDKFDRGIGFKAAATAAHHPDRDALVNFYLSAFFGPLPLKACGSFDVQLLSLMLSQEPSTSPHCSFETVPVLTEGASRLLWNIKQDFEAEQNFICSKVNAALKKYTQRTKGPEYDLHVICGLNPYVIKSGVSPLHYGDSSCKIRYKSKYSHVNFLASPRGSHSSDTVIPTLFFAECCNDNDITDEPLCWPIMGHPGRCFHCEYEGVKVVHPESQKYHGRDIDFEEMACKSHSNGIVNEDLVSSGESVTYSVGISQEDCIYFDFRRDVKCANFLNAHARMLEQRHCF >ORGLA03G0280800.1 pep chromosome:AGI1.1:3:25445511:25450869:1 gene:ORGLA03G0280800 transcript:ORGLA03G0280800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:I1PEL2] MDANHDKVVESGSRGGRGPVRTICVFCGSRRGNRPSFSAVALDLGKQLVERELDLVYGGGSGGLMGLVSKTVHDGGRHVLGVIPSALLPEEVSGETLGEAKVVRDMHERKSEMAKHADAFIALPGGYGTIEELLEIIAWAQLGIHNKPVGLLNVDGYYNNLLSLFDKGVEEGFIDAAARNIFVLADNAGELLTKLTEAAAAAAVAVEGGDGDQVSITFTAGLAVASSGSISLHAGKKNIERGGCWSRXNISSKTFWITRWEGLSRMEMIEESVKLILNVYMEVAS >ORGLA03G0280700.1 pep chromosome:AGI1.1:3:25441876:25442691:1 gene:ORGLA03G0280700 transcript:ORGLA03G0280700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYNFKVHGRYRTNAEDLLRLECRTSFDDTGITNVQRDLCHFIHHEYCHVKGDFFDPEGALAASDEFKDLREWNTAMP >ORGLA03G0280600.1 pep chromosome:AGI1.1:3:25427103:25428288:-1 gene:ORGLA03G0280600 transcript:ORGLA03G0280600.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVFYQHPECKDKQWKPLFKGVETDELELLTTRLKECYAGLRNIRTGVDDQFHCQIETNMLALELRNLFLDDRFYMDESIVDLLRWSSRYGPIVVD >ORGLA03G0280500.1 pep chromosome:AGI1.1:3:25421559:25422591:1 gene:ORGLA03G0280500 transcript:ORGLA03G0280500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGVGTSTQGSQAGRAHTQPGLTIGRGFRTSPPDVFAGVGTSAHGLHRAWKSEQEASLGTGVWRINDCKES >ORGLA03G0280400.1 pep chromosome:AGI1.1:3:25408865:25411748:1 gene:ORGLA03G0280400 transcript:ORGLA03G0280400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGGTNLVETRGQGALPSGIPIQQPWWTTSAGVGAVSPAVVAPGSGAGISLSGRDGGGDDAAEESSDDSRRSGETKDGSTGQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMGMVGPYGPQAMSAQTHFQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKSRKPYLHESRHQHAMRRARGTGGRFLNTKKNEDGAPSEKAEPNKGEQNSGYRRIPPDLQLLQKET >ORGLA03G0280300.1 pep chromosome:AGI1.1:3:25401013:25401375:-1 gene:ORGLA03G0280300 transcript:ORGLA03G0280300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPWVAAAVRRSMQEAAAGAGAVSYAQAQQGSPAAPGPRSTARSVETLVVIVAAIVLVAVLAGVVARACGGRHVAPSGDRDVEGWVERRCRSCLDSGLPPPPATAQQPQGSSKASDAK >ORGLA03G0280200.1 pep chromosome:AGI1.1:3:25395637:25399605:1 gene:ORGLA03G0280200 transcript:ORGLA03G0280200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQPPPAREPLLPSSASPPPYLDARAGADADADSYVLLVPVRLRRLRRGCRCRCLGPLLSTLALLSLAGFLLWPADPDVSVARLRLAHVSVSARPTVRVTISAALKVRVRNPDLFALDYGRLDVDIGYRGAPLGRVTSGGGRVRARAVSYIDANLSLDGISVVEDAIYLLEDLARGSVPFDTVAEVEGHVHLFFLSIPVKGRISCVVHINPHNQTIVHQDCYPEVNICSL >ORGLA03G0280100.1 pep chromosome:AGI1.1:3:25380058:25388901:-1 gene:ORGLA03G0280100 transcript:ORGLA03G0280100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloride channel D [Source:Projected from Arabidopsis thaliana (AT5G26240) TAIR;Acc:AT5G26240] MARLAWTRLPTADGAGAGAEGPGPVPASPSSAGYELFAGGVESLDYEVIENYAYREEQAQRSKFWVPYYVMLKWLFSLLIGVGTGLAAIFINLAVENFSGWKYAATFAIIQHSYFVGFFVYIVFNLALVFSSVYIVTNFAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWVRIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCKSGKCGHFGSGGFIIWDISGGQEDYSYQELLPMAIIGVIGGFLGALFNQLTLYITKWRRTYLHKKGKRVKIFEACLISLVTSTISFVLPLMRKCSSCPQLETNSGIECPRPPGTDGNFVNFYCSKDNEYNDLATIFFNTQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKFYKKLNVEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDFFNEGLYEVQAQLRGIPLLDSRPKQVMRNMSAKDACKNQKVVSLPRVSRIVDIISVLRSNKHNGFPVVDRGQNGESLVIGLILRSHLLVLLQSKVDFQNSPFPCGPGILNRHNTSDFVKPASSKGKSIDDIHLTEDELGLYLDLAPFLNPSPYIVPEDMSLAKV >ORGLA03G0280000.1 pep chromosome:AGI1.1:3:25375929:25377946:-1 gene:ORGLA03G0280000 transcript:ORGLA03G0280000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:I1PEK4] MECVIGVVGRDFAVVAADTSAVQSILVHKTDEDKVMVLDSHKLMGASGEPGDRVQFTEFIQKNLHLYQFRNNIPLSTAATANFTRGELATALRKNPYYVNVLLAGYDSDVGASLYYIDYIATFHKIEKGAFGYGSYFCLSLMDKLYRPDMSVEEAVDLVDKCIKEIRLRLVVAPQNFIIKIVDKEGAREYARRAYTDSPPEAATSEAATVAA >ORGLA03G0279900.1 pep chromosome:AGI1.1:3:25371838:25375336:1 gene:ORGLA03G0279900 transcript:ORGLA03G0279900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSLLLEATPRLLSLPPRRPVQPRGGIFLLKPPPPPPFPSRRGVAVAVAPPLRASHPDTARRVSVSPAAVEPPPKPRALLDAIKRSLLDSLAALKKPALALLLAGALLAAAGPHHGAALAASGGRVGGSAFSSRSSSPPSSYGYTAPAPRGGYSAAPFYSPSPFVSVGPAVGIGFGGSSFFFVLMGFAAFLYLAGFLSDSSGGSVLTETDKTTVLKLQVGLLGMARSFQKELDQIAEKADTSTPAGLSYVLTETTLALLRHPDCCISAYSSVDVKRSIDDGEKRFNQLSIEERGKFDEETLVNVNSIKRQKAGSQRSSGFSNEYIVITILVAAEGVHKLPSINGSGDLKTALQKLGAIPSRKILAVEVLWTPQNENDTLSERELLEDYPLLRPL >ORGLA03G0279800.1 pep chromosome:AGI1.1:3:25359818:25362089:-1 gene:ORGLA03G0279800 transcript:ORGLA03G0279800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTAINASRGGLVASLNGTDLSKLAFLSDLDLSFNELDDDLPVLPAPLPRLLSLDLRSNSFYSIPDGFFAGFPALQTFAFDDNAMLIKDIPNDVVTCSNLRSFTANNASIYGTFPDYFGNATLFPRLERLSLARGTGSRGPYATASAGRAASTPLLPPSSLAAAEPPAAELPPPPPQFELLDLRYFTVDLSPDHITEVKSTGHCCSTFDVCVAKTWQARTCALIAAGDTEQERRRRGNCFYPVLATGSSGEVAGADIVDVVRIIRDAKARLAAELPRRHQAPCRRAPSLAAAKLAATELPRRRRAPSPPL >ORGLA03G0279700.1 pep chromosome:AGI1.1:3:25354666:25356192:-1 gene:ORGLA03G0279700 transcript:ORGLA03G0279700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVPPLLVILVLLQSATRLPVAETSTADQEYMHRLAAATGAERLLGWKADSDPCNGSWVGVTCAPFDGNRIIQIDVRGLLRGGGTLPELDRQAGSLSHLRMLDLGDNNLTGPVPTLFLDRLLTLRLDGNAFSGLPHSFFRGMPELHYFSISDNPRLEEWGLWSDLLSLTELRVFNASNANINGTLQVFLGNLGAFPALAEVSLARNRLTGVVPEKLVSQSIAKLDLSSNGLSGSINFINNLASSITDLRLDHNHFSGPFPADLSGLYLLSVFSVAHNRLTGVVPPSLARVWRLSWVSVSDNLLQGPVPELPDSVKTDFAEAAVKGSFCRLDVHGPCDQETSSLLSVAAAFHYPEILAVSWRRDDPCDGWLGIHCGDGDGGGGGRNKVTGVNLSRLGINGTIDPAFASLLYLEAILLAGNNLTGTVPASILQMPSLRVLDVSNNALEGTVLSVRHDVLILADGNRGGLNVTAIAASGSFSSSRFQLSEATTPFLTFAAVFVALFGY >ORGLA03G0279600.1 pep chromosome:AGI1.1:3:25352629:25353405:1 gene:ORGLA03G0279600 transcript:ORGLA03G0279600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAEVGSHGCDPPKTMANAVKSKRRYGDNAAFVSPPCAVGPSPYSAPFSYAAPGVAATTTTARDNVVAFASGGGGVAATTPAPAKKRARAQGQFLGADHVVVDLDPVVNQANHQHQQQQQQHGLRRRTAEAAELERWRRHAMASLVDAAKRAAVMKDMEIERAWGLNRALVARLRGVQAQALAWRDAALSNRAEATALRAELERALQPPPPPPPPPAEPGDAESCCYGDNGDLLGGGEEEVGSDRLIHEAGVPVLR >ORGLA03G0279500.1 pep chromosome:AGI1.1:3:25343481:25350423:1 gene:ORGLA03G0279500 transcript:ORGLA03G0279500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTPAADAQPLDEKELERKLKKDQKAKEKEEKRLKAKAKEAARLQAQAASDGPKKSEKKQRKKAVEDENPEDFIDPDTPHGQKKFLASQMAKQYSPTAVEKSWYSWWESSGYFGADAASSKPPFVIVLPPPNVTGALHIGHALTVAIEDSMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGREEFVSEVLKWKDEYGGTILNQLRRLGASLDWSRECFTMDKPRSKAVTEAFVRLYKQGLIYRDYRLVNWDCTLRTAISDVEVDYLEIKEETMLKVPGYNTTVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPEDGRYKHLHGRYAIHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINNNGGAQFVGMPRFTARVAVIEALKAKGLYKETKKNEMSLGVCSRTNDVVEPMIKPQWFVNCNTMAQAGIDAVRSKRIEIIPQQYEQDWYRWLANIRDWCISRQLWWGHRVPAWYVILEDDQEKILGSDNGRWIVARNESEANLEAQQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADLKAFYPGSVLETGHDIIFFWVARMVMMGMQLGGDVPFEKVYLHPMIRDAHGRKMSKSLGNVIDPVDVINGISLDGLLKRLKEGNLDPNELNIATEGKKKDFPDGIAECGTDALRFALVSYTSQQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGNHYTPPATISVTTMPPICKWILSVLNKAIGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQEFESARAASRDALWVCLDTGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMVSEYPSVVKEWTDDKLENEIDIALDTVNKLRSLKPPSDTNERRPAFALCRGQEITATIQCYQSLVVSLSSTSSLKILTENDETPPDCATAVVNKDLSVYLQLQGALNAEVELEKLRKKREEIQKLQHALSQKMEASGYKEKAPQNVQEEDMRKLTSFFEQLEIISEAEKKLDAKTGNN >ORGLA03G0279400.1 pep chromosome:AGI1.1:3:25341408:25341752:1 gene:ORGLA03G0279400 transcript:ORGLA03G0279400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPARAPAITKFLKPYVLKMHFTNNFVSAQVIHTPSATVACSASSQEKLLRPSMESTRDVAAAAKIGKLLGERLLFRGIPAVSVSMPRDQTYHGKVRAVMDSLRAAGVKLL >ORGLA03G0279300.1 pep chromosome:AGI1.1:3:25336497:25339117:-1 gene:ORGLA03G0279300 transcript:ORGLA03G0279300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 family protein [Source:Projected from Arabidopsis thaliana (AT3G26360) TAIR;Acc:AT3G26360] MQALARAARGILPATAAAPAARVQQARGIVVHVKDGNLERALGVMARKMRSSGIERLIRARSQIHHHVKDSEKRVLARKALMQRVRSQELGKKLRDILIKKIRGQ >ORGLA03G0279200.1 pep chromosome:AGI1.1:3:25332206:25333441:-1 gene:ORGLA03G0279200 transcript:ORGLA03G0279200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAASATSSRPKRDSPRIPPNYVSLRDLQELRRKEREEEEEQEMQQRRREVEAAAADKAEEEWRGSSEKSRGGSDRSRGGERWAPVPHRASPPPPQARTEVAATARKVDGAIGAMAVVHRDAPPPPARVEAAAKKMDMEIGVVAVAHRVAPSPSRSSHGAVKKMDVAVGVLAAPQSEAPLPLPPPRREDAAKKKGRAIRGDAVRKGADEAAATPASAFQGRPKPKEKGKVAAGTKQPTAPAETATASSPGVTPEEKRKNKGKKASGDQGTAPVTSDAPRAPAEAAGASSRGRDNPASRRNRKKDAVSNSPDGKAPQPAPISNSPAAELGGNRRSGGALGTNGETKPEPVAEKPPVVEAKSTAPAASVVVGPTRPPSIGGPRRQNAGVWVPKVVAIPGPSRHSVVSVRKNN >ORGLA03G0279100.1 pep chromosome:AGI1.1:3:25328541:25331771:1 gene:ORGLA03G0279100 transcript:ORGLA03G0279100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKAEELVAFVPKEQYDGVDYCITSPPPWLTAVLLAFQHYLVMLGTTVIVATILVPLMGGGHVEKAIVVQTILFLAGINTLLQVHLGTRLPAVMGASYAYIYPAVAIILSPRFAIVVDPFERFVYTMRSLQGALIIAGVVQAIIGFFGIWRIFIRFLSPLAAVPFVTLSALGLFYFAFPGVAKCIEVGLPALILLLLFTEYAAHFFARGSFLFGRCAVLVTVLVVWIYAEILTAAGAYNERSLVTQFSCRADRSGLIHGAPWVRFPYPFQWGYPIFFADDCFVMIAASFVSLIESTGTLMAVTRYAGATFCPPSVFARGVGWQGISTILDGMCGTLTGSVASVENAGLLALTRVGSRRVIKISALFMIFFSLFGKFGAIIASIPLPIFSALYCVLFAYSAAAGLCFLQYCNLNTLRTKFILSISLFLGLSIPQYFREYEVFYVFGPVHTHSPAFNVIVNVIFSSPATVAAILAYLLDCTHTYWDGPVWKDRGFHWWEKFKSYRHDPRSEEFYSLPYGLSKYFPSF >ORGLA03G0279000.1 pep chromosome:AGI1.1:3:25326609:25326857:-1 gene:ORGLA03G0279000 transcript:ORGLA03G0279000.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRLGVILAWDVFFCSHRIRSELCCTQSPRYSSPGLTALSAPSVAIRIPCRRRLVRFLSRIVRLGADECGGVRASDDLEQEFWR >ORGLA03G0278900.1 pep chromosome:AGI1.1:3:25312406:25313095:-1 gene:ORGLA03G0278900 transcript:ORGLA03G0278900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSFKTIAAGVVIVVLLLQQAPVLIRATDADPLQDFCVADLDSKVTVNGHACKPASAAGDEFLFSSKIATGGDVNANPNGSNVTELDVAEWPGVNTLGVSMNRVDFAPGGTNPPHIHPRATEVGIVLRGELLVGIIGTLDTGNRYYSKMVRAGETFVIPRGLMHFQFNVGKTEATMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDAGVVELLKSKFTGGY >ORGLA03G0278800.1 pep chromosome:AGI1.1:3:25309410:25310093:-1 gene:ORGLA03G0278800 transcript:ORGLA03G0278800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGFKAAGLVFVVLLLQQAPVLIRATDADPLQDFCVADLDSEVTVNGHACKPASAAGDEFLFSSKIATGGDVNGNPNGSNVTELDVAEWPGVNTLGVSMNRVDFAPGGTNPPHVHPRATEVGIVLRGELLVGIIGTLDTGNRYYSKVVRAGETFVIPRGLMHFQFNVGKTEATMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDTGVVELLKSKFTGGY >ORGLA03G0278700.1 pep chromosome:AGI1.1:3:25305240:25305923:-1 gene:ORGLA03G0278700 transcript:ORGLA03G0278700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECFKTTLAGVVLVVLLLQQAPVLRANDPDPLQDFCVADLDSEVTVNGYPCKPTPAAGDEFLFSSRLATGGDVNANPNGSNVTQLDVAGWPGVNTLGVSMNRIDFAPGGTNPPHVHPRATEVGIVLRGELLVGIIGSLDTGNRYYSRVVRAGETFVIPRGLMHFQFNVGKTEATMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDAGVVELLKSKFTGGY >ORGLA03G0278600.1 pep chromosome:AGI1.1:3:25300861:25304321:1 gene:ORGLA03G0278600 transcript:ORGLA03G0278600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PEJ0] MAHVLVVPYPSQGHMNPMVQFARKLASKGVAVTVVTTRFIERTTSSSAGGRGLDACPGVRVEAISDGHDEGGVASAASLEEYLATLDAAGAASLAGLVAAEARGAGADRLPFTCVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDSGKDDWVLFNSFDELESEVLAGLSTQWKARAIGPCVPLPAGDGATGRFTYGANLFDPEDTCMQWLDTKPPSSVAYVSFGSFASLGAAQTEELARGLLAAGRPFLWVVRATEEAQLPRHLLDAATASGDALVVRWSPQLDVLAHRATGCFVTHCGWNSTLEALGFGVPMVALPLWTDQPTNALLVERAWGAGVRASRGDADADDAAGGTAAMFLRGEIERCVRAVMDGEEQEAARARARGEARRWSDAARAAVSPGGSSDRSLDEFVEFLRGGSGAGAGEKWKALVREGSEAAASEM >ORGLA03G0278500.1 pep chromosome:AGI1.1:3:25297987:25298154:-1 gene:ORGLA03G0278500 transcript:ORGLA03G0278500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVRQRQSEWWWSTGQNGEWFVGDRGGSEPSNICLQRRRARGGMRKLKNMQSS >ORGLA03G0278400.1 pep chromosome:AGI1.1:3:25294644:25294952:1 gene:ORGLA03G0278400 transcript:ORGLA03G0278400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDFTEAGLIHLPINGTGHRQRLVREEAAAGLRWGGGLMDAVALNSELLDVGLSREPGNRWKWCIRRRVLEAAAPTCEDVEKAGGGGNRVRRKQGGAWRCAAGK >ORGLA03G0278300.1 pep chromosome:AGI1.1:3:25289502:25291543:-1 gene:ORGLA03G0278300 transcript:ORGLA03G0278300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLLLLLLLLLGGAAAAEEPPAAPSSSPPPHKNATLSEILPRYGLPPGLFPASVTAFSLAANGSLAVDLGGPCYAHYEYLTYFEPRVTGVLRYGSLTGLSGVKVRRFLVWFDVVRVKVDLPPPPRYVYLDIGWITRKLPADEFESPHECEDSKKCRLSSALATVAAWFQNQEGRKQGRIKSIKLDKMNFFAQF >ORGLA03G0278200.1 pep chromosome:AGI1.1:3:25271820:25272167:1 gene:ORGLA03G0278200 transcript:ORGLA03G0278200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERREAHKTTRTVPDIASLHDVTITKNEEAVRAKTETVITATTATITTIANGGCWAILAEDAAIMTTTMEIGAGTTTGDDDRILENPADVLVIVHRNQVTHHHHRQLPHPHRQI >ORGLA03G0278100.1 pep chromosome:AGI1.1:3:25270787:25271755:1 gene:ORGLA03G0278100 transcript:ORGLA03G0278100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFVDNSVNTNLNQGVPTSTMLVWTQVGEIVFPVYTTVPISAGPSMTGSENAVATTQDDSMSKDPPAKAENGTSTTSEPEKDPSVAKPCLSDKNHEPMRMTSVVTRSWCPIHKTRKHTLQACWVFLNVYAEIRAYKERGIQRTSPTHGVYCPIHKTMNHDLSSFKVFLSAMKTLPPKVQQSRIPLRDEDKEQGATLISDRFVGVIDIDPHEPSVLHLLEDYGSSSTSTPREVLAIDGTSASVRANAEAENQVTTPAQHIRAVNAILRETPYDSVLNDDLARWIERLRELVTNLSNAFEEATTAAHPEQPPTSGANGENPE >ORGLA03G0278000.1 pep chromosome:AGI1.1:3:25262038:25266577:-1 gene:ORGLA03G0278000 transcript:ORGLA03G0278000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCVSRPSACVGGKPHTPRSGEAARAGGGARRRRRRGKSGRRKAPSRAASMETIQEGDIVPGSPPPPVASAAASSGAAGDHRTYSNPAFQVSGSIEEAWYDSFAMSESDGEDDFHSVQDDAFSLNGFENDAALSTRDANGGSFNGSSHSSEQHYRKPRSSELSRGNLENGVRSSVSHEDVASVSAEDSAHGGGGRILDDCGLLPNNCLPCIASAVGVNEKKRPLSTSPTHSMKMPSLKLSFKKKSGEAHPSSTLLSTKDFLERPLAGSQVQLCLLEKKILNSWSHIDPGTFRVRGSNYFRDKKKELAPNYAAYYPFGVDVYLSPQKLNHISRYVQLPDVQISSKLPPLLVVNVQVPLYPASLFQNEIDGEGMSFVLYFRLSDAYSKELPPSFIENIRKLVDDHVEKVKAFPMETTIPFRERLKILGRVANLEDLPLSAAERKLMHAYNEKPVLSRPQHEFYLGDNYFEVDIDMHRFSYISRKGFETFLDRLKICMLDVGLTIQGNKAEELPEQILCCVRLNGIDYTQYKPLMTHGA >ORGLA03G0277900.1 pep chromosome:AGI1.1:3:25254989:25256278:-1 gene:ORGLA03G0277900 transcript:ORGLA03G0277900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCLLSLLIPVVALEKIVVIFMSSYWLHFWSTMLPKEEQDTMRNSATLLKLVAKGLLFHYGWRSSIRIAS >ORGLA03G0277800.1 pep chromosome:AGI1.1:3:25248959:25253400:-1 gene:ORGLA03G0277800 transcript:ORGLA03G0277800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT1G55265) TAIR;Acc:AT1G55265] MSPLTTILLLAAAVGATCATSATASAAPLHGIANDLLPEYGLPRGLIPETIASYTFDNDTGDFEIRLTSTCYIWFGSHLAYFEDAIRGRIAYGTITGLSGIQAQKFFVWVSITTIVAHPDQGTVEFRAGFISEALPESDFAEVPVCGAGARLRGAAGLARQLGLQLPAVAEA >ORGLA03G0277700.1 pep chromosome:AGI1.1:3:25240079:25244778:-1 gene:ORGLA03G0277700 transcript:ORGLA03G0277700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRRAAAGCGCGRRLRPLLMLLPFAALLSVATFSLHSPVGLVVPAAVTVATSTDTDTDTASSHHHHHGLVGDAVSGIDIRALNATPPLHAAAVRAFRSGGRLLREAFLPGAAPPPAVGGGPDPSPPRCPPFVALSGAELRGAGDALALPCGLGLGSHVTVVGSPRRVAANAVAQFAVEVRGGGDGDGDEAARILHFNPRLRGDWSGRPVIEQNTRFRGQWGPALRCEGWRSRPDEETVDGLVKCEQWGGNYGSKLNELKKMWFLNRVAGQRNRGSMDWPYPFVEDELFVLTLSTGLEGYHVQVDGRHVASFPYRVGYSLEDAAILSVNGDVDIQSIVAGSLPMAHPRNAQRNLELLTELKAPPLPEEPIELFIGILSAGSHFTERMAVRRSWMSSVRNSSGAMARFFVALNGRKKVNEDLKKEANFFGDIVIVPFADSYDLVVLKTVAICEYATRVVSAKYIMKCDDDTFVRLDSVMADVRKIPYGKSFYLGNINYYHRPLREGKWAVSFEEWPREAYPPYANGPGYIVSSDIANFVVSEMEKGRLNLFKMEDVSMGMWVGQFVDTVKAVDYIHSLRFCQFGCVDDYLTAHYQSPGQMACLWDKLAQGRPQCCNPR >ORGLA03G0277600.1 pep chromosome:AGI1.1:3:25231271:25234793:-1 gene:ORGLA03G0277600 transcript:ORGLA03G0277600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGRRPRSPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPVSSPEGLYLRDVISHLNIVRGKGMAAMYSWSCKRSYKNGFVWHDLGEDDLVHPATDGEYVLKGSELLDQSSSGQFYQGTNGNQKQQSRLKEGARLPLPREASYSSSPPSVIVREAKPRRSPSVPSLEEDDSPVQCRVTSLENMSPESEPQRTLLSRAGSASPAEFRVYKPTGCVDAATQTDDLGRRSVRKVPEMHKKSLSTDHDSVVREITEYRQSQPRRSAELQGIAREAMSQCHTPLSIPSSRGKSESLESLIRADNNALNSFRILEEDDIIMPTCPKLRPANVLMQLITCGSLSVKDHENIRLVEGYKPRFPNMKFPSPLISRTMMMGELDYLSENPRLMGMRLEDKEYFSGSLIETKMQRDVPADRYSALKRSSSYNAERSNETLDCARPDEDTVNTSRARCLPRTPILSSFLHPKSEAMKSPISDCRRSSSAGPDCSLASSGDGSKRFIDATGAPGSRIDSFRKEEKLVKIEESCRQELES >ORGLA03G0277500.1 pep chromosome:AGI1.1:3:25228453:25230209:-1 gene:ORGLA03G0277500 transcript:ORGLA03G0277500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSSSSFRLLDLVRPFVPLLPEVREPDGRRVPFRRKLACTAAALFAFLACSQLPLYGLHRAAAAGGGADPFYWVRAILASNRGTVMELGITPVVTAGTLVQLLVGSNLVRADSSNPDDRALLSAAQKLLSIVITAGEATAYVLSGAYGSVGVLGAGNAVLVVLQLVLGGMVAIFLDELLQKGYGFGSGISLFTAANTCEGVVTRALSPATVDRGRGAEFVGAVTAAAHLLATRASKLSAVREXXXXXXXXXXXXXXXXXXXXXXVFLAAVYLQGVRVALPVRPRNAPRGHRGGAYSVRLLYTSGMPVVLLSSAVSSLYLVSQALYRRFGGSLLVDLLGKWTPDAAVPVGGIAYYVTAPASAASASANPLHAAMYVAFVLAACAALSRAWVVGSGSSSRDAARQLREQQMVMPGMREASMQRELERYIPAAAALGGVCVGALTVAADLMGAVGSGAGMLLAVTTVYQCYEAFEKEKTY >ORGLA03G0277400.1 pep chromosome:AGI1.1:3:25226699:25227834:1 gene:ORGLA03G0277400 transcript:ORGLA03G0277400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMEGWWLFPPLSIEPLKRQLDGGGGFVCGREQGVGEVGAGAVPRPGQPAPAAARHGEEQRGEAERRRRRVQDRLNMDGDGARVGDDSQGD >ORGLA03G0277300.1 pep chromosome:AGI1.1:3:25214173:25221803:1 gene:ORGLA03G0277300 transcript:ORGLA03G0277300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGWMKASRSSIEYNIGVNKFIDFALSTSASDNRILCSCKTCGNRYWLGEHEVREHLICDGFLAGYTSWIHHGESMLNSKPSDASSSHREEHNDDMDQMLLDGLGMYDIRTLGTNDGAEALYSKVLTAVRHISSDQGDISAPRRQIHDLTYKENIGHEDVHSRTRSLGKASAGSLSAIKFSNSQVLTTSRF >ORGLA03G0277200.1 pep chromosome:AGI1.1:3:25205839:25207174:1 gene:ORGLA03G0277200 transcript:ORGLA03G0277200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGGGGQMVVVVLLVCVVLVSSAAMAGGARTGPVHLAGGTTSSSSAPGPAVATPRGDAAGATTMTATTTTMTAAATTATFAADPYKDSKRKVPNGPDPIHNRFCKRGCRSLKKTRFGVEWKVSCQVDDDDDDDHIMDDGE >ORGLA03G0277100.1 pep chromosome:AGI1.1:3:25192533:25195714:1 gene:ORGLA03G0277100 transcript:ORGLA03G0277100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHAVPRAAATVDLRWLLSVAAGAVFALLLLLAASPPFPLRPASLFTTTSPRRALPPLFVESSSTLSAPPPTPPPSPPRFAYLISGSAGDAPMMRRCLLALYHPRNSYILHLDAEAPDDDRAGLAAFVAAHPALSAAANVRVIRKANLVTYRGPTMVTTTLHAAAAFLWGRGGGRGADWDWFINLSASDYPLVTQDDLMHVFSKLPRDLNFIDHTSDIGWKAFARAMPMIVDPALYMKTKGELFWIPERRSLPTAFKLFTGSAWMVLSRPFVEYLIWGWDNLPRTVLMYYANFISSPEGYFHTVACNAGEFRNTTVNSDLHFISWDNPPMQHPHYLADADWGPMLASGAPFARKFRRDDPVLDRIDADLLSRRPGMVAPGAWCGVAAAADGDSNSTTTGGAVDPCGVAGGGGEAVRPGPGADRLQRLVASLLSEENFRPRQCKVVEAN >ORGLA03G0277000.1 pep chromosome:AGI1.1:3:25183520:25188572:1 gene:ORGLA03G0277000 transcript:ORGLA03G0277000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKSLLLCWCSLLLLLLAAAAPPALALPLCTDSRAPVPLNGTTLGFCGGGGSGSSSCCGAADDAALRKRFEAMNVSDAACAGVVKSVLCAKCNPYSAELFNSSSKIRMVPVLCNGSASASSTQSKDSTQDYCKLVWETCKNVTILNSPFQSPLQGGATLPSSSSKLTDVWQSENDFCTSFGGSSDNQSVCLNGNEVSFSTSEPSPSPKGVCIERIGNGTYLNMAPHPDGSNRVFLSSQAGKIWLATVPEQGSGGILQFDEASPFIDLTDEVHFDSEFGLMGIAFHPKFATNGRFFVSYNCDRTQSSNCAGRCSCNSDVNCDPSKLGSDNGAQPCQYQVVVAEYSAKVSSSNVSEATSANPSEVRRIFTMGLPYTAHHGGQILFGPTDGYLYLMMGDGGNKGDPFNFSQNKRSLLGKIMRLDVDGVQSQSQIINQSLWGNYSVPKDNPFSDDRDLQPEIWALGLRNPWRCSFDSERPSYFYCADVGQDLYEEVDLISKGGNYGWRAYEGPYIYHPEWTPGGNTSLNSINAIFPVMGYSHSAINKNTGSASITGGFVYRGSSDPCLYGRYIYADLYASAMWTGTETPESSGNYTSTLIPFSCSKNSPIPCESASGSNQPSLGYIFSFGEDNNKDVFLLTYKGVYRVVRPSLCGYTCAAEKPETNNNETSPSGSSSLASGRRIGKLAVVMAFILCALFF >ORGLA03G0276900.1 pep chromosome:AGI1.1:3:25169814:25174668:-1 gene:ORGLA03G0276900 transcript:ORGLA03G0276900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGGEEAGQKLKSMDVDKLENGGDKPALKYHGWRAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASVASLIGMFLLTMTAAADGLHPAECGVGETCSKATSGQFAVLFMSFAFLVLGSAGIRPCSMPFGADQFDPHTESGKRGINSFFNWYYFTFTSAMLVSATVIIYVQSNVSWPIGLGIPTALMLLACVLFFMGTRLYVRVTPEGSPFTSIVQVFAAAARKRSLKQPKDPKQDLFDPPHTSAIVTKLAHTDQFRCLDKAAIVSGPDDVRAGGAAPSNPWRLCSVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGRSFQIPAASFTVFAMLAQTLWIPIYDRLLVPRLRRVTGKDEGLTLLQRQGIGIALSTVAMVMSAVVEDRRRHIALTQPTLGTTITGGAISAMSSLWMVPQLMVLGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVHRTTGAGSNWLAQDLNKGRLDLFYWMIAGIGIFNIIYFMICAKWYRFKGAAAN >ORGLA03G0276800.1 pep chromosome:AGI1.1:3:25164253:25168814:1 gene:ORGLA03G0276800 transcript:ORGLA03G0276800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLPLPVTPPPPLPSPTLTLAPASAPRRRLVLLAAAAPHHHHHHRRRRVYRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASSREAEASPSGRQPETEEEETETKFGTGLDGMLTRLQRERERVRPPLPRSSDGAGGERDNVALMGQSGAPSHSATGGRYTPKVPDNGNIHSYHPQNGALEHNKSSKSLTNDAWRTWSLDKGGFSDFQAAEIHSTNSRKSFRHDGLDILAQDDVHGPSNGVAVHDYDINDVDSERDDIHARLQNLELDLTAALHTLRSRFDKVISDMLQGIQIHETLVDEVVLCASHLDLSEGDGAKAPNGLSDDWEFEETKVMQAQEELRSIRAKIAVLEGKMALEIIEKNKIIEEKQRRLDEAEKALSELRTVYIVWSNPASEVLLAGSFDGWTSQRRMERSERGTFSLNLRLYPGRYEIKFIVDGVWRNDPLRPLVSNNGHENNLLTVT >ORGLA03G0276700.1 pep chromosome:AGI1.1:3:25155811:25157482:-1 gene:ORGLA03G0276700 transcript:ORGLA03G0276700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SARRPTDLRYKSDPPGEPKILVPAYPNTLYIRYTGIPRRQLEGSGRRRGGDDTVEEEDEGEARWRKGWGVPISDGGTRELRIYRAAAVLGSARVSAVVGGARAERRASSRARLREADGGSGGDSFKSCIGKSSIGKPLGNPAAPSPRIDDGVELLPP >ORGLA03G0276600.1 pep chromosome:AGI1.1:3:25135292:25147086:-1 gene:ORGLA03G0276600 transcript:ORGLA03G0276600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPSLPPYEKPGLMQNWDRATWFGALDSSNLMRPRVFAVGKLHDKMQGTPVNIIVGSHVWVEDPNLAWIDGEVVSIKDNEVHVQTSNGKKVKTNKSKVFPKDMEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDTQRYKLADARSFHYLNQSSCIEVEGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAILHLGNINFAKGTEIDSSVIKDDKSRFHLNTAAELLKCNCDNLEKALITRVIVTPEEIITRTLDPASALVSRDALAKTIYSRLFDWIVEKINVSIGQDPNSKQLIGVLDIYGFESFKINSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFAKPKLSRTDFTIVHYAGDVTYQADFFLDKNKDYVVAEHQDLLNASSCPFVAALFPALPEETAKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKIACQKILEKMGLENYQIGKTKVFLRAGQMADLDARRAEVLGRAARIIQRQISTYIARKQFVSLRRSATQLQSFVRGTLARKLYECMRREASAVKIQKNVRRHKARVSYLQLQEAAITLQTGLRAMSARKEFRFRKETKAAVHIQARWRCHRDYAHYKNLQGAALTYQCAWRQRLARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKAQEIAKLQETLHDMQQQVEEAKAMIVKEREAARKAIEEAPPVIKETPVLVEDTEKINSLTAEVEQLKALLQTERQATETAKKEYAEAERRNEELIKKFEGAEKKIEQLQDTVQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLKTPENGVAPYGEVKPLPDITPISLNPKEPETEEKPQKSLNEKQQENQDMLIKCVSQDLGFSSGRPIAACLIYRCLLHWRSFEVERTGVFDRIIQTIGTAIEAQENNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGMRASPQSAGRPFLASRLMGGIGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNVLKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSEVISSMRIMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMKEIEVTDVDMPPLIRENSGFTFLH >ORGLA03G0276500.1 pep chromosome:AGI1.1:3:25125014:25125298:1 gene:ORGLA03G0276500 transcript:ORGLA03G0276500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVMEFAENLILRMMEDPGKRDAAQREHVYRMKERCERTKAAWSLPLRPYGFWTFDRFNSQLSWDPQISHAPGRRDPYDDVLHRHSNPPPSSS >ORGLA03G0276400.1 pep chromosome:AGI1.1:3:25122470:25124342:1 gene:ORGLA03G0276400 transcript:ORGLA03G0276400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEIDGSVRKGRRKNPNIGGSKKNLEVSLSESSRKFITCIRKYMILYLNLLEKNRDLWTLERAYTYLRTDKRFALCLGDIVPVGLGKYLQVLTSAIRNPEIRRVSGDASVENLLEKMFGVFMDHANLWADISTIPEVNSPELSESNLYSYIHQYIHLLESDVRLDVLEGLNEKIRKRFKTPKLSNSNFAKICKHASLAWCRCILIKLASITPLPESMETTDQPAPLSSGLVLYIDLQPDELLISSPDGPAQFKGLDMNWFETFNRIKNIPIRQTSEDNMETAVTVMKSTYNFYRESSCGTFPSGINLYTVTPSQPPVEGLQQAPDAIENLDLSIPRKLLLWVYTLVHGRYSNISAVVKYCDEMKSRSKRGAPTSTATASQQTTVSPQVGSKEKSTHIDPSEAQEAAAPTSAPAAAIAPSQQEAGVAVASSPHEAQKTAAAAAASQLTRSSSSRAMESTGQDGGRGNDGTA >ORGLA03G0276300.1 pep chromosome:AGI1.1:3:25111618:25115805:1 gene:ORGLA03G0276300 transcript:ORGLA03G0276300.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSIAAINESDTGGQWEPLAPTKEAQDDSLTTLIVLMLLCWSWITMECMCXFIVXXIXLTKFQWLIGLKSATLIFNMRLLNFTGFLHXKTLRLFFFNKGQHFMIMLFVVTYKLXSLMQMIQLYGTIWEHFPALWVCXAHQDGHLSKGFYVAQIIGTAWRNSWRCL >ORGLA03G0276200.1 pep chromosome:AGI1.1:3:25107270:25110806:-1 gene:ORGLA03G0276200 transcript:ORGLA03G0276200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT1G71840) TAIR;Acc:AT1G71840] MSISGEVPAGEGSDGEEVFINEEDIIHEIPIDEEDLPDRDDDEDDDGMGDMQEDDSQYAFRAHTDEIFAVACSPTDASLVASGGKDDRGFLWKIGSAEDVLELAGHTDTVCTVAFSSDGNLLASGSFDGRINVWNTATRTLQGTLEGSGSGFEWLKWHPRGHLIIAGSEDCNLWMWNADHNAILNTFAGHSSTVTCGDFTPDGKLICTGSDDASLRIWDPRTAQSRHVVRGHGYHTDGLTCLSVTSDSQTIVSGSKDNSVCVVNINSGQVVGSLDGHTGSIECVGISPSYNWVATGSMDQKLIIWDLGRQSIRCTCNHDEGVTSLAWLGPSRFVASGCIDGMVRIWDSLSGECVRAFAGHGDVVQSLAVSADGNSIVSVSTDGSALIFDISMFK >ORGLA03G0276100.1 pep chromosome:AGI1.1:3:25103383:25106374:-1 gene:ORGLA03G0276100 transcript:ORGLA03G0276100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSARSRPAPRAAPLRNPPQPARQAPPPAPAQNGGGSILGGIGSTIAQGMAFGTGSAMAHRAVDAVMGPRTIQHETVVSEAAASATPMANATPSDSCSIHSKAFQDCINNYGSDISKCQFYLDMLNECRRGGASA >ORGLA03G0276000.1 pep chromosome:AGI1.1:3:25098008:25099871:-1 gene:ORGLA03G0276000 transcript:ORGLA03G0276000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQRPGMLGIGCSNVLARARVQQCLAAASHSNMPCLPVLLHVNGSKKQGSSFLSSQRIGLSRRRRRRDLSGVVVAEASAAAGVTPASSSSPPGGISVSDVLWPSAGAFLAMAVLGRMDQMMAYKGVSLTIAPLGAVCAVLFTAPGSPAAKKYNMFVAQIGCAAFGVLALSLFGPGWLARGAALSASIAFMTITGASHPPAASLPLLFIDGPKFHHLQLWYALFPGAAGCIILCLIQEVVIYLKKNFKF >ORGLA03G0275900.1 pep chromosome:AGI1.1:3:25094686:25097014:1 gene:ORGLA03G0275900 transcript:ORGLA03G0275900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WVISKADGAAWPVANPVVTCAEVEDAGFPSSFVAKPFLFLQGDAIYMFFETKNPITSQGDIAAAVSEDAGVTWQQLGVVLHEEWHLSYPYVFTYKNKVYMMPESSKNGDIRLYRALDFPLKWELEKVLLEKPLVDSVIINFQGSYWLLGTDLSSYGAKRNREISIWYSNSPLSPWIPHKQNLIHNTGKMLSTRNGGRPFIYNGNLYRVDKGQGGGSGHGIQVFKVEILKSNEYKEVEVPFVINKQLKGRNAWNGARSHHLDVQQLPSGKLWIGVMDGDRVPSGDSVHRLTIGYMIYGVVLILVLVTGGLIGTINCSLPLRWSLPHTEKRSGLFNVEQRFFLYHKLSSLISNLNKLGSLICGRINYRTCKGRVYVVVVMLILVVLTCVGTHYIYGGNGAEEPYPIKGKYSQFTLLTMTYDARLWNLKMFVEHYSKCASVRDIVVVWNKGQPPAQGELKSVVPVRIRVEDRNSLNNRFNIDSEIKTKAVMELDDDIMMTCDDLERGFKVWREHPDRIIGYYPRLSEGSPLEYRNERYARQQGGYNMVLTGAAFMDHGLAFKKYWSKEAEVGRQIVDSFFNCEDILLNFLFANASLTSTVEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAKFSEIYGNLTAKRFFNSRGDGWDV >ORGLA03G0275800.1 pep chromosome:AGI1.1:3:25084470:25090328:1 gene:ORGLA03G0275800 transcript:ORGLA03G0275800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium (Mg) transporter 10 [Source:Projected from Arabidopsis thaliana (AT5G22830) TAIR;Acc:AT5G22830] RSLGLVRGGRGGRGPPPAAAAARAAGGGGEGWAGRDQGXGGGGGGGGGGGGGGGGGGEEGGGQARGRWVKGGRSEGIGVGAILRRLHLARHQGARLRDAEAGDVIEVKSNGRMSTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILVNLGSLRAIAMHERVLIFNYNSPGGKAFLDSLLPRLNPRNINGGPAMPFQLEVVEAALLSRIQRLERRLMRIEPRVGALLEVLPNRLTADVLEQLRLSKQALVELGSRAGDLKQMLIDLLDDPHEIRRICIMGRNCTLDKLSDNMECSVPLEKQIAEEEEEEIEMLLENYLQRPSLCILTVRCESIHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAIGALIAGIFGMNLKSYLETNAWAFWATTGGIVVGAVAGFFIMYSYLKTRKIL >ORGLA03G0275700.1 pep chromosome:AGI1.1:3:25080861:25083602:1 gene:ORGLA03G0275700 transcript:ORGLA03G0275700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGAQPVGGAAAAAAAPKRNNMDYTLAALKLFGCQLAGATEAPPSESDGTSQAQMLYGIRFQRVWLQGVVVLADYRDGAGHILVDDGSCVAEITLTPKEAEGQPWREGMYVMVLGSYSGKESLPRANRPVIKVHKLVDLSAQPDRESMWYMEVVEAFNFFYLQFSAASPLMKR >ORGLA03G0275600.1 pep chromosome:AGI1.1:3:25074413:25079900:-1 gene:ORGLA03G0275600 transcript:ORGLA03G0275600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSSSTSSPGGKAAAVGGEAGKEGGAVPSPAAAASDHAPVAPDGSPGGGALPAGGEAGKEDEGVASTGSRTPLAPGSSSGEGALGECGEARNDDEAPAPAPRLIASPTAAAAAAAAATTDYPHEGGEAEQEGGNASSPCEEQEEDDDDDEEEAPTHLPFAPSSESELPDDKSTVDPSFTISLIRKLVPQGPDVDKELSVKQGRTEEKDASSDVGEPKQPHDKDLWDNEGCKLWDLSVIEPQAELMVNNLVLEVLLANLHVRQFLRAKEICIGIIGNLACHKSLASAITSHNGLIATVVDQLFLDDPGCLTETFRLLSTIFQSNASMSWAEALLPDEILSRIMWIIGNTVHATLLQKILEFLSALVDDQDVITILIEPLIKVGLVDCAIGLLLNELEKSMDGNNLDRSDSLDSILRLIEELSAIDNCSKVMSSNDQLIKALSNIVKLPDKFEVEGYCASVVIIIANVLSDGEHLTPILSHDLPLLEGLFDILPLISDDNEARNAFWCILTRLLQQVEEGETITNSSKLKQFVSIFLAKFTLMKDDIERHGIQTEADSSVEGVSLKNGLRTSLKAICSITERWIADKSSLGKEDASLTENTIENAKELLTFCRRAMGIADL >ORGLA03G0275500.1 pep chromosome:AGI1.1:3:25064377:25069944:-1 gene:ORGLA03G0275500 transcript:ORGLA03G0275500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLYLFCATYGHLLLVLDDIEFYEKQVPFTLEQQRKIASSLNTFVYSTFIQNGGSSSKPLIDVTVRCLNLLYERDSRHKFCPISLWLAPARNGRIPIAAAARAHEAAFATLPGNQFSGIPIRSSVLTTIPHVYPFEERVQMFREFIELDKASRRVTGEVSGPGPGSIEIVIRRGHIVEDGYRQLNCLGSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKAAFSPKYGLFSQASASDSSLIPSNSAKLLDNGIDMIEFLGRVVGKALYEGILLDYCFSPVFVQKLLGRYSFLDELSTLDSELYRSLMQLKHYEGDVEDLCLDFTLTEELGGRRIVHELRPGGKNISVTNENKLHYVHAIADYKLNRQILPFANAFYRGLGDLISPSWLSLFNANEFNQLLSGGLQDFDVDDLRNNTKYTGGYTESSRSVKLFWEVIKGFKPTERCMLLKFVTSCSRAPLLGFKYLQPSFTIHKVPCDVTLWATIGGQDVDRLPSASTCYNTLKLPTYKRSSTLRSKLLYAISSNTGFELS >ORGLA03G0275400.1 pep chromosome:AGI1.1:3:25058466:25062661:1 gene:ORGLA03G0275400 transcript:ORGLA03G0275400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMAAAAAVLVSLLLVAAAAGQQAALVPGVMIFGDSVVDAGNNNRLATLVRADFPPYGRDFPETHGAPTGRFCNGKLATDYTVDNLGLTSYPPPYLGQLAQSDNRSLLHGANFASGASGYLDTTASLYGAISLSRQLGYFKEYKTKVEAVAGGKKAAALTSESIYVVSAGTSDFVQNYYVNPMLAATYTPDQFSDVLMQPFTTFIEGLYGQGARRIGVTSLPPMGCLPASVTLFGGGSGGGCVERLNNDSRTFNAKLEAASDSIRKQHSDLKLVVFDIYNPLLDLVTNPTAAGFFESRRACCGTGTIETSVLCNQGAVGTCANATGYVFWDGFHPTDAANKVLADALLLQGLQLIS >ORGLA03G0275300.1 pep chromosome:AGI1.1:3:25045201:25057026:1 gene:ORGLA03G0275300 transcript:ORGLA03G0275300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEENVVELLQRYRRDRHVLLNYMLSGNLIKKVVMPPGAISLDDVDIDQVSVDYVLNCAKKGEALDLGDAIRLFHDSLDYPYVNNSGTVEEFFLLTKPEYSGPAPAREPPPVPAIAPSPVVIPAPIVDPPPVAVHSPVSTTNLSKSQSFDSPTEKELTIDDIEDFEDEEDEFDSRRASRRHQSDANDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKRNKLMRKLGRSKSESTQSQTQRQPGLVGLLETMRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNLLIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRNLFRKIEESESLQPSAAEVQRTECLRSLREVATSLSERPARGDLTGEVCHWADGYHLNVALYEKMLGSVFDILDEGKLTEEVEEILELLKSTWRILGITETIHDTCYAWVLFRQFVFTGEQGLLKVAIEHLRKIPLKEQRGPQERLHLKSLRSSVDAEDSFQDFTFFQSFLSPVQKWVDKKLNDYHLHFSEGPSMMADIVTVAMLIRRILGEENNKGMESPDRDQIDRYITSSVKSAFVKMAHSVEAKADTSHEHVLASLAEETKKLLKKDTTVFSSVLSKWHPQSAVVSASLLHKLYGSKLKPFLEHAEHLTEDVVSVFPAADALEQYIMSVMASVVGDDGLDSICRQKLAPYQIESKSGTLILRWVNGQLERIETWVKRAAEQETWDPISPQQRHGASIVEVYRIIEETADQFFAFKVPMRTGELNSLCRGFDKAFQVYTQLVTGPIVDREDLIPPVPVLTRYKKELGIKAFVKKEIHEVRTVDERKASEIIQLTMPKLCVRLNSLYYGISQLSKLEDSINERWARRKSESINIRRSMSEKSKSAVSSQKNQFDGSRKEINAAIDRICEFTGLKVIFWDLQQPFIDNLYKNNVSQARLDAIMEVLDTVLNQLCNVIVEQLRDRVVTGLLQASLDGLLRVILDGGPTRVFSPSDATLLEEDLEILKEFFISGGDGLPRGTVENLVSRVRPVIDLIKQETRVLIDDLREVTQGAKSKFGTDSKTLLRVLCHRNDSEASHYVKKQFKIPSSAPAT >ORGLA03G0275200.1 pep chromosome:AGI1.1:3:25038059:25038820:1 gene:ORGLA03G0275200 transcript:ORGLA03G0275200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:I1NVW7] MAALFFVLLIPLILLAGGGQVAVESAATSRGKLIMVDLLEYGSGAGTLAMRVDTIHAAGFANRSGHWHALRGNGHLFDALGLAAARLPFGNTYADLVGGVANLRGLPISMPFTNRAATVLSGYDPATAAAGGDGEAALKRALATLTVAIGEAQRLRPVMDTLLFGGLGARVADEHLPYIEHWDAMWEELTRWRRSGGGAWGGPFTGVLRERANIGSAEDALAVIGVAFRDHLLRGATMPDLSPRSMGYSDGDL >ORGLA03G0275100.1 pep chromosome:AGI1.1:3:25034188:25035183:-1 gene:ORGLA03G0275100 transcript:ORGLA03G0275100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRAEAGSCSAASLQSAALPPPLAWERMHARMAASSLSSPLSTIARGGPLLLAGLACSSAASSLCLVHDTSASTGDDYFSSDDVHDSAFLLQSSSSSVARQGSRGRENAERERGEKSMVVGPTIPRKSVDKISQNLFREASRFRLNERRGQLREKY >ORGLA03G0275000.1 pep chromosome:AGI1.1:3:25032165:25033037:-1 gene:ORGLA03G0275000 transcript:ORGLA03G0275000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:I1NVW5] MALFLPLLVAASLFSPAAALLAGGEHGVVVVEPRQVEIMFDLATQSWYHELYRPLKAALTDDGAGPDLMGHAVVDLLDDDQPPSKQITVRLFASGGGDEAKLLVAEDDAYVAGFANRTGHWHTFRGGRCHHPVIIPAGGAAPCTELPFGGTYRELIGGVANLRAVPLGRASAASAMRVLSRYDPATTPAADAKMAVAKFMVMVTEPMRLKAVSRAVGGRWEEESYLSSDEAKYVPYWGEISAMLVEWNSTGRWGELGPRSKMDRARCPRPAGCEDDGGDGDDGGGKLFMI >ORGLA03G0274900.1 pep chromosome:AGI1.1:3:25021122:25022748:1 gene:ORGLA03G0274900 transcript:ORGLA03G0274900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVESSRIVKPLYDAAAPAPEWMPLSVFDTATYDESIAIIYAFRPPNPPSAAMELGLARTLAVYREWAGRLGVGPDGRRSVLLSDAGARLVEAAVEAPLAAAAPFVISRRPSPEVKRLHPSVDGAPAEEELLRVQVTRFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVRDRATRFVPRDPPLVEFPHRETEYKAPAPPAKIKSGAAGEDDDDDELGAAPAHDKIKMHKVHYTKDFVARLKSRASSGLPPSRRGRGYTTFESLVAHLWRAVTAARGLGAAATTTRVRIAVNGRGRMRPPVPRDYFGNLVLWAFPRCDAGELVARPAHHAAELIHRAVAGIDDAYFRSFVDFASSGAVEAEGLVPSADAGEVVVCPDMEVDSWLGMSFYDLDFGGGCPLYFMPSYLAMEGTIFLVPSFLGDGSIDVYVPLFENHLEEFKKICYNIA >ORGLA03G0274800.1 pep chromosome:AGI1.1:3:24983600:24990956:-1 gene:ORGLA03G0274800 transcript:ORGLA03G0274800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGGGVGGRRGGPGGASSVRGGERGRKRGRGALDAVEPRVPLPRGTGSGPGAGRDGAAAPVPALQPAEADVLSGEVETEMAAGMEAREGASSSSSASAPAVGEVEPPSRAVGALPPTSSKAVVLQARPGFGTVGTSCRVRANHFVVQLADKEIYHYDVAIAPELRSRERNRNIINELFRSHKKYLDGRRSPAYDGRKGMFTAGALPFTDREFVVKIANDPERGNQGEKEFKVTIKCAGAANLYMHSLKQFLAGTHPSQDRFPHKHLDIRTLVVALNGGEGITRVYAPRRWDCLLILVSSLILYLKSSIHTLVLYCTHGSSIYMLFRMQLXVALXINXSMTQFDPWFXNNNVFTHREYLSQHKLNCFXIKKTAFYFIFFVGPTRPTNFIKIWKKNSKXLQRREDIAPVXDXSQVLRGTKERERKRAGAKNFNRKLASDPDTQXPXSLANLGENPGYPPNNNFFLYIICXLLWRLGNMLKNSGTVLFPNXHEGTVKIRPVARLKTPSCREPPVSQSVIIIRRNCEVGITTSXSFATQTVVXSQSHKHEVTVFVLSLVQRTENVMLPVPLSQNXTEGEAPTWLRRTKHKKIKPHKPELLCQXTLNVLFGTKYKSRRKHVHANKNIEEHNVNLEKTTQTRTYRPLIGKRLNWNLHGTNLNLETIILFLSTSKFIILPLILTXQILKYFLHGIWHXGALDFSLFWNANTVKDLDLNXMLPLCWCSYYILLSVLSSFKIHRYXQXVISLLYEKSVLSYXLSCSYDSLSXYASCFKLCXYCQQVVASMDWPEVSKYKCSVSSQSHREEIIADLFTEVKDSQNRLVYGGMIRELIESFRKANGSCKPGRIIFYRDGVSEGQFSQVLLSEMDAIRKACASIEEGYLPPVTFVVVQKRHHTRLFPEDHHARDQMDRSRNILPGTVVDTKICHPSEFDFYLCSHSGIQGTCHPTHYHVLFDENNFSADALQTLTYHLCYTYARCTRSVSIVPPVYYAHLAASRARHYLEEGSLPDHGSSSASAAGGSRRNDRGVPVKPLPEIKENVKQFMFYC >ORGLA03G0274700.1 pep chromosome:AGI1.1:3:24971433:24979399:-1 gene:ORGLA03G0274700 transcript:ORGLA03G0274700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGGGGGGRRGGGREGGGGGGGGRGGQGRGDLGVVCERQGGGRGAGERGGRHDAPRGRGGVAVGAGAVRQQQQPFHAPAPPSGGGGRGGVQVQPDAAARRPVGGGRGGVGVPAPAPAVAVGALCGEMKGKMVVSGGAPPAGQGSSLAAAQGTDNVKREPSQVAAPAPAPPPATLPPSSSKAMTFPARPDVGTIGRRCRVRANHFLVQVADKDIYHYDVNFTCDRYVSISRSFFSQSFGHGGEIGSGTECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPVMDFAVQYLNIRDVSRRLSDQDRIKLKKALKGVQIVATHWKEKSIRYKITGIPSAPMNELMFDLDGNRISVVQYFKKQYNYSLKHVNWPCLQAGSDSRPKYLPMEVCSILEGQRYSKKLNEHQVTNILRMTCERPAQRESSIIEIKTFYLYSQIVNTNSYGNDDCAKEFGIKVANQLAVVDARVLPTPRLKYHDSGREKVCNPSVGQWNMINKRMVNGGCINHWTCLSFASRMHVNDIRMFCEDLVGMCNNIGMQMNTRPCVDIIQGQQRNIEGAIRNIHRQSSEKLDQQGLTGQQLQLLIVILPEISGSYGRIKRICETEVGVITQCCAPKSLQKGGKQYLENLALKMNVKVGGRNTVLEDALHKKIPILTDRPTIVFGADVTHPSPGEGASPSIAAVVASMDWPEVTKYKCLVSTQSHREEIISNLYTEVKDPLKGIIRGGMIRELLRSFYQETGQKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLQEGYLPPVTFVVVQKRHHTRLFPENRRDMMDRSGNILPGTVVDTMICHPSEFDFYLCSHSGIKGTSRPTHYHVLLDENGFKADTLQTLTYNLCYTYARCTRAVSIVPPAYYAHLGAFRARYYMEDEHSDQGSSSSVTTRTDRSTKPLPEINENVKRFMFYC >ORGLA03G0274600.1 pep chromosome:AGI1.1:3:24959857:24963409:-1 gene:ORGLA03G0274600 transcript:ORGLA03G0274600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGVSGPGSGDGVAAAARFGAHVVRGRWFMFFASILIMAAAGGTYIFGIYSKAIKTSLGYDQQTLNTLSFFKDVGANVGVLPGLINEVTPPSVVLAAGAAMNLAGYLMIYLAVSGRTPRPPVWLMCLYIAVGANSQSFANTGALVTAVKNFPEDRGVVLGLLKGFVGLSGAIFTQLYRAIYGADDDGASLVLLMAWLPAAISLLFIPTIRIMPRDAAAAGADARRRRERKAFFYFLYASIVLAVYLLVMNVVELEVVGFPKPAYYVTTTVLLLLIFFPLVIVVKQELNTYLQPPPPPTTTSSTVDEKKEHDGGGGEDDKPVACMQDVFRPPARGEDYTILQALFSVDMAVLFVATICGIGGTLTAIDNMGQIGQSLGYPQRSISTFVSLVSIWNYAGRVAAGFGSEYVLAAYKLPRPLALTAVLLLATAGHLLIALGVGNGLYAASVILGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGAVASPVGSYILNVRVTGHLYDREAERQLAAAAGGAAARRGSRDLTCAGVRCFRVSFLIIAAVTLLGAAVSLLLAWRTRKFYRGDLYGKFREVAMAGGEEGGARQVKVDDEASGSSGGGGNGTTKANPRRYKFTLWINMVSPTYDSGRVTEAETQIEYM >ORGLA03G0274500.1 pep chromosome:AGI1.1:3:24952408:24956221:-1 gene:ORGLA03G0274500 transcript:ORGLA03G0274500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEGRIFVGGLSFHTDERKLADAFRRFGKVVDAQIMLERHTQRHRGFGFVTFSDPEAVDSAIKEMHCQELDGRTISVNKAEPKMNTDDTRYESGGGRGEYRGGRGDGPPPGNCFECGRAGHWARDCPNPGGGRSARYSSSKFSAGGRGDRFSGSDRFGDRYMDDRYDGGYREPVDVRDRYGGGRDRYANDRYPSGGDRYVPDRYGGPDRYQPSSYGRERERSYERDGVRGNGGYDRSGPRGGGSYDRDGPRGGISGGYDRDGPRGGGVDRYGGGGPARYDGGSYRDRSGPYDRPSRGGRFDDRFQ >ORGLA03G0274400.1 pep chromosome:AGI1.1:3:24942480:24947495:-1 gene:ORGLA03G0274400 transcript:ORGLA03G0274400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A regulatory B subunit family protein [Source:Projected from Arabidopsis thaliana (AT3G54930) TAIR;Acc:AT3G54930] QPAAAPQPPLLEPLPLLRDVAAADRPGLLVRKLRLVAALFDFTDSLKHPREKEAKRQALLELVDYVQAPSPAANANAPARLPENVQEALVAAISVNVFRPLPPALHESAAAIDPGAAPDDEEEPYLDPAWPHLQLVYELLLRYVVSPDTDTKVAKRYVDHAFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNVFYRFIFETERHNGIGELLEILGSIINGFALPMKEEHKLFLSRALIPLHKPKSVAIYHQQLSYCIVQFVEKDYKLADTVIRGLLKYWPVINCQKEVLFLGELEEVLEATQPAEFQRCMVPLFKQIGRCLNSSHFQVAERALFLWNNDHIVSLIAQNRSVIFPIIFEALERNITSHWNQAVHGLTANVRKMFLDMDSELFEECQQQYIEKQARAKDMEEQRLSAWRQLEAAAAKASGDDMVLVN >ORGLA03G0274300.1 pep chromosome:AGI1.1:3:24937749:24940765:1 gene:ORGLA03G0274300 transcript:ORGLA03G0274300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSVILATASYDHSIKFWEAKSGRCYRTLQHTESHINRLEITPDKRFLAAAGNPHIRLFDINSNSNHPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLEKTLVGHQRWVWDCVFSVDGAYLITASSDTTARLWTMSTGEAIRVYTSHHKPVVCCALHDGAESAPS >ORGLA03G0274200.1 pep chromosome:AGI1.1:3:24928610:24933234:-1 gene:ORGLA03G0274200 transcript:ORGLA03G0274200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKVLSKIACNRLQKELAEWQVNPPSGFKHKVTDNLQRWVIEVAGAAGTLYAGETYQLQVDFPEHYPMEAPQVIFLHPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPADNDRYVRNCRNGRSPKETRWWFHDDKV >ORGLA03G0274100.1 pep chromosome:AGI1.1:3:24905613:24927207:1 gene:ORGLA03G0274100 transcript:ORGLA03G0274100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFSVFLAVSVVGWVVFTFASRLLAWFLSRVLHASVGFRVAGFNCLRDVTIKFSKGSLESISIGEIKLSFRKSLVKLSFGFISKDPKLQLLINDLEIVTRSSQGKKLSKPGKSRSTGKGKCELFSGRCTCRGPKGYGRVPSGAVDIKELKVDTFKIAGPNHILGVKLHLVPLNVHYGDLGLTADPLGNCNQLDAFQSDQISLANSEKVVAPFVCEDLFVTCDFGHEKERGVKIVNLEVKCGVITANIDERLFHKKHTKPEGFSGSENGGDASLDASAMNQTSKSKSILPSLKKQILAFPDKISFSVPKLDVKFTHLGEGLSVDNNIMGIHFTSAKTVPQDDLEEATPHFDVQIDLSEIHLVREGSSSLLEVLKVAAGASLDIPVDPFLPIRAEIDAKLGGTQCNLMLSRLMPWMRLHYLKSKGMKISKENSHRGISQTKEIKLIMWTCTVSAPEMSVMLYNLNGLVLYHICSQSSHLYANNIASKGIQIHTELGELQVHMQDEYKEFLKGNVFGVDTYSGSLMHIARVSLDWGYRGPEIEDMVETSRLTLVFSIDISGICVKFGFKHLESVVLNLMTFRTLFKSLASSRGSSKEKNLEHREKRRKKGMEILKLSVQKLSITYCGDANVVNMPVADPKRVNYGSQGGQVVISVSADGTPRLASITSELPGRSCNLMFSASVAISHLSVCINKEKRSTEAELERVKAIYEEDLSSSVKVTLLDMQNAKIVRRSGGLPDVPACSLFRATDINLRWEPDAHLAILETFIRIKYFLHNNKPINAEVGDICENGPGSISTGPGKPQKSDKRGSIFAVDVEVLRVSAELADGVEANMHIQSIFTENIMIGVLSEGLCLSLNGARIMKSTRIQISCIPFGTSSLLDAKVESSSKRDWVVQGLDVHICMPYRLPLRAIEDAVEDMIRALKLISAAKKTMLFPDGKENPRKVKSGTTSFGSVKFVLRKLTAEIEEEPIQGWLDEHYHLMRNKVCELGVRLKFLEEAISGSVDPNNCSSKEKLLYDGIEVDMHDTAALQKLRDEIHKQAFQSYYTACQKMVHAEGSGACAEGFQAGFKPSSRRASLLSLSASELDVTLTRIDGGELRDYTSPLFSATSGRCQGRVILAQQATCFQPQIQQNVYVGRWHKVMMLRSASGTTPAIKMYSNLPIYFQRGEISFGVGYEPSFADISYAFQIALRRVNLSTRVKDSGPTNQPPKKERSLPWWDDMRYYIHGKIVLYFNETTWKFLATTNPYEKVDRLQIVSEYMEIQQTDGHVDVSAKEFKMYISSLASMMKNCTLKVPPGVPRPFIYAPFFSLNVVIDWQCESGNPLNHYLHALPIEGEPRKKVYDPFRSTYLSLRWNFSLKPLQVQYDNDALSPSYGNSSMQCGAISDNHSKLANVKFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWPRYKIPRAARSGNLSLDKVLVEFFFRVDATPCCIRHATLTEDDATLTEDDPANGLTFKMSRLKYELCYSRGKQKYTFDCKRESLDLVYRGLDLYKPEVYIMRDINLSSAETVSNLKTNTQLGKVIHNKGNMGNFQDKHEDGFLLSCDYFTIRRQSRKADPARLMEWQDAGRNLEITYVRSEFENGSESDHTLSEPSDDDDGFNVVLADNCQRIFVYGLRLLWTIENRDAVWSWVGGISKAFEPPKPSPSRQYIQRKMIEQRQTTEGSKLTQDATSSVHVGSPSGQHVEALGSTSPLHSKANLSYDIAGKHGLFDDSDKGGNLQFMVNVIKPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHVGKEMLEQALGASSIQIPELQPEMTWQRADYSVLLEDVQAHVAPTDVDPGAGLQWLPRILGSSEKLKRTGALLERVFMPCEMYFRYTRHKGGTADLKVKPLKELIFNSPNITATMTSRQFQVMLDVLTNLLFARLPKPRKNSLQYSSDDEDVEEEADEVVPDGVEEVELAKISLEQKERERKLLLDDIRSLMGTGNNHTSNFLSVERDDCLWMINSGKSLLVERLKRDLENLKKSRKSASSTLRKALQKAAQLRLMEKEKNKTPSCAKRISMKISKVVWSMIADGNTFAEAEISEMVFDFDRDYKDIGVGRFTTKCFEVRNCISNAKCATLLSAWNTPPEKGVMLRVDLRQGAPKDGNSPVDLFELFQVEIHPLKIYLSETMYRMMWDYFFPEEDDSQRRQEVWRVSTSTGARRARRISTGADAVASTSYSVREHELPGRSGIHVSTSTNVSSWQGSDNSQVSKLQSLKSNVVCGSHPELRRTSSFEMTLEESAVDSITNNNVVSLVNSNVSSRDTNNFMADNSVAAAEMFRSRTKDSKPTKSVRLSQDEKKVGKSHDEKRTRARKLIEFHDIKISQVELIVTYEGSRLAISDLRLLMDTFHREDFTGTWRRLFSRVKKHIIWSVLKSMAGMQVKKFKAHNRETHDGAVPDHDLNLSDSDGDHHGKPDQFPVSWLKRPGEGAGDGFVTSIRGLFNSQRRKAKAFVLRTVRGDGDNECHDEWSDSDGEFPFARQLTITKKLLRRHTRKLRPRGQKNTGLTLQDSLPSSPRETTPYQSDSDSSSESPYEDFHE >ORGLA03G0274000.1 pep chromosome:AGI1.1:3:24898432:24898992:1 gene:ORGLA03G0274000 transcript:ORGLA03G0274000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPILPFLFFSSSAGRRRAKTGGGVKLSRGRAATGRQQQPPTPCSPATAAFAHRHGAVFRDLQGRVGHLQSAGNPGRHPAVRDGAGGRAGDGAPAGAGEAGAVRRRDHRVDPDAGVLPGGGRQRQPGGRGDQGAQRVRVRAGAGDRPPRHRARRREADGFREEAAAHRVQTKAGAMATTKTWGGAC >ORGLA03G0273900.1 pep chromosome:AGI1.1:3:24873150:24877150:-1 gene:ORGLA03G0273900 transcript:ORGLA03G0273900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGNERDSQAMYPADSGNSSYPVPSAIGNMLYPGNGSSGPYTEFSGIIQHQQNFMELPGHPTAISQDSSSREPNMVASYTDQRSFGPAKDMRNEMLMHLMDGAHNAGADLIHNDTHSSAQIEFGLLNNHNSMSVAPAPGQGLSLSLNTHILAPSYPYWSAKTELLTPHSYHGDDNRMKNMQSEASQAIRNSKYLKAAQELLDEVVSVWKSIKQKAQKDQAEAGKSDNKEAEGGSKGEGVSSNPQESTANAAPEISAAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQIVVSSFDMVAGSGAAKPYTAVALQTISKHFRCLKDAINDQINVIRKKLGEEESSSGKEGKLTRLRYIDQQLRQQRAFQQYGLLQQNAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGEADLDSNSSSDNVPRSKDKIATSEDKEDLKSSMSQTYQPSQLGESKANIGMMSLGGAPAGFHNEGNQDDSFMNLMLKDQRPGEAEGSLLHDAVAHHSDENARFMAYHLSGLGRYGNGNVSLTLGLQHPDNRLSVQNTHQPGFAGAGEEIYNSTASLGVAAASSSDYESTNQIDQRQRSSCRIEAAVWLPQAQGLGSCIFFCTSKCALLLSCGPGNYGFSVCSM >ORGLA03G0273800.1 pep chromosome:AGI1.1:3:24867365:24869393:-1 gene:ORGLA03G0273800 transcript:ORGLA03G0273800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKQLHSQRCGGHYCQLHHHRPEEIAGAGAESHRRDGSSGCGGAGPMVVLTLGSGAAAAEDDGGGRSRCCCGAGGAAPATMVSALRGSRYLLPAQELLREAVSAAAASARGGDDDDEAVASFPHDGKSTGIGGGGGVQAKLLSLLSEVQSRHEHYFGELRRVSASFEPALGAGATAGYTALMAQAMSRHFGSLRRAILRKLRLHAAAAARTRSALLRLARDAMEEDDEGDGEEEEEEEEEEVVNRVVRRTKQAAAARAEQAWRPLRGLPEDAVGVLRAWLFDHFLHPYPNDNEKLMLAVATGLSRTQISNWFINARVRLWKPMVEEMYNDEFDDDDAGSGGGGGASSSS >ORGLA03G0273700.1 pep chromosome:AGI1.1:3:24859787:24865752:1 gene:ORGLA03G0273700 transcript:ORGLA03G0273700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPNPSFGFRMGLAFRRALPYLNDGGPQSQFFEAATHGDVSRLRGEHGGWSRLAAVGPVLAFSWACVLAMSNFAPSRASRSKKKELASGEDAEGKARLADDISFSGIGPLQAAARLGEVDACRCMVEELGFDINAGRELGHDEVERLLLSRGASVEIAYFHGTPLHIAAAYGKASVMKVLLEHDADAGADVNFNDRDTPLVVAITNGLTNCIKYLLKAGADPNIPTCHCGALPIQLAASYGRRKDVELLFPLTSPIRAVSNWTVEGILAHAKSKHARSKCSKPKDKQDDHNKKAQFKLRGEKAIKDKHDEQDKKAQLKLQGEKAVKRKDYHGASIFYTEAIELDPTDATLYSNRSLCHLQMTEALFDADYCIKSWPEWLKGYYRKGAALMLLKEYEKACDAFLAGLKYPLNAEMEKVFREAVEAMKKHHVTTKSFKPSD >ORGLA03G0273600.1 pep chromosome:AGI1.1:3:24838946:24840380:1 gene:ORGLA03G0273600 transcript:ORGLA03G0273600.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKQDGQNEKAQLKLCGDKAVKRKDYRGASNFYSQAIEMDPTDATLYSNRSLCHLQMTEAEAALFDAEFCIQLRPEWIKGYYRKGAALMLLKKHEKACDAFMAGLKLEPGNAEMEKALREAIEAMKKHHVATKSFKPSD >ORGLA03G0273500.1 pep chromosome:AGI1.1:3:24832306:24833214:-1 gene:ORGLA03G0273500 transcript:ORGLA03G0273500.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVFLPICLPCRLVVPLVLQAPAMDNIYTSRKPLHVPLNISFWVHALYACYAKPNACECDPYAAKLMKTRCSIFRRYWSSITYFILTNEKHPEEVNE >ORGLA03G0273400.1 pep chromosome:AGI1.1:3:24822972:24830919:1 gene:ORGLA03G0273400 transcript:ORGLA03G0273400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQLSGPGSTSIGLWGHFSHTKEHTGCAKPLINELRSCEMVNRMDEKDRAKLTDMHIDGSGLMQVAANLGKIEVIRYLVEELGFDVNAGCLCGGATALGCAALFGEVNTYHVGCSFYLGHEEVAHLLLSSGSRVDIAVAHGTPLHIAVSFGKTGVVKILLDHHSDPNNTSGVWGTPILTALHSTKHGLDESDSLGCVKLLVKAGPDVNYACPNTPLVVATTEGLTDCMKYLLQVHADPNIPDKQSGRTPIEIAASLRRRNHVEILFPFTSPVRAVTNWTVEGIITHGKSRFSMPKIKDEPCSKVNDRKIELKSLGGKAVKRKDYLGASRIYSEALELDYFDATLYSNRSLCYLRIGEVQKALLDAEMCIKLXPEWVKGHYREGAALILLKEHKKAFEVFLNALKLDPANADIEKVLWEALEAMKKDDAAEEKTLKSVD >ORGLA03G0273300.1 pep chromosome:AGI1.1:3:24816349:24817431:1 gene:ORGLA03G0273300 transcript:ORGLA03G0273300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PED7] MDDMAKLAQMPRAPLLVLLLMLGVGAAVAVPEYREAPHFTNSAAARCPPPLPATDADAACSPHAAVHVAMTLDAPYLRGTMAAVLSVLRHASCPESVHFHFLASSSSSPEAAAAVRELRDTVRASFPSLAFRVYPFDESRVAGLISTSIRGALDRPLNYARSYLATTLPACVRRVVYLDSDVVVTDDIAALAATPLPGEAAVAAPEYCGANFTAYFTPGFWASRALSEAAFAGRRACYFNTGVMVLDLPRWRRAGYTAQIEEWMELQRRVRIYELGSLPPFLLVFAGRIAAVDHRWNQHGLGGDNYRGLCRGLHAGAVSLLHWSGKGKPWDRLDAGKPCPLDAVWAKYDLLRPAAAIETS >ORGLA03G0273200.1 pep chromosome:AGI1.1:3:24807200:24811162:1 gene:ORGLA03G0273200 transcript:ORGLA03G0273200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARLRVAAGSFLEREGETRRTRLVGSISFVVDELKSVDEQSFLDGHGEPYWYKRCGIEDFTLPVELRGHVTRS >ORGLA03G0273100.1 pep chromosome:AGI1.1:3:24802601:24803302:1 gene:ORGLA03G0273100 transcript:ORGLA03G0273100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVGESTSSGTNGDAAGGSFECNICFELPQEPIVTLCGHLFCWPCIYRWLHIHAHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNIPEADIPNRPTGQRPATAPQADPNNNFAHANPNANANPWFMGTGVPLANARWGNYAFSAAFGGLFPMLSFQVHGFPDANPYAQPAGFHYGYGHGHGFHGGHMGHAAHGVPRQGPLEQPQQADIYLKALLIMVGFLVVASLLAF >ORGLA03G0273000.1 pep chromosome:AGI1.1:3:24798217:24800657:1 gene:ORGLA03G0273000 transcript:ORGLA03G0273000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGGSEKDDGVPRFASLLAVECGKKDPSTRATPEVFAATRNQDHQCSQRNAGIVRGVYHKAPPLFHRVVVTPFAHLEEIKTDISNLSNDNKTIVYSTYEREAFGIACKMMRLSLVLPKNVMRHAIASWKILDETNNVHRYISNILEIISKFVPLNSLV >ORGLA03G0272900.1 pep chromosome:AGI1.1:3:24766944:24768542:1 gene:ORGLA03G0272900 transcript:ORGLA03G0272900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14480) TAIR;Acc:AT4G14480] PPPPPSAMAGDHYHQQARYPLDAGSYRLLCKIGSGVSAVVYKAACVPLGSAVVAIKAIDLERSRANLDEVWREAKAMALLSHRNVLRAHCSFTVGSHLWVVMPFMAAGSLHSILSHGFPDGLPEQCIAVVLRDTLRALCYLHEQGRIHRDIKAGNILVDSDGSVKLADFGVSASIYETAPSTSSAFSGPINHAPPPSGAALSSSCFNDMAGTPYWMAPEVIHSHVGYGIKADIWSFGITALELAHGRPPLSHLPPSKSMLMRITSRVRLEVDASSSSSEGSSSAARKKKKFSKAFKDMVSSCLCQEPAKRPSAEKLLRHPFFKGCRSRDYDYLVRNVLDAVPTVEERCRDSTQLCGCARGARCVSPCRHASGGNVVAAKNRRISGWNFNEESFELDPTDKPPEQQQQPCFPFHHDNDDDDDDDDMVEHEQERQDGKDGLSDVAVPHLVTILGSLEMQRDMVMQVLEGDGGGGGETAGREEMLVGYVRELEKRVQELSTEVEEEMARNAHLQELLHEGACENHTDSSHTSGSR >ORGLA03G0272800.1 pep chromosome:AGI1.1:3:24747882:24748244:-1 gene:ORGLA03G0272800 transcript:ORGLA03G0272800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQQWHGRLGHLEGSHGDSDLLGRAVVIDPHLHSLAVARQRQVMEAMVTGVAAVVVVDGAGDVDADMVAIDVAELVVLVDVELNADEVVRGVAVADVVEEADVLAGDEEDDAVAAGVSVGA >ORGLA03G0272700.1 pep chromosome:AGI1.1:3:24744770:24746291:1 gene:ORGLA03G0272700 transcript:ORGLA03G0272700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQDDATQLLPDDLLAEILGRLTPRWLAASRCVCKAWQAIIDSRRLLHEDLLPLSLGGIYFNFHDERHSVFFSRPSTRPIISGMFTDYTPNANRVEDHCNGLLLLWGGVANPATRQWAPFPEPPPPCTEIKGVCQLSNYLMYDPTISSHYEIFQIPCALDRYHDELDPMDDTSQWPPSPSVLNVFSSRTREWEKRSYVREGEAAGTVADMALSFPYDHFNGVYWQGALYVHCEADFVMRISLSDKTYQVIMLPITTEVSEYKSHFFGRSKNGVHYALMDRDQRLRIWFLNESCGQKMWELKHDKNISFLLKRHDKYGQNDGPWTLHYFDYCENYDQNDIDAHYKGYINEDYNEECKHFEAASYEDRNGNSIRNVIVPINKFEWDSDNDSILDIENMNDEHRDTFFSILGFHPYKEVIFLNRQMERGLAYHFNSSKIQYLGKTFPECYHSEVHEMYASFVYTPCWIGELSEST >ORGLA03G0272600.1 pep chromosome:AGI1.1:3:24735457:24736692:-1 gene:ORGLA03G0272600 transcript:ORGLA03G0272600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAADPTTPVGGGGGGSSLPRRRRRQIRPPRSLAAVVPAPSFPRGERRQGEEKAGHRRLRSRLVRRRCSCLVRCLLLHGSREQGRRGHEWIRWRWGEENRIRWRKFSPPLAPSPSIRESPPTLATAAPRQFPSLKTLAHRTSLFTSSANAPAPAKEHGYCELGCVARRASAAGTTKGMGRVVDPLALFKRPSSSAGEFRKWVDWELPCYLTSFLTVVILGIRLNAKPNFTLQTRPHQKERQQATATEQQELVSMEAQTERSARLRSP >ORGLA03G0272500.1 pep chromosome:AGI1.1:3:24723320:24726914:-1 gene:ORGLA03G0272500 transcript:ORGLA03G0272500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSVFLSRPSSTRPAISGMFTDYTPNDNLVEDHCNGLLLLKSGVANPATRQWVPSPPTPPQPVXMKDFYQFDSYLMFDPTISTHYEIFKVPRVPSTGFRVLDPMLKSLQWPPSLISLSDNKYQVIKLSMNTEMTWELKHDNDLSFLLRCQEICTQNDGPWTLQYHNYFGYPRQNDMDNYYEAHKKHIVRKYHHEYESVWYKNHCEDIKKDVVVRVNNFEWDSDNDDILDTANPNEGECHGYLSILGFHPYKEVIFLNQKRERGLAYHFNSSKVQNLGNNKYQVIKLPMNNEVSPYKNHFLGKSMRGVHYALIDNEHRLRVSFLNKSCGQMTWELKHDKDL >ORGLA03G0272400.1 pep chromosome:AGI1.1:3:24706602:24706979:1 gene:ORGLA03G0272400 transcript:ORGLA03G0272400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGSEIGSASTSAQPSPDRSSNLDLSSSNDHDSTDACTDPTATPPVGATHLPPISSLHTAPTLTTIATPLVGAQHTRHHAAQNLHHLGAVHRYNVHGRHDEKRKVQPLPDEKKATPAAGLELGVTS >ORGLA03G0272300.1 pep chromosome:AGI1.1:3:24704397:24704828:-1 gene:ORGLA03G0272300 transcript:ORGLA03G0272300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGDERRSAGAYEAALRAVQRPAAKPWRGGGGGGGAGGSAGAAGAAPPKVYRVAPRDFRELVQRLTGAGTAAPAVAMGASQAVPAPPPPYAGVPAHGQSDAAAAADMFDYASWFSVPLLSPASMPAAGYDGQLHHGHGALL >ORGLA03G0272200.1 pep chromosome:AGI1.1:3:24698650:24699144:1 gene:ORGLA03G0272200 transcript:ORGLA03G0272200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTARVAAALFLLLLGLSATAPLAARDLMSAAPSAKKQPAGRKPSVQPGYPGTNPGGGGGGGIPTIPGFGSIPGMGGGMGGFNVPGMGGGWGGGYGTPSGGYSRGGVVVPTVVCSDKGPCYRKKVTCPKKCFSSYSSSGKGYGGGGGGGGCTIDCKTKCTAYC >ORGLA03G0272100.1 pep chromosome:AGI1.1:3:24691929:24692456:1 gene:ORGLA03G0272100 transcript:ORGLA03G0272100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAAPKSAAAAAGNCKYWLLKTEPGEWSWSDQARAPGGGVAPWDGVRNRQAVNGLRAMRVGDRCLFYHSGAGAASRRVVGVVEVAREWYEGEGEAASGGAVDVRAVGEFRRPVALGEIKKAAGGGRGEVEGMREFALLRQPRLSVMPVPAKVWDWICEMGGGFVQDGEDEDDS >ORGLA03G0272000.1 pep chromosome:AGI1.1:3:24688975:24689863:-1 gene:ORGLA03G0272000 transcript:ORGLA03G0272000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGFVRDKAEGGKSGSVAPLRHPAAHVPCSLAARSASSKKRVEECLRQEVYEKALTPARGGKKCSRHPCDTIQSSGNAYAKSPFLRCDLALITHLLSRQQVSREIHHWPLRRRGPRRRRTKFALVFKDGGGVISGIAVEGGDSIRGKS >ORGLA03G0271900.1 pep chromosome:AGI1.1:3:24687613:24687981:-1 gene:ORGLA03G0271900 transcript:ORGLA03G0271900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIEAHLGHLLRGDSSSVGGSAVATVPAASVAHAERRLHQLMSPDRDQEERATTSPRPAVSVQSCVERGYSVVTVQCRYRPKLLLDVVCTLTDMDYVVFHSTIDTTGDQAHQESHNTFFVM >ORGLA03G0271800.1 pep chromosome:AGI1.1:3:24683947:24686801:1 gene:ORGLA03G0271800 transcript:ORGLA03G0271800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGKQSAATATTTHTVCHQAYPSYNRSAKMPSVPQWWMINDDRATMMTLATGQAGCMSRTIFVASMDGHYLSLGCEENGQHEVPPAHFAVSDRRNRGEKIARAKKQKNPRGEGERGDQEFRMRLSFPLVAGAVVIGVISGNVIFGPPLQKYWAEKQQQQQQQQQEGAKEGQTGTT >ORGLA03G0271700.1 pep chromosome:AGI1.1:3:24682249:24682596:1 gene:ORGLA03G0271700 transcript:ORGLA03G0271700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELGQLNPAKLPVVERGNYDGRVEGCEEDDCLVERLLVAHLDYIYTQGKHN >ORGLA03G0271600.1 pep chromosome:AGI1.1:3:24677377:24677490:1 gene:ORGLA03G0271600 transcript:ORGLA03G0271600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMADLLHISWPHLPDQCVGYLGRGSTHPLFPRTR >ORGLA03G0271500.1 pep chromosome:AGI1.1:3:24673194:24673568:-1 gene:ORGLA03G0271500 transcript:ORGLA03G0271500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGIAAAFFASLERCSCVNVRTHDELDDETRDSEALLIMFDVVDGSEDAASAAAGAGGRRGRRSEKSKRGGGGCGGQLW >ORGLA03G0271400.1 pep chromosome:AGI1.1:3:24657230:24658531:-1 gene:ORGLA03G0271400 transcript:ORGLA03G0271400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLSELLQEQQEPFLIEAAKIRRLRRGGGRGGGGGGACCPVAACRRLLRLCNHGFKKRRGCGGVGGGGGVGGGGVSGLRSALSKALCGKAVRRVLRWDSLGCFPGGVDREFRRLRRSTGDSGECDPRAMDFSGHSNDERPPGRWKAPGIGMDMDVDESSRQLSPVSVLDLHSDDGDSPVHCRWEDEKPSTSGSSPPSEGFIGATSPCFTYNIHGKIIPMEVEEDEEEGDEEEEEMARAGKSIEQQISSWERIAEDISNIPRMVEMDFSQSIQQWGELKLEAAMEIGTRIETLIFDEIRRETVCDMLASHCTLAAATTSC >ORGLA03G0271300.1 pep chromosome:AGI1.1:3:24645476:24645863:1 gene:ORGLA03G0271300 transcript:ORGLA03G0271300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDRIATRSHTPESGGTLKQPYAAVAVLEYALCVNGKPCARPRVNGEPSDRPPPRIDEEPCARLRVVDGEPGVRPRVGDLGGWRSIDGSRRSLILSKSFWVHV >ORGLA03G0271200.1 pep chromosome:AGI1.1:3:24643801:24645104:-1 gene:ORGLA03G0271200 transcript:ORGLA03G0271200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDPHISCSPLSSSSSLPNPHLSSLRLSPLLSPGDGEVAARGGQRPAGEEEAVRSGGGTALAVVGGRGIGDERWLWLRRPRSLHVLDPFAAAAAAAAAEAPSGEAAIAARHGGIMAGAMEMPSLGVRMYDLTDQHIEAALTNLIAGLESEYKFEVNSVLIKVILGVAMSVDEVQDCVSQLISCKGYFGRGGCCGKDRLRAFSSCCIESEALETVECLTKSTVNELSEPVDRDPVIFVLEEPAAATATTTMTLTLPRYRHVASPPPPVHAGVAGLGDEQQLEXLARVLSSLGTNEMAFAAPLLANSALLAAWPGSITVFAAPDVFLRSSCTMCSRCHVLPEHIALGERRKNWKGEFY >ORGLA03G0271100.1 pep chromosome:AGI1.1:3:24632496:24632735:-1 gene:ORGLA03G0271100 transcript:ORGLA03G0271100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVSILTYAFSCLLCLLCVQIGLVGVGFDCGFVAVMTNKPRFSMLRLDLFKDQNCADLNLCVSEPPQTHHVRIDFCES >ORGLA03G0271000.1 pep chromosome:AGI1.1:3:24629097:24631553:1 gene:ORGLA03G0271000 transcript:ORGLA03G0271000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADFSAAAMELGEVLGLQGLTVPSTKEGDLSLIKRAAAGSFTQAAAASYPSPFLDEQKMLRFAKAAHTLPSGLDFGRENEQRFLLSRTKRPFTPSQWMELEHQALIYKYLNAKAPIPSSLLISISKSFRSSANRMSWRPLYQGFPNADSDPEPGRCRRTDGKKWRCSKEAMADHKYCERHINRNRHRSRKPVENQSRKTVKETPCAGSLPSSVGQGSFKKAKVNEMKPGSISYWTDSLNRTMANKEKGNKAAEENNGPLLNLTNQQPTLSLFSQLKQQNKPEKFNTAGDSESISSNTMLKPWESSNQQNNKSIPFTKMHDRGCLQSVLQNFSLPKDEKMEFQKSKDSNVMTVPSTFYSSQEDPRVSCHAPNMAQMQEDSISSSWEMPQGGPLGEILTNSKNPDDSIMKPEARPYGWLLNLEDHAM >ORGLA03G0270900.1 pep chromosome:AGI1.1:3:24609009:24613964:1 gene:ORGLA03G0270900 transcript:ORGLA03G0270900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYHCCGAAFFEHVVIIVVLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTDQDRKHAAKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEILPQSICSRYGLAIGASVAPLVRVLVWVCFPVAYPISKLLDHLLGKGHTALFRRAELKTLVTLHGNEAGKGGELTHDETTIIAGALELTEKKAKDAMTPLCQTFAIDINAKLDRDLMQKVLDKGHSRVPVYYEKKTNIIGLILVKNLLSINPDDEIPIKSVTIRKIPRVSEDMPLYDILNEFQKGHSHMAVVIRQTNANYAAEPPANDGGTLEVAISIDDKHGEKVVKNLPPLRRWKSYPNSQNSNRGNRNRKWSKDQSDVLQIHEEPLPTLNEDEEAVGIITMEDVIEELLQEEIYDETDVHVEEQ >ORGLA03G0270800.1 pep chromosome:AGI1.1:3:24585868:24591850:-1 gene:ORGLA03G0270800 transcript:ORGLA03G0270800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGALLTSAGINISLCILFLSLYSVLRKQPQNVKVYFGRRIAEENSRLREAFILERFVPSASWILRSLRCTEDELLATAGLDAVVFNRILVFSIRIFSLAAFLCVLGVLPLNYFGQDMLHVRIPSASLETFTIGNMQERSRWLWVHCVALYIISGVACLLLYLEYKHIARLRLLHVSRASTNPSHFTVLVRGVPKSTKESISCTVESFFTKYHASSYLSHQIIYKVGKLQKIVTGAKKAYKKFKHFKGTTVDQRCGPITYRCGLCGASSKSFELLPVEPEQGMKKHDVKDSELSLPDKDCGAAFVFFKTRYAALVVSEIVQTSNPMEWVTSLAPDRDDVYWSNLWLPYKQLWIRRIVTLSGSIVFMFLFLIPVTFIQGLTQLEQLQQRLPFLNGILKKKYITQLVTGYLPSVILQIFLYTVPPTMMFFSTLEGPVSHSERKRSACCKVLYFTIWNVFFVNVLSGSAISQVNALSSPKDIPMVLARAVPVQATFFTTYVLTSGWASLSSELMQLFGLTWNFIMKYVLRMKEDSYFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQFLNVYCTKYDTGGLYWPIAHYTTIFSIVLTQIICLGVFGLKESPVAAGFTVPLIILTLLFNQYCSNRLRPLFKTLPAQDLIDMDREDEQSGRMDDIHHRLHSAYCQFADTDDIPLKGVHVDRDADASGSSGESSCKEDTNQPTTSDISHPTLEGLPVNRLRHAVRSLSSIIRLQKRGLSPQPAGPSADVNPQTA >ORGLA03G0270700.1 pep chromosome:AGI1.1:3:24579390:24579974:-1 gene:ORGLA03G0270700 transcript:ORGLA03G0270700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPSSRASSRQRAGVVKAADVVVVSVGNVPAASHLLTAIHHHPLRALAAAPRHAAPGPPPLAPSRPRGRLRRPLDLPPELPAAAQQRHLHLRRRGRRARRRRWRPGERGVVATSEAAASGPHPDPTSCGGRLRAGRRFPLFFIFVSCERNNLTAQDNRLSRVSRAVEPPAHENIDFSRHLGVDGQIIRMEKSF >ORGLA03G0270600.1 pep chromosome:AGI1.1:3:24571880:24573150:-1 gene:ORGLA03G0270600 transcript:ORGLA03G0270600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLHLLLASGGGSKASTTITPFCLARDHASTSSPSPAAVAAPAPPPEATSGSEQSIHGSGTGLQSSEAMIKAKIMSHPLYPSLLRAFVDCKKVGAPPEVVGRLSSLAVVTDVPQYSGDRWLPAQQPAADPELDQFMETYCYMLTRYGQELARPIQEAEEFFRGIEEQIDSLALGAYTRTICQLIIGFSLFRSE >ORGLA03G0270500.1 pep chromosome:AGI1.1:3:24565710:24568365:-1 gene:ORGLA03G0270500 transcript:ORGLA03G0270500.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQNEDVIYDYEDEVAGGLPEKSAAFGENEVTTTTRRHLMNKYSGYLNSLWTEISNKKKNSTGHLPRDARHKLLQWWHLHYRWPYPSEAEKAALAESTGLDKKQVTNWFINQRKRHWKPKPAAAMDAGFLQMHPRCLNIPAWKYRFLQATS >ORGLA03G0270400.1 pep chromosome:AGI1.1:3:24564663:24564905:1 gene:ORGLA03G0270400 transcript:ORGLA03G0270400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRQVKCETCGKSTWAGCGRHVASVHSQIADGQHCACRAWPGVATAAGEKDLAVTAAAAAAETAGGKTTSAAAGERSSSA >ORGLA03G0270300.1 pep chromosome:AGI1.1:3:24552328:24552528:1 gene:ORGLA03G0270300 transcript:ORGLA03G0270300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TARTRAGGHTDGTAVARRVRDGTVVGAGLLPPPLPSINLWKDEMGARMEGVAVEALLDGEVGGGGGV >ORGLA03G0270200.1 pep chromosome:AGI1.1:3:24546858:24547777:-1 gene:ORGLA03G0270200 transcript:ORGLA03G0270200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLPLLAPDSKAATSSPLCLTLDNPTSTSTSPAVPSSAPPPAAALEPSRQSFHERETDAIKAKIMSHPLYPALLGAFIDCQKVGAPLEVVGRLSALAGELDSRAEDRHLQGQSSDPELDEFMETYIDMLVSYRQELTRPIQEADQFFRNMEAQIDSFTLEMCSF >ORGLA03G0270100.1 pep chromosome:AGI1.1:3:24542666:24543212:-1 gene:ORGLA03G0270100 transcript:ORGLA03G0270100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGLPEITSPCAEDKELKSHLLNKYSGYLSSLWRELSKKKKKGKLPRDARQKLLHWWQLHYRWPYPSELEKAALAESTGLDAKQINNWFINQRKRHWKPTPLAMEYRSLQPAGAASYGGASAGASTSGGGSAVVRGMEGQHFTGGGAYPRGGP >ORGLA03G0270000.1 pep chromosome:AGI1.1:3:24537264:24539737:1 gene:ORGLA03G0270000 transcript:ORGLA03G0270000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGDAGRVVGDGGAGAGDDNIILNPEFDSGLDNWSGSGCKIELHDSLDDGKVLPVSGKYFVAATGRTDTWNGVQQDVTSRLQRKLLYEVAATVRLSGAAATPSPCEVRATVAVQNTDGRQQYISVAKSPAVSDKEWMQLQGKFLLNGTVAKAAIYIEGPPAGVDLLLDSLVVKHAQKATPAPAPDFKNLEYGANILQNSDLDDGVNGWFGLGSCALSVHGGAPRVLPPMARQSLSPLDGDDGDGGEPLNGKHIHVTNRAQTWMGPAQVITDRVTPYATYQVSAWVRVGGQQAAGKPQNINVAVAVDSQWLNGGQVMALDERWYEIGGSFRVESSSTPPSRVMLYIQGPDPGVDLMVAGLRVFPVDRKARAKHLRKLTDKVRKRDVVVKVTAAAGGAAAADGVEVRVRQVSNSFPLGACIMRTNMDNEDYVDFFTKHFNWAVFGNELKWYWTEPEKGQLNYADADDLLKLCADHGMCVRGHCIFWEVDSAVQQWVKALPADELSAAVASRINGLLTRYKGKFRHYDVNNEMLHGSFYQDKLGAGARAAMFRAASELDPDALLFVNDYNVEGACVDVRATPEAYIAQVTGLQEQGAAVGGVGLQGHVTAPVGAVVRAALDRLAVLGLPLWFTELDVSSANEHVRADDLEAMLREAYAHPAVDGVVLWGFWELSMSRDDAHLVDAEGEVNEAGRRLLQLKREWLTRAHGRADGNGEFRFRGHHGAYHVDVVTPAGAKISQEFTVDKDDAPLVLNITV >ORGLA03G0269900.1 pep chromosome:AGI1.1:3:24521059:24528417:-1 gene:ORGLA03G0269900 transcript:ORGLA03G0269900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDDVEEDDMDFNPFLREGSPSETSSSLTSEAECEETSFDDQRSSEVYPHGNFVNEHTGDCALPQSALLSEDTCKETNPESTSSQVPCENGDGCLNGLEQEALPSEVACSPSLKDSHNLLLEGSEEDAICRRTRARYSLANKSLEELETFLQESDDDGDLQNVDEEEEYRKFLAAVLSGGDDGTQACQGDENQDEDENDADFELEIEEALESDGDENAENYEDTNIMKEKDGRRRQTRKNRPCTELSGAANEHYGSTKSSLRPILPYISPELLASGQPYGWQYPSQSTFIPSSLMPVNGAALANGFSDQQLGRLHMLIYEHVQLLIQTFSLCVLDPSKQQLATDVKKMIVELVGCCDRALASRSTIHRQFCFEPQHLRSSFGFSSSETLQYQWMPLIKSPVMSILDVSPLHLALGYLKDVSDDTSGKSQQKKTLAATLVENTKKESVALVPSDIARLAERFFPLFNSSLFPHKPPPTAMANRVLFTDAEDGLLALGLLEYNNDWGAIQKRFLPCKSKHQIFVRQKNRSSSKAPDNPIKDVRRMKTSPLTNEEQQRIQEGLKAFKNDWALVWRFVVPHRDPSLLPRQWRSATGVQKSYNKSEAEKEKRRSYEAKRRKLKASMPNSQAVHGQETRTPVNANTIDFHPLLQRTEVDMHGEVPGDDCNRPYNQSECNMREAPADDQSTARKKSTGPCEKENNIDLDIHLCSSRDYMNGNDTGGTSSKLNDRAEVSRKDKASVSELEDGNVCSHHGIEEPNEESMQGIVMEQEELSDSEEDSQHVEFEREEMDDSDEDQVQGVDPLLAQNKEVSTSVGCGEYEGSNNQSQNQQRLVQVGGKQGAATQKPQRLSNARPAREKLKGDNAKRPGSRTTQRSSTSPTTEPSQTKTRRPKAQQVQIGAERKSSDSRRSRKKPAPS >ORGLA03G0269800.1 pep chromosome:AGI1.1:3:24516287:24516511:-1 gene:ORGLA03G0269800 transcript:ORGLA03G0269800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLLARGAAGRRKKMGAWMSRVWFLMFPAKKYKIVVVGQTNLHAAQTNLPQSDLSPPWQWQQRGLELVGWHY >ORGLA03G0269700.1 pep chromosome:AGI1.1:3:24514868:24515343:-1 gene:ORGLA03G0269700 transcript:ORGLA03G0269700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHLLLLLLLALLLASSVLAKAAAGFTEEKGVAGIRGVIGSRPPSCEGRCRSCGHCEAVQVPITPQQLQKKRGQGDDRRRKKKQLLGHGDRAAAAGGRAMPDSYDDHSNYKPLSWRCKCGGMILNP >ORGLA03G0269600.1 pep chromosome:AGI1.1:3:24503942:24506105:-1 gene:ORGLA03G0269600 transcript:ORGLA03G0269600.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNFMASTISAASKASPRKKVLGERNEQQQLYPVASSPVKPMASEAGAPRRLRLSFDGAPNTAPPAASTPVTAAAGSRHSFGGEEERRVENPPCKNHHHATAFDDAASAEADQGAAPYDPRTNYLSPRPRFLHYKPNPRIDLYRQGSSGGVRRLEDGFASESSEETVTTTTTSSSEEEVVLVDEEQQAHLSSELGDGAAVPAADACALPLEPASGSPRARVLTPEPATRSPRARVQTPAPESTASSERARRPRKRSSLRFLVAPLALVVFMAAALICVPPPPVDSPVMPLTALSKVSDFLSVQELHPVELAAWLKQWSSSSLNLVTSYWESLVWAQEQEFFGPHFAANLSAAAASAHEGVDLYCNFVETRPVLMEDIGASAFEQDSKIQEAVSASDSELISEISDVEQEDITDKGDVIDDGFLAEELNVEMPEEDAEMSQEVSGSNGEEMASFSQDLEPSQPAGEAEPLENIETSTSSLKQDVQTDDSEGDRAADGEESPEADHGMKSELGMWPSYLDKISKPAAAGAALAAVIVPAALAFLLMSKKQDQAVANAAAEAPADQAEPVVEKTLSGSGSSEGHLRVKGSQLQTPPVADETERFGGGSGASMYSSSLSSGYGRRRSAKEDESLSLDPVSRRDSAAQPTSSYGSFTTYEKIPAKKRNKDDEAVTTPVRRSSRLRNQVKSPEA >ORGLA03G0269500.1 pep chromosome:AGI1.1:3:24499141:24502915:-1 gene:ORGLA03G0269500 transcript:ORGLA03G0269500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:I1PE99] MATSAAAAASTLPYLVAAASSSAAARRRGAHRIRASSAAAEVEGAMDVVSEAELREKGFMGLRKTKLVCTVGPACVGALPALARGGMGVARVNLCHGGRGWHRAVMREVRRLNEEEGFCVSLMVDTEGSQLLVADHGGAASVKAEDGSEWLFTSKRTDESHPFTMHVNFDKFSEDILVGDELVIDGGMATFEVIEKVGNDLRCKCTDPGLLLPRAKLSFWRNGKLVERNFGLPTLSAKDWADIEFGIAEGVDCIALSFVKDANDIKYLKTYLSRKSLEHIKIFAKVESLESLKNLKDIIEASDGVMVARGDLGVQIPLEQIPAIQEAIVDLCRRLNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQYADAVMLSAESAIGAYPQKALAVLRAASERMESWSREENMQKLLPQHQLAIALPDRISEQICTSAAEMANNLAVDAIFVYTKYGHMASLLSRNRPNPPIFAFTDNANSRKSMNLYWGVIPLQLPLSNNMEDNFNQTIKLMKSKGSVKSGDTVLVVADSDLNRPRAATSVFQSIQVRIVD >ORGLA03G0269400.1 pep chromosome:AGI1.1:3:24496384:24497928:-1 gene:ORGLA03G0269400 transcript:ORGLA03G0269400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDVEQLEESSRVSWLILKASWIKADIAQERSSGKEDNTYDRRSRIGSQIELVPVRDRVLEHPYRAQDTKKAMLLHLIPGKATAPSDDDDEEEKDNGKDYIVHCADEIGPSQLDNAPQPSQPTQQYNTCCNQSIKLNTLQIIRLPFTFSKAWRQPHHVPTFHTLAPEAA >ORGLA03G0269300.1 pep chromosome:AGI1.1:3:24488322:24490592:-1 gene:ORGLA03G0269300 transcript:ORGLA03G0269300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTLPPSLSLTMLTGGGDNGKVEMRWCWRMRMRHHGTWKKWIYQRLGWELLPFFLCNHCDTDRQALLRRIHRQQPWKHRIYLLWATEWRSAAKEDLVFALEEDLHAQQLITSSNFKRRGQVLGGGSGGGLDAAVLLPHDGANELADGVASLAASGSLNLGASSLDLAAGATTAAVPLPLPSSRALAADPDAGSTPPSSSPHDDAEELIDGGLAGSGRRLAGSGGGGHNGGGSSPPPFFTSVGSEEQRWRRCDRTRQRTGLRLRQRVASGCGFALLEPKRDASSGSSASAKSACGATVPTATDARVRHGGLRPQARATLCTWPDKKSKVLSPAVT >ORGLA03G0269200.1 pep chromosome:AGI1.1:3:24481681:24485450:-1 gene:ORGLA03G0269200 transcript:ORGLA03G0269200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSLFMEWAMETLQHLHPLPATPPPAGGGYAGDNATFPSLQALRESSVSQNGMAPPEPTAHEGHRASYSWSSGDTDSVSGGGGGAVMEHDGWSTSPNSVRCAAGGGGGGGGGGLWPVSWNFSSAMTQPCNDQATPPNPPTTTRARYGGGGVRYLPAAVSPSPSAQTRRASSKGNGGGGSGSSSAAPYAQEHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDAVRYVKELQEKLSELEQHQNGGVESAVLLKKPCIATSSSDGGCPAASSAVAGSSSSGTARSSLPEIEAKISHGNVMVRIHGENNGKGSLVRLLAAVEGLHLGITHTNVMPFSACTAIITIMAKVEDGVSVTAEDIVGKLNTVLQQNSRNSARETKS >ORGLA03G0269100.1 pep chromosome:AGI1.1:3:24479210:24480550:1 gene:ORGLA03G0269100 transcript:ORGLA03G0269100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GXSSTGRRRIQRRWSCRRRRPGHQGRRPPRRWRLQPXGGGSLGTGARFSTMRRRIPSGVEGLGVWVEEDFLSGSGGPRGSGGLEIXETEKDTVEGGGPMAYGWQRPRNPTKQKQILSACVWSASSNWMMTNEGEDVEKQGCAEVSNQQFFIKATQLKRASVACPASRPTSSTASGTSSWIGTTCRCPLGTCDLRLRPSPCCAKLYKKGIIQPSPICTHVPAKAEKEGTVAKAEEFGSVGKVVL >ORGLA03G0269000.1 pep chromosome:AGI1.1:3:24474568:24476064:1 gene:ORGLA03G0269000 transcript:ORGLA03G0269000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFHGEEEQCEGSASNCDMPNEDVGCEQEDQTCVDHSEANLDQMMRDGEKADTDDRKYRMFKTMVEDSKAPPYNGLCKASRYKCGDKDDDGGSNSTMKKKRVPMKRIPQERVSARGHRKKKSTCLLPCHGRKGKADPSPATPLEQGDGQRYHYPGVTWSRGEEEEPSTLTDTVLTSARHES >ORGLA03G0268900.1 pep chromosome:AGI1.1:3:24459048:24460268:1 gene:ORGLA03G0268900 transcript:ORGLA03G0268900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRVELGAAIGGGSGGWSSGSLGPRGLQSSGQRRTELEAVEGAPAAADTRDDGGRSLGPRGWHEEGAPELGATGGXDGGGXXXXXXXXXXXXXXXXXXXXXXXXXXAFAATGVRDEGERSLGPGGGTRRGCRSSGLWEEGTAEGGAQGGGGVPIAVGARLVEAADGTPAASDARGGGGAVERAEDGGGRSSGYGGGARSHRRAARRGDGGGVRRDAAVPQRPSPSPSPLRSGADGQGIPPTGGTSSLLLRPRWLLSSMVVEDRKRGGGGKDGIRKRGSAAAGERRRRGVTVRGEGGGGGGAALPV >ORGLA03G0268800.1 pep chromosome:AGI1.1:3:24448424:24449458:1 gene:ORGLA03G0268800 transcript:ORGLA03G0268800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSLFLQWAVSTLQHQHPAAVAVVADDDATFFSFQELCDAEEVVVVPVQEEVITEAHGGGASSCRSTGNVGGGGGIGLAVAVDEHGGWSRSPNPGARPPSGGCGSNNLPLMSWDFSAASVAVQLEHVVAERKRREKINQRFMELSAVIPKLKKMDKATILSDAVSYIRELQEKLKALEEQAAARVTEAAMATPSPARAMNHLPVPPEIEVRCSPTNNVVMVRIHCENGEGVIVRILAEVEEIHLRIINANVMPFLDQGATMIITIAAKASSSLLY >ORGLA03G0268700.1 pep chromosome:AGI1.1:3:24444702:24446599:-1 gene:ORGLA03G0268700 transcript:ORGLA03G0268700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRHPSPHRSLTAVLLSLRALTGKPGSSGREEGCREGEGRKAMGGSTVAARRDMRNFGPKLFRHIGIARYKGRKRESEPWLEVGKRVKTEEASKRRKKDDIMVATVWKPTKTKARASSSLNDYNECKKERVVCLAKCIKHFCCILNYNSWNSLAKDFWISEFGSTLL >ORGLA03G0268600.1 pep chromosome:AGI1.1:3:24442185:24443338:1 gene:ORGLA03G0268600 transcript:ORGLA03G0268600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDVEYRCFVGGLAWATDDRSLEAAFSTYGEILDSKVILSYPCQNHLIIESSRYRVQWLSRVEKPSIHPSSVRCSSSVMLP >ORGLA03G0268500.1 pep chromosome:AGI1.1:3:24434716:24435501:-1 gene:ORGLA03G0268500 transcript:ORGLA03G0268500.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSGXEDWIVIAGHIXQQFGSGDTSFLDHQGIKDKHAKVSSHVAGEASQAEGGNGEVHXVGGGGGHDGDNFGCNAIVLAVSVEENYYAQSTIITTTTAICLQLVREEHRPMESDEPQAASDNEGDATWQHGTQVASSPLPHLEQSAAFGTKXWIDLLAPARKSPKQPSTLLLVLARQRPRCGHPWHXXPH >ORGLA03G0268400.1 pep chromosome:AGI1.1:3:24431479:24433769:1 gene:ORGLA03G0268400 transcript:ORGLA03G0268400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mannosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G22130) TAIR;Acc:AT5G22130] MASAAVTLPRVMLAAAAVRAAMLALGEWQDAHLEVRYTDVDYLVFSDAAASVAAGGSPFARATYRYSPLLAYLLVPNSLLHPTWGKLLFSAADLLVGLFIDTILKLRGVPDSTRIWSVVAWLFNPFTFTIGTRGNCEPIVCAVILWILICLMNGRVLQASFWYGLIVHFRIYPIIYAIPFVIVLGKNYAGPAGRPILTQWTSKQHLQSDKSSPSVEGATSLLTNLWNFLTSLITRNTILFGLLSGSMFFLWTGVFFYLYGWEFLNEALLYHLSRTDPRHNFSIYFYHIYLHHQQGFSSIQKLASFLPQLIVQLALILRFSRDLPFCLFLQTVAFVAFNKVMTAQYFVWFFCLLPLILPWTTMKLKWKGLACILVWMGSQLHWLMWAYMLEFKGQNVFIPLWAAGLMFLAANIFVMLMVINHHKFTPLFSSSTVKSASKIAAKKE >ORGLA03G0268300.1 pep chromosome:AGI1.1:3:24428234:24431200:-1 gene:ORGLA03G0268300 transcript:ORGLA03G0268300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 11 [Source:Projected from Arabidopsis thaliana (AT1G65410) TAIR;Acc:AT1G65410] MASPSIPAALRHPHAHAAGLRLLRSSGDPCPPCTLPFRLCGVPLKKGHVYAGAVSATRSPGLGNAENLRESPSLSRSWGMNGQIGDDHDILIECRDVHKSFGNKKVLNGISFKIRHGEAVGIIGPSGTGKSTVLKVMAGLLAPDKGDVIICGRKRHGLVSDEDISGVRIGLVFQSAALFDSLTVRENVGFLLYENSSLPEERIATLVTETLAAVGLKGVEDRMPSELSGGMKKRVALARSIIYDDTKETIEPEVILYDEPTAGLDPIASTVVEDLIRSVHVTGKDALGKPGKIASYVVVTHQHSTIKRAVDRLLFLHEGKVVWEGMTQEFTTSTNPIVKQFASGSLDGPIRYF >ORGLA03G0268200.1 pep chromosome:AGI1.1:3:24425482:24425859:1 gene:ORGLA03G0268200 transcript:ORGLA03G0268200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPLRRLLLRLGKPPPLPIPLLPHITTQTAQAAGDPAAPPCPGAIPSQAPARNLRDVISSFHPGLQIYPSLDPRFGEVEEGVDGEGGGAEVWADSVKKKRKRKMNKHKLRKLRKRLRRQT >ORGLA03G0268100.1 pep chromosome:AGI1.1:3:24423402:24425260:-1 gene:ORGLA03G0268100 transcript:ORGLA03G0268100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERAGSKRAAKGTEGTTAAAAAAALQLHGGVLGEVLRRLAPRWLAACRCVCKAWRDAIDGGGLLRADLLPLSLAGFFLNFSCHDYSEYFSRPTTTTTTTTTCHRHLITGKLDFLPSEGEWQMALDHCDGLLLLESGCVVNPATKAWMDLTPYPPPPPLIEEQEEVKTYPEEHLVFDPTLSPHFEVLIIPHLLPFDKDRSKKQPPQNSAWPPSPLVLNVFSSRTREWEERPFVREGEAAGAMADVASVRGTHYAAYWRGTLYVRCQSNFVMRLSLLDNKYRIIHRPVDAEAREFSSRYLGKSKQGVYFASLSKDRLLVWLLDEPCGDMKWVLMHQTDLGPSLATSHKLDGHWILHHINYECSTWLFPKGRKPLTLARENFEWNSDDDDIVNDAAEDTVKRRYDEYALILGFRPYKEILFIESMDRGYAYHLSSSKFEELGKLHPVSLHGLPNEHLDVELSFPYTPCWIEEFPQPELDCKLNSIWKP >ORGLA03G0268000.1 pep chromosome:AGI1.1:3:24416755:24421498:1 gene:ORGLA03G0268000 transcript:ORGLA03G0268000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoinositide binding [Source:Projected from Arabidopsis thaliana (AT1G61690) TAIR;Acc:AT1G61690] MSFMNHDXPXYDYHKYTFTCEVLILEGKFYRVKFLLFAGGRGFFVLVNMLEKIGLPPKPSMRGASWVVDATHCQGCSVQFSLFTRKHHCQRCGGLFCSNCTQQRMVLRGQGDSPVRICDPCKKLEEAARYELRYGHKNRASKANAKAASNPEDDVLSEILGGDGVQTKFSRRESLDPELPGASSSSSSSRRTSGAFSMDGNGGESLSIEAQNYELNNTGSIFTPEELRQQAVEEKKKYKTLKSEGKPEEALRAFKHGKELERQAAALELELRKNRRMATKAPSVSAVVSTKNLEGSDEAESKKSLPGKRVRKEKNDLASELKDLGWSDADLHDETRTTAMSVEGELSQILREVAPKSSEGNKTSSIDKSQVNALKRQALLLKREGKLAEAKEELKKAKILERQLEEQEILGEADESDDDLAALIHNMDDGNQDDILLDNPRFPDFNFEKLLGTSDDILIDGNFDITDDDMNDPDMTAALKSFGWSEEDEIQMEGHGPVSSLNQEALKEQVLALKREAVAQKKAGNVTEAMSLLRKAKLLEKDLETEQSESKVPSPQGHRSAHTEDITVAEMNTRPVSAPKSKLAIQRELLALKKKALALRREGKVDEAEEELKKGSVLEKQLEDLENSSARPVVKENRNFGSTPPYKVEPPTLDLADEGYEPEVTDNDMQDPALLSVLKNMGWEDEDADIASRVNMPSNSSRIVSQKPTKSKGQIQKELLAIKRKALAFRREGKNTEAEEELEKAKVLEQQLSEMEESVNLTASQQSARSAGQIRENKSGALLDPASSPDTSAHLPKLRNATEGVISLPVHAAELAASLDAQASSQSIPLTELIIPKPDHASKVHSEGTRSTLSRPSFTDPLVTAERLHSPSDVHDHKEPQIPHGHDTLKDEILHHKRKAVAFKREGKMAEAREELKQAKLLEKRLEVSQENSANGRDESMKPVVQETNLIQQSASAKSRTDDISSAPPAQEIKPVQPPKALSSRDRLKIQRESLAHKRNALKLRREGKTAEADAEFELAKSLESQLEESESQVSGGKSSDANDAAVEDLLDPQIMSALKSIGWSDADLSAQSSNAQPSKKAEAKPTVAATTKPQSEKTQLEEQIKAEKLKALNLKREGKQTEALEALRSAKRLEKKLASLT >ORGLA03G0267900.1 pep chromosome:AGI1.1:3:24409517:24413838:-1 gene:ORGLA03G0267900 transcript:ORGLA03G0267900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALAALPEDVLAEVFVRLDPRSLAASRGVSKAWRAAIDARRRQLCGGLLPRSLAGIYIGHQSTASASRHVPRFFARRPSSISGSLHFLPAVTAGGDIPVPPPGRHEIHDHCNGLLLLGGDPDPDTHRPAIVAVNPATRWCSPPLPPRRPPRMGASTFPADFLAYDPAASSRYEVLSVTCFRRRCSACSCCLPPPGSGTSSSSGEERVLLDEFSEWPPSLQTLDVYSSSTGRWEERTFHRQGEAARTTIADMRMDFSGHKCKAVYWQGALYVHYKTYFIMRFSLSDDKYQVIKMPTVRSNGHSHFCLGRSEKGVYLALITKPRSLQVWVLNESCDEMEWVPKHENNLDSVFPRQTRRRWMLLQDLDKKDSTTFRKEHDEEIDFEWSSDGDDDSDHRGNVPEYRLPATIFQGYHGNVDNNALGFGNFPQPPIPMFYHGYHGNIDVLGFHPYKEIVFLCEALQTGLAYHLKTSKMEILGKLPLVSSCEEILSNKSFTGGFEDCGLPVVKRENTRWRIV >ORGLA03G0267800.1 pep chromosome:AGI1.1:3:24406888:24408106:1 gene:ORGLA03G0267800 transcript:ORGLA03G0267800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSKLVEQLRDYQIRSQHKRDIIGAVSWGLLCCFLIISSYMTLYFRHFWLSAVIISLGILLPAGLYILRQRKLAKKRERRLLLPLSM >ORGLA03G0267700.1 pep chromosome:AGI1.1:3:24384752:24386866:-1 gene:ORGLA03G0267700 transcript:ORGLA03G0267700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAESRKLLLALAVSLCCFVAASRAQSYIGVNYGEVADNLPAPEETVKLLKSTTISKVRLYGVDPGMMRALAGTGISLVVGVANGDIPSLAADPAAASRWLAANVLPFVPASTISVVAVGNEVLESGDASLAAALLPAMQNLRAAAAAAGDGAAGIKFSTVNTMAVLAQSDPPSTGAFHPDISPQLTQILGFLSKTTAPFMINPYPYFAYQSDPRPETLAFCLFQPNAGRVDAGSKIKYTNMFDAQVDAVKSALGRAGYGDVEIVVAETGWPTRGDAGEAGATADNARAYVSNLVSHLRSGAGTPLMPGKPVDTYLFALYDEDLKPGPTSERSFGLYHTDLTMAYDAGLTSSSGGAASPSNGGASQQQPRGGGGGWCVASAGATEADLQADLDYACAQVGVDCGAIQAGGACFEPNTVRAHAAYAMNQLYQAAGRHPWNCDFRSSATLTSDNPSYGSCVYTGGQ >ORGLA03G0267600.1 pep chromosome:AGI1.1:3:24375217:24382748:-1 gene:ORGLA03G0267600 transcript:ORGLA03G0267600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding protein beta 1 [Source:Projected from Arabidopsis thaliana (AT4G34460) TAIR;Acc:AT4G34460] MASVAELKEKHAAATASVNSLRERLRQRRQMLLDTDVERYSRTQGRTPVSFNPTDLVCCRTLQGHSGKVYSLDWTPEKNWIVSASQDGRLIVWNALTSQKTHAIKLHCPWVMTCAFAPNGQSVACGGLDSACSIFNLNSQADRDGNIPVSRILTGHKGYVSSCQYVPDQETRLITSSGDQTCVLWDVTTGQRISIFGGEFPSGHTADVLSLSINSSNTNMFVSGSCDATVRLWDIRIASRAVRTYHGHEGDINSVKFFPDGQRFGTGSDDGTCRLFDMRTGHQLQVYSREPDRNDNELPTVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEVVLNLGNLQNSHEGRISCLGLSSDGSALCTGSWDKNLKIWAFSGHRKIV >ORGLA03G0267500.1 pep chromosome:AGI1.1:3:24372910:24373778:-1 gene:ORGLA03G0267500 transcript:ORGLA03G0267500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUTP-PYROPHOSPHATASE-LIKE 1 [Source:Projected from Arabidopsis thaliana (AT3G46940) TAIR;Acc:AT3G46940] MAGKLGAICSRLISPLFNHHCRLPRFPQIHSPPPPFVPFSRRHRLPPRALSAVAMATATNGNASAAAAAAAADSAVQEPPHKIAKVAPLLKVKKLSENAVLPSRGSALAAGYDLSSAAEVVVPARGKAMVPTDLSIAIPEGTYARVAPRSGLALKHSIDVGAGVIDADYRGPVGVILFNHSDTDFAVKPGDRIAQMIIEVIVTPEVAEVEDLDATVRGEGGFGSTGV >ORGLA03G0267400.1 pep chromosome:AGI1.1:3:24364674:24369023:-1 gene:ORGLA03G0267400 transcript:ORGLA03G0267400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKDVEVEELDEEVVAAAAAPAADGGEEQEAELPARRPSTFAELGVVPELVAACDAMGWKEPTRIQAEAIPHALEGRDLIGLGQTGSGKTGAFALPIIQALLKQNKPQALFACVLSPTRELAFQIGQQFEALGSAIGLSCTVLVGGVDRVQQAVSLAKRPHIVVGTPGRLLDHLTDTKGFSLNKLKYLVLDEADKLLNVEFQKALDDILNVIPKERRTFLFSATMTNKVSKLQRACLRNPVKVEVASKYSTVDTLRQEFYFVPADYKDCFLVHVLNELPGSMIMIFVRTCESTRLLALTLRNLRFKAISISGQMSQDKRLGALNRFKTKDCNILICTDVASRGLDIQGVDVVINYDIPMNSKDYVHRVGRTARAGNTGYAVSLVNQYEAMWFKMIEKLLGYEIPDRKVDNAEIMILRERISDSKRIALTTMKEGGGHKKKRRKNEDDEEEEERNAPVSRKSKSFNKSRRR >ORGLA03G0267300.1 pep chromosome:AGI1.1:3:24361493:24363368:1 gene:ORGLA03G0267300 transcript:ORGLA03G0267300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLPSPSLLGRYPSLEELSVAGARLSSLAGLPRLPALRRLSLPDNRLSGAASLAAVAESCGATLRHLDLGNNRFADVAELAPLAPHGVESLDLYQCPVTKAKGYRDKVFALIPSLKFLDGMDAEGNDCLDSDDEEDEEEDEGEEGEGEGDEEEEEEGGEEGEGDEDDEEEGDEEEDEEESEEEAEDEEDEAGADEEDESKVANGSKGSSGSAQPNKRKRDSEDDANGDN >ORGLA03G0267200.1 pep chromosome:AGI1.1:3:24359787:24360603:1 gene:ORGLA03G0267200 transcript:ORGLA03G0267200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGVEDPNWRSDPCVYLLPPWCQRPLCLCGDRCQLMASRNPDIRGRRFFRCPNYDHRTTACAYIEWVDTENPILDLTTCLQEVRWYFASKSTEQYLQRKAAYERQCREQQSDKRVLTTALPPWEAHPRCRYGDRCQVLRSIKPTTLGRRFFVCPNILDDDFMEPPRRCQYREWIDTRRVLTPPSRVVQLELPEQYRVTKARFERGEGSSRRG >ORGLA03G0267100.1 pep chromosome:AGI1.1:3:24324147:24324794:1 gene:ORGLA03G0267100 transcript:ORGLA03G0267100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIRLEEHVYLISKTLPFIQLKAMFSYSAGHGRRPKKKLYHRETGLDKAMDLQKKPSLLLRLRELILSQKTNSILIRDLEKEVGFVQKWNFLSLIERHPNIFFVSGGSASREPISVTLTEKAKRISSEETLARELTEPILVKNLRKLLMMSLDCQIPIEKIELIQSELGLPKNFKSNLIPRYPELFSVREVKGLDHLCLESWDSSLAVTAREEN >ORGLA03G0267000.1 pep chromosome:AGI1.1:3:24315883:24319729:1 gene:ORGLA03G0267000 transcript:ORGLA03G0267000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTGVVSSLLSKLATMAEQKYGDVKRIRREITFLTDELSSMNALLLKLADMEELDPQLKEWRNKVRELAYDVEDCIDALAHHHRLSRGDADLGGLIRRAAHNMKKLRASYRAADQIHELKARIMEVSDRRLRYKLDEAASAAPAPALAIDPRLPALFAESKGLVGIEGPRSTLVSWLMDGEGQLKVISIVGFGGLGKTTLAKEVNHAVGAHFQLKAFVSVSRNLNPKKLICDVLSQIMDQKDYGKLEVEQLIPILRKHLADKRYLIIIDDIWRIQAWDLVKSALPDNSCQSRIITTTRISTVAESCCSTLKDRIYYIEPLNEVESRELFFKRIFATEHGCPPHLEEVSNEILKKCGGLPLAILSIASSLANKPDIKEQWEMVKKSIGFALEGTPTLEGMNKILLFSYYDLPTHLKACLLYLSIFPEDYVIASDKLVWRWMSEGLIVGEMGQNLEQAGQIYFNELINRSMIEPVGVRYDGKVLACRVHDMVLDMIISLSAQENFVTILHGHEDKFAGEKIRRLSLRCNRPDVEVTQVTSKKFAQARSISLFGYKEMLDLQGFQALRVLDLGQTVLFKQVKNIGKCYQLKYLDLSDTDIVELPEEIGNVQSLETLDLRNCRRLTLPSTIAGLRKLVRLLVDYTAALPEEISGLVALQVLSCASYNSVKFMRALGQLTELRSLAFKCWNPDWYFDAGMYKEVSVASLRELGKHKLQYLDISDDDAILDALMCSSSESDCPFPHLQKLVLSNHNIQRIPRWIGSLVNLSHLEIAVKTTRQNDLGTLGNLPCLLYLKICRLYEPIESLIVPNRGFRCLKELCFQCWCPLGLEFARGAMPWVQTFRLWFMPCWKSCDHGVSVGLGIEHLLELKLVDVETGNGCGKREVKSFEAAITAVVANHPRRPALVLRRSGERSAVRKENWTAVETNMNKSLFDXSTVKQSLQKESRHSS >ORGLA03G0266900.1 pep chromosome:AGI1.1:3:24310659:24312946:-1 gene:ORGLA03G0266900 transcript:ORGLA03G0266900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGAAATCAAVLLLPPKWGRRLGTLLEYWLWRKRSEYVESGCSVQEGAPKPVDIEHSLGNKEIVRLLNSTVVKDTGYMWLSCHTCRHDLANTTRGGIAAAADTVITIEEKGGEEWCRKKLGHHAASPKGPCWRCHMTCLIVTFIATAGTGDRGMIAIVEEKRKIVERGWSKV >ORGLA03G0266800.1 pep chromosome:AGI1.1:3:24305753:24308074:1 gene:ORGLA03G0266800 transcript:ORGLA03G0266800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVFVVVGFCLLRMFILFPNPVYHVAAFSWIGNNRSENTKEKYNHKVCKPRVCPGISSPRWPMNCPALGRQPAHYRGRVFVRSFDLESTSKLARTASLFASPPRRHLNLSIAWLDLPIDPCTTQRTVSSIDRLAFTSASTGSIMVAALPDDVLAEVLRRLAPRCLAACRCVCKPWRDLVDDRRLLLLRAEELLPRPLASIFLNFFGLFNSEFFARRPSTGAAAAISGDLDFLPTSTTYGSREYQIQDHCNGLLLIEDADTTRCSXSHPSAGSPGQTIISTTSLEAKWTPCWRHRHGHRHRMPFRSSRQEHGCGKRGVSPAKEEKKQRAPSLRCGRVGHQSSPTTDTPTFILESQRRVCTLHFAETNVLKFGFLMKHLAR >ORGLA03G0266700.1 pep chromosome:AGI1.1:3:24298349:24299824:1 gene:ORGLA03G0266700 transcript:ORGLA03G0266700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAALPDDVLAEVLRRLAPRSLAACRCVCKPWRDLVDDRRLLRADLLPRSLAGIFVNFHCLYSSEFFARPPSGGGGAAAAISGNFDFLPPKDEYDQYRHHQVEGHCNGLLLIRFRDLVVNPATRWWDRLPPRPLPRDEMDRIDAAYLVFDPAVSPRHYEVFLTPSFRWKSESEKAELDPMVEASEWPPESYTLPVFSSRTGLWQERSFIRQGQAAGTIADMRSDWASDQRNGVYWRGALYVHCQTNFVIRISLNDDKYQVIKPPEYSGRYLNFYLGRSEKGVYLALSRDNCLKVWILDETCSKMKWELKHDKHIRHILLGRNNRQGLGPWILQDINHQKNPYIYEYDEIIEAPNQMKVECEQAALEKFEWISDDENVLDNEDIVTGGYHEYINIIGFHPYKEIIFLDESLKRGLAYHLSSSKVEDIGNLYPTNLEYELINEQFITASFPYTPCFM >ORGLA03G0266600.1 pep chromosome:AGI1.1:3:24294839:24296451:1 gene:ORGLA03G0266600 transcript:ORGLA03G0266600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALPDDVLAEVLRRLGPRSLAACCRVCKPWRDLVDDRRLLRADLLPRSLAGIFLNFSGLCYPEFFARPSTTAGATTAISSLLDFLPFDGTKWYKIEDHCNGLLLLDRDCVVNPATRWWARLPPRPPPREDMERWSTSILLTSSSIQPRLRTTRRIQVELEPSECPPPLYVLPVFSSRTERWEERTFVREGEAAGNTTVPDKRISLRNDKYQVIKLPKVTRMPSEDSYFCLGRSQKGVYLALARHCCHLLVWILDESCDGIKWELKHDKDIKHILLGRNKVDLGPWILQEINYQKEEGSLSSYEWFRKKLEYELNEEATLEKSEWNSDDDNAPCNEDIIGRYNEAIDIIGFHPFKEIIFFSESFERGIAYHLNGSKVEDLGDLYPVGYDFVPSNEQMISASYPYTPCXM >ORGLA03G0266500.1 pep chromosome:AGI1.1:3:24289824:24290719:-1 gene:ORGLA03G0266500 transcript:ORGLA03G0266500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S21 [Source:UniProtKB/TrEMBL;Acc:I1PE69] MQNEEGQMVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFIRAQIIIIGDADSALDRLWQKRKAEVKQQ >ORGLA03G0266400.1 pep chromosome:AGI1.1:3:24281566:24281889:-1 gene:ORGLA03G0266400 transcript:ORGLA03G0266400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYRRRALMAASTLVPATCGSAGGMPCVPAARGGGRKLLGVATGGGRQLRCGWPRKDIESAFQMADRRGKVSGLIRQPLTKGKTSCGGVRVSVRAGGGGGVYRCR >ORGLA03G0266300.1 pep chromosome:AGI1.1:3:24274676:24278792:-1 gene:ORGLA03G0266300 transcript:ORGLA03G0266300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPSFGVHRAESSHQHMMAGPATLDPQRAEAANKHVRALNSQFASWVQSQLQNHPAELWEDGMRDYISHASEIMEKFKDVVNWIRQNKTGSAAVLSPGPPNDEKTISPAAVDSKFTVQSSPDNGQKGPVTAASSPAFQNSSSPNLFSFASHQKTPAFTGIFGDKKNMPGDSNKPSFQFGANNGFSTPSMPSIFSASGAQSFSMPSQTLFSVNQQPAISGNKSAAEASGDADEDAEPEQPSSPSVKKAEEKGIVVVYEAKCKVYVKHDDPANGWKDIGVGQLNIRCKEGAEKASKESTPTIVIRNDVGKILLNALIYKGIKMNVKKSTVASIFHTSDGQPSESGSATVVARTYLIRVKNDEAAAKLSAAIMENAPSE >ORGLA03G0266200.1 pep chromosome:AGI1.1:3:24267135:24272577:-1 gene:ORGLA03G0266200 transcript:ORGLA03G0266200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRTLVPILPPVAALLLLLVAASSIPLLAAAQPADACGGAPDQAAADGACHDVPRALRLKLIAIPTILVSSVVGVCLPLLSRSVPALRPDGGLFAVVKAFASGVILATGYMHVLPDAFSSLTSPCLPRKPWSEFPFAAFVAMLAAVSTLMADSLMLTYYNRSKPRPSSGGDVAAVADHGESPDQGHRHGHGHGHGHGMAVAKPDDVEATQVQLRRNRVVVQVLEMGIVVHSVVIGLGMGASQNVCTIRPLVAAMCFHQMFEGMGLGGCILQAEYGRRMRSVLVFFFSTTTPFGIALGLALTRVYRDNSPTALVVVGLLNAASAGLLHYMALVELLAADFMGPKLQGNVRLQLAAFLAVLLGAGGMSVMAKWA >ORGLA03G0266100.1 pep chromosome:AGI1.1:3:24256869:24257951:1 gene:ORGLA03G0266100 transcript:ORGLA03G0266100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSAGHGRRPKKKLYHREPGLDKAMDLQKKPALLLRLREIILSQKTSSILVRDLEKEVGFVQKWNFLSLIERHPNIFHVSGGSASREPISVTLTEKARKISSEEIQARELMEPILVKNLRKLLMMSLDCQIPLEKIELIQSELGLPNNFKNNLIPKYPELFSVRDVKGLDHLCLESWDSSLAVTAREEKLNFEGFQMDYRGIPKDGNIVGPFAFRLKYPAGFRPNRNYLEEVVRWQKLAFPSPYLNARRVEPATPQARKRAVAVLHEILSLTMNRRLTSDKLEIFHNEYRLPCKLLLCLIKNHGIFYITNKGARSTVFLKEAYDDSNLVEKCPLLKFHDRFASLIGRTCSDSNDLLKA >ORGLA03G0266000.1 pep chromosome:AGI1.1:3:24251418:24252622:-1 gene:ORGLA03G0266000 transcript:ORGLA03G0266000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSQTPLRIAFVFLVILAATDAHSDHRTPPPACGGAAVGGECHSVARALRLKLIAIPAILAASVAGVCLPLFARSVPALRPDGGLFAVVKAFASGVILGTGYMHVLPDSFNDLTSPCLPRKPWSEFPFAAFVAMLAAVFTLMVDSLMLTFHTRGSKGRASSAAAAAVAHHGDHGHCHAHALGQADVAALSTTEAADQGSGDVEAGNTTKAQLLRNRVIVQVLEMGIVVHSVVIGLGMGASQNVCTIRPLVAALCFHQMFEGMGLGGCILQAGYGGRTRSALVFFFSTTTPFGIALGLALTRVYSDSSPTALVVVGLLNAASAGLLHYMALVELLAADFMGPKLQGNVRLQLAASLAVLLGAGGMSVMAKWA >ORGLA03G0265900.1 pep chromosome:AGI1.1:3:24244101:24246649:-1 gene:ORGLA03G0265900 transcript:ORGLA03G0265900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTISFSSSSPPSPPPPQPAPGDIDAVSLGRLSRNLENLLDPAFLNCADAEIVLASGGGGAVVGVHRCILAARSRFFYDHFSSAPAPAPATAGDKPQLDLDGLVPGGRHIGRDALVAVLGYLYTGRLRSAPPEAAACLDDGCSHDACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNKALAEDILPILVVASTCHLPELLNQCIQRVANSNLDNRYLEKRLPDDLYAKLKEFRVPDEPHSGILDPEHEKRVRNIHKALDSDDVDLVGMLLKESPVTLDDAFAIHYAAAYCEPKVLAELLKLESANVNLKNSSGYTPLHMACMRREPDIIVSLIEKGASVLERTQDGRDALTICKRLTREKDRNEKSEKCKERSKAYLCIGVLQQEIKRRPQILEDQMSAEESIATPLLVDNFHMRLLNLENRVAFARIFFPSEAKLVMRIAQADSTQEFAGLTSANFSKLKEVDLNETPTMQNRRLRERLDALTKTVELGRRYFPHCSEVLDKFLNEESTDLILLESGTAEDQQTKRMRFSELREDVRKAFTKDKAAGAAISSSTSASSSPRYETKLRPGNKKGKLSR >ORGLA03G0265800.1 pep chromosome:AGI1.1:3:24237158:24237385:1 gene:ORGLA03G0265800 transcript:ORGLA03G0265800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEAGIWGCGLRRDFELGRRLRMLGRAAAPTWMEEARAARAGGDGQQMRPGARATGRCGRESVVGGAMEREGATRR >ORGLA03G0265700.1 pep chromosome:AGI1.1:3:24236452:24236802:-1 gene:ORGLA03G0265700 transcript:ORGLA03G0265700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWRCTVPMYFAYATYSNGNFAVMFFYELLQILSNWILTVKFLAAQLAATQIPVVVILTAMNPFGAHMGCLPSMVCELPSNSQNMWPQVQFYQILTYTMATESLKHSRIFKFISI >ORGLA03G0265600.1 pep chromosome:AGI1.1:3:24230723:24231100:1 gene:ORGLA03G0265600 transcript:ORGLA03G0265600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSSSSAAASSRRRPRRICAPTAASSSTSSAASLHRRPPRSGGRGEATEVATRHATVASLDGRGNGVGGRRGYVRRLGGATVRSGRMGSTSKDRGREQEVTGSSQPANLFFSPLLISSFSISF >ORGLA03G0265500.1 pep chromosome:AGI1.1:3:24225208:24227801:-1 gene:ORGLA03G0265500 transcript:ORGLA03G0265500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGYKYQAQELMRDYLLADPLVPYTSVLVGIALCKMAYDLTRVLSSFYFKGYSSLTKIQRVEWNNRGMSSAHAIFITAISLYLVMSTDLFSDRLKGPITFRNSIISTFALGVSVGYFIADLAMIFWLYPSLGGMEYIVHHTLSLVAIAYTMLSGEGQFYTYMVLISETTTPEINLRWFLDTAGLKKSSAYLVNGILMFVAWLVARILLFIYVFYHIYLHYSQVMQMHAFGYYLTFIVPSVLFVMNTMWFMKILKGVKKTLGKWS >ORGLA03G0265400.1 pep chromosome:AGI1.1:3:24221894:24223357:-1 gene:ORGLA03G0265400 transcript:ORGLA03G0265400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PE58] MHTTNGAPACCDANADTPPLHLIFVPFLSRSHFGPVTAMAAEADACHRGGRTAATIVTTRHFAAMAPASVPVRVAQFGFPGGHNDFSLLPGEVSAAAFFAAAEEALAPALGAAVRGLLREGGSTATVTVVSDAVLHWAPRVARECGVLHVTFHTIGAFAAAAMVAIHGHLHLREAMPDPFGVDEGFPLPVKLRGVQVNEEALVHLPLFRAAEAESFAVVFNSFAALEADFAEYYRSLDGSPKKVFLVGPARAAVSKLSKGIAADGVDRDPILQWLDGQPAGSVLYACFGSTCGMGASQLTELAAGLRASGWPFLWVIPTTAVEVTEQEERASSHGMVVAGRWAPQADILAHRAVGGFLSHCGWNSILDAISAGVPLATWPLRAEQFLNEVFLVDVLRVGVRVREAAGNAAMEAVVPAEAVARAVGRLMGDDDAAARRARVDELGVAARTAVSDGGSSCGDWAELINQLKALQLTLSRDRRTDAVTRD >ORGLA03G0265300.1 pep chromosome:AGI1.1:3:24200926:24202027:1 gene:ORGLA03G0265300 transcript:ORGLA03G0265300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADVLLGQEEDEEAMNAAMAASTAGSAMAAAAREYRRGNWTLPETMLLVEAKKRVSDGRRPAADQGLARWRWVEDYCWRRGCRRSQNQCNDRWDNLMRDYKKVRAHELAGGGGGPAESYWVMGRTERKEKGLPANLLREIYDAMGEVVERRPMSSGGGGGGAVFLAGASSSGSGGLADVPAMAMQASPLAQLLPRPLEATANCSSGSPERKRRRPSLDNEPPGGSTPPATTGRQGHQEHDDDDDEYAHHGADESSDDDGGLGGAIGRCAAILSVALENREASEERRHREVVAAEERRGRARQARREAGEQCMAGLAAAVSQLAGSMLALAAKRRGPAAPK >ORGLA03G0265200.1 pep chromosome:AGI1.1:3:24191560:24195088:-1 gene:ORGLA03G0265200 transcript:ORGLA03G0265200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSPRARETESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKIRRNETAIKGTIIFDASSTITLSPVNFQGMPKYDGCCFYIGTPQKKDYFLCAETPGAAKAWVSTLLATQLVLQAHKEAVNSLAGNGSPATLGTVATAVANANATALEATKEIEAAMKVSMRAALGLGANNPKEGQLDDLTIMKETLRVKDEELQNLAKDIRARDATIKEIADKLTETAEAAEAAASAAHTMDEQRRLLCSEIERLRQAMERQMEQSMLKLRQSEEKVISLSKEKDQLLKERDAALQEAHMWRTELGKAREQAVIQEATIARADEKVRASEADAAARIKEAAEKLHAVEKEKEELLSLVGILQSQVQREQSSTKQVCEERSESCSGTDNSPPLTKHVDASDDDVDKACVSDSRSVLVSKDNTEVQLAVDGVDIRPIGDAEWGSFQQSEALIADVREVSPESEGGSLDIPVVNPPPVSDHIQGGATHP >ORGLA03G0265100.1 pep chromosome:AGI1.1:3:24187429:24190956:1 gene:ORGLA03G0265100 transcript:ORGLA03G0265100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVQMGGMDKNHHFGIGQMENGNGSYASEAVTSDENSADGSGIVVEPYGIPCMVEIFHFLCSLLNVVEQIGVDEDLPLFALKLINSAIELGGSSIRKHPKLLSLVQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCIILRLAQPRFGATYHQQEVAMEALVDFCRQKNFMVEMYANLDCDITCRNIFEELANLLSKSAFPINCPLSSMHILALEGLISVIQGMADRIGNATSRPELLPVELDEYTPFWTVKCENFSDPQHWVKFVRQRKYVKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAQTFDFQEMNLDTALRLFLETFRLPGESQKIQRVLEAFSDRYYEQSPQAFANKDTALLLAYSIIMLNTDQHNMQVKKKMTEEDFIKNNRNINGGSDLPREMLSELYHSICRNEIKTTPEQGMGYFEMSPSRWIDLMRKSKSTSLYIVGDSQPFLDHDMFAIMSGPTIAAIAVVFDHSEHEEVLLACVDGFLGVAKISAFHHLEDVLDDLVVSLCKFTTLLNTSLVEEPVTAFGDDLKARLATETLFTIANRYGDYIRTGWRNVLDCILRLHKLGLLPARVASDAADDSEVSAETVQGKPTPSSISTSHIPVMGTPRKSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCRIDSIFTESKFLQPDSLLQLARALIWAAGRPQKVASSPDDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAIFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCENITQEVARLVKANAGHVKSQMGWRTVVLLLSITARHPDASEVGFEAIMYIMSEGAHLSLSNYAFCIEASRQFAESRVGLIDRSIRALDLMADSANSLARWSQETKGTGEETDKVLEAIREMWLKLLQALKKLSLDQREEVRNHALTSLQRCLTATEGVCLQSSTWSHAFDLVIFALLDDLLEISQNHSQKDYRNMEGSLVLAMKLVAKVYLQLLPDLFGLSSFCKLWLGVLSRMEKYIKIKVRGKRSDKLQELIPELLKNILIAMKNRGILAKRSTIGGDSLWELTWLHANNISTSLQSDVFPSQEYEQHSSAGSPRGPNGVESRD >ORGLA03G0265000.1 pep chromosome:AGI1.1:3:24184542:24185297:1 gene:ORGLA03G0265000 transcript:ORGLA03G0265000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRLPGAAGIDPIAEEPPHSAAAAGDGGDAAGLACAISAEASAVLAVMRRSLRHPRATADDAAADHPLVSSLKALRRLVFSPSAAAAPAGAVLRPFLDAVRSEDAGAAVTSASLAALHEVMALMGPSLTGAALREVVDAVASCRFEAGAEAAAEEAVLMRMLQALLACLRAPAAPALGDQHVCTAVNTCFRVVHQAGAKGELLQRFSRHAMHELIRCVFARLPQIGSGDGPDGSVKPEVMSKFCFAINS >ORGLA03G0264900.1 pep chromosome:AGI1.1:3:24181467:24183863:1 gene:ORGLA03G0264900 transcript:ORGLA03G0264900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSARARAMGGPSSDDAAASGKPRLTGLKTTAELTRSEVWRRLRGEGRYAEVEYKAVAETNWLCKHLRGLYVPPIEATLVYSDNAQFDLQIWRQNFIARKRETSLAHY >ORGLA03G0264800.1 pep chromosome:AGI1.1:3:24177023:24179799:1 gene:ORGLA03G0264800 transcript:ORGLA03G0264800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G56210) TAIR;Acc:AT3G56210] MRTLQRRLSQLVLRRLLSPPPPPAARRPAPVAAEAVSGGGATALLRRGGGSGVAAGGWSGGGSGLRLARRLCTYDERDDRALEEEAEKKFGWILKIFFIGTAGLVGYQFFPYMGDNLLQQSISLLRVKDPLFKRMGASRLARFAVDDARRMKVVEMGGAQELLNVLEGAKDDKTRKQILKALHALSKSEEAAGFLDKAGAYVIVSSTPNSLEYAEIETYKTSLLKAFDELKS >ORGLA03G0264700.1 pep chromosome:AGI1.1:3:24173604:24173960:1 gene:ORGLA03G0264700 transcript:ORGLA03G0264700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEIESVKCECCGLREDCTQDYIASVRASFYGQWLCGLCCEAVRDEAGRKKAHPGVEEAVRAHMAFCRMFRSNPAVRVADGMRQMLRRRSGDMSKPDTSKKYSTVQVVDESSVSLY >ORGLA03G0264600.1 pep chromosome:AGI1.1:3:24169006:24170538:1 gene:ORGLA03G0264600 transcript:ORGLA03G0264600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQIIGLRMEALDNSKPNRLERRGADNEADKRNLSLIQEEEENLQCDMIFPTITRPKSSWIMGANPKPHENAQSWDEHEVMAIRIGXNIECVEEQGTKSFRTGPFGFKVFRLSHFIAFLT >ORGLA03G0264500.1 pep chromosome:AGI1.1:3:24135023:24137427:-1 gene:ORGLA03G0264500 transcript:ORGLA03G0264500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRSRRSVGAKQGGVAAMAQPEPPPPSSQSSSSSSSSSPVFPSPRPFMALPHPPQAGFLDGAAEGPSSSMSPTSILETKQFCCSSAMPPFLSERSLRKAHVEMAVAPPEPAGVGLADVLREHHGGGKAGGGKVVFGSQLRIQVPTGRAVELVSSPIEFGVKNRDAHLAAMSPARRFLPEVVSSPSARVFAAAVVSPGEMAMSEDYTCVISRGPNPRTTHIFDDCIVESCGDVLVEKVAGGGGDGDGDAVRTNGFLNSCYACNKQLGHGNDIFIYRGDKAFCSSECRYQEMLFDEAVDNLR >ORGLA03G0264400.1 pep chromosome:AGI1.1:3:24131693:24132637:1 gene:ORGLA03G0264400 transcript:ORGLA03G0264400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVDVDVQQRKDGSPPAVAVTLRPLGLADADDFMAWASDERVMRFLRRPLCATREQAVAQIRDTVVGHPWFRAICVDDDDAGAGRRPVGQVSVWPYADEGGHRANLGYALSHGLWGRGIATAAITMVVTRVFDELPGLERLEAVTDVENVRSQRVLEKAGFRKEGVLRRYIVRRSGEVMDAVIYSFLASDRPSAHGATRGEAPITFYGKSVLV >ORGLA03G0264300.1 pep chromosome:AGI1.1:3:24128095:24128325:1 gene:ORGLA03G0264300 transcript:ORGLA03G0264300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDRGSRQDARGYKGDEEGFLNEFACMVQRESSRVYLIVWEGVISPHVKFVFKNLNSKI >ORGLA03G0264200.1 pep chromosome:AGI1.1:3:24118435:24119046:1 gene:ORGLA03G0264200 transcript:ORGLA03G0264200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAEAAEVTLREFTEADAEALFAWASDPRVVRFQRRDAYSHVDEARRYIVDKVLPHPWYRAICVAGADRPVGSISVKPADDLPLPEPESETGRLRSGCCRASVGYRVAHAHWGRGVATRAVRAVAEAVLAEWPWLERLEAVADVENPASQRVLEKAGFAREGVLRRYVVLKGRPRDMVMFSRVRADFEEKPAQAHGLSDGV >ORGLA03G0264100.1 pep chromosome:AGI1.1:3:24116276:24118121:-1 gene:ORGLA03G0264100 transcript:ORGLA03G0264100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLANT HOMOLOGOUS TO PARAFIBROMIN [Source:Projected from Arabidopsis thaliana (AT3G22590) TAIR;Acc:AT3G22590] WIPSPCSGTTPPAATSTRSSSPATRCSSGRSTPSRPTPPPPSPTSSRAAPTRSPPPSSSRSTTTSSTPTSSRPPASAASPPVSLPDRKTFLDFLRHGHHSLPTDPLLPSALPSFAPEPQPAMPEEPEGDKASGAYIRALERTLKDRNALLDARGRDFLAVLQNATRREEERRRNKDSAPSSARHEPSSAAAAAAMAKPKVERSFGDGFVPIILVPSASQTLITIYNVREFLEDGVFVPSDERMRAMKGSGKPECVMVQKKLIRGERAGAGGGATTFEVRDKPASLKADDWARVVAVFVLGKEWQFKDWPFKDHVEIFNKVIGFYVRFEDDSVEAAKVVKQWNIKIISISKNKRHQDRTAALEVWERLEEFMRAHT >ORGLA03G0264000.1 pep chromosome:AGI1.1:3:24113474:24114289:1 gene:ORGLA03G0264000 transcript:ORGLA03G0264000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGHGVVGLALAVVVAAAATLCAAQTTMTTAAASVQPTALTMPSCPAAPLSLSPCIGYAFGVGSATLSSCCSELRAFFRSQGPCLCAASRLAAAGPFGLFLGQAQAIVPNVCNLPSNPCDDVAAKSSEPGSATPAALAPAAAPDTPAMTPSAAPAEPEASEAPPVPADDSPAATVTAPGDAGSSAGSQVASKLPELLHSAGVRNSRNMAAAAVITLFLVYVSAMYV >ORGLA03G0263900.1 pep chromosome:AGI1.1:3:24097219:24097950:1 gene:ORGLA03G0263900 transcript:ORGLA03G0263900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSMARRRRGTSFTASWQLGLAVVIAAIMASSAQPQQQQQQPPQPPGQPANAPSCPPVQASLSPCVSYFIGNSSTPSDACCEQMRAMFQSQAPCLCAAVASAPSPLAPVLGGVQSLLPTACNLPPNACAGKPFVRAVPILTCGLNSRTHGVIAVAGVVFADATGSTSGSAPAGGSSATPSTGATAAAPAMEPAGTDPAMTAGGGSKSVPGMPYSAAAGVHGGGASAAVAVLISSMLAYACMI >ORGLA03G0263800.1 pep chromosome:AGI1.1:3:24095011:24096511:1 gene:ORGLA03G0263800 transcript:ORGLA03G0263800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DQTGTSIAVCLIHHRSIDLQFQWSRGAVRLRGLAGHHRRPLPAAHGSSPTLARRHLHPLRXPQVPRILLPPVDANDPCNQWQAXLHAXQICVLHHGGSLXRSPPAKHLLNTHVVNPATRRCVTLPRLPPPRNTGGHNYIVFDPTVSPHYEVIKIPYLKWDMRCYPIIRESEWPPSPFLLNIFSSATKQWEDRLFVREEEAAGTIGDLQLSGKRHAVYXHGALYVHRCNYVTRLSFHDGKYKVIKNSQDIDMSKCLKFYLGKSEKGIYLASLEQELDLQLSVWILNESCAKAKWVLKHRNNLKPLLSRWGYHQVNGPWILQDVNYDLYRKNFGGPWFYNVTYDDLLLEGNNEVPVEDKYEWXSDNDDVDHDTQDGVEEQSDVSISLLGFHPYREIVFLSLSCERGVAYHLNSSKMQDLGSIFPQNFNHVFEVGGGIETAXKLLFHTHHAGLESSLKXIVKIISIGI >ORGLA03G0263700.1 pep chromosome:AGI1.1:3:24023645:24025133:1 gene:ORGLA03G0263700 transcript:ORGLA03G0263700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINYLLPDDVLADVLGRLPPRSLAAARCVCAAWRATIDDRRLLRTDLLPLSLAGIFIHFDDLRFPEFFSRPSTPTTPAISGKLDYMPNKYALYAVNDHCNGLLLLYTHVVNLATRQCVTLPLLPPSQGTFSDNYTVFDLTVSPHYEVIRISYLMCNMRLDPIIRESEWPPSPFLLNVFSSATKQWEDGLFVREGEAAGTIGDLVKLYSRQHYAAYWHGALYVHRCNYVTRLSLTDGKYKVIKNPQDIDMSKCLKFYLGKSENGVYLASLEQELDLQLSVWILNESCAKAKWVLKHRNNLKPLLSCWGYHQVNGPWILQDVNYDLYRKNFGGPWFYNVTYDDLLLEGNNEVPVEDKYEWYSDNDDVDHDTQDGVEEQSHVSISILGFHPYREIVFLSLSCERGVAYHLNSSKMQDLGSIFPQNFNQVSEVGGGIEASFPYTPCWIGEFPEISSEDHLYRN >ORGLA03G0263600.1 pep chromosome:AGI1.1:3:24011815:24014384:1 gene:ORGLA03G0263600 transcript:ORGLA03G0263600.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLLLPDDVLANILGRLPPRSLAAAWCVCVDWRAVIDDCRLLRTDLLPLSELNPIVQELEWPPSRLITRVFSSATKRWEDRPFVREGEAAGTVGHLQKLSEYGEYRAVYWPGALYVHHFSYVIRLSLSDGKYRVIKLLPAIDIRYYQNFYFGKSEKGQVHGPWILRDVNYNLYFEKIAGSWFYNADGEDISLEENTEALLEDKFEWYSDNDDVVEAQGGGENAMKIMRFQSLDSILIKRSSF >ORGLA03G0263500.1 pep chromosome:AGI1.1:3:24007062:24009473:-1 gene:ORGLA03G0263500 transcript:ORGLA03G0263500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSHLAVLLGLLAFAAGVPAAAAATAVEGAQVATAEASCEPSILATQVSLFCAPDMPTAQCCEPVVASVDLGGGVPCLCRVAAEPQLIISGLNATHLLTLYAACGGLRPGGARLAAACEGPAPPASIVTAPPPPVAFRRKPPAREAPPPPPAAEKLSPPPQQHDDSDHNKRVGPLPRGSPPPYAQSVPVGPAAAPPPPRSGASSSLQAPLAATTTIVAITLIAAAQY >ORGLA03G0263400.1 pep chromosome:AGI1.1:3:24003825:24005926:-1 gene:ORGLA03G0263400 transcript:ORGLA03G0263400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRARATILLLLAAVLFAAAAAASGEDRRRETSLRRCLQRCEQDRPPYERARCVQECKDQQQQQQERRREHGGHDDDRRDRDRRGEGSSEEEDEGRERGSRRRPYVFGRRSFRQVVRSDQGSVRLLPPFHQASSLLRGIKNYRVAVLEANPRSFVMPTHTDAHCICYVAQGEGVVAIIENGEKWSYAIRQGDVFVAPAGTINYLANTDGRRKLIVTKILHTISVPGQIQFFFAPGGRNPESFLSSFSKGVQRAAFKISEEKLEKLLGKQDKGVIIRASEEQVRELRRHASEGGHGPHWPLPPFGESSRGPFNILEQRPRFANRHGRLYEADARSFHDLAEHDIRVAVVNITAGSMNAPFYNTRSVKVAYVLDGEGEAEIVCPHLSRGGRGGESEERRRERGKGKWREEEEEEEEQQKGQEEEEEEQVGQGYETIRARLSRGTVFVVPSGHPIVVTSSRDSTLQIVCFDVHANNNERMYLAGMNSVLKKLDPQAKELAFAASAREVDELLNAQQESAFLAGPEKSSRRGEESEDEDRRRRRSHRGRGDEAVETLLRMAAAAV >ORGLA03G0263300.1 pep chromosome:AGI1.1:3:23998088:24001255:1 gene:ORGLA03G0263300 transcript:ORGLA03G0263300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSIKTKKLSWSKTFVRKWFNIKTKAKDFHSDYAVEEVGVQWRTSFSERDVCKSKKSRTERLPRKSVDRDSRVGNGFDRAYITNTQDYRVFVATWNVGGRSPSSHLNLEDWLHTSPAADIYVIGLQEIVPLNAGNVLLTEDNGPAKKWVALVRKTLNNIDQGSAVYNYHTPSPVPDPIVELNVDFERSSRRPRNSSFFHRRSFQSFNRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDVDTSMRYGGSSDDENIDEESPSGIYISPMPCGYGAPLCYDDNKRQLINTSRYCLVASKQMVGVFLMVWVRSDIREHVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDELRRNADVVEILRKTRFPHVHGVGDEKSPETILDHDRIIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQLRIEQRYGRVFSGWKEGRIYFPPTYKYSYNSDRYAGDDMRPNEKRRTPAWCDRILWYGRGLNQLCYVRGESRFSDHRPVYSIFTAEVQIPSQTQFCSFARSTSLMGVDELPYPTYPRSYTDINFY >ORGLA03G0263200.1 pep chromosome:AGI1.1:3:23972915:23973604:-1 gene:ORGLA03G0263200 transcript:ORGLA03G0263200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAILRLLPLLLLAAAANAATFTITNKCQITVWAAAVPSGGGQQLDPGQQWVIDVPAGTTGGRVWARTGCSFDGSGNGRCQTGDCGGVLRCAAYGQPPNTLAEFALNQFSNLDFFDISLIDGFNVPMDFLPAGDGAGCAKGGPRCEADVAGQCPSELRAPGGCNNACTVFKQDQYCCTGSAANNCGPTNYSQFFKGLCPDAYSYPKDDQTSTFTCPAGTNYQVVFCP >ORGLA03G0263100.1 pep chromosome:AGI1.1:3:23971365:23972033:-1 gene:ORGLA03G0263100 transcript:ORGLA03G0263100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLANSSVHLLLLVVVLAAAGDAVTFTIVNKCGYTVWPAALPSGDGNQLDPGQSWAVYVPAGTKGARVWGRTGCGFISGGSLGQCQTGDCGGTLRCAAVGAPPVTVAEFSLGQASKDDYFDISLVDGFNAPMAIVPAPAGGRRCPRGGPRCAAEITLQCPGELRAKAGCSNPCRGNSTCGPTKDTEFFKKLCPETVTYARDGQGTTFTCPAGTDYQIVFCP >ORGLA03G0263000.1 pep chromosome:AGI1.1:3:23965856:23966400:1 gene:ORGLA03G0263000 transcript:ORGLA03G0263000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIGTMFREEHRSSAVGIPYEKVTRVRILGGQLRSTVGTGTKLREELRSRRSRIPGDEVEYEMARGGWTPCGRYLRNGLHEVGQEQQQDVQAGKSRRLGSARKLVHRSETEFDTV >ORGLA03G0262900.1 pep chromosome:AGI1.1:3:23957118:23960503:1 gene:ORGLA03G0262900 transcript:ORGLA03G0262900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGPGSKVPDSRTRRAASDEDQERPSLPPSLEPGMSTMPPSSSAPCGSPACGGTSMEEPSREELPAPSSRASPSQSLPAVTEAPPTYWFARPRRADSMTMSYDPQATESKQSELDLPLSTPQSQFNDSSIASEEEKQWEVAQDQQDSDSSADSSPLREPQAPLVPILRTPSGEVVYGITDDPVAAQAYHWAYRKYEEKLARQEQLPTLRSSSCASSCTTECYSPKQKKIFLNASKSVVSLSAYHDGTEINQCTGIVVEWDDVKKSAIILTSAWIICTKKPFDDWSYKDYAPEAKVIVRIPDDTTSDCRLLYFSKHFDIAFFETMGELTLPIVPLKPDLEYGQNLCVLARDNKTDLICTTVRVKYVDPYEYQHNHYLFIDGSIPKCGTGGALADFSGNIVGMLFCTLPIVAFIPSSLILTCMRLWRNFGQLVRPQLGLKLRTVDFLEMAHIELLSRKYNIASGLIVREVFSQCAAEKHGIRVGDVILSCQGENISNVTQLEDILLGVGERHLEKGNDSGSKVDVEVGVFHVLKCSRRLVTLTVELSDGIEVFH >ORGLA03G0262800.1 pep chromosome:AGI1.1:3:23955256:23955687:1 gene:ORGLA03G0262800 transcript:ORGLA03G0262800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVASSPLLWSLLRCPPPXAGDADLAVRDDLGTAAELRVVRRRHALPVPHDRRRHSLRCHLLHSAVSILTRPTFSPKNRWPSLDTRTSFRWAQMQRWHVPLSFAYVGWQGRMPPHRTHRTVKALSRNGCYGGAEVTAAGKA >ORGLA03G0262700.1 pep chromosome:AGI1.1:3:23948306:23949419:1 gene:ORGLA03G0262700 transcript:ORGLA03G0262700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAXNGLKIRIFGPVKCIEIGKNTNGESTLLSRSREDNKDKEQQARGGIRATVGRWRSWRISLGTNGDTPLDSAAGGRXGSRARNHDGLAPGSNGLGVREANKAYDAFFVLPCEKSFADHVGMRGSRPVPTPDGIPVDRNPKNIILLEFIGT >ORGLA03G0262600.1 pep chromosome:AGI1.1:3:23942972:23943244:1 gene:ORGLA03G0262600 transcript:ORGLA03G0262600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATCSDVKSLPEVTIDEKKIVTYCLLVLFSFRNTKEIGDCWSWIHRFRDGSFWNGPGLRLVLSNLPLTLSHQWMDKLGSHSSICWRSRK >ORGLA03G0262500.1 pep chromosome:AGI1.1:3:23932527:23933303:1 gene:ORGLA03G0262500 transcript:ORGLA03G0262500.1 gene_biotype:protein_coding transcript_biotype:protein_coding KWLRVPHCHAKIVEHLQNKFHMKPSEAEGTIKEPFFATGSMIYQDENFGYILTCAHILEDFYSANIVLSKEQANRWFKFLILCKHNEDHMKTIHPDLYESERDKRNYTVATVLKIDQRKDLMLLQFNLSTLYATQYAQRCRLPHQSLKLAENPSSAPNDVVMISWPPNRPDTVVIGQVSNQCRLFNQLTTERDKGYNMQFIELKINGEKGASGSPILNHAGDILAVYHGRIEGKGYAISHDDIYEFLYTRKILSQNLR >ORGLA03G0262400.1 pep chromosome:AGI1.1:3:23923226:23928901:-1 gene:ORGLA03G0262400 transcript:ORGLA03G0262400.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIAQGAVDFQGTDQKNGELRRPGEPLLGPCRYAAAVVCAMPTTVDWWHWDGVKPGATPFAAVPGKDTIAAPEWEGIIAVAAAPREQRERERIQSPPMSGRASSPSQQLPWEEGACPERVRSSPMSGRASSSLQQLPWEERTCPERIPSLPKKKMYWFARSREANSVQVSRPGEASYPEATEGKPEYNLHVLKSMKKKKKKKKKRGKRNKRGQGGSEDKSQEKQEVAQEHLGSVSSSYSSPLREPQAPLVPMWTSPSGEVVYGITDDPTAAEAYHWAFHEYKNKRARQELLPTVRSSISAAIEHYNPEQKKTLLNASKSIVSLSAYHDGREINQGTGIIIECDEVKNSAIILTSAWLICIKKPFDDWSHKDYAPEAKVTVHMLDDTISVCRLLYFSKHFDIALFETVGGLTIPIMPLKSDLEYGQDFCVLTRDINIDLICTTVKVKYLDPYEHQHNHYMFIGGSIPKCGTGGALADFSGNTVGMLFCTLPMVAFLPSSLILTCLRLWKKFGQIVRPQLGLKFKTVDFQEMTLIELLSRKYNITSGLIVGEVSAECAAEKLGIRVGDIILSLSRERAFQV >ORGLA03G0262300.1 pep chromosome:AGI1.1:3:23912030:23912728:-1 gene:ORGLA03G0262300 transcript:ORGLA03G0262300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLATSSTLALFLLVAVSIADAATFTITNRCQYTVWPAAVPSGGGTKLDPGQTWTINVPAGTTGGRVWARTGCGFDGSGNGQCQTGDCGGKLRCTAYGAAPNTLAEFALNQWNNLDFFDISLIDGFNVPMAFLPAGSGAGCPKGGPRCATAITPQCPSELRAPGGCNNACTVFRQDRYCCTGSAANSCGPTNYSEFFKRLCPDAYSYPKDDASSTYTCPAGTNYQVVFCP >ORGLA03G0262200.1 pep chromosome:AGI1.1:3:23899566:23900849:1 gene:ORGLA03G0262200 transcript:ORGLA03G0262200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLKERPAGRLGRLLAALRPARAGPLPVQTGFPTSLADLVVKNHGRLKKPSASASRRKKRGGPEAPPSPSPSPSPSPPPQPSSPPPPPPSPPPAAAVSVSPPTQPRPRPELPPVEAVLRRQPKGRVFGLGLGFVSLVGVVSLALLVIWSKKVVAAVTVASFSLFLLESVRSSALSRRPRRPAANNKLDLDGRGYVSPIREVEPARASFSDSSRRSEFSILTIEERSEVGDDSIVAIEERIAAGGDDSSNAKVKTKKRSWRKLIPRKLQKGMKGKEAEDSSGSFRSSEGNRGDATATDSSDSRRGMRTKAADAFVARSMDSSPSFRGNGGDTDADADSNATRVEIDAPADVLAGDGDAVGGTRSSVALLVVAVVLVGLVAGKLPAVVFTVLCGVFISSVQRLPAGGDGNGDRSFTWWFRIKPKDVN >ORGLA03G0262100.1 pep chromosome:AGI1.1:3:23895042:23895260:-1 gene:ORGLA03G0262100 transcript:ORGLA03G0262100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVEDFIWHTMEVLCAYGMQSRIWKESKFGTIGYVKFVSCTRGFPKVFRILSMSLVRGFRLPTSCINRGGA >ORGLA03G0262000.1 pep chromosome:AGI1.1:3:23882159:23885855:1 gene:ORGLA03G0262000 transcript:ORGLA03G0262000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:I1PE24] MREILHIQGGQCGNQIGAKFWEVICGEHGVDPTGTYTGTSPQQLERINVYFNEASGGRHVPRAVLMDLEPGTMDSLRSGPIGGIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGRMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPVGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEDDYDEEDDAAAADEA >ORGLA03G0261900.1 pep chromosome:AGI1.1:3:23878445:23878942:-1 gene:ORGLA03G0261900 transcript:ORGLA03G0261900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASASPPIAAPGIEFTAQPSGRDHTQDCSLTYAQWKEVDASTRHGLSLDARAALGYTAQRIFARFMAITKLTLRYAQGSGTDSLSNDGARHVVVALPSEWLARLKLRGLRQLSDDGFASLTGATPVIRKLSVASISFGPKAFVGVLRSCPLLDDLSVKRLHGLL >ORGLA03G0261800.1 pep chromosome:AGI1.1:3:23871720:23872121:-1 gene:ORGLA03G0261800 transcript:ORGLA03G0261800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATVRDDEDCGGSESGEAGPTAASSPISLAPPTSFPRSLASRAHHPLFACPHRLQRRDPSLLPRLVRWPPSSSPGVRPGRAPVEAVSAEEAVVGSGASFGQAQRRHHRQRRLRLRAASVDGWREADSAEDDG >ORGLA03G0261700.1 pep chromosome:AGI1.1:3:23850806:23851069:-1 gene:ORGLA03G0261700 transcript:ORGLA03G0261700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTLQGRWEKRRWPRQPPRLAGKSAAAMVAASVKVAPSRQIRGRGGCQESGGGSGKRGKTTGGSCKAGFDEASAIRCGPSSTLPP >ORGLA03G0261600.1 pep chromosome:AGI1.1:3:23847176:23848499:-1 gene:ORGLA03G0261600 transcript:ORGLA03G0261600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGALGYMARAADGGRAAELVTRDFLGGCVAADDARDAAAAAAAAATARHDSVSGKLSLQKQTCPATPRDLNLFPVPGAAASAAKPCPSSTAAAASGAGGTTTTTTTTTYHSVCTIEKVKTALERFERGKHQHHQHQQHSAGASPSSSSVTTSSVKRRGGGGVGDGAVEQGDGCDSPSAAGGGGMVAAACPRCFLYVLISRSDPRCPRCESHVPPPPSPAPKKKPRIDLNVGFLGT >ORGLA03G0261500.1 pep chromosome:AGI1.1:3:23836531:23836851:1 gene:ORGLA03G0261500 transcript:ORGLA03G0261500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRRKSGGGSGGASPCRENGEDEVPRGHVPMVAGGGGDCGDGGGERVVVPLRLLGDPSIAELLDMAAQQYGFGQPGVLRVPCDAGHFRRVVECALRRGAAGGQTA >ORGLA03G0261400.1 pep chromosome:AGI1.1:3:23834657:23835202:-1 gene:ORGLA03G0261400 transcript:ORGLA03G0261400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASTSPSVKPSTRWPRCSLFWRRRHGPPVWRRLGVIVVVPWRLHVERPVLQPVSRPPPADAAATIAAAAAGATAAFVLPLAGDGTQGGEAEAAAIAAAASLSGGGGEAEGGREAGGRGCSVKAVVSPLPSSADVKRPPAAESVPEPAXAEEEAAAMQLVNRPGNMHRLIDRWSVLSTTET >ORGLA03G0261300.1 pep chromosome:AGI1.1:3:23827829:23828290:1 gene:ORGLA03G0261300 transcript:ORGLA03G0261300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYWRSKSGGGGASPSRANGGEDDQVPRGHVPMVAGCGGGDGDGGERVMVPVRLLGDPCIAELLDMAAQQYGYGQPGVLRVPCDAGHFRRVVERALRKDGGRSAXRLMCRARLEFQPPRQ >ORGLA03G0261200.1 pep chromosome:AGI1.1:3:23822145:23822465:1 gene:ORGLA03G0261200 transcript:ORGLA03G0261200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWRRKSSSSGGVSPAGASPRHGGDDDDECNVFPRGYVPIVAGSGDGERVLVPVSLLGDPCIAELLDMAAQQYGYGQPGVLRVPCDGKRLRRVVEGVLRKGGGQSA >ORGLA03G0261100.1 pep chromosome:AGI1.1:3:23813743:23814099:-1 gene:ORGLA03G0261100 transcript:ORGLA03G0261100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLIRRLSRVGDSSAAAASSSSPGKRRGAGTKKKAAAAGVPPEGHVPVDVGEEGEEATERFLVRAELLGRPALAELLGRAAQEYGYDHRGPLRIPCSPAAFRRALAGAGGGDHDDDG >ORGLA03G0261000.1 pep chromosome:AGI1.1:3:23808195:23808428:-1 gene:ORGLA03G0261000 transcript:ORGLA03G0261000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPPSSPPLQPGTAVAPVVAVAREGRCRGRRCVAVLVRPSAVAVDRRNTVAIVKPKVAAASSSPPSPSVAPPPLLWSP >ORGLA03G0260900.1 pep chromosome:AGI1.1:3:23784700:23795853:-1 gene:ORGLA03G0260900 transcript:ORGLA03G0260900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRCPCGTVTMACSWLVLTAAMAVLASWLIRLASLKWNSSHPCKADEGSRLPPGSRGLPFLGESLEFFTSSTSLELPVFFKRRLDRYGPVFRTNMVGQDLIVCLDPEVNSFVFQQEERLFQCWYPDSFMRIIGADNIITTLGSSHKYIRNLILRLFGPENLRRAMLQDVHRTAQASLLSWLDRPSIELKDAVSSMIFSVTAKKLISYDSLASDGKMWKQYDAFIRGLLAFPIGIPGTAFYKCMQGRKNVMKMLKELIDERKEASGRRGSIDFIDVLLEELNEEKPLISENVALDLIFLLLFASFETTASAITAVVRFLTDNPEALQELAEEHDNIQKRRVDLNSEITWEEYKSMKFTSHVIHEALRLANIAPVMFRKATEDVHIKGFFIPKGSKIMICPSTVHLNPMIYKDPNIFNPWRWKVCKIHNDTAEPTGGASKDFMAFGGGLRLCVGADFAKLQTAIFLHCLVTKYRWKAIKGGTMVLGPGLRFPEGFHIQLFPKP >ORGLA03G0260800.1 pep chromosome:AGI1.1:3:23752308:23753311:-1 gene:ORGLA03G0260800 transcript:ORGLA03G0260800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRTRQQHGRWPPLPPSPAPPPSSSLPRRPWRAAMRLSLSQGQRGNLCAAKATVGGGWTYGGGGGWLSGGGEGEGEEKVRREECGVVMLCSSLFTACVVVVSLGMERGRWCAASDSCRTLSSAWTKEAHNNKILPENVSTLSCQHETKEKVFSYSDASEVLRYAFTMTEAAIDHEYER >ORGLA03G0260700.1 pep chromosome:AGI1.1:3:23739743:23740142:-1 gene:ORGLA03G0260700 transcript:ORGLA03G0260700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPVAVAIPWFALAAATAVLAGAAWLIIRACKADESSCRLPPGSRGLPLLGESLEFFARSPSLELLPFLKQRLERLPIDSHRMA >ORGLA03G0260600.1 pep chromosome:AGI1.1:3:23736236:23737002:-1 gene:ORGLA03G0260600 transcript:ORGLA03G0260600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRKNVMRMLRELLDERKKKTAHQLESIDFFDALIDELKQEKPAVSENVALDLLFLLLFASFETTSSGITAILRFLTDNPMAFEELTEEHDRILKRKADPNSQITWEEYKSMKFTSHVIHEALRLANIAPVVF >ORGLA03G0260500.1 pep chromosome:AGI1.1:3:23702976:23703954:-1 gene:ORGLA03G0260500 transcript:ORGLA03G0260500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSQTTTTGAGGGRGQGDDEEPTPTPPPAPPPETAPSTVGGGGGDGVQLVMPEDGYEWKKYGQKFIKNIQKNRSYFRCRDQRCGAKKKVEWHPHDPGLNLRVVYDGAHHHGSPSSAAGEGGASAAAAANQYDLSTQYFGGAGGPRSQ >ORGLA03G0260400.1 pep chromosome:AGI1.1:3:23691599:23694154:-1 gene:ORGLA03G0260400 transcript:ORGLA03G0260400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1PE08] MAGEGVEMSEEEGAFEAFVCPLTKQVMRDPVTIETGQTFEREAILKWFRECRDNGRRPTCPLTQRELRDTEVSPSVALRSVIHEWRARNEEKDLDRACASLVGGFAGHAGDEEEESALRALVHVSQICQRSAASKDLVRRRGVLRAVAEMLKSGSRRLRLKSLQVLRVLVEDNDDNKEELGKGDTIRTIIKFLSNEHVQERELAVSLLHELSGHEPTCERIGAVYGAILLLVGMGSSKSESAVAVDKAESTLRNLDRFDANVKQMADNGRLQPLLTRLLRGEPDTRVAMADYLGELALANDDKAAVAEQAGPLLVGMLRTGATPAKEATLKALREISSSEASAKLLLQRAGVLPPLVNDVLFSTGHLPMKLKELAATILANLVASGADFRSIPLDDDEDDDGGGGGRGRRRTLLSEDVVHSQLHLISNTGPAIGCRLLSVLAGLTSSRATVADVVAAVKSSGATISLIQFIEAAHRDIRVESLKLLRNLAPYMGAELADALGGSLSSLLRAISSDGGGVTEEQAAAVGLLGDLPEGDSSLTRQLFDLGAFRALAPKLAELRRGTIRGGNRYVTPLTEGVVKVMYRVTCALEEDAEYVEFAREAGLAPLFVELLHTNGMDTVQLYSAMALEKLSLQSSHLTAIPAPPSPPAGFGCACLGRRPAAAAVPAGVCRVHGGFCSLRETFCLAQADGGKAVERLVACLDHLDGRVVEAALAALSTLVCDGVDAREGVVVLGEADGLRPVVDIMVESRTEALQRRAVWAVERILRVEEIAREVAADQTVASALVEAYRNGDPRTRQTAERALRHLDRIPNFSAAFQSKRS >ORGLA03G0260300.1 pep chromosome:AGI1.1:3:23686730:23690461:1 gene:ORGLA03G0260300 transcript:ORGLA03G0260300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAALEGSEPVDLAKHPSGIIPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQ >ORGLA03G0260200.1 pep chromosome:AGI1.1:3:23679163:23682443:1 gene:ORGLA03G0260200 transcript:ORGLA03G0260200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:antitermination NusB domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G26370) TAIR;Acc:AT4G26370] MEATGAAVSAFSTASSSSPAAPIHGRLLASPAAWRSARTLPPRAVASSRATVLVSNPPPPPLPPTPAPAAVAPSKVDRSGRFCSPRAARELALMISYAACLEGADVVRLFDRRISARREPGYVFDKACVVNYNHMSFGGGPLEVGTEEEAEKLMSQNEKDSANEAEVLSAPPKLVYNNFVLRLAREILVAVASGWDKHVDIINKITPQNWKDEPVARILELCILHIAMAEMTSKGTPHKIVINEAVDLAKRFCDGGAPRVINGCLRTFVKDHMNIDTSQPAPAESKA >ORGLA03G0260100.1 pep chromosome:AGI1.1:3:23677624:23678760:1 gene:ORGLA03G0260100 transcript:ORGLA03G0260100.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVAVGNEPFLSSLNGTFLNVTFPALQNIQRALYDAGHGDTIKATVPLNADVYNSPENMQVPSAGRFRPDIAGLMTEIVQFLNQSGAPFTVNIYPFLSLYGNDNFPLDYAFFDGTTSPVVDTNGIQYTNVFDANFDTLVSALVAAGVGGLPVVVGEVGWPTDGDKHARADLAQRFYAGLLRKLASNAGTPLRPNQYVEVYLFSLVDEDAKSVAPGNFERHWGILRYDGQPKYAMDLAGQGRDTALVAARGVAYLPRAWCVLNPSATPDAMSRVGDNVNYACTYADCTSLGYGSTCNGMDAAGNASYAFNAYFQVQNQVEESCGFQGLAVQTQQDPSTNACNFTIQIEPSAAAGRRPAAVAVTVATAMLISVLAAMVTTP >ORGLA03G0260000.1 pep chromosome:AGI1.1:3:23666917:23668644:1 gene:ORGLA03G0260000 transcript:ORGLA03G0260000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRRRGYSAAVPSACCFLLLLLVLSASHLLPTRRGHGGVLEGLALRGSASRSRSGSSSSSSAAEEQGSCQELQSIEGGEARCLYLRTHPPCAPAGYVDYLRLFYCGFAHAPAAGYAAAVLWLAVLFYLLGDTASEYFCASLEGLSAELRLPPAIAGVTLLSLGNGAPDVFASVVSFAAGDGGGVGLNSALGGALFVSTVVAGVVALAAASRAGRGGVVVELRGFVRDICFLLLALCSLLAILVTGTVTVWVSASFVSLYVAYVLLVWTSHCCSEPGKPPQADLAAPLLLDDDGGVTPLPSYSKNSAPSKKRAYLHCLLSAILIPLYLPRRLTIPDIAGHRWSRPCAVASLALAPVLLAATWASSCRHALAVLLGGALLGLLLAALAAATTEAASPPRGRWRRVPWLAAGFLMSVLWAYTLARELVALLVAIGYMVGVRASVLGVTVLAWGDSLGDLVSNVAMALHGGAGGAQTAVSGCYAGPLFNTVVGLGLSLTLAAGSQYPAPFAIPAGGAVYEAVGFLGAGLAWALLVVPARGMRLDRVYGMGLIAIYLAFVTIRVFDSLGLWTHSWWPA >ORGLA03G0259900.1 pep chromosome:AGI1.1:3:23650429:23652530:-1 gene:ORGLA03G0259900 transcript:ORGLA03G0259900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17840) TAIR;Acc:AT5G17840] MEVSARLRAPPASAAPRRGRGSLPLPGWFASARPASRAVSAKIRAGATYDLQRNKSNLESLFCYDKSVPEEDIGTPAGLDLEKKNVGKNPPCISCETKGAVLCATCAGSGLYVDSILESQGIIVKVRCLGCGGTGSIMCSKCGGRGHT >ORGLA03G0259800.1 pep chromosome:AGI1.1:3:23648651:23649392:-1 gene:ORGLA03G0259800 transcript:ORGLA03G0259800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRGVIRTFEEYDAAVEWSRSAEADAVKISLPGFKREEIRVLVDNHGHLRTRGERPVAGNRWSRFQKDFQLPADCNVDGIRAKFENEALTITLPKKTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAERQHRRRRR >ORGLA03G0259700.1 pep chromosome:AGI1.1:3:23641391:23645035:-1 gene:ORGLA03G0259700 transcript:ORGLA03G0259700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSYSNVGSPTAAGYVQAPELPLHLCFFLVVLLVFLGFSWYMSYESAAERFANQARLLLMASPLALLLTPRTRRHSSRRCSSRRWAQPGTVFTIPVFPEQRGYFLMLGFITQRQHACTQYFNAATAAAAAAPPPPRRHGYANVDPRCEWTRTEDADTLVVDVSGFRKEELKVLYNTSRKLKVAGERRADGGQWARFLKMFPVPRSCDAGAIRAVMDNEEALLYVILPKGSSSSSSTSSRDKKEDEHNVSSQPQGEAAMAPMADGPSSSSGGGGNLYIAQEDEEMGKIDEKEEVIATQDVPRTHGDVDDGNGRWFHLGVFAGLETAVRVHLEDVGVKHGKHLVDAVCDLLGGGDPGGVDVIHTLAEDGQELFIGSGVLDGAPG >ORGLA03G0259600.1 pep chromosome:AGI1.1:3:23635152:23639089:1 gene:ORGLA03G0259600 transcript:ORGLA03G0259600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-isopropylmalate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PE00] MSIQANPLIKTLALSPRRRMAPPAPATARRPMAAVRCSAAKRSYNVTLLPGDGIGPEVVAVAKDVLSLAGALEGVEFRFQEKLMGGAAVDAYGVPLPEETLAAAQASDAVLLGAIGGYKWDNNEKHLKPETGLLQIRAGLGVFANLRPAAVLPQLVDASTLKKEVAEGVDIMVVRELTGGIYFGQPRGFGKNDKGEDTGFNTEVYSASEIDRITRVAFEVARKRRGKLCSVDKANVLEASMLWRKRVTSLASEFPDIELSHMYVDNAAMQLIRNPKQFDTIVTNNIFGDILSDEASMLTGSIGMLPSASVGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLRYGLGEENAAKRVEAAVTETLNNGFRTGDIYSPGTTLVGCKRMGEEVLKTVESQSAVALNS >ORGLA03G0259500.1 pep chromosome:AGI1.1:3:23625722:23631404:1 gene:ORGLA03G0259500 transcript:ORGLA03G0259500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAAGNGSAAAAAAYAARAGVYDGVDPFEGMEFDDEEDAWTFYNVYAHRVGFSTRISVMHRSRRDGSIMSRQFVCAKEGFRTYRGKNEVSPVAAGSGEDSGRGRRTRAVTRVGCKAMIRVKKQDNGRWAVTKLETAHNHPLVPPNQAHCLRPHKPLSECGKQRQFGIPRNGGMLLAIEPPPPPISPPVPQTSVLQVVPHYTRDGIGDHARVILDYVKRMQAEDPAFFYAMQFIDGHPVGNIFWADARARMAYKHFGDAVFLDDYCKRNKYQLPLVAFTGVNHHCQPVLFGCAIIGDNSEASFVWLFETLLLAMSGHHPDSLTTEHDSAIQLAALKVLPRTRHRFCRWHILNETHDKLSHLSDEFPSLHEELVNCINMPETIDEFEVNFKALISKVGPGNSEWLYSVYNCRQHWVPVYLRDTFFGDESSKEECASRSSFFDGYISAKTDPQSFIQQYEKALDCCYEKEVKEEFETKYSLPEIKTSSPIEKQGADLYTRSMFLKFQQELVDASVSSLEVMKEDGKSRIYKVTKSAGSEKPHMVEFNSFGSSATCSCQMFEHLGIVCRHILTVFGTQGVSSLPSQYIVKRWTKYAMERSPDKKVDEVSKVNEPKEEQKSGAEDGEQSQTWRYNSLCREALRYAEEGASSVEVYIVAMQALQEAANKVNMAKRGIGQVAPNAPLAVMPIAAQLPAEGFRNVPEISFNQRKKRKRNSNNKTTENSSNQLMYLQQPVNFLFVAPGTSSGPQGPSQIVAAVPVSSSAPHGQTSSANHPSDGNTTSCSVAAQKNSDLSNYSGSAPSLGNVVPEGEIKSSGFASQIKESHELSQGNGNKGNNVNMASSTSSPQLVTVPVGLCLPSMDSSKISADAINSTNSGSMISNGNVSFGLCQSQSTNADPRSTPEGSSIRAAAIAAGARIASPSDAASIIKAAQSKGAIHIRPGEGVPNYLKPLAPQPLSSLPPGSIPNSVHPSSSHAQPGQCSFGDSAAAKDAIFGSTDSSDDDEYDEDDDTDDNDEDEGITGDEVEQE >ORGLA03G0259400.1 pep chromosome:AGI1.1:3:23619595:23621265:1 gene:ORGLA03G0259400 transcript:ORGLA03G0259400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVIPEPTNEVVVRVEPGRPARGELTLRNAMHTMPVAFRLQPAVRSRFAVRPHTGILAPLAAVTVEVVYLASAAPEGPGGGGGAGRGEDAFLLHSVVAPGAAVREPVTALDSVNPEWFSARRKQVFVDSGIRACFVGAAVAARLVEAGAVEALREVLDRSEPEWRAADAVDESGRTLLDLAVGLGRADIVQVLLEYGADADKPSRGRTPLETAAASGECLIAELLLANGATPAGSDALHVAAAAGHNDVLKLLLGKPASASPSSASSASFSCSFTSIDAAGRDGKTPLRLAAEAGRRDAVKALLAAGARADARCGADGGTALHAAARRGDEVIARLILANGAAGTAAVRDAAGKTAFEIAAEECHGGRIMDFLGLGEAILAAARKGEARAVRRAADGGASVEGRDAHGWTPLMRAAFKGRADTVRDLVDRGADMDATDAEGYTALHCAAEAGRADVVDLLLKSGANAKTTTVKGRSAAEVAAAAGKSKVVRLLEKAGGVGRKEVAEKTSPAAVVGKAGSLDRRRRGRKGSSGAIRFGGGKDGFETAAVAVGWSH >ORGLA03G0259300.1 pep chromosome:AGI1.1:3:23611592:23611891:1 gene:ORGLA03G0259300 transcript:ORGLA03G0259300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIHKIEEKLHMGGGEHKKEDEHKKEGEHHKKEGDHHKKDGEHKEGVVEKIKDKITGDHGDGGEHKEKKDKKKKKEKKHGEEDHHHDGHSSSSSDSD >ORGLA03G0259200.1 pep chromosome:AGI1.1:3:23605134:23608640:1 gene:ORGLA03G0259200 transcript:ORGLA03G0259200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G03773) TAIR;Acc:AT3G03773] MSRQPEVLWAQRSEKIYLTISLPDAKDVVLKTEPQGLFSFSAVANGEPFSFTLELFDSVLPEGSKTKTKMGLRNIICSIQKEKKGWWKRLLKSEEKHPYIKVDWNKWCDEDEESDAPVDSDDDFDEGNDRDETDDDDDGMLYLPDLEKLRGK >ORGLA03G0259100.1 pep chromosome:AGI1.1:3:23601078:23604583:-1 gene:ORGLA03G0259100 transcript:ORGLA03G0259100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrin [Source:UniProtKB/TrEMBL;Acc:I1PDZ5] MDFSGGGGATLSEMYQSARRLLLSARDGVARVERLASAPTSSSYSSAPLVGGGGGAGDSAAAEEVRREVAQIQGLCAQMDRLWRSIPAKGQRDLWKRKVEQLSEEVDSLKETLDRHSLRQKKRVLEAKERAELFERANGESSHVLRIFDDEAQAMQSARSSSRMLDEAYETGVAILHKYADQRDRLKSAQRKALDILNTVGLSNSVLKLIERRHRVDKWIAYAGMMITVVVMFVFWRWTH >ORGLA03G0259000.1 pep chromosome:AGI1.1:3:23595634:23596969:1 gene:ORGLA03G0259000 transcript:ORGLA03G0259000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVDQGSSGSVEAKRRREAKGRRRSGGGGGGGGGGGGGGGAVVRRTRRRVLLRTPEPAPLLKRLFAACRDVFRGPGTVPAPDDVALIRGILDKIGPGDVNLSAEHNFFKATDAAALPHPLAITRTTIYTCTNFSIVIFFLPPTAVIPLHNHPGMTVFSKLLLGSLHIKSYDWAEPAVFAAGSGDRLRLAEVVRDGGFSAPSDTLVLYPAAGGNMHRFTAATPCALLDVLGPPYSEDRDCTYYQDFPYSHCPSDDIAELRRHGGGMDDEQISRMRQLGWLKETAMPKDLEMYEMPYRGPPIL >ORGLA03G0258900.1 pep chromosome:AGI1.1:3:23588150:23588716:1 gene:ORGLA03G0258900 transcript:ORGLA03G0258900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQKVPFRDFLGRSTVISLMDYLVFFVSVDAGYLQPYPLPPSSTLSSLQSQRVTRRAEHHRGVRRRRVLAGGERPRAQHVVVHEASVEGAVEAVVDPVLPELAAGALPDDARGRGEGERRLGEVPAGLADHLDAGEVSEVALERVVIPLLAFAPVKETVATKSRAQPSYGANKLYYGIQFLVLSLRW >ORGLA03G0258800.1 pep chromosome:AGI1.1:3:23587726:23588049:1 gene:ORGLA03G0258800 transcript:ORGLA03G0258800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTHHHQRRHSARGERAWLPPARTVEGRGERARTGCSSLSRTASTRLAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAASACQS >ORGLA03G0258700.1 pep chromosome:AGI1.1:3:23586637:23586894:1 gene:ORGLA03G0258700 transcript:ORGLA03G0258700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAVENFFFFLAACSSSALLLGFVLSDLLGVFMSSIIAWSLAPVNCEFMRGVRVKGIFKLASCLQNVWMCLLFQNPCQGAAQI >ORGLA03G0258600.1 pep chromosome:AGI1.1:3:23575989:23579377:-1 gene:ORGLA03G0258600 transcript:ORGLA03G0258600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISACASFPVVAVDSALRPEAVEGQGAAATAVAAPKTVTESESSASASASMEPEEQVEEPAKKRKRDPAPDVGSSSMDGTDGQGSDDDKNDDGEEAPVLQAVSPPRQNALQRLVDECRVLLDGSSKSTQPPNSTTVSRIVALLNGIGPDDLKLGTVLDTSEVTRAAAFRRRDPIQVIGGNYLYECDNFTVAVFYLPAGTVMPLHDHPGMTVFSKLLAGSVHVQSFDWVSPSVYGSGGKRAVHSKNTKLVKKVLDHVVEAGCGTWVLYPSTGGNLHRFVAGVDGPCAFLDVLTPPYSEGRLRRCTFYRDYPFQLHRNHRFGRNLSAQEKSQFAWLRPINASAPPDLRIVPLTYSGPPVV >ORGLA03G0258500.1 pep chromosome:AGI1.1:3:23566769:23574084:-1 gene:ORGLA03G0258500 transcript:ORGLA03G0258500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAYLPLRAQAQVGLAPLRPSGSAAAGARLPGRTARRRLAARGGPEAAGIRAEAVPGGGGVARRAAMVPPYNVLITGSTKGIGYALAKEFLKAGDNVVICSRSAERVESAVTDLKKEFGEQHVWGIVCDVREGKDVKALVDFARDKMKYIDIWNHKKAGFSPYLCVPLAQINNAGSNAYSYKPLVETSDEALMEVITTNTLGLMICCREAINMMRNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMNEVNNVMVHNLSPGMVTTDLLMSGATTKQAKFFINILAEPANVVADYLVPNIRAIPTNQSMKPTYIRFLTGLKAYSRIFSRIAFGARRNKIMSNSQPAQEDDCMSSILVSRLIRAMQPTRSYLRWSDDLHKMFVEAVAYHGGPYEAKPTAVKETMQAMGVTGLTTHNIKSHLQKYRESFSSGVGSLHDHDLLRTTSPSKEALDLASEMVRDNDAAMAEIEMLNDLLLDHDIEMVETSFSVDDLQMMERELMSEIKLIEHTFEISESALDEYMDDLANYAFDLTGPANSSSP >ORGLA03G0258400.1 pep chromosome:AGI1.1:3:23560941:23565598:-1 gene:ORGLA03G0258400 transcript:ORGLA03G0258400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2215) [Source:Projected from Arabidopsis thaliana (AT1G28760) TAIR;Acc:AT1G28760] WPPPPKSPRRRRRPPAPPPVARPRPPPPPPPPPPPPIRRTGARLPPLLLLASLAALLIISTGDDTAAFDSAAVGRSIKDVSLENPEVTFVPSSLGGQFCERVRLSGIPKLHIGSYANQIRVKINVSQSMPEKFHWKIEICFHGNASMGLCQCETGEWQNLQNGMWNAVKSPYGNKYVDVKVADKTSTRFSISIQEEFQKWRLACLGIGFILLFLSPIVSKCAPFYYSSSMALGVLLVVLIVLFQGMKLLPMGRKSLFYLTIYGSVVGVGSYAVHYFSTLVASILENFGLSEEMHNPVSIFLLVAIVLTGAGFGYWMVRRFILSKDGSVDAGIAQFVKWAMRVVAICFVMQSTLDPLLALFALAASWWICSVFTAYRAPKSMTLKQKQSKASTQPMYNKGSPNPRQIQFLSPSKRDIGRTTSNSSATQYGWSNLANGGLVSPTLTKRVVPDNQDEDHYSTFHNIQPRKYSKEEWDDFTQKSTRKALMECTATPEFARWVADNAHRLRVEQQDDASEDELIESSSNSSEETAQEADTGLFRWY >ORGLA03G0258300.1 pep chromosome:AGI1.1:3:23552959:23558157:-1 gene:ORGLA03G0258300 transcript:ORGLA03G0258300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGGGEVGRRRRRRGWGGGFPSLMRRKQVDSDRVRAAEGEGQPQLAKELNIPALVAIGVGSTIGAGVYVLVGTVAREHAGPALTISFLIAGIASALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALVLEYTIGGSAVARGISPNLALFFGGPDSLPWILSRHQLPWFDVIVDPCAAALVFVVTILLCVGIKESSAVQELITVLNACVMIFVIVAGSYIGFQIGWVGYKVTDGYFPHGINGMLAGSATVFFAYIGFDTVASTAEEVKNPQRDLPLGIGAALSICCCLYMMVSVVIVGLVPYFAMDPDTPISSVFAKHGMQWAMYIVTSGAVLALCSTLLGSLLPQPRILMAMARDGLLPSFFADVNKRTQVPVKSTVVTGLCAAALAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYIPPDEVPLPSSLQETFCLSQEYDEERVSGILGDERCKTSETKDVILAESMEDPLIEKKITRKMDEMKRRKVAAFSIGSVCVGVMVLTSAASATWLPFLPMCIGCIVGALLLVAGLGLLCWIDQDDGRHSFGQSGGFTCPFVPLLPVLSILVNTYLLINLGGEAWMRVGIWLLIGVLVYLLYGRTNSSLKDVIYVPVAQADEIYKSSSGYVS >ORGLA03G0258200.1 pep chromosome:AGI1.1:3:23547263:23547730:-1 gene:ORGLA03G0258200 transcript:ORGLA03G0258200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAYVTATAATCQYGGGGSAAGKHSILGLMSASRLCTRQFTIPQWRTLICIVYMVVSRWGVTWMKTNFI >ORGLA03G0258100.1 pep chromosome:AGI1.1:3:23542738:23543829:-1 gene:ORGLA03G0258100 transcript:ORGLA03G0258100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENACFPNTMPSIDCCIFAVHVIDMAILNDLECLCGLKDERSFFDNGLSLXDMADFYSSSICQGQDLINAQVVVDACEVDDTAYHVNMSALFDEKKRTNTEKYDKFYFIL >ORGLA03G0258000.1 pep chromosome:AGI1.1:3:23516808:23521171:-1 gene:ORGLA03G0258000 transcript:ORGLA03G0258000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:I1PDY4] HSRCMHSGVATETRMEARGGLKRNRGMHPRVAAKACMEARRGLKHNRCSTSTSLKEIISTNLLILVVLSYLVHAVSAQSLDEPFRGTGAEIYEMTYDLKQDQQASFIVSFIDCATKESEFHLGTLKVLRNQTDTDREVPFGWILPRLIARKGSVTLAFRTDNLYLIGFTDKYGGWYSFNGYKVLIPGSTELEIDGGYGEGGMGGFRKLGDLPLSRRHALDAVDILWDYDPSTTPKEVLQNATATLLLVIPESARFKEVFEPVIADWDSKEGIRLKEKIESMGLLHNWGMLSSVGMIGLPWDSSEVQGYVKKMSRDKVYINSKEDAVRPLKVLLMSKAMRPKELVIKRINDPQS >ORGLA03G0257900.1 pep chromosome:AGI1.1:3:23500726:23502267:1 gene:ORGLA03G0257900 transcript:ORGLA03G0257900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDHEEAPLAARGVEVVLPEDVLAEILRRLPPRSLAALRCVCTDWRSTIDSRRLLRADLLPLSLAGIFIDFWGLRFPDFFSRPPPTPISGVFDFFPLEEGPDIMDHCNGLFLLFSLLVVNPATQRISISDGSYQVIHHPIEVYKSRPYVYFGKSEKGVYLASLTIDGRLSIWVLDESCGQFKWVLEHQNNLKPLLLRLNRSKQVYGPWILRDINYHLYSQKFPGEWDLYDRNYDPSHFHSPNDEAPAENNFEWHSDDDDIVDNQCNSEERNSGDYLTFLGFHPYKEVVFMSSGSMKGFAYHLKSSKLHCLGNLYPKHYKHFAQHEHIRESFPYTPCWVDELPETSISVYNLCQD >ORGLA03G0257800.1 pep chromosome:AGI1.1:3:23498656:23499009:1 gene:ORGLA03G0257800 transcript:ORGLA03G0257800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSRLKYSLALSILAVLAASASSVAVQPMLTCPDRHITDPAAYQLREHMSPGAGKDDPYRMLHGSSLSRAPGSSRLPWLRTSATAMISCARVATPPVLGFDAATDVREELKVGNMNP >ORGLA03G0257700.1 pep chromosome:AGI1.1:3:23484834:23486102:-1 gene:ORGLA03G0257700 transcript:ORGLA03G0257700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMKFAASATPHPRRVLAVALAIMVAFAVGPMVALAKCEQQAHAVASLCGGTGIYARCCFALKRSLDGGDPLCLCSLANNREVAEMGLNSTRILSLYRKCEGNVFPVLPAGGCEEVPALSPSPPPLHGSVMPPPLPPATVEPVISAPMVEPPPPPAMITPLPPSTPVFTLPPPPPVTTAPSTALPAGASAAALSTGVLRRIYQALIGLLCLVAGFLLVAVFVVVRKYWKPQLNNDVEMGSSNAADQSAIENAKKAAAEAQSSAEAAAGAAAGSLATAQAASEAAQAAQAATEEVVTVQANAAEQAVLFVEALGRAAQQISTCTITLGQLLQLVSQIIQAVRAAQGGAASAAAAITGFVRPLWGDLWACRSRYSICGGSGRGCTGRPVRFD >ORGLA03G0257600.1 pep chromosome:AGI1.1:3:23483379:23484036:-1 gene:ORGLA03G0257600 transcript:ORGLA03G0257600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAAYHRLPQPKDSERVKNYIPHPAVTPASFPQIQAPVVSNPAFWERMGGDSLSTVLFFAFYYQQNTYQQFLSARELKEQSWRFHRKYNTWFQRHVEPQVTTYELSAKSLTHNLWKKTAKSDGCRNSNSSLTKLEFRETKNRVKQSVCAA >ORGLA03G0257500.1 pep chromosome:AGI1.1:3:23452055:23460698:1 gene:ORGLA03G0257500 transcript:ORGLA03G0257500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSASYEQALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNNVVSDLENQIDNFEAEVEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFSDVEELYSTLPMEKVEALEDMVSLAPSSLVKGVASVSTTAVLSTKSSVATSPTQATVSAAPSLSVSQDQAEETASQESNPESAPQTPPSKVGSQPSVPVVPTTISTSTAAVSVSAETISSPVRPIVPTTTAAVLPASVTARSAPENIPAVTSAPANSSSTLKDDDNMSFPPRRSSPAVTEIGLGRGITRGLTSQGLGSAPISIGPVSGNGSVSALTDLSKRNMLNTDERINSGGISQQLISPLGNKAQPQQVLRTTDTISSDSSNTNESTVLGGRIFSPPVVSGVQWRPQNTAGLQNQSEAGQFRGRPEISADQREKYLQRLQQVQQQGSLLNVSHITGISQKQFPSQQPNPLLQQQFNSQSSSISSQAGIGLGQVQVPESGHTKSEEQQQSVAEDVSVESVATAGANKHMSEDDTKIPFSNPSASITEGTQLSRDPDLPAGQPLQPGMSSSGVGVIGRRSVSDLGAIGDNLSVASASTSHDLLYNLQMLEAAFHRLPQPKDSERVKNYIPKHPAVTPASFPQIQAPVVSNPAFWERMGGDSLSTDLLFFAFYYQQNTYQQFLSARELKKQSWRFHRKYNTWFQRHVEPQVTTDEYERGSYVYFDFHVIDDGTGSGWCQRIKNDFTFEYNFLEDELSVQTN >ORGLA03G0257400.1 pep chromosome:AGI1.1:3:23449962:23450483:1 gene:ORGLA03G0257400 transcript:ORGLA03G0257400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEEAVHLVVVGVVAVGAVVFLLLAAAASGACGCAAAFPAARWRKRAQVGDDDDDVESALGGATTVTTYEQAAAASSSSPAAGAAAEGADTCAICCQEYSGADKVRRVVRCSHFFHAGCVDGWLREKRNCPLCRAVLSSLPPLPNPGCRRPMPPRTSRPAVSAAAAATVVVG >ORGLA03G0257300.1 pep chromosome:AGI1.1:3:23445865:23446398:-1 gene:ORGLA03G0257300 transcript:ORGLA03G0257300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGACLRLVVNPQQPTTLLAVFLLLLVAAAVAPPHVLAADHVVGGSIWSIPPRPGLYRAWADNRTFVAGDNLVFRFETGMYNVVQVGRREFDDCTADDPYRDWTDGPAVVTLGSAAVRYFICTVGNYCSLGVKVYVASQ >ORGLA03G0257200.1 pep chromosome:AGI1.1:3:23442018:23445173:1 gene:ORGLA03G0257200 transcript:ORGLA03G0257200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGSHVDPFDIFSSFFGPSFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVLCAKCKGKGSKSGASMRCPGCQGSGMKITIRQLGPSMIQQMQQPCNECKGTGESINEKDRCPGCKGEKVIQEKKVLEVHVEKGMQHNQKITFPGEADEAPDTVTGDIVFVLQQKDHSKFKRKGDDLFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLAPEQCKALEAVLPPKPASQLTEMEIDECEETTMHDVNNIEEEMRRKAQAAQEAYDEDDEMPGGAQRVQCAQQ >ORGLA03G0257100.1 pep chromosome:AGI1.1:3:23436469:23438933:-1 gene:ORGLA03G0257100 transcript:ORGLA03G0257100.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKKNLGERWCGSIGSVEELQAKVLKRQEAAAKRERAMAYALTHQRQAGSRQQKPTTPQGLELDDSHWGSNWLERWMAVRPWENRLLDSNTKETMPLCDDKQDMETKSQITPKGKVQVSSVLSNGSNKKKGINHKKSYSDVTCASFGRSPNIPSTSLGSCKQKSKLSDEALEEVSSQPTGLASLSTCQPKAKLVQANTPVKKRLSLPTNVGGGAAKGATNSNSICRSTSAKSDPKPRANASSQARKQVELQA >ORGLA03G0257000.1 pep chromosome:AGI1.1:3:23426818:23427906:-1 gene:ORGLA03G0257000 transcript:ORGLA03G0257000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPATTYASPFVLSVLLLVSIPVIFLLAPRLLPPKTLPAIPDADESDDLALFRRAILSSSSPSSATPTPSSAASYFFRRRPAPKVAFLFLTNSDLVFSPLWEKFFRGHHHLFNLYVHADPFSALTMPPTPSFRGRFVPAKATQRASPTLISAARRLIATALLDDPSNQFFALLSQSCIPLHPFPTLYNTLLSDNAGPHGRHRSFIEIMDDAYMIHDRYYARGDDVMLPEVPYDQFRFGSQFFVLTRKHAIMVVRDMKLWRKFKLPCLIKRRDSCYPEEHYFPTLLDMQDPEGCTGYTLTRVNWTDQVEGHPHTYRPGEVSASLIKELRKSNGTYSYMFARKFAPECLEPLMEIADSVILRD >ORGLA03G0256900.1 pep chromosome:AGI1.1:3:23417899:23421711:-1 gene:ORGLA03G0256900 transcript:ORGLA03G0256900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSASAPPHSLLLAAVALAALASVAAAARDENGVYDPCSDTRIQRGDGFSFGIAFASLGAFYSGGSVQLSPCDRRLGLASSGQLAVFRPKVDEISLLTINTTTGFNPATAGGYMVAFAGRKYAARSVPTFVSNSSYTVSSFTLVLEFNKGRLQNLHWKKDGCGACAKSSSLVCIGKQTCAFRTQSCKSQGPVDCSIGIQLAFSGTDKHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKFF >ORGLA03G0256800.1 pep chromosome:AGI1.1:3:23408108:23408912:1 gene:ORGLA03G0256800 transcript:ORGLA03G0256800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLLCFFCAKWWLLPLLLLDHTLSSSLLFLLLGGVWANLGSINTWEKCVYGDSEIKSTRNGKVRCYL >ORGLA03G0256700.1 pep chromosome:AGI1.1:3:23397074:23400968:-1 gene:ORGLA03G0256700 transcript:ORGLA03G0256700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGRKDRGEGLGRALTRQRNKAAAAAKERGHALALARRARQPLESVIEVSDIDAVLQRAAEEDLLLGGGGGDGAGDVALSASLGSGLIDLDGTVETEEERRWLREEQEALHAGSLKVPRRPPWTPQMTVEELDANEKRAFLEWRRNLARLEENEKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEVYAQEIDEHKRTLLLVNKADLLPLNVRQRWAEYFKQHDILYLFWSAKAATADLEGKKLSSYSMENWNTADLDTKIYGRDELLVRLQGEAEYIVNQKGALRAEEGHESSRSDSVSTRPKHVVVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLVISEELILCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHRGAIQVVANRVPRNVLEQIYKITLPKPKAYEQLSRPPTAAELLRAYCTSRGHVSHAGLPDETRAARQILKDYLDGKIPHFELPPGDTDSETDPEETTDLEGSDTAVGATTDHCASDEQDEEISQADPNISHVLSDLESFDLASEVSKNSTKKKKEASYKHHKKPQRKKDRSWRVGNDGADGSAVVRVFQKPAVNFATVTVCRHMKAVAKTNSGDCLQD >ORGLA03G0256600.1 pep chromosome:AGI1.1:3:23395746:23396333:1 gene:ORGLA03G0256600 transcript:ORGLA03G0256600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNRRRLLLSSSSSSPTRGTRRRSTGQGRAPEHHGRCGGARQRRGGGGGGGGGGDGDPGRRRRGGDAEGEEEGECGFCLFMKGGGCREEFVGWEKCVEDAEKAGDDVVERCYEVTAALHKCMEAHAEYYHPILSAERAMAADLEAAKADQAAEASSDAAASSSQQQQPPPTEEEAAGEKKQAEEEAVVPEKQDVEA >ORGLA03G0256500.1 pep chromosome:AGI1.1:3:23375624:23381826:-1 gene:ORGLA03G0256500 transcript:ORGLA03G0256500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSSAGSAGIRLAGATADVHCYDVLSNKWSRLTPQGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRIGRYSADAAGGDAAVELTRRCRHAAAAVGDQIFIYGGLRGGVLLDDLLVAEDLAAAETTTAANHAAASAAATNVQSGRTPGRYAYNDERARQTAPESAQDGSVVLGTPVAPPVNGDMYTDISPENAVLQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEQLPDKEQSPDSASTSKHSSLIKPDSILSNNMTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYI >ORGLA03G0256400.1 pep chromosome:AGI1.1:3:23374021:23375373:-1 gene:ORGLA03G0256400 transcript:ORGLA03G0256400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:I1PDW8] YIDYLFLGDYVDRGQHSLETMTLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHIEDTWMQELNANRPPTPTRGRPQVAANDRGSLAWI >ORGLA03G0256300.1 pep chromosome:AGI1.1:3:23364683:23372493:1 gene:ORGLA03G0256300 transcript:ORGLA03G0256300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:I1PDW7] MEDDEYEEGMEMEMGGHHHPHHGGGYGAEEYGAVGGEEMEDEEADGDAPDEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQAEFAETLHKISFGQIYLSKPMMTEADGETATLFPKSARLRNLTYSAPLYVDVSYRVMKKGHDCEEVTETMEYPKVFIGKVPIMLRSSYCTLFQQSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAENQNRPASSMFVRMLSRAGAKGGSSGQYIRATLPYIRADIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTKEKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLMCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWGTENFEEISPAVIPQAAKIFVNGCWVGIHRNPDLLVKTLRRLRRQIDVNTEVGVVRDIRLKELRLYTDYGRCSRPLFIVENQRLLIKKRHIRALQQRETPEEGWHDLVAKGFIEYIDTEEEETTMISMTINDLIGARHNPEEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGRPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQATRYTKRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAFFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTQDNKTGKDQKKR >ORGLA03G0256200.1 pep chromosome:AGI1.1:3:23341487:23341696:-1 gene:ORGLA03G0256200 transcript:ORGLA03G0256200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHILAKFRYTKVVAWMAYQQLDPSQWEAIHTIQDRWEKLANMADVLKGGLHTLILLIVWEIWKERNQ >ORGLA03G0256100.1 pep chromosome:AGI1.1:3:23325215:23326014:1 gene:ORGLA03G0256100 transcript:ORGLA03G0256100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSLAADAQEDGTEARSTSSSPSSRRMRTSQNVVPAASPRLHVLSRHYGLIELPLAPWPPASPTRSGGWPPFTLKEMEMTPDPAIDAFNVRQCVISSLPSSGSSVTKLDDATMDVDLEFKVTRAAESYSKYGCCRLGRLIKRRSRCCLTPHLPDAAPLQLAASIEIMRKKEERWKDGEERRLEEEDIVFDMCFPGGSHFIFLT >ORGLA03G0256000.1 pep chromosome:AGI1.1:3:23319187:23324004:-1 gene:ORGLA03G0256000 transcript:ORGLA03G0256000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVRALPGLALPRHDPAPAPRRPPRPRLALPRGVPLRAGRRRVLVAGAFCRRARIKQERFGXNTEXQCSSFNSTKAENFXSREACYSGSSACCXSCCQGGLSGKSAGTGSGANPAMPKQAKSSKVSQKKDAPQAASTAASEKKVTERPSERDRKKDAPHPRMQFDDVHRVEKAKKRAIVNQSEARNRVELFRHLPQYVHGTQLPGLESKFFQLEPMHPSVYKVGLQYLSGEVSGGNGRCIAMLLAFQVAIKDYSTPPKKTLSRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRIAKLPLTLSESEAKASLQSDIDRFINEKIVVADKVIVSHAITKVRDNDVLLTYGSSSVVEMILDHAHELGRKFRVIVVDSRPKLEGQGLLCRLVEKGINCTYTHINAISYIMHEVTRVFLGASSILSNGTVYSRVGTASVAMVAHAFGIPVLVCCEAYKFHERVQLDSICANELGDPDVILKVPGKADLGHLKNLADNENLQLLNLTYDATPSDYVSMIITDYGMLPPTSVPVIVREYRKEQLWI >ORGLA03G0255900.1 pep chromosome:AGI1.1:3:23315615:23318311:1 gene:ORGLA03G0255900 transcript:ORGLA03G0255900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta [Source:UniProtKB/TrEMBL;Acc:I1PDW3] WRPLPPSTPPRGWDPPPPFSSSSAGRRSASAARSVRVAAAAGSGAARRAGGRMVARAAVASKAESPASAASSKSDGHEVLLFEALREALIEEMKEDPTVCVFGEDVGHYGGSYKVTKGLAEMFGDLRVLDTPIAENSFTGMGVGAAMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYVLCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAAPLEDATVVQPAQIVAAVEQICQ >ORGLA03G0255800.1 pep chromosome:AGI1.1:3:23308133:23311050:1 gene:ORGLA03G0255800 transcript:ORGLA03G0255800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAPRVASAASGSASATRGVRVARDKSGGGKLEERTAVAVAVKVVVVDPQRLRMAAFEPHRVQHMASRLQLLYFIAITVLASVFQPCTSIELHRELSGWSNGIATWYGDPNGAGSEGGACGYQYAVDQPPFSSRIAAGSPYIYDSGKGCGSCYRVVCAGNEACSGIPVTVVITDQGPGGPCLEELVDGQCMNEAAHFDMSGTAFGAMARPGQADQLRGAGLLQIQYTRVECEWTGVGLTFVVDSGSNPNYLALLVEYDDNDSDLAAVDIMPIGAGASGSWIPMQQSWGAVWRLNSGSALQGPFSVRLTFSSGQMFVASNAIPAGWNPGMVYQPGGVAMRVRGRNGGRRGYEAVGMLGGLCHLLLLLLLMLFEL >ORGLA03G0255700.1 pep chromosome:AGI1.1:3:23303040:23303297:1 gene:ORGLA03G0255700 transcript:ORGLA03G0255700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLGDPTWPQLHPRPCTDTPWPGLQCELAPDDARHLRATRLHFGPDVATPPCRPGARLAAPVLLGLPHLKTLSLFGCFVVADC >ORGLA03G0255600.1 pep chromosome:AGI1.1:3:23285777:23286622:-1 gene:ORGLA03G0255600 transcript:ORGLA03G0255600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQQQKRKVASVSSALVMSLLPILYASLLRLPPAALARDTTFWFLLSNCIIAIIAAADSAAAAPITTSSSSSHGHDDVDEPALLAAAVVPAAPPPAAGDDQLPAAAPVAVRNDDEINELPSAPAVTSSATPSSDNPPAFIASDDVATEGERPDQQPQEAATDGETHGEAVKGDDDEDEAASDKTTTTNKSLPSSSSEELAIVTSNNDDDYDDGGDSASFGEEDEGKVVPWGMPAPATTTGGGGGKQYWQLSDEELNRKVEEFITRFNREMRLQILQEAGV >ORGLA03G0255500.1 pep chromosome:AGI1.1:3:23283452:23284027:-1 gene:ORGLA03G0255500 transcript:ORGLA03G0255500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTLCCKLPGLVYCRVYAKAFALAPRQLVKSCTVPIHVASKHLIPKSLSRSYGRRRRPNATASLELRHRTSPAAIAHFLANPSRPPHPHTTLVHSQLPIPPTSPPFAGTRRTSSAVQLPGDLLCLGQSYMAGLVVRRGQGPSAAWRRGEGPPLVAGGGGDVDRAGDDGGGEGDGQATKSMMDGVRHLRMAKV >ORGLA03G0255400.1 pep chromosome:AGI1.1:3:23277017:23277484:1 gene:ORGLA03G0255400 transcript:ORGLA03G0255400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSQLGRPISLSTLLPTISREQAWAASLVSIPSPAEMKTMIIFITKPRSSLSLLRSILNSSCRTNFLLTPSRLIHCSNGNTAVPSSSWSVAASASSTSGHPRPSRSKPPRHQLLLEVLFKTPQEPRRMEHRGGRSTSSSPTSAASVNLRFSPLR >ORGLA03G0255300.1 pep chromosome:AGI1.1:3:23274896:23275180:1 gene:ORGLA03G0255300 transcript:ORGLA03G0255300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIWRDRDRDREIDRELRQAGGSARSLTPLPHDHDHRAPPVGGGGDPRRNPQIGRRGRRRRRRRRRRRKAVAWGGERSGVAGESEGPTPRAI >ORGLA03G0255200.1 pep chromosome:AGI1.1:3:23271487:23274235:-1 gene:ORGLA03G0255200 transcript:ORGLA03G0255200.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQQHQLDEENQRAALFHSSAPSSSLGADGEEERETVPLLSCKMADDKSDTVQVSEDTAHQISIDPWYQVGFILTTGVNSAYVLGYSASIMVPLGWIGGTCGLILAAAISMYANALLAHLHEVGGKRHIRYRDLAGHIYGRKMYSLTWALQYVNLFMINTGLIILAGQALKAIYVLFRDDGVLKLPYCIALSGFVCALFAFGIPYLSALRIWLGLSTVFSLIYIMIAFVMSLRDGITTPAKDYTIPGSHSDRIFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSSYLLNSVKGPIWIKTVANLSAFLQTVIALHIFASPMYEFLDTRFGSGHGGPFAIHNIMFRVGVRGGYLTVNTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLTVKQNKMSIFRKCWHWLNVVGFSCLSVAAAVAAVRLITVDYSTYHLFADM >ORGLA03G0255100.1 pep chromosome:AGI1.1:3:23267398:23269110:-1 gene:ORGLA03G0255100 transcript:ORGLA03G0255100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCRSICLTTFLLLVLVVVISFVGRREEGSPPYMENINNGNRGVDPKILVERWGDAAWAREGEPGRRDRCGVRKGGRLRERVWSIRLGACKGERVPCEG >ORGLA03G0255000.1 pep chromosome:AGI1.1:3:23264905:23266383:-1 gene:ORGLA03G0255000 transcript:ORGLA03G0255000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18520) TAIR;Acc:AT4G18520] MLFCCPASPPPPIQASSLPPFRTNSLSPTVRGGNAAPRRPLARSFSAKNRSPRARNRHAAAGDGGGYGSPEQEGRGESSLPDAEALASSLRDCGGADGVRRVHAVAVRSLDSLGTFVANNLISAYARFDEVSDARKVFDEMPERSVVSWTAMMNVYLKLGHYGEVVRLFFDMVGSGVQGNSLTFVCLLKSCGERCDAKLGQQVHCCIVKGGWSNVIVDSAIAHFYAQCGDVASASAIFDKMAYRDVISWTTMITAYVQHGHGGQALRMFSEMVSEGFRPNEFTVCSVLKACAEEKAVRFGKQLHCAVLKKMYKNDIHIGSALVTMYARCGEVFDAQAVFDMMPRRNTITWTSMISGYAQSGHGEKAILLFRKMKMRRVFVNNLTIVGLLSACGSLQSPYLGKELHAQIIKNSMEENLQIGSTLVWFYCKCGEYTYAARILEAMPDRDAISWTALISGYNNLGHNVEALKSLDDMLWDGVKPNTYTYSSALKA >ORGLA03G0254900.1 pep chromosome:AGI1.1:3:23255125:23262488:-1 gene:ORGLA03G0254900 transcript:ORGLA03G0254900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ornithine-delta-aminotransferase [Source:Projected from Arabidopsis thaliana (AT5G46180) TAIR;Acc:AT5G46180] XXXXXXXXXXXAGAALTSEELMRMERERSAHNYHPIPVVFSKGEGSHILDPEGNKYIDFLSAYSAVNQGHCHPKVLRALKEQAERLTLSSRAFYNDKFPIFAEYLTSMFGYEMMLPMNTGAEGVETAIKLVRKWGYEKKKIPKNEALIVSCCGCFHGRTLGVISMSCDNDATRGFGPLVPGHLKVDFGDTDGLEKIFKDHGERICGFLFEPIQGEAGVIIPPDGYLKAVRDLCSRHNILMIADEIQTGIARTGKMLACDWENIRPDVVILGKALGAGVVPVSAVLADKDIMLCIKPGEHGSTFGGNPLASAVAVASLKVVTDEGLVERAAKLGQEFRDQLQKVQQRFPQIIREVRGRGLLNAVDLSNEALSPASAYDICIKLKERGVLAKPTHDTIIRLAPPLSISPEELAEASKAFSDVLEHDLPQLQKQIKKTESAAEKQSCDRCGRDLY >ORGLA03G0254800.1 pep chromosome:AGI1.1:3:23242012:23251884:-1 gene:ORGLA03G0254800 transcript:ORGLA03G0254800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSVIFLGTGCSGALPDARCLIHPSTPPCPVCSHSLSLPPERNPNYRCNTSLLIDYCQHDGIHKYILIDVGKTFREQVLRWFSHHKIPYVDSIILTHEHADAVLGLDDVWVVQPSGCRNGLGKVPIFLTHFTMNSVAARFPYLLKNKLEEGDEGSQVIQLDWTIIEGDIDKPFVSSGLEFVPLPVMHGEDYVCLGFLFGRRSRIAYLSDVSRILPRTEHAISKSGAGQLDLLILETNELHGEGDAGSCHLTLSQTMNSNITKKIRRWQNGLAEIIVEHFRIIPFACFKRKRKFHLLSSSSGVSSAAAAGRAMSLPGCPDKCGDVSIPYPFGVGDRCAAVGLNPYFNITCDDAVRPPVPKLGDPGMQAEVLDITLERGEIRLTGFLSYVCYTSSNSSYASLPGGIFVGGTQLRVSPSRNQLTVIGCRALGLLVGGAHAGRSGSGDGDEYATGCYTYCASLNSTDADGAPCAGTGCCQAPISADLAYVGGTFPSNWTNSGWRFNPCFYALIAEVGWYSFRRRHLARVLGFVNETKPSDIPVVLDWAARDGWCPATAEEKARRKYACVSGNSHCVNSSNGMGYSCSCNQGYEGNPYLDNGCQDIDECALRRQGRQYEDVYPCKHGICINTPGSYRCKCKAGTKPDGTNFGCQQVLPMAAKVIIGLSACSIFVMALSCMLVIQLQRRKHTIEKQEYFRRNGGLRLYDEMVSRQVDTVRVLTVDELKKATDNFSDARVLGRGGHGTVYREVAIKRSKAAVDGDGDGGGCKGEFVNEIIVLSQINHRHVVRLLGCCLEVHVPMLVYEFVPNGTLFDLLHGGTAARRRPVSLGLRLKIAAQSAEALAYLHSSASRAILHGDVKSLNILLDGALDAKVADFGASALRSAMGEGESFIEYVQGTLGYLDPESFVSRHLTDKSDVYSFGVVLAELITRKKAVYEDDGGGGGGSGEKRSLSSTFLAASSRGELWRVVDRDIMDGDGVDAVVRELARVAEECMGARGEESSAMKEVAERLQVLRRVEMMEAAAGVEVVDGFNGGGLVGRHGHLDTTTTTTTSYYQSMETDKLQLDVDDLVR >ORGLA03G0254700.1 pep chromosome:AGI1.1:3:23236445:23238184:-1 gene:ORGLA03G0254700 transcript:ORGLA03G0254700.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTMDSKIPYLVKYKLEEGDEASQVAQLDWRIIEGDIEKPFISSGLEFVPLPVMHGEGYVCLGFLFGRKARIAYLSDILRFLPKTEHAISKSGAGQLDLLILEANSLHGEALDAVKRISPKRALLTGMAHEIEYYKENQKLAEWSSRCQVVSCL >ORGLA03G0254600.1 pep chromosome:AGI1.1:3:23231508:23235471:-1 gene:ORGLA03G0254600 transcript:ORGLA03G0254600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGDAALPPPPPSSVIFLGTGCSGALPDTRCLLRPSAPPCAVCSLGVSLPPEQNPNYRCNTSLLIDYCQDDGTHEYIIIDVGKTFREQVLRWFVHHKIPWVNSIILTHEHADAVLGLDDVWMVQPKGCSNDFRRVPIFLTQFTMDSVVARFPYLLKNKLEEGDEVSQVAQLDWRIIEGDIDKPFVSSGLEFVPLPVMHGEGNICLGFLFGRKAKIAYLSDVSRFLPETEYAISKSGAGQLDLLILETNTLHGKTLDAVKRISPKRALLIGMRHEFEHYRENQKLAEWSSREGIPVQLAHDGLRVFIDL >ORGLA03G0254500.1 pep chromosome:AGI1.1:3:23223070:23226956:-1 gene:ORGLA03G0254500 transcript:ORGLA03G0254500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGCPDKCGDVSIPYPFGIGDRCAAAGLSRFFNLTCDGSRSPPVPMLGDPGAQADVIDFSSERGELRLYAGLSYACYASSATSPSTNATFAFSLVGTPFRVSPSRNRLTVVGCSALGLVVSTASGGGGDDDDLYATGCFTYCAELNAAGADGAPCAGAGCCQVPISPDIPYLGAAFRTGNWTNTAWRFNPCFYAMVAEDGWYSFRRRDLVGVLAYYNETVDAGRGVPVVIDWAVRDGWCPATAEERARRKYACVSGNSDCVNSSNGMGYTCNCSRGSEGNPYLAGGCQDINECVLREQDPKYEEMYPCRHGICINTPGLSACAILAMALSCLLVIQLQRRKHIQEKQQYFKQNGGLRLFDEMVSRQVDTVRVLTEDELKKATNNFSDDQVIGCGGHGTVYRGTLDDLREVAIKRPKAAVDGGGGGGCEDEFVNEIIVLSQINHRHVVRLLGCCLEVHVPMLVYEFVPNGTLFELLHGGTAAGVAGPPAEDRGAVRGGAGVPALVGVARDPPRRRQVPQHPARRCARRQGRRLRRVGAEVRHGRGRVVHRVRSGHPGLPRPGELRQPPPHRQERRVQLRRRPRGARHPEEGGVRRRRRLRLRPRGPEAVSVHRVPRRAQARRALERAGPRAREAPR >ORGLA03G0254400.1 pep chromosome:AGI1.1:3:23218096:23218248:-1 gene:ORGLA03G0254400 transcript:ORGLA03G0254400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSGSASGAAQRRSVKGADDGEAKRQRLLWGGVEISLEGDDDPCDSGRW >ORGLA03G0254300.1 pep chromosome:AGI1.1:3:23216503:23216868:1 gene:ORGLA03G0254300 transcript:ORGLA03G0254300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAGAAATSASAAAATSATVVAAERIRLSAGVWRPDPSPAAAERPDLWPATAGRPDPSLAAAGRLDPWPATVERPDPSPATAGMTAVDIGQGGDDDDGGSDDGGGGGGDGGGGGGVDDG >ORGLA03G0254200.1 pep chromosome:AGI1.1:3:23214518:23214928:-1 gene:ORGLA03G0254200 transcript:ORGLA03G0254200.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYNPKRTRFHKQHRGRMKGKSYRGNCICFGRYALQALEPTWITARHIEAGRRAMTRYARHGGKIWVRIFPDKPVTIRPTETRMGLGKGSPEYWVAIVKPGRMLYEMGGVSETVARAAISIAANKMPIRSQFLRLEI >ORGLA03G0254100.1 pep chromosome:AGI1.1:3:23213308:23213718:-1 gene:ORGLA03G0254100 transcript:ORGLA03G0254100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDTIADLLTSIRNADMNKKGTIRVVSTNITENIVKILLREGFIESVRKHQESNRYFLVSTLRHQKRKTRKGIYRTRTFLKRISRPGLRIYANYQGIPKVLGGMGIAILSTSRGIMTDREARLNRIGGEVLCYIW >ORGLA03G0254000.1 pep chromosome:AGI1.1:3:23208147:23208404:1 gene:ORGLA03G0254000 transcript:ORGLA03G0254000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNRSPTKPHSSMAAAAASPTSSSSLPPKPPNSAAMLVEQQPLSYHDVDAASTPSSSVSSSSTASVGGRSSTFSLDSAATATPTSSP >ORGLA03G0253900.1 pep chromosome:AGI1.1:3:23193117:23194159:1 gene:ORGLA03G0253900 transcript:ORGLA03G0253900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XMKEAYALWXFXSGPRELTGAVDLISRYKLLNHHSFFCKKPLPLAISDTNYLHNVVGDTEIRKGEGMELDQLFQDAYLREKTSYIQPFDMETLGQAFQLRETAPIDLPSAEKGTPTISGKSKIKSKDKVKKHKRHKEKDKDKYKDQKKHKHRHKDRSKDKEKEKEKEKEKEKKKDKSAHHDSGADRSKKHHEKKRKQEGLEDLASGHNPKKVQKRKNQ >ORGLA03G0253800.1 pep chromosome:AGI1.1:3:23185738:23187215:1 gene:ORGLA03G0253800 transcript:ORGLA03G0253800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCSELQVRAMVVIAAVMLAAAAPAAAPAGTTCEQLESVARSCTGYLKRSLIFLNDACCDGAESVYDALTTDAAVDLGFVCRCLRGFVISESLRPYLYRVANLPRLCRFKDRGPIPYNNSTIHDCRFSGTTRHSL >ORGLA03G0253700.1 pep chromosome:AGI1.1:3:23162511:23167246:-1 gene:ORGLA03G0253700 transcript:ORGLA03G0253700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVKLGSKPDAFRRQGQAWFCTTGLPSDVTVEVGDMSFHLHKFPLLSKSAFLERLIEETSDQDECVIRLNDIPGGAKSFELVARFCYGVKIELSSENVVYLRCASEHLQMTEEIAEDNLISQSEIFLNQVIIRNWKDSLKALETCEDLLPHAENLQIVKRCIESLASKATTDPNLFGWPIREHGIMQSPGGSVLWNGISTGARPRNFSSNWWYEDASALSFHMYKRLISTMESRGIRPEIIAGSLTYYAKKYLPGLNRRHSMGAVPLTATLSEVEQKNLLEEIDRLLPVQKGLASTRVLLGLLRTAMILKASPTCISNLEKRIGMQLDHATLEDLLLPNFSYTMETLYNVECVQRILDHFLAMDQANGAASPCLDDVMASPSLAPITTVAKLIDGYLAEIAPDINLKLPKFQALASAVPEYARPLDDGLYRAIDIYLKAHSWLSEAEREQLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLEGSRPLRSGITTSGEAGGWATAVRENQVLKVGMDNMRMRLSELEKECSTMRQEIQKLGRGKSGGWASRVPKKFNLKLKSQMCSAQEGSVSEQHKSMSAKLDKLQAKVSRQKKQLAGDA >ORGLA03G0253600.1 pep chromosome:AGI1.1:3:23154258:23159168:-1 gene:ORGLA03G0253600 transcript:ORGLA03G0253600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDGGGGGVRRRGCGCSKEDFFPEESFSSWEAYRRALRSTGARLADRLTARSLDATELHEVRARSGADMKRDLTWWDLAWFGVGAVIGAGIFVLTGQEARNAVGPAVVISYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFVAAGNILLEYCIGGAAVARAWTSYFATLLNHHPNDFRIHAASLAADYSRLDPIAVAVIAIICLLSVLSTKASSRFNYVLSVLHVAVIAFIIVAGLTKADAANLTRDFMPYGPRGVFAASAVLFFAYIGFDAVSTMAEETRDPARDIPVGLVGAMALTTALYCALAVTLCLMVPYGEIDPDAPFSVAFADRGMGWAKYVVAFGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLARVHPGTGTPVNATVAMLLATAVIAFFTDLNVLSNLLSISTLFIFMLVAVALLVRRYYVSGETSRADRNRLAACIAAILASSVATATCWGLDRGGWVPYAVTVPAWLAATASLWALVPQARAPKLWGVPMVPWLPSASIAINVFLLGSIDSKSFMRFGIWTAALLVYYLFVGLHASYDTAKALAADAVAGKVEDGDAKTSAPPM >ORGLA03G0253500.1 pep chromosome:AGI1.1:3:23125630:23126124:-1 gene:ORGLA03G0253500 transcript:ORGLA03G0253500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQVEALEQLLDVLETPAGALEQPAVALEKPAVPLEQLADVLKKPAVVETAAMSKASSSATSDGTRVAREEEKKSMRRRRRRTRTRPIARENESKGQRTPILRAKQ >ORGLA03G0253400.1 pep chromosome:AGI1.1:3:23120000:23120533:1 gene:ORGLA03G0253400 transcript:ORGLA03G0253400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTDVFPSWFATVLDVDMVEKVRSSLLRVLGLLRGGQASMLGKRARFCSNSARSDSETAAMEAKWMALLQKGRHAARRLLPCAQPSSTPRTASWCPSFCRPSSSHVLVELRPWDAFSRHLRATPSLNTCVCHHQPYASSQKKTSLCAITQLYISSLELVLWLSPMLPCAVSHLCR >ORGLA03G0253300.1 pep chromosome:AGI1.1:3:23112855:23118150:-1 gene:ORGLA03G0253300 transcript:ORGLA03G0253300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVAAVHGVGRQDRSSPGGGGAPQVDTGKYVRYTPEQVEALERVYGECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSRLVYENGYMRQQLHNPSVATTDTSCESVVTSGQHHQQQNPAATRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDVLHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWILRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFPDDGWSLMSSDGAEDVTIAFNSSPNKLVGSHVNSSQLFSAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRAGGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDGKTDAPSATRTLDLASTLEVGSGGTTRASSDTSSTCNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRLGGQIETKNPPGSPEAHTLARWIGRSYRFHTGADLLRTDSQSTDSSLKAMWQHSDSIMCCSLKVSAAAPVFTFANQAGLDMLETTLIALQDISLEKILDDDGRKALCTEFPKIMQQGFAYLPGGVCVSSMGRPVSYEQAVAWKVLSDDDTPHCLAFMFVNWSFV >ORGLA03G0253200.1 pep chromosome:AGI1.1:3:23099079:23100421:1 gene:ORGLA03G0253200 transcript:ORGLA03G0253200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKHEVRLHVFFLRNCSKLVHFIGIWKLAAKAFHARHTLHLLLKVDITKAFDTDLEFVQGIIFVFGSTFALHTNFAKCSITPICCSAEDMELVQSYFPALSLTFLSPTSASRYRSASYRKRPCNPSLTRSPTSFLPGRVNSPLWAAALC >ORGLA03G0253100.1 pep chromosome:AGI1.1:3:23094323:23097242:-1 gene:ORGLA03G0253100 transcript:ORGLA03G0253100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPPETAAAAAEVAARFRSLVDTGDIGAIRQTQHLILGRLQDSNAVLTHFNEYSEQCFAEVSNDFASKTRLLKSMKDDLDHIFLKLRSMKSRLAATYPDAFPDGAMAKTMDQRPDLESPLD >ORGLA03G0253000.1 pep chromosome:AGI1.1:3:23088778:23089544:-1 gene:ORGLA03G0253000 transcript:ORGLA03G0253000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWSPPSSPSLVVRSPRQTVSLLRNRHPWRESRSPPTSTSTSLAGGPKLSEVYGFVGSITTVIATTVYLVWAYMPERCLRSLGITYYPSRYWALAVPSFVIVATALCMVVYVGFNFLATPPPTSFNTIFDEYSRERTMFDPANANATGEEEEVERPIEPISDISIDQINSLMFGDLQKRAQQF >ORGLA03G0252900.1 pep chromosome:AGI1.1:3:23085217:23088292:-1 gene:ORGLA03G0252900 transcript:ORGLA03G0252900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPLARFRSLVNNEDVVAIKQMQHLILGRLQDSNAVLTHFNEYSEQCFAEVSNDFAGKTRLLKSMKADLDHIFLKLRGMKSRLAATYPDAFPTGAMAETMDQRPDLESPLD >ORGLA03G0252800.1 pep chromosome:AGI1.1:3:23076051:23084180:-1 gene:ORGLA03G0252800 transcript:ORGLA03G0252800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58230) TAIR;Acc:AT5G58230] MPKAPAAEEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRAEPAGKDHSVQKMVLGTHTSDNEPNYLMLAQVQLPLDDAEADARHYDDDHAEIGGFGAASGKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSIFKEGHLLSGSDDAQICLWDIKANSKNKTLDALQIFKYHDGVVEDVAWHLRHEYLFGSVGDDHNLLIWDLRSPVSTKPVQSVAAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDTSLHTFDCHKEEVFQVGWSPKNETILASCCLGRRLMVWDLSRIDQEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDVPTDDPAKAP >ORGLA03G0252700.1 pep chromosome:AGI1.1:3:23064255:23064783:-1 gene:ORGLA03G0252700 transcript:ORGLA03G0252700.1 gene_biotype:protein_coding transcript_biotype:protein_coding WIRRPLPREGRRRRWRPGGASLGLGRGGPPLSGDPAKGYGGRYDDHDEGNYRDPNDDCEYGDHDDDRGFRGRGFTRASPRSNVIEGEVRSIPGGADPPLLLKRWRGAMTAASGAISTIMGADNDVGMGSRDGRQNAPYAEISFSHAIQLPIWKKF >ORGLA03G0252600.1 pep chromosome:AGI1.1:3:23051369:23054571:-1 gene:ORGLA03G0252600 transcript:ORGLA03G0252600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT5G44560) TAIR;Acc:AT5G44560] MNIFKKKVDPKEALRTSKREMSVATRGVEREIGSLQMEEKKLVAEIKKTAKTGNEAATKILARQLVRLRQQIVNLQGTRAQIRGVATHTQAMYAGTSISAGMKGASKAMAAMNKQMEPTKQIKVMREFQKQSNQLDMTLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIAASNRKAESNQARNAAPPRNNVEPESSAEVDDLERRLASLRRI >ORGLA03G0252500.1 pep chromosome:AGI1.1:3:23045537:23050796:1 gene:ORGLA03G0252500 transcript:ORGLA03G0252500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:recA DNA recombination family protein [Source:Projected from Arabidopsis thaliana (AT1G79050) TAIR;Acc:AT1G79050] MAAATVAASSRFGPAHLLPRSRRKGRAPAPSARATACGAVGRGRRLRCEFVAGGGNGALSGEDDPRLIDRQKALDAAMNDINSSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLMFLNQIRYKVKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMEVVAKKGSWYSYKEMRLGQGREKALQYLRESPTICDEIEKAVRAMIPEGARHMSLLAFGRSSLTEEEQVDDE >ORGLA03G0252400.1 pep chromosome:AGI1.1:3:23028917:23032767:-1 gene:ORGLA03G0252400 transcript:ORGLA03G0252400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHGCRSVLRYPSGAPSVCCALCQAITTVPPPAPVMEMAHLICGGCRTLLMYTRNADTVRCSCCSTVNLVRPVNNIAHVSCGQCRTTLMYPYGAPSVKCAICHYITNTGMNTVAPTPSPMPTSSGSSYNAPPSGSSYNAPPPTSAPTSRPQNVTVVVENPMTVDEKGKLVSNVVVGVTTGK >ORGLA03G0252300.1 pep chromosome:AGI1.1:3:23019142:23019867:-1 gene:ORGLA03G0252300 transcript:ORGLA03G0252300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGQFVSTKVVSSIFTMASSPSLALSFLVLLVLATFTTTTVLATNNLPPSSAPALSPASSAAAKEFLRATCTSKSELPELCFDILLPYASSFNGSQGKVARASAAIAIERHGGLLDELRGLKPGPGDVGTERRMLVMLLSDCVRDFDATYMFADETLARIDFLVSGRGSEEQRASDKLRANVWLTSAMDSGVSCTDWFNEEGSHGRPASSPVGKKVIAGCATATHYMSIALELLVNCITT >ORGLA03G0252200.1 pep chromosome:AGI1.1:3:23010413:23010634:1 gene:ORGLA03G0252200 transcript:ORGLA03G0252200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGEDSIWHTMEVLCAYGMRSRIWKESKFGMIGYVKFVSCTRGFPKEFRTLSMSLVRGFRLPTSCINRGGA >ORGLA03G0252100.1 pep chromosome:AGI1.1:3:22999534:23000174:1 gene:ORGLA03G0252100 transcript:ORGLA03G0252100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSNMGDASRTLGEDDNLIELLWCNGHVVMQSQNHHRKLPPRPPEKAAAAAVQEDEAGLWFPFALADSLEKDIFSDLFYEAPVAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA03G0252000.1 pep chromosome:AGI1.1:3:22971718:22987316:1 gene:ORGLA03G0252000 transcript:ORGLA03G0252000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPLSGLVLPFRLLFVSQIYLAPPEESFCSRSRVNRPAHPARVYVPRNPQFRALVVCWRSRTHLVRIGLFVNCVCGGNLCATECVLPWKVRNVNKRYAKINEDWQDKDATNVHKSKVRKKKLSDMLGSQWSKDELERFYGSYRKYGKDWRKVASSIRDRTSEMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNGSPKTSRKPRKRGRAKFQSVSKASDTQHPDQLQSQPASSSYGCLSLLKKKRSGDLFVGNKPRAVGKRTPRVPVASMYQRDEKIGPTNRQAKPDGNGDDEGAHVAALALAEVFQRGGSPQDSQTPGRSGDRMFLSPVKSTDRKNADSEMGSSKLHGFQVDADFPEGSLGSREAETGDYPKYASYLMNNEGSASGKSQQKVKRTQRRRKKAARKTDDQLEDDREACSGTEEGHSAKKTKDESEVNGLGRKGRWPSKKSNKRNRQLFFGDESSALDALHTLADLSVNILQPSSIVESESSAQIKDENKDNDSDEKPSMPAAVSVLEKKDKSKSTVKKVKRQSELASADMAARKKARIAKVPNCDGIAISETKQLDSKFGVQTEKKKRKPSAAKISKDEKSALKDVEKTEVSAEEGKVSSNKAMDTVDTTQGATTQQADLASKGRSRRKIGILKALAPECRPTDGADDLRSDKFSYAVNNVIDLKDSLSHCLSSRLLRRWCTFEWFYSAIDFPWFEKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLQEEREKLAQYRESVRQHYAELRSGVREGLPTDLARPLGVGQRVIACHPRTRELHDGNVLNVDHNRCRVQFDRPELGVEFVTDIDCMPLHPLENFPESLRRQNIVNKYYNSFSEAKFEDRSKELGTGGPTRFTSNVCFDGGDATSNIPSNYPINTLMKQAKGDTVDSIAQAKVAVNEVAVAAQQSMYSQPCTLSQIQEREADIRALAELSRALDKKEALLVELRHMNEEVYGRQKDGEAFRDFEHFRKQYAMVLVQLRDSNDHVASALLSLRQRNTYHGHPAQSYPKPMENGALTGTPDLYNLFGYINQESGSQVMEVIETSRSRAKLMVDVAIQAMCSVSEGEDAYAKVGEALDNLNNRSTGSGSSILGIRRIPPDSGQANSSHQDNTTSGHFDPATNNISSPRLPNGCDSELQFPSELISSCVATILMIQNCTEKQYHPAEVAHILDSALSRLQPCSSQNVTIFREIEMCMGIIKNQMLALIPTPSG >ORGLA03G0251900.1 pep chromosome:AGI1.1:3:22931343:22932227:-1 gene:ORGLA03G0251900 transcript:ORGLA03G0251900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRFTLAGFLRLCVARAAGTVADLALPPLLSSSELDHVAAECPALRRLALPELPPADDARLPSLLPRWRRLTHLELDSKPSSFPAVAAALALHCPDLAVLRVTSGSVKPEDAAAMAAASPLRGRLRSLCLDRCYLPRQELLAILAGCGGAAPLREFTARFCVGFDDKDEEVLARGAAIERFDIGGSRLLDEPDGDATNGDDYCDSSYVDVI >ORGLA03G0251800.1 pep chromosome:AGI1.1:3:22919677:22923521:1 gene:ORGLA03G0251800 transcript:ORGLA03G0251800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSDIPEGREKLKRSGSLGSSDTAYVRADKIDLTSLDIQLEKQLTKTWGKANLKAQGPKEDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATEAETAALRTSFKQEVAVWHKLSHPNVTKFVGASMGTTDLKIPTNNSNAGARTNLPARACCVVVEYLAGGTLKQYLIKNSRRKLAYKVVVQLALDLARGLSYLHSRKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDVPRCCPSAFANIMRKCWDANPDKRPDMDEVVQLLEALDTSKGGGMIPDGQSSGCLCFTKARGP >ORGLA03G0251700.1 pep chromosome:AGI1.1:3:22897669:22904374:-1 gene:ORGLA03G0251700 transcript:ORGLA03G0251700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSETNKEGTRGGGRFEVVATTCEVTVVVGCMKEMLAGQFYCKGEERVDGGASVKRRGAPQRKGKSPKKVEGKTYKYKGFVIEGCELDSTIIMIKSSDLLHIPSIFTSPEVNSQKGSKTSICIDRSLSQAYHDSPDNASILPTNLARRIQLSSDTLGSDKRHGIAGKTDHETAQKHAKLSCFDQRCLDKMKQLTSGMNVQKRDLAKTHNDEITACDWDYLGTQYDSSVVPESDLRFETAELLLETPKNGDAMPGKSFLPIVEANLENSRRKLFQGSADCYSQSAVDNIHAYCTSRGKEVATNHVSGILPCPRESQLIPDHHFSDSLEVPSDYMAMNPSAVSQHLRGLHRRSLFNDKVRDPTMGVQSVSNLGASTCATRHRSIPDDNYSKLVGSPVCALPNVDLHLVRMTEEMLAPNTSEMNTHNQDNYSSQATMPTSAGNSGQENPKRKRQKCQNGNVDSCKRCSCKKSKCLKLYCECFASKVYCSESCSCRGCFNDHSHEETVLSTRNRIESRSPLAFAPKVIRTCGPGLEFGEDSNATPASSCHKRGCNCKRSYCVKKYCECFQSGVGCSMSCRCENCKNSFGARKGIERFETKEIERADRKMKDHPKEEQSEMDKYHALCEIWGVRSTENLFTTPSMDSRRAFALFPSECPKSSLTSSTRTSSHLHSPTRTDVLLSPFGSYTQMLLGNEASDMLLQQGDSSCTASLRIASPNKKRVSPLRTGNTLSPTCRKELGLKSIIPPFPSLTGDANSELQ >ORGLA03G0251600.1 pep chromosome:AGI1.1:3:22893303:22896330:1 gene:ORGLA03G0251600 transcript:ORGLA03G0251600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYTTDEALEFMGFGKFQLLVLAYAGMGWVVESMEIMLLSFVGPLVREEWNISAENESLLSSVVFAGMLIGASGWGFVSDKYGRRICLLFSTLFASGMGFLSAFSPNYSCLLALRFLVGIGVGGAHVFTSWFLEFVPAQNRGTWMVIFSCFWTIGTILEASLAWVVISVLSWRWLLALTALPCSLLIPFFGTTPESPRYLCVQNRTSDAMLVLERIAITNQAALPPGVLIYHQEAKVDHSDLTSEKEDLLPVSEKECTFDNAMSFKYGGGIAALLRLLSRKLLRSTLLLWFAFFANSFAYYGLVLLTAQLSNANRSCTSGQTNVVPQKDVNLYKDTFITSLAEVPGLILSAVLVDWFGRKASMWFMLFTCCAFIGPLVLQQNELLTTVLLFGARAVAMGSFTVLCLYAPEVYPTSARSTGVGIATAIGRIGGVVCPLVAVGMLRSCHQMEAILVFELVLFLAGVACFLFPIETKGRGMD >ORGLA03G0251500.1 pep chromosome:AGI1.1:3:22886109:22886584:-1 gene:ORGLA03G0251500 transcript:ORGLA03G0251500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSQTRRGGGDDGDARAAPGKAAQLVGNCGAVEVCDDGDHPVPMGSVKRRAPTPLDWADDAADVGGDGYGPSGGEADSDLAAGDDDKLGAGPPGWRPQRHDGACHPHSADEGGRR >ORGLA03G0251400.1 pep chromosome:AGI1.1:3:22869725:22876416:-1 gene:ORGLA03G0251400 transcript:ORGLA03G0251400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSPTNMRRKYSWWWNSHICPKNSKWLQENLTDMDRKIKMMIKIIEEDAESFAKRAEMYYRRRPELMALLEELYRAYRALAERYDHAAGELRQAHRKIAEVFPEQVLVDLDDDLPAETASIETEMDNPDMAPYFLSFINASDSKKQAKDNQDNERLQKELESLSEENKDLKSRISSLLEQTNKAELEVVCLKEALAQQNAEKEAVVLQCQQSTARLQNLKSEILHTQEKFNRLKEEMQSGFQPFTTADERSVLLEKANQEMNLELNKLKHMLKQKHEELNEKQAELEKLNISTEEEHLKCMQAEMAQLSLEKQLILAQDKMRLLALEKQIEVSKAKDTETEKVMLEKELEKIQKESTSLNDQIHSSSSMIIRLQDEIITMKNAQRRLEEDVCRHVDEKKTLQNELCHLKEDRSDLDKKHSSIKEQIQAVDLNVESLQALVQELKDGNVELKGIIRNHESTEILYIENLRRLERMSEKNSYLEKSLSAVTTELEVLREKKAELEESCKHLSSKISSHQSEQAVLVAQIEAISQTMAELFEKNVFLENSLSDANAELESLRGKLKELEESSEALYSQNSALQHEKSTLACQVDRISDTLQNSEAHCAELEKRHSDLQEEKGSVLDEVIKLQEQIRFERKEHNDLEHSRKSQLDALHEKINVLSQQDWNREEQLEEEEQSIVKAQTEIFIWKQCLEDIADANSDFLAQLKMKQEVCQVLEEKMEYLSENNQKLTKCIGSVSKVLHLEEKYESLDQMKLDSIAHLILHEINCVLNTISDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNTLKQEQQAKSEELLQLQREKQELVNITDEFWEEVETRNRKVDELRAEAKFLVGQLSELQGSRRSLQSEIIKLIQENSMLSDELCDSREKERVFEDDFSILISEVMSKDILSVVFRSLHEERTLQLVSLHSDFAQLQAAGSELYQDIKMMNMKLGDLEKESNECNKELSRTISICNSTSTENAIGSGYPVGRDTDPLNSGRSQLEYHVNMETGEIEVDMAGLEKSNEMLQEEVHKMQNEMEVLTSKENSAIDIKSCDEDIKRLLANMQMAIVNAALFKEKVLELIITCESFEISSMVQKEVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFTVLGSLQNEVSALEKQTLSLANDCLQSNKLRMEENALSTQVLKTNMRSSDDQNTVRTVKDMELQKLHGTIKALQKVVTDTAVLLDQERLDFNANLQEARKQIEVLKLKEILDDDLIEMNYEQMLKDIQLDLIQISSGNKTGSLGQANKTVAQANEKMLDSHGIVGASSSHVRNDLRPPQSESFERDNYKRPPSELMVVKELSIDKQELPRSITTEPHQEWKNKVIERLASDAQRLNALQSSIQELKTNSEASEGLELESVRYQIREAEGFITQLIDSNGKLSKKAEEFTSEDGLDGDNIDLRSRHQRKIMERARKMAEKIGRLEVEMQKVQEALLKYEEEQTSTRTSKTMHRRSKVQLVDLLYGRRRDSRKQQRCSPCGCMKANAIDD >ORGLA03G0251300.1 pep chromosome:AGI1.1:3:22860125:22862830:1 gene:ORGLA03G0251300 transcript:ORGLA03G0251300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:crinkly4 [Source:Projected from Arabidopsis thaliana (AT3G59420) TAIR;Acc:AT3G59420] MDIVPVVALCCCLVLLPSWAYGLGSMASIAVSYGEDGPVFCGLNSDGSHLVTCFGADASVVYGAPSRIPFVGVTAGDGFACGLLLDTNQPYCWGSNSYVKIGVPQPMVEGAMYSELSAGDNHLCALRTSVKGFHSVNGDTSVIDCWGYNMTATHTVTGAVLAISAGSVFNCGLFARNRTVFCWGDESVSGVIGLAPRNVRFQSIGAGGYHVCGVLENAQVFCWGRSLEMQQMSTPSSIDDGDVNIVPMDAMVSVVGGRFHACGIRSLDHQVACWGFTLQNSTLAPKGLRVYAIVAGDYFTCGVPAETSLKPMCWGHSGPLALPMAVSPGICVSDSCSHGYYEYANHGEVGSGSKTCKPANSRLCLPCSVGCPDDSYESSPCNATADRVCQFDCSKCASDECVSFCLSQKRTKNRKFMAFQLRIFVAEIAFAVILVFSVTAIACLYVRYKLRHCQCSKNELRLAKNTTYSFRKDNMKIQPDVEDLKIRRAQEFSYEELEQATGGFSEDSQVGKGSFSCVFKGILRDGTVVAVKRAIKASDVKKSSKEFHTELDLLSRLNHAHLLNLLGYCEDGSERLLVYEFMAHGSLYQHLHGKDPNLKKRLNWARRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEDHNARVADFGLSILGPADSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVVLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGDISALLDPVLSPPSDLEALKKIAAVACKCVRMRAKDRPSMDKVTTALERALALLMGSPCIEQPILPTEVVLGSSRMHKKVSQRSSNHSCSENDLVDGDDQRIEYRAPSWITFPSVTSSQRRKSSASEADMDGRTTTDGRNVGSSIGDGLRSLEEEISPASPQENLYLQHNF >ORGLA03G0251200.1 pep chromosome:AGI1.1:3:22844999:22846183:-1 gene:ORGLA03G0251200 transcript:ORGLA03G0251200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLILLLSKPRTLQLFPLLLCLLLLPCLSQPLPSPSPSPSPSPSASPAPPPSLPLSPFNERLEAAYVAFQAWKHAITEDPKNLTEDWCGPFVCNYTGVYCAAAPDDPHVLTVAGVDLNHGDIAGCLPDHLGLLADVALLHLNSNRFRGTLPPSMQHMRLLFELDVSNNLLAGAFPAFLTSLPGLKFLDLRFNAFDGELPAAVFGRRLGLDAIFANDNRFNVSLSSASLTNSTASVIVLANTRLAGCLPPSIGDMADTLVELILLNTSISSCIPPEIGKLKKLRVLDLSHNELAGELPASVGDMESLEVLNVGHNMLAGEVPEAICELPRLRNLTIAGNYFCDEPVSCLHVPLRDDRMNCIPDWPHQRSPEECIAFAHRPPPHCAADGCIVIPPP >ORGLA03G0251100.1 pep chromosome:AGI1.1:3:22823500:22827422:-1 gene:ORGLA03G0251100 transcript:ORGLA03G0251100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKRATGDHFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTRDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALISKITKSIVSPLPTRYSGAFRGLIKSMLRKSPEHRPSAAELLKHPHLQPYVLQVHLKSSPARNIIPSHQSPIDKVKKMTFPTESMCRSKGRRNSLGNERIVTFSKPSPERKFTSSIQSIKDYSTTRSVKDLSIDVSLVEEVSSKTTFTTRTSSIVKTPKRTPSKTITTPQLEPPKVSYNRVNRSELLSRTPVNRSARVIRRASLPLPLPSSETPKRGVSSISILEQLESPDVSVNSPRIDRIAEFPLASSEDPPFLTLHGRRSPTPTPQHCVIDQSITKDKCMVEAFHIIDVDDDDGRSDSSSGRNNAAAAASSRAGSSESTRQRRFDTSSYQQRAEALEGLLEFSAQLLQQERYDELGVLLKPFGPEKVSPRETAIWLAKSFKETGL >ORGLA03G0251000.1 pep chromosome:AGI1.1:3:22815379:22817753:1 gene:ORGLA03G0251000 transcript:ORGLA03G0251000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPGDARLGWIRQAVLLLQRARPSTPPPKQADAKGTRPDGVGEQQGVKRPVDHQIRVFFSVAASSVAENDLPDYFYSLSNEEIRNEAKMRRGRLEQSWLLIPKSYKEKXALAARQKYKQALIRIPFPDGVILQGVFLPTEPISSLYEFAASALKQPSLEFDLICPAGPRTRVTPFPQPGERAHTLLDEDLVPSARLTFKPK >ORGLA03G0250900.1 pep chromosome:AGI1.1:3:22812517:22813887:-1 gene:ORGLA03G0250900 transcript:ORGLA03G0250900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLYPFNQASRWMTTFLQQFRKRALLPPLHAPKNARLEHRSESYLHVFLLAPLLIYDATTERAGYYAQWCYTLGIGSQGSLIPLWVA >ORGLA03G0250800.1 pep chromosome:AGI1.1:3:22797176:22797397:-1 gene:ORGLA03G0250800 transcript:ORGLA03G0250800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIDEDSIWHTMEVLCAYGMRSRIWKESKFGMIGYVKFVYCTREFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA03G0250700.1 pep chromosome:AGI1.1:3:22765141:22770643:-1 gene:ORGLA03G0250700 transcript:ORGLA03G0250700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRCSVSAPSPRTPPPPPPPHARWSTTGPPSPRRGAPSPSPPLPPRSSSWSGSSRSRCEVAPLTSGRLSHSSGPLTAGGSITDSPPVSPEIDDAKYCRAASTPKPQMYRGGNKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASGSGKDDRAARTDMAMASAATLLAAQCVEAAESMGAEREHLEAVIGSAVNVRTPGDIVTVTAAAATALRGAATLKARALKEVWNIAAVIPVEKGTMGGGHHHKQNMQKQHRKLESNGSSISDDLSLEEENNFLGICSQELLARGTELLKRTRKGALHWKVVSVYINRMGLVMLKMKSRHVAGTITKKKKSVVIDVCKDVAAWPGRHLLEDGEHRRYFGLRTAEHRVIEFECTSQREYEMWTKGVARLLIIASERKRAP >ORGLA03G0250600.1 pep chromosome:AGI1.1:3:22757643:22758658:1 gene:ORGLA03G0250600 transcript:ORGLA03G0250600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIVGEGESEEGGDKAGPWAQRRWRNASETRSVVKRRQTMGGTEIGAGNEGGGDCGVGQRMGMETGTGTAMWRAWNFLQAQTSWANFKSALGWPVTSIGPLGCLG >ORGLA03G0250500.1 pep chromosome:AGI1.1:3:22745959:22749790:-1 gene:ORGLA03G0250500 transcript:ORGLA03G0250500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ggamma-subunit 1 [Source:Projected from Arabidopsis thaliana (AT3G63420) TAIR;Acc:AT3G63420] MQAGGGGDAGDTRGRHRIQAELKKLEQEARFLEEELEELDKTDKVSAALQELMVTAESKADPLLPVTNGPACQSWDRWFEGPQDLRRCKCWFL >ORGLA03G0250400.1 pep chromosome:AGI1.1:3:22743734:22745320:-1 gene:ORGLA03G0250400 transcript:ORGLA03G0250400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42920) TAIR;Acc:AT2G42920] MARACSSPSSPSPSPASSRPLLPSSASISAFLASHPALTLLHTQCASMAHLRQLHAALVKSGLARDPIAASRAVAFCAGDGRDAAYAARLVRHHPRPNAFMWNTAIRALADGPGPGAAVALFVDMLGSPTPPERRTFPSLFAAYARLGRAGDGAGLHGMVVKLGLGGDAYVRNSVIAMYASRGAADEAIALLARCEAFDAVACNSAIVALARAGRVDEARAVFDGMPARTVATWSAMVSAYSRASRCHDAVELFSAMQAEGVEPNANVLVSVLGCCASLGALEQGAWVHAYIDKHDVAMNALVVTALVDMYCKCGDIRKAREVFDASRSRGQAKLSSWNSMMLGHAVHGQWREAAALFSELRPHGLRPDNVTFIAILMAYGHSGMADEAKAVLASMASEHGVVPGVEHYGCLVDALARAGRLREAEGAIAAMPVAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRGAAVRGRMREEGVGKVPGCSMIEVDGVVHEFVS >ORGLA03G0250300.1 pep chromosome:AGI1.1:3:22720166:22721509:-1 gene:ORGLA03G0250300 transcript:ORGLA03G0250300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PDQ7] MAATKSKAAKKGAPLLGKYELGRLLGRGTFAKVYHARSLAPGADPVAVKVLDKPDLAAAGAGMATRVLREVAAMRRLRHPNVLRLHEVLATRSKVYLVMELAPGGDLLSRLASLPSRRLPEHAARRVFLQLVSALIYCHARGVSHRDVKPQNVLLDAHGNLKVSDFGLAALPDSLRDDGRLHTACGTPAFAAPEVLRRKAYDGAKADAWSCGVILFVLLAGHLPFDDSNIADMCRKAHRREYALPRWVSQPARRLVSRLLDPNPATRLAVAELATHPWFKRSLSLDSQLGSLLGGQPERELAFQAPPPLNAFDIISMSPGLDLSGLFGESKRRREKRFVTTASPERTVERLGQAGAKLGYFMVGKKGVERLPLGGLSGLVAMSMEMSEVSPSMMLVELRLEGGDDGDGDGGAEEFGWEELRAELGDDVVMAWHGCDGGKKDKEGILL >ORGLA03G0250200.1 pep chromosome:AGI1.1:3:22713493:22715237:-1 gene:ORGLA03G0250200 transcript:ORGLA03G0250200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGSGAGSRGGGAMGCKCLFGLGVPELVVIAGVAALVFGPKQLPEIGRSIGKTVKSFQQAAKEFETELKKESDDGGNQPPPPTETAVSDGGEEKKELEASSSKEST >ORGLA03G0250100.1 pep chromosome:AGI1.1:3:22708841:22712635:-1 gene:ORGLA03G0250100 transcript:ORGLA03G0250100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAAAAVGPHKTLNPTLSQPSSGGRRPRLRFPLPLPRGGHLRCSAGYREAAAAAASTSSTTTTPRPTEIPWSRELCNSVRLIGTVGTEVELRQLPSGGSVARGRLAIWKSATETTWVTLAFWDDLAVVASEHVKKGDRIFVSGRLVSDTVDEGPEKRQVYYKVVVQQFNFIESFQQVQLYEPEAGLDTLGGKHGDYVGSTSGSSEGKSRDHVDSSSRSTEQLWQAFFANPLDWWDNRTNKKNLRYPDFKHKHTGEALWVDGRNNPNWVISQLAILDSRMGSLQGNDRKPVAFMYADDFMTPDTDTEAHM >ORGLA03G0250000.1 pep chromosome:AGI1.1:3:22705925:22706816:1 gene:ORGLA03G0250000 transcript:ORGLA03G0250000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1PDQ4] MEAAVGYAADSLIKATELRLGLPGTADDLPSTPRGKKRAAAAEDNNANAAAADDDEHDAVEAAPPVAKAQVVGWPPVRSYRKSCFQQQSAAASKSKAAVSSCNNKDEPITKNAAPAPAASSAAAANGGSLVKVSMDGAPYLRKIDLRMYKGYRELREALEAMFVCFSGAADGANPSEFAITYQDKDGDLMLVGDVPFDMFTSTCKKLRIMKRSEATGLGSPRQMKI >ORGLA03G0249900.1 pep chromosome:AGI1.1:3:22692479:22695638:-1 gene:ORGLA03G0249900 transcript:ORGLA03G0249900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1PDQ3] MAGLGFDETELRLGLPGAGELAARSSGKRGFAETIDLKLKLQPAAPAAVSGEEGAQEDKEDADAAAAAADEKMSMKRSASQSSVVTAEPDPDKPRAPKAQVVGWPPVRSFRKNVLAEKCKAAALVKVSMDGAPYLRKIDVAMYKSYPELSMALQNMFTSFTIGKCGSHQQLKESNKLRDDLEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAIGLAPRAVEKCKS >ORGLA03G0249800.1 pep chromosome:AGI1.1:3:22683924:22690697:1 gene:ORGLA03G0249800 transcript:ORGLA03G0249800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLRAAGVSLVGALLPRCPALSRLSLRMESDVDATILACLAFSCPSLGTLEISMSDNAVNRMTGEELSRFVSEKHSLSVLKIGGCCNLGFLNLNSSSLSILWLSDLCSLSKSVINCPNMSEISLCFTQQSNDCTDLVTLMDGLGRTCPNLKNMHISSAQLSNEAVFALEGASLRGLCMLSLILGSKITDAAVASIVRSCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTCGIQFATAQLPLLQLMDCGMTLCASLQNEKQGPYFGEINGAIRFCPKLPTSKKQSSNQKLIIKHGNLKKLSLWGCSAIDALYLNCPELNDLNLNSCTNLNPERLLLQCPKLKNVHASGCHDMLIGAIRNQVLNEFAAAEPHLPCKRLADGSKRVQLPQFAQQEPSQDKKGIDLRRNQCTVHLD >ORGLA03G0249700.1 pep chromosome:AGI1.1:3:22668136:22673766:-1 gene:ORGLA03G0249700 transcript:ORGLA03G0249700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAPASPPKADGGEDEEEECSRAVVKEEPHHQQQEEEDDAAAAADGGEDEKEKVEEEEVEERGRRRRGRPGRKRGRRSGGGGGSAAAAAAARGGVVMVKRELLARCMTCPLCGRLLRDATTVSECLHTFCRKCIYEKLNDEEVESCPVCKIDLGCTPVEKLRADHNLQDVRSKIFPFKRKKISADEVAAPVLLPSKRKERSISSLVVDTPTVTPTGLTGRRTRAVTRKAAALRGLGPGIDDPVKKEIDNGEKHAQNSSLPTNLGKVPQTRRQMSSNAEASNHSSNKDTEGDRKDLADKTDELWRPLNCLVEAANRTKSSRSSSQSPIVKREQLSDSPGSTSVNKTKSREYMQKSKIEDDKKDVPLLKRKNQRTGRRRELHAQSDSKPEAAATQNEKKFSSIWFSLVASFEQEGDPPLPQIPSHYLRIKDGNIPASSIQKYLMQKLGLPNEAEVEINCCGQPVNPTQPLCNLVEVWLRGRSTQTTQTMIGSPAKEFVMVLTYGRPKAITP >ORGLA03G0249600.1 pep chromosome:AGI1.1:3:22662473:22663351:-1 gene:ORGLA03G0249600 transcript:ORGLA03G0249600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSAEGIRQVGDLDAVSTSMLYLILDDWIFRKIDLSSNQPDGRQVPGGIAREAASSLPPPFFRWNAQRGMPLHFVAIGSKILAMPPMEEKRDTDHLDVGGACFDVRTGCVVFVPRHGGDQHGDPVYFQIGSRLFTLGCSRFQLLDLLPLALDGDPRSTRRQQWSWRDLPMPPFLHSMRALSHVLLPQEDQTILVGVGFLSPSSSSTYSFRIAEDGSSAWKCLGNWGLPFHGRGYFDPKLNAMIGLSMDGRICSCQLVSDHCPDVKHCRENLFSRDARRRHPRLPGTEKQILPG >ORGLA03G0249500.1 pep chromosome:AGI1.1:3:22655907:22657094:1 gene:ORGLA03G0249500 transcript:ORGLA03G0249500.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPRHLYLVLDDWSQGCSIRKMKLSHEDIHPPLIPADVARGGDDHVFTGRHTLPSALLRFEARRGEPKSIVGAFDHKILATPPPAHGKKKKKSLIVDVHARAFVVGSWPMLQDVLLNPIIYIPIDEYRLFTLGSASFDMLYMPPPTYDDDGANWKKNFSCLNLPKPALQCDLVTSYAVHPDKQTIFVSSVEQSPGVVVPATFSFRTAEPMMWRRHGQWQLPFTGHPRLDAWVGLSGDLNTVGHICSCDVVSTDDAVASRPPALKISKEKLFSMVPAERHIGATLVYMGGGESNFCLLESIHIEDDIVADQLTDSDETNEVSSVDEVNEIDFDDSNEANDEDSADEVGEDQLDPKRFLLQYDRNGALTTGNSRRVWYYSMPLQVTEAILKYPVAFWM >ORGLA03G0249400.1 pep chromosome:AGI1.1:3:22648287:22652119:-1 gene:ORGLA03G0249400 transcript:ORGLA03G0249400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI3-interacting protein 3 [Source:Projected from Arabidopsis thaliana (AT1G08780) TAIR;Acc:AT1G08780] GLQGDGTEAQVTWEDQQNINRFGRLNNRLHELHDEIKLAKEANENLDDAGNELILSDEDVVRFQIGEVFAHMPRDDVETRLEQMKEDAAKKLDGLEQEKESIVSQMAELKKILYGKFKDAINLEED >ORGLA03G0249300.1 pep chromosome:AGI1.1:3:22638633:22647172:1 gene:ORGLA03G0249300 transcript:ORGLA03G0249300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSAMQQLKDDGSSARQLQLLVAALSTAGAVAAAAVVRRRHGRKAAAEAAAPVAMKEMPRLEMAESGRVEHIEKFSHYVARQMGFQDINECPQLCKLANNYLKRTKNCMDDIDDLFANIPDSESLYVKFIEELDKCILGYFAFHWDHATALISQALTVDCGTASKKKLRNLVLEATRKQRFERVTRDLKVTRVFSTLVEEMKAIGVPAAAMNGDGEEEPHCTDVMAPVAHDERSPVLLLMGGGMGAGKSTVLKEILQEPLWSKDEANAVVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVILDGTLSWEPFVQQTIAMARDVHRRRYRMGPGYKVDPDTGDITENYWEPADADDDADAASPPPTTRKPYRIEVAGVVCDAYLAVARGIRRAIVTGRAVRVRSQLVSHKRFAAAFRRYAGAVDAARLYSTNTMGAARLIARKDGVAGSLLVEPAEFACLDAVGGLNENATGVHDLYRGGATACGARSIWDDMITSPARADIQRELREAFRSVEHAPPDTNGA >ORGLA03G0249200.1 pep chromosome:AGI1.1:3:22631256:22631495:1 gene:ORGLA03G0249200 transcript:ORGLA03G0249200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIVVAVARGLLGGLAAQCAGAADRRSGRSWLEEAAAAAADWHVAVRVVVDGEDQLGLRRVELLVRPTQPRRRQLPPQ >ORGLA03G0249100.1 pep chromosome:AGI1.1:3:22627453:22627674:1 gene:ORGLA03G0249100 transcript:ORGLA03G0249100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRKLIRLTVILALFVAAVAVSQCAAAEAAAAAGGMMRPRPPRRAAADWHVAAVSASPPANVTANLGAGPSNC >ORGLA03G0249000.1 pep chromosome:AGI1.1:3:22619643:22621384:-1 gene:ORGLA03G0249000 transcript:ORGLA03G0249000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTVLAAEGLAYKPTPETRVHGAQLRADCAKVQVDSVKPEYELFPLKYPPNDEVLSLGNARGTFIQWPKDLIEIRGGKVQGSLLAPRKLDLGKGQEETKGKEVKKKYVAPQEFQLGMPLVGDDVLAAMGTACKDLHLYYMEKSNARKPSKATDILGQHDGKPFLGPKNYIVVDFKDLFDLYRLRAVDTSLLKCYSFAHWILLVITPKWSTCHYLNSRIDKNAYDWTPIQLAIDEAWAQYVQRGGLRKTGHDTLIHKKYFPVKQQIGDQCGFHVCHNMRLLYREKVKTLAEFEGTITKSLTTSFEEAMMNTYYATVMM >ORGLA03G0248900.1 pep chromosome:AGI1.1:3:22583199:22585557:1 gene:ORGLA03G0248900 transcript:ORGLA03G0248900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSGLQTQILLSLGNLSSQFCETQKMLITMVHDNAKFLENINNRMTNVEHNQQLQLQQVTDLTHGEQHFPRKRYIEVEYPTTIGKRVRGVNGRAVAYPYDHYEKNISTKIINVFVKHFGQTRPIKXHKHIYQAYLENPSVVPMLINYGYYDGVELGNTNQNMYKSAAVNYVNNDMIFLPIRTSIGRWYLGVLDCTRKEVCVLDSMDTTEDDLKELKFLMKGIRKCVXLVLDEKIVENTRWEDYNVPTWKIRIRYNLPNKKGRTSSGLYIIKFMELWTGDSLSKQFYQEDIDNYRRKLAAILYMSPSNKLQNNIVLPQTEMLMMDKLRTAGVXLKKIFLGFXXLQFIQDVLSMSEFHADXXXLSNCVRLFIVIYCYIFFLKCIALFWLGGVQAVLYLPYRKQTILYLLYQLLAWHXLIKIYLMILYLDIYXMELYLVIHISDAFCSVHKNCIIWTKKVAFHLDXKCCHXKYCQXSCXDSRYHISLLSPVKNVLIWLLNMLSAVXSTICTLSRMSRTATASI >ORGLA03G0248800.1 pep chromosome:AGI1.1:3:22578848:22579633:-1 gene:ORGLA03G0248800 transcript:ORGLA03G0248800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVILHLSPHQKEAIEDSGLGNLLKINKIHIDRNLCNEITRSYDKEKKAFNINGTFVTMTLDDVDCLLGLPSKGDEIFEAPKINNHELFNLYKKEGQTTITLQALWEAIINSSSYDDHFIRRFIIFSIGSFICPTTQRYVRSEYLNLVDDIDKMRELNWSSLTLNQLLKCILKFREKKTNIEGNVCLLQIWYWEKVRIDKLAATIYYSCCQRPLIQYWDKKNVGTRN >ORGLA03G0248700.1 pep chromosome:AGI1.1:3:22564732:22565158:-1 gene:ORGLA03G0248700 transcript:ORGLA03G0248700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNSYHLATWADSAKTRNPTGRGSSHKNMWLHVRLRSMTYEVKSLIDQCNLPNYNSTQLNSRLTQRPYPATITQIHYHLTRTTLSGL >ORGLA03G0248600.1 pep chromosome:AGI1.1:3:22560729:22561461:-1 gene:ORGLA03G0248600 transcript:ORGLA03G0248600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVERRRGRRLMGRRTWQQWREEATRRRGLMASRRRRVEARRRDATHWRRGRGRRTVMEGAHKAPIAGEADGDEGGSQAGVETQAGEKAARAVKKDFDGGSGEGDGAGGGGDARTGDGGGEGDGRRRSRKHRSGTHTNQGRDKDYLLPTPERAGRDIYMHANID >ORGLA03G0248500.1 pep chromosome:AGI1.1:3:22559848:22560441:1 gene:ORGLA03G0248500 transcript:ORGLA03G0248500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLRVVQLAIVIAAALVIVITSSGSHYRDSVGVTDHEMFVSAKNDDICQIVVCMVSRLTVIEQSIAGQAS >ORGLA03G0248400.1 pep chromosome:AGI1.1:3:22548619:22556101:1 gene:ORGLA03G0248400 transcript:ORGLA03G0248400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVENFDADDLGEMPQNHYNEEQLIPYSDVSHPYNEEPDNMDNVEEGNPYIQQVSLYSEEPENQYNEEPSNPYQEESDNAYNGEVKQQDSLPVKADKKWPGWPGESVFRILIPAQKVGAIIGRKGEFIKKMCEESKARIKILDGPPGVPERTVMISAKDEPDAPISPAMDGLFRVYKRITDGSDGDSGQPERNISNVGPTRLLVPASQAGSLIGKQGATIKSIQDSSKSIVRIVETLPLVALNDDRVVEIQGEPVGVQKALESIASHLRKFLVDRSVLPLFEGQMKMHNAQREQAMAAPQPWGPPQPWGPPPSHLPPGGPGYGGHPQFMPPRPQDNYYPPPDVPSMEKQPHYGISAYGREAPTGVSASGNQPPSHVASQVTHNMQIPLSYADAVIGAAGASISYIRRHSGATVTIQESRGAPGEMTVEIIGSASQVQTAQQLVQNFMAEAPQGPPPPASNPPAPAVDPSYGSYPPPYGASYGSAASGAGPAPHNGGSYGGTTYPSYGY >ORGLA03G0248300.1 pep chromosome:AGI1.1:3:22533396:22535069:1 gene:ORGLA03G0248300 transcript:ORGLA03G0248300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEKITRGVAWGNLDILVVDMPPGTGDAQLSMSQRLRLSGALIVSTPQDIALIDARRGANMFRKVQVPILGLVENMSCFKCPKCGEKSYIFGEGGGQRTAEEMDMKLIGEIPLEIDIRTGSDEGTPIVISSPDSASAQAYIQVAEKVIHRLKELGEERQMGPEILL >ORGLA03G0248200.1 pep chromosome:AGI1.1:3:22523668:22523979:-1 gene:ORGLA03G0248200 transcript:ORGLA03G0248200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLAKLVRALVMAIMLVILLATAAPAYCPGGVVAARPLHDDEEAGLQASPSTLLIVVGHDQQQHGGRRRRLVVASPDGDDVVGESKGSGPSDHTNNPNIHH >ORGLA03G0248100.1 pep chromosome:AGI1.1:3:22521797:22522189:-1 gene:ORGLA03G0248100 transcript:ORGLA03G0248100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPRIKHHGCVVDMLDRAGRLDEAEELVAAMPAHPDALIWGSLLIACRAHGDVERAERVMRRRTTDADADADDYVLMSNTYASNGRHGETVKVRRQMRRNEIDKVPCCSLIEIDGVMHEFKAIPANSIR >ORGLA03G0248000.1 pep chromosome:AGI1.1:3:22521212:22521540:1 gene:ORGLA03G0248000 transcript:ORGLA03G0248000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLTPRLSRRRSRRASTAAVASPEHRWRRLNRKNVEALPEDETVEGGPEDAWVPDQETGVFVPADKATVSGIENHDHCGAAAAAASGSPSVLD >ORGLA03G0247900.1 pep chromosome:AGI1.1:3:22512306:22518251:1 gene:ORGLA03G0247900 transcript:ORGLA03G0247900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGVKQLCGVLLKCCDLDLKQPKGLEDPEILARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPLDEKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >ORGLA03G0247800.1 pep chromosome:AGI1.1:3:22493830:22499448:-1 gene:ORGLA03G0247800 transcript:ORGLA03G0247800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1PDN2] MGKLVSKSWQESKLLWHIAFPAILTAVFQFSIGFVTVGFVGHIGQVELAAVTVVENVIEGFSYGVLLGMGSALETLCGQAVGAGQVSMLGVYIQRSWIICGATAVILTPTYVFTAGILRGLRQPTDIAAVAGTYTRWVIPQLFAYAANFPLQKFFQSQSKVWAMTAISGIALALHVVLNYIFLTRLGHGLVAAALIGNVTWWLIILAQFIYLVSGCFPEAWKGFSMLAFKNLAAFVKLSLASAIMLCLELWYYTAVLILVGLLKDAKLQVDVMSVCINYQLWTLMVALGFNAAVSVRVSNELGANRPKAAKFAVAMAVSTSAIVGAVFMAVFFIWRTQLPRFFSDDADVVRESAKLGYLLAATIFLNSIQPVLSGVAIGAGWQSLVAFINIGCYYLVGIPLGVLFGFKLKLDAMGIWVGMSLGTLLQTAILAFISFRTKWERQAMMAEERIREWGGRNDDALPSTTTPTADDHNVDR >ORGLA03G0247700.1 pep chromosome:AGI1.1:3:22480376:22484863:1 gene:ORGLA03G0247700 transcript:ORGLA03G0247700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSKIFKGSVNRVSRGHYNGNTHEGHSAWHTKAYEHDSDHEDIDRAIALSLSEEDQRKGKAVDEVDIDHRLHEDEQLARALQESLNDEPPRQNVPVKDVHSESTPATFMPPYIFPSTGLRVCAGCKTPIGQGRFLSCMDSVWHPQCFRCFACDRPISEYEFAVHEGNPYHRSCYKELFHPKCDVCKNFIPTNKDGHIEYRAHPFWMQKYCPAHETDRTPRCCSCERMEPKDSKYITLDDGRKLCLECLNTSIMDTDECQPLYIDIQEFYEGLNMKVEQQIPLLLVERQALNEAMEAEKTGHHLAETRGLCLSEEQIVRTILRRPVIEPGNKIVDMITGPYKLVRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYQTLDPKVEEGICQVLAHMWLESEITSGSSSIIASIAASSSSSSSSSAPSSKKGVQTDFEKKLGEFFKHQIETDPSDVYGDGFRDGIKAVERYGLRKTLDHMKLTGVFPC >ORGLA03G0247600.1 pep chromosome:AGI1.1:3:22473420:22475308:1 gene:ORGLA03G0247600 transcript:ORGLA03G0247600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inositol polyphosphate 5-phosphatase 11 [Source:Projected from Arabidopsis thaliana (AT1G47510) TAIR;Acc:AT1G47510] MGNCASFTPKWGLSDLHCKGMVPIDEDETHEGIKTIRIQKACEFTTSSVLCVCIITWNMNGKMSVEDVTKLVSSNRKFDLLVFGLQEVPKCDVAQVLQETMAETHILLCQKTMQSLQMFLFGAKSSEKYIRELKVDKHAVGGCGGIIGRKKGAVAMYINFSGIRMVFVSCHLAAHENKVEKRNSECQHISHSLFSKNDIQYTKSADITVWLGDLNYRLQGISSIPARKLIEENRQSKLRGKDQLLQEAEKGEVFNGYCEGTLLFKPTYKYNIGSSNYDTSYKIRVPSWTDRILFKVDHTSGLDAVLSSYEALDCIRSSDHKPVRAHLCLKVHGDSA >ORGLA03G0247500.1 pep chromosome:AGI1.1:3:22464792:22467113:1 gene:ORGLA03G0247500 transcript:ORGLA03G0247500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGAIPRRPSKEVAYKQLRSHLIVMASCVAAIRAAPYILHFLNRDADRLELTLELXAVTVPRPPLGAAAMDESSSP >ORGLA03G0247400.1 pep chromosome:AGI1.1:3:22455691:22457694:1 gene:ORGLA03G0247400 transcript:ORGLA03G0247400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WAGTPCSGTPASLCCRRRAAAAAAAWPMAKTNPPGSVAVTCNVCFEEYPLGSVSAMDCGHCFCNDCWTEYFAAAVSDGSKQMRCMEVKCTAICDEAVVRRLLHGKHPGAAARLDRRLLEAYVEARDAVRWCPSAPHCGRAIRVDGGGGGEERYAEVSCPCGAAFCFRCGGGAHSPCPCPMWDKWGAMRGGGEVDNLKWIVANTKSCPKCSKPIEKNGGCNHVTCTCGQHLCYACGAATGTLYMHICNRYKEEGGGGGVKVEMTAGGRQRLRFMHYYERFEIHTESYKEEQGKLGPAIDALARRLEADATLPWSGTRDARWPSAAHRRLLRCRQVLPRSYVLAYYMFGGGAATRREREEAAAQNRFEDLQGQLEHHVEVLSRTLAAAARPADAAEVVKAKRDADNLARVVEGLCAGMYRCVQDELLPLLVEPMNIAAYHPDGPAMAKEFPPATSVTGGAPPATRH >ORGLA03G0247300.1 pep chromosome:AGI1.1:3:22446125:22450139:1 gene:ORGLA03G0247300 transcript:ORGLA03G0247300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEECFYDYEEEEEEEPGWDDGGGGDAMLVEEEAALPERPVDCWVSKAIPTILTSLKNLIHADVMHKNIHRPLQKSLFRLHSLCYSNXYXXQITLCFLTCSIYPPCMYVHSNKTYPWXXTCSTXSSTRLAPSSSTTTAGRWNPSXTTSIGRAETACSGRPASXSSSRQRRRTVAARPWRRRRCCAPEAAXPATCASRMSHRTLSPPWIADTASAMTVGRSTSSRAXTAGRSRSGAWRSGARPSATRTWRSASSAAGTPARRGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAGGGAARCRARAAPASASAAPRRRTRRARAPCGSAGRPSAAANPXTWTGSSPTPRPAPSASSPSRRTAAATMSAASAASVS >ORGLA03G0247200.1 pep chromosome:AGI1.1:3:22436512:22442572:-1 gene:ORGLA03G0247200 transcript:ORGLA03G0247200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRKRVSRPKPRAXXXXXXXXXXXXXXXXXXXXKRRRGGGRDEDIESEDSDLEGVAAAAAGGVGDDGKEEEEEEEEQETAGEKKMRIAKELLKKVTDAARRRREDDEDEDEDEGEEAGRRRVADILLKRQFEESGRKRMELADRILQPDPEDGFKMLVKHRQPVTAVVLSKDSDKGFSASKDGVIVHWDVETGKSEKYLWPSENVLVSHHAKPPLSAKRSKQVLALAVSADGRYLASGGLDRHIHLWDVRSREHIQAFSGHRGAISCLSFGPDSSELFSGSFDRKIMQWNAEDRTYMNCLFGHQNEVLTMDALSKDRLLTVARDRTMHLWKIPEESQLLFRAPATASLECCCFIDDKEFLTGSDDGSVELWSIMRKKPTHIIRNAHPVFRNNLNSLENNVEANAENGIHKPESVSSAQSWVSAVAARRGSDLAASGAANGSVRLWAIEPDSKGIRPLFSLRLDGFVNSLAIPKSGRFIVAGVGQEPRLGRWGRVRSAQNGVVIHPIRLKEESEDL >ORGLA03G0247100.1 pep chromosome:AGI1.1:3:22431020:22434686:1 gene:ORGLA03G0247100 transcript:ORGLA03G0247100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRRYYYFEEDDGDGEEEVEWLAMEADEDDVGLLEEDDLHLRLPDDRPADCWAITQESLPVAQQQDLSMVMNLLNIKQHHARTLLIHHRWKMHCIYDHLDRKGRDRMLSEACIILPKNSMSAASSTSVTCNVCFEDFSMTDVSTMDCGHCFCNDCWTEHFFASINTGNKQIRCMEVKCKAICDEDIVRRLLSLKYPAASKRFNLLLLESYLEDNDSVKWCPSAPHCGRAIQVGTGERYCEVACPCGVSFCFNCAGQVHSPCPCAIWEKWKAKGHGDSDSVKWILANTKSCPKCSKPIEKNGGCNLVHCKCGQCLCWLCGGPTGREHTWDSISGHSCNRYKEENGDKVDTSRQQMQRYTHYWDRYNIHAGSYKVEQKDLGPAVEEQVKKLESNLTGPKMNWDGSWLAMAYQSLLASRQVLSRSYAFAYYMFGGGEVKTHPSERASLAVAQNLFEDRQEQLERHVEHLSKELATDLLGLPEEEIVLKKVEIANLAKIVQAICGQLYRCIQDELLPLLVQPMNIAAYQPDGPDKAKEFIGA >ORGLA03G0247000.1 pep chromosome:AGI1.1:3:22416178:22425459:-1 gene:ORGLA03G0247000 transcript:ORGLA03G0247000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LILITLKQSTKGEAAKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISNDPSGCTFVLGFDNLRSQSVAPPQWTMRNIDDRNRLLFSILTMCKEILSYLPKVVGIDFVELALWAKENTVTLDNQSSTQDGQEKSVTTQTERKVTVTVENDLVSQAKDEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLQSEPLIDEVLQGLDAASATVDDMDEWLRIFNMKLRHMREDIASIESRNNGLEMQSVNNKGLVEELEKLLDRLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRSLEVPNLDPCYVNMRAVREKRAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSNSGGQNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGAPNAKSRSGGNDPDDDLNLMDPDGNDLKPDDTSAELGTLNDALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRRLLHDLESRISTQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFTTLDKIAHSDPKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHEASESYEQACTRHISSLIYLQFERLFQFSRKVDELTYTIAAEEIPFQLGLSKTDLRRVIKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYESFVQMVTRIYGNEPIMSVADMKDVLANF >ORGLA03G0246900.1 pep chromosome:AGI1.1:3:22413140:22414062:1 gene:ORGLA03G0246900 transcript:ORGLA03G0246900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRETGVGPIPSSHSWHVGFWNWPRCRPFHRSSVVDGCGVAGPWDDDSGAWRVPLHTTLHVIVARFPTVSKLALKCDYRVEGVANPTFVLLVDRLNPTLQRLKLRSLRLVTDYGVVVLAVAATSLRKLHRLMHLWCQGDRGRPPLLPPTQGALRQCCLPPPGQRG >ORGLA03G0246800.1 pep chromosome:AGI1.1:3:22408040:22409590:-1 gene:ORGLA03G0246800 transcript:ORGLA03G0246800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSCQCKMLRVLPVPHENFYGPGDIILSSNSVPKHYPWMDNTIVYKLLNFLRVRRCNLLQLIMSDAPNLSTFYYERPLIQLSLGDSLQLKHVNISIYPWFNLFEYARKELPTVAPNVETLFLMSANEVGYFYPLIVQPHGRFLHLKYLELAIVGPRDYGFGYQYAYLVTFLRASPVLETFILHVEESAKEPYPLVFNPKYLKIHLSPEHSHQSIKHVTVTGFCHKQELVELIFYILENATSLQCLTLDNRIRGFGKDLVACITQDTGTRDYQEWWNNFGVKERILHFFRREFYPKSDWEAYCSYVAIRKYIIERVPSSVELKIPSCAFR >ORGLA03G0246700.1 pep chromosome:AGI1.1:3:22399734:22401215:1 gene:ORGLA03G0246700 transcript:ORGLA03G0246700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHRKLIHLLRAEQAAAAASAASASFSPKSFSSSSASDDDGCSSSSWQTNDGAGGYGSAASSPSRCSASTPPKSPWAAHLPGLGGGGVGTGAGATGLVASLVKEDGHVYSLAAAGDVLYTGTDSENVRVWRDRRELAGFRTGSGLVKAIVVADDGRIFTGHQDGKVRVWRADAGDPAVHRRVGSLPRLADYVRSSVNPSSYVETPRRRRGRRREVWLRHSDAVSCLSLDEGAGLLYSASWDGSFKVWRVSDSRCLESVCAHDDAINTVAAAGFDGVVFTGSADGTVKVWRREEEPAASGGEAKTRHVLETVLREDESAVTAIAVSAEGRVVYVGSSDGDVTYWHWIDGEARYGGALRAHGTAVMCLAVAGNVVVSGSADRTLCAWRRGGGEHSRLAVLAGHTGPVKCVAVDEEETSSCSSDGERRFVVYSGSLDGSVKVWRISDIEPTNPPPRLPSPHVWKREDQPAAATAAAARAWSPYQTSEMNSVAAA >ORGLA03G0246600.1 pep chromosome:AGI1.1:3:22398672:22398978:-1 gene:ORGLA03G0246600 transcript:ORGLA03G0246600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGHRQGKGGLEAGRIEGDGEVLQLWIDLLDLAPTYACLVTIELVNDTPLLLCVSRNSKSFQFPLRKCCTGYQLFSSN >ORGLA03G0246500.1 pep chromosome:AGI1.1:3:22389938:22390432:1 gene:ORGLA03G0246500 transcript:ORGLA03G0246500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAVVAAIVTLLAVTAAVQAPGAALIPALKMAPLPALPTRSLATAPMPVATSPTAASPSPMEPPPTPPTNVPDANAPSALAPSVVTSTASAPTGAPASSSAFTTTDAPIAGMEEEMGKKKERQWRKIELTCGSHVQEVDKKRDGVARFEFSKFPVASSRYAK >ORGLA03G0246400.1 pep chromosome:AGI1.1:3:22376832:22378181:1 gene:ORGLA03G0246400 transcript:ORGLA03G0246400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPLAALLRRLSSHPFDSPVIHANLVKSSSISSPIPATALLTAYANAGLPAAASRLFDEMPTRDAVAWNALLACLVCHARPCAAVAAFRDMATAGFTPTATTLCTMAKACATSRALRPGRQVHARSILACQGDVIMATTLVDLYMSCGLVEEALRLFMCTDCPKDVALYNAVISGCVENGRFREAFFILGRIELNGITLTCALTACSATANLMYGMQVHCKALRGGFTLETILCNALIDMYAKCGRTTAARMVFDRMTCRNVVSWSSMIDAYSHHGHGEAALDLFKRMDETVPVVLPNAITFLAVLSACGQSGLVDEGRAMFHLMKRQYGINPGPEHYACFIDLLGRAGQIDEAWDLYCSFSTTRSELSGSICVAMLNACRANMDVVRGNKVALHLLEVDPENPGSHVLISNFHAAARQWFESDESRRIIIDKGLRKEAASSHISSTG >ORGLA03G0246300.1 pep chromosome:AGI1.1:3:22369909:22371516:1 gene:ORGLA03G0246300 transcript:ORGLA03G0246300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 58 [Source:Projected from Arabidopsis thaliana (AT3G18400) TAIR;Acc:AT3G18400] MEEGLPPGFRFHPTDEELVTYYLARKVSDFGFATRAIADVDLNKCEPWDLPSKSLANSSISIPSKASMGEKEWYFFSMRDRKYPTGIRTNRATDSGYWKTTGKDKEIFHGGALAGMKKTLVFYRGRAPKGAKTSWVMHEYRLQSKFPYKPAKDEWVVCRVFKKLQCHLAKPRPPHDDVDGDGASPPEMVDASSLGELGELDVSSILLGGFAPPSGELCHGGGGGDGFGAHRLDVGAYMSWLQAAAAANQGMFQWPAATQAGLVGGTVFAAAHKATGTMPFGGGCSQQQARDVGVSLANVGGGDALFGGAPLAKVDMECGEQAPQLDMDDSTWRAF >ORGLA03G0246200.1 pep chromosome:AGI1.1:3:22359731:22360003:-1 gene:ORGLA03G0246200 transcript:ORGLA03G0246200.1 gene_biotype:protein_coding transcript_biotype:protein_coding DNVHGGQRSCGILRLELMHVVDGDSIWHTMELLCVYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTLSMSLVRGFRLSTSCINRGGA >ORGLA03G0246100.1 pep chromosome:AGI1.1:3:22357584:22357895:1 gene:ORGLA03G0246100 transcript:ORGLA03G0246100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLQQQQQQPQSPPSLVGAWLCQISSGLRLREARRRGGHAEVDDEQSSPKNAAAAAGRNKAAREEANNKASSTAVTASCRAGAAMPEATVCLLLDLFAPS >ORGLA03G0246000.1 pep chromosome:AGI1.1:3:22337004:22337258:1 gene:ORGLA03G0246000 transcript:ORGLA03G0246000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAATAPDLSLHISPPSPPDMAAGGETMEQLAEPKLCLGFGTAAAAAAEQYNNGGCNLQQQQRLHQPSQIQRFKKSASGGSPV >ORGLA03G0245900.1 pep chromosome:AGI1.1:3:22331936:22334155:1 gene:ORGLA03G0245900 transcript:ORGLA03G0245900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEPIIYNEIASLPIQAKSATFGHLDPLVTLSFLATPPLDLSHSTLRSQRWGALEAHEGSDSSWELLRFSGVPLYILPGAPDIRHIILHISQKFFNKTNGQTCAKKSTVSNISKRREYLIVEELETAEINLKPNHANGGGIWGWWGGATTQYRHEDLNLEPNHNPAAGGRSGMKELATSPLCSKGEGGMRDQVFVGWGASKSWTRTGSVGRMRMQILTQYAHPKSLEDGYLCFNGQE >ORGLA03G0245800.1 pep chromosome:AGI1.1:3:22300949:22304446:-1 gene:ORGLA03G0245800 transcript:ORGLA03G0245800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPHPTTSKKYLYHTRAMDWGRSFIHLWSLEDVTDDPGSTAIXRSGMDLITHWRLHXRFXLPLLCLLRFLIHVLMSISICMILILQSIYPLVLPIEFLSMFFPFREMVAVPNAKLAAVPNDQCLXGFPSLQLSPVSPMCWALNTFQDPVLVGRRFFTFVKVVLRWNRTTLLGFRVQRAKLKRISRPLL >ORGLA03G0245700.1 pep chromosome:AGI1.1:3:22296030:22296930:1 gene:ORGLA03G0245700 transcript:ORGLA03G0245700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAASHQPAASVMARVDRLDLVVGHLEEMRGGGGGGRRSSCGASPSTTTTTTTVSSNESGSSSVASTPRGMSCRPAKEALEEARAKGSLVDRIASLETRVLKMEEEMEVTSSDVRNTGSDEKQQRSAAGNKKAEKRKRLKSLVKSCVRGKLNTND >ORGLA03G0245600.1 pep chromosome:AGI1.1:3:22290035:22290556:-1 gene:ORGLA03G0245600 transcript:ORGLA03G0245600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSRRSTRRPRSCSCSRCLPSRALPPSTTRSPRREHAAKAAADPAAGPEASSEQIMEAGTTVSVRTRVGKLPGGRQLVLWLSAVVVSAAEEGYLTVVYKGDFPPGDRFQTVRVARKDTKKITAGAAAAAAATITDPAAAAARPSSNNVAAPAPRPSTGGKKVRVLKRIYPEAF >ORGLA03G0245500.1 pep chromosome:AGI1.1:3:22284645:22284878:1 gene:ORGLA03G0245500 transcript:ORGLA03G0245500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGRLSPPAATFSPVSTLPFPFSPPLAKLGLGFFSLLSFPSSPPSLFSPCGFLVSEHSVIRRPPPHILLDLVSS >ORGLA03G0245400.1 pep chromosome:AGI1.1:3:22283582:22283942:-1 gene:ORGLA03G0245400 transcript:ORGLA03G0245400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAASRSFLAAVRGRAASSAPRIRAAPLPSAPRRRVPSSAFSPFAAARPMSAMMGSPAAMAARLTGHPSASVRACCELSQGTHFCRACQDR >ORGLA03G0245300.1 pep chromosome:AGI1.1:3:22266542:22267018:-1 gene:ORGLA03G0245300 transcript:ORGLA03G0245300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIHRERDLDLDGSSKKLAVTTKRKVVAKKRSSQRRSSPIPATLEKIMTGTTVSVRTRVGKLRGGRQLVLWLSAVVVSAAEEGYLTILYTGNFQPPEATMRVARKETKKMPPAAASPALADIASSAPTVCSKNAAAPCPTTAGKSVVVLKRVYPEAF >ORGLA03G0245200.1 pep chromosome:AGI1.1:3:22265550:22265943:-1 gene:ORGLA03G0245200 transcript:ORGLA03G0245200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGAASRCFLAAVRGRAASASRVRAAPAPLPSAPPRRVPPAAFTPFAAAAARPMAAAAMMGSPAAVAARLTGHPSASVRACCELSQGTHFCRACQDR >ORGLA03G0245100.1 pep chromosome:AGI1.1:3:22259504:22261814:1 gene:ORGLA03G0245100 transcript:ORGLA03G0245100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLKIGKNCSVCKEWQEHCYWSHMADHSKHFLKHMVGDFTESMTVPARFANNFNGHISEEVNLRSPSGETWSIGVANSDAGELVLQPGWKEFVDGNGIEEGDCLLFRYSGVSSSFDVLIFDPSGCEKASPHFVGSHGFGRAENSAGAEQGGRSGRPTPPIVDGDNGHRHHLEMTLHRNSCRSIPRACKRSLFSDETEAKENDGEDEDEDVVAAAEGGRYGEYYFSRHGRVAEYNLREEDREEISRVPVPVQPGNAVFVQVIHSSHVRSSKYCIVGVSPEFAGKYLGAVEREVVLKRASRGGEWHVLFVHRQNTRGFYGAGWRQFAGDNRLVAHDVCLFELTMVDAAAGGGGNRRRRWSRRPTMTVHVLRRVRGRFVLLR >ORGLA03G0245000.1 pep chromosome:AGI1.1:3:22255578:22257407:1 gene:ORGLA03G0245000 transcript:ORGLA03G0245000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLKIGKNCSVCKEWQEHCYWSHMADDCKHFLTYMVGDFTESMIVPSRFANNFNGHISEVVNLKSPSGKTWSIGVANSDTGELVLRSGWKEFVDANGVQENDCLLFRYSGVSSFDVLIFDPSGCEKASPHFVENRGFGREEKSAGAEGGGRDGDKNGHHQHQLEMTPHKNSSRCRSIPSACKRGLFSDEIEQDHREEKKEGDDEDEDEDKDGEDRYYFCRHGGRVTEYNLSKGDKEEISRVPVPVEPGNPVLVKVIHASHLLSSRYSTVGVSPEFAGRYLGPAMAREVVMERGGGGGRGDQWHVRFVRRESSRGFHGTGWRRFARDNGLLAHDVCLFELRLVDGAGAGDRLRRRPRPTMAVHVLRRVRGRFVLIR >ORGLA03G0244900.1 pep chromosome:AGI1.1:3:22242267:22244279:1 gene:ORGLA03G0244900 transcript:ORGLA03G0244900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKILKTTLRATTMLKVLVKIRLSLFLIFSVLXLMLIMYMASHKTIPNEFLHNFGGKIPKSIKLETRSGLTFDVQVTKNSGRVVLQSGWASYVSAHDLKIGDFLVFKYSGDSQLKTLIFDSSGCEKVCEKPVDMSGRSYDIAMRNSQDEKKKRKQRDISRQGTVKPSEEGLKAELVPGCILPSRTDLTRLQKNILTEKVKAINSETPIYGYVMNNSSIHGIPCTVEISKKYADVYLPFEDGTVVLQHHGKSWNVRCCLTKQNSKRFLKGWRQFAGDNKLHLGDICLFDLLKDKKKYVMDVHIIRRK >ORGLA03G0244800.1 pep chromosome:AGI1.1:3:22238974:22239398:1 gene:ORGLA03G0244800 transcript:ORGLA03G0244800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGGRCSKEGDDAYFDWNRTNGEDKHFFKVMLGDFHERVGPIPGLSLGHVASCIALCFNSQLKNMPRIRTISQPLDGISTVKETLR >ORGLA03G0244700.1 pep chromosome:AGI1.1:3:22223266:22232989:1 gene:ORGLA03G0244700 transcript:ORGLA03G0244700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAPFPASPVRLHPCPLLFSHPHLLGSDYFAFSVKGRGAHLESMRVENKRGNRSWGTDAKRTHPNSIFNQLVRYGYIGTGFPDMNCSLTWSLTGGPSTLSLPSFFPSAHLLLLLALFFLCIQSNHRMSKSGCERCRGRGFWDTDDQDTYFFKVMIGGFRRQMTIPYKFAENFRDQIQGTIKLKARNGNTCSVLVEKCSNKLVLTKGWAEFANSHDIKMGDFLVFRYTGNSQFEVKIFDPSGCVKAASHNAVNIGQHAQNMQGDPIEILSCSDEHLRAQSLTTERQNQPEKDVIDNCNKKMKTEHASSSEDDQETPTAEVHRMKVEEMVRAIHSNHPVFVAVMKKSNVTRQPCYVAISRKYANEYFPGGDQMLTLQRHGKRWQVKFCISKRKLRMLSKGWRKFTRDNELQVGAPLALFITLFFSPHFRKMKKCGQKMRKLNTRSTARDDQEKYFFKVMIGDFHKRMTIPDKFARHFKGVISKTIKLEPRSGYTFDVQVTKKLNILVLGSGWESFVNAHDLNMGDFLVFKYNGDFLLQVLIFDPSGCEKSTSCSMENAIDHVGQGWKEHNDISTSYHDQPKGNKHWMQKDSSSKGNKIGNTRSSNTPSKFSGCILPRGTCLPVVQEKKMKEKIQAIHSKTPMYGNVMTKCNVSGSPCVLEITQLYDDAYLPFNNGQELMLRHRDKSWKVRFYRFKNKSRKLTQASSLYKMRRPGARCREGHAHFNGNHIDGQYKNFFKVMIGRFRERMIIPNEFLQYFRGKIPRTIKLQLRDGCTYDVQVTKNLGKISLQSGWKAFVTAHDLQMGDFLVFSYDGISKLKVLIFGPSGCEKVHSRPTLKNATHCGEKWEEPLHISSNSHDLPVKSPQNVSKSEKQWDSSEQENDTANIEEVALQGDDLQGHPVLNCILPKHTRLTDMQKQQLESKVGAIHSEIPIYGCILRKSRVHGKSQTVDICREYADVYLPFKELNMTLQRHGKNWEVLCRTKDTRTKRLSTGWSRFAQENNLQVGDICLFELLKKKEYSMNVHIIPKK >ORGLA03G0244600.1 pep chromosome:AGI1.1:3:22221731:22222201:-1 gene:ORGLA03G0244600 transcript:ORGLA03G0244600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPDHPVNNHTPSSSAPTPAPSTSSSSSAATAASRYWEEEKLEAELAKVHGLIAAEMAARXSVCHVGNARRHVGGELAAALLESLTEEVTAALMMTWSNKLLLARRPHPPLVAPHPAEKRRGLATWMVWLATREPNELTQAFKRAESSWISSSL >ORGLA03G0244500.1 pep chromosome:AGI1.1:3:22211401:22217590:1 gene:ORGLA03G0244500 transcript:ORGLA03G0244500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELPFPANIEVMRHTPRFKLMQAAFHGDLRGLKRQAKILDMGRGRLRKAVEDVRVEGVPGEEGTGVLHMAASHGHMEMCKYLVETLQVDVDDADDKGRTSLLKAVHSGHRGIAKYLLNHDANPDLAMCCGLTPLHSAAGLGDCESVKLLLAKGAYVDPMSTFGTPLHLAAKEGQDGTMKILLDNNADCNKMVNGITPLLLAMKAASAKCMELLVEAGADATYSDVIWNYMSTTFMDDEDSGSSVFSDSEPEEIDANHHIPVNDKPVNRRKIAEFKSLGLEAVEKKDYLSAAGFYSEAMDLDPDDATLLSNRSLCWLYLGEGGKALVDAHECRKMRPDWPKACYRQGAALMLLKDYVSACEALFDGFKLDPEDVEIENALREALEFLKVSQSTSAN >ORGLA03G0244400.1 pep chromosome:AGI1.1:3:22210448:22210996:1 gene:ORGLA03G0244400 transcript:ORGLA03G0244400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSPPLGDEREENLGLPAAGCDEAAQPPPMHEVRQHRATEPLDHDIELELPQHALQVAHIALTDAVAEAEPRGHREQGVVHDVTDEHLAVAAAASANPGGDVHDEGLDGRLLLWRWGVAGWEALFFLTWHRGIYVRPCQKMDFRPPRQHRKYPLDDAVSIGKYPRLWDVFPQNFTFTVSLS >ORGLA03G0244300.1 pep chromosome:AGI1.1:3:22199640:22201607:-1 gene:ORGLA03G0244300 transcript:ORGLA03G0244300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRKKQLDSIVRMLHLNQQLQGSPDGVGGGVGSAEEEEAYKILVMDSPCVALLAPVLRVGELRRHGVTLHLNIDKARQQVPDAPAVYLLRPTAANVDRVAADAAAGLYASFHLNFSTCVPRALLERLASATAASRSAHRVARVADQYLDFVCLEEGLFSLAQPRAYVALNDPAAAEADITALVDAIALGLFCVVATLGAVPVIRCARGGPAEMVAAALDARLRDHLIAKPNLFTEAASTAVASFQRPLLCLFDRNFELSVGIQHDWSYRPLVHDVLGLKSNKLKLPEKYDLDDTDPFWVANSWLQFPKVAEEIEAQLAKYKQDVDEVNQRTGGGRDGVEFDGTDLIGNTRHLMNAVNSLPELTERKKMIDKHTNIATALLGHIKGRSLDGYFECENSMLVDGTLDRTKLMNLLRGNGTKEDKLRLAVTYLLSFETPVPSDLEQVEAALRESEVDMSAFQYVKRIKSLNSQFAGASNTASKVNIVDWAEKLYGHSISAMTGVRNLLSDGKQLAVTRAVEALMEGKPNPEVDNYLLFDPRAPKSGTAGQFRGPFREAIVFMIGGGNYIEYRSLTELTQRSQTTKQVIYGATEILNGVEFIQQLSELGQKAGLGGVSSSLPPQ >ORGLA03G0244200.1 pep chromosome:AGI1.1:3:22195291:22197235:1 gene:ORGLA03G0244200 transcript:ORGLA03G0244200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANQEAMPPRTGNIATANPGCPNWEGAKEVEDTPNKWAPSEEGREKDDASKNNVVSVEELQICDELEADKEDLE >ORGLA03G0244100.1 pep chromosome:AGI1.1:3:22189207:22192676:1 gene:ORGLA03G0244100 transcript:ORGLA03G0244100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGSQMKKYCDCCKRYVDHSNGKMKCFHRQMSANFEHSMIIPNKFLDQFGGKISRTVELESPKGNVYVVKVSKHMNKTVLQCGWEAFVDAHQIEENDSLLFRHIENSRFTVLILDSNGCEKVFSCSGKRRASGVQERNADPIDVSSSTHDDTAQSSGGERFARSESGSDSQHSKTAKLAATCSSGGSGEEAKESSSSEHESSYDLVDPQIAPMPGYVLSRGTNLSEAHEEKLDMLVQEIRPEIPLYVTTMKHSNVNSHHASLVIAKHYACAYFPRTSQTITLKWHGKNRKWHPKFYIRKDQVGYILHGRWIDFVRHNHVKEGDICIFHLKNFNGRKFRATVHLLRETIPHSFGALHIPKRFESRNGRMRLKMTDDRRVSSTECRRGTMEPSTTNVKKEADNEQCNNGQGKRQEPLNFDVSVGSSKPYLTADRVSLTEEQFRKVEENVHSIQSEGPIYVSIMNKSNVGTDGLYIITLGRQFATRYLPEGEQTLTLLTTGTGKAWQVKMRPRSGDARMFTLGWRDFVRDNRLQTEDICLFQLMKNSERGLAMTVHIIRHSERS >ORGLA03G0244000.1 pep chromosome:AGI1.1:3:22183921:22184463:-1 gene:ORGLA03G0244000 transcript:ORGLA03G0244000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGEGLARLGYAIDRAWEKGKRGLGTEGPGTVKAMPWKKLSGDFWKLRKVLFGNFRK >ORGLA03G0243900.1 pep chromosome:AGI1.1:3:22179043:22181944:1 gene:ORGLA03G0243900 transcript:ORGLA03G0243900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGSQKKKSCDWSKRYVDHLNGKMKCFHLQMSANFGHSMTIPNKFLDHFGGTLSRTIELVSPKGIVYIVKVTEHMNKTILQCGWEAFVDAHHIEENDSLLFRHIENSRFEVLILDSDGCEKVFTWAGIKKTSSVQERNVAPVDISRSTHDETTQSSGSKKFVRCQRASDSQRGKTAKLAETSSSGESGEEGTDSSTSEDESSYELDDPQMPPGRNYVLSRWTSLSEAQEEKVDMLVQDIQPEIPVFVAIMKHSNVNSRRACLVIPKRYASAHFPLESQTITLQRQGKNKKWYPMFYIRKDGSGYMLYGCWKNFVRDNHVKEGDMCIFHLTKFTGGEFGATVHLLRETKSGSLGSFHTSHKRFDLRDGRTWPKVTGARRVSSRPYLTADRVSLTEEQVRKVEEVVHSIQSEGPMYVSIMNKSNVGTDGLYIIIFGRQFATRYLPEGEQTLTLLMTGKSNAWQVKMRPRSGDAQMITTGWRHFVHDNHLQIEDICLFQLMNDESKLTMTVHIIRRNEKS >ORGLA03G0243800.1 pep chromosome:AGI1.1:3:22169390:22169977:-1 gene:ORGLA03G0243800 transcript:ORGLA03G0243800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVMITRWHPVLALAVLLAAAAASPPKTTVSGYRPDHHDDDHHHHHGGHDAALSVTTDHRHHHHGGEAASSVITDHDHGHHHGGGGHGGDGAAASSVTDHHDHGHGHHGGGHGGRRALLASTNDDTSSGCCPGGGHHHGHGGGGGHMGPRGDSHMPPYKIVT >ORGLA03G0243700.1 pep chromosome:AGI1.1:3:22165032:22166702:1 gene:ORGLA03G0243700 transcript:ORGLA03G0243700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSRMKKSCACCKRYLEHLGGKMSCFLIRMTTDSMHSMIIPDRFVNHFGGKIPGTIKLESPNGILYVVEVTECMNKTVIQCGWEAFVDAHHIKVGDSLLFRHIENSCFEVMILDSDGCERNMFPXKATGMEFLMNLRRVRILKALLALPTFYHGRARVVYLHCKRRXSKRKYDPSNPKFPFMQQSXTRAILGXLVPLASXN >ORGLA03G0243600.1 pep chromosome:AGI1.1:3:22156693:22160154:1 gene:ORGLA03G0243600 transcript:ORGLA03G0243600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNTHRKKSCACCKEYLEHLGGKMRCFLRRMAADSMHSMIMPDRFVSHFGGKIPGTIKLESPNGILYVVEVTECMNKTLLQCGWEAFVDAHNIKEGESLLFRHIENSRYEVLILDSDDCEKVFSCAGTRNGSCVQDKTVDPVDSSGSSSNDTTQSSRSRNTENLTAMCSSSEKSGEDSPSGYEFHESVEPQTPSGSDYVLSRRTYLSEAQKERVVAHIQDIQPEITVFVAVMKKCNLQSPAPYLVISSRYASVHFPRETATITLQRPSKRKKWYPRFYKRIDKSDHMLRGQWQNFVHDNCLQEEDICLFVPTKGGRNFVFTVHLLQAEATHSRDGTDVHKIGSSQNKRNSKMASQVHIKEAPGGDVSSESNKHGVSHESLESEDSDGPSEPPYISSMRRRLSQLQKKTVEEKVRAIQSEIPICVATISKLAGSGGKGKFRGLELSSRYAASYLPDKNHQTLVLQCKGMIWQINLVVRRRYTKGKRWFLTAGWRKFAHDNRLRVGDFCLFELKKKKLTMEVHIISNLQRYPEVE >ORGLA03G0243500.1 pep chromosome:AGI1.1:3:22153004:22153645:1 gene:ORGLA03G0243500 transcript:ORGLA03G0243500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTKHHDSSRIALPRGGSGGDDPAAPLPHPYPLLRSASPSPERPPAKPRGHKDGGGGVLLVSSRSGGLGSRPEWRRRCPPDPRRSGRIWQDGLAAGTGDGGGRGELRRVVLWVMAAGMATSLGWRWWRSRIRRRRTRIRRRRGGRRWPEHAGTPRLPLASSGTPLDVGRRWWRGRRPDLAPCPDPARPRVGTGWLESGRRAGGVNIAGILGV >ORGLA03G0243400.1 pep chromosome:AGI1.1:3:22150149:22152859:1 gene:ORGLA03G0243400 transcript:ORGLA03G0243400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSCMKKSCVCCQKYLEHLDGKMNCFVRRMTADSRRSMIMPCKFVNHFGGDFSGTIKLQSPNGILYVVEVTKCKNKTVLRCGWEAFVDAHHIEENDSLLFRRVENSRFEVLIFDSDDCEKVFSCAGIRNTCKSIQEKSSSSCDDTAESSESEGFARNQKGSFSHRRKTANLASSSEDSGEDSPSEHESVESGDLETSQEPYVLSRRSYLSEFQKDKVDALIQEIQPETTAFVAIMRKSNVQLPTPFLVISFCYAEVHFPHKSVTVTLQRPCKSKKWHPRFYKRKDARMNILRGSWVEFVKDNRVQEQDICVFVPTKDARRNFTFTVHLLRVAAAYSRGGTGVDRAGSSLGRTDVKSASEISIKEEPIDQEENVSSRNRNGVSDESEEDEDSEGPAHPPYIVPCKSRLSRLQKKIVEEKVRSIQSKFPVYVAIMKKSNVERSASRCQLELGARFAAAVHLPDRRQTVVLQRRGERWATVMQIRSGTRRLLISGWHRFVRDNRLRVGDICLFEFKTHERWRLTMAVHAIFREQCC >ORGLA03G0243300.1 pep chromosome:AGI1.1:3:22143737:22147034:1 gene:ORGLA03G0243300 transcript:ORGLA03G0243300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKDDAVEEKGERARMAAFIGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGYRMAVECARNALLERTMDNKENIDKFRSDLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLEAIQILKKPGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCNVIEEIMIGEDKLIHFSGVAMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLFGGGWPEMVMAKAVDDLARKTPGKKSHAIDAFSRALQAIPTIIADNAGLDSAELISQLRAEHHKENCTAGIDVITGSVGDMQKRGISEAFKVKQAILLSATEAAEMILRVDEIITCAPRRREDRM >ORGLA03G0243200.1 pep chromosome:AGI1.1:3:22141304:22141681:1 gene:ORGLA03G0243200 transcript:ORGLA03G0243200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGEAKDKHITASREAIISVVESPETRTLDVGPSAVPASHIGDSGMVDEGSRGEVAQRQVRSEHDLQHRHIPLEEXMEWDLLAGEGIHDLGSLTIVEGEPTIAGERIVASLWWRAMEIREDTH >ORGLA03G0243100.1 pep chromosome:AGI1.1:3:22131925:22135023:-1 gene:ORGLA03G0243100 transcript:ORGLA03G0243100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQVMEAALQQLPASMASGSLLLPPACLQHPLPAAAAASGGVGGSSREQCPRCASHDTKFCYYNNYNTSQPRHFCRACRRYWTLGGSLRNVPIGGSTRKRPRPPVRRPPVHFAAAAAPPHHYHHHHGGPLTPPPATSSSSQQAGLLGSLFALGAAPLLEGRVGVGFDLGLGLPGPGHHHAVAGGGGPAAAVATSSSSSAAAPLLWPTGLLDSSSNNAETWRMAAGGMWPEFTAAAAQNIRLVIDIGDTTIQVPLNGPTVVQNIGRQAAAAAVAGDSSAGGVSEKTGGAGGGGGEEWMQEQDGLLCMRGWLMAVATLFAAMAFQAALRPPGWMPAEAA >ORGLA03G0243000.1 pep chromosome:AGI1.1:3:22122984:22124720:-1 gene:ORGLA03G0243000 transcript:ORGLA03G0243000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRNHGDASSLARGRRGGAWRARRREARSTASEAGDPAPRWLDPVLPRPDLAAQCRATAPSWARSAAAEGRWRRQQRRSEGRTATTAAEGGPCFDDDSGARAALRWRRRGSRAVLRRRWWREGRVPARGGLPPVGGVLLPLSARLGGVESELLRRWGATLLGNDNICSFSLGMLVLPLRAAAAVAVMARDMSASGVSEIESRRWLMPDGDDHSVRRHGVPGGAAAAGVVQAGPALKPI >ORGLA03G0242900.1 pep chromosome:AGI1.1:3:22114072:22114565:-1 gene:ORGLA03G0242900 transcript:ORGLA03G0242900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGDGAPGAGGNGGAPGDNTNGGNSASXSSGGTLTGYTFTFQIEHVRTVAAAAKHPSSEEDAHA >ORGLA03G0242800.1 pep chromosome:AGI1.1:3:22110705:22112788:-1 gene:ORGLA03G0242800 transcript:ORGLA03G0242800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSMDQAFVQAPEHRPKASVAEADGIPVIDLSPLLAAGDGDANGVDALXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFALPPERRAAVARSEAAPMGYYASEHTKNVRDWKEVFDLVPRQTPPPPTTAVADGDLVFDNKWPDDLPGFREAMEEYGEAVEELAFKLLELIARSLGLRPDRLHGFFKDDQTTFIRLNHYPPCPSPDLALGVGRHKDAGALTVLYQDDVGGLDVRRRSDGEWVRVRPVPHSFIINVGDIIQVWSNDRYESAEHRVAVNVEKERFSIPFFFNPAGHTMVEPLEEVVSDESPARYNPYNWGEFFSTRKNSNFKKLDVENVQITHFRKN >ORGLA03G0242700.1 pep chromosome:AGI1.1:3:22098919:22102385:-1 gene:ORGLA03G0242700 transcript:ORGLA03G0242700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTALGGRAPARLGLAPKDGVFGSNLKQCGGFMLKTTPKVGSSSVRVRASVASSPQKQHSPKTSGVKSGEEVRIAVLGASGYTGAEIVRLLANHPQFRIKVMTADRKAGEQFGSVFPHLITQDLPNLVAVKDADFSNVDAVFCCLPHGTTQEIIKGLPQELKIVDLSADFRLRDINEYAEWYGHSHRAPELQQEAVYGLTEVLRNEIRNARLVANPGCYPTSIQLPLVPLIKAKLIKVSNIIIDAKSGVSGAGRGAKEANLYTEIAEGIHAYGIKGHRHVPEIEQGLSEAAESKVTISFTPNLICMKRGMQSTMFIEMAPGVTANDLYQHLKSTYEGEEFVKLLNGSSVPHTRHVVGSNYCFMNVFEDRIPGRAIIISVIDNLVKGASGQAVQNLNLMMGLPENTGLQYQPLFP >ORGLA03G0242600.1 pep chromosome:AGI1.1:3:22093691:22095084:-1 gene:ORGLA03G0242600 transcript:ORGLA03G0242600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGVIAEAGWSSLDMSSQAEESEMMAQLLGTCFPSNGEDDHHQELPWSVDTPSAYYLHCNGGSSSAYSSTTSSNSASGSFTLIAPRSEYEGYYVSDSNEAALGISIQEQGAAQFMDAILNRNGDPGFDDLADSSVNLLDSIGASNKRKIQEQGRLDDQTKSRKSAKKAGSKRGKKAAQCEGEDGSIAVTNRQSLSCCTSENDSIGSQESPVAAKSNGKAQSGHRSATDPQSLYARKRRERINERLKILQNLVPNGTKVDISTMLEEAMHYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGIDLNLSQH >ORGLA03G0242500.1 pep chromosome:AGI1.1:3:22084839:22085255:-1 gene:ORGLA03G0242500 transcript:ORGLA03G0242500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFGEQEEGCDDGDNEGESGGQRPRPCRARSASPPWSPHLAKIAEPSDSFASASSSSSSSTSSSLSSSAKSTRRRISLRDLLLSGTANSDSAIAAGAAAAAERSSGFWHLSFWPSSRSKKTTMLALPCSCPFPPPP >ORGLA03G0242400.1 pep chromosome:AGI1.1:3:22076663:22077043:1 gene:ORGLA03G0242400 transcript:ORGLA03G0242400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLMTTGVDPFLADLSRSPHPDHRALPLVPGKDEDGGSCNRGRRPEQSGTMVAATAEDGAAAGVIAARGHSEGTAAGDGLMNKVAQLMDGVDGARSGKETVRXRREREGKIRGPTHGAHNWQGNFG >ORGLA03G0242300.1 pep chromosome:AGI1.1:3:22070319:22071805:-1 gene:ORGLA03G0242300 transcript:ORGLA03G0242300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDEYSAGCSFSLMCQEDSTDLDDDGGGGGCFAGDGRADLLLVYNAAAAAAADEDEEEVEEYMDHLVSKESSFCSSSSSTSSSSCCFSDAGGESAAAAAPMDWFALARRATVKWILETRGCFGFCHRTAYLAIAYFDRFCLRRCIDRSVMPWAARLLAVACVSLAAKMEEYRAPALSEFRAGVGDDGYEFSCVCIRRMELLVLSTLDWRMAAVTPFDYLPCLSSRLRRHVGGGGGAGAAAALIFSAAEAASVLDHRPSTVAAAAVLAATHGALTREALESKMSGLSPSFLLDKEDVFACYSAMLSQPTSPASKSTTTTTGKRSSSSSCSESTDAASSYDATAASFPAAESCGSKRMRLELPGGILR >ORGLA03G0242200.1 pep chromosome:AGI1.1:3:22025336:22038428:-1 gene:ORGLA03G0242200 transcript:ORGLA03G0242200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESLAQLELLCEKLYNSRDSAERAHAESILKCFSENSDYISQCQYILDNASTPYALMLASSSLLNQVNDRSLSLQLRLDIRNYVINYLATRGPKLQTFVIKSLIQLVCRITKFGWFDDDKFRDIVKEAADFLSLASQDHYFIGLKILYHLVGEMNQCMQANAMPLTLHRKIACSFKDQFLLQIFQISLTSLHQLKSEVPDDFRRDPLSLALRCLSYDFVGCPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGDTPSMLDETVPKITEGFITSRINSVQASFANDSSDDTLDNVDVLQEQLESLPYLCRFQLQYQNSSIYIINIMEPLLQAYMERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQRYQVLSKQRLDRAILIFVQNFRRSYVGDQAMHSSKQLYARLSELLGLNDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESTKFIIANHSRETFPFLEEYRCARSRTTFYYILGCLIFMEDTPVKFRSFMEPLLQVAVNLEATADAAFRTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSRMPLLLKAISLYADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGSKYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAFYGYIEVLFSNHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNITAADGPPSPAALNLARHIGEFPTLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSNLRAQILASQPVDQQQRLSQCFDKLMTDVATNLEPKNRDKFTQNLTTFRHDFRVKNIQA >ORGLA03G0242100.1 pep chromosome:AGI1.1:3:22010211:22017250:-1 gene:ORGLA03G0242100 transcript:ORGLA03G0242100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64960) TAIR;Acc:AT1G64960] DSHPVLLSLPGALAPPLSDGGAPGYPPRAAAVLLHLLLTHPSHPPRWGDLLPPLARLHDRLAQLATDDPPLAALAVACFELAWRAAAPGREAVVAQTLPYLFAEALSCGSATARPVLRRLLALRDALALLDYDDDDSISDFKMLLLRCFVSPLFLKAEEGRKLLSLVLGVSEGLAREGLELIRAQVGMPGVKRAALVAYGEVVFRAWKDGGWVRGEVGEAFLQGMLEGAVHARSKELAKAARKLLSAFVEQRMVAGVEKLIFQLAEPVLFRSLQVANSNVRHNSLHLLLDLFPLEDPDVTKDVNDPLLEKQYFLLDKLLMDDCPEIRTVAIEGLCRILNQFWEVIPSLTISKFLSKIVDDMSKDSCTEVRVSTINGLIYLLDNPQSHEILKVLLPRLSDMVSDPALSVRSSAVDLLLAIRDLRSFQFNKVVGLGTLLSSLSNDHPRVAQKITKLLIPSYFPTKLPLKEACARCIALIKRSPTAGARFCEFALSEGSPPRSLVELIKVSITLALAPTGMNSEQTDGLVIASANLIKSLSEERSSLASLREFFANAKLKLLFKTEISEGARSALLSMAPVVSPDDLSALHDECMNVVMNAAGVSTQQGCQEAVLAAHKLVFSSGWSDEMFEALTNILQSKVSCFAEIYDIEPPICPVATSKRKKGKSLKKTPAKSGHDIGNGSSSEDFDIVAGASWQINDILKDEEKRVAFLQSSYSDVAFSSLKVICQVYIEQCLQFDSLNATPLLAYLSLATHSALQDIDQTDISTSESTTINHSLDHLLNCFDKLLNESVTGSTNSSKLKQNKKSARQKHHHGVPEGNALRGTVNVYMLGTSILKFIVDTITIKLISGNKVGCLNFALSFTKYASSAIKMHQEQSSSFKGNDLKDILMLIRSSFTYAAKLLHLVLANSIESQSPPEEAFFLANNLLDLVPSVESAAGSKFALSLVSVVKQWLPVVIMGLGCRWLIGPQAEGNMCDFGGSCLPLWVVALAKNELLDDEKPRDDDQSEQASEDSQSSRKLAEMMVILLKKGSPKILDSVAGVFLSTLKLALQRAEYGVVLGLTRFVCVRLLGSDSSASEKLHLAHDSLRENFFEIDKHVRDDLVDSEESRQQLESAKALIRSILSDV >ORGLA03G0242000.1 pep chromosome:AGI1.1:3:22008268:22008579:1 gene:ORGLA03G0242000 transcript:ORGLA03G0242000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVVIASGLPMGCTVDTNLVVMTHDVAVDWMSIESSPSMPSCRRITLPKILDGSRRKGENRMDIPESITWELIKPPAGGRTALPPRPYDSMDHVGVSASPPN >ORGLA03G0241900.1 pep chromosome:AGI1.1:3:21998967:22003862:1 gene:ORGLA03G0241900 transcript:ORGLA03G0241900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:I1PDH3] GGGALSSCRRASHHEKLQVAALPSKATLEFEHGVSLRSAYIVPEDVQAAGFQIDADELASIVESRDTKKLTVHGQLNGIADKLGTSLTNGIVTDKDLLNQRQDIYGVNKFAETEIRSFWEFVWEALEDTTLIILSACAIFSLVVGITTEGWPQGAHDGVGIVASILLVVSVTGTSNYQQSLQFRDLDKEKRKILVQVTRNGLRQRVLIDDLLPGDAVHLAVGDQVPADGLFISGFSVLVDESSLTGESEPVFVNEDNPYLLSGTKVLDGSCKMLVTAVGMRTQWGKLMAVLTDGGDDETPLQTRLNGVANTIGKIGLFFAVLTFIVLSQGIIGQKYLDGLLLSWSGDDVLEILDHFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRQLAACETMGSATVICSDKTGTLTTNRMTVVKACICGNTIQVNNPQTPNMSSNFPEVAVETLLESIFNNTSGEVVTNQDGKYQILGTPTETALLEFALLLDGDCKEKQQGSKIVKVEPFNSTKKRMSTILELPGGGYRAHCKGASEIVLAACDKFIDERGCIVPLDDKTSSKLNDIIKAFSSEALRTLCLAYREMEEGFSTQEQIPLQGYTCIGIVGIKDPVRPGVRQSVATCRSAGISVRMITGDNIDTAKAIARECGILTKDGIAIEGAEFREKSAEELHDLIPKMQLKVLARSSPLDKHTLVKHLRTAFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFTSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPNNNLMKKAPVGRKGKFITNVMWRNIVGQSLYQFAVMWYLQTQGKHLFGLEGYHADIVLNTIIFNTFVFCQVFNEVSSREMEDINVLRGMAGNSIFLGVLTGTIFFQFILVQFLGDFANTTPLTQQQWLISILFGFLGMPIAAAIKLIAVEPHEKADTRRTP >ORGLA03G0241800.1 pep chromosome:AGI1.1:3:21992218:21997699:1 gene:ORGLA03G0241800 transcript:ORGLA03G0241800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G49980) TAIR;Acc:AT1G49980] MAGTPPDGGGDRPWESYHTAYTNAKAGMEGVDKEKVQKKVAEHKILELEASRDLSKIWLHTDMDAFYATVEILENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPELVFVRPNFERYTYYSELTRKVFQRYDPNFVATSLDEAYLNITKVCFDRGITGEEVATELRGAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDQEAVTTFVSTLPIRKIGGIGKVTEQMLRQVLGISTCQEMLQKASFLCALFSESSADFFISVGLGLGGTETPEQRLRKSISCERTFRATDDCSMLFEKLDSLADNLADDMQKECLKGRTLTLKLKTAAFEVRTRAVTTQNYINSKEDILIYARKLLKAELPLSLRLMGLRMSQLRDEKDDSSTQTQKTLDRFFRTPDNSNVIGANSPSIGNTTGGDNYCTNVMTKVDYLEHDSMDDQALFLHEKNLFVPEGRSSDNYNNDAASSNPLMCDGVGGKELDDDSSSLKGTHTVKFDGQLTSSNATTSSSKPDQLFWINGYICSICGFELPPGFEEERQEHSDFHLAEMLQQEEAVDSTGPLSKERLAERPCSSTPTPKKKLKSSKEGKHIPIDAFFHKVNKNL >ORGLA03G0241700.1 pep chromosome:AGI1.1:3:21976547:21977259:-1 gene:ORGLA03G0241700 transcript:ORGLA03G0241700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSEGSSASSRRTSASPIPYRVGLLEYEPAVVCRYSNKAARWISWIPDNPGCRYFKCVNARSGCCDYFAWVDGPSNSFVREVLNNLRDEVWKLRREKGDFSAAVEEGRCAQSELVLARNELATSRKVVGEKEAVVGVLKDRNRNESGIFLVAVECNVVSYGMXLGWYCVMESRMELC >ORGLA03G0241600.1 pep chromosome:AGI1.1:3:21967059:21967820:-1 gene:ORGLA03G0241600 transcript:ORGLA03G0241600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSSFPTATATATASFPNWVMLERFVFRRDDDKSFPDDSKATLRASGSGSHKTPLPSPSVSPTLRRSPTCTCSGHRARIRRRWWHATSWPPTATSFSYASATSSSALFRLARRTTSSSPRPATTQFPRRYSKPSPHVPTSQKGGNPSHVPTSQKAASPRLMLRATATCSIHWSFDPSASCAKAKSLPSPSCRSSETSMLMSKPDCACSARPYPPKVKMEMEAGGGISWSCQSCTAAAKSTGTSSTGPLTL >ORGLA03G0241500.1 pep chromosome:AGI1.1:3:21961473:21961709:1 gene:ORGLA03G0241500 transcript:ORGLA03G0241500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRDSKSKKPDVHVQDGEIHKEAEVADRERDAKMMEGDADEAEKNGGAEKRVPDSLAQKPEGPTHVSSASNGPSEAQ >ORGLA03G0241400.1 pep chromosome:AGI1.1:3:21945680:21946488:-1 gene:ORGLA03G0241400 transcript:ORGLA03G0241400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGEMFLEPLLLDGSNYISWSTRALNVFRAMGPHIDALSDDVFKTIMPLEDAHLIWITLKERYDKSKCDGEDTLAEASFGDCSTSLPHHDKSQVIISSDQEGLTSSSIPPTYNYEQEFTSSICSSSIAEDHVCFMGHDDDSVVDHLNKKDTVDGP >ORGLA03G0241300.1 pep chromosome:AGI1.1:3:21919192:21919593:-1 gene:ORGLA03G0241300 transcript:ORGLA03G0241300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSEKRRKENEDEQEKEDYQIDGVDARRTEVTLHCSITGIPFFSLFVSLRGEKKQEAPGSGPPHHWCGYGAGNDQSSHPFDGIVAHLDGSDCTSHRMEEVNRTPGCGLLSAAVDSVISALSRTSTTIGRAGD >ORGLA03G0241200.1 pep chromosome:AGI1.1:3:21916616:21916876:-1 gene:ORGLA03G0241200 transcript:ORGLA03G0241200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKQETESTKTHFVDPTHNPTTDATPQQDEHESDLPEEFGVTSPPPLLPPPYPLSPSMEDDGMIYVEDLGYMSTPCPSPLLTSTI >ORGLA03G0241100.1 pep chromosome:AGI1.1:3:21913211:21915831:1 gene:ORGLA03G0241100 transcript:ORGLA03G0241100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCINQTYMPYEFETSWDQFIKSYDLEGCPTMKALYDIREMWVPAFFKKEYCRRMTSMQRSESMNKLVKHKFADHQTALHRFAQRMLEVITDRKEKEAAETRACSGKPMLAVRWPSVIQMSRLYTRAAFRLFEEALQDSTDFRITQDDNFRNGWLVSHTKHSEKHNWCQKQFKVIADVDEGVFTCKCKQWEHTSNFDKVMKAANRGKGKRGRPRGSGRGRGRGTNAGCKVSTAVPRTTRRSSRKGPSLRRCLDDEXAEDAAESAGYTDDDQTNADDDFGSYENDS >ORGLA03G0241000.1 pep chromosome:AGI1.1:3:21860957:21861238:-1 gene:ORGLA03G0241000 transcript:ORGLA03G0241000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLRHPRAVADDATADHPLVSSLKALRRLVFSPAAVLRPFLYAVHSEDSGAAVTSASLAALHEVMALMGPSLTSAALREWACRQLPVRGRG >ORGLA03G0240900.1 pep chromosome:AGI1.1:3:21857923:21858429:-1 gene:ORGLA03G0240900 transcript:ORGLA03G0240900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPAAPARRGGGDGSDEYGWRQRLGGEPRRLAWRPAFPPLSFRGGGLMLRLDEEKAQEHGAWSRRASPASWGEPRWLARRPAFPTWESSRKEASGSSRPGGMGWQKREGGDPGGWRRARPHLGDTGAEVGEEAAHRGGMSEAGRFLGIPPAPMRRRHRGKYVISQLP >ORGLA03G0240800.1 pep chromosome:AGI1.1:3:21851245:21852378:-1 gene:ORGLA03G0240800 transcript:ORGLA03G0240800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSEGSSVSSRHTSASPILYQVGPLEYEPTVACRCGNKAVRWISRISDNPGRWYFKCVNARSGGCDYFAWVDGLLSSFLREVLNDLRDEVWKLRREKGDFPAAVEEGRFVQSELVLARNELAISRKAVGEKEAIVGVLKDTNSRLEFERYSHGGSMRIDPGVLAFLDGAGPADVFFFAGS >ORGLA03G0240700.1 pep chromosome:AGI1.1:3:21838653:21842982:-1 gene:ORGLA03G0240700 transcript:ORGLA03G0240700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L25/Gln-tRNA synthetase, anti-codon-binding domain [Source:Projected from Arabidopsis thaliana (AT5G66860) TAIR;Acc:AT5G66860] TPTHGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAHRKLLTADRKQLGEILKQSPYFLSTPVRLQVRAGERSTAVVHSGTVLPIKVHRDETTGNILNLVLVEADEGTMLKVNLPVEFKGEDVCPGLKKGGFLQKIRTSLVYLCPAEHIPPKIEVDLANLDIGDRVSMNDIPVHPSLKLLSKNETMPVCKILASKPVE >ORGLA03G0240600.1 pep chromosome:AGI1.1:3:21830387:21831310:1 gene:ORGLA03G0240600 transcript:ORGLA03G0240600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPCAACKLLRRKCTQGCVFAPYFPPDQPAKFANVHKVFGASNVTKLLNDLQPEQREDAVNSLAYEAEARLRDPVYGCVAYISILQLRIKQVRDQIVDARKELAAYIGPTAFAPVVAAAAPHTHYLPPADYHHQQLQAQGGGGGAGMGAAGYAHQVVQHQMAGLQVQHPHPHPHPHHHHHHQQMVNAQHMALVEVARDQDMLRARQAGHANAGATVAVEAPGSSSLNADTFDGGPFLLRQQPPSSVQTEPAMALPYHMEPSPPQPSSGHSHDEVSQQHHHHHHHRHHHTEGSDEGSGGAAPPG >ORGLA03G0240500.1 pep chromosome:AGI1.1:3:21804919:21807807:1 gene:ORGLA03G0240500 transcript:ORGLA03G0240500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04420) TAIR;Acc:AT1G04420] MQQMAVSAFTANPSSSHGNTLSGLCSRRPEIWHRRRIGSRIRAQAQSQMQYRKLGDSDLVISEVTLGTMTFGEQNTEKEAHDILSYSFDQGVNILDTAEMYPVPPRKETQGRTDLYIGSWMQSKPRDKIILATKVSGYSERSTFLRDNAKVVRVDAANIKESVEKSLSRLSTDYIDLLQIHWPDRYVPLFGEYCYNPTKWRPSVPFEEQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKVQGLPKILTLLKCATQITVMLGCLPTLHWLEVFSLGSILILTLTYQRRAG >ORGLA03G0240400.1 pep chromosome:AGI1.1:3:21799033:21800760:-1 gene:ORGLA03G0240400 transcript:ORGLA03G0240400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKPMKGEEINATVDEIERVDRHAREDEANLAQEEDEEADDDEYEVQHVPASWNSEDLGYIRENELHDTNIFRASRKIIAGSTAVIPSIPHTSTTDQWQGGFVRFTGSSQMVPPMHTEAGSSQFQGAFSGVPQVNMPVFSTGMNDQWGCTKCP >ORGLA03G0240300.1 pep chromosome:AGI1.1:3:21794867:21795933:-1 gene:ORGLA03G0240300 transcript:ORGLA03G0240300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSPLESCSRSAPVYARTLVLFAFRQKEKMLRNXYPTVRYCRNAETISWSKRFFFLTQEEIVPNQISSSRVRECIRRCLSIKYLIIRDEVIKYIGEHKLYKEADGSDTSLPLGHLQCSDVSFSLAIPRRQSRRAHAMSASRPTRMRFECPKMATGSWRKRGRMKLGDDAASVLWSEWHHPPSHASRLARRRHPQPSSSFSSLPSEFAGHTAPDPLNPKLAGHSVARFTPVKGEPEERGRHRGSRGRRLRRPCRAGRGGGGEALEPPVASGIGVSGASGRGGXEGRVAAASP >ORGLA03G0240200.1 pep chromosome:AGI1.1:3:21794357:21794644:-1 gene:ORGLA03G0240200 transcript:ORGLA03G0240200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEGRPRSSPPSSAKPAAPPRPPSSSPPCCRASGLHAKTAAVVSSLPPPPSSPDTPTLRPATPAPSPLATAPPGPVAVEATAPLERLSAGSARA >ORGLA03G0240100.1 pep chromosome:AGI1.1:3:21790174:21792066:-1 gene:ORGLA03G0240100 transcript:ORGLA03G0240100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAALTVGPGMDMPIMHDGDRYELVRDIGSGNFGVARLMRSRADGQLVAVKYIERGDKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQRILGVQYSIPDYVHISPECRDLIARIFVANPATRISIPEIRNHPWFLKNLPADLMDDSKMSSQYEEPEQPMQSMDEIMQILAEATIPAAGSGGINQFLNDGLDLDDDMEDLDSDPDLDVESSGEIVYAM >ORGLA03G0240000.1 pep chromosome:AGI1.1:3:21774920:21788923:1 gene:ORGLA03G0240000 transcript:ORGLA03G0240000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATATDLRVSIAHQTRFAFRLAAALSSPRAHPAAGGAAGAGGSNVAFSPLSLHVALSLVAAGAGGATRDQLVSLLGVPGRGTAEGLHAFAEQVVQLVLADSSPAGGPRVAFADGVFIDSSLSLMKSFKDVAVGKYKAETHSVDFQTKAAEVASQVNSWVDRVTSGLIKEILPPGSVDHTTRLVLGNALYFKGAWTEKFDASKTKDGEFRLLDGKSVLAPFMSTSKKQYLSSYDSLKVLKLPYQKGRDLRQFSMYILLPEAQDGLWSLAAKLNSEPEFLEKRIPTRQVTVGKFKLPKFKISFGFEASDLLKILGLQLPFSSKADLTGMVGSPERHNLFVSSLFHKSFVQVDEEGTEAAAASAAVVSFRSAPVTVDFVADHPFLFLIREDMTGVVLFIGHVVNPLL >ORGLA03G0239900.1 pep chromosome:AGI1.1:3:21768525:21773434:1 gene:ORGLA03G0239900 transcript:ORGLA03G0239900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLRVSIAHQTAFALRLAAALSSPAHPAGGAGRNVAFSPLSLHVALSLVAAGAGGATRDQLASALGGPGSAEGLHAFAEQLVQLVLADASGAGGPRVAFADGVFVDASLSLKKTFGDVAVGKYKAETHSVDFQTKWLLLPSNLANIDWEHYLLLPSLEKKLEKYGKIFLVFTIVGIIYVIMWFPFTAILEIMSLKDDEPITHWTSGKAAEVASQVNSWVEKVTSGLIKEILPPGSVDHTTRLVLGNALYFKGAWTEKFDASKTKDGEFHLLDGKSVQAPFMSTSKKQYISSYDNLKVLKLPYQQGGDKRQFSMYILLPEAQDGLWSLAEKLNSEPEFLEKHIPTRQVTVGQFKLPKFKISFGFEASDLLKSLGLHLPFSSEADLTEMVDSPEGKNLFVSSVFHKSFVEVNEEGTEAAAATAAVITLRSAPIAEDFVADHPFLFLIREDMTGVVLFVGHVAAEVLGQVNSWVDRVTSGLIKNIATPRSINHNTKLVLANALYFKGAWAEKFDVSKTEDGESVQAPFMSTRKKQYLSSYDSLKVLKLPYLQGGDKRQFSMYILLPEAQDGLWSLAEKLNSEPEFMENHIPMRPVHVGQFKLPKFKISFGFGASGLLKGWGSPCCLAQKLIL >ORGLA03G0239800.1 pep chromosome:AGI1.1:3:21766587:21767252:1 gene:ORGLA03G0239800 transcript:ORGLA03G0239800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFVLIVSAVWGRRSLAAVTEAAAVVDEAEEAAAAATVLAMVAALAEVVVTVLVEVAALVEEAGGTEARRLPDLRGAAAVLAEVAALVEAAAGAEARRLSDLRGGGGGGGARGRVAVLVDERRRSWRRQWSWRRRRRRRSWMCGGGGRRGRGSSTQGGGDACGRMAEFAEVADGAVTPFARPRWDEFICQFFADQLVPDLRGIFLSRDQPIPPTPKPKHP >ORGLA03G0239700.1 pep chromosome:AGI1.1:3:21756521:21757237:-1 gene:ORGLA03G0239700 transcript:ORGLA03G0239700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEVLEGAAPMLSSSPAASGEEVGARKPQQRCGGAEGWSKRKRSRRRHRDRAAAPPPHGSEEEHLALSLLMLARGHRDPSPAPQEQHGCSVCGRVFSSYQALGGHKTSHRPRTPPTMAAVVVVDEPAATTASPAASSSNSGSGSGGGGGNKVHECSVCKKTFPTGQALGGHKRCHYEGPIGSGGGAAVAGRGFDLNLPAVALPDIMTERCLPAAAEEEEVLSPLASFKKPRLMIPA >ORGLA03G0239600.1 pep chromosome:AGI1.1:3:21741629:21743319:1 gene:ORGLA03G0239600 transcript:ORGLA03G0239600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMXGEGRGSNGDEDDVEVDASPVCEGPTHLGLLTSSMASSTVTVVCKEGRGGGGGEAAAEEEARQHGEPPLPELRPKRVVPLIQGGREHQVLPRSTNFQNMYRGLYTYFIISLPMWYATWTTTWNIFIIYLLSCVFHAATTISASFSPSPRPAAASGKAGMRERSSVATAGSFARPPVAAGKEVDPAKRQILQRGRGEEEAQIHGARGVEGCLGIRTSSYGSHGAALFLPPWCHSVREQQSLRVISPPRVVVVHPRKLPMRHFLLMASTSISRIRS >ORGLA03G0239500.1 pep chromosome:AGI1.1:3:21713014:21717664:-1 gene:ORGLA03G0239500 transcript:ORGLA03G0239500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHMKLGSKPDLFQTEGGNIRFVATELATDIVISIGDVKFCLHKFPLLSKSSCLQRLVASSNVEGNEELDISDIPGGPSAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLTSSIFRAWKDSIIVLQSTKSLLPWSENLKVINHCIDSIASKALIDPSEVEWSYTYNRKKLPSENGHDSHWNGVRKQLIVPKDWWVEDLCDLEMDLYKRVIMMIKAKGRTSPIVIGEALRAYAYRRLLGSLEDAVSNGVDCTKRRAVLETIIFLLPTEKGSVSCGFLLKLLKAACLLEAGESCHDILIKRIGTQLDGASVSDLLIPANTSENTLYNVNLIIAIVEEFVSRQSDTGKMKFQDDDEIVEVENLTPVSSTSNLAVANLIDGYLAEIAKDTNLPLSKFIAIAEMVPPASRKNHDGLYRAIDMYLKEHPSLSKSEKKALCRLMDCKKLSQDACLHAVQNERLPLRVVVQVLFFEQIRASAASGRTDAAAELTSAVHSLLPRENGNSYGSSRSAATTTTEEDGTGVPTSSDINSFRSLRLANNSGGSERSSGSSDINNSNNKSCDDKSSSKSKGSLMPKKILSKLWSGKTNASENSSSDTSESPGSLNPEEVKSTTSRNTRRLVT >ORGLA03G0239400.1 pep chromosome:AGI1.1:3:21707245:21707709:1 gene:ORGLA03G0239400 transcript:ORGLA03G0239400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSLFLSFSLLSLLPVTACSGGGGDAQSARPTRWPRHCFSRRCFGVAAVIHSKIRRRAVWWPGGRVGAGATRPPRCRRGSGRGGAITGASRRAVRLVRRSEAGSGSTSRPTRKRKNAGVVEDSGSGVGEVWLEDGAAVEEAAWWTATASGDADDE >ORGLA03G0239300.1 pep chromosome:AGI1.1:3:21700324:21702370:-1 gene:ORGLA03G0239300 transcript:ORGLA03G0239300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDACVLRPSIEWIDGAQPQANATVFVAKFFGRAGLVASLAAVPLHHRPALFRSLLYEACGRTINPVSGAIGLMWTGNWDLCQAAADAVLRGDSLRALSAVPAAFTDRDMAGLYGNVGAAAGTSSSSPDNDNSSASAAPRRKRPRNNGAGAGVGQQQLPHAVAAVLQSCELDLCLTPVSPPAVQLVGGGGGGGGASDEHSTTTCEEASDGDGAGAPTLLNLFS >ORGLA03G0239200.1 pep chromosome:AGI1.1:3:21688614:21694782:1 gene:ORGLA03G0239200 transcript:ORGLA03G0239200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTKHPWNLPAQIPLLHCSCRPEDQVLVIPREANLPIDAETRKVVARVSQAVVSVVSFDVDGDRLYKASGFIIDFDKSSMIGTIISSATVNIHDPAFPDVEKINIYLFDGVSYDATIIACDHHWNLLVLSVLFDRVVKTMKFVEINESRTARDAYHGIAMLQPHSTRYKLCPGDTIIGLGRQSQEPFGLQANRGIYSVERWADLPKICQEMLRATFINTFTAIGGPAINKKGNVIGMLFHSMSFTPFLPSNIILKWWDYFKTTGKYCRPMISFVGYNLHVARSSRWVDVPTSLHEGLDGILVEMVSRELLSAGLQEKDLIIRCNGKRVTTNLQLFEVLVENIAKTVEVTIVKAENCNTQSIYLPVEEAIEKCFYQWLISRYC >ORGLA03G0239100.1 pep chromosome:AGI1.1:3:21683438:21683659:1 gene:ORGLA03G0239100 transcript:ORGLA03G0239100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHMMEVLCAYGMRSRIWKESKFGMIGCVKFISCTRGFSKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA03G0239000.1 pep chromosome:AGI1.1:3:21678675:21678896:1 gene:ORGLA03G0239000 transcript:ORGLA03G0239000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGMIGYVKFVSCTRGFPKVFRTLSMSLVRDFRLPTSCINRGRA >ORGLA03G0238900.1 pep chromosome:AGI1.1:3:21657609:21658424:-1 gene:ORGLA03G0238900 transcript:ORGLA03G0238900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATRSAQSIRGQDEQKLYDELKSAVWVIHVESDESSGTGTGFCIDQRGLIMTCAHCVSGKTCFVARQNDKKFQKAYILHKIESWDIAILCFVPNGSDAYPAVSLANDGTLVPGQDVYAISNQHSLMYSFCSGKVSYPCSDTVRTFDRTPRSFGKEPTDHIPSETSEYRTQKETSFTLPFNEDLPIIEMRNIHLGHGGSGGPIFLPIGKVVGMISSGDFSKSYAVHVSALRIAFEEAKKLYSKLVNRLAASEKQSRDKNEGKNESNKSNEK >ORGLA03G0238800.1 pep chromosome:AGI1.1:3:21649177:21650013:1 gene:ORGLA03G0238800 transcript:ORGLA03G0238800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSLCARILKAKYYPHGNLLDTAFPSVSSPTWKAIEHGLQLLKDGVIWRIGNGTNIKIWRHRWIPKGDKSVEWNERLVRHVMKEEDATETLRMNLSDAIDEDFPAWQPERTGMFTVKSAYRLAWNLKQPTSASSSSEADGERKIWRCLWKTNVQPKVKIFAWKLAHDRLPTWENKRKRKIEQSGIFPICAQTVEDGFHATVECTKARALREGMREIWKLPGEECFARTGPDWLLVLLDASDDAMRAHVLYLLWRS >ORGLA03G0238700.1 pep chromosome:AGI1.1:3:21642764:21643207:1 gene:ORGLA03G0238700 transcript:ORGLA03G0238700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVRILEGQLRSKGIRTRFREELRSRWSRIPGDKVGYEMAYGRWTPCGRDLRNRLHVVCVQASVFANGIEREKLEVRQQHATARMAGAAARQEADFDADFDTETDTC >ORGLA03G0238600.1 pep chromosome:AGI1.1:3:21616095:21616430:-1 gene:ORGLA03G0238600 transcript:ORGLA03G0238600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSRLSNRMGAIMLRTPYRVGSSQSEKRRITLSSSPKCQVPILMRSAERIRSSYYRRQRPPKCQIPPQCIGSSLCREGGGRGDGEGEEEEEEKGESENVSLSMGKEMQIS >ORGLA03G0238500.1 pep chromosome:AGI1.1:3:21609814:21610951:-1 gene:ORGLA03G0238500 transcript:ORGLA03G0238500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDREMERGHEASVNRGWLELRLGVNGGGGVETVPVTAADSSASSEAGEADTVTPSQQQQQQGSPSSPAASSAPNKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRTPSSSPYHLHHHRMMMAGAGLPLEAHAAFMRAALRVNPAGSAIHKQQQQHQPPPPITQDATAPRFHDGAAVXAAAAVTPWAPVAPLAYDEVLSSSASSWPGSFRFRTQPEPPPSSEQEPPSEQSKKIDLSLRL >ORGLA03G0238400.1 pep chromosome:AGI1.1:3:21604392:21606206:1 gene:ORGLA03G0238400 transcript:ORGLA03G0238400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKENAAAAQPRLTRAAAKRAAAVTAVAVAAKRKRVALSELPTLSNNNAVVLKPQPAPRGGKRAASHAAEPKKPAPAPAPAVVVVVDDVEEGEGEGDPQLCAPYASDINSYLRSMEVQPKRRPAADYIETVQVDVTANMRAILVDWLVEVAEEYKLVSDTLYLTVSYIDRFLSAKAINRQKLQLLGVSAMLIASKYEEISPPNVEDFCYITDNTYMKQEVVKMERDILNVLKFEMGNPTTKTFLRMFIRSSQEDDKYPSLPLEFMCSYLAELSLLEYGCVRLLPSVVAASVVFVARLTLDPDTNPWSKKLQEVTGYRASELKDCITCIHDLQLNRKGSSLMAIRDKYKQHRFKGVSTLLPPVEIPASYFEDLNE >ORGLA03G0238300.1 pep chromosome:AGI1.1:3:21590623:21592349:-1 gene:ORGLA03G0238300 transcript:ORGLA03G0238300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCKYLVEELGFDVNAETSCDSGMTPLFCAVLDGQEIIVKYFLDKGADPNKKDAAGFAPLHEAAKKGHVGIARLLLSKGASVDVSSSEGTPLHVAASNGK >ORGLA03G0238200.1 pep chromosome:AGI1.1:3:21476586:21491543:1 gene:ORGLA03G0238200 transcript:ORGLA03G0238200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASCLRPPLPHSSASAARGLRVPLPPPPPPQQQQLFQAALRLPRRRFAGVGVVAASASPFDELYARGRPAHGSSKKSILWNLIQDIEPLDLSVIQKDVPPETVDEMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEDFEGQNRDISLDNHHNINLGSPVTIFRLSEEDLLQDSEKNDEELPCETVGEDLGNLTPQAEDYIIQLQSRLDAMKKELHDLRRKNSTLQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTSPGVQEAIHSVVHGLLATLSPKIHSKASPPLGNASGGALNLGGEDDDCAELVENASLPFQPLISVPRDCLARLLFWCMLLGHYIRGLEYRLELAQLLRISTDVESSPSGDDLVV >ORGLA03G0238100.1 pep chromosome:AGI1.1:3:21469674:21471393:1 gene:ORGLA03G0238100 transcript:ORGLA03G0238100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTSPGVQEAIHSVVHGLLATLSPKIHSKAPPPLGNASGGVLNLGGEDDDCAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELAQLLRISTDVESFPSGDDLII >ORGLA03G0238000.1 pep chromosome:AGI1.1:3:21458679:21463311:-1 gene:ORGLA03G0238000 transcript:ORGLA03G0238000.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAADADADAAGERAAGAGLGSRSAAEERSLLHPSLFSAYSDEDLVERAFEPAQKIVVSISSDPDDPDADDEHLYYGGHAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGATAGDTLLWLLFWATAMGLLVQLLAARLGVATGKHLAELCRDEYPDWARRGLWLMAEVSMVSADIQEVIGSAIAIKILSNGFLPIWVGVVITALDCFFFLSLENYGVRKLEAVFAVLIATMAWSFAWMFIKTKPNGKDIIIGILVPRLSSRVISQAVGLVGCVITPHNVFLHSALVQSRKIDPHKEYQVREALRYYTIESTMALVVPFMINLFVTTVFAKGFYGTKEAGNIGLENAGHFLQEKFGEDFFPILYIWGIGLLAAGTSSTITGTYAGQFIMSGFLNWRLKKWIRALITRSFAIVPTITVAVYFNTSDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGPRTQIATWAVASVPIIINGCLLLDFFSSEVKGLLSGLVLFAAVIAYVSFLLYLIFRVHXKDRMEQRTGSRQKELNAETV >ORGLA03G0237900.1 pep chromosome:AGI1.1:3:21453364:21453718:-1 gene:ORGLA03G0237900 transcript:ORGLA03G0237900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRAALLMLLLLVVVAAASWPQPCDAASGFCASKCAVRCGRGRGRGSGCLRSCGLCCEECNCVPTGSGSTRDECPCYRDMLTAGPRKRPKCP >ORGLA03G0237800.1 pep chromosome:AGI1.1:3:21452087:21452539:-1 gene:ORGLA03G0237800 transcript:ORGLA03G0237800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRQRPRPPIYQIRAKGKVVGGDAVASVMTAADQAEAMVSVASTSDGGLPSARSNERGAVVAPTLSPPPDSARGKAAGSPPPDLVRGGGGSGSALSFARSSERGGNRLPTTAILLLSVPRLFSLSLASHCCSSPLHRGGAQPPIRRWLT >ORGLA03G0237700.1 pep chromosome:AGI1.1:3:21439612:21440031:1 gene:ORGLA03G0237700 transcript:ORGLA03G0237700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPDGDGAPDDLLDVGADHGDLGHEPERAARPVRVPAAAELGEVPPRGHPEARGEQLHEQAHGRGPEEQPEQRVAGGRAGLEVALEVARVQERDAHQEPRPREQPQPAPREGRHGHAAAAGEGAVVVGVGVTGGRDD >ORGLA03G0237600.1 pep chromosome:AGI1.1:3:21422810:21423031:-1 gene:ORGLA03G0237600 transcript:ORGLA03G0237600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWYTIELLCAYGMRSRIWKESKFGTVGYIKFLSCTRGFPKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA03G0237500.1 pep chromosome:AGI1.1:3:21401467:21401935:1 gene:ORGLA03G0237500 transcript:ORGLA03G0237500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCQPDLPAVKAAGATSDNCNEYDDCYNDYGCREHGGGNDDDYSDGGVDVGIVQGVPQNRQGGGGPDFCDL >ORGLA03G0237400.1 pep chromosome:AGI1.1:3:21388073:21389945:-1 gene:ORGLA03G0237400 transcript:ORGLA03G0237400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSGGGGGGGEMLAPLMEGPDPESGDGEGGGGGGGGGGGGGGGGRGARGRRGEGWGQWVRGQLSRTPSSVAAAAAGAGAARNDLRLLLGVMGAPLAPVHVSAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQKLLASVRNAYTMGKVRMVATEFETAGRLVKNRNAARCAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTTASMFAGARCIGERKVNGEDCFILKLCTEPETLKARSEGLAEIIRHVMFGYFSQRTGLLVHIEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVEGIMIAHSGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSISETVELSHGEKSKVGPPPGHRAKVAALEKAVDGKVAWSGTILEDHN >ORGLA03G0237300.1 pep chromosome:AGI1.1:3:21380461:21380964:1 gene:ORGLA03G0237300 transcript:ORGLA03G0237300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLALVILLAVAAGSWQPAATSTPAMVSPAPAVSPVAAPAGELGGGGAGARRDQDREFVRGCCARTLYPRLCTAALSPYAAAVGYSHARLAVPSANLTAGTINSLGGRIPSPSTTGTTESPDGALGDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA03G0237200.1 pep chromosome:AGI1.1:3:21376996:21377253:-1 gene:ORGLA03G0237200 transcript:ORGLA03G0237200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELHRSLSLSLLSLSSPASSTSRRRQPGAADLAPKLADLALPRSDWDGDDGGAGGGGRGADPSTPQLLVKLIGRIGWWRCGWI >ORGLA03G0237100.1 pep chromosome:AGI1.1:3:21367346:21369801:-1 gene:ORGLA03G0237100 transcript:ORGLA03G0237100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTANSNKNKFQFGNACSKLRNFTLEMHAVFDGIPQCRVEGGGCRRGCRRGGPRRGGAPAHLRAPRRPVSVGCLSHVTPPASGGGGCYGYCVTPPTSGGCSRPPRAPPSSVDSPYVRAKQAQDILGQKLHKKRWDWHRFGVKLFLLISILLSFCFLELCNLNVFPVIEKDPNKAVPLFWAAINSGDRIESALKDMATKCDRTKEQIEMLTLKLIFVDEELASGRWKTKLSKSHGRVVYLSLRDEKAWLLGNLAWAHMQSENYDETEMLYRYTFES >ORGLA03G0237000.1 pep chromosome:AGI1.1:3:21358687:21360984:1 gene:ORGLA03G0237000 transcript:ORGLA03G0237000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLLVAVAVAMAAVVVAAEERATYIVHMAKSAMPAEYEDDHGEWYGASLRSVSGAGKMLYAYDTVLHGFSARLTAREARDMAAMDGVLAVNPEARYELHTTRTPEFLGIAGNDGLFPQSGTAGDVVVGVLDTGVWPESRSYDDAGLGEVPSWWKGECMAGTGFNSSACNRKLVGARFFNRGYEAAMGPMDTTRESRSPRDDDGHGTHTSSTAAGAAVSGASLLGFASGTARGMAPRARVAVYKVCWLGGCFSSDILAGMDAAVADGCGVLSLSLGGGAADYARDSVAIGAFAAMEQNVLVSCSAGNAGPGTSTLSNVAPWITTVGAGTLDRDFPAYVSLGNGKNYTGVSLYAGKALPSTPLPIVYAANASNSTAGNLCMPGTLTPEKVAGKIVVCDRGVSARVQKGFVVRDAGGAGMVLSNTATNGEELVADAHLLPAAGVGAKEGAAIKAYVASDPSPTATIVVAGTQVDVRPSPVVAAFSSRGPNMLTPEILKPDIIAPGVNILAAWTGKAGPTGIAADTRRVAFNIISGTSMSCPHVSGLAALLRSAHPEWSPAAVRSALMTTAYSTYAGAGDANPLLDAATGAPATPFDYGAGHVDPASAVDPGLVYDLSTADYVDFLCALNYTSTMIAAVARSKSYGCTEGKAYSVYNLNYPSFAVAYSTASSQAAESSGAAATTVTHRRTLTNVGAAGTYKVSAAAMPRVAVAVEPTELAFTSAGEKKSYTVSFTAKSQPSGTAGFGRLVWSDGKHSVASPIAFTWT >ORGLA03G0236900.1 pep chromosome:AGI1.1:3:21343834:21344073:1 gene:ORGLA03G0236900 transcript:ORGLA03G0236900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAASGEGGSGGRTLPSSRSSGREGGDPATVPAGGGGGGVDSGGGDWRGRGGGRRQPPDPAMAVALLVEEAGTGEVAT >ORGLA03G0236800.1 pep chromosome:AGI1.1:3:21338811:21339089:1 gene:ORGLA03G0236800 transcript:ORGLA03G0236800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGNLNTALTPKNDRWRIKVEVIRLCEAVNPTMADDFYGQLNTCLYLKAIGEPLQAKN >ORGLA03G0236700.1 pep chromosome:AGI1.1:3:21326541:21331169:-1 gene:ORGLA03G0236700 transcript:ORGLA03G0236700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPPPPHPTPPEQVGDGVEARSCASPTPSLRRKGSPNRSGGSARKSSSSREFGSSILNSVNKSASQFKKSINRKSGSPIDWFPRKKTEPYLKRKIKRLQESNGMTASLDETLGSANPHYTRMAREKIAAREAARKAMEARKAAMVEASWCRILHAARIQKKDAEEVMEKAKFRATEAFEQARVIGVMMYDRPDCSSQQYEVESLSQTGGQSTHKVTASFQTGFEVDMEVAAAVKKAFIQLANSSISSNKEEFKELLWKISQNPDVTEIDANSEDEQHQGDCNSEDKRNLKFNKETLGTGIFPSDFDNTNVQQSNDLVNIMLERLKALHEDELASLAVIVATSGLNAVLQSDRGKYQETESVNSFTSQRAHSRRYSTAASFVDVLQPKKEVTSELPSLDKFLVKHLSKLEKEVHEAREAGRKASSVNSCAQGAQRQITGRNPKATDSASDLSSILVKHVSKLEKEILEAKKNNNTRIQLLEESCKKVEAHVEKDASKESEFYNAQSESFCNSGSVGSCNSRESYEKSKHGRDCSQDKENKILFSHQLPPSGAKGKQGGKRLTRIEAAKLEALNSFCTKDGNAFDVGLDKILIRPIHRLEREKKKALEHGQTNVQKDPQKNGDRTIVTGSLDEILVKHVSRLEREKIDYERRNALGEGLTNVPHDQRKHGNNATASESLDQVLVKHVSRLEREKIDYEKRNALEEVLTNVAHDQRKHDNNATASESLDQVLVKHVSRLEREKVEYAKRNTLGERTSEQNHQERHSNTNIASDSLDQILVKHVSRLEKEKIEHGKSGDMIFLKKNDSKCTNEEADLSDILVKRSMKLEQAKLASSAAEETLTGSFNPVQERRRAREKELMDAWGGVGLGNSMKPHLSKIEKDKVAWRKAEEEQKQMCAANEL >ORGLA03G0236600.1 pep chromosome:AGI1.1:3:21322212:21324526:1 gene:ORGLA03G0236600 transcript:ORGLA03G0236600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYICTVGDPKDLRKKLISLVNLIRTCANEFVWKSIICTGGILPLAEIPQNFMVEKESRQIMQQDDHGTWKSAHVHVHGTVSEYHQLADLSQGQEHNKNKVKLKMMVQMEKLVRQCDMEVMKMAMLKHEETFKQQVYELHRLYRVQKQLMSDLNRSPPELTCWRRQRRKQHARRRALNLQLPADEYIVVADAGGQATPLPPPPPSSREDELALTLAVGGGGAAGRRNNKRRESSPFTSNCSGGSLTTATSTSTSSSTDSDGSLRQPPPCPRAMAFDVLHDGSTAAAAAAAPWLQQRLSLRMA >ORGLA03G0236500.1 pep chromosome:AGI1.1:3:21301109:21302557:-1 gene:ORGLA03G0236500 transcript:ORGLA03G0236500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PDB9] MVKICCIGAGYVGGPTMAVIALKCPDVEVVVVDISAPRIEGWNSERLPIYEPGLDDVVRQCRGRNLFFSTDVERHVADAGIVFVSVNTPTKTRGLGAGKAADLTYWESAARIIADVSRSDKIVVEKSTVPVKTAEAIEKILAHNSKGGNIRYQILSNPEFLAEGTAIQDLFSPDRVLIGGRETPEGRAAVAALKSIYARWVPDDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVAEVANSIGKDSRIGPRFLSASVGFGGSCFQKDILNLVYICECYGLPEVANYWRQVIRINDYQKSRFVNRVVSSMFNTVAGKKVAVLGFAFKKDTGDTRETPAIDVCKGLVGDKAVVSIYDPQVTEEQVQRDLVMNKFDWDHPRHLQPMSPSSAKHVAVSWDAYEAARGAHAVCILTEWDEFRRLDYQRMYDAMHKPAFLFDGRNVVDPDKLRRIGFVVYSIGKPLDHWLRDMPAVA >ORGLA03G0236400.1 pep chromosome:AGI1.1:3:21294608:21300328:1 gene:ORGLA03G0236400 transcript:ORGLA03G0236400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAEKQRWCKLCNKSFPSYCSLGGHMNLHSTRRRKKKPPPSPRKAPPITGASGSRYDFRERRRQRPVTPQSQPDHASSDDEPWTLAPKTECQLCFKAFHSCDALSMHMKAHGHHGRKMVMVEQRASRKTSSANFCGVDDGDHGFAAVSYAHCKRARSKRIRMDVFPAPVVVVTHGTEVEDAACILVMLSKDAYKGSDSLDEDPQMDGSLECGPQKTEMEPSSYRLGVTGDTELIKPENSSSYEEIKFGSLSNVLKATAIHECRLCGKVLASGSALGGHMKSHSVPAHKKVATFPKTSVTPSRKQLLEMQNELHELNLPALSNRDCSSTRTESELNPWWVASSIQILLLQYLNVMRSIKMKHDKLWDLTNFPVKLPKDHRETPPSSMPHGSDGARWRPVPHEAPPLPLPRLRREDGQEKRRRWPFRSIARGVKLIVPAPAPALALARGNVRDQENLVAARXHGGVEHEVTQLLTSTRPLQWSVMLIEGMWQSGKVDLRLVXSTLPETAPPPPRGGGAGEELRGVEEVVEVEEDTGEGEREEVLAEAVVDGRAPRRADAAACGEAARWDRGSRRRTSAIMSSVGMQETKDIHSRDEITFAEDPISWKPKLVIAAVARRRHVETREGGLRVGATGRGGAGEGLKLPGSGCSAMKILFAEWSRRHHRWRGLRDRRQWQSHTRGRLCGCCAVSRLRARLSGMRRGRRELQASRQWQHRRGEAGHETG >ORGLA03G0236300.1 pep chromosome:AGI1.1:3:21288350:21289730:1 gene:ORGLA03G0236300 transcript:ORGLA03G0236300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin-2 [Source:Projected from Arabidopsis thaliana (AT2G29900) TAIR;Acc:AT2G29900] MADAAAATVPGEASSSSSAAATTTVLDSLGEDITRIVTPVSTCMLLVVLLVSLLSSPLLPFPLHRRLLRRRRPRRRRRRHHHRPHHRRDLRRSRHGRHLPPRVPLLPPLHALPPRLPRLLLALRPPPPRRPRRPPPPLPPPPSARRRLLRAAPPQRRRRAGARRALPGLRPHRAPPGRARRHRRPHRLLVLLLELAIERNEEIPALVYEARPVDPRHGHNWRLWRERTQSGAELDANSTVEVLGEVLGTNLVPNLSSDSASAQVEVLPASPEISVSVPEMRVPLIQPRPERTRDEEDDEDGIGLSSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGITLLLLAFYRKALPALPVSIALGVVFYVLTRTLLETFVMQCSTNLVMF >ORGLA03G0236200.1 pep chromosome:AGI1.1:3:21275815:21279076:1 gene:ORGLA03G0236200 transcript:ORGLA03G0236200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFWLISIIVLVLLSGESNANPAASQQSQLDVNHRKPLQTFRPYNIAHRGSNGEIPEETTAAYLRAIEEGADFIESDILATKDGHLICFHDVILDATTDIANRTEFANRKRTYEVERQNVTGWFVVDFTLEELKSLRVKQRYNFRDQQYNGKYQIITFDEYILIALYADRVVGIYPELKNPIFINEHVKWSDGKKFEDKFVQTLLKYGYKGEYMSEDWLKQPLFIQSFAPSSLIYMSNMTNSPKIFLIDDTTVRTQDTNQSYYEITSDAYLAFIRKYIVGIGPWKDTIVPPINNYLGPPTDLVARAHALNLQVHPYTFRNENMFLHFDFHQDPYLEYEYWLGEIGVDGLFTDFTGTLHRFQECTTPYPKNEKNAEALLQKIYYMLKDSGY >ORGLA03G0236100.1 pep chromosome:AGI1.1:3:21262164:21263381:-1 gene:ORGLA03G0236100 transcript:ORGLA03G0236100.1 gene_biotype:protein_coding transcript_biotype:protein_coding DWGAAEREGEHEQQRRRGWWPGRQGRRRWWRRSRRRRRRRRRRREGEEQRRQQLVEGGDFGRAVARAAVARMLEAAGFACAHRSAVDALVDVLLRYICQLGRAATFHANLAGRAAANECDVIQFLEECGAAYYGFAGAASVSARCLANSAVVKDMAVFVGASKESPFAGRPLPRFPVQRVPLHSTTSFAALGRESGMSHVPEWLPAFPEPHTYVRSELWSEEVAKAGADEVERARQRRKAEKSLLSLQRRLALAGADGFRPGMLVDDAVKANGLDVVESKANPFHERALPYGEKVVSEVTMPGVGKTFSVVEAFAPAFEESKGGEFDEGMDQGQNDSQTQKRVVPKERPPVYFRIGIDKKSMVMALNSRALVELKDPWFFKEDKEQRAELILREAMDNPHELTQL >ORGLA03G0236000.1 pep chromosome:AGI1.1:3:21233157:21233816:-1 gene:ORGLA03G0236000 transcript:ORGLA03G0236000.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAELMFHRAMGFAPHGGYWRRLRRLASAHALAPGRLAARRRAIGEETVRRVAAAMAHDGAVGVRRLLHLASLDNVMASVFGVGLGELGAGAVSELEEMVGQGYELLGTFNWGDHLPLLRLLDVHGVRRKSRALASRVKVFVSKIIEEHKTRRDAKYGGCDGDGDFVDVLLGLEGEERLEEEDMVAVLWYSNKIQLVRF >ORGLA03G0235900.1 pep chromosome:AGI1.1:3:21228258:21228839:-1 gene:ORGLA03G0235900 transcript:ORGLA03G0235900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHPDVQSKAQAEIDAAAASGDAAALPYLHCVVKECLRMHPPGPLLSWARLATRDAHLDLGDDAGGRAALVPAGTTAVVNMWAIARDGGLWRDPGVFRPERFLGDGEAAGVGVAGGAGGCDLRLAPFGAGRRACPGRALAMATVHLWLAQLLRSFRWVPSGDRGVDMSERLGMSLEMEKPLICLALPRTSST >ORGLA03G0235800.1 pep chromosome:AGI1.1:3:21215747:21216067:1 gene:ORGLA03G0235800 transcript:ORGLA03G0235800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFDQNLPKAMRGVGIQARDAKVSRGEAPHGEDYGTRTARGEGSCGYFAKPEASWAKDAGRRVYAEGRRLRQSKLAPTRAEEAFSGPSSLGAIGPTIAXRPIRSP >ORGLA03G0235700.1 pep chromosome:AGI1.1:3:21208877:21213018:1 gene:ORGLA03G0235700 transcript:ORGLA03G0235700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fructokinase-like 2 [Source:Projected from Arabidopsis thaliana (AT1G69200) TAIR;Acc:AT1G69200] MHRMASLLLPPQFLCSLPCSTNSIRSHLHYKPHFLGNIMTKPKAKMRLLNRNVSSMAKKSSQDVAEGSSDDESDGETSKTKKRAPRRGRKKATIQASEGETQEGQVSTEEDESPEGTKKIKRRGRKKAATTASSSEEKDKAKEPKKRGRRKVKTVEELSDNEGEDLGEDLVPSNDRQEKISANDLESKIAALLLEDTDDNDINNLIPLVCCFGPAKYSFIPSGRPANRLIDHEIHEGMKDMFWSPDQFVRAPGGSSSNVALALAASGGRVEFMGKLGDDDYGQSTLYHLNVNGVQTRAIKMDPSAFTAMSLMKVTGRGSLKMSCAKPCAEDCFVQTDINPAVLKEAKMFYYNSSALLEPTTRSSLSKAIEVSKKFGGVTFFDLNLPLPLWSSSKETKSLVKDAWEAADIIEITKQELEFLCGIKPSEKFGTKDNDKSKFTHYSPEVVTKLWHENLKVLFVTNGTSKIHYYTKEHDGWVRGTEDAPITPFTGDMSQSGDAIVAALMKMLAINPHLVTDKDYLHTAMKHAITCGVIDQWLLAREQGFLPRERADPTSEQFGVRFVTEKEYRTLPDSIHTEDSSESELLYVE >ORGLA03G0235600.1 pep chromosome:AGI1.1:3:21206366:21206626:-1 gene:ORGLA03G0235600 transcript:ORGLA03G0235600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVMSLPSLNTETDIGASCHPLSKCRDVFELLENLGRQPLIKRQILRRGCPLVVRCLIGKEKFHSLMGILNINLIVQVIERSFKK >ORGLA03G0235500.1 pep chromosome:AGI1.1:3:21199850:21203406:1 gene:ORGLA03G0235500 transcript:ORGLA03G0235500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAIGVVVAAVVVVSSLLLRWNEVRYSRKRGLPPGTMGWPLFGETTEFLKQGPSFMKARRLRFCRYGSVFRTHILGCPTVVCMEAELNRRALASEGRGFVPGYPQSMLDILGRNNIAAVQGPLHRAMRGAMLSLVRPAMIRSSLLPKIDAFMRSHLAAWSSSSSSAVVDIQAKTKEMALLSALRQIAGVSAGPLSEALKAELYTLVLGTISLPINLPGTNYYQGFKARKKFVAMLEQMIAERRSSGQVHNDMLDALLTGVEGTREKLTDEQIIDLIITLIYSGYETMSTTSMMAVKYLSDHPKALEQLRKEHFDIRKGKAPEDAINWNDFKSMTFTRAVIFETLRLATVVNGLLRKTTQDVEMNGYVIPKGWRIYVYTREINYDPFLYPDPMTFNPWRWLEKNMESHPHFMLFGGGSRMCPGKEVGTVEIATFLHYFVTQYRWEEEGNNTILKFPRVEAPNGLHIRVQDY >ORGLA03G0235400.1 pep chromosome:AGI1.1:3:21193548:21193796:1 gene:ORGLA03G0235400 transcript:ORGLA03G0235400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVIDTNALMQFLFSVSLFYIPDLMIDWIDPLTNKSTFKIKDTRTSFVEEKKNELEKAKSKLNVISSNKICDVPMVEYANQL >ORGLA03G0235300.1 pep chromosome:AGI1.1:3:21173501:21173785:-1 gene:ORGLA03G0235300 transcript:ORGLA03G0235300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDEDNGRGAADAGEDDEMDAGDNGGRDMGDEARMVATARRMRVRTTQAVGLDENHSGGSSGRRGRGPPPRRSRWARAAAKADVGDGDSGHGG >ORGLA03G0235200.1 pep chromosome:AGI1.1:3:21164165:21164446:-1 gene:ORGLA03G0235200 transcript:ORGLA03G0235200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVASSSSSTLPSSPLSPGGGGGGCSVTYSSTILPSGPSLPVEEDRSGRPDPMATTERTVGPPRSGSDSGEDHGGHQQRWQPFSYASASPPP >ORGLA03G0235100.1 pep chromosome:AGI1.1:3:21140269:21141057:1 gene:ORGLA03G0235100 transcript:ORGLA03G0235100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYLADDTILHALRGTSIAVIVDAPDVRSLANASSSAAQAWVQANVQPYYPDVSIKYIAVGNEVEGDDRHKILLAMQNIKDALSAAGLGGHIKVSTSVKMNVVASSPLPSKGAFAEPSVMGPIVNIYSAMEKEGGPDVPVVISETGWPSADGRGASKDNAMVYNQNLISHVGKGTPKRPVALEAYMFAMFDENQKTGDPIEKHFGL >ORGLA03G0235000.1 pep chromosome:AGI1.1:3:21133202:21133819:1 gene:ORGLA03G0235000 transcript:ORGLA03G0235000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYCAIALFFSVFALLNFVLHRHGVLLVLASSSFRVSSSGHVLYHSRRCHRVSVVVGTYENGIGSLPRFYFCSFQKFLSVSFGVLELHLEFANSFVCLFWPCLCRAREEESELPYLILEVVKFVLERYSRLDWLKISFLFIPYTKFGCHPLHLLAESHSPLWPSPTPSLSFTVPSCVKHLGEFLFGVGFEICLEKRNRHKFSIPFLY >ORGLA03G0234900.1 pep chromosome:AGI1.1:3:21119127:21124477:1 gene:ORGLA03G0234900 transcript:ORGLA03G0234900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWVRPEVYPLMAAMMLASGMVVFQLGRNVCTNPEVKISKRNRRNAVPDSAAEAERYSMHGFRRFFGRRRPEVMPSINRFFSNSDRPNHDENNDD >ORGLA03G0234800.1 pep chromosome:AGI1.1:3:21116927:21117553:-1 gene:ORGLA03G0234800 transcript:ORGLA03G0234800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMFMLNLNGEMEWETYVDIVLGLQFKSLEVFAWKKERRESKKEFLDLNESPHIESPMYCHELKNDVFVVNRKEELIEKDVEVGDEIHKDVFVVDWKEELIEGDVQVGDEKQVEEDVDIGEERHRNVEDGEVEQEMSDDGMDVNQSDNENIVELDERRLILFIRDLLDEEIYTREECEENMIFNGLNSNLLYADLDNEVDESASDSF >ORGLA03G0234700.1 pep chromosome:AGI1.1:3:21103168:21105057:1 gene:ORGLA03G0234700 transcript:ORGLA03G0234700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTFNHWKTGLMCKLEFEGHLPNLCDSMGMGLNPSMDNFKINKLLGKGRYGEVYECTYSNGQYAVKTIDVTNYFDHTEPREVSIMSCLQDANIVNFYQAWCENKKEENKFHGFGVHEPKYIYIHMEACARTLYDFLCGNNEGTIQDRWSLFERIVKGVRCIHATGIIHRDLKPWNIFLGPCGAVRIGDLGHGCWSKSYCDGRRGSPDCGTVLYSAPELRNGLLVTDKVDVYSLGVIYLEIFMPAAVSVNNRVDALIDLMERRYKPEWTAWSIDIEFLKDLTALNPCDRPSVGTILEYIAEHASDC >ORGLA03G0234600.1 pep chromosome:AGI1.1:3:21096643:21097454:-1 gene:ORGLA03G0234600 transcript:ORGLA03G0234600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPVQKNTLYVGGLAEEVDEKILHAAFVPFGEIKDVKTPLDQATQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPERIKGGEQGWAAQPIYHDLSLS >ORGLA03G0234500.1 pep chromosome:AGI1.1:3:21084547:21087072:-1 gene:ORGLA03G0234500 transcript:ORGLA03G0234500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRFSMAELRLLTFKVEHLLEELRWEAHHNKALVDGHRNRMMRNMYIPLVLPRSMKRKLKMITGQLNALGAEINGFINHMPLVMQNNIVGRVHEKQEIKQKLFCLDRYKHEGLKVLCVVGIEGVGKTALVQLIFDEVNVKEYFSLCIWVNVSRQFDAMRITKRIIEVATCEPLETQMDHKEEKELQSYLQNILHERRFLLVLDDVCDENTNGWEELRTSLASGASGSTVIVTTRELCVARTLEAPASGIIELGPMSDDEIWSIMRQRMLCGLDDKPELIQVGQSLVQKCHGIPLAAVTLGDLLRKKGTSNEWSSVIEAANEWLALAESDMLTTTAGVASVALQMSYEHLQPDTKRCFAFCALFPEAFEVDGDMLIQLWMANDMVWYDTEGMGAWMLDRLQSRSFLQDVSQPYNGVTIYKMHPLVHGIATSAAGKEIRILHQGHQLTEVMPELHHLSVVGSGLDVDMILPNARGIHTLLSQGEGCRISVSNPDFWKSNSLRALDLHGLLSASVPFSCQDMKHLRYLDLSRSWITSLPEDFFMIYNLQTLRLSDCFYLKQLPENMRFMENLRHIYIDGCFRLENMPSNMGQLQNLQTLTTYIVGNGDGYGIEEIKSMDLGGRLEIYNLKNVRDKSKAEAANLSLKTRMSNMLLCWGMFRDDEVNAYNAEEVMEALRTPMCVQTLKVWRYPGSILPIWWPGQTLANLVKLTIKDCARCKRLPPVQYFPSLEVLHLEGMDSLTLFCDNVSMDNIEVSYYRFFWRLKSLILCDMPSLEKWQEDEVIEVFTIPVLEEMKLINCPKLVTIPNVPMLRCFIVEGQNKQQLYSLAPSSSKSKGPSCRLD >ORGLA03G0234400.1 pep chromosome:AGI1.1:3:21080146:21082101:-1 gene:ORGLA03G0234400 transcript:ORGLA03G0234400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G38900) TAIR;Acc:AT5G38900] MASNAGKKLIQIDVSSDTVCPWCFVGKKNLEKAMEQNKDKFDFEVRWHPFFLNPNAPKEGIKKSDYYRMKFGPIQFEHATARMTEIFRGLGMEYDMSGLTGNTMDSHRLITLAGHQGYDKQSALVEELFQSYFCHGKFIGDRQVLLDAARKVGIEGAEELLQDSNKGVDEVKEELNKYSSGISGVPHFVINGKFQLSGGQPPNAFTRAFDVAAKDGAQ >ORGLA03G0234300.1 pep chromosome:AGI1.1:3:21078120:21079459:-1 gene:ORGLA03G0234300 transcript:ORGLA03G0234300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:I1PD97] MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >ORGLA03G0234200.1 pep chromosome:AGI1.1:3:21063717:21069985:-1 gene:ORGLA03G0234200 transcript:ORGLA03G0234200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Nop14-like protein (InterPr /.../07276); Has 69842 Blast hits to 35213 proteins in 1572 species: Archae - 363; Bacteria - 20593; Metazoa - 20851; Fungi - 8010; Plants - 2912; Viruses - 517; Other Eukaryotes - 16596 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G69070) TAIR;Acc:AT1G69070] GAAAAAAASGSNNPFEAIWSRRKFDVLGKKRKGEERRIGRARSEAIHKRENTLLKEFEQSAKSSVFQDRRIGERDETLPEFDKAILRQQREHMAKLKRESKYNLSDDEEDEVDVHLPHSLSGKDDFDEEVPLDDYSDEEGHMILSKNRIPLQSGDVPSETGLPEGTNVHKSKKEVMSEIILKSKFYKAQKAKEREEDEHLVDKLDSDFAMLAQTQAMLSLTRSARMDANKYNSSTVQKDSFGLTAKEIFNKEKPDAYDKLVKEMVMDQRARPSDRTKTPEEIAQEEKERLEKLEKERQKRMLGTAESSDEDDDDDEEGDRSTKLDSSKPISGDDLGDSFSTDEPARKEKGWVDEIYEREGKKIGEDAEGSDNEESDDDGDDDDDDAEDGDDDAEDEDEEEDLSDNDFGNMSARDWEQSDDDEVTMEEDEMEGLKQKEQKISGKVVKKDLQNSKKESNAEPQVKDDNLPFVIEAPSNLKDLCSLLDGWSETEIIEIISRIRTCNSIRLAAENRKKMQVFYGVLLQYFAVLATQSPVRFKLIDTLVKPLIEMSGDTPYFAAICARQRLIHTRTRLCEDIKVSGKSCWPSLKTLLLLRLWSLIFPCSDFRHVVATPMLLLMCEYLMRCPIQSGRDVAVGSFLCSMVLVATKESKKFCPEAVVFLQSLLVTSLGGNLGSDLRKKINDQFLELKTMKPWLHIHEKVHEVNPANILEVICMDSDAPYFSSDNFKASVLLSVAECLRGFVIIHEELCSFPEIFLPISSLMQEIMDKSDLPGLLQYIFHEVIELIKNRSDEVHASRQPLQMRKQKPEPIRQLNPKFEENYIKGLDYDPDRERAQMKKMKKRLKSEKKGAMRELRKDNYFLSAVKEKERIKQEQERAEKYGKAMAFLQEQEHAFKSGQLGKGRKRRR >ORGLA03G0234100.1 pep chromosome:AGI1.1:3:21059511:21061890:1 gene:ORGLA03G0234100 transcript:ORGLA03G0234100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDEGYGPTWDSDDEYDNFIRKMNPPRIEIDNDSCNDATIVRVDSANEYGILLEVIQVLIDLNLVISKAYITSDGGWVMDVFNITDKEGQKLKDKATIARIEDYICKSLGADSRYIPSRRRSVDVAASSDHNVIELTGTDRPGLLSEVSAVLASLKCNVVSAEIWTHNTRAAAVMRVTDEGTGSAVTDADRLERIRDRLSYLLRGGNLSRGAAMAVSTGTCSTHTERRLHQMMLDDGDHEQLHRHPPNQSQRPNVTVSNWNDKDYSVVTIRCKDRPKLLFDTVCTLTDLHYVVFHANIDAKDNQAYQEFYVRHVNGSPMHTETDRLRVIQCLEAAIERRVSEGVKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVSTRGRMAVNTFYVRDSTGGTVDQKTIDSIRQAIGQNIQVKGQPEPSEPQKKESPTWFLFANLFRPRSLYSFGMFMR >ORGLA03G0234000.1 pep chromosome:AGI1.1:3:21048462:21049583:-1 gene:ORGLA03G0234000 transcript:ORGLA03G0234000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGWSGGVRAEGRRTEREGKGSPVRRPWKVFPKIAEQINKMAATNRNKIATKSTPPLHGPEDAAGGWGGGRNGAAPSSVRRVGQRQRRRGDVDAASSLRRRRRLEVDEQGKCETARSRASKQRYHSSNQRYCSSKDRYRASPI >ORGLA03G0233900.1 pep chromosome:AGI1.1:3:21046031:21047220:1 gene:ORGLA03G0233900 transcript:ORGLA03G0233900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGHQRQRCRRRCQPGKQTEARREEGGGVTASYWLKLGQIDLHKYTIEIIIRKRDTEVRNGKVRYRHGIALPMRESVTVSRAEWARRFELAVSLVGYAISTWYRVFIE >ORGLA03G0233800.1 pep chromosome:AGI1.1:3:21040778:21044379:1 gene:ORGLA03G0233800 transcript:ORGLA03G0233800.1 gene_biotype:protein_coding transcript_biotype:protein_coding FMVFCSLRLFCLFLVQTTQEPGLPRIVVRSNMGSDDNKRFDDFHVITNGPGSDAYSNEESINLDSYIAPSTSFCSKIYNPQLYVEKGNGTTDWCQISGGDYPEKSSINSDITLSYIDKILMQEDIDDRGNEDTALQAMEEPFYELLGEKYPAFPQQQPLCVCDHLQNFSANTDKSNGHACNTWSVTRMTNISSSMNSNGNFQGFQFPWSLSSITRETEQFTHHSNRMVVGLKVDGLSISEKPSQDNCSLQIDAHYMRKHPLFEVHGRKSYPCIEDLDLLEGRSNKQYAIYYDEPIRDEMFDNVLLCSDHKPLDEGVSLSRAMTNNSSKSSQIGQGKTSARRKTTGKRIQKRDVVDLRTLLINCAQAVSVSNHSLASDILKIIRHHASPTGDDSQRLALCLAYCLDVRLTGTGSQIYHKFITKRRNVKDILKVHIIDFGICFGFQWPSLFEELAKIEDGPPKLRITGIELPESGFRPYARSNNIGLRLADYAKTFNIPFEYQHISSNKWEALSPEDFNIEKDEVLIVNCIYRMKDLGDETISINSARSRVLNTIRMMKPKVFVQGVLNGSYGVPFFLTRFKEVMYHYNSLFDMLDKNIPRDNETRMIIERDIYQYIMLNVIACEGPERIERPESYKKWKVRNLKAGLVQLPLNPAIVRETQDMSSDQASIRLCLVCYGNILSWPHPPEAEGTYWSGVESDRVYRDCSLNNRGPLYNGIPFLNGMIPVSDDSYHISGDTREISCGIKRFLPRIG >ORGLA03G0233700.1 pep chromosome:AGI1.1:3:21024184:21025581:-1 gene:ORGLA03G0233700 transcript:ORGLA03G0233700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAAAAAASSFPNWVMLERFVFRRDDDTRATVLRVSGSGSHGIPFTIAFRIADPPAISRLYVRWPQGPDPEEMVACPLVATHRNLVLVCFCYIVESPAGPACPQDYFIFTASGSGDGDVDHHPVPSPLLKALPPCTYHDEGDGNDLSMWYPLEFRSVGILCRGEEFAVAELQVLRTASGRVKARLCVLRSAISSSKDEDEAEDGDHGGGGRRPWDIMELPIVYDGDEERCDIFYWTTDAVIAFQDHLCWVDYDRGMLLCDVLRTSPRVAFLRFPLDSSIIPTGRRSRRNFSQVYRGVSVTTAATGGAALKFADISRHDGKLLGSLEQGRGGYTITCHTLRSTLGAAAIDDEWSWSKDFAIASDEILRSFEGAPELEPREVLLFPTVSMDTPSVMHFLTCDYDRMIRKMSVVTIDIASKIVLSVVPYVNGKEDLSGEDADMVRAKSGYPQSFLPSEFSKYFNSI >ORGLA03G0233600.1 pep chromosome:AGI1.1:3:21020394:21020924:1 gene:ORGLA03G0233600 transcript:ORGLA03G0233600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIMFGGVDCIAAANQWGQQDLREGGRRQRRFQSPPRGALPTLAMVRRRWWQRGIGRHGGTGNDAGTSQRWRWRRWQRGTGRRGERVTTRAPPSAGDGDGECPPHTSRRRXARRRLDREPESSLHQRRFDGGGGGRGLDGVGNGAGGATETSTSVVGDGGDVGGGGARCSDGGRCV >ORGLA03G0233500.1 pep chromosome:AGI1.1:3:21017307:21019535:-1 gene:ORGLA03G0233500 transcript:ORGLA03G0233500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53700) TAIR;Acc:AT3G53700] MPRVCAAPRAPPPPCPCHVGVGPLRPRWRASRHGPLRAAGQEQLLTALREQPDPDAALRMLNAALARDDFAPGPEVYEEIIRKLGAVGALDLMKVLVAEMRREGHQVKLGVVHSFLDSYEGQQLFDDAVDLILNQLQPLFGIQADAVVYNHLLNVLVEGSKMKLLESVYSEMGARGIKPDVVTFNTLMKALCRAHQVRTAVLMLEEMSSSGVAPDETTFTTLMQGFVEEGSIEAALRVKARMLEMGCSATKVTVNVLINGYCKLGRVEDALGYIQQEIADGFEPDQITYNTFVNGLCQNDHVGHALKVMDVMVQEGHDPDVFTYNIVVNCLCKNGQLEEAKGILNQMVDRGCLPDITTFNTLIAALCTGNRLEEALDLARQVTVKGVSPDVYTFNILINALCKVGDPHLALRLFEEMKSSGCTPDEVTYNTLIDNLCSLGKLGKALDLLKDMESTGCPRSTITYNTIIDGLCKKMRIEEAEEVFDQMDLQGISRNAITFNTLIDGLCKDKKIDDAFELINQMISEGLQPNNITYNSILTHYCKQGDIKKAADILETMTANGFEVDVVTYGTLINGLCKAGRTQVALKVLRGMRIKGMRPTPKAYNPVLQSLFRRNNIRDALSLFREMAEVGEPPDALTYKIVFRGLCRGGGPIKEAFDFMLEMVDKGFIPEFSSFRMLAEGLLNLGMDDYFIRAIEIIMEKVDLRESDVSAIRGYLKIRKFYDALATFGRFLEINNPQWSYR >ORGLA03G0233400.1 pep chromosome:AGI1.1:3:21010860:21011562:1 gene:ORGLA03G0233400 transcript:ORGLA03G0233400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVLISDLMYGDTDKRIKARVSRQWDYHDLNDETKIYHTDLVLLDEKGNSIHVQIYPPTMINLRTLLQEGKVYYFDSFSVRYANRTYRPVTNPLMISFTKWTTLEECIDASDDFPAITFSLTPFQDAPSLVDKNAFYVDIMGVITEIGATDTLRPKSRNTETLKRTMQIWDAR >ORGLA03G0233300.1 pep chromosome:AGI1.1:3:20987634:20994379:-1 gene:ORGLA03G0233300 transcript:ORGLA03G0233300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDPASAMEETAVANGGAADDVAAPDKDNDIKEKAGKGNEVAVENKNAEEQNKGGSENGTKSPSDGDVEMAEAETAKESDVKQLDTEDAKKDGAQDADANEEKNTKDTEGEDVKMAEAEAEAGNADVKDTAEKEDKDENTNTEKQELEDAKESGSEKQEELKEQDKSGSEKQEELKEQDKSGLAEHEEKKAEEESGAEKQGEEEAEEKGSADNDNEEGDKHANISDEHLKKDGGKEGNKDEAEEEEVSEADKKVEENKEETPKNKKARSARDRSQGKDKKQDGSKSREAKSLLNTASPYGTDRPQRERKTVERLVEVIEKEPNRNFVVEKGRGTPLKDIPSVAHRISRKKPADLKFLHNVLFGRKGKTVDFKGHILQFSGFVWHESDEKQRAKAKEKLDKCVKDMLLDLCWILAIPTPKANIRKEDIVAKLLDFIAEPHAMADSGHSDDQGSNSRKRKRGSTPKSSSKKFDDDDDITPSKKRNKALEYDTDEDEDDADPMKSDSEEDDYDSEKDKAKKKSSDAKDTASKKKAATVSSHKTGTPRTVSKSSSKTPSSKVSKEKESPEDSAKVFSRKKKSVTPKRPSSSEKEIKDKKSSGKKVTKGKGESAEVGLPSKDELRKTITEILKKVDFNTATFSDILKKLEDRYKIDLTPRKSAIKIMIQEELTRLSKEEDDEDEDEDGNEDAEKKKPQHQAKEVVA >ORGLA03G0233200.1 pep chromosome:AGI1.1:3:20981671:20982444:1 gene:ORGLA03G0233200 transcript:ORGLA03G0233200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDHHHTMQIQFHDLKHCNPGSDARITRSSGVSQVAFVLAAIALISLVSPSSVEYTVFSSTLPAPLRALGSFVMSKKALFVLSNAIFLFLAADYYRCFFSLSPSTSDFTACGHTGVGDKQEQHHHQVGVEPSSATESCAPDHSEAPYRDNDDASEDCSHGERMDGEGSRRNIVRTPDDEMPSGEQKAHGDIAMPSQPEFFRLDEDDVILESAVVKEPSCGTTGQELDKLGIDELNKKFEEFIKSRRTKWEKEEASLS >ORGLA03G0233100.1 pep chromosome:AGI1.1:3:20978641:20979060:1 gene:ORGLA03G0233100 transcript:ORGLA03G0233100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPCDDIDKALFRAGTIITIGNGEKIKFWSDNWLEGSPPKEIAPSIFNLSNRKSKYLKFDLENNHRMAILKPITSVAEIDELVHLGSMLQNVTLAQDSQMTFAGNGLKTDNIRQRVLIKHSSKVRLRMLCSNQSGTREL >ORGLA03G0233000.1 pep chromosome:AGI1.1:3:20973553:20974327:1 gene:ORGLA03G0233000 transcript:ORGLA03G0233000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGHGWSPGRLSPAGLLQRLRDVRMMKMTTAMAAQEGGIEADLSGTSLVVAPPVGAGSRSAKGAEAAGQGSQATTRGKGEQTADAFFAELSTPVLQPLLPVPGHKQRRPCRNK >ORGLA03G0232900.1 pep chromosome:AGI1.1:3:20972808:20973491:1 gene:ORGLA03G0232900 transcript:ORGLA03G0232900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPSDLVSRPELSYCMVAGTVEVERLHVLYTVRSVVAWTGEGQRVDLNTIADDVHSAYRIHRNDIQVTKYHLEDFFLRFTNHGDREAVLQEPRLVTRSGREYFFRPWDEKKNAEAADIHFRVCLCIEGVPMHARTDDSVAKLIGPRCSVHYIEEDTCRRNYNRTFDVWVWTSDPSSIPKASRLTVTRPDEEGHPMNTPFPDLEPEQPAPREPKKGLTYPVIIHVD >ORGLA03G0232800.1 pep chromosome:AGI1.1:3:20961491:20962839:-1 gene:ORGLA03G0232800 transcript:ORGLA03G0232800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAIRSSFSGDHSLAVQGLEKMAGVRSIIGVKRMGELDQKAFYNACKNKMPNDKLKLALVCSKWEDELTKPEWHPFKVIETAGQTKEIIKEDDGKLQALRAQYRDEACNVVVKALVEINEYNPSGRYPVPELWNFKENRSAPMPEAASYLLKEWKTHKKRNT >ORGLA03G0232700.1 pep chromosome:AGI1.1:3:20945884:20946210:-1 gene:ORGLA03G0232700 transcript:ORGLA03G0232700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNKGSLLAGFATFLQRIRGGGGGEDYQLPINHKHPDHKADILMYGDMVEAAYNYKAFAADEKEVYYGGGGGGYLYLATTNLYATIDAVPAPYRSRRLWPTSPSAP >ORGLA03G0232600.1 pep chromosome:AGI1.1:3:20938841:20939038:-1 gene:ORGLA03G0232600 transcript:ORGLA03G0232600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:I1PD80] VTETADFQRDLSLDSLDRVELVMAFEQEFSVEIPDDKADKLSCCADVAKYIISESQSNKSNAGSS >ORGLA03G0232500.1 pep chromosome:AGI1.1:3:20933916:20934955:-1 gene:ORGLA03G0232500 transcript:ORGLA03G0232500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVKLIGAFGSPFVHRTEVALRLKGVAYEFIHEDLNNKSDLLLAKNPIHKKVPVLLHGDRAVCESLVIVEYNDEAFNGPPLLPADPYHRAMARFWAHFIDHKAIFSCHSFKSYSTRPSWLALWLEGEEQKGFLKQTKENLALLEAQLGGKRFFAGDSIGYLDIAAGGLAHWVGVLEEVTGVSLVAGDDGDDEYPALRRWTNEYTANDAVKLCLPNRERIAAFFTPKDKYKIMARAMLRQQ >ORGLA03G0232400.1 pep chromosome:AGI1.1:3:20926111:20930225:1 gene:ORGLA03G0232400 transcript:ORGLA03G0232400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRCQPPWRRARATVALRRMWPCXLGRGGASHITPPHAPQRQPCWRTPSAWATLFNPRGPHHLLLPTLIRTHLQPEQRSSSSPPHLSTSNPLHLNSFFAGFFVEIEEKVLHVCNVLIGVTNFXTIYVMDRLVRVYYGGRVVEPYVGAHVEFEDMALKTILFPTHSTLDELRSRVKEVLGWTEDNVEIRFYERYYVGQGHKYILNVIGGASKTELVREDLEGKGEKKRPLFGNDLGEAGPXKRHCGSDDMDAAREMKRELVQEGLDLSEHLSESVHWSSYGGNPKYPTEVAGQYVNPNDYFIIELSGGHDSVSVEVNREEVDEEASVEQYDAEFAEDSDDDRPFPPLTNNDKLALEECRAFEKVFGRKRTFLSLGTXHMPMVQYXIAASTXISCQNHSRWMVSKRVXSSHPWSHXSYGSRSMPSCTIVHTVLSILPQIGGTLSNVKTHGANGRSMQLRGLVDGRGGTTTDLPSLGGVLXGPSSRGCDQGGEGMNRHRFTCIXVSVLQPLRV >ORGLA03G0232300.1 pep chromosome:AGI1.1:3:20923918:20924708:-1 gene:ORGLA03G0232300 transcript:ORGLA03G0232300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVALSSLLAVGGAAAFAVQPSKKTGSGLFRNRVITVRRPRISANLGGDGEVKPAGNKKKFITREEEPEQYWQAAGERKGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKVPVR >ORGLA03G0232200.1 pep chromosome:AGI1.1:3:20921253:20922985:1 gene:ORGLA03G0232200 transcript:ORGLA03G0232200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHPKPAEAVPPPEDAATAAAAAAAEEEEDEWDADGFVIPNLTTQEDDVTEHTTPKPKDPEPLKEKEEKIYLGPHGAPPSQAKQQEINIVGRKQRFRNKLKEADNKFTGNAQENKVETLRELMGARTHSKGVPKSSPRDWLDPHCHESEFDRKPH >ORGLA03G0232100.1 pep chromosome:AGI1.1:3:20898658:20899874:1 gene:ORGLA03G0232100 transcript:ORGLA03G0232100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGAVVPIGDMISRMEIFSAGSETASTTLEWAMSELVRSPRVMKKAQTEVRKLFEGQDKLTEDGMSKLSYLHLVIRETLRLHAPGPFLLPRECREQCNIMGYDIPKGTRVLVNAWAIARDTRYWEDPEVFKPERFNSDLVDFKGNNFEYIPFGSGRRICPGITLGLTSMEFVLASLLYHFDWELPGSKRSEEIDMSEAFGITVRRKSKLVLHATPRISYLH >ORGLA03G0232000.1 pep chromosome:AGI1.1:3:20888305:20890085:1 gene:ORGLA03G0232000 transcript:ORGLA03G0232000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGRCARRDEFLRELEVSVRLTGGFNLADLYPSSSLARWLSGALRETEQCNRSVRAIMDDIIRERAAGKDDGDGEDDLLGVLLRLQKNGGVQCPLTTDMIATVIMEIFSAGSETASTTLEWAISELVRNPQVMDKAQSEVRKLFEGQDSLTEDDMSRLSYLHLVIRETLRLHAPAPFLLPRECREQCNVMGNDITEGTRVLVNAWAIARDTRYWEDPEIFKPERFNANLVDFKGNDFEYIPFGSGRRVCPGITLGLTSMELVLASLLYHFDWELPGGKRCEEIDMSEAFGITVRRKSKLVLHATPRVPCLH >ORGLA03G0231900.1 pep chromosome:AGI1.1:3:20876474:20880722:-1 gene:ORGLA03G0231900 transcript:ORGLA03G0231900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAACKKTNLISPRRSPRLKNIHVIYGEHSETNYPTLGPVKTEVIDLEEIASPSNPEFNDDSVGDEDFQNVSLKDLRAQCKAKNRRALKVDSERPDFKNQRQCGKRNLEDEVPKEEVDLDEPIIAFRQKRLKTSPTKSNRTMGKPISLNAVKLQDTTLRREETEPIKLPPLEVTSHDSMSTAEKMERSAADVKHSTIAAGNTEEIVGENILYAEMENTPLSTGAVISGRSPDIFCEIKTEDEDIYSDEQVGVSSPGKDSFQDSFAELHREPIEYDGCQQHSGVIPQPIELKDVSDDSCELANSIKAYCLDDIILQNKTNDSLSSLDITDEMSNCHKTSGNITNLDEEKSSVVNDYLVCSVNMSCEDHIDIDEYWYPRDLHGSTLESTKTIESSTDQCNAEVGSPSVVIQSDLCGSAESNFTSLAEVVQMKADGQFDSLVQHSVGTKDVLPIDVGHATNDCTFAFNKTLDSVKAANFTAQDGRLESIVYDALNNHAQRKSTETETPVGVSGAAIISSPFVSEGTDREPTGSKAPHGGQLLLPCVTEWLSKDTDQLKVTVDDDICKTNSDQGSREQFGLQPQLLQSCSDLDKVCVTSESSSPEETQEMPAGSLDSTAASLDTDGQNGKLQPFIDEGALEEHAPKKLLSKRKIMSPTSQEKLCSALTGIDLCGVQRLKRKILLEDCGKTRRPNGRSSLSPTSKGILKGTESPSPQKTTCTCMKAASVILDAEKAVEFSQRQMHDIENIASKLMRSLNDMRSIVDGNLLSESHSLLPTFNTAEIRAASEDALEVERTTRKWLTIMNKDCNRFCKILRLAGKKAVSHSEVPRKRKKITFADETGGKLCHVKMFTDGQNSLLSECHSE >ORGLA03G0231800.1 pep chromosome:AGI1.1:3:20845069:20848113:-1 gene:ORGLA03G0231800 transcript:ORGLA03G0231800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAADVAEDTASVYSGKLTLYVFLTCGVAATGGLIIGYDIGISGGVTSMDTFLGKFFPSVLHQEQTAQGTSQYCKFNSQPLTAFTSSLYLAALVASFFVASFTRALGRKWSMFGGGVSFLAGATLNGAARNVAMLIVGRILLGIGVAFCGLSTPIYLSEMAPPRLRGMLNIGLQLMITVGIFSANLVNYGAAKIRGGWGWRVSLGLAAAPACVIAVGSLFLPDSPSSLINRGRHEQARRVLRRIRGTDEVDDEYGDLVAAASEIEVYSGCSARRRPWRDVLQRRYRPQLAMAVLIPFFQQLTGINVIMFYAPVLFKTIGLGGDASLMSAVITGLVNIVATFVSIATVDSLGRRKLLFQGGCQMLVSQVIIGTLIGVVFGTSGDGNISRALAVCIVVFICVYVAGFAWSWGPLGVLLPSEIFPLEVRPAGQSISVAVNMLCTFAVAEAFLPMLCHMRFGLFYFFSGWVLVMTLFVSAFLPETKGVPIEKMTVVWRTHWFWGRFYCNQDADAHVQVANSKV >ORGLA03G0231700.1 pep chromosome:AGI1.1:3:20836426:20838758:1 gene:ORGLA03G0231700 transcript:ORGLA03G0231700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLPLLIGVVLAFLLLFVLVNVKNSCRSWWPPPEKEKKKLRLPPGPWQLPLVGSLHHVLLSRHADLPHRALRELAGKYGPLMMLRFGAVPTLVVSSAEAAREVLKTYDAAFASRYLTPTLAVLSRGGRDILFSPYCDLWRQLRRICVHELLSARRVQSLRHVREDEAARLVRSVAAECAARGGAAVVSVGELISRAVNDSVVRSAVGARSARRDEFVRELDESVRLSGGFNLADLYPSSWLARRLSGAMRETERCNRSLMAIMDDIIREHGDGEEDLLGVLLRLQRNGDVQCPLTTDLITNVVLDMFAAGSETSSTTLEWALTELVRNPHIMEKAQSEVREIFRGENKLTEEMMDKLSYLRLVIRETLRLHLPVPFLLPRQCREPCSVMGYDIPVGTKVLVNAWAIARDNQYWDDPEVFKPERFENNHVDFKGIDFEFIPFGAGRRICPGIALGLANIELMLASLLYHFDWEFLDRDRNDEIDLSETFGITAKRKSKLMVYATQRIPCLG >ORGLA03G0231600.1 pep chromosome:AGI1.1:3:20801017:20805515:1 gene:ORGLA03G0231600 transcript:ORGLA03G0231600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTLLLLALISFFFLVKLIARYASPSGRESALRLPPGPSQLPLIGSLHHLLLSRYGDLPHRAMRELSLTYGPLMLLRLGAVPTLVVSSAEAAAEVMRAHDAAFAGRHLSATIDILSCGGKDIIFGPYTERWRELRKVCALELFNHRRVLSFRPVREDEVGRLLRSVSAASAEGGAACFNLSERICRMTNDSVVRAAFGARCDHQDEFLHELDKAVRLTGGINLADLYPSSRLVRRLSAATRDMARCQRNIYRIAESIIRDRDGAPPPERDEEDLLSVLLRLQRSGGLKFALTTEIISTVIFDIFSAGSETSSTTLDWTMSELMKNPRILRKAQSEVRETFKGQDKLTEDDVAKLSYLQLVIKETLRLHPPAPLLIPRECRETCQVMGYDVPKGTKVFVNVWKIGREGEYWGDGEIFRPERFENSTVDFRGADFEFIPFGAGRRMCPGIALGLANMELALASLLYHFDWELPNGIKSEELDMTEVFGITMRSIMKRELHPISAFIVYFCNVLEGNGMGAGVLHHLTSLLLWEGQGTDPVIR >ORGLA03G0231500.1 pep chromosome:AGI1.1:3:20764886:20776918:-1 gene:ORGLA03G0231500 transcript:ORGLA03G0231500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSTSTAALLLASGRHPRLQFQSLRAPTKPPFHFPHLLLPSRSSSRRCCCVPVPAPGARSRGLPAPVSASALPLRVTPPPGVEVEGGVGRAVAAAAAVRRVAVALACGALAAAWCHRALAVAGAAGAAGASGAVEAAAGFGGMALRGGWPRVLQILQLLREQGIILAVLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFRMLRSDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLVLYPVGRIVTFLSMGMLQILGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDATATLIDFKNLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMLDDGTFDVDANTSIDHLSEELGVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILEKDNREENDEYKEEGSDNQDDKERTQAYELEILEANARKVGKVRFKPISSECVDVDSKGVNRMISKKIIKRKKQNPGDSSDSDDEECTDTSENGCPAELLYYSDDNSAQLEDAGNSAATRPY >ORGLA03G0231400.1 pep chromosome:AGI1.1:3:20758063:20758529:-1 gene:ORGLA03G0231400 transcript:ORGLA03G0231400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVDIMVVVDKLEGIAVVIVSVEIEDETIMNKLGYPALADEFQLQVLSSRTIAIVHEARSNKVTTTTMVLSLRNTMAQLIYA >ORGLA03G0231300.1 pep chromosome:AGI1.1:3:20747050:20747925:1 gene:ORGLA03G0231300 transcript:ORGLA03G0231300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALQALLDPTALSLGLPTPVINKEEYLAICLTVLAGTRAGKALVGKAVLGVCVAPLRNLESPPTVELANRQSQRTRGVNDALPLSLVRSCRLTPSPPDTCHAPNLILSGPPXWWEWETSRRCSHVGLHAVLPEVASGGAHGAAVDRRPPSATLSADHLPSSLPPARAAPPMLTCATSSLTSLPRTPPPVSAPTRRHRR >ORGLA03G0231200.1 pep chromosome:AGI1.1:3:20738929:20739803:1 gene:ORGLA03G0231200 transcript:ORGLA03G0231200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:I1PD66] MAASALHQTTSFLGTAPRRDELVRRVGDSGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWAVQVVLMGFVEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPDTFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGK >ORGLA03G0231100.1 pep chromosome:AGI1.1:3:20705908:20706327:-1 gene:ORGLA03G0231100 transcript:ORGLA03G0231100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDKDPNRSPTGLGGPSDGSYIFVRGVTGGAWEYGEENARGGEGRAGAIDYFLYIDARYFARVPSTSQRLLPLRSASSGNDL >ORGLA03G0231000.1 pep chromosome:AGI1.1:3:20705023:20705776:-1 gene:ORGLA03G0231000 transcript:ORGLA03G0231000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRLGELRVVVASTPDAAREVLKTHDAAMSTAVSANIGDGADDRPGAARHHGGALRAIRTAATSTVRDVKLLIAKLYDMVGRAIEQHQEHADDGGAHGDRECLLSTLLRIPKEGDNNDDGGDLTMANVKAVILDYINHIKEFW >ORGLA03G0230900.1 pep chromosome:AGI1.1:3:20702020:20702577:-1 gene:ORGLA03G0230900 transcript:ORGLA03G0230900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEVMQKAQAEIQHALQGKSRVTEDDLINLKYPKNIIKETMRLHPLASLLVPRKCQESCKILGYDIPKGTILIVNVWTIGRDHRYWDDAEVFIPERFEDTTIDFKGTHFEFIPFGAGRRICLGMTFAHATIXLALTALLYHFDWHLPHGVTHDGMDMEEQFSVTVSRKRDLYLHLIQHVGVEEI >ORGLA03G0230800.1 pep chromosome:AGI1.1:3:20679839:20681175:1 gene:ORGLA03G0230800 transcript:ORGLA03G0230800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVVHAGGSDGGIQAHIKACAALGAICSSVVVAHNTIVVQMTVHHLCQLPEMYSTHNGNIRICIDGILCRQTYIGYCDAYIIRNSLSFSLLSGGSSIILRIIL >ORGLA03G0230700.1 pep chromosome:AGI1.1:3:20675209:20677229:-1 gene:ORGLA03G0230700 transcript:ORGLA03G0230700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSLVTKLNKICMLISFLLHIILNQSSDPFNTIQQLMGICPNLQFDEAQWIIRIKRILDEEIEVHDSQPISIFDVPKPLLCTKPEAYTPQLVALGPYHHCREELRDMEMYKLSAARRAQRHLPGTSFQQLVAVFATLEFEIRAYYHRHLGLSNDALAWMMAIDVSFLLEFLQTFSQDSSQRAALQRIPSRMSHLVNPSRRTSSHTMVLHDVVMLENQIPLFLLLKATEMRGSSRATAESVLSSVLSGFFQEVSSLVATGSPCTDTTRHAHLLDFLYSNMAPCYVEGLDLDDATEQADDDDDDQSKHHMKSTLRSLTDLLIKRVTKFLSVLVDLGVRIILKLLTRIPCLSMIAQQLNSQPTQGQQPNKDFQNNKSCVSPLLEEIAVPCVAELAYSGVRFVPANGGISTIEFCAEAATLRLPVIRVDVNSEVVLRNMVAFEASTGRRALVLARYVELMNGIIDTDEDARLLRESGVILNHLKSDREVAELWNGMTRSVRLTRVPALDRVIDDLNRHHDSCWKVRINRFLKARVLGSRELVACITMALLILFMSLQAFCIARTKGAD >ORGLA03G0230600.1 pep chromosome:AGI1.1:3:20651870:20652661:1 gene:ORGLA03G0230600 transcript:ORGLA03G0230600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDPGYGYGGYGYGYGYGYGGAGYDMAGYGGGGGGYYTSDPYNAAPAAYEDPLAVAGRRQHDFPAPLTGVEFQPSDTCPKNYVIFDQTYDRSRVMFHPSLANNLGNSGGGYDHHHHCGYGGFEQDYASKSAYYGVEDDGGGGCSIRQKEDTDEIDALMSTEDGEEEDDVLSTGRTPGCRAGGSPDSTCSSGASRSDCGGGRKPEAGGGERKKERMKKMMRTLKGIIPGGDRMDTPAVLDEAVRYLKSLKVEVKKLGVRGSSS >ORGLA03G0230500.1 pep chromosome:AGI1.1:3:20641335:20641562:1 gene:ORGLA03G0230500 transcript:ORGLA03G0230500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPPPSSWLPTAEEARCSPPLPSEIVAWATGSGQRLSSCWTTMGEEAKWLTKASGGWRLMMKQQSVEFLFSIAT >ORGLA03G0230400.1 pep chromosome:AGI1.1:3:20637510:20637656:-1 gene:ORGLA03G0230400 transcript:ORGLA03G0230400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGSTRGERTRRRRSSRCDQLFPLHRRALLRESSFDFSASSSAAQRVEW >ORGLA03G0230300.1 pep chromosome:AGI1.1:3:20624628:20627704:1 gene:ORGLA03G0230300 transcript:ORGLA03G0230300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGQKTVAALPHLVKSLRSEPVSNAPRLRHLPSLRRTFSLYDQINLIESVPEDQLRFQSYDDTGFNINKVKYEXSLLIVENKIMTWAPKTFAEITAERMHLYSMHPIPEILILGCGRHIQPISPELHKFIQSTGMKLEAVDSRNAASTYNILNEEGRPVAAALLPCGVTS >ORGLA03G0230200.1 pep chromosome:AGI1.1:3:20623441:20624262:-1 gene:ORGLA03G0230200 transcript:ORGLA03G0230200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDMPVAVDDDLQELIDELMNAGPEDEADDREVEEISATALSEATEYLEDPDPPSPEQVGWAEGAVISAQSAADNMASYALDLRRALAVFAGTGRPEEAVLRKNVAWADARRAEAVEIASAARRLLEKELRCMAARDHPIIPELAALITAMRDSTKSLVLQDSGGDAVRSRKVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA03G0230100.1 pep chromosome:AGI1.1:3:20597647:20598607:-1 gene:ORGLA03G0230100 transcript:ORGLA03G0230100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPAALVASSLASIVVVLSSLLLVVMFVPPSSRSCPSSAFRQVSRCSPVVVFVLGSASSSLVPAASRLRPRITAEVVPSPSSPSFPFVSAARSPSSFPRLVAWWLTCGVRMSTAQPSCLFQACSFACVLRVASVVPEVPETWFAVVAEGSEGRSL >ORGLA03G0230000.1 pep chromosome:AGI1.1:3:20595561:20595955:-1 gene:ORGLA03G0230000 transcript:ORGLA03G0230000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVREIATYWFEDQRTCRIISRTPMEVGRDDSAFFEIVLDNTVYSTTAYSSAQLFLKNIF >ORGLA03G0229900.1 pep chromosome:AGI1.1:3:20556113:20556532:-1 gene:ORGLA03G0229900 transcript:ORGLA03G0229900.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDPNRSPTCLGDPSDGSYIFVWGVTGSAWEYGEENARGGEGRAGAIDYFLYIDAHYFTRVPSTSQRLLPLRSASSGNDL >ORGLA03G0229800.1 pep chromosome:AGI1.1:3:20545781:20549710:-1 gene:ORGLA03G0229800 transcript:ORGLA03G0229800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQDGDAEGSGRGEHRSRGCRSPAETKTDRSPGIPRVLEVGFATVREHHGTKSTTGPQKSNNLQNKKIKSREDGREPEAAELRRGERRRDHEVVFAAAARDPPTLQRPDFDCVRQNKHPNANTENEMTGGDLEKASGSLLDQSKQPLNIKRDRRRLEEVSDKMWKDEAKEIGSRSLALTKGSTKESQMLQSLDGKAKNAQKRRKDAKQELRSKIPGVTLVSDDSLFGQAANYIEDLEGTSLDLEGLSGTAMIRKVLPGSNKIRGVTALFEAASRHITEVKKKKKIAPEEVEKEFRPGVDAHDDRAGKKLTNMIPIPHIPKINGDIPSAVEAFADHQRLLDRLVLYDLAEVKVKGDRNCQFRALSDQMYHTTEHHRFVRQQVVKQLESYPEIYAGYVPMDYREYLKKMTEDGEWGDHVTLQAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA03G0229700.1 pep chromosome:AGI1.1:3:20542877:20543707:-1 gene:ORGLA03G0229700 transcript:ORGLA03G0229700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDMPPAVDDDLQELIDELMEDDAEDREHEEISATALSEATEYLVDPDPPSPEQVGWAEGAVISAQSAADNMASYVLDLRRALAVFAGTGRPEEAVLRKHVAWADARRAEAVEIASAARRLLEKELRCMAARDHPVIPELAALITAMRVSTKSLVLQDSGGDAVRSRKAGLLASAIKFEDAVVEKMTVLKEKLTRGAAAFAGEEEIVQALQKHAATAEAEIAESQAFSAVLLADANRAASPVVVVHKRPTPETEKEQDQEPPRQRRRTGDSAAQD >ORGLA03G0229600.1 pep chromosome:AGI1.1:3:20530719:20532044:1 gene:ORGLA03G0229600 transcript:ORGLA03G0229600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEALACLEGIQLANEWVNMPLILESDNASVIAELNVTNVSRADXVAXFXMLKRQCYVXFRCKCTRXREILIRXLMLXPNWTEEKXNKDLRMDSMRTQYAIGALSRWRYLSSGSLRGYGGSLCQPSPSSHLSGLLLLFTSGSSTRYMSATMMSRTNKTTTSLQNEGSTEAICF >ORGLA03G0229500.1 pep chromosome:AGI1.1:3:20522642:20529429:1 gene:ORGLA03G0229500 transcript:ORGLA03G0229500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:I1PD49] MADKQAPPPPPPPPAPESPTAPQTAVHRPDLIPDLNRPSLESILMANVEEAVAAFPGRVDSLRQSYDRLVASSPFLIPFFWGNMEGYVGSAQGYLSRGLASLHGQGQVRHGDGDGAGPSGHAGIAVAAVEAGGEGMEGGASSVADEQGGGDGAAMEEEAVAPVEEEREIKAVAVGEVAADDKDSGEVAVKKERKEAMDLFPHQGDDDASVEPKVDALHLLATATAANADADADADDFNHVGMESKGKGKSPDLDIKVEAMDPSPEQGADMQAVVTMAETEDAAKKAFDAIDKVGIPLMDRTEEEEEEGEAYLEVPLDQAMEMEVHPDQANEEAVMEEVSVQEDDAQEADMEICNDEEKMQVKKMEEEADDGAKRASPERSSGEIVAAGKKKAAMYVPRNQDGGAITDALVGEIKAEAKGKTKRASREEEEDGKVVRDRDGAANAGAERRRRREREPAPRRQLVAACERMDSFDMAELVLRSGRGIAGEFLPALRRAPDAPALALHAAGYVLSAGPRDVDSTSWDNLAALLRGVRRLATSGRAAPPLEARAKEATAMAKKWIAMVAGEAEREHQRVAWARSATWALLQFVAAYAIASNLEVKEMMVFKTVGDRDGGAELIKSLGLPDRATESINRLMKRREHIDAVKVARAFNLIDKFPPVSVIKAYVEKVKEAAQDMVSKDAVSLQALDRAMQEDVAALRSAKEAIEAHDSGSDYRYTIMQEVHKLMRSYEKKKRSFSFGSTSSSHEHKNKRHRSNQAMPRWENQTIPGPPVYFPVPPPYFGHYNPYHPFGPQPRRN >ORGLA03G0229400.1 pep chromosome:AGI1.1:3:20514448:20517333:1 gene:ORGLA03G0229400 transcript:ORGLA03G0229400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:I1PD48] MVVSDSPKPQPTPSPPAPPPLPVPAAAGTTMGELEAAIEALAAKKLRLREAFDCLVACSPIPIPFRWDDIDAHVSSIQSSIAGRFRQFQALQTAATAGITAAPATSNASSRVERSVEHLVVVVEGQESHVARHEDGGNGEGEGEGGLGKEVAMDVESEEENGMVVEVASEAPRGEDGEVKEDEKMGGPINASPPSEEIHGKGADMSRRWGNPMEMSADVDDAKTKTTATAAAAAELTAMAISPIPGFTGRGGAEASLRRSLAAACASMDSSSLARILCSSGSSSSSHATLAARHFRPALLAAAEPAALVVRAVRDLLAGTAPIRDSAWESCVELLSCVPKLAVAPSPGTMEQANRLAEDWKEMIGRTESCSMNLGRLAVWGLLNFLVSYNIVLEFDAEEIIHFFGTLPDDKKQCCISLCKYLGLIDKMADSVGHLIEHGQQLVAIRLACTLNLTDKYTPLPIMEDYIQNAKETAQEILSMESDSESLKLSMSKQVNALILSWRVVGECNIDSVHCDRIKAEITQLLHKYANKRHSLEELPSDTSSPHQKHHQMSQEQHHWQQKHREEQQQQFQNQSKEQEQERRMQKLRELRKKKNKRTQRRKRKQNAQVMKQHQFEKQRKLYHAGSFTNSQSYVRSKIHHHLSQHFSGTIGTPVAPYTPVAPYTGPFW >ORGLA03G0229300.1 pep chromosome:AGI1.1:3:20511867:20512922:1 gene:ORGLA03G0229300 transcript:ORGLA03G0229300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEIMKKHSAWLKLLLGPKKPARSAAIVETWAADRSKLLLGPKIASGSNSRIHRGMYGEQPVAVKIMHAPVGDDDDDVQVRREMEAQFDAEVSLLSRLRHPNVVRLVGVCREPEVYWIITELMRRGTLSAYLHGREPYSLPPETIVRLALDVARGMEYLHARGVVHRDLKPENLLLDGGGRVKVADLGTSCLEATCRGDKCSSKAGTFRWMAPEMIHDKRCNRKVDVYSFGLVLWELTTCLVPFQNLSPVQVAYSVCDRDARPPLSPSCPPAINSLIKRCWSTEPARRPEFKQIVSVLESYDRCLRQGLPMVALPEPSSSPLASLLGAFKIRSCTSTTRSSITDHRRVHP >ORGLA03G0229200.1 pep chromosome:AGI1.1:3:20499694:20504248:1 gene:ORGLA03G0229200 transcript:ORGLA03G0229200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:I1PD46] MDPAAAAAGGGGAGPKSDAAPSAPALHGLPVEMTTGEMEAAIAALPAKKEALREAFDVLAACSPFPLPFAWGDLDSYISSLQSSIDRRFGQLRVLEAARPALAGPAAASTSDGEKGGKQEEDSDEEEKEEEEEEVEEEEVEEEEEIEEEEVEEEIVEEEEEIEEEEEEVREEVQEAGEEVDEEQQGANEEMQKSKEDADEASKSAIPVQKQEEDDAEKEIIEAKDEEQHGDKLASQEHDIGENGDVEAQGVQQVADGETMEAKLEEQNEAKVISMEHDIEEGDEKASREQGNRALPSCSDHLRGVCAGMDVRGLLKLVCKNQSICLWHEYLVVMRHAPDAAALVLQVVQGFLLSKKMKTTKVWGNCVGLIRCLPAVNASLSSDTMKQAKQLAKDWKEMIDSTGSSRDVLNLSSWGLLYFLISYNIVSEFSVDEIFCIFGTLSRKQQKKNCIELCKGLGLVNRITDLIDYLIGNGQQLEALLLTQAFNLIDKYTPLSLLKGYVERAKQNALDIINMNSPRKSLSPLITKEVDSLMVAQNIVQQQITDFNVRSGMLAEMKKLLDQYATKRSSGDACSASTSNSEQQQQQQQKHTNKKRKREQLEQQQHRGQEIQQQKQQIKPQGKKGQQQTKPEQKKQQQLNTNKPQEQQQQQQQKQQIKPQEKMGKEQTKPEEQQQQQKTNRPQEQQHKKPQKNSSSSNNNSKVSHKRNAQGHAPPSCQHHLSLLPYHLWFRILCRLIPLGIHHMLQCLYLTLMLPN >ORGLA03G0229100.1 pep chromosome:AGI1.1:3:20492231:20493733:1 gene:ORGLA03G0229100 transcript:ORGLA03G0229100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADGLLPGLRFDPSDDELVSRYLLRRIQQKPLPLDGVIVDADPLSVPPWTLLADHTRGDEAFFFAEARAKNGKGKRQKRTVEGGGFWQGQRMAVDGERLVVPGDDGGGGGDEGLEITWRKYVLSFFAEGERGSSGCVMHEYAVTSPADLASSQLRLYRVRFSGHGKKRKREPQCLDSHDDDDDGGDQESATHRRAVAETTLFDGYVPRPAADGTDQGTYGVIDGESSLASHCLPDQIVPPAEVDATAGVENPLLDEERWSPPQPALVKQNSYDLMAISSLLFSDLPDRIDDDDLSVSQTEGTELSEQGSSGVIGDDYWRATGGAETEKISLLDEERRPPPQPAPPTAALVPPLQGQSSYDLMADSSLLFADLPGSIDDDELQRSLRASDMPDQFLAQTEEAGAGGGGGAAAALNKQSNSSPLGVEVPMALSDLESPESMPLSDLEFPESIDEVLSYIAFTTDDTSCLDFDMDELFSDMPAD >ORGLA03G0229000.1 pep chromosome:AGI1.1:3:20490500:20491666:-1 gene:ORGLA03G0229000 transcript:ORGLA03G0229000.1 gene_biotype:protein_coding transcript_biotype:protein_coding AETCGKERNXSSNCKCTEXRSSIPXTCPNNSTFCXFFFSESVHSLKFCKAASNFVKMSRLTAACLEVMRHGSCAAVCAPGAARWTPSRRGMASRPGEKVEGRSSTVRKGAWRRWRGTTGWVDSVGSGTPDSGSATAAEGRRWLAGLAHLAARQAGLVGWAETEQAHR >ORGLA03G0228900.1 pep chromosome:AGI1.1:3:20476988:20477619:1 gene:ORGLA03G0228900 transcript:ORGLA03G0228900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADGLPPGLRFDPSDDELVSRYLLRRIQQKPLPLDGVIVDADPLSVPPWTPLADHTRGDEAFFFAGARAKNGKGKRQKRTVEGGGFWQGQRMAVDGERLVVPGDGGGGVDGSGGGGLEITWRKYVLSFFARVNRAARAGFRSARGSRSVWTPTMMKTAAIKNARLPGAAWLFLQPTAPTRAPMA >ORGLA03G0228800.1 pep chromosome:AGI1.1:3:20469765:20472026:1 gene:ORGLA03G0228800 transcript:ORGLA03G0228800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMQQLAAAATATATATPLCLPEPPPTAMTTAQVEAAIATLHGKKQRLRVAYDSLVLHSPIPLPFRWSDLDSHLSSLQSSIHARFSQLQALQASRPAPPAAAAASHDEDVEMEDVQEQGEDEVMAPPSTVQVKEEPVEAAACASNTAGERAGVGQDGFVRPGGMAAAKMASPSKVQVKEEPVEVSPSPPAGATGLTAAACASMDAPRLTDVCKRAGGGGGQGLCPRNGSGTAQSPPIPSCPVLQQQHTAGAPNGSHPVLRQHAANAMNAGHSAAFRPQQHMGKPRDTGDLRKAAAPNTGDRLPLQWRQQRVHANLTCPLSPPVVAGSSSSPPQQRVGVFPSPTPQIVGSSPPPPSQARVGEANVTNPSRQQFTASAPHAGDGQLQKRPPWQRLQRVGVANPMNAGDLPPQKPHFTANARNAGEHPFQEQQQPPPVAKPTDAAAGDLLPQQKQLMANAPNAGEHLLPEQQKQQPVTAAKPANAPPLQHAANATNPAVLRRQRQRQWVRLRPPTATNLPQTKQEQHHLFMADDGANARNPLSPPPPPCGMAKPPNSGDPLTDQNNQQLMANTHSAPTPVSTPLVASNQCESSAMTTTTTTTTNSNQNSGGGRTGPQPVAAGAAPNPAGNQQQGQRKGGANRRGGRGQGNKNNNVANTNISNMSKVIGKGNKEQGNGNPQLNTNGNPQFNKNVPGDHHQKNKNAGQLCYRCGCRGHWSRICRTPEHLVKLYQQDRKAKLQAGANTNTVYVGAMTD >ORGLA03G0228700.1 pep chromosome:AGI1.1:3:20456233:20461692:-1 gene:ORGLA03G0228700 transcript:ORGLA03G0228700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin motor family protein [Source:Projected from Arabidopsis thaliana (AT3G20150) TAIR;Acc:AT3G20150] MEMLRRNLKRQASRSLSAFAVSSPRAGAVAAADADQENLHPNLAAASPPMSPAAKNSSAAPGASPRSSKPVPTSAAPPSKAAAEGEQASAPAEEAPAVKVVVRVRPTVSRPVDGKDLFFVRKTSPCSVAVGDRSFAVDGFLDDRASQADAFDLIGVPMIESALAGFNSSLVCYGQSGTGKTYTMFGALAAMVDSSSDHADRGVVPRVFQNLFAQIQGRQESSPEKQTSYQCRCSFLEVHNEQINDLLDPSQRNLQIRENAGNGIHVENLTDEYVSTVEDVNQILMKGLSNRKVGTTSMNLKSSRSHVIFSCVIEAWSKGFSNGFSSSRTSRITFVDLAGPDNDELDGGNKHCTREERYVKKSLSKLGKLVNILSEAPETQKDDSPHKQSCLTHVLKDTLGGNSRVTFLCSISSEHRCRTTTLSTLRFGERAKLMSNKAVVNEISEDDVNGLSDQIRQLKDELIRTKSGDTEPCKNGYFSAQNARESLHNLRVSLNRSLILPHIEVDSEEEMDVGEEDVQELRDQIRKLHSSSEDTFDDFMDAESGDDTPCSKGNPKTSEEDDQPVIDEKSFLSVSASPHLSPMQDPTLCSSPKIHNKARKSITSPGLSPSKLSVSDCPGDEVSRKSAVRSSLQSSKLSPTDSLAASLQRGLHIMEYHEQNQGPRKSFVGLSFDHFALNPRQSVAKVSSGVLASPERKGATSSALCSSCKKAIDTDGNQKDNINAEKQIVIATSVVPEVKDDITASTIASKRETELEALCEEQADKIKELSNLVDQYKKGSEDAQNSDGTEPTKELVDEAKVGEQHGELNVNDREELLSEIQRLKDQLKQQAGESTNVSLLEHLRNGSTDQEYELDREREKWMESESKWICLTEELRVDLESNRMLAEKTEMELSNEKKCTAELDDALQRAIYGHARIIEHYAELQEMYNDLLERHRRVMEGISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERAQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTQEKERSAAMQQENDKLKKQLEKMKKKHEMEMETMKHFLADSRLPESALGGFYRQESEDVPEYNNHATSTCDDDQSWRAAFTSAYE >ORGLA03G0228600.1 pep chromosome:AGI1.1:3:20454222:20455645:1 gene:ORGLA03G0228600 transcript:ORGLA03G0228600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lysine decarboxylase family protein [Source:Projected from Arabidopsis thaliana (AT1G50575) TAIR;Acc:AT1G50575] MAAAAPSSVVAGLVSGLGLARSSLTPSDGRSPSLSPLHPPWPAAPRLVCRSSGARPPRERAVAAAAAAANSPRQQQQLGEHEHEEAGAAAAMETERSSPHEVREEIARCFDLVRRLGRGAVYLGSSRVPPTHPHYLHAAELSREIARLLDCTTWSGAGPGFMDAATQGALEAGKPVGGFKIGKEAGEWTTSNFHPYLPSESYLTCRFFSARKHGLVDAAVRNCPTDRTAIIALPGGIGTLDELFEMMALIQLERIGSTLPVPFLLLNYDSYYSKLLDFLNDCEEWGTVAPGEVASLWKVCNGNHEALEYLAQFYNVPLAERNYCISPQLKQQATS >ORGLA03G0228500.1 pep chromosome:AGI1.1:3:20450724:20453400:1 gene:ORGLA03G0228500 transcript:ORGLA03G0228500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein [Source:Projected from Arabidopsis thaliana (AT3G02870) TAIR;Acc:AT3G02870] MAEEQFLAVAVDAAKNAGEIIRKGFYQTKNVEHKGQVSLPSSTVDLVTETDKACEDLIFNHLRKHYPDHKFIGEETSAALGATADLTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTVGKIPTVGVVYNPIMNELFTAVRGKGAFLNGSPIKTSSQNELVKALLVTEVGTKRDKATLDDTTNRINKLLFKIRSIRMCGSLALNMCGVACGRLDLCYEIGFGGPWDVAAGALILREAGGFVFDPSGGEFDLMAQRMAGSNSYLKDQFIKELGDTS >ORGLA03G0228400.1 pep chromosome:AGI1.1:3:20442439:20448562:1 gene:ORGLA03G0228400 transcript:ORGLA03G0228400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAEWRRRRRELREERDGEDGVAAGVRLVHGASHRPGEGGGDIGGVGDELEDPREEGLRHGQPQVHRQXTGLMGKTLLELQPNKGDVLPPHKFGTHDVVALKPNKADAGSAALGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKLANEVTYRRMKDALVQLSKGIQTGPSANLIPVLFGENPPMSSKDVAKFSPFNKNLDESQKDAISKALRSRDVFLLHGPPGTGKTTTIIEIILQEVKRGSKILACAASNIAVDNIVERLSRYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDKNTKRDIRKELRTLAKEERKRQQLAVADVIKNADVVLSTLTGASSKKLDGITFDLVIIDEAAQALEMACWIALLKGPRCVLAGDHLQLPPTIQSAEAEKKGMGKTLFERLTEAYGDQITSMLTIQYRMHELIMNWSSKELYNNKIKAHSSVADHMLYDIEEVKRSSSTEPTIILIDTTGCDMEEVKDEESTMNEGEAAVSIAHAKLLVESGVRASDIGIITPYAAQVTCLKMMRNKDTKLKDLEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCLVCDVETVSNDKFLKRLVEYFEENGEYLSASEYQS >ORGLA03G0228300.1 pep chromosome:AGI1.1:3:20434862:20442128:-1 gene:ORGLA03G0228300 transcript:ORGLA03G0228300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase [Source:UniProtKB/TrEMBL;Acc:I1PD37] MAESGSSGLEEKLAGLSAGGGEEPQQLSKNAKKREEKRKKQEEERRLKEEEKKKKAAATAAASGEPPKESAADDEEMDPTQYYENRLKALDSLKATGVNPYPHKFLANITVADYIEKYKSMNVGDKLVDVTECLAGRIMTKRAQSSKLLFYDLYGGGEKVQVFADARTSELEDNEFIKFHSTLKRGDIVGVCGYPGKSKRGELSIFPKKIVVLSPCLHMMPRQKSEGSAVPTPWAPGMGRNIEKYVLRDQETRYRQRYLDLMVNHEVRHIFKTRSKVVSFIRKFLDGLDFLEVETPMMNMIAGGAAARPFVTHHNELNMRLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTETMLSGMVKELTGGYKIKYHANGVEKPPIEIDFTPPFRKIDMIKDLEAMAKLNIPKDLSSDEANKYLIDACAKYDVKCPPPQTTTRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSRPGLTERFELFVNKHEVCNAYTELNDPVVQRQRFEEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMLLTDSQNIKEVLLFPAMKPQD >ORGLA03G0228200.1 pep chromosome:AGI1.1:3:20416701:20428663:-1 gene:ORGLA03G0228200 transcript:ORGLA03G0228200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEEQVAPEQVISTRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQAGSLRVHGVAMPTMKGIVNVLNHIGAQKKGKQTQVLWHSLREEPVIYINGRPFVLRDVERPFSNLEYTGINRERVEQMEFRLKEDILQEASRYGNKILVTDELPNGQMVDQWESVVTDTVKTPLEVYEELQHQGYLVDYERVPITDEKAPKEGDFDNLVRRISQVDIETEIIFNCQMGRGRTTTGMVIATLIYLNRIGSSGIPRTSSIGKVFHSGNDVDDYMPSSEEAILRGEYSVIRSLVRVLEGGVEGKRQVDKVIDKCDTMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYVHSVCSAQQSNFSEEVSFSDWMRARPELYSILRRLLRRDPMGALGYSSSKPSLAKIVEYADGRPHEMDIVAAMRNGEVLGRQTVLKSDHCPGCHNLHLPERVEGAPNFREIPEFPVYGVANPTVDGIRAVIQRISTSKGGRPILWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRDRVERMEARLKEDILREAERYSGAIMVIHETDNGEIFDAWENVNNEAVLTPLEVYKLLESEGLPIKYARVPITDGKAPKSSDFDTITLNVAAAHKDTSFVFNCQMGRGRTTTGTVIACLLRLRIDHGRPIRLPACEYNHEDPNELGYSSGEETTDHNGHLNSSSPRPHTVTEQHPRFGIDDILVLRKITRLFDNGIECRQTLDNVIDKCSALQNIRQAVLQYTKVINQQHVEQRVKRVALNRGAEYLERYLKLVAFSAYLWSEAFDGFCGQGEAKMSFKAWIHQRPEIQSMKWSIRLRPGRFFTVNDESKASFQPSQGDVMMEAIVKARNGSVLGKGSILKMYFFPGQKRSSTINFCGAPQVFKVDGYPVYSMATPTVDGAKEVLSYLGSKDTGRSIPQKVVVTDLREEVVVYIKGTPFVLRELDQPVDTLKHVGISGPMVENIEARLKEDILSEVKQQGGRLLLHQEEFNSSTGQSSVVGFWEHIGTEDVMTPAEVYSVLRNQGYCIDYKRIPLTREREALASDVDAIQSSVDENARYYLFISHTGYGGVAYAMAITCLGLGADEKFIMEQTAETHFISTSLTKSVSIKTSADIALKQGDYRDILNLTRVLVHGPKCKEEVDTVIDRCVGAGHLREDIMHYRKALQDCSLDDDETWSYLMDMGTKALRRYFFLITFRSYLYCSSLREPTFASWMEARPELGHLCDNLKLDK >ORGLA03G0228100.1 pep chromosome:AGI1.1:3:20406757:20408948:-1 gene:ORGLA03G0228100 transcript:ORGLA03G0228100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISVGRSENFEFLLHFILVRYLPLSLHNYMIAEALIVICHFKGLFCWILIXEWHCIFLCRYEDEPPEPEIEEGAEEEPENNNEDGPDEVVGAEAEDKEQEKTQRPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGG >ORGLA03G0228000.1 pep chromosome:AGI1.1:3:20404011:20405956:1 gene:ORGLA03G0228000 transcript:ORGLA03G0228000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:post-illumination chlorophyll fluorescence increase [Source:Projected from Arabidopsis thaliana (AT3G15840) TAIR;Acc:AT3G15840] MATLSSCSRLSTGGGAVQRRPRRPASAATITCHRSSSSSSSARVVRTGAAAAPAAATAPAVPQTNECSLPTWAEFELGKAPVYWKTMNGLPPSAGEGLILFYNPAATKMTPNAQFGIAFNGGFNQPIMCGGEPRQMTLQERGSADPPIYTIRIRVPQHAMTLVFSFTNGVDWDGPYTLKFRVPKPWLNKPLSFFNEGLADELNREGACDRAIFPDENVVITSCEMGSYYEEGGDRCKLDIVSGCMDPNSHMFDPLATVDDGSCPMDSDSEE >ORGLA03G0227900.1 pep chromosome:AGI1.1:3:20402289:20402633:-1 gene:ORGLA03G0227900 transcript:ORGLA03G0227900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPHRRNNCQGKYIIPLAPHALALPPPLSPSTTRIPQRRPSPAPLPCTQALLDLDREPSSLDQSLRLTAVVGQWRRGDPVYMHCYSCPTAYGTTSRQVVEEPRPSLNTSGTS >ORGLA03G0227800.1 pep chromosome:AGI1.1:3:20392054:20397341:-1 gene:ORGLA03G0227800 transcript:ORGLA03G0227800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucanase 113 [Source:Projected from Arabidopsis thaliana (AT2G35610) TAIR;Acc:AT2G35610] MAPWCPADSTKPVFVGIYGAVIGGFAVSALFFLLSSFSSLAAPPLPIPPASAAAANLSGAVSGVAQPETMYNRPIWKPPPRRERMPAPRAFRLTREMVGARARGGAIVVTFGNHAFLDFILTWVRHLTDLGVDSLLVGAMDTKLLRELYLRGVPVFDMGSRMATEDAVWGSPTFHKMGREKVLLINALLPFGYELLMCDTDMVWLKNPLPYLARYPDADLLTSSDQVIPTVTDDSLENWREVTGAYNIGIFHWRPTEPAKRLAKDWKDLLLSDDTLWDQNAFNDLMHKKFGYPVVGEDELVYSYDGKLKLGVLPASIFCSGHTYFVQGMYHQLRLEPYAVHTTFQYAGTAGKRHRLREAMLFFDQPSYYDSPGGFLSFRPNIPKSLLLDGAHTVKSHFALVNYQLKQIRTALAIASLLKRTLVMPPLWCRLDRMWFGHPGVLEGTMTRQPFLCPMDHVFEVHVMLKDLPKEEFGPHIDFREYSFLENPSLPKQVKESFLEVELCNEHSTRCSTTNRTNKGAPVLLPRNSTEQTLLDIFKPYKDIKILQFSSMVNAFGGFSDAAVDTKFRNRVKRYVGLWCCVQLREIGHIYYDMYWDEKPGWKPLPPQTKVEDHPPWLEVQE >ORGLA03G0227700.1 pep chromosome:AGI1.1:3:20387841:20390054:-1 gene:ORGLA03G0227700 transcript:ORGLA03G0227700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLATFKPMPSLLHTTTLTILRVCDMSRGGPTLQYTLQGQAYNNSRVRDLDGLGLDADALRLPAHLFSVFDGHGNAEVVNYCRERIHVVLSEELRIQMVPHGCLTHGAARALAPPHPAALLQDARLGGGKAEAVAREAAAALRDNGGSGKLALWEQEAPAVERQRRRSVTGERAGEER >ORGLA03G0227600.1 pep chromosome:AGI1.1:3:20368014:20369138:1 gene:ORGLA03G0227600 transcript:ORGLA03G0227600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGDDAVVPRKGAGGGGTTTPPPPPPAQQQQQQPLPPPPPQEQGLRCPRCDSPNTKFCYYNNYSLSQPRHFCKTCRRYWTKGGALRNVPVGGGCRKNKRSRSAAAASRLSLNLPTVEGIGGAAADPAAAAAARLGFLGGGTTMMMSSSTSPLGGAAASVADFQQGGAVGMLPLPRLQSPAGGGVGHHQYVPFGEWPSGDIAGGNAVNGGGGHGAVSSTIASSIESLSFINQDLHWKLQQQRLATMFLGPPGSASAAAAAAQANDGGGNGAQATASGHAAGGGGGAFMHMAGSVPSMEAAMPSATSWFMDSCSYGLPSPPPPATAAVAATTSSNLNSGGRSSGGGGGDDNATSNCGSAIPAWGDISTFAMLP >ORGLA03G0227500.1 pep chromosome:AGI1.1:3:20328495:20329820:1 gene:ORGLA03G0227500 transcript:ORGLA03G0227500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWAEITLKPWGQHGELGTGKTRVEVDVRRREQRGARVRPGNPDYLADKPPLSPDPGSNHPLYHVAPLSSLRDPRVPLPFISGHALSLPYFGSH >ORGLA03G0227400.1 pep chromosome:AGI1.1:3:20323449:20325038:-1 gene:ORGLA03G0227400 transcript:ORGLA03G0227400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPLAAAAAAASAPAPAAGAQHGRVLDAYKKALATAASVAAYAVLARSMARELLPDELRAAARWGAAFVRARLGASEKERHTVVIRRQLDGGYSENQLFEAARAYLATKIDPRALRRLSLARSRCKEADGSSSWTTLLCLEPGDSTTDVFDGVEFRWTSMETGGGDDGKRGGKGGGDRGHRAPRESLELSFDAEHTDTALERYVPFVMATAEQLQRRERVLRIFMNEVRSWHGFNHHHPATFDTIAMEPDLKKSIVDDLDRFLKRKEYYRRIGKAWKRGYLLHGPPGTGKSSLVAAMANYLRFNLYDLDLSEVRVNAALQRLLISMPNKSILVIEDIDCCFDANPREAHKITTAALDQAEDFDFSSSDSDDAVGAPPRARRAGDLQQQKLTLSGLLNFIDGLWSTSGEERVIVFTTNYKERLDPALLRPGRMDMHVYMGYCGWEAFKTLAHNYFLVGDHPLFPEIRQLLAGVEVTPAEVSEMLLRSEDANAALRGLVEFLRERTRRRARQEAAIDDNQVVAEKGNAA >ORGLA03G0227300.1 pep chromosome:AGI1.1:3:20320321:20322612:1 gene:ORGLA03G0227300 transcript:ORGLA03G0227300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYVTIPYGAAVLVGGVAGYLKRGSKASLAAGGGFGGALLLAGALSARAFARGHPSGSLFAAVLQTVCAVALTVVMGIRYIKTRKVMPAGIIAAVSALVLIFYIYKISNGGNKVYVPVSAE >ORGLA03G0227200.1 pep chromosome:AGI1.1:3:20300626:20303271:-1 gene:ORGLA03G0227200 transcript:ORGLA03G0227200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTWRPEDLFLETLKDSLAQQRAWRHRGIAWRWPGARGQSGRGVATGRGGTTWRLDDDIARDYPTTPPVHNTAWVHSKV >ORGLA03G0227100.1 pep chromosome:AGI1.1:3:20278923:20293530:-1 gene:ORGLA03G0227100 transcript:ORGLA03G0227100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 2 [Source:Projected from Arabidopsis thaliana (AT3G03300) TAIR;Acc:AT3G03300] MGGPLTAAGGRGDGGAKAVEPLRPPPPPDPKTMARWYQLEALERAVRGNTLAFLETGSGKTLIAVMLLRAYAHRVRRPDSRRFAVFLVPTVVLVGQQARVVEQHTDLVVKQFCGEMGVDFWDAATWRSQLEDGEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHHARGNTPYACIFKEFYHPQLNSSASDPLPRIFGMSASLIYSKDLNQHNYSKQISEIENLMNSKVYTVDSESALSEYIPFASTKIVHFDDSNISSELHANILSCLNRLTKKHIEALDRKLHGSSLENAKQRISKLHRTFVYCLYNLGVWLAAKAAEVQSYEENSLSFWGETLDKNVEGFIRNYSEEVHRELSCFLKNGHIGEKFPADSQDGILTPKVHCLIRTLLQYSMELPKFSWMVDLVRSYDYCRHLLWIYPSSFXFXITRXPPFVVIVTXPILHFITVHYTRFCIRGDVEAHTNAKKFLASGQIMREESLRLGSISCQPLENTLCKDTYYRVESTRAIVTLNSSVPLIHFFCSKLPSDEYFKPLPRFDIDKASGTCTLHLPKSSPVQTVNVEGEGSILKETVCLKACQELHAIGALTDSLLPELDVPCDEEPDIVVENKIEQPSYFPEEFVDNWRSFSRLGIYYCYKISLEGCPKTASPTDILLALKCDLGSDFTSSSFKLPGGQDNASVTMKYVGIIHLNQEQVIIARRFQTTILSFLIGDDHLEVSNGIKYFHEMQVPIGVVYLLLPLVSGRIDWCSMKFSSSPIYEANNKHMTHCHSCKDIDLLQTKDGPFCRCILKNSIVCTPHNNIFYVISGFLDLDANSRLPQHDGTVVTYKDYFKTRHGLTLTFENQPLLAGSKHVKVRNFLHNCYSKKEKEPGDRYSVELPPELCRIIMSPVSANNLHIFSYVPSIMFRIQCMLLSVKLKVQLGPTVQQFDVPVLKILEALTTKKCQEEFSQESLETLGDSFLKYVTTRHLFSEYRLQHEGILTKMKKNLISNAALCQLACSSNLVGYIHAEEFNPRDWIIPCLDYDERGNKKISFLAPNGMYSQRKMSIKSKRIADSVEALIGAYLSTAGEKAAFLLMKSLGMNIEFHTEIPVERKISMKAEEFINVRSLEGMLGYKFNDSLLLLEALTHGSYQTSGPTSCYQRLEFLGDAILDHLFTEYYYSKYPDCTPELLTDLRSASVNNNCYAHAAVKSGLNKHILHSSSELHRKMSYYLEKFGQSFTGPSYGWEAGIGLPKVLGDVIESIAGAIYLDSKCDKEVVWRSMKRLLEPLATPETIEPDPVKGLQEFCDRRSFKITYEKNHVDGVSSVIARVKAGETTYSATKSGPCKLVAKKLASKAVLKDLIAGHKDTEAAAV >ORGLA03G0227000.1 pep chromosome:AGI1.1:3:20276011:20278151:1 gene:ORGLA03G0227000 transcript:ORGLA03G0227000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAVASSPSPSPASTRLLRGHHPPPSCSAPSLLRLTRSSRRLRLRAAAAAEEADVLPGPGAEGEMAAGGRLEEQPEGPIGGSQVDIGGLAFQGDMGGGGFAGGSGGAGAGGGDGNKMLDRGINTAIVLGASTYALTKLLTVDHDYWHGWTIFEILRYMPEHNWSAYEEALKTNPVLAKMMISGVVYSLGDWIAQCYEGKPIFEFDRARMFRSGLVGFTLHGSLSHYYYHFCEALFPFKDWWVVPAKVVFDQTAWSAIWNSIYFVVLGFLRLESPATISSELKSTFWPMLTAGWKLWPFAHLVTYGLVPVEQRLLWVDCVELIWVTILSTYSNEKSEARNSEDASTSNASNDNSI >ORGLA03G0226900.1 pep chromosome:AGI1.1:3:20268020:20272942:1 gene:ORGLA03G0226900 transcript:ORGLA03G0226900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSIVKKEVGENHDVLRFGVNNSVKGDLAPQHPIQATVHKEAKFWADKKRFGAEAIYGSAFNIRKDLDAQILSKFQRPPGALPSSMLGYEALTGSLDDFGFEDYLNLPQDSDSFHAPDMHHGMEKNLLEIGICKKYIHTRSRGDSGGRGVRLPNLAPSRSDPACPALEADPQATASGEVTARTWRWQGEDYGSPTRRGDARGRCRQVDAGGTSGSRRLAWTASGGWRDNDKEASLTDGVKVDARANGKEAGLTDGMEVNTVMTGSPMMVVCATRHAETD >ORGLA03G0226800.1 pep chromosome:AGI1.1:3:20262166:20264773:-1 gene:ORGLA03G0226800 transcript:ORGLA03G0226800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAFVPPPPQYVPPEEPSAFARLYDVVDRAKAALVTVTGGPTTVHGVVVISSGHGQRARRAVTEIITTAPASDPAPAAPQTGSPSFLNSVAVKVAAGVVGLVLGLCVLALWIRRWRRRRRRQQAQPLPLPPPMLYNPNPYYKGDQPPLPFVFMQQQHHHPTAPQTSGGTFSDAGSERPHSISIDGGSLSYDQLAAATGGFSPDNVIGQGGFGCVYRGRLQDGTEVAIKKLKTESKQGDREFRAEADIITRVHHRNLVSLVGYCISGNDRLLVYEFVPNKTLDTHLHGDKWPPLDWQQRWKIAVGSARGLAYLHDDCSPKIIHRDVKASNILLDHGFEPKVADFGLAKYIAPEFLSSGKLTDKADVFAFGVVLLELITGRLPVQSSESYMDSTLVGWAKPLISEAMEEGNFDILVDPDIGDDYDENKMMRMMECAAAAVRQSAHLRPSMVQILKHLQAQTHGEDLNSIFRTTYAEDTYSSIMESGESIGPRSRRAPGSQGNTSSDYSSDRPSSYRQSQPKPR >ORGLA03G0226700.1 pep chromosome:AGI1.1:3:20260572:20261285:-1 gene:ORGLA03G0226700 transcript:ORGLA03G0226700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDAGRWPLGQQEDPHYRRHLWLGHAVVDELAALGAAVHICSRNEAELGERLREWEGRGFRVTGSVRDVSVRDQPERMLREVASLYGGKLDILVKHFTASLPPSSIQLLASPPRCGHD >ORGLA03G0226600.1 pep chromosome:AGI1.1:3:20245204:20246241:1 gene:ORGLA03G0226600 transcript:ORGLA03G0226600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSKKAASARAGAVADKEAAAPLPPEKEVVALPPPVVVEEEVKEVLSETAVPVSRPRPPPEPEKEVVKRKEEEEEEASESASVASATAEKAKAKGGGEGEQEVEQKAIGGMEKGRARRRTPEQRRPKEAAGNGRARSPSPASAQRRYGGGEHLAPPTRPRREQPAVVSGIGCRSGRFSPSAARRAAESAVRRTNSAREADMMLPHSSRTPAAAKRSLNGNGGAYGGGAAKRDPGERSGRRTDSPTSKHIPPASPAANGAISRQQSLNGGATRKTAKDDTTLEQTKPQCHGGGGGGRSPEEARDGSDESALEEEGHEQEKQTAEGGALGPINPSVAMECFIFL >ORGLA03G0226500.1 pep chromosome:AGI1.1:3:20218227:20218976:-1 gene:ORGLA03G0226500 transcript:ORGLA03G0226500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKVKLQRIINDAKRRATFKKRLKGLMKKASELATLCSVDTCLMVYGEGEAQATVVWPSESEVMRVLERFKALPQLDKYKKMTDLEGFIQERIDKLQEQLDKVRRDADESETKLLLIEALEGRRPGLEEITIEQLTSLGWLVDARLNIVNDQLQKLHEQGLLPASVSLPTMGVLPYTTAGYTVAQEAPIQRGGWLVGVVRGIGSLGYSLFRGSGRSNTAGSSGDMVQPFNIGAGSSLANQGISFPPK >ORGLA03G0226400.1 pep chromosome:AGI1.1:3:20210714:20215188:-1 gene:ORGLA03G0226400 transcript:ORGLA03G0226400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:I1PD18] MAAGGRWGHSDNPFEEVEIDQVNPFSHPRPTPLPHEPVAFYNDPGASVDPLDSKKGLKKKERELLAKEAELNKREQELKRREEALARAGVFIEPKNWPPFFPVIHVDISNDIPVHLQRVQYVAFASLLGLVICLFWNIICVSAIAIMWGDPRAWFLAAIYFITGCPGAYFSWYRPLYRAMRKESAFRYGWFFLFYFFHISFCIYAAISPSIFFVGRSLTGIFQAINVIGYNGAVGILFFLGFAMFVLEALLSIWVMQKVYWYFRGKGKEAEMRPDAAAGGSRF >ORGLA03G0226300.1 pep chromosome:AGI1.1:3:20206234:20209737:-1 gene:ORGLA03G0226300 transcript:ORGLA03G0226300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:I1PD17] WLAGRDTTTPSRRAAATRSTLSRXDKASKGGSAGQSSYSGGAFYTTQSRPSAPPATHLSPLPPEPADFYNDFSTPVDIPMDTSKDMKTREKELLAKEAELNRREKEIKRREEAAARAGIVLEDKNWPPFFPIIHNDIGNEIPVHLQRTQYVAFASLLGLVLCLFWNIICVTAAWIKGEGPKIWFLAVIYFILGCPGAYYLWYRPLYRAMRNESALKFGWFFLFYLVHIAFCVYAAVSPSILFVGKSLTGIFPAISLIGNTVIVGVFYFLGFAMFCLESLLSMWVIQRVYLYFRGSGKEAEMKREAARSAARAAF >ORGLA03G0226200.1 pep chromosome:AGI1.1:3:20202072:20204036:-1 gene:ORGLA03G0226200 transcript:ORGLA03G0226200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLPPPPTPTPPCASRDPLHAAPRRSRSPSTSRPPSRHRRTASARSTLPAAARDLLADDPTPRAFSALLKAASSSASPPRRPSSLGLGAQLHAQAVVRGFLGGDDSTILATAVLSFYASCREPDLARKVFDGMPRRNAVTWNALIKGYAQAGRREEAILLFRDMKREGSHVAPDRYTFPALLSGIGREGGSGRTLELGGALHAHVIKAGLERDPFVGASLVSLYAARRTLEDAKVAFDQVGSSDPIVWSSMISAYVNCEEEEGALLIFFNMLCQDIKPTQFVYSTVFSVCGRMGILEMGKQVHAHSLKSNTEKDAAMFNALLTMYSDCGCINDAQKVFSSNDCVNVISYNSMISALGQHGYPKEALEHFRQMKFAGLMPDEVTLLNLLSSFNHAGLVHEGLQMFNSMVDIEGIKPMYQHYACVVDMLARSGEIGKAMKTINEMPFEAEAPLWRIVLGACSKHRDIETGKRIAEMLFEMEPYEATNYILLGNIYARLGRWTEAEKVRSLMGERGVYKDDAFSWIEMGQRTYRFGVDDRSHPISREIYRNLDRLISTIKVAGYVPDISFAAHNIQRDRKEESLYYHCEKLAFAFGDLAAPSGGTLRIMKNLRVCGDCHCAYKYFSLVTGREIILRDNQRFHHFNSGFCSCGDYW >ORGLA03G0226100.1 pep chromosome:AGI1.1:3:20199701:20200719:1 gene:ORGLA03G0226100 transcript:ORGLA03G0226100.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAKAKLSMLKSKVICCKLYISESQNAKVVDAITRIGQKDPEVVLLSKFEDDHYNRVRYTLASYIINENSTGEVKFSPMRRVLLEMIEKAFSTINLETHNGTHPRIGVIDDMSFHPLNQATMEDAAQLAKTVASDIGNFLQVPVFLYGAAHPTGKPVTAVRRELGYFQPNYMGIQWMGQVLPDILPVKPDEGPDHVSRERGAIMIGAAPLPLSYNVPVLSKDIPTIRRITRRVTGRGGGLPTVQALALSHGDDCTEIACFLDPDHVSADQVQQQVEQIAAEQGLEVEKGYFTDFSKDAMLEKYFKIVLSVD >ORGLA03G0226000.1 pep chromosome:AGI1.1:3:20191275:20193609:1 gene:ORGLA03G0226000 transcript:ORGLA03G0226000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVAAAAASSSSSPLARLVSRRGLAGAADHHGPPKVNIWQEPMNPANWKEEQFVLASLAMWGGIFYGVGRLFSGKKEDKTTESSDGA >ORGLA03G0225900.1 pep chromosome:AGI1.1:3:20180742:20184360:1 gene:ORGLA03G0225900 transcript:ORGLA03G0225900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSSRRWASASVTDLSSAGRSPLPAAALSPVRPSARRSPAVSRPDPAPSIARTIWPSSSSSNSGNTSTRKASPSPPSPAPAASTSSSSSSVATTLAEHLAEDSLDAPPAALSRQRSCTELPRFADADAEARKVVVARSGGHANAIGRSMRFLPSTRPAGVTLTPGRVAPSDLRRLDAGADVASSGSECSDASRGGGGSTPRTTTKLPKPPHSPLIARTNSTRLLGSSNTQWALSPGRRSGSPLKTTLATVPELKGKTKSLIGLGWGHLFSRRKAAAGAQATVTLLSSPASRRSGGGGNREIGHQMKMMHCRLLQWRFANAKAEAVSKNKLSIFEPKLSASNTCSSVNSEILKPPLEIKHQFIKWWNSWVHGLGYQSCKMRGLESWGQLESKHAVALDSTVVCTQAAICKLPLTNGAKISLPSMGIILQQALDLTMTTKTIVRSFTPMAHDTTLLITELVAVAREEHALLQECLELLGRVSALQTIVALEVNELVNDIIVSCRRGHSALALPPPPDLTCRRRR >ORGLA03G0225800.1 pep chromosome:AGI1.1:3:20168226:20169125:-1 gene:ORGLA03G0225800 transcript:ORGLA03G0225800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLYDLGMRRLFFVGIAPLGCCPLIRELNPTKECDAQANYMATRLNDAAVVLLRDMSETHPDFTYSFFDTSTVVLQSIRDPEAHGYKEVKAACCGLGDNNAMFLCSPASVYCDNRTSYMFWDVVHPTQATVEKLMKIAFDGSAPLVSPKNIKQLTES >ORGLA03G0225700.1 pep chromosome:AGI1.1:3:20155458:20155718:-1 gene:ORGLA03G0225700 transcript:ORGLA03G0225700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGNTIAAASSAVAIVVVLVAAASSLAAAEAAVPAVYVLGDSLADVGNNNHLLTLLKADFPHNGIDYPGGKATGRFSNGKNFPDFL >ORGLA03G0225600.1 pep chromosome:AGI1.1:3:20150452:20150925:-1 gene:ORGLA03G0225600 transcript:ORGLA03G0225600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDRLCSEAVRPRGTQGAVPGDGAGGLLPVAAGAERRQGLQRRGQRRVGAVQRGGGVAAARDGRAARGPPLRGVRLQRGAAPVHRAAGGVRVRXGAGGVLRPRGHERQDRLHPGQLLLRQPDGLRLLGLLPPDRGHRADAHRRRVRRLAAARLPREH >ORGLA03G0225500.1 pep chromosome:AGI1.1:3:20149117:20149332:-1 gene:ORGLA03G0225500 transcript:ORGLA03G0225500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQATGAAGGGGGGRRKHERRTAKAPASRDSGTSARIFSGRLSSLAPVASAANSAQMPRPAEPLSSRRRRYV >ORGLA03G0225400.1 pep chromosome:AGI1.1:3:20144629:20148504:1 gene:ORGLA03G0225400 transcript:ORGLA03G0225400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLASGIIKWTANKLSSLVSPQIVSSSSDEQSASFRDLRDLQRTMARIQRTLDAMDEHNIRDEAERLRLWELQQFAYDAQDAIDEYRYELLRRRMEDQSNQRQSSRSRKRKRKGDKKEPEPSPIKVPVPDDLAARVRKILERFNEITKAWDDLRLDESDAPIREEAYDIKISTAPHVGDFDIVGREEDKENIIEILLSNEAAQANMSVVSIVGMGGLGKTTLAQMVYNDERISRYFQLKGWVDVSEDHFDVKAIARKIIMSFTRNPCDIEDMGNLQNMITAQVQDMKFFLVLDNVWNVKKEIWDALLSLLVGAQLGMILLTTRDETISKMIGTMPSYDLSFLTSEESWQLFKQMAFGFIDQHMDQQFEGFGRKIVGKCGGLPLAIKAIGSSLRGETNEETWKDVSESDQWGLPAEEDRVLPALKLSYDRMPVQLKRCFVFLSLLPKGYYFWKEDMINLWMCLGLLKQYCTGRHENIGRMYFDDLIQRAMIQRAESDEKLECFVTHDLIHDLAHFVSGGDFLRINTQYLHETIGNFRYLSLVVSSSDHTDVALNSVMIPGGIRILKVVNARDNRRCSSKLFSSSINVKIPTETWQNLKQLRALDFSHTALAQVPDSIGELKLLRYLSFFQTRITTIPESISDLYNLRVLDARTDSLRELPQGIKKLVNLRHLNLDLWSPLCMPCGIGGLKRLQTLPRFSIGSGGWHSNVAELHHLVNIHGELCITGLRRVINVDDAQTANLVSKNQLQILRLDWSDGVCPNNCSHPSSQNDVATPDPEHEEEIFESLWPHKNIEELEVVNYSGYKYPSWFGASTFMQLAKIILCQQSCKFLPPLGELPSLCILSMECMTGVEHVRQEFRGNITTKAFPALEELEFQEILKWVEWSQVGQDDFPSLRLLKIKDSHELRYLPQELSSSLTKLVIKDCSKLASLPAIPNLTTLVLKSKINEQILNDLHFPHLRSLKVLLSRSIKHLLLDNQNHPLLEVLVISVCPRLHSIMGLSSLGSLKFLKIHRCPYLQQPSDKPLSTQLQRLTITKCPLLADWLEVQISHQQCQLHESKDAWYEEQQALDELNDASEDEQREEFGLLYEDENGEDSDEQDHEQSEDEEIQYGSDDSSEEDE >ORGLA03G0225300.1 pep chromosome:AGI1.1:3:20142309:20142855:1 gene:ORGLA03G0225300 transcript:ORGLA03G0225300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATGPYRSLSPSIGATRPAKASRALVQSCSWGCCGSCSAFAMTGALESHRVIACRGELRQPGAPVRAGARGLRSKEQRLRRGPRLERFLVRHEEWSGVVNLLPLHGSGRWIKSAGTPLIHLRLALDLH >ORGLA03G0225200.1 pep chromosome:AGI1.1:3:20140834:20141082:1 gene:ORGLA03G0225200 transcript:ORGLA03G0225200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQFSHVCLCLLRLTAKRPSAQHPFVRRSRGHLGLGLPSWHRALAAPRAHWRRRRRKPSIAVSHPYRHVSNPIPKAEYPKS >ORGLA03G0225100.1 pep chromosome:AGI1.1:3:20126103:20128496:-1 gene:ORGLA03G0225100 transcript:ORGLA03G0225100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 [Source:UniProtKB/TrEMBL;Acc:I1PD05] MVSLKLQKRLASSVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAAPAQTAAAPKKSKK >ORGLA03G0225000.1 pep chromosome:AGI1.1:3:20121137:20125070:1 gene:ORGLA03G0225000 transcript:ORGLA03G0225000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLISSGIIKWTASKLSSLVSASPGASASNEQSSALRDVRTLQRTMARIQRTLATTDEHSIRDASERLHLRELQQFAYDAQDAIDQYKFELLRRRMDDPNSHGDGSSSRKRKHKGDKKEPETEPEEVSIPDELAVRVRKILERFKEITKAWDDLRLDDTDTTMQDEEHSMLPLPTTPYVDEPTIFGRDEDKEKIIKMLLSVGGANEGDVSVLPIIGMGGVGKTALVQLVYNDRRILNRFDLMGWVHVSENFDLKSIMRKIIVSFMKKPCQMTQMDQLQYMLIEQVVGRKFLLVLDDVWNERKDIWDALLSAMSPAQSSIILVTTRNTSVSTIVQTMHPYNVSCLPFEESWQLFKQMAFLHQYESMKIDFEVIGRKIVQKCAGLPLAVKAIASALRFEENEEKWNDILESEQWELPTTEDTVLPALKLSYDQMPIHLKRCFVFFALFPKGHVFLKENVVYLWISLGFLKQTSQTNLETIARCLDDLMQRTMVQKILFDGGHDCFTMHDLVHDLVASISYEDILRIDTQHMKSLNEASGSLRYLSLVVSSSDHANLDLRTFPVSGGIRIFQVVNSMDDNRRYFSSFFKNNRRCFSKLFSHHINIIIDNELWSSFRHLRTLDLSRSSMTALPDSIRELKLLRYLSIFQTRISKLPESICDLLNLKTLDARTNFLEELPQGIQRLVNLQHLNLVLWSPLCMPKGIGNLTKLQTLTRYSVGRLGRVTKVDDAQTANLINKEHVQTLRLDWSDGFYSSECDHNSSHIDVKATPELAEEVFESLKPTSNLEELEVADYFGYKYPSWFGGSAYSQLAKITLWKQGCKFLPTFGQLPQLRKLVVIRMEEVERIGQEFHGENSTNRFPVLEELEFENMPKWVEWTGVFDGDFPSLRELKIKDSGELRTLPHKLSSSLKKLVIKKCEKLTKLPTIPNLTILLLKGNLSEEIHNSLDFPMLQILKVCFSQKLVCLELNNKNLPILEVLAISGCRGLFSVVGLFSLESLKLLKIKDCPNLQCPLQPLQQQLQQPEFDNASYDQEAIGALSDNSEDDVEVFNEDEDDDFYDEMLEVGQSSGTAINDDDGSNDAV >ORGLA03G0224900.1 pep chromosome:AGI1.1:3:20116181:20117289:1 gene:ORGLA03G0224900 transcript:ORGLA03G0224900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLHIGGDDVILDVGHLAGQASGDDGDAVDNALMRLPCALDAVGVLTGTMAAAAARSGGGVGRDVDGQGSPTARGELDGARLPGVMMHHDNPVHRGTQGDHSSSPLCICVSRQATADGHRQPPHAARVVPVRAGFGGGVWRSPASSERASQRREDGTGPGEEGSAAACSGCVQFAKQSGAWLRWRLEQPGGKETIEGGSSDPVSDTTTVKEGSFPNPIFICWCVK >ORGLA03G0224800.1 pep chromosome:AGI1.1:3:20109486:20110733:-1 gene:ORGLA03G0224800 transcript:ORGLA03G0224800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRITTHLSPTLKPPPLSLHPHLPLLRRHRHPSSRPISVSAAAPSDLLASVESVASAASVLAAIVLVHESGHFLAATSRGIHVSQFSVGFGPALARFRLGPVEYALRAIPLGGYVGFPDDDPDSGFPPDDPDLLRNRPVPDRLLVVSAGVAANLLFAFLIVYAQALTVGVPVQAQLPGVLVPEVIPGSAAARAGLLPGDVILSVPGLAPDPSVPVLVDLIKASPNKDVSVIVSRTGPGPGDRRSIDLTVVPDTSVDGTGRIGVQLSPYFRVTRVHPNNLAEATVLALREFTALSATVLDGLRQTFLNFSQTAEKVSGPVAIIAVGAEVARSSAEGLFQFAAVINLNLAAINLLPLPALDGGTLALILLEAARGGQKIPREIEQRIMSSGILVVLMVGMFLIVRDTLNLDFIKDIL >ORGLA03G0224700.1 pep chromosome:AGI1.1:3:20097754:20100415:1 gene:ORGLA03G0224700 transcript:ORGLA03G0224700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMIKPAPAPASSEKEVVATAATGERRHEEATAGREEQEEEEEEEEAPVVLKKGPWTTAEDAVLVQHVRQHGEGNWNAVQRMTGLLRCGKSCRLRWTNHLRPNLKKGSFSPDEELLIAQLHAQLGNKWARMASHLPGRTDNEIKNYWNTRTKRRQRAGLPVYPPDVQLHLAFAKRCRYDDFSSPLSSPQQSAGSNVLSMDAADAAGAASSGYTSARPPPLDLAGQLAMGSRPVQLLAATPFSAPSSPWGKPFARNAQFFQFPHSSPVSPTTPTGPVQVHPVTPELSLGYGLHAGDRARLPPVSPSPGARAELPSSQLRPSMAPTTAAAAATGGLVGGALQDHPNAASLEAMLQELHDAIKIEPPAPPENRGTEEEEGGGGGNLRGFLHEQIFDKLMPIKSEDFCIVGLHSVLISLQFPGDGKPEVELKDDIETLFDLIIPATFPAAAPEPAAAATAASAAPNHSSSVSQHSSDDQDHSNGADVVLDLPILTGGGGGSSEQDDWSLDGAACQWDNISGGIC >ORGLA03G0224600.1 pep chromosome:AGI1.1:3:20084778:20085438:1 gene:ORGLA03G0224600 transcript:ORGLA03G0224600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKGQRGGGGSDAGEGVTWRRWRWRWPRRGAEEEAGPPAGACGCGSVVTAGCRASARLCRPGAARTSGSAQEEEPDDEVAATMMSGQVGSNMNLDGELRKIKDRFATWKKDYKSRLKETKADKMTTTMSSIVNGGAGTRPEFSLSKKGLYM >ORGLA03G0224500.1 pep chromosome:AGI1.1:3:20069820:20069981:1 gene:ORGLA03G0224500 transcript:ORGLA03G0224500.1 gene_biotype:protein_coding transcript_biotype:protein_coding SILIGPRSPLNLQGIGLLHDNSCLMLILGEATHYAQLMDLQEWSCQHLIDKQIQ >ORGLA03G0224400.1 pep chromosome:AGI1.1:3:20066308:20066889:-1 gene:ORGLA03G0224400 transcript:ORGLA03G0224400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TALERPWKRRRRRRRGGGASAPPVNEARREMGIGMRRGETRDRGFHVWIRVTRRLVDGVQIALVAAKLGALRYLVFERRVIGAFWSQPTGERQASATAGRQGRPVMAWDMVISVSHALLDEEDGGGGSDLWPPHHDGVLTET >ORGLA03G0224300.1 pep chromosome:AGI1.1:3:20064258:20064668:1 gene:ORGLA03G0224300 transcript:ORGLA03G0224300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSATSWPLPPPLPDVIIIVHHGHQHSLDPCRHHCHXEGGIGSVLRLPAFPPSDLDNNLISCTSMMNWGCHSKEGLSDWCYRQERSSLSMIAGVAMALAAVIDKEEKVRGLRGCWLCPHQIWMLSLPTTISGHRS >ORGLA03G0224200.1 pep chromosome:AGI1.1:3:20059909:20061604:-1 gene:ORGLA03G0224200 transcript:ORGLA03G0224200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LXQVEVDNWIVGTNNFTVLWQDSEEQAMLGRSVIVDHAVVKDKLTAKVLSTKGPNTIINTKWWLNHGTSIPNLRILASRILNLTCSSSGCERNWFVFEQRGSKDRDPLEKEVNDVVADNENEFITGIVPLSNELAEHDPQDGASQGETSQAQGKRKRHVRPKKKKIRSVHSLMNDVTMQPGPSSSDSEDGDGNGAGDVSEGSSDSDKSHGVFESD >ORGLA03G0224100.1 pep chromosome:AGI1.1:3:20052213:20057526:-1 gene:ORGLA03G0224100 transcript:ORGLA03G0224100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDYWARAYRGDSGVPHSDPQRLVTTWTGAFALGAAACVHHHASALASNIKSLPHYWQDMTMMLDQKRWKKILEKKQQQA >ORGLA03G0224000.1 pep chromosome:AGI1.1:3:20032928:20034920:-1 gene:ORGLA03G0224000 transcript:ORGLA03G0224000.1 gene_biotype:protein_coding transcript_biotype:protein_coding HAGYVRSVKAARKIASSHPDTLWNACKTPPASGRGDGAAICGPFQLLAAPPVEIAEGLRCNPMPLLKNPRNGTYYLRVTSIAVNLKAVPIPSHVPPVKPF >ORGLA03G0223900.1 pep chromosome:AGI1.1:3:20005828:20010406:-1 gene:ORGLA03G0223900 transcript:ORGLA03G0223900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PCZ3] MSFNKSRGGAAVLGGGDELVLRGTISKKWTLLLCLASFCIGLIFTNRMWTMPEPKEIIRRSALEVNKMNLLSGDCAPKSHIMQVMEQKDIIGEVPRTQDAIQALDKTISNLEMELASAKASQEESELNGAPLSESTGKRRYFMVIGINTAFSSRKRRDSLRATWMPQGEKRRKLEEEKGIIIRFVIGHSATSGGILDRAIDAEDRKHGDFMRLDHVEGYLELAAKTKSFFVTALSMWDAEYYIKVDDDVHVNIATLGNILAKHRSKPRAYIGCMKSGPVLAQKGVRYHEPEYWKFGEWGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGAWFIGLDVEHVDDRRLCCGTQPDCEWKAQGGNVCAASFDWSCSGICKSADRMKEVHQRCGENDSAIWSAKF >ORGLA03G0223800.1 pep chromosome:AGI1.1:3:20003376:20003894:1 gene:ORGLA03G0223800 transcript:ORGLA03G0223800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARAGALELLLLLGGRGGLLLLGRHRHGGRRAWWGWWRALRQRGKEARQREPRPGERRPAAGWPWALGAGTDALVDLMGDAVAEAGVVGACGGGRGGGGGRHSGGCGRLLGGDQWHGSQFCRGGGWRWWHRCREQPPPLLQPRHLRPSIAANNRAPHLGRQRRDGGLDLWGL >ORGLA03G0223700.1 pep chromosome:AGI1.1:3:20000245:20000509:-1 gene:ORGLA03G0223700 transcript:ORGLA03G0223700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGDVKSFRQRQAHRRRAGVSKKAPASQATPDRAVEEAEDEGRERMAREFDMDMR >ORGLA03G0223600.1 pep chromosome:AGI1.1:3:19996236:19999352:1 gene:ORGLA03G0223600 transcript:ORGLA03G0223600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLAEFCTPESCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTTEFGLIDKKELAPLQELIESIIPY >ORGLA03G0223500.1 pep chromosome:AGI1.1:3:19989640:19993236:-1 gene:ORGLA03G0223500 transcript:ORGLA03G0223500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFSFPPLAPEQIAEALHTYGLAPTANLRAEDIANPQPDLLPAVISNFLATVVDPTGADDLDGQLGFDALASLDNPEHYREGIRVLRLHKRANAFLESIQFPGFTLRDLLRPDPRRLVQVLSALINFLYYRDEKLALLQPIIHEFPNLDERCMELNAKIAEHQKAIADQELAAQMEVPMVQQLEAEVNSLKQKLVEYNKKQLALRANATAINDKKEETHRKIAKSDFELVKLAQENSKLLSKIVQSPEKLQRALEEKKTARAELKNAEKIAMQSVQEKTATLEIYSKGYEKLSKHSSKIQALQEQFTATKALEKEVKARKAKISDESVEIMALDTKTIEWDGKVHEMEERVKAKEKERDQIVADENQKLAALRSEVEWKLKCLEPRERKVEETIAKATKLCAEVDSTRTDAAEELRLIYAKFQQIGHAFTCYKDNFKTFLEQVDEVSKETLESLGRQAVEPLDTSATLSIEKENSCGPAP >ORGLA03G0223400.1 pep chromosome:AGI1.1:3:19985154:19987159:-1 gene:ORGLA03G0223400 transcript:ORGLA03G0223400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKKKFVSDGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVVNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKVGPTTPLPDLVTIHAPKEEEELRPPVLIAEA >ORGLA03G0223300.1 pep chromosome:AGI1.1:3:19979338:19983876:1 gene:ORGLA03G0223300 transcript:ORGLA03G0223300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTNAWISPSVVALCPSPLPSSRLPGSVLSCWPDSRGIRRGAGEGTAGQTLXPARGFTVEKLRNTAITRANSACLPMEDCVGIKYSSVNEREEGKGGHGVPKVSIIPLIFLIFYEVSGGPFGIEDSVKAAGPLLAIAGFLLFALIWSVPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWAKWLSGVIDNALYPVLFLDYVKSSIPALGGGLPRTLAVLILTVALTYMNYRGLTIVGWVAVFLGVFSLLPFFVMGLIAIPRIEPSRWLEMDLGNVNWGLYLNTLFWNLNYWDSISTLAGEVENPKRTLPRALSYALVLVVGGYLYPLITCTAAVPVVREFWTDGYFSDVARILGGFWLHSWLQAAAALSNMGNFVTEMSSDSYQLLGMAERGMLPEFFAKRSRYGTPLIGIMFSAFGVVLLSWMSFQEIIAAENYLYCFGMILEFIAFIKLRVVHPNASRPYKIPLGTIGAVLMIIPPTILIVVVMMLASFKVMVVSIMAMLVGFVLQPALVYVEKRRWLKFSISAELPDLPYSNVEEDSTIPLVC >ORGLA03G0223200.1 pep chromosome:AGI1.1:3:19974238:19975798:-1 gene:ORGLA03G0223200 transcript:ORGLA03G0223200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L13 [Source:UniProtKB/TrEMBL;Acc:I1PCY6] MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTSGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKYAPTIGISVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTAEELATATQVQGDYMPIARGEKRSVEVVKVTDEMKAFKAYAKLRVERMNQRHVGARQKRAAEAEKEEKK >ORGLA03G0223100.1 pep chromosome:AGI1.1:3:19970448:19972117:-1 gene:ORGLA03G0223100 transcript:ORGLA03G0223100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEDFEEYAEKAKTLPESTSNEDKLILYGLYKQATVGDVNTSRPGIFAQRDRAKWDAWKAVEGKSKEEAMSDYITKVKQLQEEAAALKAVFRAYLVGEMNIFECHIGRLTRCRRGFRTQMKKQIVYSPRTREMNLLNLIKPSLAHVGYCSTYG >ORGLA03G0223000.1 pep chromosome:AGI1.1:3:19966836:19968113:1 gene:ORGLA03G0223000 transcript:ORGLA03G0223000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESSCLPATTESIVKAQEAKDASESISILYRVLQDPSSSAEALRTKELAITNLTNYLTKENRAEELRNLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIIGTSELQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTDALTLLTDLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDMQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYARVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEAIFPATLETISNVGKVVDSLYMRSAKIMA >ORGLA03G0222900.1 pep chromosome:AGI1.1:3:19953432:19953902:1 gene:ORGLA03G0222900 transcript:ORGLA03G0222900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GANMSYPPPHPLLPSSSKLDWQRRKRRXGCCCGEETREIGERSWPWLSSSMVVGLDGGDDDDEWQAKEKPCSRGEGVPSEAEEKPRGRGEGTPVEAE >ORGLA03G0222800.1 pep chromosome:AGI1.1:3:19947761:19951866:-1 gene:ORGLA03G0222800 transcript:ORGLA03G0222800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1PCY2] MDPGVEKKRQQMELVDVESGGLPVERQDSLFREAVRAEHAGAAHWDEQDSWGRTMSLAFQCVGILYGDIGTSPLYVYSSTFEHGIGHPDDVVGVLSLIVYSFMLFTVIKIVFVALHANDHGDGGTFALYSLISRHAKVSLIPNHQAEDELISGYSSSGKPSATLRRAHWLKQLLEASKAAKISLFLLTILAIAMVISDAVLTPPISVLSAVGGLREKVPHLTTDQIVWITVAILVVLFAIQRYGTDKVGYSFAPIILLWLLLIGATGLYNLIKHDISVLRAFNPKYIIDYFRRNKKEGWVSLGSILLCFTGSEALFANLGYFSIRSIQLSFSFALLPSVLLTYIGQAAFLSKNPKNVANTFFAATPISLFWPTFIMAIAASIIGSQAMISCAFATVSHLQSLSCFPRVKILHTSKRFPGQLYIPGVNFLLCVAACVVTVSFKTTVIIGKAHEICVILVMIITTLLMTIVMLLVWKINILWVALFFITFTSTEAVYLSSVLYKFTHGPYVPVAMSVVLMVVMIVWHYVHVKRYKYELEHTVSTDKVKEMLESHDLKRVRGVALFYTELVQGIPPIFPHLIEKIPTIHSVLVFISIKHLPVPHVDTSERFLFRQVELKDYKVFRCVARYGYRDSLEEAKDFVVTLLENLQDYIRDVNLYTDEPHTISAHSSCNHSFSREKPSGRYAVHAEDMLTPIESFSEITALSNYGSDRLPHFKASKMNMEELAKIEQEQMFIEKEMEKGVVYILGETEVVVRPHSSLLKKIVVNYVYSFLRKNFVQGQKMLFIPHRQLLKVGISYEI >ORGLA03G0222700.1 pep chromosome:AGI1.1:3:19937401:19937814:1 gene:ORGLA03G0222700 transcript:ORGLA03G0222700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRRRRQKMMPPLLSKFDARNGVPTPGQAEQETMELVRMLTVCADSVSAGNHEAAIYYLARLCEMASLAGPMPIHHVAAYFIEVLTLRVMRMWPHMFNISPPRELTNDAFSGDDDTMALRILNTITPILLLGKHS >ORGLA03G0222600.1 pep chromosome:AGI1.1:3:19909764:19911477:-1 gene:ORGLA03G0222600 transcript:ORGLA03G0222600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRGGNFPIGGGALTSTRPNGAGVGWKFSPTDVGGIELAFNNCQQQLQPAVVKSSREDVTQRQSSPSPPSPPLAAVGGPPLLPLANAIPATVASSWRYVVVIRS >ORGLA03G0222500.1 pep chromosome:AGI1.1:3:19893209:19897961:-1 gene:ORGLA03G0222500 transcript:ORGLA03G0222500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1PCX9] MAQQQAGARGSKLEIVAARGGSGGSSSAGDAEAPPLDVLRQDSLYRDATRPAHGHHGQESWMRTLRLGFQCVGILHADLGTSPLYVYQNTFKYGIKHEDDIIGVLSLIIYSFVLFTMVKIVFIALHANDDGDGGTFALYSLISRYAKVCLIPNQQAEDELVTRYNDHGKPPATLRRAQWMKSQLEKKPAKIAVFFLTIFATALAISDCVLNPSVSVLSAVNGLKLRAPHLTTDEVVWITVGILVVFFAVQRFGTDKIGYTFAPVVVVWLLLISGIGIYDLVKYDVGVLRAFNPKYIIDYFRRNKKDGWVQLGEVLLTFTGTEALFADLGYFSIKSIQLSSTFVLLPSVLCTYIGQAAYLRKHMDQQHIQNAFFNSIPRPLFWPMFVLAIMTSVIGCQAMVSCAFATMSHLQTLNCFPRIKILHTSRRYSGQLYSPEVNFFLCLLSCVITLSFRTTGFIVKAHEICVVLVMVITTILMTIVMLLVWKVNIWWIVLFFVVFMSTETVYLSAVLYKFTKGPYMPLAMSAVLMVIMFVWHYVHVKRYKFELEHTVSPNKVRELLERRDLKRVPGVGLFYTELVQGIPPIFPHLIEKIPTIHSVIVFISMKHLPIPHVDVSERFLFRQVEPKECMVFRCVARYGYRDTLEMADDFVTTLVEYLQYYIRDLNLYNTVEPLKMSCPSIRIDSFSWDRRPSGHGIYAEEMLTPIQSFSELTMHPVGMSSRLAQFQTTKMSLEEMLKIEEDQKLIQREVDNGVVYILGESEVVAKPHSNLLKKVVVNYIFNFLRKNSRKGEKMLSIPRRKLLKVGITYEI >ORGLA03G0222400.1 pep chromosome:AGI1.1:3:19869371:19875963:-1 gene:ORGLA03G0222400 transcript:ORGLA03G0222400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1PCX8] MGDDVLGRGSRRDQEIVLVDIVDDDDHDDVPAVRRQDSLYVDATRAGGANHRGGQEESWARTLKLAFQCVGILYGDIGTSPLFVYSSTFKDGVRHPDDLLGALSLIIYSFALFTIVKYVFIALRANDDGDGGTFALYTLISRHAKVSLIPNQQAEDELISKYNTGKPQATLRRARWMKELLETNRAVKIWLFLLTILATAMVISDAVLTPAISVLSAVGGLKEKAPNLTTDEIVWITVATLVVLFAIQRFGTDKIGYLFAPIILLWLLLIGCVGIYNTIKFDTGVLRAFNLKYIIDYFRRNKKDGWISLSGILLCFTGTEALFSDLGYFSIRSIQLSFSFGLVPSVLLAYIGQAAYLREHPEHIANTFYRSTPNVMFWPTFILAVAASIIGSQAMISCAFATISHLQTLNCFPRVKILHTSRQYSGQLYIPEVNFLLCVGACLVTIGFKTTVIIGEAHAICVVFVMIITTLLLTIVMLLVWKVSIWYVALFFIVFMSSESIYLSAVLYQFVHGEYVPVSMSVFLMIVMTVWHYVHVKRYEFELEHTVPRDKVKELLERRDIQRVPGVGLFYTDLVQGIPPVFPHLIEKIPSIHSVLIFVSIKHLPIPSVDRSERFIFRHVDKEEYKVFQCVARYGYRDPMEEAKDFVDALTENLQYYIRDVNFYTTGDDQHIFRSTSYASSIAESFASYEKHSGHAVYAEEMLTPAESFSEHTKQLSGRSKHFKQFQVENMNMQKMEKVQQEQQAILREMENGVVYILGESDIVASPHSSLLNKIIVNYIYSFLRKNCRNGEKMLSIPRSQVLKVGIAYEI >ORGLA03G0222300.1 pep chromosome:AGI1.1:3:19851882:19852148:-1 gene:ORGLA03G0222300 transcript:ORGLA03G0222300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKDAPTWADQWGSSGGDGSFKKGGGGGGSSGNSEKKTVAGNVKAAASEGLVKAKAAALVGAHKVKSGTSSGIKWVKDQYQKRAGK >ORGLA03G0222200.1 pep chromosome:AGI1.1:3:19835564:19839044:-1 gene:ORGLA03G0222200 transcript:ORGLA03G0222200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSSLLIPSPESRPRDLLLPPAIAASDPLPHGLTLRHPRKRCHFLLPRLCPPQSSGLEAPPQIKRPSSPSGQGRCRLSTVMSRAPPPPRCQTPSRTLESFGRHQIRSCLDTFTPPDRCHAAARHITRLRLIVFPCSYACSEGAFIAATRYASSMVPTSIISQGIGWQHDRNLGLLDGFPRLAAIWAEKKCKPQIMSLAQAVNEIARESLMLHWGFMPVCARLCGDLTFWCFADLSSLDLPVMEGLDKLLAMAKGVNPEENGVMVASSTNGGIASQRQPIALRTPAPELPLQLPDEQQ >ORGLA03G0222100.1 pep chromosome:AGI1.1:3:19830696:19831301:1 gene:ORGLA03G0222100 transcript:ORGLA03G0222100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPRGLLELMTAVDAGLVAVDDDGSARIAGGRRRASYGRRHRRQSAPVVAVAVETPPTSSTDDAMSFEFSAAVSYSSASPASMVFSDGQLRAHQFPAVRSSSAASSHVASPVRSWSSSMGGSGGAKGGSSGGGSKKKRVSFNDGGAGRAAAATAANAGDQQRTRGGGLLGCMGSTCTCGSSRNEVVEPSNNANRKVVAAA >ORGLA03G0222000.1 pep chromosome:AGI1.1:3:19828509:19828838:1 gene:ORGLA03G0222000 transcript:ORGLA03G0222000.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPQHCLCFEFLASVKCLVWWSSVHKTTVMLPSAGVWGGVRAAWPGVASWATITPLLLLSGSFVGVAVQLASWHVCWVGVLWGGGGSRSCLSSDWLGNDNPLPLSSVALW >ORGLA03G0221900.1 pep chromosome:AGI1.1:3:19827931:19828338:1 gene:ORGLA03G0221900 transcript:ORGLA03G0221900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSGGSKWWQRKDEGQRRRGGXPLAVSFLDLVGEKGVRDTGGRQRRWLAEAMMAGKGGCFSWSRSKMAGEFGREVQVAVSPGQEADFGWSWLTRWPELASKASVVMRVGWWCCCLERSSLVTWFVRLESRRSW >ORGLA03G0221800.1 pep chromosome:AGI1.1:3:19809584:19813384:-1 gene:ORGLA03G0221800 transcript:ORGLA03G0221800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTFGWSASAFVAAVLARLIGKGLALLAELDDAAAGHLRRLEALLAPVWRVLDAADAGAIDVVGHRRPLQDLLDAAYAADDALDDLVLLQSDAMARGGEHGVDARGTPAAGAARKPKPRSPLRFLLCFSPPRNAVASSSSSSSGSHGKSSKGNKSNPDLRGLGVAFEMMAQAAYRCTSAYEHVVAGKNYATMVSAQAEAATAAEAADYDQFQNDIFGRETEVEQILEKVRFSDDPHYRLGIGVLPIVGVEGVGKTALAQFIFHHEVVKAEFPVRMWVHVSGEVQLKDELVVQMIHGVAGDAHEVEDIREILHEELTGKRFLLVLDDVSDVGDIQWKDLMRLLQPAARRSMIMVTTQSEIAANAIGTMPPLILNPLESEDYQKMFRHFAFGSSDESEDYTPLGDEWDDVEDEDEEEKQSPMEKVALELAKKMGGLPLPATAIARALFFRREDKEHWKDVLEDKLWEQRDVAGISPALWLSYQHLDPRLKQCFAYSAVFPCSHAFTKDELVQMWVAQGLIYSEDAVARPEDIGSKFFEDLVQRCFFQPIGSSRYVVHNSMRKLAQAVSTDQFFMVTESSGDVPLEVRHLTIMTNNLSKLINDLALKISHSSGSDQHFLQRVRTIIFFADFSNSDEFIEFLAEIFKVAKDVRVLGVTYANIAFLPAEIGFLRHLRYLNLLGNRIADLPESVCDLHLLQILDVRCSSPYLRAPNGITNLIYLRHLHASEPFLSIIPKIQNLSHLQELEVYKVSSISRIDALQGMTQLRGTLCLKDLHQVDVSELRKGILKGMQHLNILELSWSSSDSQSREASTDEDTLECLQPHENLKDLRITGYRSTKCPSWMLKTPCSLSNATSVFLTDCVNLKNLPPFHIMPCLEILEMRKIHSVNKVNTVPQRSDQIMFPKLKRLVFEDVLNCTEWSTGSSKSRNTVFPCLCEIQIRNCPKLRNFPDLPLTLTTMIIENVGLETLPRIQDRHSLPPSSSSDAIATSKEGRWTSRLTTLQIHQCHGLKSLGSSLLQQQHLLRSLEVLSIKNCDNVTCDLSDGFQDLTALTDLSLYDCPKLLVDKFHTSLRKLEISECFITHGAWVDDYPFLFSVWTLKVTSCPHVSTDQESSFSIEPLDWLNCLFNVCSLHLENTLLLKLSMFNRLRSLEILEIDGSRSFFDDLVEEFEWLEKLQALSIRNCKELRMLPANISTLPVLEELCIENCPALEALPASGLPTSLKRLSISKCSPRLTQRCLDDEPDNLNIAKIAVVYIDGQCISIQQK >ORGLA03G0221700.1 pep chromosome:AGI1.1:3:19805292:19806988:-1 gene:ORGLA03G0221700 transcript:ORGLA03G0221700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLGPGQRWRTTQVGQPEEAHRHVVEAGVAAAEVRLDNGSYKAVVEVSAPVTVIGKPSQTSTCKVAMRGAIGGSTDPSSPPLATAFHQRLSGMQEEVAEAYNIAVITFRGLNAITNFDMSCYDVKSILDSPVIPVGNGAK >ORGLA03G0221600.1 pep chromosome:AGI1.1:3:19791164:19793296:-1 gene:ORGLA03G0221600 transcript:ORGLA03G0221600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVFAEVAAGLLLTAAMPTVDTARSGDHGVDDGWLLEARQRPKASGSRRRADSGEIRRKGTGGRGSSHPCEAEGGDGAVSFTYAMFATKSSGNYLSWCSSWAQLYLGRCPKEMKNVVIWVVIAPSFKVSVNDQIWQCHGPDSKLGSKLIWRDFVREGRVRISYEQHRLPHESATGSIGCRISASTKSDAVKPMLPDSKKAVPHLSIGLVKALHSHCHARIGVLKKDNCIY >ORGLA03G0221500.1 pep chromosome:AGI1.1:3:19785110:19788699:-1 gene:ORGLA03G0221500 transcript:ORGLA03G0221500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKRQKSLMKKASELSTLYGVDACVVMYAEGEAQPMMVWPSVPEARRVIERFRALPQKDQYENTTNLEGFLKQRITNLQEKVDKAKHENDELETKLLLLNSLDGCLPSLVGLTVKQITSLNSMVEERLKKLRGNGLLATPVPTSSKKKIIWDVRYNLKARYVTDDSAKNGRLPXVAVYPGNLLPTPELAGILTLPLPPLGAVVVFPSHSHRCMSSVFPRFACQRVESVVSEVSSCEEKSLEDCLKKQGKSHIPP >ORGLA03G0221400.1 pep chromosome:AGI1.1:3:19765513:19772470:-1 gene:ORGLA03G0221400 transcript:ORGLA03G0221400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1PCW8] MAGGVSDGGAAHGASGRLESILSDSSMPLARRAWAATTIELGLLTRIAAPAVVMYMINYLMSMSTQIFSGHLGNLELAAASLGNNGIQMFAYGLMLGMGSAVETLCGQAFGAHKYDMLGVYLQRSAVLLTITGVPLAVIYGFSEPILVFMGQSPEIARAAAIFVYGLIPQIFAYAINFPIQKYMQAQSIVLPSAYISAATLALHVLLSWVVVYKVGLGLLGASLVLSISWWVIVAAQFAYIVTSPTCRHTWTGFTWQAFAGLWDFLKLSAASAVMLCLESWYFQVLVLIAGLLPNPELALDALSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKAAYFSVWVVTISCAIISAILAVVILCLRNYISYLFTEGEVVSNAVADLCPLLAITLILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYIVGVPLGVLLGFVFKLGVKGIWGGMLGGTCMQTAILVWVTLRTDWNNEVEEAQKRLNKWEDKKKEPLLTGIRDNN >ORGLA03G0221300.1 pep chromosome:AGI1.1:3:19760856:19761582:1 gene:ORGLA03G0221300 transcript:ORGLA03G0221300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGANPPSPTPARIQEEANPATETVAEGHPSTTAVEQPEIHELPQEQHTSMSGGTSASRSSRNPRSQNIWPTTVQVIREVDASGRPTAPRTVIGRWSNCCGLAARENFGILHKDIGKVTEAEKERAWTAMEKWFTFPAEAKDRLKRKAFQKMGKAWKNWKSKLFTEFVNLPGNHTPFDEYPQITKAVWEEFCSLKNTQEFRESSEAHRLL >ORGLA03G0221200.1 pep chromosome:AGI1.1:3:19745786:19748524:-1 gene:ORGLA03G0221200 transcript:ORGLA03G0221200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRFPLFGSSQQQQPDTNFQDNPTQPWYPPSVLGSSSHPSLPNSSSGSPHQRASDNPQSLRGQPSPAEAAGIIARLKDKSVDDLQRLLKDKEAYNAFFNSLDQVKTQNNLRDELRKETVQLARDNLEKEQRISEIRNQCTIIRTTELAAAQDRLADLERQRDEVMKSYSPAALLDKLQKLMAKLDEESEELHQKFLEDIDLPTFVQKHNKLRTAYHKQALLHLAGKTSVR >ORGLA03G0221100.1 pep chromosome:AGI1.1:3:19741683:19743219:-1 gene:ORGLA03G0221100 transcript:ORGLA03G0221100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTRGMAATASAAEGYEREREARIQENMERMQKLGIRDLANRFNQSATGFAGGGSWSGSDRWRRKVPVTAGPASPSPARRSLRLKSLDPVNYCEIRTRKGKDVEGGSSVPIEVGSEEEVNAEDAAPVAKEDQGHSEAIQDEDADHHQVNDPADDDGDEDDRESVVTSSSQDCEVNLEDIIGCATSSKPAGPKKRKLIERKPXLYVLRELA >ORGLA03G0221000.1 pep chromosome:AGI1.1:3:19728342:19728761:-1 gene:ORGLA03G0221000 transcript:ORGLA03G0221000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IENQYELNSDRDSNRNPTGLGGPSDGSYIFVRGVTGGAWEYGEENARGREGRAGAIDYFLYIDARYFARVPSTSQRLLSLHSASSGNDL >ORGLA03G0220900.1 pep chromosome:AGI1.1:3:19706986:19713207:-1 gene:ORGLA03G0220900 transcript:ORGLA03G0220900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1PCW3] MGSSVKDAGGGKEEQQQQLESPLLEAAVSSGGGDGGGGHGVSGELESILGDETVPWARRMWAATGVEMRLMLRLAAPAVLVYMINYLMSMSTQIFSGHLGTLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYLQRSTVLLMATGVPLAVIYAFSRPILVLLGESPEIASAAAVFVYGLVPQIFAYAANFPIQKFMQAQSIMAPSAYISAATLAFHLVLSYLVVYQFGLGLLGASLMLSISWWVIVVAQFIYIVTSRRCRLTWTGFSMLAFSGLPDFFKLSLASAVMLCLETWYFQILVLIAGLLKDPEMALASLSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAAFSVVVVTVLSFILSVVISLVILLCRDYISYIFTDGEDVAAAVSKLTPLLALTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPLGCLLGFYFDLGAAGIWSGMIGGTLMQTLILMWVTFRTNWNREVEEAMKRLNKWEDKTPLLSE >ORGLA03G0220800.1 pep chromosome:AGI1.1:3:19675531:19684362:-1 gene:ORGLA03G0220800 transcript:ORGLA03G0220800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1PCW2] MGTESDQYPAHLSSSATVAGHGDDGGSSTRRREGAAAGGGGGHAASAELERILADESVPSAARLARAAPVELRLLVALAAPAVAVYMINYAMSMSTRIICGQLGTLELAAASLGNVGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYMQRSTVLLTATAVPLAVIYVFSKEILILLGESPEIASAARLYVVGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAAHVALSWFAVYKLGLGLLGASLILSLSWWVIVLAQFAYIVVSDRCRLTWAGFSSKAFSGLPEFLQLSAASAVMLCLETWYFQVTVLIAGLLKDPEIALDSLAVCMSISGWVFMVSVGFNAAASVRVSNELGAGNPRAAAFSVKVVTSLSLIVAAIIAAIVMCLREYLSYVFTQGEEVARAVSSMTPLLAVTIVLNGIQPVLSGVAVGCGWQAFVAYVNIGCYYIIGVPFGCVLGFHFDLGAMGIYGGMIVGLFVQTLILVYVTFRTDWDREVGEAKKRLNKWGGIAKPLLANED >ORGLA03G0220700.1 pep chromosome:AGI1.1:3:19668096:19668410:1 gene:ORGLA03G0220700 transcript:ORGLA03G0220700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGRRSVAQAVGGGAQSHSGGGGLPVCARPQERRTAAVSLGWADWAEGRKEKFSAQRSISEFLIQFEFGGNWQMRDFPIYLGAIFFEVQFEGIYLEALNKE >ORGLA03G0220600.1 pep chromosome:AGI1.1:3:19620836:19644882:-1 gene:ORGLA03G0220600 transcript:ORGLA03G0220600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1PCW0] MAGGDQRGDSPSSHELSGRLEGILADGEAPWARRACKAAALEVRLLAPIAAPAIVVYVLNNVLSISTQIFCGHLGNLELAASSLGNNGIQIFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYMQRSTVLLMATGVPLAVIYAFSRPILVLLGESPEIASAAAVFVYGLVPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLVLHLVVGWLVVYRLGMGLLGASLVLSLSWWVIVAAQFVYIAASKRCRRTWTGFSWMAFSGLPEFLKLSTASAVMLCLETWYFQILILLAGLLGDPQLALDSLTVCMTLAGWVMMISIGFNAAASVRVGNELGAGHPRAAAFSVVVVTAVSFVITVVMAVVFLIFRDYISYIFTEGETVARAVSDLCPFLAATLILNGIQPVLSGVAVGCGWQKIVAYINVGCYYFVGIPLGFLLGFKFHLGAKGIWTGMLGGTCMQTLILFWITFRTDWNKEVEEAKKRLNQWEDKKQPLLAGTVDY >ORGLA03G0220500.1 pep chromosome:AGI1.1:3:19566585:19567613:-1 gene:ORGLA03G0220500 transcript:ORGLA03G0220500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECGADESRARIQVRGSSVFWYSFAQWVAQAQQFPRRTVSALATREGDSTKVCIWESKNRKARAWRGQECATLYSREETSWICFGNRKGYDGNEVRRNRGGVEMYPNQNQDFIAYLLANGAGMHNLLIMLWQIWKARNDVNFKNKKWEPQQVRTTIYVDALWKEGQTGIGIFIHS >ORGLA03G0220400.1 pep chromosome:AGI1.1:3:19553883:19555175:-1 gene:ORGLA03G0220400 transcript:ORGLA03G0220400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQAPDRVVEGFSEEFLDAFLTLLRRAHRHSRIAATVVYNEFIADRHHVHMNSTRWATLTEFVKFLGREGHCKVEDTPKGWFITYIDRDSEQAVKTRLKRKRIKSDLAEDERQERMIARQIERAQQSMGKTNGELGDDASPDGSEGESGSEDEYSDSENDHEGQEEDAKEANKAAGKIAIALQRAVPGPKVNPLDDKPKVKFGFEEEDEVSARDKEKEELAKKKGKDAINAAEARRSALDELMKEEEKAKERSNRKDYWLCPGIVVKVMSKSLAEKGYYKQKGVVKRVIDKYVGEIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTERFCAKVQVEKGLYDGKVLKAIEYEDICKIFH >ORGLA03G0220300.1 pep chromosome:AGI1.1:3:19540750:19551256:-1 gene:ORGLA03G0220300 transcript:ORGLA03G0220300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WWELGFPPLQPSVVSTIGDLSAWPVSAHQIAILKRESTEDPCMRVILYHHFRGQQLTIWIWYYMAKRMSCMIYLRVHSSPVIXRVCMLNALRICGLAETDLLSLIXVQGHLPGALLLVGTVFVLNLVYPMLQRLLGLLQILFCSXKYQXPTDGILANWICISQKFQQQKGKEILNTPCQIPQPWLXIRLLIYGLNNAHLQHGMVGGQRVSXAWKLQKKRLKLNYKIQSERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERRHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMRHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNIKQLPVNVKSVTEGLSSVLLQFQKPMFSQHMLSLSEDPALIMAFAMARRAAAVPLLLVNGFSKSTVHTYLDSAILQHQLQRLSEHNLLKATPSVFVVIQAIVNEGAVWCWAGDSKLPELFASLYL >ORGLA03G0220200.1 pep chromosome:AGI1.1:3:19529291:19533841:-1 gene:ORGLA03G0220200 transcript:ORGLA03G0220200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAMAVTMPPMRAPALVAMLVVVLVALVRRRRHRSKGAGGRLESLPPGPVGLPVIGNMHQMLVNKPVFRWVHRLLADAGGEIVCVRLGPVHVVAVTSPEMAREVLRKNDAVFADRPTTFAAESFSVGYRSASISPHGDQWRKMRRVLTAEILSPATEHRLRGARGEEADHLVRYVLARCGRDGAAVDVRHIARHFCGNVIRRLTLGRRHFREPRADDEDAAAPGRDEAEHVDALFATLNYLDAFCVSDYFPALVGLDLDGHEKVIKKVMRTLNRLHDPVVEERVEEWRLLRKAGERRDVADFLDVLASLDDAAGRPLLTVEEIKAQTIDIMIATVDNPSNAVEWALAEMMNKPEVMRKAMDELDTVVGRDRLVQESDVRDLNYLKACIREAFRLHPYHPFNPPRVAMADTTIAGYTIPKGSQVILSRVGLGRNPRVWDDPLEFRPERHLSPYPAGGRGDAGVVALTEAELRFVSFSTGRRGCPGVSLGTLITVTLFARLLQGFEWSKPAGVERVELREEAASLVLAQPLVLQATPRLAAHLYGAGK >ORGLA03G0220100.1 pep chromosome:AGI1.1:3:19519176:19524877:-1 gene:ORGLA03G0220100 transcript:ORGLA03G0220100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAHPPAAMWGQPPPPQAAPPPAPAGGAAGDEVRTLWIGDLQFWMEENYLYNCFSQAGELISAKIIRNKQTGQPEGYGFIEFGSHAIAEQVLQGYNGQMMPNGNQVFKLNWATSGAGEKRGDDGSDYTIFVGDLASDVTDLILQDTFKAHYQSVKGAKVVFDRSTGRSKGYGFVKFGDLDEQTRAMTEMNGQYCSSRPMRIGPASNKKNIGGQQQPSATYQNTQGTDSDSDPNNTTVFVGGLDPSVTDEVLKQAFSPYGELVYVKIPVGKRCGFVQYSNRASAEEAIRMLNGSQLGGQSIRLSWGRSPGNKQPQQDQNQWNAGYYGYPPQGYDPYGYARPPQDPAMYAYAAYPGYGNYQQPAPQQPPQQVKAL >ORGLA03G0220000.1 pep chromosome:AGI1.1:3:19514022:19516863:1 gene:ORGLA03G0220000 transcript:ORGLA03G0220000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSLPPPTVPGSKPRPAAATSVSTAATADAAVAGGRSGSGIGRLSALIRSLCSAGRTAEAARALADAGDAAGVVAYNAMVAGYCRAGQLAAARRLAAAVPVPPNAYTFFPVVRGLCTRGRIADALEVLDEMSFKGCAPIPPMYHVILEAACRSGGFRNSVRVLEAMHAKGCTLDTGNCNLVLNAICEQGCVDEAVGLLRKLAFFGCEADIVSYNAVLKGLCMAERWGDVEELMDEMVRVDCAPNIVTFNTLIGYLCRNGLFERVHEVLAQMSEHGCTPDIRMYATIIDGICKEGHLEVANEILNRMPSYGLKPNVVCYNTVLKGLCSAERWKEAEELLSEMFQKDCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLSHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMSSCGCKPNTVSYTIVLKGLCSAGRWVDAEELMSQMIQQGCPPNPVTFNTLINFLCKKGLVEQAIELLKQMLVNGCSPDLISYSTVIDGLGKAGKTEEALELLNVMVNKGISPNTIIYSSIACALSREGRVNKVIQMFDNIKDTTIRSDAVLYNAVISSLCKRWETDRAIDFFAYMVSNGCMPNESTYTMLIKGLASEGLAKEAQELLSELCSRGALRKHLMRHFGISNCTQENGKQKCEENLTSAVDNNYKLPIEILGFLEIQNLRPGEKQFLTHFLSSSWFWTAGLMEVVAAAAAVCSVLNISGYEASVPVTIVSSPILLLILASLYCPYLFCGQRDLHELYTQYLWLLSVSEVH >ORGLA03G0219900.1 pep chromosome:AGI1.1:3:19453953:19458932:-1 gene:ORGLA03G0219900 transcript:ORGLA03G0219900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDRLPFGLGFDRVQASGGYRCSEQYEWQRLALRQREAAREKPHCGATLNYPLLSHRRDRIEDSVAATEIPHQFRGHDLLHSHGKTLNDRSCSHSFREEETKDLVSSSHDDAETEKNFAIWDQPLDRTGLLESKRHRRSSSPRYCMKSYPFGNKIDGYHGEGRACPRDSSKWGNHSLSPDHAPTSCLRTEGEVPSLNRVSEYAKGADGHMRTTERLGDFFSSNQGSCTQNRSYQEVQRLPTEVNFPNAHFSAIDKARHRSYMEKFQTCKKHQGTFSKDLMFNISDHPLVGRTCHRFEVGRAHTSKAFDEFHAFHHEQLHQSPRDNFRDQLGSSRNFRNVHKGKMSRRQCTKHDLKKKNSNVAFHSTYGRNSDRKRHGNHLDGHRAKRNMPSENQSKESCYPNMKDWQSYSHGDVRQSGDNQEGNTKKIKKGGQNGEKGNYHRNNNIPTVVCSGSKSNENSEDMKSDEVSNGKLQDAPVTYVENGVKESDNASPSELLRDCLIIWRRLKKDNCAEAENVKKTNTNRTVQTSKVSVSERLRNGRPSSGFDDENSSTSGSASVSSESDDESNSPSEDSKQCRGVMSSSEAQKCSKGRTERESEQPFKSLSGDNRMKSPQNTIAEKGLMFYQDVPPETNPSEVMQQKEQDDLSCCWNGCFDTSTKPVADSHPESSVHQKFSQQGAIEGHSNARSRHELVVGCDIENTLEADGAKSGEQSTVPELLDKKAAVLCSMDDDSVKVVNVSACSNQDSDTTPCSVTKLDKGTANKFLEKPVNLSTGSNFRVIQWGAVDCNIVRIKQENSQHADSEQDTHHKESGEPSQALKVASNQQIPHQFDSDRDNPCTTRQADWDSCSSIPDLNCLPNMNTDDELEPVENVTFQVNGDGTNPQNNIKSLSASSCKPTLQKEQSKQPEPIELTGGICERKDGNRFQSPNSHSGPSQQSIVEESSMSIDVFKCNLCEFIKNIIKPLWEDGLLSREVHKIIVRKAVEKVTTVLGSKVPLTEIDACRFLLEESQNLEKLVQGYLDLYVGREVLKKKHDR >ORGLA03G0219800.1 pep chromosome:AGI1.1:3:19443388:19448421:-1 gene:ORGLA03G0219800 transcript:ORGLA03G0219800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1PCV2] MEERAGARRRPPMAVPPLLLFLLLLSSFSSCAAAASGAPVGEDYVRPPAAARRCGLHHRKALLSLFPWSKKKDSSSASDPQQVHISLAGEKHMRVTFVTDDNSVPSVVDYGTEAGTYTSTSQGESTSYSYLMYSSGKIHHVVIGPLNDNTVYYYRCGGHGPEFQFKTPPSQFPLSLAVVGDLGQTSWTTSTLNHIKQCAHDMLLLPGDLSYADYMQHLWDSFGTLVEPLASTRPWMVTEGNHEKERIPFFKSGFQSYNARWKMPYEESESTSNLYYSFEVAGVHAIMLGSYTDYDESSDQYAWLKADLAKVDRKRTPWLIVLLHAPWYNSNWAHQGEGDSMMAAMEPLLYAAHVDMVIAGHVHAYERAERVYKGGLDPCGAVHITIGDGGNREGLAHRYRNPKPAWSVFREASFGHGELKIVNATHAHWTWHRNDDEEPVRTDDVWITSLAGSGCIQDGSHEYRKILMCP >ORGLA03G0219700.1 pep chromosome:AGI1.1:3:19438434:19441290:1 gene:ORGLA03G0219700 transcript:ORGLA03G0219700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRVLMASTEDTATAPAGGPPEPPPQSSSASPSPSPPPPPPTPSSPQRPPPPPPPATPPPPPPASPGKNQSPASPSQDSPPPVASPSVSPPPPAPTTPPSPPPPSKSPPPPSPPPTTSSTPPSHQSPPEEGTSPPPSPSSGATTPSPPPNAQSSSSSSSSTPPAGAGTSPPAPREMPSPGTPPSPPTTLITTQAPPIQPPPPPGGNSMIMPSSLTTAGTSQSPPDATTAGAPPPPAPSVGAWGGNVPSGLLIGVAFAGFLLALASMFLFLCIKNRWKRRRRPAQVMNLARRRTLVVPERVASPEVYQPSNGPTASPSGTSSYEFSGTTSWFTYDELAAVTGGFAEEKVIGEGGFGKVYMGALGDGRRVAVKQLKVGSGQGEKEFRAEVDTISRVHHRHLVTLVGYSVTEHHHLLVYEFVSNKTLDHHLHGGGLPVMDWPKRMKIAIGSARGLTYLHEDCHPRIIHRDIKSANILLDDAFEAKVADFGLAKFTNDSVTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDSSQPLGEESLVEWARPLLVDALETDDFRELADPALERRYSKSEMRRMVEAAAACIRYSVTKRPRMVQVWRSLDVEGSSPDLTNGVKLGQSMAYDSNQYSADIELFRRMAFANDLSTAELGYSGKDDVRRPPR >ORGLA03G0219600.1 pep chromosome:AGI1.1:3:19434471:19436761:-1 gene:ORGLA03G0219600 transcript:ORGLA03G0219600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDWSRRLKELATGGEEESGSKDRANVRRNVEVATGMRGSVWRYLGAEGVLSPFLMLAVVWDGYSQWNASEAQRHATNGGRPTWREVARPEATGPWKSKATSGHETEIKAICTCETMEHQGVASLFVQIAPAMQLYKFQGGSGCSQNVVACDVPSNMQT >ORGLA03G0219500.1 pep chromosome:AGI1.1:3:19431602:19433104:1 gene:ORGLA03G0219500 transcript:ORGLA03G0219500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQGEAHRREPDQRRPMCGVCTKPLRLCLCGRLRRPPLDTAVGVTVLQHLMEVGHPLNSTRVARLGLRNLAVALVGDVNHRASFHLRTLDAAAAAGGGNHDRPDGPGEIQVLEGDGFGGGTGGPAGPVQCEGETLDSAICSNGISGESGGAVSCARRDYVTKGINASSDLGVKTANIRGSSDIGGEKLDLVDIPDRIGFDLDGEICSVKSDLGGGEELGFQSMKRNGYCSDSERLGSSANQTGNSFVDGIHGENHHSIGEVNGNLPRHLVENASEFQMATAQNCNGIPRENVGTGAAIGQGWTVKNMDKCSITYTEKELKIEIERGVKPKIRWLSRGPLGQSAVSNGFTVTKIQMKKSKQTGEVSVFEEFSITIPPKSALLFPCQRAISIDASDCQLQHLIVLDGTWAKAQRMYHENPWLQLLPHVKLESDGVSLYSEVRHEPRAGCLSTIESIVVAMRKLGEDAKGLDDLLDVFESMIADQRRCKDENWKQKLESKT >ORGLA03G0219400.1 pep chromosome:AGI1.1:3:19427233:19429716:-1 gene:ORGLA03G0219400 transcript:ORGLA03G0219400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGFAVLAGGVLGYARRGSTASLAGGAGAGALLLLAGFVSLKAFEKRRNSYLALALETLCALALTYVMGQRYLETSKIMPAGVVAGLSALMSAFYLFKIATGGNHIPPKKE >ORGLA03G0219300.1 pep chromosome:AGI1.1:3:19419624:19426264:1 gene:ORGLA03G0219300 transcript:ORGLA03G0219300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGGREGIDRYPIPIAIDRPSAFPACYAREAQSCALVVFTPRQRDRRERRRGTGNAGEERGRGSRSGGEGAALPVGKGKGEEVLAMFTHCNARAVMLPEYYCCMVEKLIEKLTRNGRNAVAINEHIFSTVDGIIGTFALGETYAAEEFKDISETMDLLSSSFAEDFFPGSVAGRLVDRLTGLAARREAIFRKLDRFFERIVDQHAAADDDGPAAARRKADDKGSAGSDLVHELIDLWKMEGNTKQGFTKDHVKAMLLDTFVGGITTTSVTLHWAMSELIRNPRVMKKAQDEIRAVVGEKERVQHHDMPKLKYLKMVVKETFRLHPPATLLVPRETTRHFKAIGRDPNIWKDPEEFIPERFEEMDIDFNGAHFELVPFGSGRRICPGLGMGVANIEFILASMLFCFDWELPHGVRKEDIDMEEAGKLTFHKKIPLLLVPTPNKAPN >ORGLA03G0219200.1 pep chromosome:AGI1.1:3:19385450:19385989:1 gene:ORGLA03G0219200 transcript:ORGLA03G0219200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVAGTAAVRRSSPMPPPPPALQATAEGRGERGAAPERRDATDGERGGAATAARCPLAPLDSRRLRWPPRFCSSSLDRPRSERRGKGKGGGLAERPLPKMREADVARGEERPRPWRGGSGRPFPALPTPPLLRGRVDLAAPPPPSPQCRLAALARCFCAMGKGGSCAMGKRERIEKGK >ORGLA03G0219100.1 pep chromosome:AGI1.1:3:19381239:19383945:-1 gene:ORGLA03G0219100 transcript:ORGLA03G0219100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGERPKLVRGVRQESRRFRLLVIVVGFFLVSLTFVFVSKPDAILFSLNGKLPVEQAPTSILIQQKVNEPSGESRKTSTDALRGDPKVVDDEADAKPKGTGGGSEEEEGRVLSEPDPTSGMMEPTHNKDGNGHKSHQETLGGGGDGESKGNDEEGEHAEQKHKVTLPTVSNYTIHDAAEDTENAKQEGMNNVQQGSKPLCDFSNFRANVCEMRGDVRIHPTATSVLFMEPEGSQRDEVWKIKPYPRKGDEFCLSHITEVTVKSSKVAPECTKYHDVPAVIFSLTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMALWWTIKYQTVLQKLSKYPVIDFSKDDQVHCFKHAIVGLHAYMEFTIDSTKAPHNYSMADFNRFMRGAYSLGRDSVTVLGEYPKIKPRLLIIKRHRTRMFLNLDEIISMAEELGFEVVIDEANVSSDISRFARLVNSVDVMMGVHGAGLTNCVFLPQHATLIQIVPWGGLDWISRTDFGNPAELMGLRYKQYSIGVDESSLTDQYPRDHEIFKNPISFHQRGFDFIRQTFMDKQNVKLDCKRFRPILLEALDNLNP >ORGLA03G0219000.1 pep chromosome:AGI1.1:3:19367237:19367584:-1 gene:ORGLA03G0219000 transcript:ORGLA03G0219000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPVEVGAQGTIGSLVCREVEYFRRMEVAVVSHDHGKNMSSSSKQASRRRHRQRGEPQDQEQGPAAVEGGRGRRAYLFLPSICSSAEVAEATGAARVRYQHLGQDEGHSLPQ >ORGLA03G0218900.1 pep chromosome:AGI1.1:3:19362526:19363119:1 gene:ORGLA03G0218900 transcript:ORGLA03G0218900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVQIAQAIAVFKELEGKPFQFLHCWSLLRSQSKWHDKMKQIASQKPCATNRQKPSTDGSAKATPTNDETTNHVGEDNEPTETEEPKRPMGKKRAKEHLRRGETCTDAFNHLWEKKKEADVEKKERDERYQKSYELDKQRLELDKKKVANEMDEIQLKRMLEEERIMTMDISSKPLSQQQFYKSVQDEIIAHRMNSSG >ORGLA03G0218800.1 pep chromosome:AGI1.1:3:19352913:19357024:-1 gene:ORGLA03G0218800 transcript:ORGLA03G0218800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSRRGPGAMDDENLTFETSPGVEVISSFDQMGIREDLLRGIYAYGFEKPSAIQQRAVLPIISGRDVIAQAQSGTGKTSMISLSVCQIVDTAVREVQALILSPTRELAAQTERVMLAIGDYINIQVHACIGGKSIGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDEADEMLGRGFKDQIYDVYRYLPPELQVCLISATLPHEILEMTSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRSNNFTVSAMHGDMPQKERDAIMGEFRSGATRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKKEDIRILRDIEQYYSTQIDEMPMNVADLI >ORGLA03G0218700.1 pep chromosome:AGI1.1:3:19349456:19351456:-1 gene:ORGLA03G0218700 transcript:ORGLA03G0218700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSYLAVGGWFIQVIFDKYLSYQLQSWAADCGISHEMNRLRVALLRTQSVLHGAEVTPSLSYGSLPWMRELRDVMYHAEDLLDKLEYNRLHHQMQESSSTESNSSPISAFMHSRFRNQGAQASGLEPHWDRSTRLKNQMVNLLERLEQVASGVSEALSLPRKPRHSRYSIMTSSVAHGEIFGRESEIQQLVSTLLSSQVDGDNPVSVASIVGVGGVGKTALAQHVYNNTRVAQYFDMRMWICVTDAFDESRITREMLESVSSSRFRHDSITNFNRLQVALRARLVSKRFLLVLDDVWSNDKITLAIEHENWQKLLSPLKAAANGSKILLTTRSSMVAEMLQSAHINNLECLSDKDCWSLIKMIVFDDTNHLINSQLANIGSEIAKTLNGLPLAAKVVARQLKCKHTTDKWKQVLQRNAVWDEIMPIFQHSYENLPVHLQQCLAYCSIFPKDWEFEAEQLILMWMAQGYVYPDGCRRMEDIGKQYVDELCSRSFFAIQKKQFVSYYVMPPVIHKLAKSVSAEECFRIGGDEQRRIPSSVRHLSIHLDSLSMLDETIPYMNLRTLIFFTSRMVAPINISIPQVVLDNLQSLRVLDLSPCKIDRLPDSIRQCVHLRYLNISSTAINMLPEYLGKLYHLQVLNLSGCRLEKLPSSINNLVSFTASDSS >ORGLA03G0218600.1 pep chromosome:AGI1.1:3:19345169:19347533:1 gene:ORGLA03G0218600 transcript:ORGLA03G0218600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPAASWRRHAAAAAASSSTRKTLLLLLPVLLLLLFFVLSRAPDLTLSPAAASSRRLYAGVRPFDCYASQQASPVFASLVEGVPHPFFYSLADMGALPDHPHKNIARILKGKRFRKPDISETIQQLLGGKVGIGSRGVVVDVGANVGMASFAAAVMGFRVVAFEPVLENLQRICDGVYLNRVQDQVVVYHAAASDRVGNITMHKVIGRLDNSAISATGAKLAFKANEEIAVEVATIPLDEVILDAERVVLIKIDVQGWEYHVLRGASKLLSRRKGDAPYLIYEEDERLLQASNSSAREIRAFLSSVGYNHCTRHGTDAHCTKN >ORGLA03G0218500.1 pep chromosome:AGI1.1:3:19337009:19340656:-1 gene:ORGLA03G0218500 transcript:ORGLA03G0218500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQESRGSSGKAPVTVTVTAAGASSSGECSTPPFRLNVHAPEFVPRSPAASPMAAAAAGYYSPFLQLPGSSIGLGADWSIFADPDPTFFLPDFGHAKIGGGNGQPKGASPADIAQKIIKQVEYQFSDTNLVANDFLMKIMNKDPECYVPMSVISSWKKIKAMGVTNQLLANALRTSSKLVVSDDGKKVRRAQPFTERHKEELQSRMVIAENLPEDSTRNSLEKIFGIIGSVKNIRICHPQEPSSARSSKSDALISNKLHALIEYETSQQADRAVDKLNDERNWRKGLRVRPVLRRSPKSAIRLKRPDFDHLMISDDDHSPQSQASSDSPMADHLPDHHQEDQHGKKSWGRGRGSRPHAAAGGAPQAAAAAAGHLDSLMMMSPRHAPQGPRMPDGTRGFTMGRGRPSPAAVLRSSPARAVAAPAPAAVMI >ORGLA03G0218400.1 pep chromosome:AGI1.1:3:19315196:19318001:-1 gene:ORGLA03G0218400 transcript:ORGLA03G0218400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEELLVQESTVPIVSDGDPSRPAFRSAHFLLPRAAAGGRPPPMPSSPLCSGGPVPDHHGLLRVVEFKGWAGSPGLWRQWVDRLRPRHEPLWRSVGILDAILATAYRVRRDEGTLLQLAAFWSADTNTFMFPWGEATVTLEDMTVLAGLPLFGKPVRARLPDALVGDVDALMAVRSALHRSKYKKPSYPGWVQYFLKRQEEEDDETAASAGADLIEHGAFLAMWLSLFVFAAPPFDVVGPQVFPIAALLARGIRVALAPAALAGIYGDLSALKRFLDLRDREEEVLQVTAPMHILLLWVWERFPQLRPAMATTTTPATTDACRVPMAARWHGVHKALDPQFVHGVFMSPDKFEWRPYGSRSMALPPKEAKAGTWVVQDVMTSNTLLSFTRCLRQCELVGMGCIEQYNPHRVARQFGFDQDVPGTIARANSNWKMAWRTYTFGYRKFALVVPHYKLGVTLEYARWWEPYSLACSTDVSNYANTREPHSLFIPMKRNTEELSGANSCKKQHVDTSVPLPGTMEDPLDEIPLIERLNNIIMVQCARQEQIADVVKYSVPEFIRGKDNSMIVQQDAEQYLSDSMRVLDSSADESFCGSVTKMEQHISLLQSKQKAQDHASAYEANNCNSGQVMIHHGVESAASTGSNEAIEAATTAGMLPTPEDILVTSDEVMMERNCGYELDAVLLGAAPQQQSTEDMGTCMFALERDNRAKTDKDELASLKGTEKENEDDSTSNQAAAGSLIEDCIEISRKNSGNNGISSDILVNASTELVRTKVSTKTLYYLTKIWLLKNAHERDASDMNRDHGVYQPRREVGTREMIEKSFAAREAQKVELERVIKHLKEQLRDRNPSKLDV >ORGLA03G0218300.1 pep chromosome:AGI1.1:3:19304736:19305354:1 gene:ORGLA03G0218300 transcript:ORGLA03G0218300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASYGGGFRIELDVVAKHGGGADCGPDGVAVEGSVPRDNRNGSAASTSRQPSRVQRGSRRRGGKGGDRATGGAIRVRGGNGMVRGMREGAPSRWQRRSVGRRESAREEEQSERRDFRLTGMGAGGKAEGETSGDQSRLIQ >ORGLA03G0218200.1 pep chromosome:AGI1.1:3:19290539:19295887:-1 gene:ORGLA03G0218200 transcript:ORGLA03G0218200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVPSPSLPTATALAGTPEMAAVALALALRGWWEEVNGSPAWQDGAFFSLSAAYALVSAVALVQLIRIQLRVPEFGWTTQKVFHLMNFVVNGVRAVVFGFHAQVFLFQTKVYTLVLLDLPGLLFFSTYTLLVLFWAEIYHQAKNLPTGKLRIIYMAVNGVIYVIQVCIWIYLGTNDSPLLEPVSKIFISVVSFLALLGFLIYGGRLFFMLRRFPIESKGRRKKLYEVGTVTAICFTCFLIRCIVVAMSSFDPDLSLEVLDHPILDFFYYMLTEILPSALVLFILRKLPPKRVSAQYHPIR >ORGLA03G0218100.1 pep chromosome:AGI1.1:3:19272585:19286613:1 gene:ORGLA03G0218100 transcript:ORGLA03G0218100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1PCT5] MAMARRSASRLLSSFRPFSLLLQPLDDAPSLSAAAAAASARRGMSSASALRARDEKEVARWRESMDRMRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEIPRVAFINKLDRMGADPWKVLNQARSKLRHHNAAVQVPIGLEEEFEGLVDLVELKAYKFEGGSGQNVVASDVPSNMQDLVMEKRRELIEVVSEVDDQLAEAFLNDEPIQANQLKAAIRRATVARKFIPVYMGSAFKNKGVQPLLDGVLDYLPCPMEVESYALDQNKSEEKVLLAGTPAEPLVALAFKLEEGRFGQLTYLRIYDGVIRKGDFIYNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSVKYTMTSMNVPEPVMSLAVSPISKDSGGQFSKALNRFQKEDPTFRVGLDPESGETIISGMGELHLDIYVERIRREYKVDAKVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYIEPLPSESDGKFEFDNMIIGQAIPSNFIPAIEKGFKEACNSGSLIGHPVENIRIVLTDGASHAVDSSELAFKLASIYAFRQCYAAARPVILEPVMKVELKVPTEFQGTVTGDMNKRKGIIVGNDQEGDDTVVVCHVPLNNMFGYSTALRSMTQGKGEFSMEYLEHNTVSQDVQMQLVNTYKASRGTE >ORGLA03G0218000.1 pep chromosome:AGI1.1:3:19261190:19264400:1 gene:ORGLA03G0218000 transcript:ORGLA03G0218000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRAGASHPPALPPLPPSDFGPVLDDGLEVEFKGWAPESPKLWRRWVAKLRPRHEPLWRKVGILDAVLATTCRVRRDEGALLQLAAFWCGETNTFVFPWGEATVTLEDVAVLGGLPLLGRPVRAPPQDALRSDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVEVGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAALASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTNQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPKKFEWRPYGSSSFALRPEMGSHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPHRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPLLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSVSTINELSCVSATKIVQGKSFQQGNKEPPDLVVAHDRENRSSLHSEVLQNLLVEDATNTGSNEALCAVTIADMHSTEVSFDVPVALVSIVDELPCVSASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGSNEALGAITVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPEDVVVISDDNNEDEVGGMHQKPPQLETAPSSLEGQNTESQIISASSNPQDSRVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIKNVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHGQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >ORGLA03G0217900.1 pep chromosome:AGI1.1:3:19249207:19256365:1 gene:ORGLA03G0217900 transcript:ORGLA03G0217900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48420) TAIR;Acc:AT3G48420] MAPAAASSSALLPAGTFSTARPVAGRPAGQRLPTRVAAASRTTTARSPAACLSVGGRRRGAEAVRASAGGAPAASLPAALLFDCDGVLVDTEKDGHRISFNETFAERELGVSWDVELYGELLKIGGGKERMTAYFSKMGWPAKAPKTDDERKEFIASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKGVKVAVCSTSNEKAVSAIVSCLLGPDRAEKITIFAGDVVPRKKPDPAIYLLAATTLGVDPSSCVVVEDSTIGLAAAKAAGMKCIVTKSGYTAEEDFATADAVFDCIGDPPEVRFDLEFCANLLQKQFVS >ORGLA03G0217800.1 pep chromosome:AGI1.1:3:19229347:19230357:-1 gene:ORGLA03G0217800 transcript:ORGLA03G0217800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPHPLLLLLTLLVAAGAASAGADDLVAELQSLRSRSPSGVIHLTDTSITRFLSAPAPRPYSVLVFFDAASLHSKTDLHLPQLRREFALLSASFLAHNPASADLFFADIEFSESQHSFAQFGVNSLPHVRLVRPEHTRLAGSEQMDQSHFSRLADSMAEFVESRTGLEVGPIVRPPLVSRNQMILLVILFLVSIPFLIKRIMDGETLFHDRRVWMAGALFIYFFSVSGGMYGIIRHTPMFITDRSDPNKLVFFYQGSGMQLGAEGFAVGFLYTLVGLMIAMVTHLLVRVESLQIQRFTMLAVMIIGWWAVKKVILLDNWKTGYSIHTFWPSSWR >ORGLA03G0217700.1 pep chromosome:AGI1.1:3:19194395:19195144:-1 gene:ORGLA03G0217700 transcript:ORGLA03G0217700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILEAAAFLLLTAAAAAAGASTVVEPVASCSATDSFAADSSFAGNLGRLVSLLEAKAPAIGFDIATVGVGGDGEDQRVHGLALCRGDVARATCAECIRAAGALARRVCPSKKDAVVWLDACMLRYSGEPFFGEVDAEHRAVVPPARVLRGADRSADLDREVSRLMKRLTRTAYLSPLLFAAGEAVAVGGAQRLHGMAQCTKDLSGGDCKMCLESAIDQLLARGCAKEGGKVLGGSCSLRYDFYSLSDS >ORGLA03G0217600.1 pep chromosome:AGI1.1:3:19190882:19192654:1 gene:ORGLA03G0217600 transcript:ORGLA03G0217600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKEAPPSLLVALLSVHLLPSPPPDLATTVVVEKAGSEGKVAAVGWVGGGGFGRADPPPAGSRAMDPPSHVVERRRKGGPAPGAATKITVATCGLESGGSTTGGLRNGGSIASKLGSGGYVLASGGEEAEGQRRTGSGDQDHSGCVWAQERRIHPHTRRRGGGRAVWHQEWQPRTCGYVRAKSRADNPPSTSLGMVDSLPEGSRVVDPPSHMWRGGGRVAPTPGVATTTTTAM >ORGLA03G0217500.1 pep chromosome:AGI1.1:3:19183129:19187297:1 gene:ORGLA03G0217500 transcript:ORGLA03G0217500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPAPQAFVYRISTADEWAQLQRTGGTLGGDLDRSTGCIHLSDLSQVRKTLKNFFLGRNDLYLLQVDTSKLSDGLVYEAADDSNYFPHFYGPGRSFAPLQLDAVIKAEKIVLVNNDFTCSLLDGADPLS >ORGLA03G0217400.1 pep chromosome:AGI1.1:3:19135514:19139360:1 gene:ORGLA03G0217400 transcript:ORGLA03G0217400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PCS8] MAVRVLLPPPRRWFLLSSLLLVVAAAVPVVHGYGGGGGLTVGFYKESCPEAEKIVRKVVAAAVHDDPTTTAPLLRLHFHDCFVRGCEGSVLINSTKKNTAEKDAKPNHTLDAYDVIDAIKEKLEHKCPATVSCTDILAIAARDAVSLATKAVRQGRWSKDGNLYEVETGRRDGRVSSAKEAVTYLPDSFDGIRRLITRFASKGLSLKDLAVLSGAHALGNTHCPSIAKRLRNFTAHHNTDPTLDATYAAGLRRQCRSAKDNTTQLEMVPGSSTTFDATYYGLVAERKGMFHSDEALLRNDVTRGLVYEYMRSEESFLRDFGVSMVNMGRVGVLTGSQGEIRRTCALVN >ORGLA03G0217300.1 pep chromosome:AGI1.1:3:19118851:19120292:-1 gene:ORGLA03G0217300 transcript:ORGLA03G0217300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg-protoporphyrin IX chelatase [Source:UniProtKB/TrEMBL;Acc:I1PCS7] MASAFSPATAAPAASPALFSASTSRPLSLTAAAAAVSARIPSRRGFRRGRFTVCNVAAPSATQQEAKAAGAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPDIRVVVGDPFNSDPDDPEVMGPEVRERVLEGEKLPVVTAKITMVDLPLGATEDRVCGTIDIEKALTDGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDRDPKAFRESYLEEQDKLQQQISSARSNLGAVQIDHDLRVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDTVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVVEKFYEVFT >ORGLA03G0217200.1 pep chromosome:AGI1.1:3:19114602:19114844:1 gene:ORGLA03G0217200 transcript:ORGLA03G0217200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPPAAQTPQLHHWPLFPPQIAFLALYRAQTTHQSAMPFPPSQREGELATHERSRPLSCAPVAAASASLWNSPVAQRAT >ORGLA03G0217100.1 pep chromosome:AGI1.1:3:19110329:19113839:1 gene:ORGLA03G0217100 transcript:ORGLA03G0217100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEHNKMMITLVRLLPLVLVVALAWPAAEAPAAASPPPDPVQCSSGSGTANCTVSSAYGVFPDRSTCRAAAAVYPSTEEELVRAVANATASGTKMKVATRYSHSIPQLACPGDGDGEGLVISTRRLNRVVAVDAGRMEVTVESGISLRELIAEAGKAGMALPYAPYWWGLTVGGMLGTGAHGSSLWGKGSAVHEYVVGMRIVTPAPAADGYAKVRVLTAADPELDAAKVSLGVLGVISQVTLALQPLFKRSVTFMERDDDDLADQVTKFGYQHEFADIAWYPGIGRAVYRVDDRLPMNASGEGVLDFIGFRATPRLLIRTNRLAEELFERAGNGSGKCVTSRVTHAALSSAGYGLMRRSGGLFTGYPVVGPQHRMQASGGCITGPEDALLTACPWDPRVRGSSFFHQTTFSLPVSRAGAFVGEVRRLRDMNPKALCGVELYDGILIRYVKASTAHLGKPAAGGGQSDDMVDFDMTYYRSRDPNRARLFEDVLEEIEQMGVFKYGGLPHWGKNRNLAFVGAARKYPRIGEFLRIKDAYDPDGLFSSDWSDMMLGIGGRAPTRDAPGCALEGMCVCSQDAHCAPEQGYVCRPGKVYKDARVCTKV >ORGLA03G0217000.1 pep chromosome:AGI1.1:3:19087827:19088363:1 gene:ORGLA03G0217000 transcript:ORGLA03G0217000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WAGHLAGGEEAGRGGGSPAAGDGRRGGGGNYRLLVDAKSVAMDRRSPVLHGLLRPPSHAATTKGGAGHRRAAVGSGAERSAAGGARRQGRAGGRPCGPPTTESVLHTASRDASAAAPLLPALLLKGLDDVLSLLSPTSPPNHLVLGPPHRGSRPLSLPHYRDLRSPPRSTASSPSSLY >ORGLA03G0216900.1 pep chromosome:AGI1.1:3:19065464:19065979:1 gene:ORGLA03G0216900 transcript:ORGLA03G0216900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSFSSSCSPSLPSPSLFLFTCRFGAGRRRAPPRARGGASWNPTAAAAGEGRRQRRRSMSRRGTRADRAAAPVACGDPSGASGEGVEECGGGSSGSSGSVRRPQEPLQAARLGHLLHHRIPKVEAIEFRHAATSAAAANPRERERSTVEMDGAGRGRDRGGRGWGSGQA >ORGLA03G0216800.1 pep chromosome:AGI1.1:3:19058334:19064818:-1 gene:ORGLA03G0216800 transcript:ORGLA03G0216800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPVRPWADLQHDLLVMIMSRVGLPDLLSGGATRACSAWRASARDPLVWRRVDLRDWAVLTSARRRFAAGDGEAAAAGRGRVPLQAALCSVLEIVVRRAAGRMEALLLPEFADEEHLLFLAQRNPNLHYFSLPATCITYDQFRKAIDKLQFLKGMAVDEGLINHDVLSHVHQCCPDFLELKVFALYVDEEMASIICNSLPRLKKLEIPNSDMSCAAIIKFLDCLEELEYLDISGYETSAISSAVLQKASRLNIFIWNSKFELGEFTDCSNCGEHCINPQEPCKCVMEHRVMDWLAGPSQPS >ORGLA03G0216700.1 pep chromosome:AGI1.1:3:19051899:19053049:-1 gene:ORGLA03G0216700 transcript:ORGLA03G0216700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGSAFEERAPFRDITNISSDARAGSTTSTKLQRNTKRTLELCFCAKNVDPTADLKKYIEDLEECLDRSSKFYAVAVNNTFMKQDRVYFTKEFSKDYLKPLMDGKETISIGVQMAGGVSKNMMLQMSTDDRCNLKKSMGKICNPQSHIPTITMHLPFQQNNSPGSHLRCSIKNIAILMAMLQPFFCCHQPG >ORGLA03G0216600.1 pep chromosome:AGI1.1:3:18983149:18983594:-1 gene:ORGLA03G0216600 transcript:ORGLA03G0216600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNISPFDFILSGAANRRERCRAHRSSGARSAHRCNDTIAVATNLDQAGASHHATSFTSTSSPFTLKKPIQWRSDLGGRHRLFQLRSTASIIDSILSDRAADVIPGVVPCRHGASPSL >ORGLA03G0216500.1 pep chromosome:AGI1.1:3:18977442:18977819:1 gene:ORGLA03G0216500 transcript:ORGLA03G0216500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIAWQRCFVYGLRRLGDVPGNSDEMAYDVAGASDALRAPSSGTPAGVLLEQPADEFEEDGNVDESERTSVMSRRGGCDREGDNVQRLGDITRRRGIEVAASEARTSWQQVDVSPATDISDERE >ORGLA03G0216400.1 pep chromosome:AGI1.1:3:18972807:18973028:1 gene:ORGLA03G0216400 transcript:ORGLA03G0216400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFGTFGYVKFLSCNSGFPKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA03G0216300.1 pep chromosome:AGI1.1:3:18906525:18907403:1 gene:ORGLA03G0216300 transcript:ORGLA03G0216300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSSSSKQDRALQAKNLAERCFLAGDVAGAKRWCQNALKLDPDLPGVAQAAAAYNVHSAAALKAIGVAGCGPDWYAMLGLPQPRSDLVTHHDAVKKQYQKLCLLVHPDKNTSAAADGAFKLVQTAWDVLSTRHPPPGATAAAASACTRPMRAEDLFRTKPTAAAPATPPAAKRPPEPPPKTTQRQQPPGPPPKPQPSAPKRPQVVQMRRPAPAKQQRPTILPPPPVVKRPSPTRGKCQYCGAAISKSFRCMSCHRSPMDNKPGYSDNDEYDDYYAKKNMEYDDYYYHDDR >ORGLA03G0216200.1 pep chromosome:AGI1.1:3:18875794:18876711:-1 gene:ORGLA03G0216200 transcript:ORGLA03G0216200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDLRNPPSAATTVKEDQQFTVFIDGVETALHEGVIQWNGGTVTLVSTGVLAVDRLQHVVVRGGGSGDVSFTRCGFAAAEACGVASFHRCDAVRADGAREVAVRRCRSADVERAGVVAIHRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIGIERCGSADVSRCGAVRVDRCRAASVSACGSVAVRRGKVNVIEQPPVCQEKPMYHLVHAEPVYAIPLEISSEIKLQ >ORGLA03G0216100.1 pep chromosome:AGI1.1:3:18862687:18862992:-1 gene:ORGLA03G0216100 transcript:ORGLA03G0216100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVFRASTRRGHASNAPEGCRLGLFLSFGRLEKLHRGRASQGGCQVGPDQFMWILLK >ORGLA03G0216000.1 pep chromosome:AGI1.1:3:18824385:18824723:-1 gene:ORGLA03G0216000 transcript:ORGLA03G0216000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFQDVFRKALYRLCKLSAPLGIDHDYGLHPYRTDEDNRYHLRMAGLPKGCRCTLLSKMACSAKNAYEQALEELDELRDRYTNLEARYQQLPHKNTSTLLVQARATTNMI >ORGLA03G0215900.1 pep chromosome:AGI1.1:3:18793424:18794128:-1 gene:ORGLA03G0215900 transcript:ORGLA03G0215900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDPKAGESSSSTVTAHHLFVVVIDGVETSIHEGSLQASLGGTVTITSAGNLSASGVRSVVVRGGGGGSVSFTLCGDAVADGVDSASFVRCGAARAEGARAVSVTRCRAADLEQAGRVSLERCREARVRGGGALRAARCRRADVESFGEVHLARCKGARVDWCGSVEVEMCRAVDVSRCGAVTGGRCRVVSAVGCGSVEVAHAVVNILEEEQPQAAQHPVSPSHSSRSSDSE >ORGLA03G0215800.1 pep chromosome:AGI1.1:3:18757921:18758325:1 gene:ORGLA03G0215800 transcript:ORGLA03G0215800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNVHRXQQRVCYYYVPGPPASPWRIASSASMACSVTCAVSATSSQRATSTMPRFGRRGRMTTASVYSVAMMIAPPSTASGSTAAPTPVGSSPQLARSSTAPPTSPSTGPAACTMHPRARPMASATSMTSCSL >ORGLA03G0215700.1 pep chromosome:AGI1.1:3:18736487:18736769:1 gene:ORGLA03G0215700 transcript:ORGLA03G0215700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYNQFSLKISGMPELLTLLLTSPSERMGTRVHGTGKAYIYCSMNIIKTIRIGVLAVIGHWDSQWHLG >ORGLA03G0215600.1 pep chromosome:AGI1.1:3:18734426:18734782:-1 gene:ORGLA03G0215600 transcript:ORGLA03G0215600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVSFLFFCFFFLRLFFSLPHSEPREERGEGKRGVGSPVAGRRRRRRRNVFPSGAHPEKRSASEGNKGGGRNGDGRSSTPAIKEVAVVVAMGWRRRGKQEKWNHLVEAKLMARGFG >ORGLA03G0215500.1 pep chromosome:AGI1.1:3:18690466:18690911:1 gene:ORGLA03G0215500 transcript:ORGLA03G0215500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNISPLDFILSGAANRWERCCAHWSSGARSAHRRSDIVAVAINLDQARASHHATSFTSTSSPFTLKKPIQWRSDLGGRHRVFQLRSTASIIDSILSDRAADVIPGVVPCRHGASPSL >ORGLA03G0215400.1 pep chromosome:AGI1.1:3:18679725:18681667:-1 gene:ORGLA03G0215400 transcript:ORGLA03G0215400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIIAYHFFLQGVDVFYSDAPLPGVRGKRCVDELPSGKRSSKHTASGTTYAGTPGRVVGGPKVGQQEREALKKVFDDAADKADAEAMNEARIILMQKMQPTGREGLPKQRRPVAKTSAAKLTAKLEEALKESNDLRTQLACNFHLSFALIGFVLALWIDVSFPAVAQATIESDAAEKEQLAKDLKDKTTAFDNFAS >ORGLA03G0215300.1 pep chromosome:AGI1.1:3:18670933:18674996:1 gene:ORGLA03G0215300 transcript:ORGLA03G0215300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PCQ7] MMVTWPWRALPLAAVLFLFLSPAASVDTVTMEAPLAGNRTIVSAGGTFTLGFFTPDVAPAGRRYLGIWYSNILARTVVWVANRKSPVVGGSPTLKINGNGSLAIVDGQGRVVWASPVMSASVLSAGSAKAQLLDNGNFVLRFASAGVAWQSFDYPTDTLLPGMKLGIDFRTGLDRYMNSWRAADDPSPGEYSFRIDPSGSPEFFLYRWSTRTYGSGPWNGYQFSGVPNLRTNTLLSYQYVSTADEAYYRYEVDDSTTILTRFVMNSSGQIQRLMWIDTTRSWSVFSSYPMDECEAYRACGAYGVCNVEQSPMCGCAEGFEPRYPKAWALRDGSGGCIRRTALNCTGGDGFAVTRNMKLPESANATVDMALGLEECRLSCLSNCACRAYASANVTSANAKGCFMWTADLLDMRQFDNGGQDLFVRLAASDLPTNSVSDNSQTAKLVEIIVPSVVALLLLLAGLVICVIKAKKNRKAIPSALNNGQVTPFGQRNHTASALNNWEITPFWQRNHVAASNDAQDNNSMRPAGQGNHQDLDLPSFVIETILYATNNFSADNKLGQGGFGPVYMGRLDNGQDIAVKRLSRRSTQGLREFKNEVKLIAKLQHRNLVRLLGCCIDGSERMLIYEYMHNRSLNTFLFNEEKQSILNWSKRFNIINGIARGILYLHQDSALRIIHRDLKASNILLDRDMNPKISDFGVARIFGTDQTSAYTKKVVGTYGYMSPEYAMDGVFSMKSDVFSFGVLVLEIVSGKKNRGFYHNELDLNLLRYAWRLWKEGRSLEFLDQSIAGTSSNVTEVLRCIQIGLLCVQEQPRHRPTMSAVTMMLSSESPALLEPCEPAFCTGRSLSDDTEASRSNSARSWTVTVVEGR >ORGLA03G0215200.1 pep chromosome:AGI1.1:3:18621561:18621893:1 gene:ORGLA03G0215200 transcript:ORGLA03G0215200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPAARRRDAAETWDNDGWWLGGRTAGSPRKLLLLATTSMASDQDYSSKIAYYGIEDDDDGCLIWQKEDTNKIDTLMSTKDGEEEDVLTFGKIWHILSVKEKVKTEIMA >ORGLA03G0215100.1 pep chromosome:AGI1.1:3:18601887:18620749:-1 gene:ORGLA03G0215100 transcript:ORGLA03G0215100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2016 [Source:Projected from Arabidopsis thaliana (AT3G05680) TAIR;Acc:AT3G05680] MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEFNGPYSSSEATAIAAFSMFVLFYQAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFEESLSSLKPLSLQATELDLSIEVKKILLLALTMYQIPNVENLIPNLQSAVISAVLKYMPASTNCMSRNWNRDPANCFAEDNVDSQGTSNTLLMEASNELFDIWKNVNSIVDNITFDDNGLAFRLEELPTTKHLFTLFDSCFPYYRNCSLLDLECPFQPLVDLQSAVVNIVSNLPSEELSSDGVNFLSSASIELAELLKMINMCVPIEDPSPVLTARRICKFGHLEGLLSYNLTIGLITSSKYSFLQFDADPYMLSLIQEDASGNLERRELVGPTGHTLPMRAPATHAYSSTSAPTTLLCPCLPESSSGCGILPAPPSFNPCRCDKPSSDVAHGIPAIPHYCLLSADGLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAFVLLSKGFFCRPQEVAMITELHLKVEHSLFAYNLLNLKVSTLLSHIDDLNYLAVSVLLSSLSSYNDLDSVTNKNGGSPLGHAIFHSTAEILEVLVADSTASSLKSWIGFAIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAVGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTDGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEEKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQTLVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSAIACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGIWLWKVEVPSLTAIRSLSTGTVLGCQVEKHMNWYLHPEHVSILLVRLMPQLDRLACVIDNFATSALMVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNNKVDETSLSEREIFKVHQLLQFIAKLSEHPNGKALLCKMGVARILRKLLQECSSMCYMEDNMISDKGVYSNDLLMLRWKIPLLRSIASIFSTRPSSKEPTTVEELWNENACVEECSSIMYHLLMLCQVLPVGRDMFACSLAFKEVASSYSCRGAVTSIFSQIQTSNKDEPQKSESETCHDTSKVDNWCGFFPLLKCWKRLLQYICANRPTDYLVEIVYALTLGAIALSQSGENLEGTIILRRLFGHPSVPSSSEASDEVTFLLKTFQEKICQGFDNWSPYVGKPLLHQVRSSVRLLCSIIENSGPFTDSVRMVLEESTIPVGVFHNIVMTSHLMPSIDFVSVNDDPALLFTNAWKAFGDFAEPFGCQVSDFSKRMVWELPDCSIDKQLIPSQSARRKLALGDSASRRVRDNQTHEPSGQFSRGLNTPSASIGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIEGASSASNIVSSTPRGALSGRPPSIHVDEFMARQRERQNPVLAPSGDATQVRSKATLDDNVSTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIIGENSPGPVVDETENQQNGINLFSGTVVSESDEACETVISSQTAIRQESNIPSERKFSVSSPEKVMFPDHADESPFISPTTGLKVIPGYSTHAAQATLRQLPPNMHRKRSPHKLAESSVSSGSHGHDRTLYNSQPPLPPMPPPVSSTSLQNPDSIQRQPSSYIARDGPPPFPPSYLMQSFDACMPSFVGHQVQTENVLPSTGDSSSNALPSVDAKFLWSTLPVNRIPMEHLSSGSSTRPVSPLPLRPVLATQHAAMDSGPPGSLYNQGGSGVLQPSPPASLINDATLGTNPASGGALASNSLPSLASQYIIGRPSTPPFFGTPLQIQLSSGLAQSVSNPQPSLSSMQPRAPPPPPPQPHPSQTFQGSLQQPQEQPMPYPLNTIQPQVPLQFPNQLHVPQLQFYHQTQQESVLQPIGHVSEQPIGQSAQQQTDSGMNLNHFFSSPEAIQSLLSDRDKLCKLLEQNPKLMQMLQDRIGQL >ORGLA03G0215000.1 pep chromosome:AGI1.1:3:18568026:18568941:-1 gene:ORGLA03G0215000 transcript:ORGLA03G0215000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEQPRDGEVAEEIRETVLTIEEESIFGIERRGIGIDSMAFMARSRSCSSRSTTCLPSVEMWGCERWRGIGRDLSANPNGAKLKRLNATSVGANILSDVICTQRHSVWC >ORGLA03G0214900.1 pep chromosome:AGI1.1:3:18559026:18563091:1 gene:ORGLA03G0214900 transcript:ORGLA03G0214900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT1G69440) TAIR;Acc:AT1G69440] WEGEREGVVAKNEDNAGGGGGGLGTGGNGGGGGGGSANGRRRWRGGGSSGYRQHPIIQAYPALLPLPINGATGHAHINGAVSLPLPLPPPVLLYLQPPPPPPLLPLLPKVAAATFYGKPPKAADTAPRGSMWKHRPSKKPPPHAITAALLPLPRDGKALQEKIFFANERKTSEKEVNHVDTHEKFTVAPLDNAIARRPDMGGVEGAEIPLSANHFLVQFDPGQKIFHYNVDISPRPSKETARMIKKKLVEENPSVLSGSQPAFDGRKNLYSPVRFQEDRVEFFVSLPVALARCSVVKEDTGHMLDKQKLKTFKVNVRLVSKLCGEDLNKYLNEDKDGIPLPQDYLHALDVVLREGAMESSILVGRSLYARSMGEARDIGGGAVGLRGFFQRLRPTKQGLALNVDLSLSAFHESTGIISYLQKRCDFLKDLPQKKTRALAEEEHREVEKALKNIRVFVCHRETNQRYHVHSLTKETTEKLKFRDRSGKDLMVVDYFKEHYNHDIQFRNLPCLQIGRSKPCYVPMELCVVCEGQKFLGKLSDEQTSKILKMGCERPSERKGIIKGVVKGAFHARSDTYADQFSLQVSKHMTKLSGRVLLPPKLKLGSSGRIKDITPDRFDRQWSFLDSHVAEGSKIKSWALISFGGTPEQHFCITKFVNQLSNRCEQLGILLNKKTIISPIFERIQLLNNVGILEGKLKKIQESASGNLQLLICVMERRHQGYADLKRIAETSIGVVTQCCLYSNLSKLTSQFLTNLALKINAKLGGCNIALYSSFPCQIPRIFLSEEPVMFMGADVTHPHPLDDSSPSVVAVVASMNWPSANKYISRMRSQTHRKEIIEQLDVMAGELLEEFLKEVGKLPSRIIFFRDGVSETQFYKVLKEEMHAVRTTCSRYPGYKPLITFIVVQKRHHTRLFHRERNGSSSHYSDQNIPPGTVVDTVITHPREFDFYLCSHWGTKGTSRPTHYHVLWDENNFRSDEVQQLIHNLCYTFARCTRPVSLVPPAYYAHLAAYRGRLYLERSDTTMYRVTPLQTVPLPKLRDNVKRLMFYC >ORGLA03G0214800.1 pep chromosome:AGI1.1:3:18531621:18531806:1 gene:ORGLA03G0214800 transcript:ORGLA03G0214800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGKPRRYSEIKGISGELQRLRHDEFGIKEAQQAAPGFLGPFAIDQAQPISPLKAHMCK >ORGLA03G0214700.1 pep chromosome:AGI1.1:3:18522317:18528027:-1 gene:ORGLA03G0214700 transcript:ORGLA03G0214700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKNKGGRGGGGGGGEDQFDGGSDVDSVSSVSTALSDLQLAQATEQVSSQDFVLDKYIDDLYEKRGSTREAALGALVDAFESFVLLDLVENKYATLLNQFINSIKKGSIKEVCLACHTTGLLAITLGAGSSSHEIMDESRLQLLRVLQTWPDASKMISALDCLAVVTFVGATDLSETQLSMKAIWDVIHPKSGSNVGIVRKPKPPLLAAAVSAWALLLTTIVSSKRNVDSWKESITFLSALLEAEDRAVRMAAGEALALCFELKLLDVFSNEEVEVDTAEASGSKNQLFLNMQALKAKISGLVYNLSMEAGGRGADKKNLNDQRDLFQRISDFIKTGECLEESLRIAGKHGILRVTSWRESIQLNYLRRFLGRGFLKHAQDNGLLHDIFDIKMDKTENMSTTEKKIYRSGEEKGRALKLNKERRLAQVECFAYAQMRKQNILNEQ >ORGLA03G0214600.1 pep chromosome:AGI1.1:3:18508764:18515676:-1 gene:ORGLA03G0214600 transcript:ORGLA03G0214600.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGAAAAPAAAVGSGKELANPPTDGISNLRFSNHSDHLLVSSWDKTVRLYDADANVLKGEFVHAGAVLDCCFHDDSSGFSAGADHTVRRLVFGSAKEDLLGRHDAPVRCVEYSYAAGQVITGSWDKTIKCWDPRGVSGPERTLVGTYAQPERVYSLSLVGNRLVVATAGRHVNIYDLRNMSQPEQKRDSSLKYQTRCVRCFPNGTGYALSSVEGRVSMEFFDLSESAQSKKYAFKCHRKSEAGRDTVYPVNAIAFHPIYGTFATGGCDGFVNVWDGINKKRLYQYSKYASSIAALSFSKDGHLLAVASSYTYEEGEKSHEPDAIFIRSVNEVEVKPKPKALAAP >ORGLA03G0214500.1 pep chromosome:AGI1.1:3:18500546:18501542:1 gene:ORGLA03G0214500 transcript:ORGLA03G0214500.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAASSLADEFTATATTARPDDMMLVNRMQRLVHARAGTDIQEEFQLALFRNSNKKNLFADRIFDLFDLKRNGITDFGEFVQSVDIFHPEMPLAEKIALSXEI >ORGLA03G0214400.1 pep chromosome:AGI1.1:3:18497958:18498101:-1 gene:ORGLA03G0214400 transcript:ORGLA03G0214400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPTGYMEPLAGVGNRYSYCSSSVPSLSVKAMISGLASIVEASKVS >ORGLA03G0214300.1 pep chromosome:AGI1.1:3:18487085:18487336:1 gene:ORGLA03G0214300 transcript:ORGLA03G0214300.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVGNNSVAESPVPNRGGRGRGRAGGRGRGRGRGRHNEAASSNQMEDEHMEEEEGGSHSAAVSDDAPRAARGMTLTRWTSMRLDT >ORGLA03G0214200.1 pep chromosome:AGI1.1:3:18475705:18477219:1 gene:ORGLA03G0214200 transcript:ORGLA03G0214200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAVAGSSRSTNTALEEKVAGVAALIDKWRPDDGQCSLFLDGSRREAGRFLCAAVELHGAMLLVASDVDQERGDECLVRAQGVLEAAMRRLQLELELLLSTVRSNAVDGVISGHDVVGDAGVVGHITMVADAMMAAGYGMECVSTFNSHRRAEFAAAVRRLLGFAPSQHAHFHKLTWEDVDGKVQSWHTAAGFAFNFAFSRERVLCHRVFAADAALADKVFAGIASDHAADLLAVAEAAVMRARRAPERLFHVLDVHATLAEILPAIACILGDKSEAAARATAALRNAGNAARGILMSLEQAIQKTTSSKAAVTGSAVHPLTRYVMNYLVLLADYEDTLARIYQQGESTLTSGSGSASRVSPSSSVDSIGRLVSVLQRKLDAMAVGYRPSALRSLFMANNTHYVSKKVRGSSKLEGIVGEDWIEEQMAETRRHVDAFVHSAWRDVLVAGGEGADAAVKEAVATQRSWVVADDEMGDAVRARRPRWWCRRTGHSIDGTARRRG >ORGLA03G0214100.1 pep chromosome:AGI1.1:3:18473710:18474020:1 gene:ORGLA03G0214100 transcript:ORGLA03G0214100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRVKVKRKRWGEGVEEEGEAVERRKQRRGKRSDAREVEEEGDWSSDN >ORGLA03G0214000.1 pep chromosome:AGI1.1:3:18379356:18382088:-1 gene:ORGLA03G0214000 transcript:ORGLA03G0214000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGVRISKRVRADAPTEMPPGVSTRSAKRQLIAEQGKGNGHEELPPETENNCDEDVGSGHLLQDNDVNRPPSPVMDWSYGHDAHHEEQPAQQTNSDAEVPIRRGTRKSRPPTAGIMLDKMTKAMGRMPIAVAEGKRRPDEPVQAAKFASEAGVIIRTKVPVFPHWKQYKDDEGYINNFMGKLSVRLAINQKHQPTRDACADVLQKGIRQTRYNLKKAYFNGVPANEIRTTSPISSMTDEQWLELVAKWSNPKNMQISEQNKQNRLNVRFHQATGSRSYAAHLHAYKEKNKVVELDAVDAFEDCHTSRKKGLSDAAKDAISSMKAIMEEPVPDGETPRTSAEVVSKVLSRDNSNTTFLKNAGLQMSSKKSVTPTEAALQEELAAEKQSCAILHAEIVAIKEQANLANEALAKTQKELAEFKQQQEENNLLLRRILSLSQGNLNLS >ORGLA03G0213900.1 pep chromosome:AGI1.1:3:18211943:18212653:-1 gene:ORGLA03G0213900 transcript:ORGLA03G0213900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGHLASAPRRYHRVEAVAVEPHRRHAVRLENQVAHVGEEADAHDALLLGVAGGNESAGAGLPCLGDTDVEGVAAVAGGREEGGFDLGDVVQAEVDGEVAEVEQLKPRRWRCQHLGWVRDDVARPHQGHPWLGEAVRVVGHGVDELAGAGEGARCQRGSSAAVREGAWWRRRSSAPGMDGWTMKRRRKEDDDGVRGKSDGSGMVPILEISSDMMNSSGIFVIRQSYIGMDPINP >ORGLA03G0213800.1 pep chromosome:AGI1.1:3:18191960:18192665:-1 gene:ORGLA03G0213800 transcript:ORGLA03G0213800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDGCVLRPCLQWIDAADAQGHATVFVAKFFGRAGLLSFISAVPEAQRPALFQSLLYEAAGRTINPVHGAVGLLWTGNWPLCQAAVETVLRGGAIGPLPELGGACGGAGGDLYGAAKRNGGWSTFSTAKRVRKAEVPEAPSCDLGLCLSPGSPPAVGERKPALRPGTPSMSSDESGTTTGGERDPVLLNLFV >ORGLA03G0213700.1 pep chromosome:AGI1.1:3:18181948:18182230:1 gene:ORGLA03G0213700 transcript:ORGLA03G0213700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAVLGVEDTDLERRLAAAAVLSAEEALAAVDLRELLCLMRMTLIHDGVSTLPDTDDTYP >ORGLA03G0213600.1 pep chromosome:AGI1.1:3:18062093:18065853:1 gene:ORGLA03G0213600 transcript:ORGLA03G0213600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 family protein [Source:Projected from Arabidopsis thaliana (AT2G33800) TAIR;Acc:AT2G33800] MAATSSTASAMAVAATSPTIATAPFSSLPLRLRLRPKPLLFTSRLLLPVPKSSSWVESVSEEGEEEGVEEDSEESGAAGEDDDGEDNDEKPRPEPVAASGFEFASPPEGYVEPAPFDELPPESPEDVAAAYEALYGPAFSGETVMGNNVFEVKVVDPVDMDREQRPSDEFSERVVQVNRVTKVVKGGRQLSFRAIVVVGDMKGHVGVGVGKAKEVTEAITKAAMNGRRNLVTVPLTKYSTFPHRADADYGAARVMLRPACPGSGVIAGGAVRVVLEMAGVENALGKQLRSKNPLNNARATIKATQMMRQFKDVAAERGLPMEQLWK >ORGLA03G0213500.1 pep chromosome:AGI1.1:3:18029335:18029559:1 gene:ORGLA03G0213500 transcript:ORGLA03G0213500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTKGTFTGVHNVIGAHGPLMGRLAIAGPIVLRLDGPERLPRPSRGRTCHGEVVFLWQVVFALHPSPETPLA >ORGLA03G0213400.1 pep chromosome:AGI1.1:3:18016413:18017684:-1 gene:ORGLA03G0213400 transcript:ORGLA03G0213400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQVADTVALHPWLLDLLPLLIVLLISTHVLVLVHTSPSRESSRPPIGSSVLVPCPSGAPSVQGQALRELLHLERNQGKLSEYKTRMVRLKPKVPKLMFGNIDKG >ORGLA03G0213300.1 pep chromosome:AGI1.1:3:18004254:18010984:1 gene:ORGLA03G0213300 transcript:ORGLA03G0213300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein [Source:Projected from Arabidopsis thaliana (AT3G06170) TAIR;Acc:AT3G06170] MWCASCLASACAGCTCNLCASALSAISRRSARLAYCGLFAASLVLSFLMRQFATPLLKQIPWINTFDYTQPDEWFQMNAVLRVSLGNFLFFAIFALMMIGVKDQNDRRDAWHHGGWIAKIVVWVVLIVLMFCVPNVVITIYEVLSKFGSGLFLLVQVVMLLDFTNNWNDSWIEKDEQKWEIALLVVTVVCYLSTFAFSGLLFTWFNPSGHDCGLNVFFITMTIILAFAFAIIALHPQVNGSVMPASVISVYCAYLCYTSLSSEPDDYACNGLHRHSKQVSMSALILGMLTTVLSVVYSAVRAGSSTTFLSPPSSPRSGIKNPLLGDDNVEAGKSDSKEIDARPVSYSYTFFHVIFALASMYSAMLLTGWTSAASDSSELMDVGWTTVWVRICTEWATAALYIWTLVAPLLFPDRDFS >ORGLA03G0213200.1 pep chromosome:AGI1.1:3:17823525:17833825:1 gene:ORGLA03G0213200 transcript:ORGLA03G0213200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPAASAPAPPSPFPVPHGLSPTAFLGGSPGLFSPTGNFEMSHQQALAQVTAEAVHSPYSMINQSDFSLPFSSTTTSVLASQHVNSSANVSSPREIPTLPSHTDNSNIESTEVSHGFQTTALTEDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTHLSCPVKKKVERSSDGQITQILYRGQHNHQRPPKRRSKDGGALLNEADVSPEKEDASTRSEQGSQDYSGKFKASNDGGPSSSRRGDRGEQISGSSDSNDQGEEEVKVEGGATSDGNANKRHVPAPAQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQDPKAVITTYEGKHSHDVPAARNSSHSSANANVSSSSNLPHKDRGQRSSRRDGLRNASSVSSLQLKEESG >ORGLA03G0213100.1 pep chromosome:AGI1.1:3:17788002:17788523:1 gene:ORGLA03G0213100 transcript:ORGLA03G0213100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPHHHHLRHRSGKVVLSIAFPPSEPLRCPSLSSPFVLDIDVPSVARHIVSPRRLRRRIHSGVFHAVLVSVQPLPAAHVASSPAPVVVVVVLPSFPVVVAFVPPSSRSRSSSSLRQVPQPRHRLRPGLRVAKPCAGRVSPSFKDRRRSRLLAVRLSRARSLSSFPRLVVWW >ORGLA03G0213000.1 pep chromosome:AGI1.1:3:17688497:17689174:1 gene:ORGLA03G0213000 transcript:ORGLA03G0213000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYLKQYEKEHMKMAMLRQEETFKQQVQELHRLYRVQKLLMDAGSAITMQSISCIPEDDYHAEENEAGSSRPWHTFPGSDNDKPQAHTSVLEESELDLTLAIGRTTTTKKEAPSSSVDSRTSNSSSSTESGSTNCRAVMPHRPSRLGSSSAVKVVAGGPGVGTTQQHLDLEQQDALKQPPWLHRCLNLAR >ORGLA03G0212900.1 pep chromosome:AGI1.1:3:17634053:17634425:-1 gene:ORGLA03G0212900 transcript:ORGLA03G0212900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLVEEVAERFEINGVRRRSGVAITGAREERRGALLGLHAELLELPLAVSGGGVVVLPLGAVGEEGVLTGGVPVRILLVVHGV >ORGLA03G0212800.1 pep chromosome:AGI1.1:3:17615602:17617734:-1 gene:ORGLA03G0212800 transcript:ORGLA03G0212800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDTSSSSSAAGGKKDKPMSVSAMLASMDAPASKAKPSKPASKPKPSKAPASSYMGDIDLPPSDEEEDDADLVAMATKPKAARATVDLNAIAPSQKDAKKKDKREAMAAAQAEAAKQEALRDDRDAFSVVIGARVAGSAGASEGDSAAADDNIKDIVLENFSVSARGKELLKNASLRISHGRRYGLVGPNGMGKSTLLKLLSWRQVPVPRSIDVLLVEQEIIGDNRSALEAVVAADEELAALRAEQAKLEASNDADDNERLAEVYEKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEQYLCSQWKKTLIVVSHDRDFLNTVCNEIIHLHDKNLHVYRGNFDDFESGYEQKRKEMNRKFEVFEKQMKAAKKTGSKAAQDKVKGQALSKANKEAAKSKGKGKNVANDDDDMKPADLPQKWLDYKVEFHFPEPTLLTPPLLQLIEVGFSYPNRPDFKLSGVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTKGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQEGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSHPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEQRSEIWVVEDGTVNKFDGTFEDYKDELLEEIKKEVEE >ORGLA03G0212700.1 pep chromosome:AGI1.1:3:17608432:17610945:-1 gene:ORGLA03G0212700 transcript:ORGLA03G0212700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGGWMLRPLNRGLLLPTLSCPVAPHRRGFAAYAAALQWLEDELTSLAILPSVPGVDSFACARQLQGCIARGDARGGRAVHGHVVRRGGVGRLDLFCANVLLNMYGKLGPLASARRLFDRMPERNMVSFVTLVQAHAQRGDFEAAAALFRRLRWEGHEVNQFVLTTMLKLAIAMDAAGLAGGVHSCAWKLGHDHNAFVGSGLIDAYSLCSLVSDAEHVFNGIVRKDAVVWTAMVSCYSENDCPENAFRVFSKMRVSGCKPNPFALTSVLKAAVCLPSVVLGKGIHGCAIKTLNDTEPHVGGALLDMYAKCGDIKDARLAFEMIPYDDVILLSFMISRYAQSNQNVQAFELFLRLMRSSVLPNEYSLSSVLQACTNMVQLDFGKQIHNHAIKIGHESDLFVGNALMDFYAKCNDMDSSLKIFSSLRDANEVSWNTIVVGFSQSGLGEEALSVFCEMQAAQMPCTQVTYSSVLRACASTASIRHAGQIHCSIEKSTFNNDTVIGNSLIDTYAKCGYIRDALKVFQHLMERDIISWNAIISGYALHGQAADALELFDRMNKSNVESNDITFVALLSVCSSTGLVNHGLSLFDSMRIDHGIKPSMEHYTCIVRLLGRAGRLNDALQFIGDIPSAPSAMVWRALLSSCIIHKNVALGRFSAEKILEIEPQDETTYVLLSNMYAAAGSLDQVALLRKSMRNIGVRKVPGLSWVEIKGEIHAFSVGSVDHPDMRVINAMLEWLNLKTSREGYIPDINVVLHDVDKEQKTRMLWVHSERLALAYGLVMTPPGHPIRILKNLRSCLDCHTAFTVISKIVKQEIIVRDINRFHHFEDGKCSCGDYW >ORGLA03G0212600.1 pep chromosome:AGI1.1:3:17564222:17572830:-1 gene:ORGLA03G0212600 transcript:ORGLA03G0212600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLIKLLDDDVDETMHSGADVEAFTAALNREVEGSGGASTSAAASSSQPLDHGAGLVPQESNSMFTHNHEQWQGSVKNEIGNQENQQQEQKHLHHSNEQPSRPELVSGGADNKQDQPKVKQEPGNSSQQSNSGQKQPLQQMRSQQTPSTNQTNSAPTVGKPPVVTFHMLIPILRRHLDKDKDMQVQSIFTKLRKNEVSKEHFLKVVRTIVGDKLLKQAAAQYQAQAAHGQRNPQTNPSNYSLLSQVSNQQNVPSGSMSGVEHKSYPSAHSIPANQASDSPRPPFFRPSLSGQMQSNMGYPSSESNLQKVNETSNMSDVKGGHMLSRPHNIQSAPGQATQHPQTSLPVLGSNNIHARPLPRPVGGPIVPLRPQMADSSQRGQLVQGAVTSVTGSMAARPTLQTNISPWQQVNKEQKTKSFPPTEHTNKIQPATQTPPPAAASKTPQKKASAGQKKPLDALGSSPPPSSKKQKTSGGYHDQSIDQLNDVTAVSGVNLREEEEQLFSAPKEESRVSEAARMVVQLEEEKLILQKGPLKKKLAEIMRKCNLKSIGSDVERCLSMCVEERLRGFISNTIRLSKQRVDLEKSRHRIYPLSSDVRSHILRVNREAREQWDKKLAEDAERIRKQSDGDDNAVVDSEKDKNESRSTSKHAKTYKAEDDKTRTTAANAAARVAAGGDDMLSRWQFMAEKKKSKCDGDGSSGSMPGNMLPRTSSPKPGKGSREQQEIEKTGGVRRSSHVKVTRSITVKDVIAALEREPQMLKSSLLFQLYGRSPAESSAK >ORGLA03G0212500.1 pep chromosome:AGI1.1:3:17561392:17563505:1 gene:ORGLA03G0212500 transcript:ORGLA03G0212500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVSLLAAGAVAVAVVLLLAAPARASNDEGDALYALRTRLSDPNGVLQSWDPTLVNPCTWFHVTCDHASRVVRLDLGNSNISGSIGPELGRLVNLQYLELYRNNLNGEIPKELGNLKNLISLDLYANKLTGTIPKSLSKLGSLRFMRLNNNKLAGSIPRELAKLSNLKVIDLSNNDLCGTIPVDGPFSTFPLRSFENNNRLNGPELQGLVPYDFGC >ORGLA03G0212400.1 pep chromosome:AGI1.1:3:17549678:17550736:-1 gene:ORGLA03G0212400 transcript:ORGLA03G0212400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTRAPSTRAIIDEVNNSNSQRRHHIHQLHASYSFTRSPYPNKEHSSDPALFILRYLYHRSKSGRSCIGGARETEVSNARDGGKVGCSTRWMWWLRMSAEMEVAAPAPVHGKVNVVAQKA >ORGLA03G0212300.1 pep chromosome:AGI1.1:3:17535550:17548242:1 gene:ORGLA03G0212300 transcript:ORGLA03G0212300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSRMARERNMEKAKGAKGSQLETNKKAMNIQCKVCMQTFMCTTSEVKCREHAEAKHPKTDVYQCFPHLKK >ORGLA03G0212200.1 pep chromosome:AGI1.1:3:17531369:17533221:-1 gene:ORGLA03G0212200 transcript:ORGLA03G0212200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLKAVAVHPAALLGRPRSHDAPQLVGRTGASTTTARRRAARSAVTVTMALKEEPEGSRSGFAGGVPSWDPGLEIQVPFEQRPVNEYSALKDSVLYSWAELSPGSFFLRLGSLWLITFTVLAAPIAAASFSPGKDPLKFVLAAGIGTLLLVSLVVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVINLLKQTLVGTGALLVGAVSLFAFAAPVEDFLHSVNAPPSAASSKPSLRREELLRLPVEVRQDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWDDLLN >ORGLA03G0212100.1 pep chromosome:AGI1.1:3:17469218:17470689:1 gene:ORGLA03G0212100 transcript:ORGLA03G0212100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDREPAGSSRHGSNMDPSINIPVNRDAITEEVAAAFAESEVIPERYCRPDEVHDGIVVGHDDDEAYELPVVDMEKLLDPELAEAEIAKLGSACQDWGFFQLVNHGVDEQVVNEMKDSTVKFFSLPLESKRTVEIQDNGFEGFGHHYRRASGKLDWAESVILLTQPIQERNPEMWPTNPSSFRDALDKYSAEMTKLAMRIASIMATDLGVDQEALVGAFRDKQQSMAIHHYPPCRHPDKVIGITPHSDGLGLTLLLQLDDTPGLQIRKDGRWLPVRPRPGTFIINVADILEVLTNGAYKSVEHRVLADAEKGRTTIVTFHEAYVDGMVKPIPEVLKLNGAEARYKSIERLEYIKGNFVALSEGTRFLESLKI >ORGLA03G0212000.1 pep chromosome:AGI1.1:3:17391758:17393890:-1 gene:ORGLA03G0212000 transcript:ORGLA03G0212000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDTSSSSSAAGGKKDKPMSVSAMLASMDAPASKAKPSKPASKPKPSKAPASSYMGDIDLPPSDEEEDDADLVAMATKPKAARATVDLNAIAPSQKDAKKKDKREAMAAAQAEAAKQEALRDDRDAFSVVIGARVAGSAGASEGDSAAADDNIKDIVLENFSVSARGKELLKNASLRISHGRRYGLVGPNGMGKSTLLKLLSWRQVPVPRSIDVLLVEQEIIGDNRSALEAVVAADEELAALRAEQAKLEASNDADDNERLAEVYEKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEQYLCSQWKKTLIVVSHDRDFLNTVCNEIIHLHDKNLHVYRGNFDDFESGYEQKRKEMNRKFEVFEKQMKAAKKTGSKAAQDKVKGQALSKANKEAAKSKGKGKNVANDDDDMKPADLPQKWLDYKVEFHFPEPTLLTPPLLQLIEVGFSYPNRPDFKLSGVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTKGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQEGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSHPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEQRSEIWVVEDGTVNKFDGTFEDYKDELLEEIKKEVEE >ORGLA03G0211900.1 pep chromosome:AGI1.1:3:17384588:17387101:-1 gene:ORGLA03G0211900 transcript:ORGLA03G0211900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGGWMLRPLNRGLLLPTLSCPVAPHRRGFAAYAAALQWLEDELTSLAILPSVPGVDSFACARQLQGCIARGDARGGRAVHGHVVRRGGVGRLDLFCANVLLNMYGKLGPLASARRLFDRMPERNMVSFVTLVQAHAQRGDFEAAAALFRRLRWEGHEVNQFVLTTMLKLAIAMDAAGLAGGVHSCAWKLGHDHNAFVGSGLIDAYSLCSLVSDAEHVFNGIVRKDAVVWTAMVSCYSENDCPENAFRVFSKMRVSGCKPNPFALTSVLKAAVCLPSVVLGKGIHGCAIKTLNDTEPHVGGALLDMYAKCGDIKDARLAFEMIPYDDVILLSFMISRYAQSNQNVQAFELFLRLMRSSVLPNEYSLSSVLQACTNMVQLDFGKQIHNHAIKIGHESDLFVGNALMDFYAKCNDMDSSLKIFSSLRDANEVSWNTIVVGFSQSGLGEEALSVFCEMQAAQMPCTQVTYSSVLRACASTASIRHAGQIHCSIEKSTFNNDTVIGNSLIDTYAKCGYIRDALKVFQHLMERDIISWNAIISGYALHGQAADALELFDRMNKSNVESNDITFVALLSVCSSTGLVNHGLSLFDSMRIDHGIKPSMEHYTCIVRLLGRAGRLNDALQFIGDIPSAPSAMVWRALLSSCIIHKNVALGRFSAEKILEIEPQDETTYVLLSNMYAAAGSLDQVALLRKSMRNIGVRKVPGLSWVEIKGEIHAFSVGSVDHPDMRVINAMLEWLNLKTSREGYIPDINVVLHDVDKEQKTRMLWVHSERLALAYGLVMTPPGHPIRILKNLRSCLDCHTAFTVISKIVKQEIIVRDINRFHHFEDGKCSCGDYW >ORGLA03G0211800.1 pep chromosome:AGI1.1:3:17340383:17349086:-1 gene:ORGLA03G0211800 transcript:ORGLA03G0211800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLIKLLDDDVDETMHSGADVEAFTAALNREVEGSGGASTSAAASSSQPLDHGAGLVPQESNSMFTHNHEQWQGSVKNEIGNQENQQQEQKHLHHSNEQPSRPELVSGGADNKQDQPKVKQEPGNSSQQSNSGQKQPLQQMRSQQTPSTNQTNSAPTVGKPPVVTFHMLIPILRRHLDKDKDMQVQSIFTKLRKNEVSKEHFLKVVRTIVGDKLLKQAAAQYQAQAAHGQRNPQTNPSNYSLLSQVSNQQNVPSGSMSGVEHKSYPSAHSIPANQASDSPRPPFFRPSLSGQMQSNMGYPSSESNLQKVNETSNMSDVKGGHMLSRPHNIQSAPGQATQHPQTSLPVLGSNNIHARPLPRPVGGPIVPLRPQMADSSQRGQLVQGAVTSVTGSMAARPTLQTNISPWQQVNKEQKTKSFPPTEHTNKGVGVPENQPSTSGTSKSFNTTNSSQPHRSHGTQAEPNMQIQPATQTPPPAAASKTPQKKASAGQKKPLDALGSSPPPSSKKQKTSGGYHDQSIDQLNDVTAVSGVNLREEEEQLFSAPKEESRVSEAARMVVQLEEEKLILQKGPLKKKLAEIMRKCNLKSIGSDVERCLSMCVEERLRGFISNTIRLSKQRVDLEKSRHRIYPLSSDVRSHILRVNREAREQWDKKLAEDAERIRKQSDGDDNAVVDSEKDKNESRSTSKHAKTYKAEDDKTRTTAANAAARVAAGGDDMLSRWQFMAEKKKSKCDGDGSSGSMPGNMLPRTSSPKPGKGSREQQEIEKTGGVRRSSHVKVTRSITVKDVIAALEREPQMLKSSLLFQLYGRSPAESSAK >ORGLA03G0211700.1 pep chromosome:AGI1.1:3:17337553:17339666:1 gene:ORGLA03G0211700 transcript:ORGLA03G0211700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVSLLAAGAVAVAVVLLLAAPARASNDEGDALYALRTRLSDPNGVLQSWDPTLVNPCTWFHVTCDHASRVVRLDLGNSNISGSIGPELGRLVNLQYLELYRNNLNGEIPKELGNLKNLISLDLYANKLTGTIPKSLSKLGSLRFMRLNNNKLAGSIPRELAKLSNLKVIDLSNNDLCGTIPVDGPFSTFPLRSFENNNRLNGPELQGLVPYDFGC >ORGLA03G0211600.1 pep chromosome:AGI1.1:3:17325840:17326898:-1 gene:ORGLA03G0211600 transcript:ORGLA03G0211600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTRAPSTRAIIDEVNNSNSQRRHHIHQLHASYSFTRSPYPNKEHSSDPALFILRYLYHRSKSGRSCIGGARETEVSNARDGGKVGCSTRWMWWLRMSAEMEVAAPAPVHGKVNVVAQKA >ORGLA03G0211500.1 pep chromosome:AGI1.1:3:17311710:17324403:1 gene:ORGLA03G0211500 transcript:ORGLA03G0211500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSRMARERNMEKAKGAKGSQLETNKKAMNIQCKVCMQTFMCTTSEVKCREHAEAKHPKTDVYQCFPHLKK >ORGLA03G0211400.1 pep chromosome:AGI1.1:3:17307528:17309381:-1 gene:ORGLA03G0211400 transcript:ORGLA03G0211400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLKAVAVHPAALLGRPRSHDAPQLVGRTGASTTTARRRAARSAVTVTMALKEEPEGSRSGFAGGVPSWDPGLEIQVPFEQRPVNEYSALKDSVLYSWAELSPGSFFLRLGSLWLITFTVLAAPIAAASFSPGKDPLKFVLAAGIGTLLLVSLVVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVINLLKQTLVGTGALLVGAVSLFAFAAPVEDFLHSVNAPPSAASSKPSLRREELLRLPVEVRQDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWDDLLN >ORGLA03G0211300.1 pep chromosome:AGI1.1:3:17244149:17245620:1 gene:ORGLA03G0211300 transcript:ORGLA03G0211300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDREPAGSSRHGSNMDPSINIPVNRDAITEEVAAAFAESEVIPERYCRPDEVHDGIVVGHDDDEAYELPVVDMEKLLDPELAEAEIAKLGSACQDWGFFQLVNHGVDEQVVNEMKDSTVKFFSLPLESKRTVEIQDNGFEGFGHHYRRASGKLDWAESVILLTQPIQERNPEMWPTNPSSFRDALDKYSAEMTKLAMRIASIMATDLGVDQEALVGAFRDKQQSMAIHHYPPCRHPDKVIGITPHSDGLGLTLLLQLDDTPGLQIRKDGRWLPVRPRPGTFIINVADILEVLTNGAYKSVEHRVLADAEKGRTTIVTFHEAYVDGMVKPIPEVLKLNGAEARYKSIERLEYIKGNFVALSEGTRFLESLKI >ORGLA03G0211200.1 pep chromosome:AGI1.1:3:17218505:17219375:1 gene:ORGLA03G0211200 transcript:ORGLA03G0211200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFLRIASEYGFGYDLLLGPVALGDAPPRGRVDAIPRNQISTQEYCKPFNGLVERTVGRDPVRVNMCRFILSSAG >ORGLA03G0211100.1 pep chromosome:AGI1.1:3:17213540:17214502:1 gene:ORGLA03G0211100 transcript:ORGLA03G0211100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDTAPQCRPGAGAATDSSTSVSVAPEEFEFFVLPSGGLALAGADEDGMCVADEVFSDGKLLPLRLSSANPVEAAALRLLRSDSLDGATTASSASGFSSRSDSRSASSSSSSSSCVSRSTSQKSASSDTAGRSNQPSKAASSDALLPPRRRPLSGSLFYAHPSPSPRPSQRLSGGGGGSAGRRSTGSAPPASWGLLRLGIVGAPDVYPPRPAPAAARGGSRSARFEQPRAAAKDAVAWEKNLPLGFLGAGLVCNCSPDAVEPVGSAEAAAAAAARRRRRKVAEKNTGEVKSGQSNTIRRSRILEWLEELSISKEKTAT >ORGLA03G0211000.1 pep chromosome:AGI1.1:3:17205519:17205929:1 gene:ORGLA03G0211000 transcript:ORGLA03G0211000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAVERLEREGRTRSGSSHPMSPTGSTMRSCFVVVAPPPASCCRCSSALTRCHRQASGYGSHSTCRRQPSTHELPPSPLRAHPVPPSLAPRCGTRRSRCRWRPSARELLLAPLRXXAAAGGKEEEEERKKGRVVERGG >ORGLA03G0210900.1 pep chromosome:AGI1.1:3:17185159:17185834:1 gene:ORGLA03G0210900 transcript:ORGLA03G0210900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEREVQEQVPQVAGVESQSKRASASLTPASSKATAGAGAAGRAARIPASRSRGSATANKLMAMPTVAPSSXAKASNPSMSVEMVVMSDGEEDEENSFDLNDEMSVSLPSLGSASEGDDGDDK >ORGLA03G0210800.1 pep chromosome:AGI1.1:3:17176866:17177303:-1 gene:ORGLA03G0210800 transcript:ORGLA03G0210800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAVAASTEALAVAAAATAGAPQHHHIPSQPRWVVILYSPLHGMVAAAPPPPPPQFVKHFAPPAPITPPPHPQPQQQQATGGRGWIRRREQGREPNEGYDDLGADNEDQQQAAAAGGGLSGEVRSLLPSLLPRSNGEIRRGSSI >ORGLA03G0210700.1 pep chromosome:AGI1.1:3:17175064:17175883:-1 gene:ORGLA03G0210700 transcript:ORGLA03G0210700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSRVSVRAAAPGQTGGFAKIRPQVVVAAAARSAGVSGRRARSVRASLFSPKPAAPKDARPAKVQEMFVYEINERDRESPAYLRLSAKQTENALGDLVPFTNKVPVPLLGLWFLQLYSGSLDKRLGISAGICILIQHVPERNGDRYEAIYSFYFGDYGHISVQGPYLTYEESYLAVTGGSGVFEGAYGQVKLNQIVFPFKIFYTFYLKGIPDLPRELLCTPVPPSPTVEPTPAAKATEPHACLNNFTN >ORGLA03G0210600.1 pep chromosome:AGI1.1:3:17166007:17168054:-1 gene:ORGLA03G0210600 transcript:ORGLA03G0210600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLLLLCMCRACTRLRCGHAMWLDSRPLLWGDALTYEGREIPGXSLVLFRTDSGDALERHNPNEGTAVVSPPSLVDSSGENHALVRKADDSGVIGVVTFLKAPL >ORGLA03G0210500.1 pep chromosome:AGI1.1:3:17140179:17144984:-1 gene:ORGLA03G0210500 transcript:ORGLA03G0210500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37470) TAIR;Acc:AT4G37470] MGIVEEAHNLRVVGEGKRGVIVLAHGFGTDQSVWKHLVPHLVADYRVVLFDTMGAGPTNPDYFDFSRYATLEGYALDLLAILQELRVASCIYVGHSVSAVIGAIASISRPDLFSKLVLLSASPRYLNDVDYYGGFEQEDLDELFEAMGSNYKAWCSGFAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDVRSLLPLVTVPCHIVQSTKDLAVPVVVSEYLHKHLGGDSIVEVMPSEGHLPQLSSPDIVIPVLLRHIQHDIAV >ORGLA03G0210400.1 pep chromosome:AGI1.1:3:17133754:17134487:1 gene:ORGLA03G0210400 transcript:ORGLA03G0210400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQTPQPSKGSMAGSGSRWPEKGRSIKAARRQGRPGEELRTEDRAKDIGVDHVSGEELRASRDNPDNCDNHLQLHHQRREDRCHLAPQEPLPPRLDALLTSGAGCSRNPHDRAASASCHSTSPPPVPDLATAVPDLPLPSLEASPPSLPDAGKRHFPTRCGKACRRIPG >ORGLA03G0210300.1 pep chromosome:AGI1.1:3:17125674:17126354:-1 gene:ORGLA03G0210300 transcript:ORGLA03G0210300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALQALLDPTALSLGLPTPAINKEEYLAICLAALACTRAGKPLVGVGGQQQVQACNKWLCPAPAAPEELRFRCTVCGKAFASYQALGGHKSSHRKPPSPGDHYGAAAAAQQLASAGDSKEDSASSAAGSTGPHRCTICRRSFATGQALGGHKRCHYWDGTSVSVSVSASASAASSAVRNFDLNLMPLPESTAAAGIKRWAEEEEVQSPLPVKKLRMSNSSYAIL >ORGLA03G0210200.1 pep chromosome:AGI1.1:3:17119934:17120536:-1 gene:ORGLA03G0210200 transcript:ORGLA03G0210200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNMTHDDYVSLCLMALAQAGVGGQWPAQKQQIDMAPPAPERELLRFRCSVCGKAFPSHQALGGHKASHRKPPTAALPMHVIDAPPPPSAEDTASSSTTTTTSGGGRHRCSVCHRTFATGQALGGHKRCHYWDGLSVVSVTASASGSGSSSVRNFDLNLKPVPDTVAAGVRRWGEEEEVQSPLPFKKRRLSSPSLELNL >ORGLA03G0210100.1 pep chromosome:AGI1.1:3:17101134:17101298:-1 gene:ORGLA03G0210100 transcript:ORGLA03G0210100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMDDLSGQKRICVIRDVDGHHRLGFPSGSGMLCCWAAGLWESEKMNKALGAA >ORGLA03G0210000.1 pep chromosome:AGI1.1:3:17090669:17091532:1 gene:ORGLA03G0210000 transcript:ORGLA03G0210000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNPFCHTMAILLAVLLVAAAATTEADGALCDKSDKAALLAVKSALGNPPALSVWNSSTPCCSWDGVSCDAITGRVTELTVFALNISAPVPAAIANLTKLQILNLAYNQLYGPIPSFLGPRALPDLTFLRLDGNRLSGAIPPTATVFNLLLEGNLLTGTLPSTFGAAAFGEVGVAGNQLSGDASMLFGAKKKLNALRLSRNRFAFDLGSVELPEGLDILVIDHNMVYGSIPPAAAAAGRKWLAFDVSYNQLCGPIPQGRYTHRFGAKHFAGNKCLCDRPLPPCSS >ORGLA03G0209900.1 pep chromosome:AGI1.1:3:17082422:17083612:-1 gene:ORGLA03G0209900 transcript:ORGLA03G0209900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAVKKRQEENAAATEPSFQSVALVVGSTGIVGTSLLDILPLQDTPGGPWKVYAVSRRPLPPWSPPASPAVTHLHLDLADSAAVAEALTPLTDITHVFYVAWSAHPTEAQNREVNSAMLRNVLSVVVPNCPALVHVCLQTGRKHYIGPFEAIGKIAAPDPPFTEDMPRLDCPNFYYDLEDVLFDEVSRRDGAVSWSVHRPTVVFGFSPRSAMNVVGSLCVYAAICRKEGAVLRWPGSRVAWEGFSDASDADLIAEHEIWAAVEPFAKNEAFNCSNGDLYKWKLLWPMLADQFGVEWSGYEGEESSFKLADAMSGKEAVWAEIVKENDLMDTELEEITNWWFVDAVFGVRSEHLDSMNKSKEHGFLGFRNTVNSFNTWIEKMKVFKIVP >ORGLA03G0209800.1 pep chromosome:AGI1.1:3:17074147:17078364:1 gene:ORGLA03G0209800 transcript:ORGLA03G0209800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQPEESSGGVGGGMGPPVPAESGAGSSSPPHRRGEPKRQRVPALREVITEVMRKSSIEKLFTAIEPLIRRVVKEEIESAFANHATMMARTVMDVVPSSSKNFQLQFMTKLSLPIFTGSKIEGESSLSITIALVDTVTREVVASGDESLMKVEIVVLEGDFEGGEGDDWTAQEFNNNIIRAREGKRPLLSGDIFVGLIKGIGAVGELSFTDNSSWTRSRKFRLGAKTEDGSYNGVRVREAKSESFVVKDHRGELYKKHHPPILDDEVWRLEKIGKEGAFHKRLNREKIVTVKEFLTLLHLDAPRLRKILGSGMSTKMWEVTVEHSKTCILPDKVHLYYPDSLSKTAVVFNVVGEVRGLISEKFVCADDLTEKEKAEAYAAVKQAYENWKNVFTCDNETLLANPSQLLDVRTTSLHENDYDQFPTQVSTDGFGLSQSSIPSPDIFSIDPSSALDPCPLETAENNENQYQSELPPLGGHAPPQVSQTVDKFSNSLVYEDCTSHPSFSEDYYRCSDPSVSFDTQDLGAALKGFIATISKPKAYRGWRTLSYVIGWIFYTKKIVAMKRNEHGK >ORGLA03G0209700.1 pep chromosome:AGI1.1:3:17068652:17069171:-1 gene:ORGLA03G0209700 transcript:ORGLA03G0209700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHETETGTESAAARQAKESLELAFQMSQILDTGLDRHTLSLLMALCDRGANPEALAALVRELSSAAPPTAATTPASTATAVPSTKAASLFPSDYFLAATRSWLVSLRGIKHYDMDSFGDMGILVXHEYVIARTV >ORGLA03G0209600.1 pep chromosome:AGI1.1:3:17063089:17064025:-1 gene:ORGLA03G0209600 transcript:ORGLA03G0209600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEMEMESVVARHAKESLELAFWMSQILDTSCDRHTLSLLMALCDRQPWRQPRGPRCPHSRAVLGSSSDSHHRHRNHPRVQRHRRAIHKTCGAISLRPSLTLGFMPPFLRRDHHHLTQLERRRRKVLL >ORGLA03G0209500.1 pep chromosome:AGI1.1:3:17030797:17032759:1 gene:ORGLA03G0209500 transcript:ORGLA03G0209500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G43980) TAIR;Acc:AT1G43980] MPPPPVPTISTLSALLAGCASLSTAAALHAHLLKSSRLFRPVFLANCLAAAYCRLGAAPSAVAVLRHAPEPNIFSRNILLGAMLKSHDLLSAHRLFDEMPDRDAVAYNSMMSGYIDGGRNIEALSLVWTMLEAGVRPSGFTFSIILSAVRVARHGVQVHAAAVRHCFAHQNSVVGNALINMYRRVGLLEYAVQVFWSMNGHDIVSWNSVMSVYRDDGQRRQVFECFRMIRSHGLFFDECSLSTVLSACIDAEDSSKGDQLLTHCVKMGLLRNSLICSAVIGLLCASDRLADAVYLFKGMATWDSETCNAMISGYARSGLMEQALGLFTMALQNGILPTGFTFASVLRWSSCFGLVEQGTQIHALIFKLGLEDDLIIATALVDVYCKLASLKHAKKIFSRVSFKDLVLWNTMIIGLSHNGRGKEALQVFRQMLKCNIQPDRITLSGVLSACSFEGLVNEGIKMVSLFEDKYHIVPGVEHYTCVVDMLSRAGMLGEAVDFVESKLQKCIVAALSNVLEASLIKRDFRMAELIAEKMTKLKPRSSLPYVVLAQSYGARYMLLAVLNLYHKKSPITRRXXXXXXXXXXXXXXXXX >ORGLA03G0209400.1 pep chromosome:AGI1.1:3:17003333:17010285:-1 gene:ORGLA03G0209400 transcript:ORGLA03G0209400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PCJ8] MMAPPRPASRSRTQRHRLSAPFMVLLFLALATSSTVANAQLSDSYYDASCPAALLTIRTVVSAAVLLDPRMGASLLRLHFHDCFVQGCDASVLLDDTGSFTGEKGAGPNAGSLRGFEVVDNAKTLLETVCPQTVSCADILAVAARDAVVQLGGPSWTVLLGRRDSTTASASLANSDLPAPSSTLATLLAAFSNKGLTTTDMVALSGAHTIGRAQCANFRDRIYNDTDIDASFAASLRAGCPQSGDGSGLAPLDESSPDAFDNGYFGGLLSQRGLLHSDQALFAGGGGSTDGQVRSYASSNDQFASDFSTAMVKMGNISPLTGSAGEIRVNCRAVN >ORGLA03G0209300.1 pep chromosome:AGI1.1:3:16998699:17000644:1 gene:ORGLA03G0209300 transcript:ORGLA03G0209300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERAITYAVVDAFTDEPFKGNTAAVCLLEESWEEQLDEQWMQSVAAEFNTSITAFLVRADADAANPQFHIRWFTPVRESELCGHGTLAAAHYLISSGLVKCNAIDFLAKSGFLTAKKVVGLKQSSTLISPLQEACTKFLIELDFPLIPVVKCSPLEMPSIPETLNGASVSNVLKTVSDSATDLIVELNSSEEVVNVRPNISELVQSAGRGVAVTGPAPVGSSYDFFSRFFCPKYGLNEDPVCGSVHCALAAYWGKKLGKQCMTASMASPRSGTLYLQWDEAAQRVRIRGEAVTVMVGIILV >ORGLA03G0209200.1 pep chromosome:AGI1.1:3:16996847:16997374:1 gene:ORGLA03G0209200 transcript:ORGLA03G0209200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSPLPYSPQSSSYNSMQPQPRPTHDPEPYYSLELSLYGYFPTSPLDYPSSPSSGSSSVXSPSSLGIPFSGGSPPSYPHITPSSSSYSPSSPPYAPTSPLYSLGSGLWHDDDVLRLHMPSPEYCYCCSTSLELCRCPAGHGQPNHVAIVTTAIXLXANGIWRFXFHRTQQSWREL >ORGLA03G0209100.1 pep chromosome:AGI1.1:3:16996013:16996548:-1 gene:ORGLA03G0209100 transcript:ORGLA03G0209100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLTTLPCALLWLLRDIGGGERRGEEGRNEGSGGSMPGVNLKNLVSKEYYGHRKKVHFVAWNCLGTELASGSTDRTNSRVWRIYPHLS >ORGLA03G0209000.1 pep chromosome:AGI1.1:3:16935104:16936001:-1 gene:ORGLA03G0209000 transcript:ORGLA03G0209000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYVNFLGSNIDVETWDPSQNFPQTGQQPQYSPMVGEQPSAEVGGPLDPTIRDPKISALRKILNRPQNGMTITQATTLYKSEEKKTFSLMHCWEILHHHPKWNDRSSQKKHKANVDPLVIPSARTNSREFHCSPDINISDPLVRPPGRKVEKAKCARGDTSSCSSESSLVVIALTNMWSEKKEMSAQSREERNDRLGEVISLEKERLKVEK >ORGLA03G0208900.1 pep chromosome:AGI1.1:3:16921363:16921623:1 gene:ORGLA03G0208900 transcript:ORGLA03G0208900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEPNDDEEASSRAEALPKNLITGLPVQISGEGVVPEALLVPITCVRKRSESEKNRSSTGIGEKPAMERIRGKTERRSLCLVLIA >ORGLA03G0208800.1 pep chromosome:AGI1.1:3:16898060:16899992:-1 gene:ORGLA03G0208800 transcript:ORGLA03G0208800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLHHHHHHQHAASPSPPDQPHKSYPSSRGSTSSPSSHHTHNHTYYHHSHSHYNNNSNTNYYYQGGGGGGGGYYYAEEQQPAAYLEECGNGHQFYMDEDFSSSSSSRQFHLGTGAPSSAPVPPPPSATTSSAGGHGLFEAADFSFPQVDISLDFGGSPAVSSSSGAGAGTGAAPSSSGRWAAQLLMECARAVAGRDSQRVQQLMWMLNELASPYGDVDQKLASYFLQGLFARLTTSGPRTLRTLATASDRNASFDSTRRTALKFQELSPWTPFGHVAANGAILESFLEAAAAGAAAASSSSSSSSTPPTRLHILDLSNTFCTQWPTLLEALATRSSDDTPHLSITTVVPTAAPSAAAQRVMREIGQRLEKFARLMGVPFSFRAVHHAGDLADLDLAALDLREGGATAALAVNCVNALRGVARGRDAFVASLRRLEPRVVTVVEEEADLAAPEADASSEADTDAAFVKVFGEGLRFFSAYMDSLEESFPKTSNERLSLERAVGRAIVDLVSCPASQSAERRETAASWARRMRSAGFSPAAFSEDVADDVRSLLRRYKEGWSMRDAGGATDDAAGAAAAGAFLAWKEQPVVWASAWKP >ORGLA03G0208700.1 pep chromosome:AGI1.1:3:16846362:16848727:-1 gene:ORGLA03G0208700 transcript:ORGLA03G0208700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDRYQRSPYYSNTVVEISNVDSGAPEVDHLHVLIAEDNPDQLRDIQICYKLVRRQFSQVTRMSSIYYSGFHTSGQDSTTTDSQNLGKKLDCLGELLATEILGEAAAARSSPNRPFYQ >ORGLA03G0208600.1 pep chromosome:AGI1.1:3:16840801:16841217:1 gene:ORGLA03G0208600 transcript:ORGLA03G0208600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAASQLYATACYGVSMAVVSFLQPVNDGDYDGRPASEAQATHANLEPDGISIRPGEGGWVSGGNQTTCKLIPGLSQAMQFFLKESTKKTLRLQRERVFLRRPTRVFTYGWPTHLTPCACEDHPSFTCELSNRTRR >ORGLA03G0208500.1 pep chromosome:AGI1.1:3:16818853:16825416:-1 gene:ORGLA03G0208500 transcript:ORGLA03G0208500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyruvate orthophosphate dikinase [Source:Projected from Arabidopsis thaliana (AT4G15530) TAIR;Acc:AT4G15530] MAPAAHRDGAAEAVGQRVFHFGKGRSDGNKTMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACQQYQAQKAMPAGLWDEILAALTWVEGNMGAVLGDPRRPLLLSVRSGAAVSMPGMMDTVLNLGLNDHVVAGLAHRSGERFAYDSYRRFLDMFGNVVMDIPHSLFEEKIEAMKAALGLRNDTELTARDLKELVAQYKNVYVEAKGEEFPSDPKKQLHLSVLAVFNSWDSARAKKYRSINQITGLKGTAVNVQCMVFGNMGDTSGTGVLFTRNPSTGERKLYGEFLVNAQGEDVVAGIRTPQDLDTMKDCMPEPYAELVENCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLIDRRSAIKMVEPRHLDQLLHPQFESPSSYGDKVIATGLPASPGAAVGQIVFTADDAEAWHAQGKSVILVRTETSPEDVGGMNAAAGILTARGGMTSHAAVVARGWGKCCVAGCSGIRVNDAEKVVLVADKVLCEGEWLSLNGSTGEVILGKLPLSPPALSGDLGEFMSWVDEVKKLKVKANADTPADALTARNNGAEGIGLCRTEHMFFSSDERIKAMRQMIMAETIEHRQIALDRLLPYQRLDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNVEDMVRLLSSGNVYTQEEILTRIEKLSEVNPMLGFRGCRLGISYPELTAMQARAIFEAAISMTEQGVKVFPEIMVPLIGTPQELAQQVDVIREVAEKVFANAETTISYKIGSMIEVPRAALIADEIAALAEFFSFGTNDLTQMTFGYSRDDVGKFLPTYLSKGILQNDPFEVFDQKGVGELVKVAVERGRKARPDLEVGICGEHGGEPSSVAFFAKVGLNYVSCSPFRVPIARLAAAQVML >ORGLA03G0208400.1 pep chromosome:AGI1.1:3:16813460:16814197:1 gene:ORGLA03G0208400 transcript:ORGLA03G0208400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGTASFKHVVDDDDPAARGTGGGSPRQPRRKHGGGGGGKINPYAERGLDKFSTVLSELEARRDKILRRVGSGGGLVMVRFVQSNGALEPIIVKLPDEQRRPKDDAAAKKPRPSSPSTAAAQQQGAAAARATRAPPPAPAASRASSFSWGRMRRPACYWPAVMVLMLVCLAVFGRVFAICCTSIWWYLAPTLLSNGGAGGEDAARRPLGSPRKSPPPASGKKLAGRRGTREVGSSPRGHTKKGT >ORGLA03G0208300.1 pep chromosome:AGI1.1:3:16792778:16808280:1 gene:ORGLA03G0208300 transcript:ORGLA03G0208300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEASGVDKSSLLCRVEDLQRERDEKQHALEVFIRRVEGLQYDLDEKTDPVEVLSPRVEELQRENFLDQITHVFLLHPFSPVHLFPSMELDSDDAGAFLRPYLFPGAATWQLAQETLAPSSSQGGEARRTLTVGSTDGDGFPGIGSEARGSPMPKMTDGSRRLARRSPRASLRACGHAAADRRRWKPSPTTPSCTKPSVAVVAAHQMRKPPLTTDEEPISHYAILAPSLFFQSICSQFCCTPTVCENAHESKDPSSPAWPDVERDELRKDIEQLCMQQQAGPGYVSVATRMLSQRTAALEQDIENLQKKLGGCLRENQNLQEELAEAYRIKSQLAELHGAELSKNKELEKQVRFFQSSVAQAFAERDNSLMKCEKAKEREEAILQKFAKFEERTREYQSSIDDQKRSNDALQMELMRLKDQTQSSLKILFQVIQKFYDVRCRDSECSVNITLEEKCSVLLDDSADNWSFSSDGGTSTSKYIASLEEEKDSLRAKIAKLQNNLRMGLEIEQHLQRNARVLEKRQALYDEFMRNGLSTLQKLHIQQRDDIMKILEEESSQLSTVVNEIQDKLSKIRINPEINENPVGEMQCCDSSCKDVHVTTDVSPGISPKGDIPSDCATFGESDVLAQALHEKMEALMLFSQEQERYLLEKQRNQIVIEELQKNLSQVKEEKVKILVELAKLKEEYLMLKGNSTPKDGHGAVDNMKIIPAHDRQGMFKTIMNRTSLRHWIKKENTNIGHECSDENVHTVRKHHSEDLARVKAENAALLESVATMEHLTSSVHRLHIVLMKAFEDVKSAHSLESTYEALNSLITEANLMKTALGVALPVSWLGDSSDAITSDALYDPSESPKSSKSEKQDPLSSAGMEMVELLILAADILKDSFILNNK >ORGLA03G0208200.1 pep chromosome:AGI1.1:3:16784989:16786444:1 gene:ORGLA03G0208200 transcript:ORGLA03G0208200.1 gene_biotype:protein_coding transcript_biotype:protein_coding IALKIPLDSQELKWTKKATLKLPKATFHETISSSLRRRRKRKNSMVQTSSCSRSICSQALPVVFLSYFVFGSFVCRECVWPVGP >ORGLA03G0208100.1 pep chromosome:AGI1.1:3:16783979:16784812:-1 gene:ORGLA03G0208100 transcript:ORGLA03G0208100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMQRFGYWKPEEIVSRGGRTKEKGPATVDLASDLGSSLERFEGRDELECSVFPEKGGEKGRENSLSLRFAQRLSEIGAWLV >ORGLA03G0208000.1 pep chromosome:AGI1.1:3:16781859:16782083:1 gene:ORGLA03G0208000 transcript:ORGLA03G0208000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILHFIMHLIVIINVTRDSINFILYECIVCRQKNDELDNSRGCDTNCHQPSPLIVFEFLSCSPSFSDYFILCG >ORGLA03G0207900.1 pep chromosome:AGI1.1:3:16777090:16777966:-1 gene:ORGLA03G0207900 transcript:ORGLA03G0207900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSTPAISDPHSGYRRRSIARKKRKRKKKTSLPSHNSRTALAYRRLPEPLTASSHAPAGQPGAAASSERVPAGAAPPTPPPPRARPTLALPRRVRHRPAPSPPPLPPPRARPLESWGCRRPVPPPRVRPPGSRDGLRPYAAHTPEDGG >ORGLA03G0207800.1 pep chromosome:AGI1.1:3:16761374:16762423:1 gene:ORGLA03G0207800 transcript:ORGLA03G0207800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLAPSWPSREGAAPAAPLRRSAEEIELPLEEAVAQAEEADEVMAAGLRSGGGEARPAVEEATLVRGGAAGGCGAVFGARRLASGGRRCRGPTYQQRLSGGGALVRQPWIRRW >ORGLA03G0207700.1 pep chromosome:AGI1.1:3:16748945:16755299:-1 gene:ORGLA03G0207700 transcript:ORGLA03G0207700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRRQCARGVVVRCAGAGAGRSFGGASCGSGGGGGGGAEDQEEEGTRFVGWFREAWPYVRGHRGSTFVVVVSGEVVAGPHLDGILQDISLLHGLGIKFVLVPGTHVQIDKLLSERGKKAKYAGRYRITDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVNGRWHEICDNVASGNFLGAKRRGVVGGIDYGFTGEVKKIDVSRIKERLDRDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRVNRFMSIEEADMLIRTRAKQSEIAANYVEVVGEEDISYARNLPIKEEKELGLIGRDFVDGYTASFRNGVGFNNGNGLSGEQGFAIGGEERLSRSNGYLSELAAAAYVCNGGVQRVHIIDGTVGGSLLLELFTRDGVGTMIARDMYEGTRMAREEDLSGIRKIIRPLEESGVLVRRTDKELLEALKSFIVVERDGSIIACAALFPFLEDKSGEVAAIAVSEECRGQGQGDKLLDYVEKKALSLGLEKIFLLTTRTADWFVRRGFKECSIESLPAQRRKRIDLSRGSKYYIKRLRAAEIGQMAVNNFAVR >ORGLA03G0207600.1 pep chromosome:AGI1.1:3:16747089:16748314:1 gene:ORGLA03G0207600 transcript:ORGLA03G0207600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGAPPPQGYPGKDAYPPPGYPPAGYPPPAQGYPPQGYPPQQGYPPQQGYPPPYAQPPPPQQQQHLAALCCCCLLEACF >ORGLA03G0207500.1 pep chromosome:AGI1.1:3:16713178:16715544:1 gene:ORGLA03G0207500 transcript:ORGLA03G0207500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTNLMINLLVLLALASLLSPAPALCYIHAGEASSVVRTPNGTPPPPADAYRTYIVLVDPPPHGAATDDDGHRRWHESFLPGGRRMDDGADQARIIRSYTEVFEGFAARLTAAELAGVVSKKPGFVRAFPGRRTLRLMTTHTPEFLGLTRGAGFWRDVAGYGKGVVVGLLDTGVHAAHPSFDDRGVPPPPARWRGSCAVAATRRCNNKLVGVKSFVDGGGGGDDDVGHGTHTASTAAGNFVAGGASDRGLGAGTAAGIAPGAHVAMYKVCNGSGCDDDAVLAGFDEAMKDGVDVLSVSLGRWSSPPFDEDPIAIAAFSAVARGITVVCAAGNGGPEPSTVSNDAPWLLTVAAGSVDRSFSTTVLLGNGELVAGQALAQQPNSSTSYYPLLFSEKQPKCNELAGIVGDGVAGHLVVCQSDPVEDESVVSAMMATGAGGVVLINTESEGYTTVLEDYGPGMVQVTVAGGHNITEYARSSSSSAGGCKPNATVVFDNTLLSVHPAPTVASFSSRGPSKVAPGVLKPDVLAPGLNILAAWPPHLQHGGGGGGGGLFKVISGTSMATPHASGVAALVKSRHPDWSPAAIKSAILTTSDAVDGAGNPILDEHHERATAFLTGAGHINPARAADPGLVYDIAVADYAGYICALLGDAGLGTIVRNESLSCGKLDKNKIPEAQLNYPTITVPLPRSSSSAAPPPFTVNRTVTNVGPARSTYTVKLEIPRSLTMRVSPEKLVFSGVGEKKDFSVTVSGGGGGGEVVEGSLSWVSGKHVVRSPIVAVPQPYLKLGS >ORGLA03G0207400.1 pep chromosome:AGI1.1:3:16687677:16702159:1 gene:ORGLA03G0207400 transcript:ORGLA03G0207400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEAVDGKHPKRGRGRPRGRRGRGRGRGRGGRSLASPAAGPGDQGPRRRRGVVSAAAGGRALRERRPAPGAYRESGADNDDDGGGDDEHDEQNDDGAEKSDNQVVDSLNEPNRSNTGKKRGRPKKVKAEQEDSNQLSNGKQLGENNGNDEAIMMKPSKESKKRGAGKKQEEEENNTISIEDEMCDANNKKGKKMLTGENALMCHQCQRNDKGRVIWCKSCNNKRFCEPCMKRWYPGLSEVDFAAKCPYCRKNCNCKACLRMIGVEKAPEKKISEENQRRYAFRIVDLLLPWLKELQQEQMKEKELEGRLQGVSMDEVKLEQADCDMDERVYCDRCKTSIVDFHRSCKACSYDLCLACCWELRKGEIPGGEEAKSVQWEERGQKYVFGNISKDEKKRVSSKRHMETPSTETCNDMAVAGDPNNPLLLWKANSDGSIPCPPKEIGGCGASSLVLRCLLPEIMLSELEHRANKVIKREAFDKAINETSDQCPCFYHTSKIRTNATREAANRKGSSDNYLYCPDANDIQEDDLSHFQMHWSKGEPVIVSDALRLTSGLSWEPLVMWRALREKKTNGDVEDEHFAVKAVDCLDWNEVEINIHMFFMGYMRGRRHPMTFWPEMLKLKDWPPSSMFDQRLPRHGAEFITALPFPEYTDPRYGPLNLAVRLPAGVLKPDLGPKTYIAYGCYEELGRGDSVTKLHCDMSDAVNILMHTAEVSYDTEQLDKIAKIKMKMREQDLHELFGVSESGAKGKSDDEASKISCNMENKHTSNQSTKGLDINALPPDDSGSDIGDKPSFCQSEVESELTQCSKHNHEVNSSVKMHAGAHCTSDNQGYIDRSGFKRKDSDCSDQQKTGGALWDIFRREDSEKLQDYLRKHASEFRHIHCNPVKNVSHPIHDQTFYLTVEHKRKLKEEHGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECVKLTGEFRRLPSDHRAKEDKLEIKKIALNALKEVVNFLDPLPKGSKNRDEVVEVTKPKRKYGNRRGDLKSGEGQPIDESIEERKPKKRGRSKR >ORGLA03G0207300.1 pep chromosome:AGI1.1:3:16677077:16681233:1 gene:ORGLA03G0207300 transcript:ORGLA03G0207300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHRRLVLCFLILAAAAAATTAASISTPAELAVASHPLSPLRLPPAAPFAGGGEGGGGGGGPFCTRVHIRGRPSRLRDPSRFFHALRVRANATRPSGLELCFHRNATVGPCKCAASQCHKMAKSGLWVQAISPYDTRVLDFRMPSDPSRSIIVSTEEEFLLHRVVFLLLGMVLMAVAHTLSESVVFYYGGAMTIGIFLVILIILFQGMKLLPTGRKSSLAIFVYSSLVGMTTYFLHYLSGLLRSVLVEIGIAEDMHNPLGVFLLVSVILAGAWFGYWGVRKLVLTEEGSVDAGVAYFVEWAILIISAVMILQSSLDYLFAFSALLFCTAIKAVSRIEGKSRVLRCLSRAFSNIVPTGYEGFGEYSSMNGSHQDGFSKLHGEYMRSTPKRNSLRSRKTLSQDLATDSYYSTFHTNPERKKFSEEEYAAFTREETHKAMKQLVSSPDFNRWALANVDRISVTPPQRTPQNSMSQQRKRLFGLF >ORGLA03G0207200.1 pep chromosome:AGI1.1:3:16646492:16658885:1 gene:ORGLA03G0207200 transcript:ORGLA03G0207200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTRAEEEETAAAEEWSGEAVVYVNGVRRVLPDGLAHLTLLQYLRDIGLPGTKLGCGEGGCGACTVMVSCYDQTTKKTQHFAINACLAPLYSVEGMHIITVEGIGNRQRGLHPIQERLAMAHGSQCGFCTPGFVMSMYALLRSSEQPPTEEQIEDSLAGNLCRCTGYRPIIDAFRVFSKRDDLLYNNSSLKNADGRPICPSTGKPCSCRDQKDINGSESSLLTPTKSYSPCSYNEIDGNAYSEKELIFPPELQLRKVTSLKLNGFNGIRWYRPLKLKQVLHLKACYPNAKLIIGNSEVGVETKFKNAQYKVLISVTHVPELHTLKVKEDGIHIGSSVRLAQLQNFLRKVILERDSHEISSCEAILRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMATGATFEIIDVNNNIRTIPAKDFFLGYRKVDLKPDEILLSVILPWTRPFEFVKEFKQAHRREDDIALVNAGMRVYIRKVEGDWIISDVSIIYGGVAAVSHRASKTETFLTGKKWDYGLLDKTFDLLKEDVVLAENAPGGMVEFRSSLTLSFFFKFFLHVTHEMNIKGFWKDGLHATNLSAIQSFTRPVGVGTQCYELVRQGTAVGQPVVHTSAMLQVTGEAEYTDDTPTPPNTLHAALVLSTKAHARILSIDASLAKSSPGFAGLFLSKDVPGANHTGPVIHDEEVFASDVVTCVGQIVGLVVADTRDNAKAAANKVNIEYSELPAILSIEEAVKAGSFHPNSKRCLVKGNVEQCFLSGACDRIIEGKVQVGGQEHFYMEPQSTLVWPVDSGNEIHMISSTQAPQKHQKYVANVLGLPQSRVVCKTKRIGGGFGGKETRSAIFAAAASVAAYCLRQPVKLVLDRDIDMMTTGQRHSFLGKYKVGFTNDGKILALDLDVYNNGGHSHDLSLPVLERAMFHSDNVYDIPNVRVNGQVCFTNFPSNTAFRGFGGPQAMLIAENWIQHMATELKRSPEEIKELNFQSEGSVLHYGQLLQNCTIHSVWDELKVSCNFMEARKAVIDFNNNNRWRKRGIAMVPTKFGISFTTKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASSFNIPLSSVFISETSTDKVPNATPTAASASSDLYGAAVLDACQQIMARMEPVASRGNHKSFAELVLACYLERIDLSAHGFYITPDVGFDWVSGKGTPFYYFTYGAAFAEVEIDTLTGDFHTRTVDIVMDLGCSINPAIDIGQIEGGFIQGLGWAALEELKWGDDNHKWIRPGHLFTCGPGSYKIPSVNDIPLNFKVSLLKGVSNPKVIHSSKAVGEPPFFLGSAVLFAIKDAISAARAEEGHFDWFPLDSPATPERIRMACVDSITKKFASVYYRPKLSV >ORGLA03G0207100.1 pep chromosome:AGI1.1:3:16643892:16644560:1 gene:ORGLA03G0207100 transcript:ORGLA03G0207100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVGIEPGRGPGRDDGHTVDQLGEKSGARGGTTSPGWGRRAAARQEQAREKRGGEVARHHRHGGEEPQRGGSRLGRRAAAKRHDITGMGRRATVRREQVGEKSGGGRERVGGARCDWRLIGEKSRGGAHSLAKTGGSRGRPEECGAAGGRQGGVVMEHVLSPLLSSSYRRLPPSTPPSKMLATPAAGYDGSRQPPLPISTLATRGPPSLRPSPCFPFALPSCS >ORGLA03G0207000.1 pep chromosome:AGI1.1:3:16630395:16631611:-1 gene:ORGLA03G0207000 transcript:ORGLA03G0207000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XQAGPTCHTCXKKRKRWRRWLRRGERRRXFGEEEDVWRGRAVAAGRQLGEEERRRRFGEEDAYWGGAAARRIASSSTAGEXRGGDTGRGRAGAAPASGWPASVGDGRGRRRVAPEGRXRRATVESASGGGGVGLDNGRRGHRYLALHLLLLPFVLLFLLPHLLVGGFGPARDERGGGGLLRRSGGDGVSARRSGGDGELWLLGPLVGFWLLQKQKQVEKPNQAHPSHRGLKSPTKKTAFVLLLSLLFLVHIAISHALFSPIDSDDSDDSGTLAQRCLVLRLANDWLALLFKNAGXWWLVERIDERVAPWERGEGTRVGGGFGGSGEETGACSGSPRRSEARLSAKSWCS >ORGLA03G0206900.1 pep chromosome:AGI1.1:3:16612243:16612617:1 gene:ORGLA03G0206900 transcript:ORGLA03G0206900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:I1PCH3] MARIPLLLALLLAVSAAAAAAQVGGNRGHGPLVGGWSPITDVGDPHIQELGGWAVERHASLSSDGLRFRRVTSGEQQVVSGMNYRLVVSASDPAGATASYVAVVYEQSWTNTRQLTSFKPAAAH >ORGLA03G0206800.1 pep chromosome:AGI1.1:3:16602529:16604993:1 gene:ORGLA03G0206800 transcript:ORGLA03G0206800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26580) TAIR;Acc:AT3G26580] MAAGLLLGDATALRGDLTVRGPQLRLCNWAPRPRWRPPGAGAADSCCLLFRARARARARRRGHGHVARFAASASGAGGEEAGEPSEDEAQREWEAEMARRLKEAEEMEELERTAEELQSQAAAEAPDESEEEKRERVRRELQKVAKEQAERRATAKQMFDLGQRAYGRGMYGRSIEFLEAALTIIRPSSLLGGEIQIWLAMAYEANRRHKDCIALYKELESTHPMISIRRQAAELRYISEAPKLKISNDEVVTIPQIGSSWDWYAGTWSDKIKEQEDKKRKMVAASSQVEPSPNIFGDLSFLRPPTEWTRSAWVIVTLWIVLIGTAIYLQR >ORGLA03G0206700.1 pep chromosome:AGI1.1:3:16597675:16598445:1 gene:ORGLA03G0206700 transcript:ORGLA03G0206700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAMSSRLALCLAVVAACAAGGAVADWSPATATFYGGSDGSGTMGGACGYGNLYDQGYGVDNAALSQALFNDGASCGQCYLIVCDTSRAPQWCKAGTAVTVTATNLCPPNWALPSDGGGWCNPPRPHFDMSQPAWEQIGVYQAGIVPVLYQRVRCWRQGGVRFTVAGLNYFELVLITNVAGSGSVASAWIKGTNTGWIQMSRNWGANWQSLAGLAGQALSFAVTTTGGQYLQFQDVAPAWWQFGQTFSTYQQFDY >ORGLA03G0206600.1 pep chromosome:AGI1.1:3:16593788:16595046:-1 gene:ORGLA03G0206600 transcript:ORGLA03G0206600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRKLFARKAMDGLSCISERVYVFNSCLSTEPLVDDDDDEEVARNDHLISTVIQLKSCHPHGASLMLLNLFAAGGGEEASSLLPVDALRRHGVAAVAEYPCGHWHGPSLPLATARALLATCVHWLVTDGQRNVLLMRCDRGARPALALAMASLLVYMEEEPAPPELVTTTLAAVYGRAPVALLLAAGSALDPRPSHLRYLQYVARLRGMTTRHGPPPPSPLLVLDCLILRPVPDFDGNGGCRPVVRVHGRRDAAADYDGDRADDASPKILFSTPRIKQHFRQYNQADRIWSRIDG >ORGLA03G0206500.1 pep chromosome:AGI1.1:3:16586131:16588178:-1 gene:ORGLA03G0206500 transcript:ORGLA03G0206500.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSSSFVKLINSVLLFGLIGFMVTNFLTCSGHHCQVRGDVVIECGHVGENTDEEEEEAMFRIMFNTCFLESNMMVLTLDDIDLPWNCRRERFQEDFKIEVFFSEVDVSDNDSHTAEMLGDSHDGNAELFYDFDDISIDSGSSSKYHEQHDEDGESRSSETGGCSSDEKGSNGSGNEVRFLPEADVTKDSLEEETGNRQEESSNAVQPTLVTSKDPNADTASDLQESRMAKGTSQEACIQEGADSSVRTEVDHNRMAGIGALKPQPKRRTWQNLSKQSAIPIVNKKKAKKPDIGPSDVKKPSKGKMLLKQTLQKGILIATSSCKSSTVQANTGPVPRKKHANAIRSNHGTGQATKTPTHSKTKLENSSHQETGDTATQKDDAIENTTETEPATSIIQTRPPSPPRKRLNPEGSKDSLRRPIETAMKSPTPTSGNSSTGGAAKQEATTASTEAHSAKVVLKKSLSSPAISRSLTTTSSSSPKRRSNVTSHPSSVLRCVFFLTTSTSISFATKITFGQLQGQQSSISYSASDAAGHINAFKDASPCIIRRVNLHEWAFSVAENIYDYKEPSHWHERQQQKLVTSITKIGKTTAITTRDTYYSYHSASKANKI >ORGLA03G0206400.1 pep chromosome:AGI1.1:3:16577773:16579215:-1 gene:ORGLA03G0206400 transcript:ORGLA03G0206400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLHCKNIEFSHDLNFSSWPQTDENGFGNALIGWAKVNVNRSFSTSDGAAGIGVVIRDHDGSSVLSSWHAVLQYPVQRKWKLALACQISMSLWSILFNQIASDDASADINTGKSILMGRSNCIEPGLSALTARGANYERALGHQSRVTNHDRWRETWGFRLLDVKMA >ORGLA03G0206300.1 pep chromosome:AGI1.1:3:16561567:16568316:-1 gene:ORGLA03G0206300 transcript:ORGLA03G0206300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIDALQRLGVSYHFKEEIDNSLESLVSVKFVNDDFHAISLQFRLLRQQRRYMPCDAFKEFIDKQGNLNGILCSDTRALLALYEAAHLGTPNEEILREAQVETTNQLKRIVDCIEKPLSNKVRHALETPSFRRMKRLEARLYIPLYEEDKEECNEMILELAKLDFYLLQRLHREEVKEICEWYHGLESPRELFYARHRPAEAYFWALGVYYEPEYAKPRKLLAKFMATITPYDDTFDNYGLWKELQPFADVMQRWDEKGAEQLGRCYKEYAQFMFGTMNEIEGALPKGTPRKNVNVIKDIITEVCKGYVTEIDWRDSKYIPPLKEHLQITLVTCFYWAINCTAFVVFXEGVTEEVMIWMSGFPQIVKDSCIVSRLMDDIVAHAFETERNNVATAVTCYMKEYDSTKEEAIKALWNDVENAWKDMNEEYLKLTSIPSSLLIQSVSIMNNRVSKLASDDPMKHVGPTGDDRQEANAAAAVPFDALTIAAVLLGASSISAKTRTLYHHRGQERCSQNSPTTCLLCRKPLESAGDAKGLPATNLLNHLHGQTPSPHLPKGSTTIVWKQTSQKRRGAPRRGRRPGWPKEELKVEDRSTKDVVVFYVTNEKLKGKRS >ORGLA03G0206200.1 pep chromosome:AGI1.1:3:16555194:16555451:1 gene:ORGLA03G0206200 transcript:ORGLA03G0206200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAEEPHPTRRCLLPCSRRAVTKRKERRGLLALPRLLLTRVGWTSGRGRRKGHMRWRRVFLGGEEGAIEGGLEVAAHGPWAAER >ORGLA03G0206100.1 pep chromosome:AGI1.1:3:16547230:16553909:1 gene:ORGLA03G0206100 transcript:ORGLA03G0206100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G15400) TAIR;Acc:AT5G15400] MASPSPAARPQRTPDEVEDIITRKILLVSLTPPSTPNPAVAYLELTAAELLSESRPLLALRDASERLLIDRLSLPDQPAGSPSPFAYLVSSFRRAADEARKISTIRDAALRARLAASIAHLRGLILSYARIVAGNPDTFPSPHNAPHPAAELLVFLLAEAADPLDPTPAPGAPPPPGFLDEFFANADYETVEPAMGELYDRLRQSVEKVSALGDFQKPLRVLRRLVGIPNCAKALVNHPRWIPKNQIMLIGEGRIMEISSVLGAFFHVSAIPDREFASKPDIGQHCFSEASSRRPADLMSSFTTIKSVMNNLYDGLKDVLLALLKNMDTREKVLEFIAEVINKNAGRSRMQVDPLKSASSGMFVNLSAVMLRLCEPFLDRMESKKDKIDVNYLFCNDRIDFKNLTAINASSEEVSSWIENRGYEHAEDSASGEARFVESQEATSSGNNSTVSLSSKGGSLVNCSKKENFSFICECFFMTARVLNLGLMKALSDFKHIAQDLARCQDDLDSNRAMRDQGGGSAQLDQDIKRLEKIVEILSQDKLCYEAQIIRDGAFLQRALSFYRLMILWSVDLVGGFKMPLPSQCPKEFACIPEHFLDDAMDLLVLTSRIPKALESFALDDFLNFIIMFMAGTSYIKNPYLRAKMVEVLNCWMPQRSGLSSTASLFEGHQLCLDYLVKNLLKLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWDVPSHRNAWRRIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKEIEAEMVNVVEWESRPPQEREERLRVFHQWENIVRFDMKLANEDVGMLAFTSEQIPAPFLLPEMVERVASMLNYFLLQLAGPQRKSLTVKDPEKYEFKPKQLLKQIATIYVHITRGDKEGIFPAAISKDGRSYNEQLFASAANILWKIGGDPQIIQEFMQLASKSKTAASEAMDAEAMLGDIPDEFLDPIQYTLMKDPVILPSSRVTIDRPVIVRHLLSDSTDPFNRSHLTQDMLIPDTELKSRIEEFIRSQRSKKRTAADSEMGEPDGAADMAD >ORGLA03G0206000.1 pep chromosome:AGI1.1:3:16545131:16545833:-1 gene:ORGLA03G0206000 transcript:ORGLA03G0206000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRGYDAKTAVMTVLPLPPSLSRLPPATDLVMAALAAATVVVAEDGSGSGGYLLQCGVLIWDVNFVGILDFDLGLQLSDFVIHAAFQPECLKPILSYKGMGWLISV >ORGLA03G0205900.1 pep chromosome:AGI1.1:3:16540073:16540699:1 gene:ORGLA03G0205900 transcript:ORGLA03G0205900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDVTCSRTNSTRRDGMNNQRQHQDEGDVASSASPPPDCHTSSTSWIRRPCQGAEHVCRSRHRSLEVEKVDGAEGREGAGGDEHGEGDGRATEATMEVNGRPRMGSKEAVRAGEADGDGGGRRKRXGRRRRTVKEEADKAATSGDTDGDGGGGEGGEAGGGDDAGGEGDADGAGGDGGGEGEGPATEAAKEMDADGGGDGGXWHRLG >ORGLA03G0205800.1 pep chromosome:AGI1.1:3:16537660:16537981:-1 gene:ORGLA03G0205800 transcript:ORGLA03G0205800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDREEIGAVQMRVVASNGGVEDGRIIEVTDGEIKRRWQDERRLIEMGATTRIELCRNGQRMDAARRSEQYETKRKGKRKYPNYP >ORGLA03G0205700.1 pep chromosome:AGI1.1:3:16532815:16533777:1 gene:ORGLA03G0205700 transcript:ORGLA03G0205700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEDGGVSRGAVEDGSGGRVVEDSVGRGAVDDGVVGRGMVEDGGGGRAVEAEAAAAARWRVEAGRSAVEDGSGGRAGEGGGDGRAVEGGAGGGDAVEARGGGGTVGAEPAVGARGGGVEGLEEEAALCGSDGRVCFSVSFCGLIGVPRPIGSKFRSFRLIRLTEPNNRNDRNKFGLLRAETEQLCFVSLYLYIIFNPYLGSDNYK >ORGLA03G0205600.1 pep chromosome:AGI1.1:3:16524937:16526695:1 gene:ORGLA03G0205600 transcript:ORGLA03G0205600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKFPIVFSVVCLFLLCNGSLAQLLSQSTSQWQSSRRGSPRECRFDRLQAFEPIRTVRSQAGTTEFFDVSNELFQCTGVSVVRRVIEPRGLLLPHYSNGATLVYVIQGRGITGPTFPGCPETYQQQFQQSEQDQQLEGQSQSHKFRDEHQKIHRFQQGDVVALPAGVAHWCYNDGDAPIVAIYVTDIYNSANQLDPRHRDFFLAGNNKIGQQLYRYEARDNSKNIFGGFSVELLSEALGISSGVARQLQCQNDQRGEIVRVEHGLSLLQPYASLQEQQQEQVQPRDYGQTQYQQKQLQGSCSNGLDETFCTMRVRQNIDNPNLADTYNPRAGRITYLNGQKFPILNLVQMSAVKVNLYQDALLSPFWNINAHSVVYITQGRARVQVVNNNGKTVFDGELRRGQLLIIPQHHVVIKKAQREGCSYIALKTNPNSMVSHMAGKNSIFRALPDDVVANAYRISREEARRLKHNRGDELGVFTPSHAYKSYQDISVSA >ORGLA03G0205500.1 pep chromosome:AGI1.1:3:16511561:16512364:-1 gene:ORGLA03G0205500 transcript:ORGLA03G0205500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFASSAWGSGLGKKNTPNCTPSNGDCSDDEASSCTSREEGLECPICWESFNIVENVPYVLWCGHTMCKNCILGLQWAIIKVPTVPIQLPFFVSCPWCNLLSLRIIYKGDLAFPRKNYFLLWMVEGMNGERARSRSAIHSEQQTTWLSSSSRASGNEGYSNPIRRPLPPPVETQSPSVNHANHGVPILNAERVQASLRKSLSFLVHLTAKFPLVFIFLLIVLYAIPASAAVLLLYILITVLFALPSFLILYFAYPSLDWLVREIFA >ORGLA03G0205400.1 pep chromosome:AGI1.1:3:16503681:16504424:-1 gene:ORGLA03G0205400 transcript:ORGLA03G0205400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQYRCTHSASCLCLKGHISEDALFLVFRHMNWNPRMIALFSCVSKWFDDIAKRVLWKEFCHARAPRMMQDLHSGGSHIVDGNWKALGKLLIHCAGCTKGGLFGNIHVPGHFVFRTRFSRTCGKSILPPQCRTDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFASSKVKKILIEKQAKFHPKEVCPYCKAKLWNLLQANMIPRSASIRLDAYDDSVEYYICLNGHILGLCTLMPVSDSEDAKE >ORGLA03G0205300.1 pep chromosome:AGI1.1:3:16493322:16499662:1 gene:ORGLA03G0205300 transcript:ORGLA03G0205300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPLAAGLRPAMAAAPAPVVAAAWGVGARRGAALSSSARCRALRLSRGGGGGRDGWVPPPVVGRRPPRTLSVRCAASNGRITQQEFTEMAWQSIVSSPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTRLLDATEKFIQRQPKVLGEDPGSMLGRDLEALIQRARDFKKEYGDSFVSVEHLVLGFAEDKRFGRQLFKDFQITVQSLKTAIESIRGKQNVIDQDPEGKYEALDKYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALTNRRLIALDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTISILRGLRERYELHHGVRISDSALVAAALLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVIKLEMERLSLTNDTDKASRDRLSRIEAELSLLKEKQKDLTEQWEREKSVMTKIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNALQRQLQTTEKELDEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEEELHKRVVGQDPAVKAVSEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAAFMFNTEEAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSVILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRKVSFTNSIIIMTSNVGSQFILNMDEEGGSTDSAYENIKKRVMDAARSVFRPEFMNRIDEYIVFKPLEREQINSIVKLQLARVQKRIADRKIRLEVSPGAVEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDSILVDTQVTVPSNGQLPQQKLVFHKMSEESAPAAAEDEKFLPAV >ORGLA03G0205200.1 pep chromosome:AGI1.1:3:16485337:16488296:1 gene:ORGLA03G0205200 transcript:ORGLA03G0205200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:I1PCF6] MSGGVQEQFEIKFRLPDGTDIGPKRYPAASTVATLKESIVAQWPKADKEKGPRTVNDLKLINAGKILENNKTLSECKSPICDFSGLTTMHVVVRAPTSDKQSNKIVAKKPKDFRCGCSIM >ORGLA03G0205100.1 pep chromosome:AGI1.1:3:16472496:16473155:-1 gene:ORGLA03G0205100 transcript:ORGLA03G0205100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIKYACYILLYTGTIEYFSTDEGVDLTRSSIASIAPIDLSTMERATGGFSKRNIIGEGGFAIVYKTIGPLLPCPPSYPPLCSSKISMAQTMSALVXNNLTTHAIDENVLMFIALLAHLTIAYDIHAKTVECIHALRCTIAVALAQVAKNA >ORGLA03G0205000.1 pep chromosome:AGI1.1:3:16471294:16471422:1 gene:ORGLA03G0205000 transcript:ORGLA03G0205000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRSHGTFLLFGLQSSHLNICYYHQDLHRRLLRLGSRPGF >ORGLA03G0204900.1 pep chromosome:AGI1.1:3:16470975:16471136:1 gene:ORGLA03G0204900 transcript:ORGLA03G0204900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRYSVLRIFKGRRGRTGHRATCGALPAAGPYLRLNRFQGWRAVKQKR >ORGLA03G0204800.1 pep chromosome:AGI1.1:3:16470640:16470936:-1 gene:ORGLA03G0204800 transcript:ORGLA03G0204800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSESWARGSRPRTRRLPADCSSCSRGESGPPRASRGTDRERPPRGPSPGVEQPTHNWYGQGESDCLIKTKHCDGPRGC >ORGLA03G0204700.1 pep chromosome:AGI1.1:3:16464249:16467529:-1 gene:ORGLA03G0204700 transcript:ORGLA03G0204700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVLGHVALNANVLPTLLSHRAREEKGKLPRNHVLARDLQYKKKIAVKRLKPSALSTKGLHDFTREVELMSRVRHGNLSRLLAYCIEGDERILVYEYMPKKSLDVYIFGTPKRRASLNWAKRLGIINGMAQGVNYLHEGSGEIVIHRDLKPSNVLLDDEFTPKIADFGTTKPLVADGTGTQTIVFSPGYAAPEYIRGDVTLKCDVYSFGVVLLEIISGQKNTLRPSLLSKAWKLWDEHRIMDLVDPSMVRRCSGAEGLQSHVRRCIQIGLLCVQDSPCDRPTMSQVLAMLTGDDSSWLNKPKPPAMFDDHHRH >ORGLA03G0204600.1 pep chromosome:AGI1.1:3:16461996:16462298:-1 gene:ORGLA03G0204600 transcript:ORGLA03G0204600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSNPTEVCRPTRSEPSSSTIRSGEIDQASRRCHCGEQRFNVVDRKASARIDYLRIRIGSELADVNRNQSTKPRENHHLSSTDIGTLEESESDVTLIFT >ORGLA03G0204500.1 pep chromosome:AGI1.1:3:16446653:16447906:-1 gene:ORGLA03G0204500 transcript:ORGLA03G0204500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDVVVLSSASATRHSCKVCGKGFACGRSLGGHMRSHSLMEVDAVAAAVAPAYERADDDEGGDGKTVRRWMQSGGGYGLRENPKKTRRLSAGSGGGGGGGDNDDGDACHHPGGDLLSSSSCRPVLGRVRSHAPPAGGAAYADDSEDVGVDVDGGGGDDRDRDREMLVMAAPRRRPRSMRVPAPVRDEFVVDEEPEDVALCLVMLSRDTGRPWNSRPSDEYSSLMYNSSYHRHHDAVSDDDLELSLSSPYADTEIRTKKRRKTTGAASTAGGEKRGRYECHGCGRAFQSYQALGGHRASHKRINSNCSLVKPPADQPEPSIETSFSSASTSVSPADTMISAATISKTVKKATKFVCPICSKEFGSGQALGGHKRSHSIAGELYERGHADGIVKLEQPLLADRFLDLNLPAPGGDDG >ORGLA03G0204400.1 pep chromosome:AGI1.1:3:16440643:16442358:1 gene:ORGLA03G0204400 transcript:ORGLA03G0204400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSHNKNSNQFDPLSYVNLSGLDADSQSVSFTDMNSRDAPSNSHVTDVGKENMLNNPEESKIASTGLKPGSPISPENFSFSSLPGSSCHLSTLDHGKRPLSDVRPFQVACKRPKQIDENTWSTSTFETSFSDLADETREPDYIYHNSGISACNTSSSIPYSNLEQLIGEENLYLPDWVTTFPGYSGDFWPAPVADQVDDIDSPIHDHLPRKAVAIGPDHQADIPEWRPRILMTVPYGSGSCADLSYSSVSTSGSAPRDEDSESDKWIKHCVIEMPSSCSVAWVGDHGRDCGCSDEGSIRCVRRHVLESRENLKRIFGEDKFRELGLCEMGEDIAQRWTDEEESLFYRVVYSNPPSLGKNFWHFLPRALPGKTSMELVSYYFNVFMLRKRAQQNRSEPLHVDSDDDEVPDEPSVTEDEDSAVESPAHDYYVNNPMSPESEDSFHEKVADSLSGLRDGPSQKPLGSNTDNPGGDADVQDESCTSFEDHNGAHGSNGVQCAEFHMMLPNAALDHYSDRGACM >ORGLA03G0204300.1 pep chromosome:AGI1.1:3:16433324:16435249:1 gene:ORGLA03G0204300 transcript:ORGLA03G0204300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PCE7] MAVIALKCPDVEVVVVDISAARIDAWNSDALPIYEPGLDDVVRRCRGRNLFFSSDVERHVGEADIVFVSVNTPTKARGLGAGKAADLTYWESAARMIAAVATSDKVVVEKSTVPVKTAEAIEKILDHNGRDGVGFQILSNPEFLAEGTAIRDLLAPDRVLIGGRETAAGRAAVQALKDVYARWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVAEVAYAVGKDSRIGAKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKGFKFPAIATTMCKDIYSLTPFQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLIGDKAKVSIYDPQVTEDQVQRDLAMSKFDWDHPVHLQPMSPTAIKQVSVAWDAYEAARDAHGVCILTEWDEFRSLDYARIYGGMQKPAFVFDGRNVVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA >ORGLA03G0204200.1 pep chromosome:AGI1.1:3:16422782:16426718:1 gene:ORGLA03G0204200 transcript:ORGLA03G0204200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:I1PCE6] MMSSFQGYNFLEPSTSMIVWWRDQFRKLMFNWHSKTLNLSELWIPIVACFTIGIVGLLTVLYLFSLWRRKISLSWMKMIARSKRKNFERNHKVPTAEHVWSVESLLRAKGLKCCVCLESISPAQPLGQMTTSENMVHRCDVCGAAAHMICSSNSQKDCKCVSMFGSKHVVHQWTVLWTDIADQSEEAQYCSYCEEPCSGSFLGGPPIYCCMWCQRLVHVDCHSSMATETGDICDLGPFKRLILSPLFVKTRSKPGGILSSITHGANEFASTVRGHLRNRSKKQKEHSRVPSDCNVGDSNDDSSCDTAANANQRAKDLKSSGDNVQRSAENEHDSSESDCKEVIPEPRRLHHDDAEGAKLKYILDDLPADARPLLVFINKRSGAQRGDSLKHRLHFLLNPVQVFELSSSQGPEIGLLLFRKVPHFRILVCGGDGTVGWVLDAIDKQNYESPPPVAILPAGTGNDLSRVLSWGGGLGAVEKQGGLCTVLHDIEHAAVTILDRWKVAIEDKRGKNVLMVKYMNNYLGIGCDAKVALDIHNLREENPEKFYSQFLNKVLYAREGAKSMIDRTFVDLPWQVRLEVDGTEIEIPEDSEGVLVANIPSYMGGVDLWKSEDDNPDNFDPQSIHDKMVEVVSISGTWHLGTLQVGLSRARRIAQGQSIKIQIFAPFPVQVDGEPWTQNPCTLKISHHGQAFMLRRTIEESLGHAAAIVTDVLENAESSHLITASQKRALLQEMALRLS >ORGLA03G0204100.1 pep chromosome:AGI1.1:3:16397986:16400738:-1 gene:ORGLA03G0204100 transcript:ORGLA03G0204100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uridine-ribohydrolase 2 [Source:Projected from Arabidopsis thaliana (AT1G05620) TAIR;Acc:AT1G05620] MTTTKKKLVIDTDPGIDDAMAIFVALRSPEVELLGLTTIFGNVYTTLATRNALHLLEAVGRTDIPVAEGSHVTIKQVVILFDFAVHGTKKATKLRIASFVHGSDGLGNQNFPPPTGKPLDQSAAAFLVKQANLYPGQVTVVALGPLTNLALAIELDPSFPKKIGQIVILGGAYSVNGNVNPAAEANIFGDPDAADIVFTSGADILAVGINITHQVVLSDADREKLEQSDSKYARYLSKILGLYYDYHKDAYFIKGVYLHDPATLIAAVDPSLMTYTEGVVRVQTDGITKGLTVFDTTKKRYGEITAWTGKPTVKVAVTVDAPAVVEMIMQRLTTDD >ORGLA03G0204000.1 pep chromosome:AGI1.1:3:16394353:16394820:1 gene:ORGLA03G0204000 transcript:ORGLA03G0204000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALQRRSILRRLSWPRHRRASPHVLHCLSLSLSFLPVPLSSSSSSMVRGSVRRQRVGRSKIQSAIGSGEGRRSARRCRLEVGCWRRPQRGGVGRWAQEANASSLREDGVGTEFSKARSRSGGA >ORGLA03G0203900.1 pep chromosome:AGI1.1:3:16385655:16388128:1 gene:ORGLA03G0203900 transcript:ORGLA03G0203900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAP [Source:Projected from Arabidopsis thaliana (AT2G31890) TAIR;Acc:AT2G31890] MEAALLLPPPLAARGGVSIAIAFSVSRLPPAAAAAAGKPRKLAPPACRCRATPQWQLDFLGAEADTEADGGDDDDDLDLDLSLPAETNDWCVRARRSALRSIEARGLSPSLQRMVASPKKKNKKKKSKKTNLKQKKAAEPKPPRDTDDDEDDEEEADDDLEALLAGGGELDDLELRVAQFADGMFDEKRQRNREQFIQTLSAFSPAAPSNRSQEVSLNRSIVEARTADEVLALTAEVVAAVAKGLSPSPLTPLNIATALHRIAKNMEAVSMLQTHRLGFARSRDMSMLVGLAMVALPECSPQGVSNISWALSKIGGDLLYLPEMDRIAQVAITKVDSFNAQNVANVAGSFASMRHSAPDLISALTRRAAELVYTFKEQELAQFLWGCASLNECPYPLLDALDTACRDAPSFDCHLHDTVPGMWQSSDKEASSLKNSSNAYALNFTRDQIGNIAWSYAVLGQMDRPFFSGIWKTLSQFEERKISDQYREDMMFVSQVYLANQSLKLEYPHLDMCLRGDLEENLTKTGRSKRFNQKMTSSFQKEVGRLLCSTGHEWNKEYTIDGYTVDAVLVDEKLAFEIDGPSHFSRNLGTPLGHTAFKRRYIAAAGWNLVSLSHQEWENLEGEFEQLEYLRRILGFDAE >ORGLA03G0203800.1 pep chromosome:AGI1.1:3:16368477:16382429:1 gene:ORGLA03G0203800 transcript:ORGLA03G0203800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVDVTIA >ORGLA03G0203700.1 pep chromosome:AGI1.1:3:16358502:16361981:-1 gene:ORGLA03G0203700 transcript:ORGLA03G0203700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYSHIKVVREEDFRSRIGEDGHYFDLIDFSAIEGFNVPPTMTILRFKEKLTEKFGTPLQCQRLWWWARCQNNTYRVDRPLTTEEENLPVLNTNSLPTWSNRDDALVFLKHYDPEKAQLRSTSLLHIFVLFIS >ORGLA03G0203600.1 pep chromosome:AGI1.1:3:16353931:16358334:-1 gene:ORGLA03G0203600 transcript:ORGLA03G0203600.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANRVLQFSNEQFYLICTGLALQQAGNKIXTFSVVXSHXHSXYLFSWRDYNWDIICFQKILKPPDISKYPSVASFLQHVCDQKTYEEVRKVHILEEEIVTLKHQADTYLVQKEKAVTAYDQVKHERDNAVRQMNELRNQSTHVILDFSCKDLDQATEHFKNASEVGDTEYGRTYKCMIHNTKVAIKLSSSQRLFQQEVSILRQWRHPNIITFIGVCSKVSALVYEWLPNGNLEDRIICTNNSPPLSWYNRTQIIGEICWALLFLHSNKPTALVHGDLRPCNILIDANYRSKLCSFGMSNLFLQPGTFPPNLTARLPYMDPEFNTTGELTTLSDVYSLGVIILRVLTGMPPLTLSEKVAEALESDSLHLLIDKSAGDWPYIEAKQLALIGLSCTEMTRKKRPDLLNEVWIVIEPLTRKPPAATWPYLQSASGDSSVPAAFICPISMEIMKDPQVASDGFTYEAEAIRCWFDRGNSRSPMTNLALPNLNLVPNRVLRSFIHEYLQQQQQQPNPAYQEQLSKT >ORGLA03G0203500.1 pep chromosome:AGI1.1:3:16332229:16332942:1 gene:ORGLA03G0203500 transcript:ORGLA03G0203500.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGQSFNSHQSIHRRRLRRRQNTEGGRTRRHERSRWLPAGASYARRGYRRSPKRRRQAIDVRPAIPLPDEKNMHACLGDVYMRVLLLPE >ORGLA03G0203400.1 pep chromosome:AGI1.1:3:16317790:16318161:1 gene:ORGLA03G0203400 transcript:ORGLA03G0203400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAFQNYSISAEIVGGAHAGKRVFIPRIPLHPSEDLQLPFKFKRKQFPIRLSFAMTINKAQGQTIPNVAIYLPEPVFSHGQLYVALSRGVSRGTTRILAKPRIDIDPTGKSTKNIVYRDVLF >ORGLA03G0203300.1 pep chromosome:AGI1.1:3:16311474:16315060:1 gene:ORGLA03G0203300 transcript:ORGLA03G0203300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) TAIR;Acc:AT2G03070] NFRLIWLIGFILPVMLSSKLLPEMEAEEATKRDNLLSGITNLTVSGQIEKLKTRIDMIGSACETAEKVIAESRKNYGLGARQGANLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQMYSSLPSHLVDVLPFGDGAHNFGDNSGVYPKNTSTFVANVVNAQGNPMQQVSGGQLLGRPAPSPGATGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTAQQHRQKLMQTSQQQQLHTQQQLRPSAAGMLAQSAIPQLQDLQGQSQQKLQVPGQQQMQYNQALSQQYQNRQMQAGRMQPGMSQSQLNQGNQLRSHISQFTGAANSAMFTAAQASSNSQMMANIPGSMQSQSLLPQMQGLNQYSLTGGHPQRSHPSQMLTDQMFGMGGANSTSMMGMQQQQQFNMQANAQNLQQGMTGLQNQTQNPNFPQQRQQNQQ >ORGLA03G0203200.1 pep chromosome:AGI1.1:3:16300432:16308870:1 gene:ORGLA03G0203200 transcript:ORGLA03G0203200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTHQIIKVMRLSLHALAPHLLLAFAEIIVARTEDFSSIGKHGRYFDLVDFSVIEGFKVPADMTICSFKGKRNANDRAWACHCNVSYSSTDRFNLSQDRLTEEFGTPVQCQRLWGWTRRQNNTYRVDRPLTTEEEKIPVVHTLAIPKWLNRDDYLVFLKLYDPEKARLRSVYFFVATSFFGSYLFVHYDLICYSVQGDRYVGTMYVKDSWTVSHVLQTLRNLAGFRGSGRIELYKEIKFDPWVLCEAIDLHRTFSENEIVTEDIICYQKILKTQDLPKYHSVASFLQHICDQKEEEMKRQILEEKIAGLEHQASADRLEKVETLIAYDQMKHERDNAVRQVNELRDQSTHAILKFSRCDLEQATDHFTDACKVGDTEYGRTYKAIMHGTEVAIKLSSTESLFQQEVTVLGQCRHPNIITFIGVCSKISALVYEWLPNGRNLEDHIVCANNSTPLPWQNRTQIIGEICCALLFLHSNNKNPPTAALIHGDLRPCNILISNDASYRSRLCNVGLSSLFLQPGTCPPNLMERLPYMDPEFITTGELTTLSDVYSFGVIILRLLTGMAPLNLSKKVAAELESDNLHRLIDKSAGDWPYKEAKQLAVLGVRCAEMAREKRPDLLNDVWRVVRLLMRKPSSCPYFPPASPEVCVPAPFICPILMEIMKDPQVASDGFTYEGEALRRWFDSGNNRSPMTNLVLPDLKLIPNRVLRSSIHEYLRQQKQQQQQEEGSVT >ORGLA03G0203100.1 pep chromosome:AGI1.1:3:16259645:16260982:-1 gene:ORGLA03G0203100 transcript:ORGLA03G0203100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLAVAATASSAWLCCPNHHIHTSSSRSRKHLLLHGLYGSAPARTRGRRPPVWTAAAATAAAPADTAASARREQVEIARSLNAWVEENMLPLLTPVDSAWQPHDFLPCSAAGGGEALAAFTEGVAELRAGAAGVPDEVLVCLVGNMVTEEALPTYQSMGNRAEGLADGTGVSPLPWARWLRGWTAEENRHGDLLNRYLYLSGRVDMRQVEATVHRLLRNGMEMLAPASPYHGLIYGAFQERATFVSHGHTARLAGQYGDRALAKICGVIAADERRHEAGYTMASARLFELDPDGMARALADVMRGKVTMPGQLMSDGRDGDGEHSLFARFSAVAERAGVYTARDYGDLVEHFVRRWRVAELAAGLSGEGRRAQEYLCGLAPKIRRMEELAHRRAARIEPAMARFSWIFDRPVMLG >ORGLA03G0203000.1 pep chromosome:AGI1.1:3:16252450:16255092:-1 gene:ORGLA03G0203000 transcript:ORGLA03G0203000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Oligosaccharide biosynthesis prote /.../14 like (InterPro:IPR013969); Has 640 Blast hits to 640 proteins in 277 species: Archae - 4; Bacteria - 281; Metazoa - 94; Fungi - 127; Plants - 57; Viruses - 0; Other Eukaryotes - 77 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G18230) TAIR;Acc:AT4G18230] MGPDVSMAVCCAFPVLVSLLLIRFAYVMYHSGHMPSKLSASAAGMRSLIVLGSGGHTAEMMNVVTTLQKDRFTPRYYVAALTDNMSLQKAQVYEQSLVRVEVDKEEGVENAQFVQIYRSREVGQSYITSIATTLLATSHAIWIIIRIRPQVIFCNGPGTCIPLCVSAFLLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFFVQWPQLQQKYPRACYAGRLM >ORGLA03G0202900.1 pep chromosome:AGI1.1:3:16248960:16249712:1 gene:ORGLA03G0202900 transcript:ORGLA03G0202900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G39250) TAIR;Acc:AT5G39250] MENGLSDEVLKAVFPLLDGKDLVFCMLVCRQWREIAKDDYFWKCICARKWPSICKQPPSDANYQKLYLTFSKPRTPQHLPVPKLTFEDLVFYIDMWLDGSLIFSQAVSGCILRGGLQNTPCGIPDVLVAHLTAPDCILMMEVEPKLEITMGPSITVSVLAHRKDTKKMACVINKSTFDYIDSNAARALAYEYLRFSPRYPFISDIRAWMSLLFLYKGTNVIEVFGIELDFCDAARSETEILWLLDMLDWK >ORGLA03G0202800.1 pep chromosome:AGI1.1:3:16242272:16243929:1 gene:ORGLA03G0202800 transcript:ORGLA03G0202800.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDLATNYVHIWMTRESKELFLRRLFGQLHECPQNIQKVSESVLKKCGGMPLAINSIAGLLASRPVKSLEEMQNLQNSLGSEMDSFSTMEKIKQILLLSYNDLPYHLKTCFLYFSIFPEDYKIKRKNVVRQWVAEGFVSDKRGQSAEQVAESYFAEFINRSIVQPLDISDSGKVKTCRIHDIMLEVIIEMSVEQNFISLMGDQHTMISYDKVRRLSLHGHGAYNLSTSLELSHIRSLSSFGDMPRALRFDRARLLRVLDLESCEFLRNRQLHHICALFHLKYLSLRRAHNIDRLPRKIKKLQSLETLDLRGKGIDKLPASFIELENLVHFRSGSSYLPHGFGRMKSILTLGLIEISDDTSWRIQEIGCLMQLEKLRIWSRDGMNKENWESLLTVIENLSRRLLSLSIETDRRTCSLPLDFSSSPPLLLRSLLLYGSLEALPSWMASLDNLVKLTLGGTKLEEDDIQILQKLPRLFSLRLWFAFAVEKFVVAP >ORGLA03G0202700.1 pep chromosome:AGI1.1:3:16237071:16239575:1 gene:ORGLA03G0202700 transcript:ORGLA03G0202700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PCD1] MAPFFSILPALSFLALLPIVMSRDKIPLKSSLSVDDYQNDVLQSADGTFSCGFLTIYSNAFAFSIWYTNSKNKTVVWTANRGRPVHAKRSVVTLQKDGAMVLKDYDGTVVWQSDSNSIDVQYAQLLDTGNLVMKNSSGKVVWQSFDSPTDTLLPTQKITAATKLVSTTGLYVPGHYTFHFTDSSILSLMYDDADVHEIYWPDPDRGEYGNKRNRYNNTRMGFLDDNGDFVSSDFADQQPFSASDKGSGIKRRLTLDHDGNLRLYSLSNGEWLVSWVAISQPCNIHGLCGPNGICHYSPTPTCSCPPGYEMNSHGNWSQGCKAIVDISCSVAKVQFKFVHLPDTDFWGSDQQVVKPVSWQACMDICRSDCNCKGFQYLKGEGTCFPKSFLFNGRAYPSHFVSPRNMYLKIPISMNISGMPVSQSNVLDSRKHSLNCDQMDEKTRELFPDVHKTSQGETRWFYLFGFAGAIFILEVFFIGFAWFFVSRWDLDALEIQAVEQGYKVMASNFRRYNYKELAKATRKFKCELGRGGSGIVYKGTLDDGRVVAVKMLENVRQCEEEFQAELRIIGKINHMNLVRIWGFCSENSHRMLVTEYIENGSLANILFNENILLEWRQRFNIAVGVAKGLAYLHHECLEWVIHCDVKPENILLDGNFEPKIADFGLAKLLNRRGSNQNVSRVRGTIGYIAPEWISSLQITAKVDVYSYGVVLLELVSGKRVLDLATSANEEVHVVLRRLVKMFANNLSGNEPSWIAEFVDCRLSGQFNYTQVRTMITLAVACLDEERSKRPTMESIVQLLLLVDESCSSNVLCPEMPTRWTTGHAKANASFCIHSL >ORGLA03G0202600.1 pep chromosome:AGI1.1:3:16213644:16213988:1 gene:ORGLA03G0202600 transcript:ORGLA03G0202600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHEAADPEETLGRRMQRRGVREVADPTTDVAAATLSGGGSTAAKSPLLPPPLPPPSPQPLPFSDLARGEWWRLRRGSATVLARRRRWRPVGLGSSGVEAEAVEADRARLQRR >ORGLA03G0202500.1 pep chromosome:AGI1.1:3:16207617:16209447:-1 gene:ORGLA03G0202500 transcript:ORGLA03G0202500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAALALVLAVLLLSSTLAASDFCVCRSEQPTALLQKAIDYSCGQGADCTSILSSGGCYNPNTVAAHCSWAANSYFQKFRASGATCDFGGAATLSSSDPSFSGCTFPSSASAAGTTGLSPGVGTGTGTLSPGGGTGTGTNGTGMGSALSPPGTSNFDGAAAAAGLLPRAESAIFFTVLLLSFLALP >ORGLA03G0202400.1 pep chromosome:AGI1.1:3:16196272:16205007:1 gene:ORGLA03G0202400 transcript:ORGLA03G0202400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAVQRLLAASTKIVGVGRNYVAHAKELGNPVPKEPLLFLKPTSSFLHAGVAGAAIEVPGPVESLHHEVELAVVLSQRARDVPEASAMDFVGGYALALDMTAREFQSAAKSAGLPWTLCKAQDTFTPISAVIPKSAVANPNDLELWLKVDDELRQKGSTSDMIFKIPSLISYISSIMTLMEGDVILTGTPEGVGPVRPGQKIKAGITGLVDVEFDVQKRKRSFST >ORGLA03G0202300.1 pep chromosome:AGI1.1:3:16188545:16193248:-1 gene:ORGLA03G0202300 transcript:ORGLA03G0202300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT2G03510) TAIR;Acc:AT2G03510] MSDGSVESPSLHRTPPPPSPSPSLSLSRSQQQTPRGRQPPPPGADPVAFAVVAFVAICFVLISFSAPSSILHQVPEGHVGVYWRGGALLETITPPGFHVKLPWITQFEPIQVTLQTDQVRNIPCGTKGGVMISFDKIEVVNRLHKEFVHETLLNYGVHYDKTWIYDKIHHEINQFCSAHSLQQVYIDLFDQIDETMKEAIQRDCTRYAPGIEIISVRVTKPNIPDSIRRNFELMEEERTKALIAIEKQKVAEKEAETQKKIALSEAEKNAQVSKILMEQKLMEKDSSKRQQQIDNEMFLAREKALTDANYYRITKEAEANRLKLTPEYLELRFIESIANNSKIFFGEKIPNMIMDQRMLRNYLDNGSTKDHLEI >ORGLA03G0202200.1 pep chromosome:AGI1.1:3:16186169:16186582:1 gene:ORGLA03G0202200 transcript:ORGLA03G0202200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTASLPPSGGFLLGRRWSNRPGRASSSTSWIEDKARANAAPTHLCGGESRIAPSSNKSWVQEQKPSSSVAGAGERKLADGDGLLFAGAGEKKSRFHRGDGNGKNLEEMFAGSSIVPPDPKDLPLPTLLLLPRFVD >ORGLA03G0202100.1 pep chromosome:AGI1.1:3:16185151:16185558:1 gene:ORGLA03G0202100 transcript:ORGLA03G0202100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSDLVGGGCVLRHLDKLAKYMPVVLRADDGGEGSTFALYFLICRHVRARLLLPCVGASEELAVAGQCVDGASVGAAAGAAGEELVVVGQCIDGAGVGAAVPLLMSAMHARWSGNVSCRDCCCCLGRAWPGIAPLXI >ORGLA03G0202000.1 pep chromosome:AGI1.1:3:16160597:16162674:-1 gene:ORGLA03G0202000 transcript:ORGLA03G0202000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSPWSKGNTESNPIRAQHHGVQIKGDFANNKTCSKHELDPGDEIDWTVVKWVGFFLSARASTCGSQLRNNTVCLAEGRAGTTCLAT >ORGLA03G0201900.1 pep chromosome:AGI1.1:3:16154110:16159664:-1 gene:ORGLA03G0201900 transcript:ORGLA03G0201900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVARPAASAASNEMVCGICGSGHDQDRMAKCIRCNVYQHCYCFPVVTYDVPDEWCCCECQNKSNWDQTPSQGGQTIRNRVHQDSLKIPNKFENAKVKYISYEEASLLNNNERPPNCRSNFHVRRTNSHVRPASPPNAKQSSSRSDNRAYSQFHRKFPNGQQSPCRSDTQGPFLKRGDGASQNQTEIAGINMKQKAQSGEMLRPCHRSRAIRGKIDFQVQNEQREKKVVSADKVTMNPQSRDDPREKSGSNVTGTDIGRGSEMSPDNDIGMLVVINSSVEYARQPPPEICWTGCFLVSNGSNCNPADFKAYCPSKVSSKVLNVIKSMPSIIELDILPRMDEWPKSFEINPPVYEDIGLFFFSTELDRNGKSQSHVMETSCNFVMRAYINNIKLLIYSSEVLPPDSQWIDGESYLWGVFVDPKRRHKSMPFGSISA >ORGLA03G0201800.1 pep chromosome:AGI1.1:3:16132396:16133226:1 gene:ORGLA03G0201800 transcript:ORGLA03G0201800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWSGVARKMVMRIESIESRLGAATRYAEATLDTLDYAAGLLQEDTDAADTLAADFFAVLDLDAPAAADHEDEGESEALIRRLPDQASVDAAAARLAAVVFSGAPVLPDNILISRDLIAGVCVFRHDVAGLLQNARLHLGVAIDRSNTLNHMIRSSSSLADRPAGTGSPGASQDWMDYQERVVELGSDAELRLFAAVKAAMDAQGAHPLCVVRSPQHEEHMEEAKQHLRNATCELDGALAALLEMRRDVESQEILVRRWGAAEAEASAREAAQSG >ORGLA03G0201700.1 pep chromosome:AGI1.1:3:16129671:16130525:1 gene:ORGLA03G0201700 transcript:ORGLA03G0201700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILVPEAELPVQAAAAAAPIDWMWYTVHLTVEEIERITARVEAVTTALEAIRPALDMAVGLLGEDIYAAEILDDYMLAALVPAGAGQAPLPDATLDAAARTFATVSSGAPLLPGSILDVGNLISAAYDIVDQPPPDAPTPDGLLNDAITDLQAAFADGGLLTNVRNHFHHCAAYLHVQPIDADPTWTAWTGQAQQANYFATDALAMLNVVAWEAMDAMELIRSHCLVPSPERNEHMRELERCLLTAIKYIDKAIAAVGLVHGEVELMDQTPSSHPRRQHPCXWLGL >ORGLA03G0201600.1 pep chromosome:AGI1.1:3:16128222:16128584:-1 gene:ORGLA03G0201600 transcript:ORGLA03G0201600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLRLLLSHSSRHHPQPHRLLSLLHFFSNTGSGSGPTPPPIKPVSYVPKPQLVPEEAPAAAEEAAPSDDPRVLALAASKEIAGAAAAAAVDAGGDAVREGCGALDHTGVVPGQGRAAAG >ORGLA03G0201500.1 pep chromosome:AGI1.1:3:16124060:16124776:-1 gene:ORGLA03G0201500 transcript:ORGLA03G0201500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMVNHLAELRAPLAMVLSDADAARATLDEAAGLLREEIQATELLLAHAFSAIAPRDGPALAAAAKLAARVFSDAPLLPGAIRAAMGLVASVYALPPPHAGTLEDARLILGKVFDDHHDATWLFRLYANCTPNYGIQPGDETWQAWSARNEEAFHEAAAAETRLISAIWEARHAVRVHRDYQAQSRRREVAWEAKQILSTATEEVDAASVAVRRMRDALAAEEQIVREAIGEAAAP >ORGLA03G0201400.1 pep chromosome:AGI1.1:3:16120524:16121279:-1 gene:ORGLA03G0201400 transcript:ORGLA03G0201400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGVATEAEAEAVANHLAELRARLAMILSDAEAARATLDEAAGLLREEIHAIDHVLLARAFSAIAPRDGPDHLAAAAKLAARVFSDAPLLPGAIRAAMDLVASVYALPPQRTGTLQDARLTLGAVVNGHHDATDLFTLYVNSTPNRRIQPGDETWLAWSARNEEAFTEAAAAEVRLMSAIREAKHAVRVHHVYQAQSRRREVAWEAKQILSTATEEVDAASVAIRQMCDALAAEEQIVREAIGEAAAL >ORGLA03G0201300.1 pep chromosome:AGI1.1:3:16118051:16118845:-1 gene:ORGLA03G0201300 transcript:ORGLA03G0201300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVQPVEAGAAAAEVMAATVIAQEAEAVLVAVRDQLQVIRLIARAARATLGEAGRLLREDIRDAKILAADALAVVPALNDRDPQATLAAAAELVASVFSEAPVLPGAIGAAVDLVASVYAVPPPATGPLQEVRDLLGAVSDDHDRARNLFADCRPYLGIEEEGETWESWTSHRSQALLNGYAAEMRLNRAIWEAGQAVRVHRFYQVGSSRRGRRMKEAWKLKEIMRTVMEEVDAVIAAVVHMRYSIAGEIQIVRDSIHAAAL >ORGLA03G0201200.1 pep chromosome:AGI1.1:3:16116020:16116541:-1 gene:ORGLA03G0201200 transcript:ORGLA03G0201200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATETLFADAFATVPAPDDRDPKATPRSGHKARRLSVRRGAAAPWGDRCSHGWTSSPACTLSRHRNLGHSRAGAPGYSSRRWPTNIRPRRSSSPTATPLLGVEVKDKKWQEGIATMAEANAHLFTVEVRLQFAICEVQNAVRVHRLYRHPRLLRLSRGVRMREAWPPSSSRS >ORGLA03G0201100.1 pep chromosome:AGI1.1:3:16112738:16113682:-1 gene:ORGLA03G0201100 transcript:ORGLA03G0201100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRQHALAHKIEAAAEEEEEERKEMDLLEIAQRVADNIDDMGILLAEAAAVLQLQQQLTAAAAEATDPRGIAQQVAHDLAQARNRLVGVESNALAASVTLAKAAALLREDIDATKVLVDDAFAVVPAHDDLDPDGTLAIAAAAKLVVAAFCEAPVLPGAIGAAMDLVASVCALPPPVIGTLRNAQRLLGVVGSDHDKARDRFVDCAPELGIQERGETWLKWSIHWHRAFVEEVTAETSLSSAISDAQIAVRQHRLYKELPSLSPGERARETWKVEEIVSTAINEVDEASVAIRQMRVAVAVEEQTVREAIDDAAP >ORGLA03G0201000.1 pep chromosome:AGI1.1:3:16110833:16111214:1 gene:ORGLA03G0201000 transcript:ORGLA03G0201000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGLACFAVEMMHAGASRYDFDRFGVIFHPSPRQSDCMIITGTLTNKMVPALRKALIPTFNVIWKLATNSTLAPMF >ORGLA03G0200900.1 pep chromosome:AGI1.1:3:16105335:16106174:1 gene:ORGLA03G0200900 transcript:ORGLA03G0200900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVVEQPVQAAATDWMGRLQVTAEGLRDIGALVAAAATRIQAARAALGEDASAAETLDADVWSALAHAGQAPIPDATVDAAAKLLATVSSGAPLLPGAIRAAGDLISTVFEIEIDIDDQAAAAAPTGLLNEAIRDLSVAFGLGSVHNNVEFHFLTCAPYLHVRAGDLTDLTWFAWSKQTERAKKLATEAELWINAAAWEAKDAAERARSHCLVQSPERNEHMGELEVSLLMATRYADKALAAVDMVRDAVESMDQTLHXAIGNAHIPDPYHPMPIWL >ORGLA03G0200800.1 pep chromosome:AGI1.1:3:16096427:16099143:1 gene:ORGLA03G0200800 transcript:ORGLA03G0200800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGVFGGGGGERRAANGSGSAVGGESDEIELSLGLSLGGRFGTDMSPDAKRARLARSSSIASVCSVSAADGDPSPAAPLPLLRTSSLPTETEEERWRRREMQNRRRLEARRKRLERRISVGSSSVPNKPGREDGGDGAVNRLQLRRSIGSQGSSSANPQDQGPDGSAICQSTEARSPSTSDDTNQNSALPPTASTGKPLNGTVTQQSPLRTLGSLTMRTSSTGDIGKIMMDMPMVSSKVEGPNGRKIDGFLYKYRKGEDVSIMCVCHGKFHSPAEFVKHAGGGDVSNPLRHIVVNPSPSVFL >ORGLA03G0200700.1 pep chromosome:AGI1.1:3:16082639:16085260:1 gene:ORGLA03G0200700 transcript:ORGLA03G0200700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAGTPATPISPQVISGAFVQQYYHILHETPDQVYKFYQDASIVGRPDSNGVMKYVSTTANINKIILSMDFSNYLTEIETADAQLSHQDGVLIVVTGSLTSEGICRRFTQSFFLAPQESGGYVVLNDIFRFIVERPPVAISQVSQENENNQNTATLPETDPNPAGDGMISEPVAVENNVAEGEVTNSTVDGTSIENNATAAVEPPVQMTKEEPRKISVAAPPPPAQKDVTKKSYASIVKVMKEVSLTPVVKPKPAPKHVVKTVEASEKPSVKSSQTVEITPNDNNNAENNTSNDEQGYSVFVKSLPHNVTVQTVEEEFKKFGAIKPGGIQVRNNKIDRFCFGFIEFESQQSMQAAIEASPIHMGGKEVFVEEKRTTTRVVNGVVITRGDNGNAGGGGRYQSGRGGYRGDNFRGRGGGYANSGNYRGGDNFSRRNDLRNRNEFSGRGRGPPPGNGYQNNGFHPARPFQNGNGRFTRVNGPRQTPVAA >ORGLA03G0200600.1 pep chromosome:AGI1.1:3:16069300:16073437:1 gene:ORGLA03G0200600 transcript:ORGLA03G0200600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRMMQAFAQEASDFDRQMGCMAGMFQIFDRRRLLTARQRGGARGTAPPGSHVLPNSNSNVSIQNPVASNNTLDKTFSKSMTENSSLSMESSRASSSSSSCSSFSSTDINRPIQQELSYINKERFAGKPPRSSQTKSVKCSKTEAKTKDPHTGFRDIVKESINRETHGMTIKTSTKESRKGLHKDSPRPLLISKSTDGTYVIGIDRSTGVPGYVHESSRPPRFSCDDRQLLRSVEAQDSKKPSAKLKELPRLSLDSRKESMNPRSRLKNSGYIRTDDNILDVLKHQESPSHQRASSVVAKLMGLEGTTPDIHETARSPTPVHGTQIDRPSQCQRIKSQDHSVPVQKNHSPVLKTNPSPRIIPEAAPWRQNERAVTGRAAEVKPRTASIYADIQRRLRGLELSECNKELRALRILSTLHKKDGPSQSDNNPELTAIQKKASEQIVDSENFQSPIVIMKPARCITKPDASDTLVAPLSRPKGIRRLRHEETSFTRKNENSDSKRNHSPNESAHSSGEEPVNSARSPRLSSSLSPRLAQKKADSERRSRPPVLPTSPGKKSKETVSPRGRLRSRHSQTKSNSDNDNVLHIPETKINLAKQIDVGVIDHPNPLNANSPYIHQSKIASTPNREEMPTILPADKKKIHPQENIPSPVSVLDATFYHEGSSPSLKRISDSFKDGETHTSDESWNPTSLPDTPPSKTSNDGNQIKAENMKALIQKLELLQMLSEEALKTDDTFSSVAANKDHQYLYEILSASGILHNKLNFQMMPHQLRPSSYPINPELFLILEQAKPDEEKLHRRLIFDLANELLAQQMDANHTVNSSVQFFQSKKLSGWQLFKDLCAEIDMIQSRSSMIRCSEEEDSRLAEDAMQGMKEWKSSDSERQGIVLAIEKSIFKDLIDEIISGEDKGKVHLTQWKLRRQLSFISI >ORGLA03G0200500.1 pep chromosome:AGI1.1:3:16050290:16052466:-1 gene:ORGLA03G0200500 transcript:ORGLA03G0200500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWNNSWHSSSTENRTMEREIVASYEPKKNNEIRMFESSDEMATDLAEYISQVSEISIKERGYFAIALSGGPLISFMRKLCEAPYNKTLDWSKWYIFWADERAVAKNHVDSYYKSTKEDFLSKVPILNGHVYSINDNVTVEDAATDYEFVIRQLVKIRTVGVSESNDCPKFDLILLSIGSDGHVASLFPNHPALELKDDWVTYITDSPVPPPERITFTLPVINSASNIAVVATGEDKAKAVYFAISDGAEGPDAPSIPARMVQPTDGKLVWFLDKASASFLEAKTKNDGYEHPKY >ORGLA03G0200400.1 pep chromosome:AGI1.1:3:16048013:16049251:1 gene:ORGLA03G0200400 transcript:ORGLA03G0200400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTAVKTTTDCLIWFCFAASTSPAASPGSATRTGRGPTRPPPPLPPSSSLRSPPAPQPRHHYFLAATTQHLWGERARRHADQPMRPRQCPRRILQWLRRRRRRQPRQPGRRAARHARQEGGAGRGRQHWVRSLQEAADRGRHRARWRGDWRRHGRDGAEQRQTGLEGGNGQPWYTAAVALAFVAASLGKGGWRGGRGGRWVAALFRRGSVERSSLQRVFGDATLRDTVAPLLRLPPPRRLRRDVRRRGHRHSRPLRRRAHGHRRGVGRGGGHGQPHRRRHYPSPPQQVGVPPCRRRRRPPRRLHRLRLLLQRRPFRHPVLQGPVAGVVGEADTEVAAAQEVAVEVAGHPVVASSRRMARQGEAGHTVDPAAEEEEDAGHRAAAGSGRGGGGAEEDADDHHARRPPRARKEK >ORGLA03G0200300.1 pep chromosome:AGI1.1:3:16032360:16033008:-1 gene:ORGLA03G0200300 transcript:ORGLA03G0200300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDDHAVNLAGGRLEEENLLGTKTREMDKINIPSRTEGDEWTILITVITKEHPYN >ORGLA03G0200200.1 pep chromosome:AGI1.1:3:16023719:16027045:-1 gene:ORGLA03G0200200 transcript:ORGLA03G0200200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLGAAAIALLAGVSSLLLMVPFAEAYDPLDPNGNITIKWDITQWTPDGYVAVVTIYNFQKYRHIQAPGWSLGWAWAKKEIIWSMAGGQATEQGDCSAFKANIPHCCKRDPRVVDLVPGAPYNMQFGNCCKGGVLTSWVQDPLNAVASFQITVGHSGTSNKTVKAPKNFTLKAPGPGYSCGLAQEVKPPTRFISLDGRRTTQAHVTWNVTCTYSQFVAQRAPTCCVSLSSFYNETIVNCPKCACGCQNKKPGSCVEGNSPYLASVVNGPGKGSLTPLVQCTPHMCPIRVHWHVKLNYRDYWRVKVTITNWNYRMNYSQWNLVVQHPNFENVSTVFSFNYKSLNPYGVINDTAMMWGVKYYNDLLMVAGPDGNVQSELLFRKDRSTFTFDKGWAFPRRIYFNGESCVMPSPDLYPWLPPSSTPRFRTVFLLMSFLVCGTLAFLHNHLVLDKNCGKC >ORGLA03G0200100.1 pep chromosome:AGI1.1:3:16021509:16023121:1 gene:ORGLA03G0200100 transcript:ORGLA03G0200100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHRCSLLALLLAVTCSVAVAYDPLDPKGNITIKWDVISWTPDGYVAMVTMSNYQMYRQILAPGWTVGWSWAKKEVIWSIVGAQATEQGDCSKFKGGIPHSCKRTPAIVDLLPGVPYNQQIANCCKAGVVSAYGQDPAGSVSAFQVSVGLAGTTNKTVKLPTNFTLAGPGPGYTCGPATIVPSTVYLTPDRRRRTQALMTWTVTCTYSQQLASRYPTCCVSFSSFYNSTIVPCARCACGCGHDGYRGNGGGGKNARAGDGRSRRNSGGGGGHSGGTECIMGDSKRALSAGVNTPRKDGAPLLQCTSHMCPIRVHWHVKLNYKDYWRAKIAITNFNYRMNYTQWTLVAQHPNLNNVTEVFSFQYKPLLPYGNINDTGMFYGLKFYNDLLMEAGPFGNVQSEVLMRKDYNTFTFSQGWAFPRKIYFNGDECKMPPPDSYPYLPNSAPIAPPRSVAAATSAILVVLLLVA >ORGLA03G0200000.1 pep chromosome:AGI1.1:3:16019669:16020127:-1 gene:ORGLA03G0200000 transcript:ORGLA03G0200000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGREVNKAKRQSMIRRHGGARRGGAAEHEAAACMGHLVSMIEFGKVFAARGLAVTVVVVDPPYSNTGATGAFLTGVTAANPAMTFHRLPKVEVPPVASKHHELLTFEVTRLSNPSLCDFLAGALSQIPRLSTTRRRGGAAESXTRRRRGH >ORGLA03G0199900.1 pep chromosome:AGI1.1:3:16014523:16015086:1 gene:ORGLA03G0199900 transcript:ORGLA03G0199900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEWGVPYPDCVLDNAGAGFVGGAAAGTLAHLFTGLRDSPCGRHLAGAAQAVRDGAPRLATRWAARLAVYSAACHALSSATDRHDDPLVSVAAGAATGAVARLRHGPLAVGRAALVGAATLAAVELMIRDSVEEHDDDKPRRNQRPLPAKTKEDDVILRMPPAIDHFPVPDPFIAASRGSLLRFRG >ORGLA03G0199800.1 pep chromosome:AGI1.1:3:16009302:16010552:1 gene:ORGLA03G0199800 transcript:ORGLA03G0199800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPRGGKEDSWNFVFAGAATSGFLRLRQGAVTAGRDALWSAAFFALAEGASLAIHRALDDLPPADGRRGLAARAPVGRPRRLPASPGFPGQPIVVKEVAVADDEDDSGFSDGLFAEERHASQAQLSLLRAPIMASPSPPRPDERDTDLPLPSPPRKPYPGFILDDAGGGFLIGGGVGSAYHAARGLLGSPSGHRLAGAARAVRANAPRISATWAARCGLYGAFKCALSLPRATDGDPVVSVLAAGAAGAAHCLRRGPLAVGRGALVGAASMAVIERAEAALDNLRSWVHYHRRLVPEEDIDGGGGSDPEPDDEPPIGFLGVPPKPVVVEEVPAG >ORGLA03G0199700.1 pep chromosome:AGI1.1:3:16002671:16006621:1 gene:ORGLA03G0199700 transcript:ORGLA03G0199700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocase inner membrane subunit 17-1 [Source:Projected from Arabidopsis thaliana (AT1G20350) TAIR;Acc:AT1G20350] MTTSEREPCPDRILDDVGGAFAMGAVGGTAFHFLRGAYNSPNGHRLSGGSQAVRMSVPRTGGNFAAWGGLFSAFDCAMVHARQKEDPWNSILAGAATGAVLSLRQGPRATATSALVGASLLALVEGAGILLTRTMATLPQEDHAYPFPVVPPPEEVSAHESSPIAWVRGIFGRKEEKPAAAGGDRKSDVLESFETPSPPIPSFDYKDI >ORGLA03G0199600.1 pep chromosome:AGI1.1:3:15979334:15980571:-1 gene:ORGLA03G0199600 transcript:ORGLA03G0199600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAESCHSRSLSWLVKSCIPADPARHIAVPVLCPTPQPPPPSSPPAPPISALPDDLLLECLARVPRASLPPLPAVSRRFATLLASDAFLHLRRAHAHLRPSLLAISVSDNGCNAQALLRFDASVPVLEVAALPLPPTLLHCGGSVFVHARAVVLGRDVFLIGRGATLRVDALTGAARACAPTLFPRKKFAAAAVGDRIYVAGGSARTSAVEEYDPEVDAWRVVGEAPRRRYGCAGASAGGVFYVAGGVAVSGEGARALEAHVCAGSVDALHVASGTWARPRALPGGGCVVGACGVGDHLYVVASHAVELSFWRWSGS >ORGLA03G0199500.1 pep chromosome:AGI1.1:3:15976544:15978675:-1 gene:ORGLA03G0199500 transcript:ORGLA03G0199500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPYYASFLKNHHRRYCFSTPPSPSPAGAYSSSASSSFPFFPTVGVTSTTAATPPTTTAPPSPPLREALPLLSLTPASRGGTTAAQERARQRGEDCTDDDDGAEEEGADEEDVPGSTPGGGGGDRHHQLRRRRAGRLFADLNTKAAAGDPMDVEGSGSGCCRAADADDDADVTVALHIGLPSPTAADAVVRPKGKRKGGEEREGRERDEEEGRRNDDMCQSHNIFSTSISTISVGXDACSAIAFSVAATPITVTVNVYGSASGGGGGVGNDSGLRH >ORGLA03G0199400.1 pep chromosome:AGI1.1:3:15971070:15973415:-1 gene:ORGLA03G0199400 transcript:ORGLA03G0199400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13680) TAIR;Acc:AT1G13680] MGGAFTAAPALALVLLVALSVVATANVGDSCSTAVDCGGGQWCFDCQPEFAGSSCVRSAATNPFQLTNNSLPFNKYAYLTTHNSFAIVGEPSHTGVPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQNGKDWPLVSDMVASNQRLLVFTSIRSKQATEGIAYQWNFMVENNYGDDGMDAGKCSNRAESAPLNDKTKSLVLVNYFPSVPVKVTACLQHSKSLTDMVNTCYGAAGNRWANFLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDVKACSVRQETRHTCFLPPCASVVLDPGRDKRRS >ORGLA03G0199300.1 pep chromosome:AGI1.1:3:15931812:15932597:1 gene:ORGLA03G0199300 transcript:ORGLA03G0199300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRQHGSSGELDVFGATRYFAGVASAARPIGVVVRETHQDMIIQVKTTTSSDKKKMTEEEGHHHAGQLAVACVAKTTHRSKLAAFLGSLLVSPESTSFRKKPPPVASSETTTTTTTYDDDDNLPKLQVPSSSSSTSTSSGRASIDVAAAAATVHGDDLGMDAMWGDRRLQGVRVVRCGRCDEERWVVRCGACCAWEEEEEEEHHHGHEKKAILAAAATSTRYGSHQVLAGDREVVGDGACSDWESDSSSDLFELDLEST >ORGLA03G0199200.1 pep chromosome:AGI1.1:3:15916239:15917271:-1 gene:ORGLA03G0199200 transcript:ORGLA03G0199200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTSSEIAGFGVGALLVCATIAAQRVDGFIASSQRTSLGMCKKCGDLRIVACSQCKGVGSVRKGGLFTFGMLDDIYESLGAETKTSNLVPCTNCRSKGRLLCPECSKIR >ORGLA03G0199100.1 pep chromosome:AGI1.1:3:15910238:15911320:-1 gene:ORGLA03G0199100 transcript:ORGLA03G0199100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPIANLSAVVAGAAHAAQAFAVEMLPAAVTREAVGASMAWLLRHLWAWLVAARGVAVENLPVAAAVAKGAAGSAMEASAPWLQMAAEFLHGLYGWMLAAVAVAVESLPGVAKSTVEASQPWLGSAAELLQVIYGWLVAAIAVAVENLPGVAKSTVEYTVEASQPWLAVAAKLLQGLYGWLVTVSAVAVEMLPDAAKNAAGSAAEASQPWLAMASKLLEAHDLCGLLVTAGDKVVENLPEAAAAMGGGAHCSADATPVPTHGHGGVAVYALLAVTLLAVAFLGGAVCALTCRTMKGPGLGGARVPRAVFRASPRRYYAAVRTARKARRSASGIGWKNLVAAMSLAVAACIVYLGAKMLH >ORGLA03G0199000.1 pep chromosome:AGI1.1:3:15908019:15908792:1 gene:ORGLA03G0199000 transcript:ORGLA03G0199000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASLVSGDDFTCAMETNTSAVRCRGPRGGAVEAGFLNTSISTLTAGGSRAYGVRKNDGGVRCSGGGVLAPREDLYMDGLAIGDSHACGLPRPNHTATCWSLGCDTTTLYYPAVSTTFELLVAGGNLTCGLVSTNFSLLCWSMDGLMAAEVNLPSILPGVCVSDNSSCKCGLFPDSGRFCKVSGDIICKVSPHPCAGRGEERRWRWTLRRCSIDQSTKPPPPPTPSRVLHRPRGDTLPRPPLFAASVPGRAPLPGC >ORGLA03G0198900.1 pep chromosome:AGI1.1:3:15881090:15884841:-1 gene:ORGLA03G0198900 transcript:ORGLA03G0198900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase 11 [Source:Projected from Arabidopsis thaliana (AT1G18580) TAIR;Acc:AT1G18580] MPPVRSAPGRRAPEFRRSSRRRLPEWIWWLVGIFLVLGLMLFVLHHNQREHFRPPVVDKGSEFEETHHEKVNFTEELLSSTSFARQLTDQMTLAKAYVVLAKEHGNLQLAWELSSQIRNCQRLLSEEAVSGKAITKEEAHPIITRLARLIYKAQDSHYDISTTIVTLKSLVNALEERAKAAVVQTAEFGQLAAESVPKNLHCLTVKLTEEWLQNPKHRGRSEEHRNSTRLVDNNLYHFAIFSDNVLATSVVVNSTVSNANHPQQLVFHVVTDRVHFGAMSTWFLINDFKGCTVEVRCIDEFTWLNAAASPLVRQLSEMETQGFYYGDSKNLEREIKFHNPKFVSLLNHLRFYIPQILPNLEKVVFLDDDVVVQKDLTQLFSIELHSNVIGAVETCLESFHRYHKYLNFSHPIISSKIDPHTCGWAFGMNIFDLIAWRKANATALYHYWQEQNADLLLWRTGTLPAGLLTFYGLMEPLDRRWHVLGLGYDVDIDDRLIESAAVVHYNGNMKPWLKLAIRRYKYIWERYVNFTHPYVRECLLH >ORGLA03G0198800.1 pep chromosome:AGI1.1:3:15875721:15877996:-1 gene:ORGLA03G0198800 transcript:ORGLA03G0198800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAWWWGSPRRWQRGGEALRGSVEGVARSGSPARSDRGENRVPFGTGVDSILDVVSLLKASLRRFLLHXRMFGGYRDLVTMLSKLLAFWLLSSSLQKSTWGKSTSSFHLDY >ORGLA03G0198700.1 pep chromosome:AGI1.1:3:15864495:15866712:1 gene:ORGLA03G0198700 transcript:ORGLA03G0198700.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGETSCSSWDSDDEYQKFIQKMNPPRVVIDNTSCKNATVVHVDSANKYGILLEVVQVLTELQLIVKKAYISSDGGWFMDVFNVTDQNGQKIMDESVLDEIVKYIHKCLGADSCFLPSRRRSVGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLECNVVNAEVWTHNKRAAAVMQVTDRKTGLAISDTQRLARIKERLSYVFKGSNRSQDTKTTVTMGITHTERRLHQMMLEDRDYERYDKDRTNVNPTPVVSVVNWLDKDYSVVNIRCKDRPKLLFDTVCTLTDMQYVVFHGSVDSEGPEAYQEYYIRHIDGSPVNSEAERQRVIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTRGDKAVNTFYVRDAAGSSAVDLKTLEAIRQEIGQTVLQVKGHPDHRKSPPQESPSRFLFSSLFRPRSLYSLGLIRS >ORGLA03G0198600.1 pep chromosome:AGI1.1:3:15856727:15857389:1 gene:ORGLA03G0198600 transcript:ORGLA03G0198600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNDSGGPSNYAGGELSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVDPLKHYLHKFREIEGERAAASTTGAGTSAASTTPPQQQHTANAAGGYAGYAAPGAGPGGMMMMMGQPMYGSPPPPPQQQQQQHHHMAMGGRGGFGHHPGGGGGGGSSSSSGHGRQDRGA >ORGLA03G0198500.1 pep chromosome:AGI1.1:3:15850064:15855373:1 gene:ORGLA03G0198500 transcript:ORGLA03G0198500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFCMHSVIVDSYYQVGEFKEEILQLAALASQGEENSRAELLEKFNKCNKDTLVELIRSFDMTGSKANRKEELVTKLMEFFKVHCPDTNSAYLDKALQFGDLHYQINDFKEQTLQLARLAFHEEEEKSQAELLEKLNKSNKDTIVELCRSFDIIGSKANRKEELVIIMMEFLKEHCSGTDATDPDKKTKKRRRKNEVTHLSGSKPLKKMKLDGTSLEIHGEEEDSGAKYEENITKYSECDLDDNNNECANNEKGRFPKNKASLEPSERVNDVPKNFVGAAPTEVQILSNEQALSKTPFAKVVSTVEGDRTDMKTSGKKNASITKKKMTSKTDRKEKFCGKQMYKGDGKPRKLAAIPNRDELRQAVFLILDSADFATMTFGDVVKEVDKYFGKDLFEKKPLIRSLIEEELFRLGEEAEKKELEEEAAEVKARAEQAAKEGTNAGVNSGIDTAEALQVKDGKSEDAAKNKRDNSAENGPKGGVSVEVAENINRSAAAESSQDGRCEHDRENAHNGGDFIRDDNAVQDSISGDHVEYSRDGEAERAKMNSNGEAVEAVDGGTEASKGGESADPKDDNNRNGDKSALDIDDRGAEDSHCNKNGENVACVENGKTNEAGNTENGENVVSHDAEKDGKRKDPIQNASPEQTLTDAGDDGKTEDAEHNANTEADVDSCADGTAEN >ORGLA03G0198400.1 pep chromosome:AGI1.1:3:15839286:15843473:1 gene:ORGLA03G0198400 transcript:ORGLA03G0198400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PC88] MAGTGLRFRQGAIFFSGAHAAAHPRTRTPHHHCSPQRTHDARGRCRLTAKSANGRPQISASFRDVAIDGAQSEDGAPEQGGSTVSITVVGASGDLAKKKIFPALFALYYEDCLPEHFTVFGYARSKMSDEELRNMISLTLTCRIDQRENCSDKMEQFLKRCFYQSGQYNSEEGFSELDRKLKEKEAGKVPNRLFYLSIPPNIFVDVVRSASRTASSQDGWTRFIVEKPFGRDSESSGELTRNLKKYLAEEQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRQLRLEDVVVGQYKGHSKGGKTYPAYVDDPTVPSGSITPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYGRRSRSVGGGGTTATRELEKATNELVLRVQPDEAIYLKINSKVPGLGMRLDSSDLNLLYSERYPAEIPDAYERLLLDAIEGERRLFIRSDELDAAWAIFTPVLADLEANKVAPELYPYGSRGPVGAHYLAANHNVRWGDIS >ORGLA03G0198300.1 pep chromosome:AGI1.1:3:15821972:15822835:-1 gene:ORGLA03G0198300 transcript:ORGLA03G0198300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKAAVVASAALLSTLIIPLLLLSQHQPRAIADHLSASATAATLFDACARLLGLLSPRNHMILLCNAILLLVLRDAGLLACPAPPAPAPPPRHHAAADDDASPPVAASSAASSRRRPQRPRSSAAVVVWRPSKLAVVDVLHVDDENDGSDGDRRRRRRPAQRHEPAMATTMAPPPIALPPAGQEKQSYDGLVDDDDHVSAGAIVVVDDDANKISSPVPDSDHHRCSGEDTNGRADDEEEAFDQCGGGGDDDDDVDDMNRRFEEFIANTKRKMQMESLQLQLVMMKV >ORGLA03G0198200.1 pep chromosome:AGI1.1:3:15813308:15817075:1 gene:ORGLA03G0198200 transcript:ORGLA03G0198200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMSTIVMMVDLECDRCYRKIRRVLCKLQDKASIKAISYDEKNNTVTVAGPFDADEVSDRLCSSAGKVITDIRVVGGAKPMPGGGAGGAKAHANKPAGKDGGAGGGGGGGGKPEMIKKHVKFEMADDMDDHHHHHDNRKPKVVTTTNHAAGALARMEGRRAEAPSMAMAAAMAPAPMPMTVQATATPSIWPAPAPSAPAPLEWGHSAPTYGAGWAPPPAGGYYGGRSPYQPPYYDEEPAGCSVM >ORGLA03G0198100.1 pep chromosome:AGI1.1:3:15805778:15809766:1 gene:ORGLA03G0198100 transcript:ORGLA03G0198100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHHLNRPTADDAAAADDDWDNDGFVIPSLSVEESDLGDWEAAQVSRPQPPPKATKDTEKIYLGPHGAPPSRAKKQEDTAAAATGYRDKSKVKEADQKVLGTGRDNKGGNNFNRYNNAGHHVKEPYKRST >ORGLA03G0198000.1 pep chromosome:AGI1.1:3:15786907:15787979:-1 gene:ORGLA03G0198000 transcript:ORGLA03G0198000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAPALRPQLAASPASRVPLSDSRRPPVYSGSNGFWSGRGRSGRASTSSSWVEDKVLRRRGLDGDQTSVRAFSSPREGANWMGSSPASSSSSSSSHASSSSESWIRDKLSGVRPSSLAGRAPATGTKRQCSSPPPSADRSEKKAKEDLAMEEPPEALAMEEPPEALAMEEQQDALATKEQALAMEEEQGAPATKGEQEVLPEMEEEQLVMEEEQESLAMDKMESGMEEQPGIEEEVLPEMEEQLMIEEEQELSAMDKMESGMEEQPGIEEEQPATEEVTNVER >ORGLA03G0197900.1 pep chromosome:AGI1.1:3:15779041:15782045:-1 gene:ORGLA03G0197900 transcript:ORGLA03G0197900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc transporter 11 precursor [Source:Projected from Arabidopsis thaliana (AT1G55910) TAIR;Acc:AT1G55910] MAGGRGARASLHLHLAWLCAFATTAWAHGGGGGGGDSDADADGGGEGKPDLRARGLVAAKLWCLAVVFAGTLAGGVSPYFMRWNDAFLALGTQFAGGVFLGTAMMHFLADANETFADLLPGTAYPFAFMLACAGYVLTMLADCAISFVVARGGGRTEPAAAAGAGLEEGKLSSTNGNASDPPAADAAAQDHSVASMLRNASTLGDSLLLIAALCFHSVFEGIAIGVAETKADAWKALWTISLHKIFAAIAMGIALLRMLPDRPFLSCFGYAFAFAVSSPVGVGIGIVIDATTQGRVADWIFAVSMGLATGIFIYVSINHLLSKGYTPLRPVAADTPAGRLLAVVLGVAVIAVVMIWDT >ORGLA03G0197800.1 pep chromosome:AGI1.1:3:15777113:15777818:1 gene:ORGLA03G0197800 transcript:ORGLA03G0197800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSDLPVEPPNLGITAAGEEVLVARPWEGEGEEEEEKEKKSPPPVAVAAVHRYRSHRRHLRTATGAAACWEGGGEGADGGGGGWISHMTVMKNPFSSSVVEGDVVELVDRREEICACCMVKAGSWRMRSRQDLQKMARHMSTEKAGTRGC >ORGLA03G0197700.1 pep chromosome:AGI1.1:3:15769424:15771279:1 gene:ORGLA03G0197700 transcript:ORGLA03G0197700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSAADSAASPATKITIPYYSAAAGAGEAGPPFGKGRYKVWALAAIALLALWSMSAASASLRWSSGRFLLAATASEDLDAPLLDDLDSLEMEEREKLVGRMWDMYTRTGDEVRLPRFWQEAFEAAYEELAGDDMQVRDAAISEIARMSAHRLELEQPVNEV >ORGLA03G0197600.1 pep chromosome:AGI1.1:3:15764551:15767491:1 gene:ORGLA03G0197600 transcript:ORGLA03G0197600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:I1PC80] MAPMAISTPLAFRASPTRLLSRRRSGAKSGVALPGLQFVPPGISSRLDERIHCHSSLRKNTIVASENENPPLMPAIMTPAGALDLATVLLGNRIIFIGQYINSQVAQRVISQLVTLAAVDEEADILIKPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFAAFTGQTLDMVQQWTERDRFMSSSEAMDFGLVDALLETRY >ORGLA03G0197500.1 pep chromosome:AGI1.1:3:15756833:15757309:-1 gene:ORGLA03G0197500 transcript:ORGLA03G0197500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVILDGSTVRGFVADDDAFARSVDARFEALDANGDGVLSRAELRRALESFRLLDGAGFGSAEPAPLPAEVSALYDSVFEQFDADHSGAVDRAEFRDQMRRIMLAVADGLGSQPLQVAVDDEGGSFLLEAAEHEAASIAAKIDAQRAADAAAAADAK >ORGLA03G0197400.1 pep chromosome:AGI1.1:3:15748674:15752059:1 gene:ORGLA03G0197400 transcript:ORGLA03G0197400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLLQEMENHPVQCMAKTNYDFLARNNYPMKQLVQRNSDGDSSPTKSGQSHQEASAVSDSSLNGQHTSPQSVFVPSDINNNDSCGERDHGTKSVLSLGNTEAAFPPSKFDYNQPFACVSYPYGTDPYYGGVLTGYTSHAFVHPQITGAANSRMPLPVDPSVEEPIFVNAKQYNAILRRRQTRAKLEAQNKAVKGRKPYLHESRHRHAMKRARGSGGRFLTKKELLEQQQQQQQQKPPPASAQSPTGRARTSGGAVVLGKNLCPENSTSCSPSTPTGSEISSISFGGGMLAHQEHISFASADRHPTMNQNHCVPVMR >ORGLA03G0197300.1 pep chromosome:AGI1.1:3:15730811:15736419:1 gene:ORGLA03G0197300 transcript:ORGLA03G0197300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARVERSEMRQNTSHQGSNDPRVQKERQAEWARPGRVFNRNTNKGGYFRNSLPGVTREFRVVKDNRQKVVGETIPGSFHNGVPSNEQIASNIGDKSSTEKLPAQRHPVTQNSNGRGVAQADNGRKEVKPSNDQTVGQSDGMITTMVGSHAVLAKGNQNRVLAVPSGTNNFTGELCCSSSDPIHVPSPGSKSAGTFGAIKREVGVVGARQRPSDNTATNTSTSNSSVKVPTSTATKENASNGQQSRSSGVSSKNSRPSSSTHLSSRPSSSSQYHSKPNTPVGHPKVNPQLEWKPKSVSPSPANHADNVVHSSAASSVDGNQAHMAGLSKKLSQTNVSEDEHVIIPAHLRVPDSERTHLIFGNFECDVESKAFTLAPDASTNREFNAHSSSRSTDDVPPTDQTDLVGSCVMLPKSDSFVSVSEYQHPLTEDMEVLSPGVFGEHRTNDMISTQVSHSSPQPQHQDNSAVHDFKEYEPDSRYEMPFITKAVDSEATQNIPYPSEVMGLHAANFNQLSVTAATQHPVPQMYQHMHVSQYPNCLPYRHVFSPYYVPPVAVQNYSSNPAFTQLPSASSYLVMPNGTSQLTPNGMKYGPPHQCKQMFPGGPAGYGGFTNQNGYPVNTGVIGGTGSVEDANMSKYKDNNLYTLNPQAETADVWIQAPTDIPVMPSTPFYNMMGQPMSPHTAYLPPHNGHAPFSPVQHPAHLQFPAMPHGLQPTTMTMVQNPQPMVHQPAGPPLAGNIGIDMAAMASGAQVGAFQQNQLSHLGWAPPSFL >ORGLA03G0197200.1 pep chromosome:AGI1.1:3:15723323:15725262:1 gene:ORGLA03G0197200 transcript:ORGLA03G0197200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANHVIVGMLRGFDQFMNLVVDNTVEVNGNDKTDIGMVVVRGNSVVMIEALEPVPKSQ >ORGLA03G0197100.1 pep chromosome:AGI1.1:3:15719956:15722941:-1 gene:ORGLA03G0197100 transcript:ORGLA03G0197100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72640) TAIR;Acc:AT1G72640] MPPPTALLPGRSAAPRPPPPPPPPPQLASAARLSRRPLFNAATAVVVRSRSKDEASFTDRILDYIEGGPKLRRWYGAPDLLPKDGSAEDEEDEPSEIDIEEPRDAVLVTNGDSEIGQMVILSLILKRARIKALVKDRRSTEEAFGTYVECMVGNMEDKSFTKKALRGVRAIICPADDGFFSDLDLKGVQHIVLLSQLSVYRGSGGLQAIMNSKLRKLAERDEEVVLASGIPSTIIRTGSMQSTPGGDRGFDFTEGAAAKGRMSKEDAATICVEALDSIPQTTLIFEVANGDEKVTDWKAWFAERTKTATS >ORGLA03G0197000.1 pep chromosome:AGI1.1:3:15710038:15710753:-1 gene:ORGLA03G0197000 transcript:ORGLA03G0197000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPTYAPLPCHPATSPPQLEKGGEDRHPLEKVKWRSPMLRYRAVTQFAMLELMSREGRGEPGSVAVPWLVLNSHERGEATTMAVELPRHSKSLPPXLRSSPSKESRSGGAPWLRRRLGLLDHRRISMWVGLERCPGVERCRNGEKEEAGGGARVHCRGRESGERPEAAVSLVNSSRWVVGEVEMVVNLVVVGGV >ORGLA03G0196900.1 pep chromosome:AGI1.1:3:15704366:15704710:-1 gene:ORGLA03G0196900 transcript:ORGLA03G0196900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTLSAAAAEDGKVLHAFQTSFVQVQSLLDQNRVLINEINQNHESKVPGDLSRNVGLIRELNNNIRRVVDLYADLSSLFAASSPGPAASEGASVGTAVRHAGHKRVRSTHLD >ORGLA03G0196800.1 pep chromosome:AGI1.1:3:15690727:15694042:1 gene:ORGLA03G0196800 transcript:ORGLA03G0196800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSATSPPNRPHRKRRAGAGGCGADDGSTRRRGRSAVLLGPTHFPAVRSFGLRLALVVAPAPRHRRKQRPLHYACRPTSLPCRRRRRLRSIISLLHLPILRPFYLRYILAAAASGPCRCRRKESLVGMGNYISRVLRKSSSDRGKAPPADDTAKQDLCEVFTPLTNEEESEVNNILYGSDQSKKIIVMHGPSNIDITKEKIWCLRTCNWLNDEVINLYLELLKERAQREPKRFLKCHFFNTFFYKKLACGKTGYDYQSVRRWTTLNRLGYGLVECEKIFIPIHRNVHWCLAIINMKDKTFQYLDSFGGMDHAVLRILARYIRDELNDKSNIQVDTSSWLKISSDSCPLQQNGWDCGMFMLKFIDFHSRGIGLCFTQEHMDYFRKRTAKEILRLRAD >ORGLA03G0196700.1 pep chromosome:AGI1.1:3:15681749:15685613:-1 gene:ORGLA03G0196700 transcript:ORGLA03G0196700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCSKEGLNRGAWTAMEDDILVSYIAKHGEGKWGALPKRAGLKRCGKSCRLRWLNYLRPGIKRGNISGDEEELILRLHTLLGNRWSLIAGRLPGRTDNEIKNYWNSTLSKRGFLISPWRGGGHDDGNAAAGAVPNLPMPIGYELGGAGGGGEAGAVDLEALLGQLEAEEDDDGDHHHHHHQQQQQEEEVPSSLGDEDDDYLELAPWLL >ORGLA03G0196600.1 pep chromosome:AGI1.1:3:15667417:15672524:1 gene:ORGLA03G0196600 transcript:ORGLA03G0196600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTRPQAQGLDLPLARALVRLDAPPHPRHRRCRASSRPVPPHAVTSPVVVARGGPGVAFSFDGLCDLILLMAIVVDISNSGATVAVLYRREREFAIARTGERSWRLINNKLDRIVDMARHGDGKLYTVHLSGKVARWKFNYNVCRSPKILESVLVIDSPYHYVVKADGDGNAIIMSREYEHDHRDRASECCYLAEAPRGTLYLLKRVYKHKQGGGGGGGGTSSPGVIRMTTIVGGVVIDPSSHHATIRSSPIPHLSIMPPPATGTPRTRRPPIPHANKINQRKQWQMALGVQWQVCTNKIMCYDYANSCHMTHLQTHLNGGEQWHFPYRFHDNRAEFWHHVTMNSLQWLLWLLLRLVPFLCPDAVRTKNFMEGTLLQYGGHEELQMVHEMELLTPYC >ORGLA03G0196500.1 pep chromosome:AGI1.1:3:15660536:15665189:-1 gene:ORGLA03G0196500 transcript:ORGLA03G0196500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XLFCNAKVVHAWWHAQWLKWTHLGKGLPLKKHIDATLGSGNLREAVRLPIGEDLNEWLAVNTVDFFNQVNFLYGTLMEFCTSSTCPIMSAGPKYEYRWADGVKVKKPVQVSAPKYVEYLMDWVESQLDDEAIFPQKIGAPFPQNFREVIRTIFKRLFRVYSHMYHSHFQMILKLKEEAHLSTCFKHFVLFTWEFHLIDRAELAPLNELIEPIVFRYF >ORGLA03G0196400.1 pep chromosome:AGI1.1:3:15644268:15645185:-1 gene:ORGLA03G0196400 transcript:ORGLA03G0196400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTLQVPSSFARPINRSFIGSSSSSSSSSQFSLRPKPASARLAASVAGERRLECRGGSSLHGCVDEGAAAASRRRQEQAAEIPIVLFPSVVFPGATVQLQAFEFRYRIMVHTLLQEGVTRFGVVYSGGGVGGGVAAGEVGCVAHVVECERLVDGRFFLTCVGGDRFRVVGAVRTKPYVVARVQPLADAPPSQERGGDGGGDMVRHLVERVEEQLKNVAALSDKLGWSRPPLPFRATCSPSSLSFAVAREVVEDREEQQALLRLDDAAARLAREGRYLERRSRYLAAIAAIKDALGGHLYCNDK >ORGLA03G0196300.1 pep chromosome:AGI1.1:3:15617872:15619272:1 gene:ORGLA03G0196300 transcript:ORGLA03G0196300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGLGKEQCRNSEKEICKLVLMGMEQKDMISWNNSTWGIRSSINLWVASTKNGSLQLPMMQGQKHQQMSFSARHLIHRVIVLSKASKQAPYGGHAKIKPTPIQREARKMATSTLTRAVPVGGGSHR >ORGLA03G0196200.1 pep chromosome:AGI1.1:3:15602583:15607242:1 gene:ORGLA03G0196200 transcript:ORGLA03G0196200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGLRPAPQSAAAAAAAAAAGAGAGASAADEPRDARVVRELLRSMGLSEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKPQLDADDVRLAIQSKVNFSFSQPPPRECSEFFHSDQDFRSRSLPSDNPLFFSMVLLEVARNRNKIPLPKSIAPPGSIPLPPEQDTLLSQNYQLLAPLKPPPQFEETEDDNEGANPTPTSNPSNPSPNNLQEQQQLPQHGQRVSFQLNAVAAAKRRGTMDQLNMG >ORGLA03G0196100.1 pep chromosome:AGI1.1:3:15595831:15596271:1 gene:ORGLA03G0196100 transcript:ORGLA03G0196100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLSNRFHCPAVNVERLWSMVPADKAAEAGAGKAPVIDVTQFGYTKVLGKGMLPPQRPIVVKAKLISKVAEKKIKAAGGAVLLTA >ORGLA03G0196000.1 pep chromosome:AGI1.1:3:15562177:15566809:-1 gene:ORGLA03G0196000 transcript:ORGLA03G0196000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYPSLSPNTDSTSPLDRSQEHDKDSGIDRFISPRRWSQDGERSPSEASSRMTRKKERSGWGSLFRGCLSGGGAAGSRKVRPGPRTAAAAAAKHGGGASSAAAQRLSFTDVMSTASEQELSVSLVGSNLHVFTVGELKAATQGFLDGNFLGEGGFGPVYKGNVADKAKPGLKAQPIAVKLWDPEGAQGHKEWLSEVIFLGQLRHPNLVKLIGYCCEDEHRLLVYEYMAKGSLENHLFKKFPSMLSWSTRLNIAVGAAKGLVFLHDAEKPVIYRDFKTSNILLDPEYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLEILSGRRAVDKTRPSREQHLVEHMRSWLKDPQKLSRVMDPALEGQYSATAAHKAALVAYRCLSGNPKNRPDMCQVVKDLEPLLNVTDDVSDESVAPIAPVKEDNAVRKERTARRRPSERDGGKLRQSKMRSPQKVVRRRPGQSEEFWVWHMPGEVKS >ORGLA03G0195900.1 pep chromosome:AGI1.1:3:15560876:15561430:1 gene:ORGLA03G0195900 transcript:ORGLA03G0195900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTVESATRSKSIGDGERAAEVDLVAELGKERDDVGGHGGGDDAAVEVHHGGLVAPSPLPDPPPPSPYVAAIFSFSSSSLIFPPPPWRPSWLRQARVCRGGGGGAEEGRVARHRQSCRPSPCRPPPFSLPPADLERMEIGGVPSHSRSALPVTGPLDAPQRRIIVACHVCLAPQGDNQQREKR >ORGLA03G0195800.1 pep chromosome:AGI1.1:3:15552299:15553786:-1 gene:ORGLA03G0195800 transcript:ORGLA03G0195800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRPSRRAGEHIERNRASGAHRGDKGKFYNGEDRKMECRRSRGCGSPMNIKSKAKVGIDQGKESPTWRFCPELPNAEVRLKNFLSAARKFLALLPGTSWQVSSSNL >ORGLA03G0195700.1 pep chromosome:AGI1.1:3:15550885:15551178:-1 gene:ORGLA03G0195700 transcript:ORGLA03G0195700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPPLQWRAAASVVCGGRSGSRPEAPSLRQIRREEGLQSRTATKCAGRLDAVPAAGPCRARPQARPPRQALQPPHVACNPTCLLLPFVCQQIHI >ORGLA03G0195600.1 pep chromosome:AGI1.1:3:15542047:15543150:1 gene:ORGLA03G0195600 transcript:ORGLA03G0195600.1 gene_biotype:protein_coding transcript_biotype:protein_coding PISAASLNRSIDHKQGGQQRGTPQQHLHAGHAQEQPPGHGHGQVQRHEHPAHGGAQHAHGGEQLQLAHARTPTPPQQQRRQQQLRRRQRHGLFVNAAPHEEEEEEQQLQLQLQQQLGLLRLKEEEEDEQPPAALLQQQIQESFSRHKSQLVSMTVLMKGRKRR >ORGLA03G0195500.1 pep chromosome:AGI1.1:3:15509854:15518126:-1 gene:ORGLA03G0195500 transcript:ORGLA03G0195500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDFARAVEDGLKLSKRLVLPGGAPSPRPVPGMDRGLLPDAAAASSLLPSAPMAYAVVVDPAAVDSPDVPSYQPHVYGRLDPPALIPLHMREVALAVDCAAAGCAAAEVTLRARWWVHCLTRSRDCHCRIVVPMGEQGTILGAEVTVGKRSYKTHVIDVEDQGAVKIAKTESGGLLKRQFFSLTIPQVGGGEDIFATIRWSQKLLYDNGQFSVDIPFQFPQYVNPLPKVFMKKEKIQLTLNSGVSNEIVLKGSSHPLKERSRQGEKLSFFHEAVVENWSNKDFTFAYSVYSGDLSGGMLVQPSTSDDYDDRDMFCIFLLPGNNQKRKVFRNASVFIIDTSGSMQGKPLESVKNAMYTALSELVQGDYFNIITFNDELHSFSSCLEQVNEKTIENAREWVNTNFIAEGGTDIMHPLSEAIALLSNSHNALPQIFLVTDGSVEDERNICRTVKEQLATRGSKSPRISTFGLGSYCNHYFLRMLASIGKGHYDAAFDTGLIEGRMVQWFQKASSTIVTNISIDATKYIREFEVDSEYIQDISAKCPLCVSGRYQGKLPETLTAKGYLADMSEISIELRVQHVKDISLDKVLAKQQMDLLTAKAWFYENNQLEIKVVKLSIQNSIPSEYTRTILLQNFVEKIEQLWQQGKQKPKKNSTQNEQSATSLNGLTLGFGDTAATRENLSAGFGDTKPPERFEMFDKAVGCCSRLTDCCCCMCFINTCSKMNDRCAIVMVQLCGALSCLACFECCSLCCGGSD >ORGLA03G0195400.1 pep chromosome:AGI1.1:3:15506416:15509560:1 gene:ORGLA03G0195400 transcript:ORGLA03G0195400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G23070) TAIR;Acc:AT1G23070] MALDEGSSSFRDLYRSLHTSVVLVGAAFVLVALLVSLWLILQHLRSYSNPEEQKWIIAVLFMVPVYASESIISLWHSEFSLACDILRNCYEAFALYAFGRYLVACLGGERQVFRLLENKKREELTEQLLESQDKAQVRNRSRVHIFFWDPNALGERLYTIIKFGLVQYMILKSLCAFLSFILELFGKYGDGEFKWYYGYPYIAVVINFSQTWALYCLVKFYNATHEKLQEIRPLAKFISFKAIVFATWWQGLGIAIICHIGILPKEGKVQNAIQDFLICIEMAIAAVAHAFVFNVEPYQHIPVVEHGEITSEESKLEVKVDSDDDSNGTPTTIEEKETHVEAPGTSIKESVQDVVIGGGHHVVKDVALTISQAIGPVEKGVEKGVGKIQDTFHHISLKPKGEKEPEVEVEEHITENTVDGEPVAVDAEVEVERTVQDDNSMEGESLVVNREVAIERIGKDNKR >ORGLA03G0195300.1 pep chromosome:AGI1.1:3:15469083:15471307:-1 gene:ORGLA03G0195300 transcript:ORGLA03G0195300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTYHICIHCIQCANAPTGCSAIWPQYKRSGIDCSRFLGARHISSSSLMGIVSWVQGRLGGRTSAAAESRGLAAGNGNPSVVAAVVAAGKERKHQQVVPDDLAGDQWPTPATHLFSIGTLGNDELPEQGEEEEDLPEFSVEEVRKLQDALARLLLRARSKKYSEAVATAAATATCCGGGGADSGLPLDMFLNCPSSLEVDRRAQRDHGGGGAAAAAVGLSPGTKMILTKAKDILVDGNTRNTTTSGGDIKNKSFKFLLKKMFVCHGGFAPAPSLKDPTESSMEKFLRTVLGKKIAARPSNSPASRTYFLEGNNAHGDDHRLCRRRRPRRGEEEEEEEENKGEESCKWDRTDSEYIVLEI >ORGLA03G0195200.1 pep chromosome:AGI1.1:3:15463624:15465355:1 gene:ORGLA03G0195200 transcript:ORGLA03G0195200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLSNVNSEAGLQKLDEYLLTRSYISGYQASKDDMTVFTSLPSAPAASYVNVTRWYDHISALLRSSGVTAEGEGVKVESTACSVSPTADQKAPAADEEDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMAKLEEAVRNVKMEGLLWGPNLYQLDMASRSCKL >ORGLA03G0195100.1 pep chromosome:AGI1.1:3:15453751:15454553:1 gene:ORGLA03G0195100 transcript:ORGLA03G0195100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKRQIEESLPEWRDHFLNYKELKRRLNAVSSPDPAAEARFLALLHAEVDKFNAFFLEQEEDFVIRQRELQERIQSSSSAAAEMEGRVRREVVDLHGEMVLLLNYSSINYTGLAKILKKYDKRTGGVLRLPVIAGVLRQPFYATDLLSSLVRDCEAIMDAVFPSLPSPSAAAAAAAAARAAAEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSRRRRRRRRRRSSSPR >ORGLA03G0195000.1 pep chromosome:AGI1.1:3:15443867:15444244:1 gene:ORGLA03G0195000 transcript:ORGLA03G0195000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGCSPGTRGRTTVAVHGAGAYRFTEERLEELEREVDGEAAAAGWPGRVSGHAPFEEDVLVLTRRRGASPVRRVRRVGLVTRGRTGVTSASATSTWRASSWEISRALINRRDYRWNISSHKMDV >ORGLA03G0194900.1 pep chromosome:AGI1.1:3:15438734:15441932:1 gene:ORGLA03G0194900 transcript:ORGLA03G0194900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATHAAADGGVATILASVDGRDFLLRNSADKVKISSIKASTVALYFSASWCPPCRRFTPNLIEAYNELVSQGKSFEVVFVSGDSDQDAFNAYFAKMPWLAVPFSDSKALAKLNERYKVMGIPHLVILDAKSGEIYTEDGVELVHEYGTEAYPFTTERINELKEQEKAAKDNQTIHSLFGTPTRDYLITNKGDKVPISDLEGKCVGLCFVVNGYGPVVQFTSVLAKIYEKLKAVGEKFEVVMVSLDGDEESFNESFADMPWLAIPQGDKMCEKLARYFELSGLPMLVLIGPDGKTLNDDIADIIDEHGPDAWEGFPFSAEKLEILAEKAKAKAESQTLESLLVTGDLDFVLGKDGAKVPVSELVGKTVLLYFSAKWCPPCRAFLPKLVNEYNKIKEKHNDFEIVFISSDREQSSYDEFFSGMPWLALPLGDERKQQLSKIFKITGIPSLVAIGPDGKTVTKDAKTPLVAHGADAFPFTEEKLQDLEKEKEKKINDMAKGWPEKLKHDLHDHELVLTRCTTYGCDGCDEMGDSWSYRCKECDFDLHPKCALEEKGDVEMGEENAEAAPAGYVCEGDVCRKV >ORGLA03G0194800.1 pep chromosome:AGI1.1:3:15413926:15414485:1 gene:ORGLA03G0194800 transcript:ORGLA03G0194800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTKQAQLAGRLSAGHVTGGDDDDTHGFAAAAVRRHLSFRRPNQTHYSLRLRLRSSSPTPHHSLRPLLARTRSRSHSASPHNRMAEVSTTTDDGGGGIATILAAADRDFLLLRNSADQGMCVQTEKHS >ORGLA03G0194700.1 pep chromosome:AGI1.1:3:15404856:15410141:1 gene:ORGLA03G0194700 transcript:ORGLA03G0194700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGIATVLAADGRDFLLRNSADQTDGQMLDMDFHGCTYFGTEVKISSIEASTVALYFSASWCPPCRRFTPKLIEAYNELVSQGKNFEVVFVSGDKDQEAFDAYFAKMPWLALPFSDSECRAKLNKRFKVRGIPHLVILNATSGEVYTEDGVELVTVHGTEAYPFTTERINELKEQEKAAKDNQTVQSVLGTPTRDYLLSNKGDKVPISDLEGKYVGLCFVVNGYGPVVQFTSLLAKFYEKLKEVGEKFEVVAVSLDSDEELSNESFAGMPWLAIPQEDKMGEKLARYFELRGLPTLVLIGPDGKTLNNNVADIIDEHGQDAWEGFPFTAEKMEILAEKAKAKAELQTLESLLVIGDLDFVLGKDGAKYLMKINICYFNAKVPVSELVGKTVLLYFSAKWCGPCRAFLPKLVDEYNKIKEKHNDFEIIFISSDRDQSSYDEFFSGMPWLALPLGDERKQHLSKTFRVRGIPSLVAIGADGRTVARDAKTPLTAHGADAFPFTEERLLEMERKIDEMAKGWPGKLKHELHDEHELVLTRCTTYGCDGCDEMGSSWSYRCRECDFDLHPKCALGKEEEKKGDDEAEAEADPACEGGVCRKA >ORGLA03G0194600.1 pep chromosome:AGI1.1:3:15394808:15400379:1 gene:ORGLA03G0194600 transcript:ORGLA03G0194600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: ubiquinone biosynthetic process; LOCATED IN: mitochondrion; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: COQ9 (InterPro:IPR013718), Ubiquino /.../synthesis protein COQ9 (InterPro:IPR012762); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G19140) TAIR;Acc:AT1G19140] MASSLAARRLLSRSAAAASRRLVPCASSATPRAAPAALRRFFSAEASTPPPTPPTPPLPPPPLEPTVEPPKSEGASSSSASSSAGAGGAHRSAPGASAGARRAGGTGYEEEQEKVLRASLLHVPRMGWSESAMIAGARDVGVSPAIVGAFPRKEAALVEFFMDDCLQQLIDRIDAGEGELLKNLVLSERLSKLVRMRLEMQGPYISKWPQALSIQSQPANISTSLKQRAVLVDEIWHAAGDAGSDIDWYVKRTVLGGIYSTSEVYMLTDNSPDFRDTWTFVSRRIKDALDLQKTFQEAAYLAEAVGAGMGGSLQGVLNRLFKK >ORGLA03G0194500.1 pep chromosome:AGI1.1:3:15385538:15390983:1 gene:ORGLA03G0194500 transcript:ORGLA03G0194500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:I1PC49] MAAAAAGGGDGRWCVVTGGRGFAARHLVAMLLRSGEWLVRVADLPPAIALDRDEEEGILGAALREGRAAYASADLRNKAQVAAAFEGAEVVFHMAAPDSSINNFHLHYSVNVEGTKNVIDSCIRCKVKRLIYTSSPSVVFDGIHGIFDADESMPYPDKFNDSYSETKADAEKLVMKANGRDGLLTCCIRPSSIFGPGDKLLVPSLVTAARAGKSKYIIGDGSNYYDFTYVENVAYGHVCAEKTLSSEDGAKRAAGKTYFITNMEAIKFWEFMSLILEGLGYERPSIKIPVSVMMPVAHMVEWTYKTFARYGMKIPQLTPSRIRLLSCNRTFSCSRAKDQLGYEPIVSLKDGLKRTIESYPHLQAQNQRSISKASIFLGNGNLAKTVLWEDMKQTMTVLLLLAVIYYHLFTCGYTFITAMAKLFSLTALFLFIHGILPANVFGHKVEKLEPSNFHITQVEAHHIAHSVSSTWNSLVGVLKSLCRGNDWPLFFKQVVFSLLVVSILSSMSSQSAFKIGISMAFLGFKAYEKWEDTIDDLVGNACSVVTHFVQGQKSSRQKHADN >ORGLA03G0194400.1 pep chromosome:AGI1.1:3:15358413:15367512:1 gene:ORGLA03G0194400 transcript:ORGLA03G0194400.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAPAANGCSGGRRLQEEEPAARWCAVTGGRGFMARHLVAALLRSGEWRVRVTDLAPAITLDPVEEKGLLGEALRDGRAVYDSVNVCDITQLTKAFQGVEVVFHTAAANPDINDFQLHYKVNVEGTKNVIDACVTCKVKRLIYTSSSGVVFDGVHGLFDVDESMPYPNKFPDAYAQSKAEAEKLVRNSNGICELLTCSIRPGSIFGPGDTIVPHLLSNWRTMFIIGDGMNCDDFVYVENVVHGHICAEKTLSTKEGSKISGGKAYFITNMEPMNLWDFLYMLLEELGYKR >ORGLA03G0194300.1 pep chromosome:AGI1.1:3:15338718:15339297:1 gene:ORGLA03G0194300 transcript:ORGLA03G0194300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVEKKVELRKMGKTRAEQSGHGTQSPCPLHLLLHTRVSLSFELEGEGSRERRGKETSGEMGGGVERLAGRWVALVDQSTVVALHVQLQQAAAAAQARGKAVEDNDNNMDDDELAFCDPPHRRSSPACHFSSPDSPLPPTPLASLAIDFGRWRSRG >ORGLA03G0194200.1 pep chromosome:AGI1.1:3:15329695:15330497:-1 gene:ORGLA03G0194200 transcript:ORGLA03G0194200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKDKSSGAARALEITDRSDIHRNVMATMWFSSGSIATATIVKLVWKGMTKEQRWFTDDGCDDDDVVAELNILVAQSGAAGGHDVSTYGNTT >ORGLA03G0194100.1 pep chromosome:AGI1.1:3:15328739:15328974:-1 gene:ORGLA03G0194100 transcript:ORGLA03G0194100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLREDEDGELREETGNWKNIFVSSCFLVDDQQMIKFMVDGKCNQLEIKWLAFAFDQEM >ORGLA03G0194000.1 pep chromosome:AGI1.1:3:15297948:15298280:-1 gene:ORGLA03G0194000 transcript:ORGLA03G0194000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPALEGLARLSLPRHQREEDDETNSPVHGTTMDNDRQRALVGFSSAQRRRRRSGGLRRWRKGGWFAAAHGETGGGDGKRRRKAAEPTAVAAALGQRDGDATGNAELGT >ORGLA03G0193900.1 pep chromosome:AGI1.1:3:15294720:15296342:1 gene:ORGLA03G0193900 transcript:ORGLA03G0193900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNDDEQSAPVANNNGGTTTRPPGITGVPVGQVQLTKYHNAEAPLDPNDQEVLLELKGTSSATSRAALDLIAVLDVSTSMAGDKLDRMKAALLFVIRKLADVDRLSIVTFSNDAARLCPLRFVAGDAARADLGALVDGLAADGNTNIRAGLEIGLAVAAGRRLTAGRAVNVMLMSDGQQNRGDAMRLDPGGVPVHTFGLGADHDPAVLQAIAGKSREGMFHYVADGVNLTAPFSQLLGGLLTIIAQDLELTVTRVDGEAAIKKVDAGTYPQAAAADGSSVTVQFGTLYSAEVRRVLVYLALEDSTAFPPYDAEVVEAQFRYSLQGATVASNPDPVSIRRSGSAPEPSEEAPARKPEVETEMARRRHAESIREARSMADGKELERARTRLVEAQNALEDVLDQANPMVDMLREELLQLLRLMETQEAYERAGRAYAVSSLASHDRQRFAARGDAEGVRLFATPRMDAYLEQARRFDEDPGVAALPSAEEDVRQEVAANPLAPVAGQIAFYVRSAIQALQAIDKIFASVAAATSTSTSTST >ORGLA03G0193800.1 pep chromosome:AGI1.1:3:15287081:15288874:1 gene:ORGLA03G0193800 transcript:ORGLA03G0193800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GERGPPREPPAGWSWPPREPGGGSPGPPGEGGVRAPTWKDRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGHLRDERAQAAAGADGPGGQERRVADVGQRRRHLLPPPGRHHLGRVPLLHEHRGGGARDARGVGRRDAGGHQREEGRGGHHPQEVPVQRRPRGGAVPAHRGGGRGPPEQPVKITQKTRPKTDHFNPFVLDGGSRIGYHRCKSEQLHQNNGGGGSSVANNFHKLQSPHKDVGLFRVSGVCPTISKDGSKLAFVDNEFKAVWLADSHGLRVVYEKKGPNSVFSTAWNQNTALDTLYVCVGPSFSADKPLQIYAIHDVSALGGRQQRRLTAGASNNAFPSSSPDGGRLVFRSTRDGGGGGRRHKNLYVMEDAAVGEFGTGKVTRLTSGAWTDTHCSWSPREGSDWIVFSSTRDKPAAAPADDNGLDPGYFAVFLVRASDPTVVVRVVRSADSVAGHVNHPVFSPDGRSIAVTADLAAVSADPISLPLFLHSVRPYGDIFTVDIDPDDISKNRDVRAFHRVTHSRYENSTPAWTTFATDDPNAQWSTLVTTKEAAAYRPACPYAHPDGGDSWHMTGHLLLPKRCC >ORGLA03G0193700.1 pep chromosome:AGI1.1:3:15286658:15287080:1 gene:ORGLA03G0193700 transcript:ORGLA03G0193700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESRGSIAFFATYRPPVPLDVFSCPVAPPPSSPRDELHLTDGVSYNHSCRPIPAAALRALLQRPKLASEATTADVDAGRITGLVFVSERDSGLETLHVPLRFDGGKQVKGVGPGRHFRRRRRLQRRPHGRKAAASGGG >ORGLA03G0193600.1 pep chromosome:AGI1.1:3:15258286:15276220:1 gene:ORGLA03G0193600 transcript:ORGLA03G0193600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:I1PC40] MERTEEEEAPAAKDPNASLPSPTYRSLAAPVTKPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRNIVKANNRIEARNNPSIFLRYNSVRVGVPSVQVQYIAEKITPHFCRLTDRTYSAPVLADIEYTVGKQYELKRKPNFIIGYLPIMLRSHACVLNGKDEAELARYGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDSKGRVIASVTSSTHEIKSKTVIFMEKEKIYLQLNQFTKPIPIIVVMKAMGMESDQEVAQMVGRDPRYGDLLYPSIQECAFERIYTQKQALQYMDDKVMYPGAGNQKEGRSKSILRDVFVAHVPVESGNFRPKCIYTAVMLRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVELMNKTSEKIHSSPLDLSLHIKENIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLALLTHVTTDQEEGPLMNLCYSLGVEDLSLLSGEEIHASGSFLVMFNGLILGKHRQPQRFANAMRKLRRSGIIGEFVSIFVNEKQHCIHIASDGGRVCRPLIIADKGIPRVKEHHMKQLRDGIRSFDDFLRDGLIEYLDVNEENNALIALYEHEDQDDVQRSSITHIEIEPLTILGVVAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYEGGYSDRIVKPQRDKDGALLKQNMRALDEDGFAAPGLIIRNHDIYVNKQTPRNTKRDSGAHLTDRDYKDSPAVYKGVDGETTVVDRVMLCSDTDEKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISRTLVKHGFSYNGKDLLYSGILGHPCQAYVFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRKCGLLGYYNHKLKASYCSMCKNGENMAKMRMPYACKLLFQELQAMNVVPRLKLTEG >ORGLA03G0193500.1 pep chromosome:AGI1.1:3:15242134:15243952:-1 gene:ORGLA03G0193500 transcript:ORGLA03G0193500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSGERGSSSFAMACSLLSRYVRQNGAAAGELGLGIRGEADANKGKETMELFPQNSGFGSEAAAVKETPDAREQEKRQLTIFYGGKVLVFDDFPAEKAKDLMQMASKSSSTAQNCVLLPSSATATVADSTKVSAVPAPASALPVAQANAPKPVRPNAADLPQARKASLHRFLEKRKDRLQAKAPYQGSPSDASPVKKELQESQPWLGLGPQVAAPDLSLRQESSQ >ORGLA03G0193400.1 pep chromosome:AGI1.1:3:15239506:15239883:-1 gene:ORGLA03G0193400 transcript:ORGLA03G0193400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPRVDLVEAVAEVFSAGRSISPLPLSLPLRAQASPEAVAVALVLPRADPAEVAATAFPTSGSGGPLPPPPSSQASPAVDPTAAASPTDPPVATSAAPVGGSVEGDDVVVCVAVVDVMMFFL >ORGLA03G0193300.1 pep chromosome:AGI1.1:3:15110914:15111714:1 gene:ORGLA03G0193300 transcript:ORGLA03G0193300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVRHGWQGRVGRRHGRRGQGGGEKWQRLGDKMSDGRRGQGGGKEAEDGRERWRSGWRTRPRKCCRGITRRRWALLLPFRELRLRRRCSRLHARLRWPPALSPRRNTALAGEGDAPYPPAASLPSIVSMEAEATSCLAALVSRATCCFSPPMTKTRRRVAAKAEITAGTAPTPPSICAAAGVPSRRRRDPLAPKSVLSFPPSTIARANAALPTGTKPFSFQSLAAHILCAVSRARDLGPSDITVFLTVASPLNCPPCVAAHHLP >ORGLA03G0193200.1 pep chromosome:AGI1.1:3:15080609:15085556:-1 gene:ORGLA03G0193200 transcript:ORGLA03G0193200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:I1PC36] MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLVNLGKGMLQAHQIIAEYNNAISEADREKLKDGAFEDVLRSAQEGIVISPWVALAIRPRPGVWEYVRVNVSELAVELLTVPEYLQFKEQLVEEGTNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSGLSADTPYSEFHHRFQELGLEKGWGDCAKRSQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVIMSPHGYFAQANVLGYPDTGGQVTRLLPDATGTTCGQRLEKVLGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETFTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSESRKRLTSLHPEIEELLYSEVDNNEHKFMLKDRNKPIIFSMARLDRVKNLTGLVELYGRNPRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVVESMTCGLPTFATAYGGPAEIIVNGVSGFHIDPYQGDKASALLVEFFEKCQEDPSHWTKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSNK >ORGLA03G0193100.1 pep chromosome:AGI1.1:3:15072972:15075218:-1 gene:ORGLA03G0193100 transcript:ORGLA03G0193100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEALRAKEIAERKFESKDLQGAKKFALKAQALFPGLEGIVQMITTLDLYLASEVLISGEKDWYSILSVETSADDETLKKQYRKLVLQLHPDKNKSVGAEGAFKMVQEAWTVLSDKTKRALYDQKRKLMVLKRNTSQTNKASAAPGASNGFYNFAANAATSKVTRGNKQKAGPATSSVRQRPPPPPPPPRQAPAPPPAKPPTFWTSCNKCKMNYEYLKVYLNHNLLCPTCREPFLAKEVPMPPTESVHAVHDPNISGANQNTNGSRNFQWGPFSRTAGAASATASSAAAAQAANVVHHTYEKVRREREEAQAAARREEALRRKYNPPKRQVNISENLNLGTGGNSSKKMRTTGNDVGIGSSSILSGSGANYFGVPGGNISFSTNSGAHHFQGVNGGFSWKPRPPTRISLVKTFTQFDVRGILMEKAKSDLKDKLKEMQTKRSQVAANGKKNKKNMFKESGGDDESLASDDSTARQAAHVDPEDNASVNSTDADDENDDPLSYNVPDPDFHDFDKDRTEECFQSDQIWATYDDEDGMPRYYAFIQKVLSLEPFQLKISFLTSRTNSEFGSLNWVSSGFTKTCGDFRICRYETCDILNMFSHQIKWEKGPRGVIKIYPQKGNIWAVYRNWSPDWDEDTPDKVLHAYDVVEVLDDYDEDLGISVIPLVKVAGFRTVFQRNQDLNAIKKIPKEEMFRFSHQVPFYRMSGEEAPNVPKDSYELDPAAISKELLQEITETVESSKATSEC >ORGLA03G0193000.1 pep chromosome:AGI1.1:3:15062170:15069093:1 gene:ORGLA03G0193000 transcript:ORGLA03G0193000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSDTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKVLLTVYLFADNDDERHVHFVLGSSSSTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSIVKLPLKTSREQLENMPPSSQLAVTNQDYKAPRNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIRLPVYEKVASLSGGSEKTVNQPTSHDNKMKLKTYSTQEEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNNKQKQQPAVMCIDILKKNHPPEPTKGETVLSCSYLSSDKTTELQKNILVRSSSERQERPNSPKPDEHLSTTARSRSVGADRISPQIRTPSQESKDNVAPFIEESEACETKNSEEALSANTVMGRELISNVQQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWRKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPPVPTSCNLEWRKLMEQCWSTEPERRPSFAEVATRLRSMLEASQSVTLRVN >ORGLA03G0192900.1 pep chromosome:AGI1.1:3:15048445:15048879:1 gene:ORGLA03G0192900 transcript:ORGLA03G0192900.1 gene_biotype:protein_coding transcript_biotype:protein_coding IILIIESTSDAVPKVSPHRKKININKITHRGEYIVEATVVAAVVVVERSAPMVMVVDPVAHERTMADPGTPEHLLGRGGEEEGQSARHASALSPTRPSTARATTQRRTSPARRRGVQGRISEGGRGGRREAVIGHGRRGGGGGG >ORGLA03G0192800.1 pep chromosome:AGI1.1:3:15026289:15044011:-1 gene:ORGLA03G0192800 transcript:ORGLA03G0192800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFHQILKTFFHALIIAEVILGQSDREVLLELKNFLQFQNPINHGGYNSWPESGTSPCHWQGVGCDASGRVNFLDLSNSNISGPAFQNLSRLSGLTHLDLSANSITGELHDDLKNCLHLQYLNLSYNLISGILDVSSLANLQTLDVSQNRFEGGISANFPAICRNLSAINLSSNNLTGSISGLFNNCLKLQDVDLSWNSFTGNVWNGIARLRQFKAGKNNFAGSISSRTFSTGCKLQLLDLSSNHFYGNFPSSIANCAGLTYLSIWDNHFNGSIPPGIGSIHGLEELVLTSNHFDREIPLELMNCTSLKYLDISDNNFGGEVQXVLGKLTSLTNLVLQENNYSGGIVSSGILGLPKLALLDLSFNNFNGKLPTEIASMGSIKALMLAENNFSGTIPPSYGQLVNLQALDLSYNSLSGEIPPSIGNLTSLLLLMLAGNQLSGEIPREIGNCTSLLWLNLVGNRLSGQIPPEMAGMGRNPSSTFAKNQKNPSLMKSVTSKCLAVYRWVPSSYPEFDYVQSMMFSHKNCRTIWNRLLMGYDILPASSPLRTALGYVQLSGNLLSGQIPSAIGAMKNISLLLLDGNRLSGHLPSEIGSLQLVSLNASNNSISGEIPFEIGNLGSIESLDLSCNNFSGSLPSSLEKLSKLSQFNVSYNPLLTGEVPSSCQLSTFSELSFLGDPLLSWRSAAGHPRPKNGTGFFFNGTEYPTKEDSSVPCRLPSPPETGPGGGTGGGEGAGGSGGEGELDGRSAPDFLPPPTSNLSGLTASFAAKGLSVFILESSRRRSRCSGWHSRRKTTTAMRPRWTAGRCSLSRIPAPDPMATWFGTFGGSSRTPFSSRNLLAIEAMINVYFIYTAPFANLCFPLNWMIXCGHVHMVVLIFSLTSAHRFNXXMVVRCXACRASRRPVSWWGRRRRRCSRSRCIAASGRRQALGXCRNPTSXGGRRRRRSVTCRWSARRRARRGRRRRAPXRRRSWRXGRIDWRSAAGGSRXIEGRRREEVVRRTLVKPAPASTAAGALATACASPGLVLGGLGTAWTEEKREDMGDGERRGXKVGXEXHVGPSXAPPFFNFFLCIXHVGPCFLLFSKSNCHVSATSMPRQMKAESNXLRRRHFSKNRHPNRIRTYIAPVLTVEGPIVSGFAFGGXKSDLLTSXGTSDELIPMENWGTIYTKKQAQWAXLVGLVRSSDPLARGFRYRRAAPSSLSPVRRPGLGTWEEGXAGGARYRSSTPRPTEPSAAAAPIPPPPQVAPVPRRRCGRAPLRADAPPRPPLRRLRALPPRPRAPIPARAPAPRHAALPRRAAPSLRARRRLPPRRRGPRLPRRPGLLLPLRRHIPFAPQRPCLQWPRGCRQGHAPARPEARRPXGXAGRGGAAHRRDGAPGRGAKCGHLLPADAGALRRRPPRRRREADVXHGVPWLPGRGGELRRADELPREARRRRRRQGALLRHAQAEAQARRRELQRPDQVPVRRQQGRRGAQGAAXHAAQGHRAGRRQRTASSSTGAAGLATSSWVYGFSTRCWRADTVLNLAPSGTLREGSARTARWRRRASSWSRXRGGRXAWMQMGGKLLSPAFAAAAAPRISGQTSSANMWQKELVPLSPLLLIFSLRCLYCSIXPPEQRSCMFHVYHFDLFFALNEQIXASAHLFISCLLIXQIPQPFFCFPXKQIGKHVAXFCYGHYGCEEISEKXMGIGWXYPLHVCQLFDLLVRDDLRPGKMMAVALKEFKTFEDKLAAINHETGVSEALASMIQKYTAPLQKLPVGNDNYRDIIEKRLVIKLIIEKAGVMFECDRCVNQHNDPLRSAAEHIKKISRIDTQSWDLMKVAAAFKVICCPGEKNEPEEXLMPVCFFQLFTRLQLEWFRDDAPKYKDKILKVSWLIDYNEIYRARELRLKTARVLFCLVKWAKEAYEAEQAGEATSDHEIGPDGKEIHPGITPVIIDELTECVCDIILSARRKERQVHIP >ORGLA03G0192700.1 pep chromosome:AGI1.1:3:15018260:15020553:-1 gene:ORGLA03G0192700 transcript:ORGLA03G0192700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSLSPLAAVNTASAAIADAVREAFQPSARHDVDPSTAANVVDSEGVMASNATRKALISFLDELHIALTMSNISSVISGIISRRCYGVYARAVVTTRASDAAAAGRRTDVASAATAATAKRDVAWMRDPKTGCWAPENRVDEVDAVDLRNLLLNYK >ORGLA03G0192600.1 pep chromosome:AGI1.1:3:15014910:15015311:1 gene:ORGLA03G0192600 transcript:ORGLA03G0192600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLRPFLLAGSPLYRHKVPQEKPACDDGDGGRHRRVEVHGVPEQGGGPGEVSWVAAEEGCELWIWNVNXGM >ORGLA03G0192500.1 pep chromosome:AGI1.1:3:15006752:15009281:-1 gene:ORGLA03G0192500 transcript:ORGLA03G0192500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDTCRRSTSSRPPERRRRRSPDPSRRSVDVRQLSPGMDEYGIDDRHMDGLEGDGDDPTEQPIPEANDDTDLSTPGGEAEPSALSAGRAECWRHFEKIKSVMKARFXDYCQAKDKPMRMFGIDVKHRWNTTYLMLRQLKGYEEIISVFINSLHVRCNDTDEDGEKPTXXSFSFCCSTNGRKISQVLHCYSTSLLFCFGTXSSXKVGDHGGCFHINRXCNGSXLFXSLSTCXRXVVQGFPSVPNXA >ORGLA03G0192400.1 pep chromosome:AGI1.1:3:15004500:15005033:-1 gene:ORGLA03G0192400 transcript:ORGLA03G0192400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1PC28] MPTPTTIICLLAFLAVLAAATGDGTTTTTHLHFYIHETFAGDNATTASLAPSPLAVAGSNSSFGSVGALDDELREGSDAASRYLGRVEGLVVQADLGNPAAAWTLLTLAFAGGDYNGSTLVLDGRVDFGAAGDMERAVVGGTGRFRRARGYSLMTKFGNPTPNTGVFEMDVYVTTMA >ORGLA03G0192300.1 pep chromosome:AGI1.1:3:14991554:14992214:1 gene:ORGLA03G0192300 transcript:ORGLA03G0192300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLVKLGAWGGDHGGKEYDVTVAPQRLEGFWLRYGKVIDCISFSYLDKDKTLHTVGPWGGQGGLSEETITFEPSEYVKELHGSVGPIGDYTHVVTSLKLVTSQRTIGPFGNGAGTPFAVPVLNNGSVVGFFARAGPYLESIGIYVHPF >ORGLA03G0192200.1 pep chromosome:AGI1.1:3:14986211:14986786:1 gene:ORGLA03G0192200 transcript:ORGLA03G0192200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATNELQFVWVQLQAGSTVAKIGPWGGDYGGRDHDVTVAPRRLRSVSLRHGKIIDSIAFTYDGGDGDGELHSVGPWGGDGAELPEAVARKLAAGERPPGATVAEFTFDAGERVTEVHGTVGPFGDRDSLVTSLKLVTDRRTIGPFGYGAGTPFSVPVRGDGGVVGFFVRAGAYLEAIGVYVNPCIPSEK >ORGLA03G0192100.1 pep chromosome:AGI1.1:3:14948367:14959101:-1 gene:ORGLA03G0192100 transcript:ORGLA03G0192100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASDKTFADVVKLLTSWLPRRSNPDNVSRDFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGKCTTNSIPASSGPDRNIDEGDKIRVCNFCFKQWEQERAAANKQMMPLLSPSLSEASLFSTKSAITINSVSTTAGSYSTGHYQHVARASSISPPKSSQDKVCHDMLDTHVPEKSMSTVSNKDETSSVHFGYYTNRSDDEEEECSAYCSDRQVQHQQHNDHYYGPDEFDELESSYNPTISPTVKENVISKEVSSHATDQGFPSTLPVTKMDDEPDPDNSSECGAASSIYALESTDTNPLDFEKNELFWFPPEPEDEEDEMEVGLFDDDDDDDDEPVADSEQRRIRSSSSFGSGEFRNRDRSSEEHKKVMKNVVDGHFRALISQLLQVENISLHEGDETGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKFTYYLKPASNCFFSTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYQRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVEHTVSRYAQDLLLEKNISLVLNIKRPLLDRIARCTNAHIVPSIDLLPSQKLGHCELFYVDKYVEHSVNSNNTAKKMPKTMMFFEGCPKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPEIPLESPLTVALPDSRSTADSSISTVPGFTFNVSNSRQTTEGFEHPVAGSIRSTDPGGTDVPPVSNECTTQTRTTFSHSSGTWSANGGSLNSKTVDRIEKATATSATTSGVLMDHSYTYSTLEKNWYSGDYHEYGSTMSDVKTMTTVLANSNGSCHHGTSEASTNITNFANLKEPFDGSIDLANVENVTNSNVVMVQPVPSTAVQNQETNQGHESTSNKEEIMASDHQSILVALSIRCVWKGTICERSHMLRIKYYGNFDKPLGRFLRDCLFNQGYQCISCDKPPEAHVHCYTHQQGSLTISVRKHTEFVLPGERDGKIWMWHRCLKCPWSNGFPPATLRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSIHVPPYKLDFSHQPLDWIQKEANEVIDRAKVLFDEISRALHQHSDKRAHSGSLNMECGNHIVDLEGILRREKLEFEGCLNKVIKKETQKIQPDILEINRLRRQLLFHSYLWDQRLLSAARSDRSHQEPYNFKPADKEMVQSIGSIAEQNAIEKPQSEISATEASFKDHKYVECLQESIDGGNSPGVDPCNSCPNHDQQIAISESDLIQRGSKTPLHSSVSINVESVPLESDIVARRTLSEGQFPSLLDVSNALDAKWTGENDPVPSSAIVPDCVASSEDSEEHVTDTPSYASVFLNKLGDSAEDQSNWLGMPFLQLYRALNKQWCRSNRFDALNEYTPVHVSFLRTVERQVGPKFLFPIGVNDTVVGIYDDEPTSIISYALASHEYHLQLSDELESDTTDNSLSVTDLRGASLTESVDETASELLRSFVSTEDNILYMSGGKNPSPSDPLAYRKASHIKVNFGDEGPLGQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAPEYFGYISESIVTGSPTCIAKILGIYQVKSLKGGKEMKMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSNKVLLDQNLLEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIGVMDYSLLVGVDEKKQELVMGIIDFMRQYTWDKHLETWVKTSGILGGPKNVAPTVISPKQYKMRFRKAMSTYFLVVPDQWSPPAVVPSKQGAENNQDND >ORGLA03G0192000.1 pep chromosome:AGI1.1:3:14937809:14939035:-1 gene:ORGLA03G0192000 transcript:ORGLA03G0192000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAARSSRKRRSPPPRGLGDLHDDVLERVLASLPPATFFRLRAVCRRWSAAAASPTFLRACARVPSRDPWFLMLSGARPRPPLAFDAAGRSWIPCRAAPGGSCGGADAAVPVASSGGLVLYRAPGTGELLVANPLTGASRALPSPPGAHGGAPRLHAIAMYGSPYRVVLFAGELPDLSMSVFDSSRGSWEGPVALSRKPDAAALLPDDAPSQGGADDTVYFLSKSGDVVATNMQRSASKQYSSVVVAASSDGGDAVAYFLSHSGTVVACDTARRTFAELPRILPVYFEYSIDVVACDGAAYAVVLAEYLDTASLRVWGFAGGAWRQVAAMPPAMSHAFHGKKADINCVGHGGRLMVCVSSGEANGCFMCDVGSNQWEELPKCVNGDGEVNDFLAAFSFEPRLEISV >ORGLA03G0191900.1 pep chromosome:AGI1.1:3:14930114:14933146:-1 gene:ORGLA03G0191900 transcript:ORGLA03G0191900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKMXRREYGFVTMTRICDGDVDCWEFVVIDFGGQSLVDFGGDTRKGEASNTLVRTHPENAMVTAREILLDKFFRRRRPSRCPQFYVASMYWFITTLSTVGYGDMHAENTGEMVYTTAYMLFNLGLTAYIIGNMTNPVVHGTSHTRKFVSSHFL >ORGLA03G0191800.1 pep chromosome:AGI1.1:3:14918009:14919909:1 gene:ORGLA03G0191800 transcript:ORGLA03G0191800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1PC22] MSSDDDDDGSGRTKLIIAAVVMLLAIVLGTVAYVVVDRAGDDDGLSKRGMKSTMRSVDLFCAPTDYRVACKDTLERVLARSSDPADHPHAAAAAAITAVERELARGFDRSSVLEAVRASNDSRVAEALRDCRTLLGDCRGDVSRALTSIAWRGVDAVSQDLQAWLSAVITFQGSCVDMFPQGPIKDQVREAMEKAREISSNAIAIIQQGAAFAAMLDLHASESHAAEGEELDVDHDIQHHVDRHLEDQSLPPVPPWLSDEDRRMLTSGEEFVAGLTPNVTVAKDGSGDFTNISAALDALPEAYAGKYIIYVKEGVYDETVNVTSRMANITMYGDGSKKSIVTGSKNIADGVRMWKTATFAVDGDRFTAMRLGIRNTAGEEKQQALALRVKADKSIFFNCRIEGNQDTLFAQAYRQFYRSCVISGTVDFIFGDAAAMFQRCIILVKPPLPGKPAVVTAHGRRDRQQTTGFVLHHSQVVADEDFAGAGGGSSNTSSSSGPAPRLAYLGRPWKEHARTIVMESVIGGFVHAQGYMPWEGKDNLGEAFYGEYGNSGQGANSTGRMEMRGFHVLDREKAMQFTVGRFLHGADWIPETGTPVTIGLFGG >ORGLA03G0191700.1 pep chromosome:AGI1.1:3:14904702:14905544:1 gene:ORGLA03G0191700 transcript:ORGLA03G0191700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGTSVAAAATMLAAAAAIFITFVVCFYLFLCAKRYRGAAPTIGGGSGGGGRGRARFVFGGPGDGGCGGGRGLDEAAIAALPTKVVAAAAEGGDGGDPAADCAVCITELAAGESARVLPRCGHGFHVECVDMWLRSNSTCPLCRCAVVDEAPPPPPAVRPPEADAESPNFPTNVLFFGSQDAVRTGGAAAATPPPPPPPSHHQQQPAFPPQPSAGPIAGVAAVVEAARIAALRRLLGCGGATPPPPPAPAQGDRDVEMGLPGGESSASRPATKPQPGS >ORGLA03G0191600.1 pep chromosome:AGI1.1:3:14890605:14891051:1 gene:ORGLA03G0191600 transcript:ORGLA03G0191600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAGAMVAGAAAAAAAILALMTTTQHDRLGEECDAVVAPAAQECAVCLCELAGAAGCSKPEAAAAAVRTLPGCGHGFHAECIGRWLPLRAECPICRRPVVTGADGQAPVAVAEAAAAAVAAPAWSRAARMACEFGDGRVVWTRSPSA >ORGLA03G0191500.1 pep chromosome:AGI1.1:3:14855009:14855503:-1 gene:ORGLA03G0191500 transcript:ORGLA03G0191500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVTATGGSTALGDGADLAGREGAAATVAMMVASGGGSDDRVGGTRATVTTTTVTAATTTTTLRAGGEHGSGGSFPPLLLPIPDLARGEVAAAAMAATAGGRRRI >ORGLA03G0191400.1 pep chromosome:AGI1.1:3:14840326:14845838:-1 gene:ORGLA03G0191400 transcript:ORGLA03G0191400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSSSWFRAASGSGMASVGFTGVVAMLMATAFLGVTADTSSDDVTALNTFYTSLNSPSQLTNWVAQNGDPCGQSWLGITCSGSRVITIKLPGMGLKGTLGYNMNVMTALVELDASNNNLGGGDIPYNLPPNLERLNLENNSFTGTLPYSISQMASLKYLNLGHNQLSSINVMFNQLTNLATLDLSDNTFSGTLPDSFSNLTSLTMLHLQDNRFTGTIDVLSDLPLTDLNVQNNQLSGAIPDKLKGISNLQISGNSFSNSPVSPAPSSPPSTTSQSPPRQPSTRNPRNRNRNPPIGSNGDNGGNGDGSGGDGGGRSSKIGGGAVAGIVISLVVLGAMVGFFVFKRKSTRHQRGGDPEKNEPLTLRPIASGKFNQLRTISIISPTAKEGLQKTVSMNLKPPSKIDLHKSFDENDLTNKPVLAKNVDLSSIRATAYTVADLQMATESFSADNLIGEGSFGRVYRAEISDESDHKVLAVKKINVSAFPSKPSDFFIDLVAKISKLNHPNLSELDGYCLEHGQYLLAYEFYRNGSLHDFLHLSDGYSKPLSWNSRVKIALGSARALEYMHETCSPSIIHKNFKSSNILLDNELNPHVSDCGFAELIPNQELQESDENSGYRAPEVILSGQYSQKSDVYSFGVVMLELLTGRKAFDRSQPWPQQSLVRWASPQLHDIDALDQMVDPALEGLYPAKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRSSMGSVLSGGESISRRYDDSGDYTF >ORGLA03G0191300.1 pep chromosome:AGI1.1:3:14838199:14839680:1 gene:ORGLA03G0191300 transcript:ORGLA03G0191300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT5G20870) TAIR;Acc:AT5G20870] MMGSCAAVHALAVAWAVAALLSRATPAGALAANWGTRALHPLPGDVTVRLLRDNGFDKVKLFEADPSALRALGHTGIQVMVGLPNELLAPVSSSVAAAEQWVLHNVSSYISKLGVDIRAVAVGNEPFLKSYKGKFEAATLPAVQNVQAALVKAGLARQVRVTVPLNADVYESLDGRPSAGDFRPDIAGLMVGLVRFLLDNGGFLTINIYPFLSLQADPNFPADYAYFPSPGSPPSQASVQDGGVLYTNVFDANYDTLISALEKHGLGAIAVVVGEIGWPTDGDKSANAANAQRFNQGLFDRILAGKGTPRRPQMPDVYVFALLDEDAKSIDPGSFERHWGVFNYDGSRKYNLRLAGGRSIAPARGVRYLSRQWCVLRPDASPADPAIGGAVGYACQYADCTSLGTGSSCGGLDARGNVSYAFNQFFQAANQMKGSCNFNNLSMITTSDPSQGTCRFQIEIDTGRHDLAVASSASAAATSVAAVLLLALLGLVI >ORGLA03G0191200.1 pep chromosome:AGI1.1:3:14833162:14834880:1 gene:ORGLA03G0191200 transcript:ORGLA03G0191200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10580) TAIR;Acc:AT1G10580] MDLLQSSYTPDDEDDDEQQPLSSPDASPLRLPAKSAAPAVDDTALALSAAAASTSRPLDPSLHLVPFNPTADQLWAPVLGPQHPHAPISSASGNRNHKLGHVEDAAVLPFLFDEQYNTFHRFGYAADPSGLHIVGDAQPSAEPDTVYNLAPSEHKRRRLQSKDEEGANQEPLPPEAKNPASDEWILRNKQSPWAGKKEAPPAELTEEQRQYAEAHAAKKAEKEARGEGKGEKSDMVAKSTFHGKEERDYQGRSWITPPKDAKASNERCYIPKRCVHEWVGHTKGVSAIRFFPKYGHLLLSASMDCKIKIWDVLESRTCMRTYMGHSKAVRDISFSNDGTKFLSAGYDRNIQYWDTETGQVISTFSTGKVPYVVKLNPDEDKQHVLLAGMSDKKIVQWDMKSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGSCWFWDWKSCRRFKTLKCHNGVCIGCEWHPLETSKVATCGWDGVIKYW >ORGLA03G0191100.1 pep chromosome:AGI1.1:3:14828553:14831776:1 gene:ORGLA03G0191100 transcript:ORGLA03G0191100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAEKAELGMEELELEEGGGSPSPSPMTAAGKMQALDFEHIGSLAAVAESLSTGSKWRRALTSVRVVILQAKINVLLPFGPLAVMLHYLSANHQGWVFLFSLIGITPLAERLGYATEQLALYTGPTIGGLLNATFGNATEMIISLYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFAGGLVHPSRDQVFNKASAVVNSGLLLMAVLGLMFPAVLHFTHSEVQYGKSEVSLSRFSSCIMLVAYASYLFFQLKSQRSLYSPIGEQEEEVTEDEEEEKEITQGEAICWLFVLTIWISILSGYLVDAIQGASESLNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWIMGQQMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPDSTGDNK >ORGLA03G0191000.1 pep chromosome:AGI1.1:3:14818868:14825362:1 gene:ORGLA03G0191000 transcript:ORGLA03G0191000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53710) TAIR;Acc:AT1G53710] MQSVTRLTLLLCAAWAAALLYGEMGAYWAARLSCSWPSSSSSPPSSLSPNNHVKIAVVADPQLMDSTSLGLPPSSIALQAAEFYTDLNMRRSFQSVVLPFKPDVLLFLGDHFDGGPYMSNEEWHESLSRFKHIFSMNEHITNPNIPIYYLSGNHDIGYSAFHKIHPEVISRYEKEFGSRNYQFSAGKVDFVVVDAQTLDGAKESKERSSSWEFIKALSPGNKTNPKVLLTHIPLYRPDNSPCGPHRSSPVINQRVSNAAMDQGITYQNYLSKETSDLLLHLLKPVLVLSGHDHDQCTVVHPTPFGPVTEHTLGTISWQQGNLYPSFMLLSSGPKLPANSTDIEHEVLTNLCFLPKQTHIYIWYIFQFVVTLLLLILWPTNGLRSLPYVNSFVSFMRSVAAELFSRTKEKDDEEDGEYEMVWDAEGSMHLVKKAVARTPSTSSDSRTTGRGNVVARPTARKNQPEPDSSVLVEMSSEMISEDGGKVPRSSKLKIRRVLQRLFRVIQSIVVIAALNVPLYMMLLFKDWIDR >ORGLA03G0190900.1 pep chromosome:AGI1.1:3:14806178:14812109:1 gene:ORGLA03G0190900 transcript:ORGLA03G0190900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDAEDLPPAITKLGRHFRLTEAHIWDGWYAAGADVSHRSWRSDDIDSGGCCQTDKVQNKPTKQTDEGDLFVEDLELSNLMGSLGLPVSFSTSKEKKNAPNKVKKNGRRVSYEAANTLIDDDSRTCTGTKETESIVQLMACVEQTNPCSSSRITVGYNEVCQGDIEKMDKDIVYANEQEESGDLCSSKVLSSSKAEDNYEHETCQFHANMNNPVKADSPVRENETAEVVLQLNKEMLGQNSVDNESRFSSAEICMEGGLSTIKDQLSGETPSTSHDNKDVDHETCQSSAEPSPVDNNPAQKSDSSFYFEYGDWRVLWDPFYSRYYFYNILTQESTWYPPHGLEDFASHSNTCIPEDLDEFGSQNKSTPAQEHDQAGGDKHLDEQGQACYSELSNLSDIPDGERINQCMVTFTDEARHTDNIHHQNDSSMSEISEMNQEIGRTKKKKRVRRSKSYHSCQDLAGNISNDIAKYWAQRYSLFSLFDSGIKMDEEGWFSVTPELIAKHHASRVGAGIVIDCFTGVGGNAIHFANKCRHVIAIDIDPQKIDCAQHNATVYGVHDHIDFIRGDFIHVAPRLKGETVFMSPPWGGPDYAKVDVYDIKTMLKPCDGYSLFKLGTSIASRVVMFLPRNIDQNQLADMCLSVDPPWAVEVEKNFLNGKLKAITAYFEQQDGSDVQDASDTNPQNPEYHA >ORGLA03G0190800.1 pep chromosome:AGI1.1:3:14791217:14791921:-1 gene:ORGLA03G0190800 transcript:ORGLA03G0190800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVGSAGGGAMLPAAARRGQPPQPPCMTTAPEQQAAAGGAVIWPAAAAAAEAKEKMVVDARTMQLFPTRSADGVVVSPAPAPAAAQERRRPEVHVTPSVPATAPTAPLTIVYGGQVLVFEHYTAEAAEKLVQRTQHLLAAAAAGVGGNKNNNVTVVTPPPDEPPMLLPPPQMPAASGVSAGGVMPIARKASLQRFLQKRKQK >ORGLA03G0190700.1 pep chromosome:AGI1.1:3:14785690:14787845:1 gene:ORGLA03G0190700 transcript:ORGLA03G0190700.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRSSGDGRAVRGGGRQPARAHLDRKEARGGRGGCAQRSPDEGDAAEEQRCERWGGADGGASTPAISNGRPGLGDFGRKPSPFRADSGDALEHHRNPAGGIVVAPLPSMVESLGENHTLVSRVADGGTISIVTLLNASFGGLSSQTPV >ORGLA03G0190600.1 pep chromosome:AGI1.1:3:14782489:14784735:-1 gene:ORGLA03G0190600 transcript:ORGLA03G0190600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33990) TAIR;Acc:AT4G33990] MRTPALLPRGVEAVVVTSGHLRRLDPHVHAPLLLANTLISAFSRASLPRLALPLLRHLLLSSPFLPLRPDAFTFPPLLRAAQGPGTAAQLHACALRLGLLHGDAFASGALVHAYLRFSRVRDAYRAFDEMRHRDVPAWNAMLSGLCRNARAAEAVGLFGRMVTEGVAGDAVTVSSVLPMCVLLGDRALALAMHLYAMKHGLDDELFVCNAMIDVYGKLGMLEEARKVFDGMSSRDLVTWNSIISGHEQGGQVASAVEMFCGMRDSGVSPDVLTLLSLASAIAQCGDICGGRSVHCYMVRRGWDVGDIIAGNAIVDMYAKLSKIEAAQRMFDSMPVRDAVSWNTLITGYMQNGLASEAIHVYDHMQKHEGLKPIQGTFVSVLPAYSHLGALQQGTQMHALSIKTGLNLDVYVGTCVIDLYAKCGKLDEAMLLFEQTPRRSTGPWNAVISGVGVHGHGAKALSLFSQMQQEGISPDHVTFVSLLAACSHAGLVDQGRNFFNMMQTAYGIKPIAKHYACMVDMFGRAGQLDDAFDFIRNMPIKPDSAIWGALLGACRIHGNVEMGKVASQNLFELDPENVGYYVLMSNMYAKVGKWDGVDEVRSLVRRQNLQKTPGWSSIEVKRSVNVFYSGNQMNIHPQHEEIQRELLDLLAKMRSLGYVPDYSFVLQDVEEDEKEQILNNHSERLAIAFGIINTPPRTPLHIYKNLRVCGDCHNATKYISKITEREIIVRDSNRFHHFKDGYCSCGDFW >ORGLA03G0190500.1 pep chromosome:AGI1.1:3:14761639:14767619:1 gene:ORGLA03G0190500 transcript:ORGLA03G0190500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Splicing factor, suppressor of white apricot (InterPro:IPR019147); /.../72 Blast hits to 5479 proteins in 321 species: Archae - 0; Bacteria - 89; Metazoa - 5155; Fungi - 712; Plants - 341; Viruses - 39; Other Eukaryotes - 1336 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G36980) TAIR;Acc:AT4G36980] MWHEARKSERKVHDLMDAARRRAQRRAAYLARRRGDPHQSLQVSGARCRVHRDDALYQATEDQQGLIPWNGKQDVLIDRFDGRALLDFIRDSSSRPFRVQEKSEEEEELEEFVNFERYRDLIKHRRRGFSDEAGLQHVSQELEAKAILPFSFEKPQSSQTPASKGTYSQVGYSYKGDGNEESEDLNSDDEDEEEEDEEDEKGFSSDDSSDERMESIAKEFGVKRYNWLVYMDKKAKEEEKRQKEIIKGDPSIKKLSRRERRKVSQIEREREREAARSVGRVSYRDPYREQRRSPSYDSYSRGRRSRSRSRSRSPSYSRRHGRGTHAESNYRSKPKTPRVEYITEFGGSDDTSDLKVAGISPPSSPIRVGIPNRSSGGQILEALHSDPASSLSVEQEKSTKNLKAPTSSTSALVKLSKGAAGGPGKTVQTEKKETPQERLKRIMSKQLNKQIRKDTAAEIAKKREQERQRQEKLAEVGRYRGRSRSLSRSPPRRRHYSRSRSRSRSPRRYHSRSQSHSRSPSRSPRYRSRSRH >ORGLA03G0190400.1 pep chromosome:AGI1.1:3:14739205:14743925:1 gene:ORGLA03G0190400 transcript:ORGLA03G0190400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRELREKENRSKMRIAKAPRKQHQVSLHSSSSPNLNKGTVSLAEPAKKASLDSVMAVESRVIDPAESSVALKGDKASMTPIGQPLVNAESHTNYYAKKPIHSQPSSDAVNSGKLVTSLSEENNKTMPISTPFNIGTWDNSQLNQQVMPLTQTQLEEAMKPGKFEQAGSGFSLEPNNALSPTLGSEKAFPSSASPINSLLAGEKIQFGAVTSPTVLPPVSRTITSGLGPPGSSRPDMKIDRNLPGDSNSTAILFDKETSTTKEPSPNSDDVEAEAEAEAAASAVAVAAISSDEIVGSGADATAASASDNKSFGNKNLAGLASGGQSSTDEPLSVALPADLSVDTPPMSLWHPLPSPQASGPMLSQFPGAQPSHFSCFEMNTMLGGQIFAFGPSDECAGSQGQQPQRSNALPSAPLGAWPQCHSGVESFYRPPTGFAGPFISPGGIPGVQGPPHMVVYNHFAPVGQFSQMGLGFMGTTYIPGDKQPDWKQNQGPPVVGVSQSDPNNQNMVPGQVSSPSVPTPVQHLRPTSIMPIPSPLTMFDIAPFQSSTDIQMQPCWPHMPVAPLHTVPLSVPLQQHPMDGTAAAQFVHNIQVDNKASSNNRFQEPSASVVPADNSKNIPNASATQFTDDLGLVEQPASTSSNAQTVQPSFARVGMISNEVPNSAKVMGRSSNTPNVNPGIATGVSNSNGSQVASMPSKPHQSSSSSGQQYQHQVNNQDRRSRVTQKTGAVNEWQRRSGYQGRNQNSGSDKNLGTGRMKQIYVAKSSSASGHAPSG >ORGLA03G0190300.1 pep chromosome:AGI1.1:3:14720435:14722990:-1 gene:ORGLA03G0190300 transcript:ORGLA03G0190300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLNTNDHGTARTRDGEETASVPVENPDSSHEMEKKLTHNLTENGQAPTGHTVPSLPDTVSKLKPAQESDDSLKDKTDLPTSTSKTEVNNISENGSTNQSTMLSDESRTKEDKMNHHENIAATTNKKAETDARPESPYRGLIDTAAPFESVREAVTKFGGIVDWKAYRSQTLERRRVMQLELEKAQQEIPQFKQDSEACEMAKLQVVEELGRTRRLVEELKHKLERAEIDVDQAKQDSELAQLRAQEMEQGIDDEASVIAQTQLAVAKERHQKAVDELKLVKEEMRSTHEKHTVLASERDIAAKRAEQAISAAKETEKRVEELTLELIAIKESLESAHAAHHEAEEHKLGAALAKEQDCLAWEKELQQAQEELQQLNMQLVSKTDAKSKIDENTHMLQILSKELAAYTENKMSEEAGVIEEDGSDEAKEISRSIKRALASTRKELEGVRGNIEKAKDETNLIRAIAESIKSEVDKEKASLVTLQQREGMASIAVSSLEAELNRTKEEIEMVYIKEAETREKMAELPKMLQQAAQEAEDAKVAAHSAQEELRKAKEEAEQTKAAAATAEIRLRAVLKEIEASKASEKLALVAAQALQESEETSSVEDSPRTITLPISEYHSLSKRVYEAEELANERVAAALAQIELAKESETRTLERLQQETKEMHKKKDALQIALQRADRAKEGKLGAEQELRKWRAELEQRRKAAKHVANPWTAPPIRSPEQKGSYKEDDAVLTEPNSPMSNSSTDDFVVDQKLRKKKTFFPQMSSILSRKAQT >ORGLA03G0190200.1 pep chromosome:AGI1.1:3:14717509:14718050:-1 gene:ORGLA03G0190200 transcript:ORGLA03G0190200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSITLKIPAMRCRAIISSPPPMTLEEFKKWFMKFDTNNDGRISGAELREAIRSKDFGFSAWWKSIVVLHQADKDRNGYIDEFEIENLVTFAQKVLGIKITTWQQHLDNVQKAVKGVLAVTSVSVLAVHFDNYNEQKLKLNPFMFFGQYFFIAY >ORGLA03G0190100.1 pep chromosome:AGI1.1:3:14713439:14716600:1 gene:ORGLA03G0190100 transcript:ORGLA03G0190100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQGEVDGSGVPLAVLLKRELCNQKVERPDMLFGEASKSKKGEDFTFLLPKCSRRPGQAQADGEDAGGAGDDDTISVFAIFDGHNGSAAAIYTRENLLNNVLAAIPPNLTSEEWTTALPRALVAGFVKTDKEFQTKAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEGSVYFLSADHRLDTNEEEVERVTASGGDVGRINIAGGAGIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPHVKQVKLSNAGGRLVIASDGVWDALRFQEALNYTRGLPAEAAASRIVKESVSSKGLRDDTTCIVVDILPPEKLSPPLKKHGKGGIKALFRRRPSDELTEDQMDRGCLEPDVVEEIYEEGSAMLAQRLKINYPTGNMFKLHDCAVCQLVMKPGEGISVHGSIPRNSRVDPWGGPFLCSSCQLKKEAMEGKQHLTNSQPTVQPVLK >ORGLA03G0190000.1 pep chromosome:AGI1.1:3:14705033:14707806:-1 gene:ORGLA03G0190000 transcript:ORGLA03G0190000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) TAIR;Acc:AT2G26550] MPLAAAVAASAVVPPRPPPPPPRRARPLRSFTGLILTRDLAALTVARCAPSPPAPAAEAEAEAVAVDEAPPAKPRPRRYPRQYPGEAVGVAEEMRFVAMRLRNPKRTTLKMDDTGAEEEVGDGVSEDASASEEEEEDDDDVVEEEEEGAGLEGEWMPSMEGFVKYLVDSKLVFDTVERIVAESTDVAYVYFRKSGLERSARITKDLEWFGGQGIAVPEPSTAGSTYATYLTELAESNAPAFLSHYYNIYFAHTTGGVAIGNKISKKILEGRELEFYKWDSDVELLLKDTREKLNELSKHWSRKDRNLCLKEAAKCFQHLGRIVRLIIL >ORGLA03G0189900.1 pep chromosome:AGI1.1:3:14688031:14691616:-1 gene:ORGLA03G0189900 transcript:ORGLA03G0189900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKAEEKPAGGGGGGEDWWCYQFGNKDALIVKSSKKSPLALRMVVFAMTMICGIFICTMCMKQLGSDSLSRIVKIEVAEQLCNKSAILHSEVHFVHYPQPITYSRSECKCTPVRFFAIISSQRSGSGWFETLLNSHMNVSSNGEIFSSKERRSNISSITKTLDKVYNLDWNSSASKNECTAAVGLKWMLNQWQSRISIPLILCLQGLVANHADIADYFNRRGVSAIFLFRRNLLRQLVSQLANNHDRYLKQLNGTHKAHVHTAYEASILARYKPRLNTTSLIRSLKQVDDYTHDALENLKSINHITIYYEDLIRNRTKLLDVLDFLKVPRSKLVSRHVKIHTKPLSEQIENWDEVYNALNGTQYESFLNADYRI >ORGLA03G0189800.1 pep chromosome:AGI1.1:3:14685323:14686289:1 gene:ORGLA03G0189800 transcript:ORGLA03G0189800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPMWLPFGGAELRETAVAELEPHVQRLPGQLVQPRTRRATPSPPPHDDDGDEFDLRPPPSRVHELEEVPGEFRHVGDAVMSDDANSTRRMTVSLMAPSFLARWHANATDSRSSGPPRKMAAIWVPTFWSPWQFLAAVVSSLVDILVGSWKLAPTVHGASSSTLRLSSWQWCSRAPRRTWRAPVSCGRRRRRRAAAPAIGFVAGDNLTVPLRCACPSLPQVTVVVAAALDARHGMTCP >ORGLA03G0189700.1 pep chromosome:AGI1.1:3:14681413:14681823:1 gene:ORGLA03G0189700 transcript:ORGLA03G0189700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLNLIFVLGIIFFLSSDMIIVCSQGRPLIAEAAAAAAAQQQRHLLSSSSSAPRSGGDVEEAAAGGGKGTTTAMTQGTLSPDAAESGGGGGGVGIVEDARPTAPGHSPGAGHAFTNKNGVGRRLLVVTISTLI >ORGLA03G0189600.1 pep chromosome:AGI1.1:3:14651034:14651342:1 gene:ORGLA03G0189600 transcript:ORGLA03G0189600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKSSNSISKAFFLVLIILASQVMLSHGIPLEMHRRYLLSHAADATKGVMEGTITPTEGEGFAGANDDVRPTNPGHSPGIGHAFTNNKIGRKLLLAADDV >ORGLA03G0189500.1 pep chromosome:AGI1.1:3:14641079:14641561:-1 gene:ORGLA03G0189500 transcript:ORGLA03G0189500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFSSQGRPLPDDDGITSEMQIRRYLLSHGNRVVEGAVSPSSEIGGPMVGASGGVRPTNPGHSPGIGHHVVINGNVDDDDVRPTNPGHSPGIGHHAIVNGADDADDVRPTNPGHSPGIGHAVVNGADDDADDVRPTNPGHSPGIGHAFVNKIDGPAGKKKL >ORGLA03G0189400.1 pep chromosome:AGI1.1:3:14613546:14615129:1 gene:ORGLA03G0189400 transcript:ORGLA03G0189400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:I1PBZ8] MAASYSCRRTCEACSTRAMAGCVVGEPALAPGQRVTLLAIDGGGIRGLIPGTILAFLEARLQELDGPDARLADYFDCIAGTSTGGLITAMLAAPGDHGRPLFAASDINRFYLDNGPRIFPQKRCGMAAAMAALTRPRYNGKYLQGKIRKMLGETRVRDTLTNVVIPTFDVRLLQPTIFSTYDAKSMPLKNALLSDICISTSAAPTYLPAHCFQTTDDATGKVREFDLIDGGVAANNPTMVAMTQITKKIMVKDKEELYPVKPSDCGKFLVLSVGTGSTSDQGMYTARQCSRWGIVRWLRNKGMAPIIDIFMAASSDLVDIHAAVMFQSLHSDGDYLRIQDNTLHGDAATVDAATRDNMRALVGIGERMLAQRVSRVNVETGRYVEVPGAGSNADALRGFARQLSEERRARLGRRNACCGGGEREPSGVACKL >ORGLA03G0189300.1 pep chromosome:AGI1.1:3:14601227:14606072:-1 gene:ORGLA03G0189300 transcript:ORGLA03G0189300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1PBZ7] MDRPYPPLLPLALLALLFLCLLHGERAAAAADDDLTSGTGDGSELWGYVQVRPKAHLFWWYYKSPQRASSPGKPWPTILWLQGGPGASGVGLGNFLEVGPLDVNLKPRDSTWLQKADLIFVDNPVGVGYSYADDPSALVTTDWQAATDATELLRALAAKEIPTLQSSPLFLVAESYGGKYAATLGVSLARAIRAGDLKLNLGGVALGDSWISPEDFTLAYTPLLLEVSRLDDNAGDEASKMAATVKEQITAGQLADSQQSWIDLLGFIDKKSASVDMYNFLLDSGMDPVSADLPAASSSSPSSSSAQLMKYSTYLSSQAADSGSNTIDGIMNGVIKEKLKIIPKNLKWQELSDPVYNALVNDFMKPRINEIDELLSYGINVTVYNGQLDVICSTIGAEAWVKKLKWDGLKNFLSLPRQPLKCGSSKGTKAFVRSYKNLHFYWILGAGHFVPADQPCIALSMISSITQSPAS >ORGLA03G0189200.1 pep chromosome:AGI1.1:3:14599122:14599250:-1 gene:ORGLA03G0189200 transcript:ORGLA03G0189200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIKSPIVGFLLYSIKEINLLKEAYPEETEFKENAAWQVIY >ORGLA03G0189100.1 pep chromosome:AGI1.1:3:14587811:14588227:-1 gene:ORGLA03G0189100 transcript:ORGLA03G0189100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLLIVLVASILHTVSSATVYDVLQQNKLPRGLIPQGVTSYVLHPDGHLEVTLPGECNFAVTVGGSPYKFCFDSKFVGLIKSGSISEINGVRVQVKYLFQAIVQVDQAGNQLTFKVGTSSISFHISDFTSSPVCG >ORGLA03G0189000.1 pep chromosome:AGI1.1:3:14571209:14572093:-1 gene:ORGLA03G0189000 transcript:ORGLA03G0189000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTSSGSSQGTRSSRSEDDLNLQAQMEKKRKRRKESNRESARRSRMRKQQHLDELTSQVNQLKNQNQQLSMALSLTTQNLVAVQAQNSVLQTQEMELQSRLCALTDILMCMNNTSATPTPTIPATTTSACDIFGASSWNQPPIDLYQYQCF >ORGLA03G0188900.1 pep chromosome:AGI1.1:3:14569090:14569209:1 gene:ORGLA03G0188900 transcript:ORGLA03G0188900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGAQDMKLKGLKRALKEQKARLYIIRRCVAMLIRWHD >ORGLA03G0188800.1 pep chromosome:AGI1.1:3:14558676:14559541:1 gene:ORGLA03G0188800 transcript:ORGLA03G0188800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIRVVVVSMSNAHEQSAGMYGHLILSAARRMVLNHGAAPGYCASAPIKGPSMITLLMFHCIGSHGEGEEEEGEAAECPEGAEGQALHHPTLRRHASLLE >ORGLA03G0188700.1 pep chromosome:AGI1.1:3:14539546:14540001:-1 gene:ORGLA03G0188700 transcript:ORGLA03G0188700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSPSSRSQGSTSVLLQAAMQRPCPSSQVQAPALLQAAMQPPSSSSQASVAALFQGAPARQPPPPRFGADGPMLPAPPPRRRLGLPHPQPPYSGVQATESMEGHAPWETLNPLSHEAPGVDFSTAYSVSDEEFLHRSNFGKAVPDSFDV >ORGLA03G0188600.1 pep chromosome:AGI1.1:3:14534053:14534667:-1 gene:ORGLA03G0188600 transcript:ORGLA03G0188600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLALRVLSLLQLSGGGVAAISGGTNDGSERWGYVQVRPKAHLFWWYYRSPHRASSPGKPWPTILWLQGGPLFAESN >ORGLA03G0188500.1 pep chromosome:AGI1.1:3:14517048:14517395:-1 gene:ORGLA03G0188500 transcript:ORGLA03G0188500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATDHIGGEDRVEALAAGRWGRRRRPDPCPRGQIRIPEADSAVVVGSHRRRRSSLAPVTPSSWRPAVGDGGRGAAAHLLLASARCRALLTAATHR >ORGLA03G0188400.1 pep chromosome:AGI1.1:3:14498839:14503755:-1 gene:ORGLA03G0188400 transcript:ORGLA03G0188400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRMQDHPVGVGYSYADDRSGLATTDVQAAADATELDKALASRILPDLKSSPLFIVGRAYGGKLAAMIGASLAKAIRAGDINLTLGGVVIGNGWISLADFSTFTGRDTAISYFKFSLVIRVPIRLTYARMLSDVSWLDGNAVDDVNKMAGKVKEQTAAGQFATSLQTFTDLLYLIDSKSDSVVSVLQPLFNLLIKRFYFXYPHLPLLSCALQXYKQWKWQXITAMQTIGHVIFSDKSXMKIKIFVARLFKLLNGAFNAKTFYIKVAIKYHINLLFKFVIIKTQSIIRXYHLVLRKKNFIFILRRKEHHRSSVSLYLYRDRCCRDSACNWSSXHQQFNYMTGTGMGMMLITGDNTPKARSSPLTMYLGRDISTIMNGVIKRKLKIIPKDMWQQWSLDVYEAMKNDFMRPAINVVDELLSLGVNVTLYNGQRKPLHFCLPYYIPNGFVKAHKNIYIDLMRLVQIKLMRLTVCFWNL >ORGLA03G0188300.1 pep chromosome:AGI1.1:3:14482161:14485732:-1 gene:ORGLA03G0188300 transcript:ORGLA03G0188300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1PBY7] MHRREFALFLLYLKMDTHALPLLCILCLTTLLQLDGGAAISGGTNDGSERWGYVQVRPKAHLFWWYYRSPQRVSSPAKPWPTILWLQGGPGASGVGLGNFLEVGPLDGDLKPRGSTWLHKADLIFVDNPVGTGYSYVEDDALLVTTDGEAAADLAALLRALAAKEVPSLQSSPLFVVAESYGGKYAATLGVSLARAIRAGGLKLTLGGVALGDSWISPEDFALSYGPLLQQVSRLDSNGADSASKKAQVVKQRIASGQWKLAQYALSSMLTSIVASSGHVDVYNFLLDTGMDPVAAGAAPARSFPPAYSAYLDSKLSVGDSIRSVMNGAIKEKLKIIPKDVVWEEQSYTVYNALINDFMKPRIQEVDELLSYGVNVTVYNGQLDVICSTVGAEAWVQKLKWDGLKNFLGLPRQPLHCGSSEVTKGFVRSYKNLHFYWILGAGHFVPVDQPCIALDMIGSITQSSVQSHP >ORGLA03G0188200.1 pep chromosome:AGI1.1:3:14462217:14463434:-1 gene:ORGLA03G0188200 transcript:ORGLA03G0188200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGSGGGGVASGRVQAERWLEIAGKLLAARDLVGCKRFAERAVEADPLLPGADELLAVTDVLLASQSVLPSGQADPLAVLQLPPSTNPADHAAVSRAYRRLALLLRQDTNPHPGADVALSLVHDAYAILSDPNRRPPPPVAVAVPHAHPGAASHPAAPVAAESPEFWTACPFCSYVHQYQRELVGRALKCPNESCRKGFVAIEISTPPTIVPGTEMYHCAWGFFPLGYPTSADLNGNWKPFYKMFPWNNAPAGGGGSGGRGGYGGRGGGSAGRQPQNGSARGGSSRGRVKKTTARKKVGAGLKRRSFGGVESGIEPMDGQDGWGEGEEGGDGQAEEVRGININEEAQSTDGATRGHVHARGNVSGGVVDMGTFHLDVDPSEDILGNLQNLPFLRVDNLGRML >ORGLA03G0188100.1 pep chromosome:AGI1.1:3:14455633:14458853:1 gene:ORGLA03G0188100 transcript:ORGLA03G0188100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLAYGLWKYIFNKTEFHVLILGVDKAGKTTLLEKIKSIYLKGEGLTPDRIVPTVGLNIGRIEDANAKLVFWDLGGQVGLRTIWEKYYQEAHAIIYVIDAAAASSFEDAKSALEKVLRHEDLKGAPLLIFANKQDLPGVITDEELARYLQLKELDERPYKFQAVSAYDGRGIKSGIDWVVEQMERSKRTEVLRARAGLTGQI >ORGLA03G0188000.1 pep chromosome:AGI1.1:3:14452688:14453293:1 gene:ORGLA03G0188000 transcript:ORGLA03G0188000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTCHITCPVTVTPAHDDGEERGDGEAASLFLPSLFLQIRHPNKLVVGSARAEALCRPPRRHPPSCPAWTKHPSSCAAMVYINELRTRVAYPEAYMRQAAVGCDVRQARQLRALVSFPRHFACHYAATVSKLLGSSESNERAPDDDGP >ORGLA03G0187900.1 pep chromosome:AGI1.1:3:14447352:14447998:-1 gene:ORGLA03G0187900 transcript:ORGLA03G0187900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLFMRKGSTPRELVALAGAHTVGFSHCGEFAHRLYSFKSARALQSSCTNYRSDPTISIFNDINRIAATALVPSLPPPQLADPRTRLLLPLHEDDLVVELPGLEPEQAVPDLDVEQLWTEGILVSPVQKMVEIQ >ORGLA03G0187800.1 pep chromosome:AGI1.1:3:14431592:14432213:-1 gene:ORGLA03G0187800 transcript:ORGLA03G0187800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWQLSGDRQQRPESRSEGVGPERRWSAVARDGGGGGTPAIWGGRAAACDSVEGFDRPTIAIAVVEPPRSPSPRPPRSPSRAPAASVVVAVTEPAAAAIAEPAAVAIDVAEPAAATVAVVEPAGVYRCS >ORGLA03G0187700.1 pep chromosome:AGI1.1:3:14399803:14400750:-1 gene:ORGLA03G0187700 transcript:ORGLA03G0187700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVNPWCHWSNPPWTESSANNLHPPDVSLDNTNSVALPTYLNSDGYIYSGVAASMPSIAASVTDRPVSFSSRFVTTLVPSVGLSTAETLRKRPLVFFHNVNNTFTVGPLLSKGTLDTVPELQGSNETNVTDVGAQNTECMHENTEEIDALLCSDSDEGCLKVQELNNRVRKYPMQNDTMSVESVASAGASQPAKKRRLSSGTDRSVVDTASSARPDHSVDQKHLSHDDDAQSCCIGEVESDHQFALREGEEAEGDDGPDDRKRRRERIQETVAALRKIVPGGIAKDATAVLDEAICYLKYLKLKVKTLGAVSL >ORGLA03G0187600.1 pep chromosome:AGI1.1:3:14391413:14391628:-1 gene:ORGLA03G0187600 transcript:ORGLA03G0187600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIQPRWKRIRPPPPTTRRRIWPPDGQIDRAHGGGGGARGGNRASSWQERLSWQRWWCEAHSDGGDNRQ >ORGLA03G0187500.1 pep chromosome:AGI1.1:3:14382457:14387335:1 gene:ORGLA03G0187500 transcript:ORGLA03G0187500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:I1PBX9] MARMLLHGVIDAKIVEADLSVTSDGQLRPSRKTLMKKKVFSWIKKKLPFCNSCQTQQVENAVGLGPLSGKLYATVDIDKARVARTRTVEPTGTPRWKESFHIYCAHDAGDVIFTVKAENPVGATLIGRAYLPVDEGLAAGAPVSDLWLPICGEGRRPIDGGDKIRVQLRFTGVAADPAARWGAGVGSGAYQGVPRTFFPQRRGCRVRLYQDAHIADGFAPRIQLAGRRWYEPRRCWEDVFDAISSARRMVYVAGWSVNTDVVLVRRPSSSSETLGELLKRKAEQGVTVLLLVWNDRTSVGLGPIRRDGLMATHDQDTARYFEGTKVHCVLCPRNPDQGRSYVQDVETATMFTHHQKTVIVDGGGGGGNTAPGLVSFLGGIDLCDGRYDTQEHPLFRTLDTTHRGDFHQPNFPGASIAKGGPREPWHDIHCRVEGPAAWDVLDNFEQRWRKQAGRGKDSLLVTLDRSMAARDADQADPEHWNVQVFRSIDGGAAAGFPESPDEAAAAGLVSGKDQVIERSIQDAYIHAIRRARDFIYVENQYFLGSSYAWRGGEGGVASVEGINALHLVPRELSLKIASKIAAGERFAVYVVVPMWPEGVPESDSVQAILDWQRRTMEMMYRDVEAAIQAKGIRADPTDYLNFFCLGNRERLPVPGGDSYEPTERPDPDTDYMRAQNARRFMIYVHAKTMIVDDEYIIVGSANINQRSMDGGRDTEIAMGAYQPSHLASVNRPARGQVHGFRLALWHEHLGRAAAASAAGELLRPSSLACVRLVNQAARRHWDAFARGDGDGAPPTEDLPGHLMAYPVRWTGGGGSDGKLVAATETFPDTKAKVLGAKSDVLPPILTT >ORGLA03G0187400.1 pep chromosome:AGI1.1:3:14372378:14375321:1 gene:ORGLA03G0187400 transcript:ORGLA03G0187400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease [Source:UniProtKB/TrEMBL;Acc:I1PBX8] MTSLPGRGVSPSSSDPLCEGNAAPSSSSSSGQDLKQWKNSILSCVFSSPFSIFEAHQDSSANRSLKPHSGSYAWSRFLRRIACTSSMWRFLGASKALTSSDVWFLGKCYKLSSEELSNSSDCESGNAAFLEDFSSRIWITYRKGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPSQKPYSPEYIGILHMFGDSEACAFSIHNLLQAGKSYGLAAGSWVGPYAMCRAWQTLVRTNREHHEAVDGNGNFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKNQSTWSPILLLVPLVLGLDKLNPRYIPLLKETLTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQLAVDIAADNLEADTSSYHCSTVRDLALDLIDPSLAIGFYCRDKDDFDDFCSRASELVDKANGAPLFTVVQSVQPSKQMYNEESSSGDGMDSINVEGLDGSGETGEEEWEIL >ORGLA03G0187300.1 pep chromosome:AGI1.1:3:14370507:14370941:-1 gene:ORGLA03G0187300 transcript:ORGLA03G0187300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VARGVSLPADMETAKDAGIWEVLLLRYFDLQAAPWPLAAMIRAFSMLHNRMLADPSFLFKVGTEVVIDSRCATFAEDFWAEFELYDP >ORGLA03G0187200.1 pep chromosome:AGI1.1:3:14358917:14361831:1 gene:ORGLA03G0187200 transcript:ORGLA03G0187200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRGQLPVEDVLRVNGSRRFAAALAAASPFASLADALLAARRIWLNEVDVNGWLEAFAAHPAIGTTSSSAPKWCKEEQSAALATATDSTAQELADWNARYREKFGFVFMICASGRTAPEVLAELKRRYENRPIVELEIAAQEELKITELRLAKLFASEPVAPPSSTVGGPTSQSDKAADRMRIIGAHLGSHTQHSANKAPEITGSSNRTRPPITTHVLDVARGSPASGIEVHLEMWKDASTPPSFNNKDFNGWATLGSSVTNNDGRSGQLMDIVNNVAPGFYRISFNTSKYAPSGFFPYVSIIFEIKKNQTTEHFHVPLLHSPFSFTTYRGS >ORGLA03G0187100.1 pep chromosome:AGI1.1:3:14356933:14357616:1 gene:ORGLA03G0187100 transcript:ORGLA03G0187100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:I1PBX5] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ORGLA03G0187000.1 pep chromosome:AGI1.1:3:14347779:14352042:1 gene:ORGLA03G0187000 transcript:ORGLA03G0187000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKAPSLAEEYSLPPQEAPVEKAVEDKPQEAESIAVTNDETPQADETATAVEVNPETSEVQEVADKSEVEDTNPAAEETTETAEEEAEEKPEIKIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGEDAPECDKFAKYYRSLCPGEWVERWNEQRENGTFPGPL >ORGLA03G0186900.1 pep chromosome:AGI1.1:3:14338354:14342028:-1 gene:ORGLA03G0186900 transcript:ORGLA03G0186900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVMRDIGSGNFGVAKLVRDVATNHLFAVKFIERGLKIDEHVQREIMNHRSLKHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTITRIVSVQYSIPDYVRVSADCRHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPIEMTDEYQRSMQLADMNTPSQSLEEVMAIIQEARKPGDAMKLAGAGQVACLGSMDLDDIDDIDDIDIENSGDFVCAL >ORGLA03G0186800.1 pep chromosome:AGI1.1:3:14332109:14334118:-1 gene:ORGLA03G0186800 transcript:ORGLA03G0186800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S6 [Source:UniProtKB/TrEMBL;Acc:I1PBX2] MKAQFNIANPTTGCQKKLEIDDDQKLRAFYDKRISQEVSGDALGEVCLFVQEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLAKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIAQKKQRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSAATKAAATTA >ORGLA03G0186700.1 pep chromosome:AGI1.1:3:14324011:14330935:1 gene:ORGLA03G0186700 transcript:ORGLA03G0186700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT1G78280) TAIR;Acc:AT1G78280] MAAGNGRREAALGGLAALPDEVLCAVVDLLPPTDVGRLACVSSVMYILCNEEPLWMSKCLSVGGLLVYRGSWKKTALSRLNLCSESDEIYQKPRHFDGFNSMHLYRRWYRCFTNLSSFSFDNGHVERKDDLSLDQFRAQYDGKCPVLLTKLAETWPARTKWTAQQLTHDYGEVPFRISQRSPQKIKMKLKDYVSYMELQHDEDPLYIFDDKFGESAPTLLEDYSVPHLFQEDFFEIMDYNQRPAFRWLIIGPERSGASWHVDPGLTSAWNTLLCGRKRWAMYPPGRVPGGVTVHVSDEDGDVDIETPTSLQWWLDIYPNLAEHEKPLECTQLPGETIFVPSGWWHCVLNLDMTIAVTQNFVNQSNFKHVCLDMAPGYCHKGVCRAGLLAAPDKSIRDIENLPSITSRWNHSDMARKEKRLKSSEPIRTSNNANQCSAFEFSDVHENLGDQVFSYDIDFLSQFLEKEKDHYSSVWSPTNSIGQREAREWLRRLWVLKPELRELIWKGACLAINVDKWYSCLEEISACHSLPPPSEDEKLPVGTGSNPVFIVSGNVIKIYAEGGLGYSIHGLGTELEFYDLLRKLGSPLINHVPEIIASGFLVYLDGVYKTVPWDGNGIPDVLAKYYSLEVSYANGSFPLGLWSKQLFGLSNSTDAPDRPICPYMVTRKCKGDIFARIRDKLTKTDVLNLASSLGVQMRNIHQLPLPHVEHISKSGNEDIKAKENSISDVTHVPPEWKQVVSTLDRRKKSIKKHLSNWGGSIPQVLIEKAEEYLPDDIRFLIKFVKDDDGDSVYVVPSWIHSDIMDDNILIEGTTEPGTSTDCIAVEDLNKMDAIHIIDFSDLSIGDPLCDLIPLHLDVFRGDIDLLRQFLRSYQLPFLRAESNKDIYKSIQNSKFSRASYRAMCYCILHEDNVLGAIFSLWKELGTATSWEDVEHLVWGELNQYQQSCSVGEIN >ORGLA03G0186600.1 pep chromosome:AGI1.1:3:14313522:14318006:1 gene:ORGLA03G0186600 transcript:ORGLA03G0186600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:I1PBX0] MSLATSSSMAGGAAVVPRSATATTASAFVTMKRRATAVRAVHAAEPSKNPPVGVPSAAKTSSPSVAAPEKAPVAAAPAPVAPAPAATKQVAPARWAVDSWRTKKALQLPEYPNAAELEAVLKTIEAFPPIVFAGEARHLEERLADAAMGRAFLLQGGDCAESFKEFNGNNIRDTFRVLLQMSAVLTFGGQMPVIKVGRMAGQFAKPRSEAFEERDGVKLPSYRGDNINGDAFNEKSRIPDPQRMVRAYAQSAATLNLLRAFATGGYAAMQRVTQWNLDFTQHSEQGDRYRELAHRVDEALGFMSAAGLTVDHPLMTSTDFWTSHECLLLPYEQSLTRQDSTTGHFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPTELVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRHAGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHDQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGDRYHTHCDPRLNASQSLELSFIIAERLRRKRIRSSKLNNMLPLPPFGV >ORGLA03G0186500.1 pep chromosome:AGI1.1:3:14296407:14298298:-1 gene:ORGLA03G0186500 transcript:ORGLA03G0186500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARPPRGTAWCGGCGAYLAVPPGARSVRCALCRAVTRVERRGHHGGHGGALGFIKGLISAFAPPPPLTPSAGAAAAASYYPRVRGKKRALLVGISYAATGYELKGTVNDVNCMSFLLRERFAFPADCILVLTQEDGDPYRVPTRANLLAAMRWLVEGCSAGDSLVLHFSGHGVQKLDVDGDEADGYDEALCPVDFERAGVILDDDINETIVRPLVAGVKLHAIVDTCHSGTILDLPFLCRLSRTGYWQWENHCRRPELAKGTSGGLAISISGCGDSQTSSDTTAFSGGAATGAMTYSFIKAVETEPGTTYGRLLSAMRSTIRGGGGEVGIPGPLGAFFRRVITFSCAQEPQLCASEPFDIYRKPFLL >ORGLA03G0186400.1 pep chromosome:AGI1.1:3:14278901:14282605:-1 gene:ORGLA03G0186400 transcript:ORGLA03G0186400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGRKRALLVGVSYKGDTSRELTGAAEDVKDMNSLLKKFLFPEGSIHMLTEELGAKDPLKAPTRENIMKEMRWLVEGCRAGDSLVFHFSGHGRQRKDDNGDEVDGRDEELCPVDYKESGNILDDDINDAIVKPLTQGVKLHAIIDTCHSGTMLDLPYLCRFNRMGLCSRYKWVGQTRWRLSPKKERAMVPVGGHAISISGCKDYQNSLEPDNTAGGGVMTRSFLEAVGSRRTMTYGELLDSMRAKVHHRLQQSSSGKCLVTGCLGSLAAKCLPCCFLSVQEPQLCSSEEFNVYEEQFIL >ORGLA03G0186300.1 pep chromosome:AGI1.1:3:14274062:14275522:-1 gene:ORGLA03G0186300 transcript:ORGLA03G0186300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSCLVGKGGNTRPAATMSARPSSSRCAHCGAGLAVPRPGPGGAAAVTTVRCALCHRMTRVDRRGGRDLGGGGGGGALEAASSSWAPAEASFLRRDAPSGYPFVPGRKRALLVGVSYKGTSYELEGTVNDVDCMRRLLGESFGFPADSILVLTEELGDGDPSRSPTRANLLAAMRWLEEGSDAGDSNGVPLLRPRRAEAGRERRRGGRLQRGALPRGLRAERQDPRRRDQRDHRPAPRRRREAPRHRGHVPQWHHPGPPLPLPPLPDGLLAVGEPLPPPGARQGHQRRPRHLHQRLQRRSEIRGFSSEQAAAAAAIGAMTYSFIRAVESEPGTTYGRLLAAMRATIREGQQGSGVRRLLPGRLGSFVRKMIPSGGVQEPQLCASEVFDIYRKPFLL >ORGLA03G0186200.1 pep chromosome:AGI1.1:3:14254628:14256049:-1 gene:ORGLA03G0186200 transcript:ORGLA03G0186200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFGGRALGFGGGGGCGAVRCRHCSASLPAMPGARVIQCAQCYGVTRVGGRGRRRHPNPVEPWRPAVPMPVAGGGFFPGSRGKKRAVLIGITYASMRRRGSQLMRGPVNDVKCMRYLLCERFGFPNDCVLILTDEEKDPCRLATKENIRMAMNWLVQGCSSGDSLVFHFSGIGVQVPDDDGDEVDGYDEAICPMDSFSQGPILDDEINEAIVRPLVHGAKLHAVVDAEHSSTVLDLPFLCCLSSRSGGWQWEDHRPPTGAYKGSSGGQAMLFSGCSDGNNKHSLLPEASTVGAMTHSFIKAVECEPRATYGSLLTTMRSIMRDGGVTCNLQGPIGAPIRKVANFSGIQEPNLSCSEMFDIYRKPFVL >ORGLA03G0186100.1 pep chromosome:AGI1.1:3:14251996:14253630:1 gene:ORGLA03G0186100 transcript:ORGLA03G0186100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPTAAAGAPAPQPAAPRRRPPCVLSFSLARDRFLRRRFLSAGLRPFSIRLPSPAGAGTTVHVWAPPRPARRPVLLLHGFGASATWQWASYLRPLLAAGFDPIVPDLLFFGDSCTLAADRSEVFQATAVKAAMDAIGVRRFDVVGVSYGGFVAYRMAAMYPEAVDRAVMVCAGVCLEETDLAAGLFPVAGVAEAAELLVPSRPADVRRLVHLTFVRPPPIMPSCFLRDYINVMGSDHNQEKTELLHTLINGRKLSELPKISQPTLIIWGEQDQVFPMELAHRLERHLGEKSRLVVIKKAGHAVNLEKDKEVCKNIVEYLREPILSALNGEKEVQLH >ORGLA03G0186000.1 pep chromosome:AGI1.1:3:14236040:14237330:-1 gene:ORGLA03G0186000 transcript:ORGLA03G0186000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRPPCCDESSVKKGPWTREEDEKLVAYVDQHGGHGSWRSLPKRAGLNRCCKSCRLRWINYLRPDIKRGNFTPEEEQAIITLHSVLGNKWSTIATRLPGRTDNEIKNYWNTRLKKRLIGAGIDPATHRARPRPPAPGDLATALPQLVALASLAVDLAVGHAAAAGGAWGAANGAVDYQQADAAAAQLQCLQHLLLQPQTTPATSATSGGGGHPTELNAASSFLTQAVASYAAAAATPLPSLVVPGGSQPLELKRWQDHIGGDHVGAVSPFAGAATVTGHHGGGGGGGPSELTALLCSANAIGDLQSSNLDF >ORGLA03G0185900.1 pep chromosome:AGI1.1:3:14228064:14230394:1 gene:ORGLA03G0185900 transcript:ORGLA03G0185900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDVEVPAEVLADGSLRCYAPEHQSGRVPFYVTCSNRIACSEVREFEYRDSDAQYMETSHSQANGINEMHLQIRLEKLLTLGPDDNQLLVCGNEKLELINAINSLMLDEKWSDQGSPSGSKDVVTPRNQSLKKLMKEKLHCWLIYKIYDCEKGPNILGKEGQGIIHLAAALGFDWAIRPILVAGVNVNFRDAHGWTALHWAASCGRERTVGVLIANGAAAGALTDPTSEFPSGRTPADLASTNGHKGIAGFLAESALTSHLSALTLKESKDSNAEEACRLTIPEDLPEMNYGQLAVQDSHAESLKDSLSAVRKSAQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDEHTFSLISLQKVKQGQHDTHLHSAAVRIQNKFRGWKGRKEFMIIRQRIVKLQAHVRGHQVRKNYKKVVWSVGIVEKVILRWRRKGRGLRGFRPEKQLEGQTQIQPAKTEDEYDYLQDGRRQAEGRLQRALDRVRSMTQYPEAREQYRRLTTCVAEMQQSRV >ORGLA03G0185800.1 pep chromosome:AGI1.1:3:14221440:14222663:1 gene:ORGLA03G0185800 transcript:ORGLA03G0185800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRRLELLGEVAKLASSLHLPEDVSYTCETAAYFWLLHVYARWEQFLAACAQNQDKPSFVKDRFPFSEFFSDTPQPTFTGESFEKDMHAAKGCFKHLLTIFQELEECRAFELLKSTAERANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGVPYIELNAQGRARPSIAELYNWRYRELGDLPYVREESIFHKANSGFSYDYQLVDVPDFRGRGESAPSPWFYQNEGEAEFIVSVYIYMRLIGYPANKISILTTYNGQKLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSLNSTGAPP >ORGLA03G0185700.1 pep chromosome:AGI1.1:3:14208683:14212711:1 gene:ORGLA03G0185700 transcript:ORGLA03G0185700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGRAAADGVGFAGSSARAGAWWSVGRAGRRERGRWETAARRSSRDEQIERAPPSPGGEELRTNGNIGLVEIAIVLFVTLYFRLGQIDRNLGAVNIAHLYHMMHRQLLIAAQVRAAXPNEQQPNILSKSNSPIGGTLTQGTPCGVNGEHVDMALVVVHELGEAEVVELTNGGRGVVRDG >ORGLA03G0185600.1 pep chromosome:AGI1.1:3:14204782:14207825:1 gene:ORGLA03G0185600 transcript:ORGLA03G0185600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1PBW0] MHTLPIKMKRGLLILWSLFCLSVANTAARNKANKPLEFDQLKIPSKYGSEKQDDLREKDRVRAMPGQMEEAEFNQYAGYVTVDAKAGRALFYYFVEAPHDPLKKPLVLWLNGGPGCSSFGAGAMLELGPFSVRSDNKTLYKKQHAWNTVANMLFVDVPAGVGYSYSNTTSDYYNIGDKKTTDDAYIFLINWMKKFPEYQDHDFFITGESYAGHYIPELANLIVSNNRAINSTNIKLKGVAIGNADLHDNVTLRASFDYYWRHAMISDRVYRAIQTSCGFNETYTNDCQNAMNLANKEKGNVDDYNIYAPQCHDASNPSPSGSSDSVAFGDPCANHYVSSYLNNPEVQRALHANTTGLNYPWMDCSGLIFDNWKDSPETMLPSIKTLISSGTRIWLYSGDMDAVCSVTSTQYALDILGLPVETSWRPWRIDNEVAGYVVGYRGLVFATVRGAGHMVPYYQPRRALALLSSFLEGKLPPE >ORGLA03G0185500.1 pep chromosome:AGI1.1:3:14199694:14202066:1 gene:ORGLA03G0185500 transcript:ORGLA03G0185500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1PBV9] MSKEAFTLRLFFLLLVHGAFADQAARVLEFSRSRMEMRDDQYTTEHTSQRANHQLYMSSQDGLKEADKVSELPGQPGRAGFDQYAGYVTVNATSGKALFYYFAEATDDPSTKPLVLWLNGGLTCEFYRMTKLYLEISGPGCSSLGDGAMLEIGPFFVNSDNRTLSINRYAWNNVANMLFLESPAGVGFSYSNTTSDYDNTGDTSTAADAYTFLTNWLERFPEYKGRDFFITGESYGGHYIPQLANAILSNNNITNVTIINLKGVAIGNAYLDDSTNTRATIDYYWTHALISKETHLAVQRNCSFNGTYMAQCRNALAEADTEKGVIDPYNIYAPLCWNASNPRQLHGSAINVDPCSRYYVESYLNRPEVQRTLHANTTGLKQPWSGCSNIITPENWKDAPVSMLPSIQGLISSGVSTWLYSGDIDAVCPVTSTLYSLDILELPINSSWRPWYSDDNEVAGYVVGYKGLVFATVRESGHMVPTYQPQRALTLFSSFLQGILPPE >ORGLA03G0185400.1 pep chromosome:AGI1.1:3:14186278:14188182:1 gene:ORGLA03G0185400 transcript:ORGLA03G0185400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:I1PBV8] MTKHGAVVVPEDAVVAAAAVGRHFSFPPPRTGGVGGDSCKKLAAQQIDLGAAVVGSWLDSMKASSPRHRLVAPAVAAAAADAEHDEWMWWQEKHPSALGKFEALAAAAKGKRIVVFLDYDGTLSPIVEDPDRAVMTDEMRDAVRGVAARFPTAIVSGRCRDKVLSFVGLEELYYAGSHGMDIQGPTNAAASKGGEEEEESVLCQPAREFLPMIGEAYAALVEKVEGVIPGAKVENNKFCLSVHFRRVDERRWGAVADQVRAVLRGYPRLRLTQGRKVLEVRPAIKWDKGEALRFLLSALGFSAAGDGEDDGDDDDAFPIYIGDDRTDEDAFRVLRARGHGAGILVSRFPKDTCASFSLRDPGEVKDFLRKLVTCAAA >ORGLA03G0185300.1 pep chromosome:AGI1.1:3:14125140:14128102:1 gene:ORGLA03G0185300 transcript:ORGLA03G0185300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTSALDYKEGKDMQGIPWERLNYSRNQYREMRLRQYKNYENLTMPRDGLQKECKQVERKDTFYDFHLNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLQRGKEVLNVAGQLAPSQNVRGAMPLSRVQISTMAVKGNLMVAGGFQGELICKYVDKPGVAFCTNLTGNNNSITNSVDIYQAPNGGTRVTAANNDCVVRTFDTERFSLISHFAFPWSVNKTSVSPDGKLLGGSWXQLRLPDRRFTIWQGNGEAAGSPGLLVLVGVAPRRPGARDGEPGQDVPAVGRAQPVAVGGGAGGPDRRRQGPPVLAGRTLPRRCRAGRLRPRLRRRRGLRRRAGDRPVRGDRRRRVQPRRE >ORGLA03G0185200.1 pep chromosome:AGI1.1:3:14117035:14118392:-1 gene:ORGLA03G0185200 transcript:ORGLA03G0185200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGGGGGVRVRLGLGTTSKPASQPPSPXIRAGPAFSGDLSAMASRRLFASLLRSAAAPRTPSTPGYLFNRAAAAAYSSSAPYNGQGFPPPQSETASRLGLFSRPGDTRQPSYGDRLMQSQQLSQDYRARTQANNAPRFGDTMSRIAGGENSSYFGTPSRIFDEHKQSLVKGKRDFVHVLLKRNKTFVTVTDVRGNKKTGASAGCLEDRKGRSRLSKYAAEATAEHVGRAARKMGLKSVVMKVKGTTFFNKKKKVILSFREGFRGERVREQSPVVLIHDVTQLPHNGCRLPKQRRV >ORGLA03G0185100.1 pep chromosome:AGI1.1:3:14107294:14107641:-1 gene:ORGLA03G0185100 transcript:ORGLA03G0185100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLCDDLLDSILLRLDSPVCLIRAASVCKRWRRVAAADDAAGFLRRIAPSTVQPSTATTRPDTKIPSKRISILADGRYPSSSRRCRHRSPLAMTSSAPTVRSSTAAAPSSCSGG >ORGLA03G0185000.1 pep chromosome:AGI1.1:3:14095456:14097281:1 gene:ORGLA03G0185000 transcript:ORGLA03G0185000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARAAAVACLLVVGLAAVAGVDGATASSPAPAPAVDCTAEALKLADCLDYVTPGKTAPSRPSKLCCGEVKGALKDSAAVGCLCAAFTSKTLPLPINITRALHLPAACGADASAFSKCLAPAPSPSVAPGTSSGSGGAAAAPAKGAAAARSPMASTTAVLVVATAVAAPLLAFFHF >ORGLA03G0184900.1 pep chromosome:AGI1.1:3:14088512:14088931:1 gene:ORGLA03G0184900 transcript:ORGLA03G0184900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAGSAAVACLLVVLGLAAVAGVDGATASSHPAPAPAPAPAVDCTAAEALKVGACLDYVTPGNPPRNQPSKACCGEVKGVLKDIAGVGCLCAAISTHALPLPINATRVLHLPAACGADASAFTMCLGQSTYFDLLLL >ORGLA03G0184800.1 pep chromosome:AGI1.1:3:14083527:14085707:-1 gene:ORGLA03G0184800 transcript:ORGLA03G0184800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEGSNPPPPQAAPAARPQPITPAEFLSWKQRKDAEEAARKAEAAQKREADIASGAVQMNGRELFKHEPWVFDNSIY >ORGLA03G0184700.1 pep chromosome:AGI1.1:3:14077408:14078435:-1 gene:ORGLA03G0184700 transcript:ORGLA03G0184700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARPDGHLCPHALELLHLRFRHQHFVPESPLYGWDWEWISCQMQRDAEEAARKAEAAQKREADRASGAVQM >ORGLA03G0184600.1 pep chromosome:AGI1.1:3:14061569:14062105:-1 gene:ORGLA03G0184600 transcript:ORGLA03G0184600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLWTEGTVWRSVVMRSTRDKPRRQGKHQPTCCYSTALATKMNLKMSVAQHGLPVWPRPAACVSNYPYAQKRHQESLACCSRQSFRLILTSYDPSNTDSPFIRPSSAKFVLIVTSSNDKAILLQALCAGGIDYFVMDHLDHSSLAITRLPNMPPRVWGIRDMGLMRRDGSSCSYVVAWC >ORGLA03G0184500.1 pep chromosome:AGI1.1:3:14029202:14029759:-1 gene:ORGLA03G0184500 transcript:ORGLA03G0184500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKKGHLFEYPLNRDRCVGVSSNGLRYVEVRAHRQQHRLSKSPVAPPPLCDDCRAGSVTSSVLYDHSGAWAEERTLKLADVWRDESYRSTGLPKEVVEFPLIDPFDGNIVYFCINEGKDGDGREFCVHLGTKQIKAYSSSYKGLNNGALEPCSQRV >ORGLA03G0184400.1 pep chromosome:AGI1.1:3:14023917:14024165:-1 gene:ORGLA03G0184400 transcript:ORGLA03G0184400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGRGARAAAAHRRRSAGGGTTRDQIQGSAMNGDEQCADNDDGAPQCAEDDTVAIRDGNLTRLLVYPWVKTLIELGLFSI >ORGLA03G0184300.1 pep chromosome:AGI1.1:3:14014401:14015029:1 gene:ORGLA03G0184300 transcript:ORGLA03G0184300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLEYLAGLFSCGDHHHGHKNSKRRQLQTVELKVRMDCDGCELKVKNALSSLKGVESVKINRKQQKVTVSGYVEASKVLKKAQSTGKKSELWPYVPYSAASQPYVAVAAYDRRAPPGHVRNVEASSAAYVSGGGRTEERLTNLFNDEDPNACSLM >ORGLA03G0184200.1 pep chromosome:AGI1.1:3:14004371:14007873:-1 gene:ORGLA03G0184200 transcript:ORGLA03G0184200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKDELVRRLFESIQSEKEEEEEEQDNETVEVNPAANQASEIQSVSQETTVSITEVHKETVVQVTQEATPPITEVSQSLTVSAAEEPPKVNAVATHEAPLSKAPTDKGEEPPIAGDVSTVQNEHLHAENNTEPFVEKTQDVGTNEAIGALDMTSADVESDMTSSDIKIDATEASKVQQHDTVATTVDAIPTDADPMDTDVATEKAVLNDLGDTTSVYDEERKDSELTNEDEKPIAPKPNDQVPEVSPDLGSPIKCESISSDDISTNKKNNIKDNLNANNFDLELEAKPEMVKPSSGITSIGGDLQPLDDDKDLGKNQSSLEYIDSTANVDEGGSPEKLNLDRSSGDESMEEDVMEIKQVESNIKSEGTAELSSDHVKEVSLPDTVVDDSSVDMKEVIADEKTAASTEKRKLEAEETVAATEPIKRQRRWAADGAKVPERQPISHSGSDAPKEIFQPALKRSFGRSDSTASGDSPKERVVPPSQKPATTSLRIDRFVRPFTLKAVQELLGKTGSLCSFWMDHIKTHCYVTFSSVEEAVATRDAVYDLQWPPNNGNRLVAEFVDPQEVKLKLEPPLPAAAPISPATTPKEPPFQQAQANQNMPRQAAAPREHLPPPPPLTKPPTSDSGSAKERLPPTPKKQPEPPVVTLDDLFRKTHSSPRIYYLPLSEEEVAAKLASQGKGKRE >ORGLA03G0184100.1 pep chromosome:AGI1.1:3:13998597:14000012:1 gene:ORGLA03G0184100 transcript:ORGLA03G0184100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1PBU5] MHANTMELTPLLAVVLLLPPALAVAHMAWTAASRRRHTRCYLLDYVCYKPEDDRTLTAELAGEIVQRNERLGMAEFRFLLRLISRAGLGDRTYVPRNLLDGREELAAGQLDAVDEMDACFDGAVPGLLARTGLCARDVDVLVVNVSGFFPEPCLASRVVRRYGMREDVAAYNLSGMGCSATLVAVDVARNAMRARSPRPVVALVVSTESLAPLWYAGKDRTMMLGQCLFRCGGAAVLLSSDPAHRGRAKMELRRLVRATTAASDDAYSCIMQREDDDGFLGASISKALPKAALRAFAANLKRLLPRVLPAMEIARLAADLAWQNLLQWRRRGQAKLKINLKAGVDHICLHAGGVAVIDAVKKSFGLEERDVEPSRMTLHRWGNTSASSVWYVLSYMEAKGRLRRGDKVLMVTFGSGFKCNSCVWEVAGDMADKGAWADCIDAYPPESKPNPFLEKFAWVNDEVADESASPF >ORGLA03G0184000.1 pep chromosome:AGI1.1:3:13984213:13984737:1 gene:ORGLA03G0184000 transcript:ORGLA03G0184000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAHAPLSAMSPATSHTQLLHLNPDPNVTISTLSPRLNLPFASMYDSTYHTLLADVFPHLCNVILDGSTSRSSSPKLFFTASITATPPAWRQMWSTPALRLIFSLVCPRWRRRCNRFCHARSAASRAISMAGRTRGRRRCRLAANARSAALGRALLMLTPRRPSSSSRCMMQE >ORGLA03G0183900.1 pep chromosome:AGI1.1:3:13932876:13934279:1 gene:ORGLA03G0183900 transcript:ORGLA03G0183900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1PBU3] MELLALVTVLLLAHAVAYLAWTAAARRRQSRCYLLDYVCHKPSDDRKVSTEAAGAVIERSKRLGLPEYRFLLRVIVRSGIGEETYAPRNVLDGREGEPTHGDSLGEMEDFFGDSIAELFARTGFGPRDVDVLVINASMFSPDPSLASMIVRRYGMREDVAAYSLAGMGCSAGLVSLDLARNALATRPRALALVVSTESIAPNWYTGTDKSMMLANCLFRCGGAAVLVTNDPVLRGRAKMELGCLVRANIAANDDAHACALQREDDDGTVGISLSKALPKAAVRAFAANLRRLAPRILPITELARFAAQLLITKKLLRRRATAATATKHTGGDGPRINFKTGVDHFCLHPGGTAVIEAVKRSLGLDDDDVEPARMTLHRWGNTSASSLWYVLSYMEAKGRLRRGDKVLMVTFGSGFKCNSCVWEVTGDMADKGAWADCIDAYPPENTANPYMEKYSWINDVDGDRLII >ORGLA03G0183800.1 pep chromosome:AGI1.1:3:13911061:13911762:-1 gene:ORGLA03G0183800 transcript:ORGLA03G0183800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVGDSTAAANGGGGEAASAAPPEAAGKGSATISVTVVLLVLLVASVAAFLMSPQPGGGKPPVEGVSGGGDSDGTRGGGGGGGLEGVKGAEPVEQAVGPGAAAIPGFNSRLDAFRAWAKLTWMKLRRPHSGEPRRYDDDAGSSGSAADAAKRSLEMTKETVEQAAASAARAAGDAVGKASDKVKGAASPAKRVPSDAEL >ORGLA03G0183700.1 pep chromosome:AGI1.1:3:13908018:13910655:1 gene:ORGLA03G0183700 transcript:ORGLA03G0183700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRHSSVTPGNDSGSGRRGRGSGSGSGSGRRSRGSDRSGEGSGDSSSRTRRSRLSAQSTGTRLMPAFDDAAGDGDGRILGSSSSSPSGGLDLGLEEFRRAQHEASRNPNLQRLLFHSSPVRQPTQDDEVIVMDGVLVDTTSGSGASGRYGLNRQFFDGKGDPRVVRPIKRTSYEMEPQIRRPAQGPGFYMQRPPTPPPTPRGFPPPLPPPGAGAPRGGSATPAMIPGHPGAFYPFPPPSLPGVGPPRGGGAIPGLPAGFPFLLRPPPPLPVPGVICRPPPSPPYFAPPPRATPTVSLAGPPPGFNPKRGLIRRGEAITLPESERPTPPPPPPPLQPTPVAQHKRTEFSWPPKTTAPAVTLLTRAPPLSSAPKQHPESEAPPPAPSSAPSPRKEFAWLLTDEEEELIINVLYGPTNRRRLPVFRRICPD >ORGLA03G0183600.1 pep chromosome:AGI1.1:3:13902485:13905129:-1 gene:ORGLA03G0183600 transcript:ORGLA03G0183600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRAFRKPLTQVSHRGRIYGFALHLLPPPRGAASYTLPPPPPASSPRSSKKNPNPSTPNRHAGREERTTSHRARPNRRSCFPEEKNMSRHPSTKWAQRSDKVFLTIELPDARDVKLNLKPEGQFIFSAKGPADDTPYELDLELFDAVNVEESKAAVAARSICYLIKKAESKWWPRLLKKEGKPPVFLKVDWDKWQDEDDEDIGLGDFGDMDFSKLGMGGPDDDLEDDDEDDTADSANKDDEDI >ORGLA03G0183500.1 pep chromosome:AGI1.1:3:13898845:13901628:1 gene:ORGLA03G0183500 transcript:ORGLA03G0183500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunits of heterodimeric actin filament capping protein Capz superfamily [Source:Projected from Arabidopsis thaliana (AT1G71790) TAIR;Acc:AT1G71790] MEAAMDLMRRMPPGRAETALNALLSLIPDHSLDLLSQVDLPLQVCMDKESVKEYILCEYNRDADSYRSPWSNKYDPPLEDGTVPSEEMRNLEVEANDVFSVYRDQYYEGGISSVYIWEDEDESFIACFLIKKDGEGKRGHMQIGSWDAIHVIQVGPEEEGAAHYCLNSTVMLSLTTDNKQSGTFNLSGSIRRQNHLFSFQMSMTLAVADGHLVNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPEVLNRRLPDS >ORGLA03G0183400.1 pep chromosome:AGI1.1:3:13890252:13897225:1 gene:ORGLA03G0183400 transcript:ORGLA03G0183400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGDGEAAVMRAEVAALRLRVQELERENQRLGKIASRCTCGRSKDDSAASSPVVSESLSSSKQEPQKNVKSHCRGCQVGVVSHCPKRLIALKIMYFGQRFYGFASEAHTEPTVESEIFKALERAKLLVGSRKESCYSRCGRTDKGVSATGQVISLFLRSNIKDAKLDVLDNKSGDFLARFSCLGREYKYLFWKGNLNISEMQKAALKFIGEHDYRNFCKMDAANIVDSLLDITKTPRKPQYKMASEIPLILRSCLFDEVNFMCSSEASQALIEHLKDEYHQYMLQAAIFCEALSCLCSPEPDPFEPRHKKRNHIPLMSRQTELASKQCSIVKGQKVLGSPCRCFYSDY >ORGLA03G0183300.1 pep chromosome:AGI1.1:3:13884399:13885956:-1 gene:ORGLA03G0183300 transcript:ORGLA03G0183300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase [Source:UniProtKB/TrEMBL;Acc:I1PBT7] MAATSARSVAWQWLAVAAAVAAASLIGGTPAEARRGGKESIGFYELRRGEFSVVVTNWGATILTVNLPDKNGHIDDVVLGYKDIGSYVNDTTYFGALVGRVANRIAGGRFTVKGHAFHTYKNDGNNTLHGGHRGFNQVFWSVRERATGHFPYITFYYQSYDGEQGFPGALDVLVTYKIDGDYSFSVTMYARPVDDGKPTPVNLAQHTYWNLRGHGNGTILDHSVQIFASAVTPVGAGLIPTGAVSPVSGTPFDFRAPAAPGARIADVPGGGYDINYVLDGEADGQGVRKVAVVSEPTSGRVLELWSDQPGLQFYTGNFLKGDEGKGGARYVKHGGLCLETQDYPDAVHNAKFPTEIYRKGQEYKHYMLYKFSLAKK >ORGLA03G0183200.1 pep chromosome:AGI1.1:3:13880719:13881381:1 gene:ORGLA03G0183200 transcript:ORGLA03G0183200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGAGRDGILAFAHQLARQAAFLLQASNDLLASLLQSTSRGGGGADILSFANQLARQAGAFLQDSNDILASLLQSTSRGAAAGATAASDEAIQALKDVGGGDVDGGGQKLVCAICLDHDDPSASAAAGWKEMPCGHRFHGGCLEKWLRMHGTCPMCRHQMPAAEVVEGAASEVTTSEPLLLIARVRRSGDGANEEEHYHYYLYEIRVQCSTNVEINP >ORGLA03G0183100.1 pep chromosome:AGI1.1:3:13851113:13851691:1 gene:ORGLA03G0183100 transcript:ORGLA03G0183100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEDDDHRLHPRTRTIADLLNQLDQIHSTSATRTTGGGGGVAPASDEAIEALKDVDAAAITGTGDDVGNQPPPPECAICLHHGAAPAGWKEMPCGHRFHGGCLEKWLRAHGTCPMCRHQMPTTTAPPPPAAEQEDYLDVDEEDDAGDEEEAGVGPPPLELRVVVAHXGGGYGGVAASASTTRRLLAWSTTF >ORGLA03G0183000.1 pep chromosome:AGI1.1:3:13845077:13845940:-1 gene:ORGLA03G0183000 transcript:ORGLA03G0183000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACATVSPPPGRGVIIGAYAHPATMHFHLLHAAGEAACLVDPGLYVATAFRLRRVGDGAWREVPLPQLEDADARLKMHGARSIRLHGNLHWLVQRGSGSAGKLQVLVFEPTRERFRLMDAPPRRRGEEEDLARSRICVLSSGKLCAVAVARATSTMEMWVLDDYHHCSDDARISGWRLMERVSLVMWDGDGRRDLSRTFTSETQVEAVHGEVEGEEVIVRNGGEVDAYSLRRGAWLRVRGISSSGGPVLDVALLAHRDSVVHHDVSFGEASRPLRYPDDIHGQRLFV >ORGLA03G0182900.1 pep chromosome:AGI1.1:3:13840629:13841327:-1 gene:ORGLA03G0182900 transcript:ORGLA03G0182900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6 [Source:UniProtKB/TrEMBL;Acc:I1PI01] MKFSYTVLGGGFGLVTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFSSVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFLMIRKQGISGPL >ORGLA03G0182800.1 pep chromosome:AGI1.1:3:13839207:13839626:-1 gene:ORGLA03G0182800 transcript:ORGLA03G0182800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPTGLLTVPFLENVNKFQNPFRRPVATTVFLIGTAVALWLGIGATLPIEKSLTLGLF >ORGLA03G0182700.1 pep chromosome:AGI1.1:3:13835767:13836141:-1 gene:ORGLA03G0182700 transcript:ORGLA03G0182700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKINPLGFRLGTTQNHHSFWFAQPKNYSEGLQEDKKIRNCIKNYIQKNRKKGSNRKIEADSSFEVITHNKKMDSGSSSEVITHIEIQKEIDTIHVIIHIGFPNLLKKKRSNRRIRERSTKRS >ORGLA03G0182600.1 pep chromosome:AGI1.1:3:13830199:13830510:1 gene:ORGLA03G0182600 transcript:ORGLA03G0182600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPPHAGRSSITLREATRGRRAPAVADVDPAVEVCRRCEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMGTCARRSSTAERKSLPAVRRRSLPAWG >ORGLA03G0182500.1 pep chromosome:AGI1.1:3:13816253:13823767:1 gene:ORGLA03G0182500 transcript:ORGLA03G0182500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRIPLRFPRWAQPSMRYVVTFTTQVPMRRILSKKIMAINTRLEEIIQNKDRYKMDDVNKGIEVTWKASSSISESNSELDDLQQGNLTLYEEHQEELEKALTPTDQELQKNDNRPIVVSVSGKSGVGKTTLVRNVYNIMKKKNCFDVHAMESFAPHLTAPNILHQIVQQLTEDNKNCPRSMVHEMLATALRGKKYLLVIDGEVSRTEWKNIITMLTTLAVGSTGNRIVHIRFDRPEQSSLYYHHHIRLEPLENNVVMKLFHKRLRNQDKQGDAGGPMVLKLKKLLQFDAQYQKLEEYREDICKITEGLPLAVVLLSGLVQTKEFPHEWTEVFKYLSSKKSKRLDNLLSLCFDDLPHELKCCYLYFAAFPPNVVVEARNLVCMWMAEGFLTPRVGKTLEKVGYIFLNELISRNLVNLVLVDDNSTTGTMFVSIQNKVHEFLQFEAHEASFLEVHSGDDIPTLTSARRLSLQNYTDKYAALANPLPKLRSIFSQFEQKPKEQEPKGDQTRQCCTPPQQWVTNKKQKDIRSHIKGLLQGSEFLRVIDLQGIEIGDELPHAIGSVVHLQYLGITSCSLTVIPPSIGSLSGLQTLDVRETNVRKLPLNFWLMIKTLRHVFGFTLKLPKQIGSMKHMQTLDSIELDNCEKDLIGTVGKMVHLENLFVWNITTGNMEALSAALSKLENLRNLALHGHIIPSTVFITISLRRLKSMKLQGKLKFLYEITGMDVCLPNLSMLSLEKTKVSQGFISKLAELPSLETLALYSESYKDEHLLFSSIGFVSLKKIKLDVPTTLKTIEIERGALHILKEFDILSQRPHVKIIAERRIKKLIV >ORGLA03G0182400.1 pep chromosome:AGI1.1:3:13806285:13807469:1 gene:ORGLA03G0182400 transcript:ORGLA03G0182400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTPDLGEGQNNLDYVLALTVENFLQCHLQTIVFKNGTVKSIHHDHVLIRQHHIRVGRQLVNIPLFMVRLDSEKHIDFSLTSPLGGGEPGRVKRKNQKKASGGGGDGDEEEE >ORGLA03G0182300.1 pep chromosome:AGI1.1:3:13802058:13804077:-1 gene:ORGLA03G0182300 transcript:ORGLA03G0182300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mucin-related [Source:Projected from Arabidopsis thaliana (AT2G02880) TAIR;Acc:AT2G02880] MHSLRRALRVPTSASGLRRLSSNRRAPPPSRAAAGAATATTGDDEWNDAWETSWLPGDSPTSSPAPAAPWESPTSGAATVPAISAEVDPDTKAFVADMDERWAERRAASRRPRPAPRAEGAGGAAAKKAQADEYRARKQRVHAALWVKEIEKMEEARLGGGGGGADDIDRLLDSCSEIFDSGNTDFGDSKIPSTAEIKTKPDGWETTSRGQDGSIWDISQREDDILLQEFERRIAFSKQQIASFIKTHIFSRRRPIDGWKYMIEEIGPNARKGKGSVQRLPSVTDPATQPYREEPPAIASGSPFRGNRP >ORGLA03G0182200.1 pep chromosome:AGI1.1:3:13799841:13801384:1 gene:ORGLA03G0182200 transcript:ORGLA03G0182200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSERGDVATAIRPAAADKLVHGPISNKKCRKKVTRKVHKSEREKLKRGHLNDLFGELGNMLEADRQSNGKACILTDTTRILRDLLSQVKSLRQENSTLQNESNYVTMERNELQDENGALRSEISDLQNELRMRATGSPGWGHGATGSPLPVPPSPGTVFPSQQPMQPSPMTTSTVFPLQQPLPQPTVIEPSARQPLELKLFLEAPPAEDPEPSEDQEAPNNVARPQPRYPTEASSWPISLGLPRMEDEQM >ORGLA03G0182100.1 pep chromosome:AGI1.1:3:13793942:13796662:1 gene:ORGLA03G0182100 transcript:ORGLA03G0182100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSTESRTRTTVSVVVLFGLCSFFYLLGVWQRSGFGRGDSIAAVVNEQTKCVVLPNLNFETHHSASDLPNDTGSTEVKTFEPCDAQYTDYTPCEEQKRAMTFPRDNMIYRERHCPPEKDKLYCLVPAPKGYAAPFHWPKSRDYVHYANIPHKSLTVEKAIQNWVHYEGKVFRFPGGGTQFPQGADKYIDHLASVIPIANGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPRDNHEAQVQFALERGVPAYIGVLGSMKLSFPSRVFDMAHCSRCLIPWSGNDGMYMMEVDRVLRPGGYWVLSGPPIGWKIHYKGWQRTKDDLQSEQRRIEQFAELLCWNKISEKDGIAIWRKRINDKSCPMKQENPKVDKCELAYDNDVWYKKMEVCVTPLPEVKTMTEVAGGQLEPFPQRLNAVPPRITHGFVPGFSVQSYQDDNKLWQKHINAYKKINNLLDTGRYRNIMDMNAGLGSFAAALESTKLWVMNVVPTIADTSTLGVIYERGLIGMYHDWCEGFSTYPRTYDLIHANAVFSLYENKCKFEDILLEMDRILRPEGAVIIRDKVDVLVKVEKIANAMRWQTRLTDHEGGPHVPEKILFAVKQYWVVESKSS >ORGLA03G0182000.1 pep chromosome:AGI1.1:3:13792163:13792529:-1 gene:ORGLA03G0182000 transcript:ORGLA03G0182000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHINKVRKNHETRLGFIVPEYFGVLVLEIVTDKRALCKFQEMFKLPSTELIYQSNTPSVP >ORGLA03G0181900.1 pep chromosome:AGI1.1:3:13788263:13788538:-1 gene:ORGLA03G0181900 transcript:ORGLA03G0181900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHTSRLDLFLVCTVVVVVVALHHATAVHGLTRAELVLAPAPAMAPAPAPPANNVVGVDAAKERFAATTAAAQTSKWRVRRGSDPIHNRS >ORGLA03G0181800.1 pep chromosome:AGI1.1:3:13771705:13772628:1 gene:ORGLA03G0181800 transcript:ORGLA03G0181800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCEKEGVKKGPWTPEEDMVLASYVQEHGPGNWRAVPPRTGLLRCSKSCRLRWTNYLRPGIRRGGFSHHEERLILHLQALLGNRWAAIASYLPHRTDNDVKNFWNTHLKKKLALSSSSSSPPTPTTPLVARGQWERKLQTDIDLARRALRDALSVDDAASPAMISSGPPAPAAAAAYALSERNISVMLSGWAAPPPARKGLSACNPAAATTTPGGAAAESASTAGTSSELTADCCSGGGDSSASNCLPSSMLLACDDGDATATAAGVAPLSAIESWLLLDDSGEPQLALDEQLLDVALRNYAF >ORGLA03G0181700.1 pep chromosome:AGI1.1:3:13750909:13757246:1 gene:ORGLA03G0181700 transcript:ORGLA03G0181700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases, transferring acyl groups [Source:Projected from Arabidopsis thaliana (AT4G17910) TAIR;Acc:AT4G17910] MDDEPINAHKLLKEQFVSNLTGSSLLEIAALSTVVPAVVVLRKWSCGDNTRKNSLKKNDDALPGHKGFVHYFSTLAIDYLSIVLPVLLIFTVLAEWACACALSLVMLISILTMFKRSRSHLKAGPNELPLLRADISSYRVSVVLVTCLCILAVDFKIFPRRYAKAETYGCGIMDLGVGSFVVANALVSRQARNFTAMTWNKALMSISPLIFLGFARLISTSGVDYQVHVGEYGVHWNFFFTLAAISILTSVIRIHPKYCGLAGLLVLTGFQTWLSFGLNEYLISNERNGGIISQNKEGVYSIFGYWGMYLIGVSLGYNLFFDNSSKGKSRSSQVVKVWVLAASFWIMAIILDSYVERVSRRMCNFAYVMLVFGQNFQVISVLTLAGFISYEKNLVLEDAFNQNMLGSFLLANILTGVVNLSVDTLSASSGTAFMILLVYSFTLCMIAALAQFCGIRMKFW >ORGLA03G0181600.1 pep chromosome:AGI1.1:3:13742654:13746121:1 gene:ORGLA03G0181600 transcript:ORGLA03G0181600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLTKPPSPRPRRRRCRLCGICLGTALLALLVSSLAHLFSPPQPQPQPPRPSPSSSPPRFAVIIDGGSTGSRAHVFATGPGGRPDLARSAVMRVTPGLSSFADEPARAGDSLRPLINFAREKVGGAAAEVRLMATAGLRLQEGRVQEAILSSCRDVLRASGFRFEDSWAEVIPGSDEGIYAWVAANYALGTLGGDPHKTIGIIELGGASAQLTFVSDEVLPPELSRNFTFGGTTYTLYSNSFLNFGQNAAQESFREILRSKDSKNGTLVDPCAPKGYSRIKEVISRPSSASKSKLENQFADSGDGDFTVCRSSSLALLKKGNEECQYQQCQLGSTFVPELHGHFLATENFYFTSKFFGLKQSSSLSDFVLAGEQFCNKDLSTLRQMYPNRSDDDFSRYCFSSAYIVALLHDSLGVPLDDKRIEYSNQVGDTQVEWALGAFISNIKGVIVEPSATGRSAHRSRPLLAVLLGVFLLGGALCLARWRKPKTKIIYDLEKGRYIITRIS >ORGLA03G0181500.1 pep chromosome:AGI1.1:3:13740748:13742098:1 gene:ORGLA03G0181500 transcript:ORGLA03G0181500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGGGGGGGGIAGKKRKAVGGGGGGGGGGGSGYGERAAAAVVMRREPRRGLGVAELERIRVQLEAAQSLFMIPPSLLPSSSSSSSSSAAAVVATQLRPPPPPPLLPSHVAGVRYGHHHQQQQQYQAITCIKQQQQQQQQPSLFPTSDQSGCFRQELYRMQLQDYRRRRGQPQMELHGETTAAARRSQSIIPFVNLVDDDDEAAAGDGAGKELDLELRL >ORGLA03G0181400.1 pep chromosome:AGI1.1:3:13737933:13739815:1 gene:ORGLA03G0181400 transcript:ORGLA03G0181400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPCAKYGEKRWKGEVTSGSASPVATDGSTYLESTVIVVVPLSRCFTPPHRRRGIMLTRLCKGRRWWRSNGRHERGSVGGRSAVASLGKGKGSVGGSVQAGQWRGEATPAGARAVAGGEKARGQSMAAVSRRSDRDGEIGXVQAECGYRSRSREGGGAEGRRVIDREVRRRRSAWARGGTEGGLRVSFGESKT >ORGLA03G0181300.1 pep chromosome:AGI1.1:3:13730659:13734392:-1 gene:ORGLA03G0181300 transcript:ORGLA03G0181300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGAVLFLLAAAVSLLAAVSTGALDFTYLVTVVGEGSSTSPGSGGGAWWREAWVGARSRAVAPALQVGVWACMVMSVMLVVEATYNSAVSVAARLVGWRPERRFKWEPLGGGAGAGDEEKGEAAAAAAAYPMVMVQIPMYNELEVYKLSIGAVCGLKWPKERLIIQVLDDSTDAFIKNLVELECEDWASKGLNIKYATRSGRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARWVFVNDRVSLLTRIQRTFLDYHFKAEQEAGSATFSFFSFNGTAGVWRTEAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDLRVKSELPSTYKAYCRQQFRWSCGGANLFRKMIWDVLVAKKVSSLKKIYMLYSFFLVRRVVAPAVAFILYNVIIPVSVMIPELFLPIWGVAYIPTALLIVTAIRNPENLHTVPLWILFESVMSMHRLRAAVAGLLQLQEFNQWIVTKKVGNNAFDENNETPLLQKSRKRLINRVNLPEIGLSVFLIFCASYNLVFHGKNSFYINLYLQGLAFFLLGLNCVGTLPDHCCF >ORGLA03G0181200.1 pep chromosome:AGI1.1:3:13729285:13729929:-1 gene:ORGLA03G0181200 transcript:ORGLA03G0181200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTNAPSSMVSIVTPVTNRDSGRPVAGVPPVLVGASVSSAPELRGRAYLVHHVFYEDGSASADGGGGVAPASRASIAALREVEEEDDDGEEASDCAICLDDGEESRETCGSGRRRKEMPCGHRFHGECVERWLGIHGSCPLCRHEMPPATAAEAEEEEVVVAMVHGERVVMRGRRVVLSVLVMGRAHDDGEGPEQRGTDPIPPLPRVLIDDLD >ORGLA03G0181100.1 pep chromosome:AGI1.1:3:13719671:13728025:1 gene:ORGLA03G0181100 transcript:ORGLA03G0181100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPPRSPSSGDPAEAADLAASAAAALASPARVWSSLLARLPSLSDYSRLLSVGRGRGRRRRRAALPLPIRPAAAHSARIAGQMPKAFDILQDVVQHTLSNLHDIQKSLIFWQSKAEGTSSQKLYFMIFERGPRAFVEAAWQTLTRLKSNGSPVPHLLHSASDMVSTKLAVLTCMQHCLAAFLAEVYFEVDKCRKGLTESSDKSLHTLFIVLNSVFSKLEVSFRNAVEGQTLLCTHDGKSPELIFERLPEVDVESSEWTEVLSANAITLIYQNLQKFDDFISDQFSNHKRPRNTTIYWLPYTCGALGLSACSLWLLRHSSLMGSSDIDNWIQDAKESMVGFWDVHVGQPIISIRDELFETFKQRSKREMEKQEVQQTEESLRRMLLDFCGNTSNEKPPQDMSELAMMEIVMKRYEKEAMHPFKGLSSGKLTCALSIQIEKHKLALLEAMLELDQILRANEINFAILAALPAFGVSLLLLFAVRAWATHGRGAEGRGRTARRQRRLLLADVEKRLMEFQNCMANGMEEEACCKFGLTLYTLDRLYKAVESHARETGEWSSLREDMFDLAKPGVGMEDKLVLLSRLKGMYDCLLPSPSGVLPRL >ORGLA03G0181000.1 pep chromosome:AGI1.1:3:13708525:13710683:1 gene:ORGLA03G0181000 transcript:ORGLA03G0181000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEFCQQTSNEKLPQDISDQALMENFMERYEKEWTHPVKNLFGGELAYAMLIQLQKRTVDIKQAMLELDQILKGNAINFAILAALPAFGVSLLLLTVVQAWIMNDQGAEGRGRIARRQRRLLLLDAERKLMEFKNCMINGMEEEACCKFGLTLYNLDRLYRAVESHAEETGEWSRLREDILDLAKPKMSMTDKLVVLSRLKGTYDCFP >ORGLA03G0180900.1 pep chromosome:AGI1.1:3:13703945:13706509:1 gene:ORGLA03G0180900 transcript:ORGLA03G0180900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPPPPSPSSGDPIEAARHAAAAALAVPARLWGSLLARLPSLSDRRPRRRRRPALPLPFRTAAAHSARATGETPKAFGILEDIVQHTLCSLHGIQKSLLFWQSKAEGTNSQKMYFMIFERGPRAFVKATYQTLTRLRSNESPTQYILHSASDMVSTKLAVLTNMQHCLAAFLAEVYCEVDKFKEGLTENSDKSLHTLFAVLNTVFSKLEVSLQNVCEGHTLLFALDGSPSELLFERLPEIDYDNSEWTEASSTDAICLIYQNLQKLDNLVCSQLSRHKKPRHMTIYWLPYTCGALGLSACSLWLLRHSSLMGSSDIDNWVQSAEESIAGFWDVHVEKPVGYFLFMSFYYLSYAFLNDASVS >ORGLA03G0180800.1 pep chromosome:AGI1.1:3:13698704:13699972:1 gene:ORGLA03G0180800 transcript:ORGLA03G0180800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSLPILLVLLSLSSSLSSSSAAAAAGRWTDAHATFYGGADASGTMGGACGYGNTYGQGYGTYTAALSAAMFGDGLSCGACFELRCGGGGGGDRRGCLPPAAGKSIVVTATDLCPANHALPGDRGGWCNPPLHHFDLSQPAFLRIARFQSGIVPVSYRRVACRRKGGMRFTINGHSYFNLVLVSNVGGAGDVHAVAVKAAGGGGGGRKARWQAMARNWGQNWQSGALLDGQALSFTVTTGDRRSVVSYNVAPAGWAFGQTFTGRQFT >ORGLA03G0180700.1 pep chromosome:AGI1.1:3:13693473:13694036:1 gene:ORGLA03G0180700 transcript:ORGLA03G0180700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEPRMVLYLYAQCNWKEKIAATLCNLVGDGLGILTSWDMSWLLLVLLGLCNAGSIQFSLRLANHKHAPYMKLMSGYRLQKGPWMCCSAVDLVPRREMHNMVVPSSHLLLHIKIKGVKHTLKQNVDPFHGSALIFCMWFWLCKPSLIT >ORGLA03G0180600.1 pep chromosome:AGI1.1:3:13683322:13687790:1 gene:ORGLA03G0180600 transcript:ORGLA03G0180600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGGGGGQQFRYTQTPSKVLHLRNLPWECAEEELVELCNPFGRIVNTKCGVGANRNQAFVEFTDINQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIVNNKSPGETAGNVLLVTIEGVQANDVTIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAATASAAREALDGRSIPRYLLPEHVTSCCLRISFSAHKDLNIKFQSHRSRDYTNPYLPVNSSAIDTLQPAVGADGRKVEAEGNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTASVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAHSDKSRDYTIPQGAMQAVPQPPGVPTTSAGWQGNPQAGGAYAPPGAAAPNHGTTGQVPNWNPGNSGYAPAPGAYPGQMYSSPMQYGASGGFSAPAAPPQELHTSQQMPPPQYGNQPGPAGAPGTGQPHPYYR >ORGLA03G0180500.1 pep chromosome:AGI1.1:3:13677800:13680192:-1 gene:ORGLA03G0180500 transcript:ORGLA03G0180500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGHRNSHGKRHSDYAENGGGKRRNPGDDTYAPGPDDTVYRYLCPSRKIGSIIGRGGEIAKQMRADTQAKIRIGESVSGCDERVITIFSSSRETNTLVDAEDKVCPAQDALFRVHEKLSIDDDIGNEESDEGLAQVTVRLLVPSDQIGCIIGKGGHIIQGIRSDTGAHIRVLSNENLPACAISGDELLQISGDSTVVRKALLQVSSRLHDNPSRSQHLLASSMTQPYPVGSHLGSSSTAPVVGITPLISSYGGYKGDVAGDWPSIYQPRREESSAKEFSLRLLCAASNVGGVIGKGGGIIKQIRQESGAFIKVDSSNTEDDCIITVSAKEFFEDPVSPTINAAVHLQPRCSEKTDPESVIPSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVAAEDEEMVQISGDLDVVRHALLQITTRLKANFFEREGALSGFPPVIPYHPLPVGVSEGPKYLGRDTKPLGHDYPYSSGYRGSDDIGPIDSYASYGSSQVSGGGYGAYGGYSGRSGSSGLSGPSSFSYGKRHGY >ORGLA03G0180400.1 pep chromosome:AGI1.1:3:13671708:13673427:-1 gene:ORGLA03G0180400 transcript:ORGLA03G0180400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTALSPHLLPLPSTSSNPASSSLSFLSKPLLPALAVAGWPRRRTSPFVPVAVAVSEEVETEEEEEEGSGGEEFSDDLRVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVIYDKLTGRSRGFGFVTMSSVEEVEAAVEQFNGYILDGRSLRVNSGPPPPREQSSRRAPRGEANRVYVGNLSWGVDNAALANLFSGEGEVLEAKVIYDRESGRSRGFGFVTYGSAEEVENAVSNLDGADMDGRQIRVTVAESKPPRRQY >ORGLA03G0180300.1 pep chromosome:AGI1.1:3:13668171:13670265:-1 gene:ORGLA03G0180300 transcript:ORGLA03G0180300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGPTRHLLPFFFLPSLLSFFLPLLYDRPRGASGGGGRELELMRRPASRRRRRPSGGGNSPRAATVGGGGREKXWEDKGNGGGREKQWEDEGNGGRREKHATAATSTLTAEMAMGGSCVAADEANGSCDEDEAMSARGGGGSCSWRGRGGGSGELVKLQLDLYLRESAAP >ORGLA03G0180200.1 pep chromosome:AGI1.1:3:13656070:13659288:-1 gene:ORGLA03G0180200 transcript:ORGLA03G0180200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSSLPSPAFLAADPAAALPSATILRFPPNFVRQLSTKARRNCSNIGVAQIARAEVGAIPNAKLGQPSAAALAEQALLGSDASLAVHAGNHDQSPLRSIAFPPLLRFFSDPFRAFDIPIEGERLGRRIATDAITTPVVNTSAYWFNNSQELIDFKEGRHASFEYGRYGNPTTEALEKKMSALEKAESTVFVASGMYASVAMLSALVPAGGHVVTTTDCYRKTRIYMETELPKRGITMTVIRPADMDALQNALDNNNVSLFFTETPTNPFLRCIDIDLVSKMCHSKGALLCIDSTFASPINQKALTLGADLVIHSATKYIAGHNDVIGGCISGRDELVSKVRIYHHVVGGVLNPNAAYLILRGMKTLHLRVQCQNNTAMRMAQFLEEHPKIARVYYPGLPSHPEHHIAKSQMTGFGGVVSFEVAGDFDATRRFIDSVKIPYHAPSFGGCESIIDQPAIMSYWDSKEQREIYGIKDNLIRFSIGVEDFEDLKNDVVQALDKI >ORGLA03G0180100.1 pep chromosome:AGI1.1:3:13649049:13652381:-1 gene:ORGLA03G0180100 transcript:ORGLA03G0180100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLHHLLLLLFLAGFLSLSLSPAAALRFDLQSGHTKCISDDIKVGAMAVGKYHVVVPEGGGSTSSSSSSSSSAQPLLPESHRVSLRVTSPYGNSLHYSENVQSGNFAFTASEAGDYLACFWAPDHRPTATVGFEFDWRSGVSARDWTNVAKKGQVDMMEVELKKLEDTINSIHEEMFYLRAREEEMQELNRRTNSRMAWLGFFSLAICLSVAGLQLWHLKNFFERKKLL >ORGLA03G0180000.1 pep chromosome:AGI1.1:3:13641251:13644041:-1 gene:ORGLA03G0180000 transcript:ORGLA03G0180000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAHRLADASATAPPKNAAAVDGVAVAAPAAGGGHGRAPGNKLSLVPLIFLIFFEVAGGPYGAEPAVQSAGPLFALLGFLVFPFIWAVPESLVTAELATAMPGNGGFVLWADRAFGPFAGSLMGTWKYVSGAINGAAFPALCADYVARVAPAVSGGGARVAAIVAFNVAISVLNYTGLSIVGWTAVALGVASLSPFALMFGAALPKIRPRRWRATAADKDWKLFFNTLFWNLNYWDSASTMAGEVERPGRTFPRALLSAVAMTTLGYLLPLLAATGAIDAAPEDWGNGFFADAAGMIAGGWLKYWIEVGAVLSTIGLYSATLSSAAFQLLGMADLGLLPRAFALRAPVFDTPWVGILATAAITLAMSFTSFDTIVASANFLYSLGMLLEFAAFVRLRARLPAMPRPYAVPLRGLPAAAALCAVPSAFLVFVMAIAGWKVYAISAVFTAAGVAVYYLMDLCKARGWLTFSAAAADRGGSGGDAMMYRRQGSTASEVV >ORGLA03G0179900.1 pep chromosome:AGI1.1:3:13637162:13637916:1 gene:ORGLA03G0179900 transcript:ORGLA03G0179900.1 gene_biotype:protein_coding transcript_biotype:protein_coding FATMASRKEEFSAALLLFSARSSTSTVAGEHETPPQDRGHGRHXDRNQHRYWLKATFSATIAGFGGEGGRRMQKKGHLHHHRHRYRLADLEEEKGEDECRERATSTTTTVAATTLGHRQRAWPPPPRGGLLVPPAAVILALGHRRGHLARREEGEEKDGKGQRYVTL >ORGLA03G0179800.1 pep chromosome:AGI1.1:3:13628692:13628847:1 gene:ORGLA03G0179800 transcript:ORGLA03G0179800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSGQKRICVIRDVCGHHRLGFPSRSGLLCCWAAGLWESEKMKKALRAA >ORGLA03G0179700.1 pep chromosome:AGI1.1:3:13622124:13622279:1 gene:ORGLA03G0179700 transcript:ORGLA03G0179700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSGQKRICLIQDVCGHHRLGFPSRSGLLCCWAAGLWESEKMKKALGAA >ORGLA03G0179600.1 pep chromosome:AGI1.1:3:13596006:13603623:-1 gene:ORGLA03G0179600 transcript:ORGLA03G0179600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELTMDQEIQLNQRPSPQRQQQAQEHGGATAPAPAPATPQDDEQQGHQAAVARHGCGGATAERHHQTKLTLLPLVFLIYFEVAGGPYGAEQAVSAAGPLFALLGFLAFPFAWGVPVSLVTAEIAAPLPGNGGFVVWADRAFGPLAGSLLGTWKYLSCVINLAAFPALVADYLGRVAPAVAVPGSRARTGTVLGMTVFLSFLNLGGLSTVGWGAVALGFVSLAPFVLMTAMAAPRTRPRRWAARVHVKGKRDWRLFFNTLLWNLNYWDSASTMAGEVERPERTFPRALAVAVVLIAVSYLLPLMAAVGATDAPPEAWENGYLADAAATKLVRNLKGPATSIPLYQNYNSLHHRRAVAQVLDGGRRGALLRRDVRSAAEQRRVPAPRHGGAGPPPLRLRPPRPRTIRHPVGRRRRLRRRLGCRLLPRLRRRRRHRQPALQPRRAARVRRLPPAPREGGEPLLAQAPLPRPAAAPRARRHVPRAVGVPGVRGRRRRVEGLRRRRRAHGPRRRLARRHEGVQVQEVAQVQHRGCRRPSSATTRCSSSSCW >ORGLA03G0179500.1 pep chromosome:AGI1.1:3:13593826:13595523:-1 gene:ORGLA03G0179500 transcript:ORGLA03G0179500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVWPWLAGLAGEEPPPPQAVVALAAFADGTTSIVLQADHVALAEGDEEENTLVDFSLALNSTNGGAARVLWTSGRFEAASGVALQRQLLARLLDEVITLSPSVSCLSGNLGLGVGGAPESKLDEEIVAGIGNDSAASFFSLTLLLRLFWLCATEAPADTGFLFFQALGADIQRALVDCRPALALFLASLGPDVEERFMRSLGYMLAKLCLLREMQADADQPAPAATRRPRALPAACLSYATEVHGLWVLRGYAPVLAMPRVTCAASTTAPITALPHEAPEEPALRYGLVHQQLEVVAQLEYAVRARRGERFMTVAVRVDNVRVRVARLGFRRDDADADADGGDAHDDAMDGERHFPSRLRLWVGPRFGASYATGPSLGRSTGNPEQDVETTRTVKGAFAAAGATKLANGGVPPRIKAKTRSSARARNRSWRWEQEAEGSAGVFEGVLCDPATGTEISAWRGDNNNNNGGAGDPRNGMRRRYGGPGRAFSKMRGLVVAGDELPEEVTWRVGREAEGRTLPWRVGLKAWLTYLPNQVRSRHFETRCVEWAHEVDLPLAAVNGDER >ORGLA03G0179400.1 pep chromosome:AGI1.1:3:13590471:13590740:1 gene:ORGLA03G0179400 transcript:ORGLA03G0179400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKLGQSCNSGRSQSGEGGQMGNPLSAKDDAEAAARKMKDDFNAFTVSKADDLAKPLKDAGIPYKIHISAVCPSPSAALPSPTRGSSR >ORGLA03G0179300.1 pep chromosome:AGI1.1:3:13578878:13579156:-1 gene:ORGLA03G0179300 transcript:ORGLA03G0179300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIQQEAFVVAGLRGSNGEAEVVPHEAKAAGEEAVAKCMVEASSKEATSPEMEGPLGDVIVRLYEVEATEIEGAGVLPCVIGGRASLNVWA >ORGLA03G0179200.1 pep chromosome:AGI1.1:3:13572586:13575392:1 gene:ORGLA03G0179200 transcript:ORGLA03G0179200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDRRWRGTILLLICLVCNASGTYVGANTIPSPENSPSEFAKIVQSKQTKHARVFIGGADHRSLRSLANTGEEVILTVPNDQLEHMAEFLEEAELWVAANVARFLPATRITHVVAGDDVVARSPGNAYFLLPAMANLHAALAASRLDGRVKVSSAVSGAALQAPPAWAAAAVAGGLLRYLNATGAPLFLKTRPSESTDSMVDAAYGAMRALGFPGGAIPVIAAPVETEEFGGGATTVVYHSYLLQASGGVGGGERRSLATSAGMFCVALQNADAAALQAGLNWACGPGQADCAAIQPGGACYKQNNLPALASYAYNDYYQKMASTGATCSFNGTATTTTADPSSGSCVFTGSSMAGGSNTSVPGASPPTTLSPPAGLTPPVGTSPPTDFSPPAAGTTPPAGGFTPPAGGFGTPPSGGFGTPPSGFGPPGSFNGSGSSFGPSSAFSPYGGAGHRDGGASGARLAAAAALAVLLLSVDLM >ORGLA03G0179100.1 pep chromosome:AGI1.1:3:13558326:13562147:-1 gene:ORGLA03G0179100 transcript:ORGLA03G0179100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGYDYGPSPPREYRRRARSPSPRGRYGGRDRDLPTSLLVRNLRRDCRPDDLRKPFGKFGRVKDIYLPRDYYTGEPRGFGFIQYYDPEDAADAKYHMDGQILLGREVTVVFAEENRKKPSEMRARERVGSRDRSYDRRSRSPRYSRSRSPVYSPRSRSRSRSYSPAPKRKHYSSRSPARRERSLSRSPADSRSRSRSLSDDRRSKSPDRERSLSVSRCFAGDTDKFMILCRKSVRMPIEAQSMT >ORGLA03G0179000.1 pep chromosome:AGI1.1:3:13547493:13549993:-1 gene:ORGLA03G0179000 transcript:ORGLA03G0179000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFSCPVDDYDALEESAAAAAAASSESNSGGGKPAAILKALGSGKLLIEGSLSFKRDQQMSPTSLLQVETEISIKPAAADIAAAPRARFAADGGAAAAESPKHEAAAVKLQKVYKSFRTRRQLADCAVLVEQSWWKLLDFALLKRSSVSFFDIEKQETAVSRWSRARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYDTWLHCESKQPFFYWLDVGEGKEINLEGKCSRSKLLSQCIKYLGPKEREDYEVILEDGKFLYKKSRQILDTSCGPRDAKWIFVLSTSKSLYVGQKKKGKFQHSSFLAGGATSAAGRLVVENGTLKAIWPHSGHYRPTEENFEEFKSFLNDNSVDLTDVKMSPAEEDEEFWGSLKRISSESYPKNTATDNSEDQAAEAEETGNSQMPRVSDEPTCAEIDGCDEPAATRRVDSSSAVAAAAENTEAEEEDQEGGGEQAPVPREKILERINSKKGMRSYQLGKQLSFRWTTGAGPRIGCVRDYPSELQLRALEQVNLSPRSAAAASASSRFSSPQRRSFNGAAAPATPREALRPSPLQHGLVATVAAAD >ORGLA03G0178900.1 pep chromosome:AGI1.1:3:13542057:13545853:1 gene:ORGLA03G0178900 transcript:ORGLA03G0178900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLPLSIEAGEMAMPRGEEVVTDMPSSSAVASGNGIEQPEQNTKDDEYERLVRPAHSVIADDNTVVLPEEPTSRSIWWMKVLLGCFLLILVSCVFVKWGVPFAFEKVLLPIMQWEASAFGRPVLAVVLVASLALFPVILVPSGPSMWLAGMIFGYGWGFLIIMVGTTIGMVVPYWIGSLFRERLHAWLKRWPQQIALIKLAGEGNWFQQFRVVALFRISPFPYTIFNYAVTVTEIKFNPYLCGSIAGMIPEAFIYIYSGRLIRTLADMKYGNYKMTPVEITYNAISFVIAVVLTVAFTVYAKRALNDIKESEGILTEEYGRSTGHKNPHQERSPSRPVPLDDVV >ORGLA03G0178800.1 pep chromosome:AGI1.1:3:13534986:13538259:-1 gene:ORGLA03G0178800 transcript:ORGLA03G0178800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Has 1239 Blast hits to 998 proteins in 204 species: Archae - 4; Bacteria - 71; Metazoa - 421; Fungi - 109; Plants - 87; Viruses - 5; Other Eukaryotes - /.../ource: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MRKNFRKRNLEADAAADHSDDDDARRVALEEIKYMQKLRERKLGIPAAAAAAGASSAASADGASPRGRGGGGGGLAAGGDAEKEDLVLQDTFAQETAVTIEDPNMLRYVENELLKKRGKKVDVKDKEEKDQVNELYTVPDHLKVRKKNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKMLQEKRLAGKTKSDANIPSSYNADFFHRGKDYTEKLRREHPELYKDQGSQANGTGGKSMGGNHPDGAGAGRREAATDELLLERFRKREKFRVMRR >ORGLA03G0178700.1 pep chromosome:AGI1.1:3:13530899:13532601:1 gene:ORGLA03G0178700 transcript:ORGLA03G0178700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GADVYVLWNPLTNACATVSAPAAGGGGGGGQFVGAYAHPATGRFHILHASGKTVGHYYYRKQLAPAVFRVQTVGDAAWRVGPAPPPKITMATTGHAAASSAALHRKLHWLVQSGGRWPAVRKLKLLAFDMSREKLRLKETPERMAAMDLETARISVLPAAGGKLCVFAVEDRGTTVSMWVLDDYHRDHRRSWQLKRRIDLLRDERGWRWRRNLWPALKQVEAVQGAEEEGDKVFVHTRGQVNAYSLRRGRWQGANDVARSVAGKVHVSMVRHEHGVLPLPHEVFSRKTSFLFSPKLARYLPPFGLLLFDPTIFNFPNMTTIFNLPKTPEDNPVPEDNLVPIFDPLDLLFPFSPKSERKIRDKNVQGRLPSKSATLEQRLNPAIKAKSKGKSLRKW >ORGLA03G0178600.1 pep chromosome:AGI1.1:3:13513383:13514462:-1 gene:ORGLA03G0178600 transcript:ORGLA03G0178600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGGVWIATCRPTAGGRATPCGRRTREGGGRRPLRMMGDRGTGFKVPAGDALSQVYIQKNTEWRFLREVDGEGEVGYLLVSPPSSLLTGRWAKGRRPLLTMFYAERRGTIDLTGPPS >ORGLA03G0178500.1 pep chromosome:AGI1.1:3:13506059:13506509:-1 gene:ORGLA03G0178500 transcript:ORGLA03G0178500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDELLLPSFSPSPFHSELKIKDDSVAVEFYSQQEQDGNYRSIVQHGTIYLHFQSCMAPSRRYEVTFGWN >ORGLA03G0178400.1 pep chromosome:AGI1.1:3:13502535:13503732:-1 gene:ORGLA03G0178400 transcript:ORGLA03G0178400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSCCHKKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQEEEDLIIQLHSMLGNKYVLYSSSTHICQSLSRSVPSLTMAAATATALARWSQIAAQLPGRTDNEVKNFWNSYIKKKLRDRGIDPATHKPLAADSSATPTNTTAAAASRSTATCRAVFSDAELQIPTAAAVQQQQQAPLVGAMQLVDGIKMPLDDYWPAAAAAAAPSSSTTTFSAYHHALSMQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYTSGNLIHQQTNPKKTSTAKPRHPYIRLSPFQVIKRFNID >ORGLA03G0178300.1 pep chromosome:AGI1.1:3:13484455:13484748:1 gene:ORGLA03G0178300 transcript:ORGLA03G0178300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLEQWCGGEAAGVVAAGKESAASSAAAAAGCRTPGGGGAREGGRGAAVAGECPGAPRKRRAAPGPVSQMQEQHSQRRDFYSGPDVDAFFAAHNL >ORGLA03G0178200.1 pep chromosome:AGI1.1:3:13465294:13469283:1 gene:ORGLA03G0178200 transcript:ORGLA03G0178200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILLLPLVALLVVAISWLWDYTVVRLIWRPHCIAKEFREKQGIRGPAYKFLGGNNGEISRLKEEADDQVLDNLRDHNYLPRIAPHFLKWRAQYGEAFLFWYGAKPRICIFDYELARQILSSKSGHFLKNDAPPTLVALMGKGLVLLEGTDWVRHRRVINPAFNMDKLKMMISTMTGCAQSLAKELRGCRSQEQGQSNRGRPQPKIQRANSRYYCPYCLRQQLPIRQRGLPGTA >ORGLA03G0178100.1 pep chromosome:AGI1.1:3:13449401:13451384:1 gene:ORGLA03G0178100 transcript:ORGLA03G0178100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISIWRALCILVWGKASNLHLQLWVGQADYFKQVRTFSEEXHYPNSVGVAWQGIDWERHRRVINPAFTMDKIKMMTKTMVACAQNMVKELEDQASSNKNGETQVELDKQFQELTADIISHTAFGSSYKLGIEAFHAQKELQEIAVKSLLNVQIPGFSYLPTKGNWRKLTLEKKLRGTLMQIIQSRLSSKGSGYGSDLLGLMLEACIATDQGREQHQLSLSIDEIIHECKTFFFAGHETTSLLLTWTVFLLSVYPEWQARLRLEALRECGKENPNGDNLSKLKEMSMVFLETLRLYGPALFLQRKPLTDITVGETKIPKDHAIIIPSAIMHRDKEIWGDDADEFNPLRFQNGVTRAAKVPHALLAFSIGPRSCIGQNFAMLEAKSVMAMILKKVLIHTFP >ORGLA03G0178000.1 pep chromosome:AGI1.1:3:13447416:13447672:1 gene:ORGLA03G0178000 transcript:ORGLA03G0178000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAPPGVDVADPGIPGRAFARDSLERCRTSKKLEQSAESYRFPAHRLNPMHYLLCXAISSRDRG >ORGLA03G0177900.1 pep chromosome:AGI1.1:3:13442866:13446002:1 gene:ORGLA03G0177900 transcript:ORGLA03G0177900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLLAVAAAAAAWVWWGRYAWRARAVARRLAAQGVRGPRRGGVLRGCNDEVRRRKAAAEADGVAMDVGDHDYLRRVVPHFVAWKELYGTPFLYWFGPQPRICVSDYNLVKQILSKKYGHFVKNDAHPAILSMIGKGLVLVEGADWVRHRRVLTPAFTMDKLKVMTKTMASCAECLIQGWLDHASNSKSIEIEVEFSKQFQDLTADVICRTAFGSNSEKGKEVFHAQKQLQAIAIATILNLQLPGFKYLPTKRNRCKWKLENKLRNTLMQIIQSRITSEGNGYGDDLLGVMLNACFSTEQGEKRDELILCVDEIIDECKTFFFAGHETTSHLLTWTMFLLSVYPEWQDRLREEVLRECRKENPNADMLSKLKEMTMVLLETLRLYPPVIFMFRKPITDMQLGRLHLPRGTAIVIPIPMLHRDKEVWGDDADEFNPLRFANGVTRAAKIPHAHLGFSIGPRSCIGQNFAMLEAKLVMAMILQKFFFALSPKYVHAPADLITLQPKFGLPILLKALDA >ORGLA03G0177800.1 pep chromosome:AGI1.1:3:13441960:13442514:1 gene:ORGLA03G0177800 transcript:ORGLA03G0177800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQPMPMPPPGPGGDAPPAPMMMPGMAMPMTTGMSFTWGHRAVVLFPRWPGDRAGVGMYLLCLLLVLALAALAEALSAASRRLDLDLDLSRSRGRRRRRQLLAAGVHAARMGLAYLVMLAVMSFNAGVLLAAVAGHAAGFLLARSGLLGSRAAPPEIDAAAAAAAAAATSNGSSLHPSSEPKP >ORGLA03G0177700.1 pep chromosome:AGI1.1:3:13440817:13441002:-1 gene:ORGLA03G0177700 transcript:ORGLA03G0177700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDNVVAIDAFLSDTPHVSTSQADCILSSMSRISIPAQTKVKGIDRSSVAIVAIICAVEW >ORGLA03G0177600.1 pep chromosome:AGI1.1:3:13440270:13440595:-1 gene:ORGLA03G0177600 transcript:ORGLA03G0177600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPPAMADRTATFVDLVIAIILPPLGVFLKVGCEIKFWICLLLSFFGYLPGIIYAVWVIVNH >ORGLA03G0177500.1 pep chromosome:AGI1.1:3:13434618:13435199:1 gene:ORGLA03G0177500 transcript:ORGLA03G0177500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAARSVSVADRALRGVADLIKLLPSGTVFLFQFLSPLVTNNGHCAAAYSKALSGALLALCGAFCAFSSFTDSYVGSDGRVYYGVVTAKGLRTFAADPDAAARDLSGYRLRAGDFVHAALSLLVFATIALLDADTVACLYPALEVSERTMMAVLPPVVGGVASYAFMVFPNNRHGIGYQPTRATEDFEHKH >ORGLA03G0177400.1 pep chromosome:AGI1.1:3:13428331:13429656:-1 gene:ORGLA03G0177400 transcript:ORGLA03G0177400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTVVDDPSAAAAAAVGAAASSSFPDADVYGNGDSDDIDFPSDPNHATTQAFSSAAAAAAAAGPGGGGGAGSGSGGERRPLFQRLWTEEDEIVILRAFAEFTAQRGTAFASHQYDTDPFYEDMRRRLQLDFSKSQLVEKLRRLKRKYRNCVDRLRATGQSFSFRSPHEQAIFEIARGIWRPTSDKHGRDADSDDDALPDAAAAVAVPAPANGEVRFPSSTRAQQRRGRRRRTAAAARRHRHRRRCIRAAAALPTGSRAGARQGGGRAPALLPAGRGGHRHRARPRRGSRLGGGVGGGERGGRHPGAAVQGDGARHAHRRDGAAVAGAAGAGDPDERGEVEAAADPGAGGVPPAHRPAAGPGEDGAGGAQVHAAGDAVTSAPHSTLSLSLTGKEDEGVCFADRISRRGWDSRGIIMIIPCVILIQVAKYSSVSLTFSASFT >ORGLA03G0177300.1 pep chromosome:AGI1.1:3:13424082:13427312:1 gene:ORGLA03G0177300 transcript:ORGLA03G0177300.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGLPRPAPRRPRRHRRASPPRGARAPSRFSPXGNPPRVAPPVLDALSSTGSSLNQVPGAKDGTDKKMLVDMLFWAFDNPPPGNYLLISGDQDFSDLLHRLRMKRYGILLAQPSTVSSRVLAAAARTVWSWEKLVAGESLLVESTHTHGLPDCNPKLNSLDVSKCSQNKSLDASKCSQNKSLDGSKCSQSKSLDGSKCSNSKVHAVCGNGDSNVKACDRYKVKPLQKYVKKTNAVSSSTNNQGQVVVVDGFSDDSAGSTGSEQDKSSVSSSSSSSESLEVDLPSLLGTTPLADLPSLLGTPPLAQSSAQEPVVSTSSQQVGPLNMTVKSKLHSEYDIAEKNAKKGNQSKSNPIQRYVKKTNITSSPASNQLDSDGMPECSTGNTPSKLNQLPFLPPPNSESLEETKVDHSRSLGIFTLPQSSSQAPVLSTHLHKVEEPHELIVGKQPSCTSTELASRDGTNDSRVSIGHYHSTSMQSQSSLAQHTLHCNYNMGDKVGKAKARNQHKVTQRQQYIKKANIVSSSAHNEIDLVKGFSGNSKGSILSNTSKSVLTSSISESLEEAKANCSTPLKNPPFSLSSVNKPVTPTDLQHLGSEFIFGSNHSLSTECMPKDGIFYFGDINGQNSPACQQAHSSLLPEIHKSIPPSAAGHSNSVNSDVGSSYPASTGFNGVPSAQIQTSPSGLTFQNMLDICSDFSRLTISECPPGTSEKRPPFQGMPSNYTAFGMPNTSGHLRVPHEIGSTFHPGSVVSFHPSHSSARQCARSPSCNMQNTGDHGETEGSPPSSSEPEVTIRNILHALHILKAEKIFPTESNIADCIRYSEMNISGFDVKKALELAIRHQAVIMKKLVNDMPLFVAKDESIWKCVNVTNSNAKHSKETLDAVHKYISSTDGWSAMKNSQSRYQAATILKKSCLQQHALGDVLQILQIIIVRKKWLLPHSSGWQPLSINTTVVDATAVAVGEARS >ORGLA03G0177200.1 pep chromosome:AGI1.1:3:13417463:13421185:1 gene:ORGLA03G0177200 transcript:ORGLA03G0177200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYSEPAARAVAEEVARWGGMRQTGVTLRYMMEFGARPTERNLLRSAQFLRRELPIRIARRALDLDSLPFGLSTKPAILKVRDWYLDSFRDLRCFPEVRNRDDELAFTEMIKMIRVRHNHVVPTMALGVRQLKKDLGGTKAFPPGIDEIHQFLDRFYMSRIGIRMLIGQHVALHEPDPEPGVIGLISKRLSPMLVAQHATEDARAICMREYGSAPDVNIYGDPDFTFPYVKLHLQLMMFELVKNSLRAVQERYMNSDKHAPPVRIIVADGAEDVTIKISDEGGGIPRSGLSRIFTYLYSTAENPPDLDGRNEGVTMAGYGYGIPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLH >ORGLA03G0177100.1 pep chromosome:AGI1.1:3:13412106:13412639:1 gene:ORGLA03G0177100 transcript:ORGLA03G0177100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVKESCHKCGYEPNNRLTCCNPNDPIKFELLQFCISALWPFDNEPMILRSFSPFQYSHLKDVLVTGYKGAIEQPEFLAHIAELLTVDTVEAPWNDIFGYVIRIKHQLRAPFSSNCS >ORGLA03G0177000.1 pep chromosome:AGI1.1:3:13405769:13409478:-1 gene:ORGLA03G0177000 transcript:ORGLA03G0177000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMSPELEQIDGEVQDIFRALQNGFQKMDKIKDSSRQAKQLEDLTAKMKECKRLIKEFDRILKDEESNNPPEVHKQLNDRKQYMIKELNSYVTLRKTYQSSLGNNNKRVELFDMGAGSSEPAAEDNIQIASAMTNQQLMDAGREQMTQTDQAIDRSKMVVAQTIETGTQTASALSQQVSFSTLHTIQDFPVTCLFWQSGILVCLVCNLVQQISFALQTEQMKRIGNELDTVHFSLKKASQLVKEIGRQVATDKCIMALLFLIVCGVIAIIVVKIVNPHNKNIRDIPGLAPPAQNFQISNRRLLSVEIIRGL >ORGLA03G0176900.1 pep chromosome:AGI1.1:3:13403781:13405325:1 gene:ORGLA03G0176900 transcript:ORGLA03G0176900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G28690) TAIR;Acc:AT1G28690] MQQRNNADSHRCAPRAPGYLRTAAALAAVVQSLLDEPRPRPRPGSQTLHAQLLESGLRPTDDLSVKLLLLHLRCGSHHNARAVFDGMPAPTHAAHNYLAAGYSRLGLPEEALGIVRRLARCTGRLNVFVLSMALKLSAALALPRAVREVHARVVRSVVESDDVLFAALVDAYVKNASLRYARRVFDVMPVRTVVSSTALIVGCMNEGLYEDAEEIFNTMDEKDVVVYNAMVEGYSKTEETAESSMEVFKSMHRARFRPTVSTFVSVLGACSLLSSPEIGEQVHCQVIKSSLSSDIKAGSALLDMYSKCGRVDDGRRIFDRMAERNVITWTSMIDGYGKNGLSDEALQLFEQMLRQRHDDAIRPNHATFLSALSACARAGLLSRGQEVFQSMEREHALRPRMEHYACMVDLLGRFGSVRRAHDFIRGMPARPSSDVWAALLGAATLHGDVETAGLAAREVFELSRAAGRQRPGAYMAMSNTLAAAGKWDGVRQVREMMRRRGVLKDAACSWVGSE >ORGLA03G0176800.1 pep chromosome:AGI1.1:3:13399562:13400016:-1 gene:ORGLA03G0176800 transcript:ORGLA03G0176800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILVXPSGHATKMGDGPLLVKLVSSSRPYGKNNEKAVKRKAPSPDSGSNEGNTSAEEQNNNSTGVKKGGKERKKKKKKKKKKKKGNNPP >ORGLA03G0176700.1 pep chromosome:AGI1.1:3:13396518:13397653:1 gene:ORGLA03G0176700 transcript:ORGLA03G0176700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PBM1] MARLAALLVSLAMLMAAVTAARVERAGAGFYTPPSPSTCGLKIGYYHDKCPHAEAIVKGVVAAALHRDPGVGAGLIRMLFHDCFVEGCDASVLLDPTPANPQPEKLAPPNNPSLRGFEVIDAAKDAVEAACPGVVSCADIVAFAARDASFFLGDSRVSFDMPSGRLDGRYSNASRALDFLPPPTFNLGQLVANFAAKGLSVEDMVVLSGAHTIGLSHCSSFVSDRLAVASDIDPSFAAVLRAQCPASPSSSNDPTVVQDVVTPNKLDNQYYKNVLAHRALFTSDASLLASPATAKMVVDNANIPGWWEDRFKTAMVKMAAVEVKTGSNGEIRRHCRAVN >ORGLA03G0176600.1 pep chromosome:AGI1.1:3:13386777:13388141:1 gene:ORGLA03G0176600 transcript:ORGLA03G0176600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PBM0] MANKLAALLVSFAMLMAAAAGFYTPPSPSTCGLKVGYYHDKCPHAEAIVRGAVGAAILRDPGVGAGLIRMLFHDCFVEGCDASVLLDPTPANPQPEKLAPPNNPSLRGFEVIDAAKTAVEAACPGVVSCADIVAFAARDASFFLSNSRVSFDMPSGRLDGRYSNASRTLDFLPPPKFNLGQLVANFAAKGLSVEDMVVLAGSHTVGRSHCSSFVPDRLAVPSDIDPSFAATLRDQCPASPSSGNDPTVVQDVETPNKLDNQYYKNVLAHKALFTSDASLLTSPATMKMVLDNANIPGWWEDRFQKAMVKLAAVEVKTSGNGEVRRNCRAVNY >ORGLA03G0176500.1 pep chromosome:AGI1.1:3:13383605:13384051:1 gene:ORGLA03G0176500 transcript:ORGLA03G0176500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQLLGLFLVLAIMVAVVWGDPSGGCDQDRQDMIRECKKYEGWPAEPKIEPSKACCAVWQRANIPCLCAGVTKEKEKVWCMEKVVYVAKFCKKPFQPGYQCGSYTVPSSLGQ >ORGLA03G0176400.1 pep chromosome:AGI1.1:3:13371583:13371747:-1 gene:ORGLA03G0176400 transcript:ORGLA03G0176400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMDDLSGQKRICVIRDVYRHHRLGFPSGSGMLCCWAAGLWESEKMKKALGAA >ORGLA03G0176300.1 pep chromosome:AGI1.1:3:13368513:13370044:1 gene:ORGLA03G0176300 transcript:ORGLA03G0176300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PBL7] MGSGNKLAATVVVVTFAVLMAAVTTTCQAAYGPPNPASCGLKVGYYYAKCPHAEEIVKNVVGAAILHNPGVGAGLIRMLFHDCFVEGCDASVLLDPTPANPQPEKLSPPNMPSLRGYEVIDAAKAAVEAACPGVVSCADIVAFAARDASFFLSNSRVAFQMPAGRLDGRYSNASRALDFLPPPKFNLGQLVANFAAKGLGVEDMVVLSGAHTVGDSHCSSFVPDRLAVPSDMEPPLAAMLRTQCPAKPSSGNDPTVVQDVVTPNKLDSQYYKNVLAHRVLFTSDASLLASPATAKMVVDNANIPGWWEDRFTKAMVKMASIEVKTGGNGEIRRNCRAVNH >ORGLA03G0176200.1 pep chromosome:AGI1.1:3:13357681:13358768:1 gene:ORGLA03G0176200 transcript:ORGLA03G0176200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PBL6] MASPSLPLVTCALLLLLAATCQAHPYWPLELAYYRDKCPQAEAVVKAVIGEAVRQNPGNGAAVIRMLFHDCFVEGCDASILLDPTPFNPTPEKLSAPNNPSMRGFDLIDAIKHAVEAACPGVVSCADIIAFAARDATYFLSGGKVYFDMPSGRRDGTFSNDSGPIDFLPPPTSNLSDLVSSFAVKGLSVEDMVVLSGAHTVGRSHCSSFVPDRLNASVFSDIDGGFAWFLRSQCPLDATPGGNDPTVMLDFVTPNTLDNQYYKNVLDHKVLFTSDAALLTSPETAKMVVDNAVIPGWWEDRFKAAMVKLASIQVKTGYQGQIRKNCRVINY >ORGLA03G0176100.1 pep chromosome:AGI1.1:3:13344857:13346617:1 gene:ORGLA03G0176100 transcript:ORGLA03G0176100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PBL5] MAAHTIKLAVAVTCTLLLAAACSGLEVGYYKKSCPRVETIVREEVKKFVYKNAGIGAGLIRLLFHDCFVEGCDGSVLLDPTPANPAPEKLSPPNFPSLRGFEVIDAAKDAVEKACPDVVSCADIVAFAARDAAYFLSRMRVKINMPAGRFDGRHSNSSDALDNLPPPFFNVTELVDIFATKGLDAEDMVVLSGAHTVGRSHCSSFVPDRLAVASDIDGGFAGLLRRRCPANPTTAHDPTVNQDVVTPNAFDNQYYKNVIAHKVLFTSDAALLTSPATAKMVSDNANIPGWWEDRFKKAFVKMAAVDVKNGYQGEIRKNCRVVN >ORGLA03G0176000.1 pep chromosome:AGI1.1:3:13337294:13337827:1 gene:ORGLA03G0176000 transcript:ORGLA03G0176000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQGLEQEAAVQPPASAAFPSSAEEAAAAASPTSGGKRIVLRIRLPPAWTPEEDACLARLAAENGFRHWRRVAEGMAAARSGRRPRRSPGQCRDRWRDHLARDVYHRPFTADDDAELARLLLKRDGDGERSWKDISRAAYCRTSRGMRRRWGELRDSDAFLRKLWCPPPPPMQPSC >ORGLA03G0175900.1 pep chromosome:AGI1.1:3:13335743:13336819:1 gene:ORGLA03G0175900 transcript:ORGLA03G0175900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PBL3] MAASGMKLAMAVACALALASACHGLQLGYYKQSCPRVEAIVRDEVKKFVYKDAGIGAGLIRLVFHDCFVEGCDGSVLLDPTPANPKPEKLSPPNFPSLRGFEVIDAAKDAVEKVCPGVVSCADIVAFAARDAAYFLSRFKVKINVPGGRLDGRRSLDSDALNNLPPPNFNVNQLIGAFAAKGLDAEDMVVLSGAHTVGRSHCSSFVSDRVAAPSDINGGFANFLKQRCPANPTSSNDPTVNQDAVTPNAFDNQYYKNVEAHKVLFASDAALLTSPATAKMVSDNANIPGWWEDKFAKAFVKMASVGVKTGYPGEIRRHCRVVN >ORGLA03G0175800.1 pep chromosome:AGI1.1:3:13326775:13327851:-1 gene:ORGLA03G0175800 transcript:ORGLA03G0175800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PBL2] MAASAMKLAVAVACALALASACHGLQLGYYKQSCPRVEAIVRDEVKKFVYKDAGVGAGLIRLVFHDCFVEGCDGSVLLDPTPANPKPEKLSPPNFPSLRGFEVIDAAKDAVEKVCPGVVSCADIVAFAARDAAYFLSRFKVKINVPGGRLDGRRSLDSDALNNLPPPNFNVNQLIGAFAAKGLDAEDMVVLSGAHTVGRSHCSSFVSDRVAAPSDINGGFANFLKQRCPANPTSSNDPTVNQDAVTPNAFDNQYYKNVEAHKVLFASDAALLTSPATAKMVSDNANIPGWWEDKFAKAFVKMASVGVKTGYPGEIRRHCRVVN >ORGLA03G0175700.1 pep chromosome:AGI1.1:3:13323693:13325456:1 gene:ORGLA03G0175700 transcript:ORGLA03G0175700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSGVTVHVTGFKKFHGVAENPTEKIVRNLESFMEKRGLPKGLTLGSCTVLETAGQGGLGPLYEVFESAIVDKEYGLNDQGQVILLHFGVNSGTTRFALENQAINEATFRCPDELGWKPQRAPIVSSDGSISNLRKTTCPYFCMIKQTTVPVNEVNKSLQQMGFDVAPSDDAGRFVCNYVYYQSLRFAEQRGIKSLFVHFPLFTTISEEVQMNFVASLLKVLASQNYAQ >ORGLA03G0175600.1 pep chromosome:AGI1.1:3:13313349:13316623:1 gene:ORGLA03G0175600 transcript:ORGLA03G0175600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT5G37055) TAIR;Acc:AT5G37055] MEGEEEENVGPFRRTSARTRRMATRMASALASSDNRAQAALARLEALESDNAGPEVVDLNDDDEYGSADEEDHVLMQRKQSKNMKRKTRQGKALEKKAARSFMDVLQEANLESLPPHVPTYSRAAVGPPSTSSRRHYCSVCGSTANYTCVRCGTRFCSCRCQVIHNDTRCLKFVA >ORGLA03G0175500.1 pep chromosome:AGI1.1:3:13299019:13300795:-1 gene:ORGLA03G0175500 transcript:ORGLA03G0175500.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFQVKIAISFLMEHTYQYAWVIPLLPLPVIMSMGFGLFLVPTATKNLRRIWAFPSVLLLSIAMVFSVHLSIQQINGSSIYQYLWSWTVNNDFSLEFGYLIDPLTSIMLILITTVGILVLIYSDDYMSHDERYLRFFVYISFFNTSMLGLVTSSNLIQIYFFWELVGMCSYLLIGFWFTRPIAASACQKAFVTNRVGDFGLLLGILGFFWITGSLEFRDLFKIANNWIPNNEINSLLTILCAFLLFLGAVGKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLIARLLPLFISLPLIMSFISLIGTLTLFENTFQLQELVFYGVPFLFVVFYLLLGFWSKDEILSNSWLYSPFFGIIASFTAGLTAFYMFRIYLLTFDGYLRVHFQNYSSTKEDSLYSISLWGKRISKGVNRDFVLSTAKSGVSFFSQNLSKIHGNTGNRIGSFSTSLGTKNTFVYPHEPGNTMLFPLLILLLCTLFIGSIGIHFDNEIGELTILSKWLTPSIN >ORGLA03G0175400.1 pep chromosome:AGI1.1:3:13297941:13298213:1 gene:ORGLA03G0175400 transcript:ORGLA03G0175400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast ribosomal protein S15 [Source:Projected from Arabidopsis thaliana (ATCG01120) TAIR;Acc:ATCG01120] MSLSSTKCADPPKLTSRGNMHSLCVITRLVGDTNKIRRLASHLELHKKDFSSERGLRRLLGKRQHLLAYLAKKNRVRYKKLISQLDIRER >ORGLA03G0175300.1 pep chromosome:AGI1.1:3:13293829:13295162:1 gene:ORGLA03G0175300 transcript:ORGLA03G0175300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDRERRRGRRAPGEALPDDLVEEILLRLPVPSIGRCRAVCKAWLSRTSQPDFLRAHVARSCPATVTAAATVETRSRTTTPRGRSCTTVHIRRLGRKCSGAVASLAVSFVSASEQGRSMTAAIGFWDGILCATHILFAPGRGVERYVLCNPLTEAYTIVPAPATDGFLVGGYAHPTTSRFHIMHANFFTTMETFWILRLGENSVWRETARLSSLAGLVGFISTVSRGRLQHGTGGVPADGGLRGARSVGRQLVALVHDDGNTHHPLPRQAVRPHRRPGANALGMWMLEDYSDPTSWRLQRKIDYYYSCGAAAGAALDDDPHAAAAQTFRARFSTADVVEVLPNGVDDDDEGKEILLQLGDEEVVYNVGRAAWRRWGILPLTTRRLMMHRQCILPREVSFGDASQVPWEKDIGGHSFYRIY >ORGLA03G0175200.1 pep chromosome:AGI1.1:3:13280141:13281235:1 gene:ORGLA03G0175200 transcript:ORGLA03G0175200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNKLFTFRKAADVAMAVSAAYAPFAAAVAYPAAAAAPITATAALAFALGFGALLFMLPFSIYALVFLRAPRGLHQTPYPMLACAVATPAALLAAVLAVLAAATHGAANDVTFATAVAWTANVSAAAALWWCLSNGGYTAVAFSRVNQYANFMDAVERTPEIAFPLVFDVPASAKVARRDAVRFAVAMSAACAVAGGATVGILSGAGGLSSGAIAAALSIFALPMCLLYVPEYLMDPYPTIDGVLQRNPAAAWCALLAPVALVLCGLVKALAATAAGDVGTFVTVIAGAFWAMDAGAAVLLGWVIAGEIAMARETAKRSIYRSTSSEIVSALLMVCVRYFVYLHVFHLIACGGHLTCVNSSY >ORGLA03G0175100.1 pep chromosome:AGI1.1:3:13199641:13200957:-1 gene:ORGLA03G0175100 transcript:ORGLA03G0175100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDRERRRGRRAPGEALPDDLVEEILLRLPAPSIGRCRAVCKAWLSRTSQPDFLRAHAARSCPATVTAAATVETRSRTTTPRGRSCTTVRIRRLGRKCSGAVASLAVSFVSASEQGRSMTAAIGFWDGILCAAHILFAPRRGVERYVLCNPLTEACTIVPAPATDGFLVGGYAHPTTSRFHIMHANFFTMMETFWILRLGENSVWREVRRPALAILHAPLVRLHGCLHWLASSASSAXFLVAVFNMEREEFRLMEAPGGARSVGRQPVALAHDDGNTHHPLARQAVRPRRRAGRQRVGDVGAXRLLRPNXLAASAEDRLLLLVRRCRCCSRRRPTQRRRSNFSCPLLDSRCDGGSAQWCRWRXXRGGRGNPAPTWXRGGRVQCWARGVAQAGDIAAAYTPPDDAXALHIAVRGELRXRVSGSLGEGHRRPLFLPHIL >ORGLA03G0175000.1 pep chromosome:AGI1.1:3:13195551:13196843:1 gene:ORGLA03G0175000 transcript:ORGLA03G0175000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEALPDDLLDEILLRLPARSILRCRAVCKAWRSRTSHPYFLRAHAARSRIIAAAVVDTTVIPDGEVCTTISIRSLGDGDGYRSGAAVSSSSSFASVRFDSRPFVLGSWDGVVCLVPRPTAGFVRPRNPIDRYVLVNPLTKACTSVPPPATRGIVICGYAHPTTSRYHLLHADSFFSYDGTARATIQILRVGEKNNVWRKIARHPAPAGVVESRTYIRLGGAPPVSLHGCLHWLVAPSSARPLLSVFDMEREEFRQMDTPEQWARHGNLPHMMSVQIARRSGKLCAFVHEPSASALGMSMLVDYSDPSSWRLERRIDYSRHGAGSRNVARTFRNKFSAATTAVEVLPDGVNGGGGEEEIMFQFFNQFDMREAVYNVGRGAWRWRRILPPTRRVMTHKECMLPREVSFGGSAHFVEESDIGGHRCFCLW >ORGLA03G0174900.1 pep chromosome:AGI1.1:3:13183846:13190196:1 gene:ORGLA03G0174900 transcript:ORGLA03G0174900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELAALCTDPVVLSAAFLCLLLHLSLRSYRPPSPGGGRRLPPGPPGLPVLGALPLVGPAPHAGLASLARKYGPVMYLKMGTCGVVVASSPCAARSFLKALDARFANRPAVASAVDITYNYQNMVFANYGARWKLMRKLASVHLLGARALADWAAVRRDEARRLLRGVAEASAAGRPVVIPEVLVCALANIVGQITVSKRVFDVQGDESNSYKDMIVSLLTGAGLFNISDFVPALAWLDLQGVQAKLRRIHDQFDVLITKLLADHAATAADRARAGRTDFVDRLRAAVGVDDEDGETISEVNIKGLIFDMFTAGTDTSSIIVEWAMAEMMKNPAVMARAQEEMDRVVGRGRRLEESDIASLPYLQAVCKEAMRLHPSTPLSLPHFSFDECDVDGYRVPANTRLLINIYAIGRDPSAWEDPLEFRPERFMPGGAAERVDPLGNYFELIPFGAGRRICAGKLAGMVFVQYFLGTLLHSFDWRLPDGEGKVDMSETFGLALPKAVPLRALVTPRLAPAAYA >ORGLA03G0174800.1 pep chromosome:AGI1.1:3:13162463:13173387:1 gene:ORGLA03G0174800 transcript:ORGLA03G0174800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G54010) TAIR;Acc:AT3G54010] MAHADADAGDLPPPPAKKKKSPAEEEAEKRRKKLTPGSLMKGLIRSGGGDATPAEGDQVIVHCTTRTIDGIIFNSTRREHGGKGVPLRFVLGKSKMILGFAEGFPTMLMGEIAMFKMKPQIHYAEEDCPVTAPDGFPKDDELQFEIEMLDFFKAKIVAEDLGVVKKIIDEGKGWETPREPYEVTARITARTGDGKELLPSKEEPYFFTIGKSEVPKGLEMGIGSMAREEKAIIYVTSAYLTNSSLIPQLEGIEEVQFEVELVQFVQVRDMLGDGRLIKRRVVDGKGEFPMDCPLHDSLLRVHYKGMLLDEPKSIFYDTRVDNHGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRPANVPEGAHVQWEIELLGFEMPKDWTGFTFQEIMDDAEKIKTTGNRLFKEGKFELAKAKYEKVLREYNHVHPQDDDEGKIFANSRSSLHLNVAACYQKMGEYRKSIDTCNKVLEANPVHVKALYRRGMSYMLLGDFDDAKKDFEKMIAVDKSSEPDATAALNKLKQTIQETEKKARKQFKGLFDKKPGEISEVGVGEPEGNKTDTTGSGEAASTADRDTDAKEAIPRADSGLAFEEERPGLLARIWPSASRIFSSLGLNRCTIL >ORGLA03G0174700.1 pep chromosome:AGI1.1:3:13161142:13161624:-1 gene:ORGLA03G0174700 transcript:ORGLA03G0174700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT1G07130) TAIR;Acc:AT1G07130] MDSLHLVHVKLLAADLLSLASRHTSPPSFARCGRTVTRAEIVGVVVSRDRREKFLRFLVDDGTGCVPCVLWLNHHYLNAASSSSRASDSDPTAEMALRMSEVVSLGTLLRVRGRIVLYRGAIQIAVRDVVLEKDPNVEVMHWLQCIRMAKECYDLRPPSA >ORGLA03G0174600.1 pep chromosome:AGI1.1:3:13145866:13148258:-1 gene:ORGLA03G0174600 transcript:ORGLA03G0174600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVERCGGEMVVSMERSHGRSTTTAAAVTAAPAPFLSKTYQLVDDPSTDDVVSWGEDEATFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLSEIHRRKSSSCSQPQPPPPFPMHQHYPLSLFSPPTTTRSPPVGAAAAAAYHFQEEYCSSPADYAGGGGDLLAALSEDNRQLRRRNSLLLSELAHMRKLYNDIIYFLQNHVEPVAPPPLAAATSCRLVELGPSTTERRRSAASPSGDNDDDAAVRLFGVRLDDDHGKKRRVQLVQEDEGDEQGSEG >ORGLA03G0174500.1 pep chromosome:AGI1.1:3:13140133:13144300:1 gene:ORGLA03G0174500 transcript:ORGLA03G0174500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPRIAGAGSGGGRKPRMPPLPPARTLLTAFAAAAALAVLCLLSSSSSSSSRTSSLRGSWGSAGAKGGKKYLYWGGRVDCPGKHCGTCAGLGHQESSLRCALEEALFLGRIFVMPSRMCLSSVHNTKGILHSTNATSEQRWEENSCSMDSLYDIDLISKIVPVILDNSKTWHEIMSRSMKSDGGMVHVQGIGRAELKDNPLYSKALVINRTASPLAWFMECKDRKSRSSVMLSYTFLPSMPAKKLRDAANKMKQILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEFIKKRIAKWIPEGRTLFIASNERTPGFFSPLSDKYKLAYSSNFSSILEPVIENNYQLFMVERLMMRGAKTFVKTMKEFDNDLTLCDDPKKNTKVWQIPVYTDD >ORGLA03G0174400.1 pep chromosome:AGI1.1:3:13119669:13125968:1 gene:ORGLA03G0174400 transcript:ORGLA03G0174400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKSAAVLEPTVEEEEEGATRVAEAAAAPAKPASPAPSAAAAAAAAKPGTPKQHKFPFYLPSPLPASSYKGSPANSSVASTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPESGEPGVALDKGFGFSRHFAAKYELGREVGRGHFGYTCAATCKKGELKGDDVAVKVIPKAKMTTAIAIEDVRREVRILSSLAGHSNLVQFYDAYEDEENVYIVMELCKGGELLDRILARGGKYSEEDAKVVMRQILSVASFCHLQGVVHRDLKPENFLFSSKDENSAMKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIVYILLCGSRPFWARTESGIFRAVLKADPSFEEAPWPTLSAEAKDFVRRLLNKDYRKRMTAAQALCHPWIRGTEEVKLPLDMIIYRLMRAYISSSSLRRAALRALAKTLTTDQIYYLREQFELIGPNKSDLITLQNLKTALMKNSTNAMKDSRVVDFVNTISNIQYRKLDFEEFSAAAISVYQMEGLETWEQHARQAYEFFDKEGNRPIVIDELASELGLGPSVPLHVVLQDWIRHPDGKLSFLGFMKLLHGVSSRTIPKT >ORGLA03G0174300.1 pep chromosome:AGI1.1:3:13105512:13105835:-1 gene:ORGLA03G0174300 transcript:ORGLA03G0174300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLLRRGLISAAFLLTLVLVFLLQLGIISTTVGCCSCCSFCGDEQQQQQHGVGYLRPGRRLLIGHHQHQVVHAKGSMVLEHAEEGGDVLDEEKREVLTGPNPLHNR >ORGLA03G0174200.1 pep chromosome:AGI1.1:3:13101556:13104163:-1 gene:ORGLA03G0174200 transcript:ORGLA03G0174200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLIPSMNRVLVEKLLQPNKSAGGILLPETTKQLNSAKVVAVGPGERDRAGKLIPVSLKEGDTVLLPEYGGTEVKLAEKEYLLFREHDILGRLEE >ORGLA03G0174100.1 pep chromosome:AGI1.1:3:13097463:13100594:1 gene:ORGLA03G0174100 transcript:ORGLA03G0174100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFPSAIMIPLLPLLLLLLLVCTRGAVANANNQPPPAGGGGGGYCYTRMFSFGDSITDTGNQVSFFPTAPAARPPYGETFFGHPTGRYSDGRLVVDFLAEALGLPYLTAYLRGKTAEDFRRGANFAVSAATALRLDFFRERGLDLTIIPPYSLDVQLEWFKGVLHSLASTDQERKDIMTRSLFLMGEIGINDYNHHFFQNRSFTAEIKPLVPLVISKIENATKVLIDLGAKTILVPGIPPMGCIPRFLNLLPSKNHNDYDKLGCLKWLNDFSQYHNRALKQMLQRIHHDPTVTLIYADYYGAMLKIVRSPQNNGFTKESVLRACCGVGGAYNADSLVCNGNATTSNLCTEPSRYISWDGLHLTEAAYHFIARGVLHGPYTEPAIPTRCTA >ORGLA03G0174000.1 pep chromosome:AGI1.1:3:13093031:13096232:1 gene:ORGLA03G0174000 transcript:ORGLA03G0174000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XWLLSGLSPSRRRXRRXCSCSFFSSARRLAPGNNGGCGGFKRMFSFGDSITDAGNLATISPPDASFNRLPYGETFFGHPTGRFCDGRLIVDFLAEGLGLPFLTPFLRAKTPEDFRQGANFAVAGATALSQDFFKKMGLDLTIIPPFSLDVQLEWFKSVLNSLGSTDQERKEIMSKSLFLMGEVGGNDYNHPFFQNRSFTNEIKPLVPKVIAKIENAIKVLIDLGAKTIVVPGNFPIGCVPRYLTMFQSKSSLQDYDEFGCIKWLNDFSVYHNRALKRMLHQIHHDSTVTILYGDYYNTALEITHHPATYGFKKETALVACCGDGGPYNSNSLFGCGGPSTNLCTNPSTHISWDGLHLTEAAYKFVAHHMLHGPYAHQPSISPK >ORGLA03G0173900.1 pep chromosome:AGI1.1:3:13076808:13080290:1 gene:ORGLA03G0173900 transcript:ORGLA03G0173900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSSSLSSPTMIPVLFLLCAHSASAAANSGGGGHLATGAGGDDGFSCFTRMFSFGDSITDTGNSATISPNASFNRLPYGETFFGRPTGRYSDGRLIVDFLAERLELPFLTPFLRGRETAAAEDFRHGANFAVGGATALRREFFEEMGLDLTNIPPYSLDVQVEWFKNVLHSLASADKERKKIMSKSMFIMGEIGGNDYNQPFFQNRSFINEIKPLVPKVISKIENAIKVLIDLGAKTIIVPGNFPIGCVPGYLGMFRNKLSPKDYDVFGCIKWLNDFSEYHNHALKRMMHRIPHDPTITILYGDYYNTALEITRHPAIHGFKREIVFVACYKGGNSSMNLCPDPSTHISWDGLHLAEAAYKFVAHHMLHGPYLLNRPYLLNDFMYF >ORGLA03G0173800.1 pep chromosome:AGI1.1:3:13069698:13069973:1 gene:ORGLA03G0173800 transcript:ORGLA03G0173800.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKHFVEHLAITLSPPLEITIVRLPGRSWRLEGVEEEPCCRRRHGGSQVAAGHQETPSSTLRRRRLPLSCTASSSTSPRFAISPSRGTPSHP >ORGLA03G0173700.1 pep chromosome:AGI1.1:3:13064156:13067381:1 gene:ORGLA03G0173700 transcript:ORGLA03G0173700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVGLGLPFLPPFLRGKTAEDFWHGANFAVGGATALSRDFFKEKGFDVTNIPPYSLDVQMEWFKGLLDSLATTDKERMEIMSKSLFLMGEIGGNDYGYLFTQNRSFTKEIKPLVPKVTAKIENAIKVLINLGAKMIVVPRVFPVGCLPHYLAMFQSKSAPEDYDAFGCIMWLNDFSEYRNCALKRMLQQIPRNPTITILYGDYSNNILEIIRHPVIHGFKRETVLVPCFMNGNLCPDPSIYISWDGLHLTEAAYKFVAHHFLHDPFVESSICPI >ORGLA03G0173600.1 pep chromosome:AGI1.1:3:13042053:13043466:1 gene:ORGLA03G0173600 transcript:ORGLA03G0173600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGNCSGWKAVVNDWVNNGGAIVDHTPQSMDGSCLEQEEGGLPSPPMDEAAFFATPCTSIQLSEFFDEMDDDGNIRTNGEESAQQHYPANQEPAKKQLPMGQRYDPEQNWKLDQSAMRQSQPYEPSNWQKKQQSVTGARQRPSAAAHGPWTPQKMHLEPKFSEMRPKQQQDTSVAQRRPKPTMADQLSSQVDQNSVQVNAKLEATKRMLQEGYQEFNNAKKQRTIQVVDPQDLPKQRNRNLQPSCKPRNSSSNSLRNRLGIRR >ORGLA03G0173500.1 pep chromosome:AGI1.1:3:13022328:13026965:-1 gene:ORGLA03G0173500 transcript:ORGLA03G0173500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAVTLDYGGKVQTRDYGGKAQTETLRPGPLRPANIIRAKFPTYKNGLNGIVVKLADGPEMPSLKETVTKETADMLDRRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERDILLKNLKTVLESLRGRVTGKTKAELEDSISMVDILAVQLSKREAELLQQKAEVTELAKSLKLASEDAKKIVDEERASAHTEIESARSSVQRVQQALQEHEKMSKTTGKQDMEELKKEVREARRIKMLHYPSKAMDLENEIKILRKTFAERSTDCVNLLKELELHKRPEGNDIPLFDLEGLQCLGSILCIVSQSSTTMDFSNISIQWFRVHPKESNKEIISGATRSVYAPEPHDVGRYLEAEINYGGEIAIAKTAGPIDPDAGLVDYVETLVRKRETEFNVVVLQLNGIDQPKEFVHVLNIGKLRMRLSKGKTVVAKEFYSSSMQLCGVRGGGEAASQAMFWRPRNDLSMVLAFETTRERNTAIMLTRRFAIDCNIILAGPGDKTPW >ORGLA03G0173400.1 pep chromosome:AGI1.1:3:13015972:13017606:1 gene:ORGLA03G0173400 transcript:ORGLA03G0173400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G61590) TAIR;Acc:AT1G61590] MPPRQWRPMLASATKCCAAEDAVVAVDGSGGGGGLARCRPARSEFSRRLASFRKLSSMTNSPASSVAGAAEGGKDDGEEGGGGGGGVSGPLQLYSFSFSELRSITHDFSSSYLLGEGGFGAVHKGFVDAGMRPGLLPQPVAVKQLDIAGLQGHREWLAEVIFLGQFRHPHLVKLLGYCCEDEERLLVYEFMPRGSLENHLFKSTHLSATVPWGTRLKIAIGAAKGLAFLHGASTPVIYRDFKASNILLDSEFTAKLSDFGLAKMGPEGSETHVTTRVMGTHGYAAPEYVMTGHLNIKSDVYSYGVVLLELLTGRRAMEHVRGRSLHADQVVKIVDWTRPYLGSSRRLRCIMDPRLAGHYSVKAARAVAHLAVQCTSPQPRDRPRMAAVVDALERLQGFKDMAVTVGLWPTNAPVAGRNAISAKIRAEVRGAGSGGGAASRRRSASAKLP >ORGLA03G0173300.1 pep chromosome:AGI1.1:3:13006150:13006496:-1 gene:ORGLA03G0173300 transcript:ORGLA03G0173300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57860) TAIR;Acc:AT5G57860] MRKRLMQAMYIRVKRNKTTYFIQCDPTETTLSIKQKLHSLVDQPPGNQQLILLATTEVVLDDSKTLADQKVENDAVVALTLRKGFVLL >ORGLA03G0173200.1 pep chromosome:AGI1.1:3:12997689:13001144:-1 gene:ORGLA03G0173200 transcript:ORGLA03G0173200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1PBI6] MSQVRSSPVLGPRELSSRFGIVTELSFSNPSVYALKSPKGPLFPLRSILVFLIALFGFYVCYFSFNQIDLENKENLISGEEQIRTLCRRHTIPNELMQYVHFPKPTSYSRGECACTPVRFFVIISMQRSGSGWFETLLNSHPNVSSNGEIFSIRERREDISSILRTLDKLYNLDWHTSAAKNECTAAFGLKWMLNQGIMEHYHNIVNYLNKKGVMVIFLFRRNTLRRIISVLANDYDRKTKQLNGTHKAHVHSREEADILARFKPKLDVPTLIPNIRSAEQSITTCLDHFSSTRHMILYYEDVIRDQNALSRVQEFLGVPAMRLSSRHVKIHTSPLPDLVDNWEEVSEKLNGTEYARFVDGADYDK >ORGLA03G0173100.1 pep chromosome:AGI1.1:3:12992740:12996762:1 gene:ORGLA03G0173100 transcript:ORGLA03G0173100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT3G04970) TAIR;Acc:AT3G04970] MDVPWLLVAHGSVTALVVVSFLCGQWPIFEGTFVQRINHFLTFGAYHHLLRLVHAACGNGARDLVLGVESYCCDRPNPILQIFYVAIIGVTYFIIVQTSFQYIPGYYVSGLHRYLSIVAVAIGALLFVLTSFSDPGTVTAENVSQYLSAYPYDGIIFEEKECSTCKITRPARAKHCRICDKCVARFDHHCGWMNNCIGEKNTRYFVAFLVWHFLICLYGAVILGFILAGELKERKVVYILTVYYGIDNSFSGLFPHVAQWLLAVHNTQILLAVFLAIIALLLGGFCAYHTHLCLTNTTTNETFKWQDYIMWRKKVNEEKAAANGEVRKSPPSKWKAFFSRSHTEADETIVKNNIYDRGMIRNMCEVFVPLSERLVRNGSHFPARNLI >ORGLA03G0173000.1 pep chromosome:AGI1.1:3:12985718:12989812:1 gene:ORGLA03G0173000 transcript:ORGLA03G0173000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SC35-like splicing factor 28 [Source:Projected from Arabidopsis thaliana (AT5G18810) TAIR;Acc:AT5G18810] MAGYRSRSRSYSPQPRRRYSRSPPRYKRYDDPRDRYPRGGGGGGGGGEGPRRGYGRPPAPTGLLVRNISLTARPEDIRIPFEQFGPVKDVYLPRNFHTRELRGFGFVKFRYPEDAALAKQELNHQVIGGREISIVFAEENRKTPQEMRMRTRTSGRYMDGSHRRRSVSRSPRSRYHSYSPSPSPARRDYRDHRDDYSPGESLSPHGQDKRHHRSNGRSASPDELERHISPSNNGHGPPVDGKS >ORGLA03G0172900.1 pep chromosome:AGI1.1:3:12983761:12985455:-1 gene:ORGLA03G0172900 transcript:ORGLA03G0172900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPVRHSVQRLCSTSTSAAAAAADANNPPPATFLAAAATAAANILGAPRYESRLLSLLPGDLLFHPACVRLTLSHLLPSPDPSLRFLRFLSSHLPAAPDAAPAEPEHEHEHEPPLLPGVDGFLIQLWPPDAADAAEVLASRLGIHPSLRALNFAMRSALRAARPDLVFRLFSAFSSSPDFPGDAATVAFLVRACSAEGRPLDGLRLLRDGARRGVPPQLDAVADLVAAFSAAANFGKVSETLHLMIAAGSVPDTVIYQRIIHGLFAHKMGSEALRVFNEIKLRGYNVDAVTYTTAIDGLCKMGCIDEARQIWNEMVDKGMEPNEYAYCSLVAYYCKAGDFEMARKVYDEMLGKGLKESTVSCNILVTGFCTHGRVDEALGMFEEMVKKGIEHDVITYNILIQGLCKAGRLSEAIQVYEQLLSSGLEPSVSTFTPLIDTMCEEGQVDAAVELLKVMHAKGLEPLARINDSIINGFCKARRPEDGMAWLAGMLKKNLKPREHTFNSLVELLSSSGRVDDALLVLNTMFKIGHELGSLACTMLVEQLCTGKLCYSHELENILVANK >ORGLA03G0172800.1 pep chromosome:AGI1.1:3:12974630:12979448:-1 gene:ORGLA03G0172800 transcript:ORGLA03G0172800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRAAEDVEGAVVAGAAGGGGGGGGGEVTAPLLLRQHKQGRGDEEKIQDDAGGDGGGRRGGGGGGSMSMLMLSTAVAVCGSFEFGTCVGYSAPTQSGIVDEVGLSISQFALFGSVLTIGAMIGAVTSGRLADFLGRKMTMRISATICIFGWLSLHLAKLLICSGSSATYIIGALVAWRNLVLVGIVPCVLLLTGLLFIPESPRWLANVGREKEFHASLQMLRGEDADVSEEAVEIKEYIESLHRFPKARVQDLFLRKNIYVVTVGVGLMIFQQLGGINGVGFYASSIFTSAGFSGKLGTILIGIIQIPITLFGAILMDKSGRRVLLMVSASGTFLGCFLTGISFYLKAQGLFSEWVPELALTGILVYIGAYSIGMGPVPWVVMSEIFSIDMKAIGGSLVTLVSWLGSFAISYSFSFLMDXSSAGTFFMFSAASLITILFVVMVVPETKGRTLEEIQDSLIDSRSRLRDP >ORGLA03G0172700.1 pep chromosome:AGI1.1:3:12967024:12972168:-1 gene:ORGLA03G0172700 transcript:ORGLA03G0172700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFPLSTAAPPSPPLVLRRSAAAAYSPSSPFELYRNHRRPAHRVHCAASASAAARRRDACCALRPPAAARGGAAAAQGQVGAAPHGGAGEGSLWMVFLATAVAVCGSFEFGTCVGYSAPAQAGIVNDFGLSNSEYGVFGSVLTIGAMIGALTSGRLADSLGRKTTMGLAAIIGIVGWFTIYFANGATMLYLGRVLLGYCTGVLSYVVPVFISEIAPKDLRGGLASSNQLFICSGCSAAYIIGALLSWRSLVLVGLVPCAFLLVGLLFIPESPRWLANTGRVKEFNASLQKLRGENADISEEAAGIREYIESLRSLPEARVQDLFQRKNLFAVIVGVGLMVFQQLGGINALGFYTSYIFSSAGFSGKLGTTLIGIFQIPLTLFGALLMDRSGRRALLLVSASGTFLGCFLTGLSFYFKAQGVYAQLVPTLALYGISVYYAAYSVGMGPVPWVIMSEIFSIEIKAIAGSLVTLVSWIGSFAISYSFNFLMDWNSAGTFFLFSAASLVTVLFVARLVPETKGKALEEIQESFT >ORGLA03G0172600.1 pep chromosome:AGI1.1:3:12964357:12965708:1 gene:ORGLA03G0172600 transcript:ORGLA03G0172600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRAARASPAMAAVVRCKPTRLTPIAGLSSSSGGGRRKKAGRRGEAKPPPPPPPQLRGGETKKKKKPDARTAAEAAQGLQRHEVERRKKPPPPPKQEKAKRVVRWKCAAGCGACCKLDKGPDFPSPEEIFAEHPEDLKLYKSMIGADGWCINYDKSTRTCNIYEERPVFCRVEPKVFEEYFGVPSRPSTFDREACSACVDTIKMVYGEESAELTNFKRVIREESKKHEASLNQDKLLDT >ORGLA03G0172500.1 pep chromosome:AGI1.1:3:12960785:12963073:1 gene:ORGLA03G0172500 transcript:ORGLA03G0172500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF455 [Source:Projected from Arabidopsis thaliana (AT5G04520) TAIR;Acc:AT5G04520] MEAAGGAGGASGGGGESQPPKTLVDWALEILGTADPDEKARLGDLAATEWLRGAIPLPYDPSRPARPPPDRPARSAAVRLLPPSRAPKLGKGGSAQSRLALLHSLAHTESWAVDLSWDIVARFGAPLRMPREFFDDFARVAQDEGRHFAVLSARLRELGSHYGALPAHDGLWDSATRTSHSLLARLAVEHCVHEARGLDVLPTTISRFRAGGDEQTAKLLEDVIYPEEITHCAAGVRWFRYLCLRSRNGDPTASSIPQAITQCSELPRDGTGDIHKVEEVEGDGPKAELAQASNGDDKTVQQVEDELAKCKLVDIGDDVEAAVIRTFHSVVREYFRGPLKPPFNTEARKAAGFEPAWYEPLAVKEVEGQAVE >ORGLA03G0172400.1 pep chromosome:AGI1.1:3:12957063:12959683:1 gene:ORGLA03G0172400 transcript:ORGLA03G0172400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRKGGNAAATEPDDDATMKEGHDVPPSIKVDIGECHGGGIGNGDGGSASLFEPRPEETPVSRRNDRGGGGGGREPPEKRLTLFALRLAVLEKAASGLGKLDFVWATVVLLGGFASTLSTTDFWCVTVILVGSGARVFGRSHELEWQHRSTLTSSTAGGALRSTSRRLLRRLGHSPAANPTDDGGARGSASATKQRVWHVPDVSLLPYTGRLFVSKNIGRLFTWLQVLSALACVALSVMRLWRHDFGDQPNKRPALLLFYTLALIEALIFLLEQAYWVWMFSGQKLPGTVSRECELGECGQVSLPRFFFDAYSRCITGSIFDGINMDLVTFAEELILSEFPEEQRIGVRILQRLTAGGSTLDTVRKVGTNARSIERLVEMINWKSPEEEVVRWCAAEVLSKLADKRRNALRVSGIPGAIESVMSLLYTDESAPDSAAPHDVSPAARSYDHQQFKLLGLLILKRIARDHDNCGKIGNTRGLLSKIIELTDASPELLHNTRAPESPVRIVRRALKVVKILVSATSSTGKMLRQEVADNVFTVSNLRGVLQHGQQHTALQKLATEILSRLAMDAKGKQVIVGTGGVVKLLLSIFVNGEKELGAEAGEALAMLALESQASCAAILKQDDVLDHLMSALEGDGGPRRLNAARVLRNLCAYAGEKHRRRLSTVTKAMPMVLKATMTGSERTLEVFVGLTVQICKFIDGVRFAGELRGAGIDERSYVERLASILREHRYPDITVPRMRRFVVQQAIWLMTSSSAAAAAAAAAGADYVSLLREAGMERLLESIADTTSELECYHAFSGSVGISKHRESFSAAVDAALELLGGDGARAEA >ORGLA03G0172300.1 pep chromosome:AGI1.1:3:12931298:12931942:1 gene:ORGLA03G0172300 transcript:ORGLA03G0172300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRKGVAGESNDDDGSTKRGRDATPSIQVEIAEYHGGGGGGGGGGLGNGGSGSASFFEPWREATPGSGSGHGSSGRGGGGREPPEKRLTLFALRLAVLEKAASGLGMLDFMWATVVLLGGFASALRITDFWCVTVILVGEGARVFGRSHELEWQHHFTLTSTAGSRAALQLTALPPPSCTRSPTRPPPPSPAALAARTRATGRRSSSARSSPS >ORGLA03G0172200.1 pep chromosome:AGI1.1:3:12913912:12914103:-1 gene:ORGLA03G0172200 transcript:ORGLA03G0172200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQVSRALDIPLPRTPKLLETMRYITEYEQEEAHDSVVLDLARLDFELIRSLYLKELKTLSL >ORGLA03G0172100.1 pep chromosome:AGI1.1:3:12900312:12905098:1 gene:ORGLA03G0172100 transcript:ORGLA03G0172100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLVDTLERLGIDNHFRHEIAAMLHRVHREQQECTAGSGDDDDDDLHITSLQFHLLRKHGFRVSAAVFDKFIDSKGSFRASLSSDTRGLLSLYNAAHMAMPGEEALDDAIAFARHHLRSIQGKLRSPMAEQVSRALDIPLPRTPRRLETMRYIAEYEHEPAFDGVALELAKLDFELVRSLHLRELKALTLWWKDMFNSVKLSYARDRIVETYFWTCGIYHEEEYSRARIIFTKVFGLMSLMNDTYDAHATLEECHKLNKAIQRWDKSAVSILPEYLHVFYIKLLNNFHELEDCLEPTEKYRISYAKTGYKHLSEYYLREAQWSSDRYMPSFAEHLDVSAMSSGFPQLAPVVLLGVRDGDGAATAEAFGWAAAVPALVHASGELARFLNDTASYKIGKRDKDIPSTVECHMAERGVEGEEAVAAVAAMAERAWRTINRECVEMDRALLPAAQLVVNLTRMLEVIYLGGRDGYTAGADIKDLVTNFFLATPSQF >ORGLA03G0172000.1 pep chromosome:AGI1.1:3:12876853:12881883:-1 gene:ORGLA03G0172000 transcript:ORGLA03G0172000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSEEWMRERVDQLKMQVRCKILKTTNVPYTVMLVDVLERLHIDNHFCDEIATALQHVFHHDEQEKADAGFDDGDQLHLESLRFRLLRQHGFWVYADVFDKFKDRTGCFRESLSTDARGLLSLYNAAHLAMPGEAALDDAIAFSRRSLQSLQGALRSPMAEQVSRALDIPLPRAPKLLETMHYITEYEQEEAYDGMVLELARLDFELVRSLYLKELKALSLWWRQLYDSVQLSYARDCLVESYFWTCAMFHGEDYSRARIIFAKVFQLMTMTDDIYDIHATLEECYKFNEAMQRWDKSAVSILPEYLRTFYIRILNDFDEMEDSLEPDEKHRMSYVKSSFKQQSEYYLREAQWSSDKHMPSFAEHLDVSFMSIGYPTMAVVVLLCARDGDGAAASMEASEWAPSLVRAGGEVTRFLNDIASYKTGKSGKDAASTIECYMAERGVGGEEAVAAVAALVESAWRTINRACVEMDPNLLPAARLLVNLATTPEVIYFGGRDGYTVGADLKGLVTALFLDPLPV >ORGLA03G0171900.1 pep chromosome:AGI1.1:3:12858313:12859611:-1 gene:ORGLA03G0171900 transcript:ORGLA03G0171900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKGSSQMTMTNQRNLSDRRGQQPLSQVYVDVDSEHGTAERQEIGTAKRARWSHQMKMFLIELLTDHDVPGFRTQNAWSKEAWTNIVCRLNTKFGTSFTTNQVKQKEQDLKKDYRSVKDLLDQSGFGWDSDRMMVSAPQSVWDTFADRKNKDAIHWRDKSFPYFDDLAPLYDGRYAEGRTRHGMDHYARKTKNAPAHSTQEANAVDTYQSPSPNSNAPGESGLQFPFGEEVETANLDFSQHSPTPVHLTKVPPSSAQTPSEVPESRPGKKQKIKSVSPDDGFHERYLKLKKEEIDRFAAIEEKKLEDPYNINKCITVLEGLHGLQIGDILVAADIFKGKDNREVFLSFSSDALRLAWIRKEIAALE >ORGLA03G0171800.1 pep chromosome:AGI1.1:3:12845354:12846946:-1 gene:ORGLA03G0171800 transcript:ORGLA03G0171800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQVSRALDIPLARAPKLLETMRYITEYEREEAHDGVVLELARLDFELIRPLYLKELKTLSLWWRQLYDSVKLSYARDRLVESYFWSCAIFHGEKYSRSRIIFTKLLNDFDEMEDSLEPDEKYSMSYAKTTFKQMSEYYLREAQWSSDKYMPSFAEHLDISLMSSGFPAMAPVLLLGVRDSGGAAATTKEAFEWATSVPVPALVRAGGELARFLNDTASYRIGKSGGDMASTVECYMAERGVGGGEAVAAVAALAERAWRTINGECAAVGTMDAALLPAARLMVNLARTVEVIYLGGRDGYTVGGDLKGLVSNLFLDPLPVY >ORGLA03G0171700.1 pep chromosome:AGI1.1:3:12836892:12839420:1 gene:ORGLA03G0171700 transcript:ORGLA03G0171700.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPRSRLKQRTSSWRXTLSSMAAPALTPPCGGTSSSPTPLPSRRLWRSGXGRGRSGSPGRCAAGCSPPAEATATARAELRXAWPKRRRWWTRSNASDXMGTSGTRLVCCWGVSAARRRILQAATTTCILLPFGFVCLGSMGFGYLQVDVFDKFRDGSGSFSSSLRDDPKGLLSLYNAAHMAAPREIALDDIIAFARCHLKALSMDGELKSPLAEQVSRALDIPLPRFPRRLETMSYLVEYEQEDEHDDMLLELARLEFELARCLHLEELKALSLWWRELYESVKLSYARDRLVESYFWTCGVFHEEEYSRARIMFAKVFGLLSLMDDTYDVHATLEECYKLNEAIQRWDEGAISILPEYLRMFYIKLLSNFDELEASLEPHEKFLVSYAKNAFKLSSEYYLREAKWSNTKYMPSFAEHLEVSVMSSGFPMLAPVVLMGVHDDAAVATAAAFEWATAAGVPDVVIAASGEVARFLNDIASHRVGKNEKDVPSSVESYMAEHGVGEEAALAAVAAMAEHGWRTINRALMEMDPGLLPAARLIVNLTRTLEVIYLGGRDGYTFGGDIKGLVVSLFLDPVAIIRI >ORGLA03G0171600.1 pep chromosome:AGI1.1:3:12827595:12828212:-1 gene:ORGLA03G0171600 transcript:ORGLA03G0171600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEKKESAAAAGEKPPSFCDRLQRAFHARPAFRPLRRLGVRHGQDDDGGGGAPGSTVDMQPATTTTHGGGPPRPVLPPAAGHAPAPVVLPPAVVKPAAKPAGGNAPAPVVLPSAPAARPPPPSRRHGHAHASTTGSAPAAEKVAATTTRPPPGIPVPVPPPAAAAAADVTTAAADAKEGGGDKEQQGKGKTRVSSRVRKAFSSK >ORGLA03G0171500.1 pep chromosome:AGI1.1:3:12809623:12809946:-1 gene:ORGLA03G0171500 transcript:ORGLA03G0171500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVIRSLGDFKALLRTHGDRIGLTVSIRCLWRIRHARRMRTLLRQQMKIDGMHWEVEGIEHLNAMVRVHRVIATAAPLLDQIISPSRHASSTPGRSCAACTSAAPP >ORGLA03G0171400.1 pep chromosome:AGI1.1:3:12802438:12808584:1 gene:ORGLA03G0171400 transcript:ORGLA03G0171400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRMASRLRPSTPEEVVRSIKDSFQALHTKNGARALEEVEKNLLSLRQMLSGDGEAETNQEQVLQITLEICKEDVLSLFVQNLPSLGWGVRKDLVHCWCILLRQKVDESYCCVKYIENHLELLDFLVGCYKNLDVALNCGNMLRECIKYPTLTKYILDSSSFELFFEYVELPNFDIASDALNTFKDLLTKHETVVAEFLSSHYEQFFELYTRLLTSPNYVTRRQSVKFLSEFLLEAPNARIMKRYITEVRFLNIMITLLKDSSKNIRICAFHVFKVFVANPNKPRSIIEALIENRRELLKLLQNLPTSKGEDELDEERNLIIQGIQKLACSSA >ORGLA03G0171300.1 pep chromosome:AGI1.1:3:12797802:12798884:1 gene:ORGLA03G0171300 transcript:ORGLA03G0171300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGSAIAAVAALLVLFGGHAAARIRVEHSGMVIRRPSSSIPSFREAPAFRNGEECGGGGRVDVAMTLDANYLRGTMGGVLSILQHTACPESVSFHFLPAGMDADLAAGGARHVSLTWTSACTASTRPASAAASPAPSATRSTSRSTTRASTSPTRSRPTCAASSTSTPTWWSSTTSARWRPSTSAATSSARPSTATPTSPTTSPTRSGRTRRSTARSPAAARATSTPASWSWTSASGAPAATPAASSGGWRCRSRRGSTTSARCRRSSSCSPVTSRPSTTAGTSTASAATTSRGGAVASTRGPSASSIGAARGSHGSGSTRGARAPSTTSGRRTTSSGHRRRCSRSEQQQHRAMHKLN >ORGLA03G0171200.1 pep chromosome:AGI1.1:3:12794085:12795229:1 gene:ORGLA03G0171200 transcript:ORGLA03G0171200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRCYRAFACCXSTXATGFTLNRESSVFEENKQLCSRCQVCGQEGSVKVVGLERTERVLPTGTTFTVVGEAYKDRGTVLIKRPRELGRFYVXRRGIDQIISDLKEASTGKDATAAIFAFCGGVLLAFHALL >ORGLA03G0171100.1 pep chromosome:AGI1.1:3:12788956:12789226:-1 gene:ORGLA03G0171100 transcript:ORGLA03G0171100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSQVKVEANPMNNRRFSLTLKKEEDLSPLGKYATLVDSNTNEEPRTSLLDGTRQSNL >ORGLA03G0171000.1 pep chromosome:AGI1.1:3:12780078:12787056:-1 gene:ORGLA03G0171000 transcript:ORGLA03G0171000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPEPEPEPVVIHAWSAPRSLSTSLMYSFAQRDDMEVLDEPLYANFLRVTGVDRPYREELLSNMDPDGNKVISEVIFGPGKRKYRYCKHIAKQRLPNLSSDLMKKGKHFILIRNPLNILPSFDKVVPPSFFELGIAELVSIYSELCELGSPPPVIDADDLQRDPEAVLSGLCEDLGIPYQPQMLQWEAGPKDFDGIWAPWWYRSVHKSTGFSMPRRYPLTFPFALYDLLEQSLPFYNVLKRHVSKTIGSPQPTLPDPPLPVPENKKILVWVGDELLPRDSAKVSVFDSVVQGGDAVWEGLRIYDGKVFKLDEHLDRLFDSAKAMAFSNVPSRDWIKDAIFRTLNANGMFNNAHIRLTLTRGKKVTSGMSPAFNLYGCTLIVLAEWKPPVYDNSHGIKLVTATTRRNSPNSIDSKIHHNNLINNILAKIEGNLAQAEDAIMLDKDGFVSETNATNIFMVKKGIVLTPHAEYCLPGITRATVMDLVVKESLVLHERRISLSEFHAADEVWTTGTMGEITPVVMIDGREIGDGKIGPVTRQIQNAYKVITAGSGVTIPRNADE >ORGLA03G0170900.1 pep chromosome:AGI1.1:3:12766236:12773299:-1 gene:ORGLA03G0170900 transcript:ORGLA03G0170900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVNFIIRPPRAEYSPNDDLLEQEFMLKGRWFQRKDLEVVNGQGKKLQCSHYMPVVIPEGKALPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSEGEHVTLGWNEREDLKAVVNHLRTDGNISCIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKLAIQHMRKVVKRKASFDIMELDTIQVAKRCFVPALFGHATEDDFILPHHSDKIYESYVGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLNPPDVPDDHYFLTPHGSLGQGHWDTEHDIEYRFAQSPTARTATTEDAIAQLRSRRLMSRMEVMDSDNGPSSSSVSTATPPNGRNGRLLTPTSDDGEYVEYSFDSLSDMPYTEEDEDRMLMQAIMESLKDLELSNTKAAQSAASDAACKENKEENGCNGATVTVLEPDASSTSARPTPTDAPGKDVTTCSTKAKSPEVQSASNHTTNNAASANTSSSLESNASTHVTNGKSTSSESQKPTQNANGEDGTRATLVVQKSRTGSLMDGLTHKWGSLFKNND >ORGLA03G0170800.1 pep chromosome:AGI1.1:3:12761078:12762064:-1 gene:ORGLA03G0170800 transcript:ORGLA03G0170800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCNGIAAVGRWLSTKPKVIFVLGATATGKSKLAIRLAARFDGEVINSDKIQAHDGFPVITNKVTDEERAGVAHHLLGGVSPDADFTAEDFRREAAAAVARVHAAGRLPVVAGGSNTYVEALVAGGGGAFLAAYDCLFLWTDVAPDLLRWYTAARVDDMVRRGLVGEARAGFDAGADYTRGVRRAIGLPEMHGYLLAEREGGAGAEDDDDLLAGMLEAAVREIKDNTFRLTVSQVAKIRRLSALPGWDVRRVDATAVVARMAEGAPHGETWREVVWEPCEEMVSRFLETPAAAAAVVANGKVDVNVGDAAAGVPQAAAAAAVAAGVV >ORGLA03G0170700.1 pep chromosome:AGI1.1:3:12754282:12755787:1 gene:ORGLA03G0170700 transcript:ORGLA03G0170700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PBG1] MAAAHFVFVPLMAQGHLIPAVDTALLLATHGAFCTVVATPATAARVRPTVDSARRSGLPVRLAEFPLDHAGAGLPEGVDNMDNVPSEFMARYFAAVARLREPVERHLLRSGAPRPTCVVADFCHPWASELAAGLAVPRLTFFSMCAFCLLCQHNVERFGAYDGVADDNAPVVVPGLARRVEVSRAQAPGFFRDIPGWEKFADDLERARAESDGVVINTVLEMEPEYVAGYAEARGMKLWTVGPVALYHRSTATLAARGNTAAIGADECLRWLDGKEPGSVVYVSFGSIVHPEEKQAVELGLGLEASGHPFIWVVRSPDRHGEAALAFLRELEARVAPAGRGLLIWGWAPQALILSHRAAGAFVTHCGWNSTLEAATAGLPVVAWPHFTDQFLNAKMAVEVLGIGVGVGVEEPLVYQRVRKEIVVGRGTVEAAVRSAMDGGEEGEARRRRARALAAKARAAAREGGSSHANLLDLVERFRPRHVAVSEAANGTTAPPPPPRQ >ORGLA03G0170600.1 pep chromosome:AGI1.1:3:12744848:12748741:-1 gene:ORGLA03G0170600 transcript:ORGLA03G0170600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSASTLPPVGKSGFTKLCKGLAVVLFLGHIVIRLFPSAVTYLALIPSRTIPFAWNLVTSGYIEQTIPGVIVSIVGLLVLGKVLEPLWGAKELLKFIFLVNLSTSACVFVTAIVLYYITQQEIYLYTPLSGFYGVLSGFLVGIKQILPDQEITLFLLNIKAKWIPSLVAFISVSLSFFMKDSVSYIPIILFGIYLSWIYLRYFQKRLEAGLKGDPSDEFSFSSFFPEFLRPVLDPIASVFHTLVCGRSERSEANDQTLDGLLPGSYSIEANRRRERGQRALEQRLAEKLAALEQRCAVLSGDSSKFAERIVDSFSCHRHELKIAM >ORGLA03G0170500.1 pep chromosome:AGI1.1:3:12740315:12743194:1 gene:ORGLA03G0170500 transcript:ORGLA03G0170500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PBF9] MGAAESVPETSIHEFTVKDCNGKEVSLEMYKGKVLIVVNVASKCGFTETNYTQLTELYQKHRDKDFEILAFPCNQFLRQEPGSDQQIKDFACTRFKAEYPVFQKVRVNGPDAAPLYKFLKASKPGLFGSRIKWNFTKFLIDKNGKVINRYSTATSPLSFEKDILKALED >ORGLA03G0170400.1 pep chromosome:AGI1.1:3:12717382:12734610:-1 gene:ORGLA03G0170400 transcript:ORGLA03G0170400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARKKRAAAAAAAAAAAAAQWKVGDLVLAKMKGFPAWPAMISEPEQWGQTSVKKKILVYFYGTKQIAFCNYADLEAFTEEKRRSLLAKRQGKGSDFVRAVDEIIDVYDSLKEGNNKLGLVANEVKPGVEKLANNNSSLDTEGLVSSSNMGSDKKQEDHSIVASGHNTADSDEPSVTAVGSERCVVNSAPDDPTENVSILDEMRNIPLSTSSISKKLRDAQPQNCYTRSRVSSLRRSRSSLNTDTRKAQDSGKLSGGTSLASVDLAADGTKEGSSHHVYVEDVKGNSGSPSTQDDVWLHSSAGIDNQPGTPGTSNNNKKLNYTTKVDSTCDSETSQNGASETEFKSHDASSIPMKKSVIFKRKRKPSRNIFSHSTDKDDEFQAELSEKTADSPNPKTEVNKSDGDEHLPLVKRARVRMGRPQLEDSPVDGIDVTNNRPELAMLADLCNMHNTVALPGDDCSVDQSAVVNSVSLTGRVANTVSNQSSKLYMPGPSGEGQSAWKNKEYQPKVLTLDVEAALPPSKRLHRALEAMSANVAETNNLPEVTGSKQLIPNGFVASENSHSNKSADAVVTATNGSAIAESPRPSLCTESMHSPKCKTHSSESILQNSSVPASASVPSEAKDDSHVTEGNICEETHVDSKTTDCLLVSSEVGNDDCGKGLALSMKLNESALGGTQTVAVPDRLSSSLGTASVSEVAKPINFNEGPKPVDRPAYDTDRSVQRCDEPIYRPKLLSSNNNAISDSVLHNETVVAETVVNVADTASTSSLATKSSSIQSDADTRTFEVHTFSALALKELNHRNLKDKSTSPDSMPMKELIAVAQARRFSRSTSFPDNFLNAKYIPETVVDTPAFKEGSQKQLSPLNRIIRSTSTNDNIHSRSPFDSQQQKNLSKLTGHDEANAARKAFGSFLGTLTRTKENIARATRLAIECAKHGIAGEAIDIIVERLEKESNLYKRVDLFFLVDSITQYSRNQKGGAGDVYPSLIQAVLPRLLYAAAPPGNSAWENRRQCLKVLKLWLERKTLPEYIIRHHIRELEVINEASFGSSRRPSRTERALNDPLRDNEGMLVDEYGSNAGFQLPNLISTKVLEDEDGSSSEDRSFEAVTPEHDAPCTDEKEESQMPAEKHHRILEEVDGELEMEDVAPPSEVEASTRCRPEQIDTKCRTSDRHTLGPPLPDDRPPSPPPLPSSPPPVPPPPPAPITQTGQLQRTLPMASDPVGPHPTRATNNIQTQQPNSVVERPGSMNPSVAQLQPPPFCNSGYGGHPNQMPPPPPIAPLNPPGPHGNFPAPPAPYHGNNYHQPPMASVPNEGYHMQPPPPPPPINQCPYRPPEPQQRPRPWSNNSSSYPERYRYDGHDRDHHRHDRRHHGHDRRHHFDDRGYHYDDRGYHYDDRGHYFDDRGHHFDDRGRSFDERAIRGPMHHEVADRGRFPFPPGPPGPDHFEASSAAPVHYGRPSDPPPGPCSGWSMPPRSSNYSPSRHSMEPPVPHVGGMLHALSSCFIM >ORGLA03G0170300.1 pep chromosome:AGI1.1:3:12712717:12712944:-1 gene:ORGLA03G0170300 transcript:ORGLA03G0170300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVGKDESVNRLKAVVQKLKDIRASSGRLMQAAGLTKPGSGESSSTLLTSDGPVITGSILEDAEVFGRDKGHEQ >ORGLA03G0170200.1 pep chromosome:AGI1.1:3:12709131:12709943:-1 gene:ORGLA03G0170200 transcript:ORGLA03G0170200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALQAAAIAAVLFLLPSLFAAVAAQSKAKAFCISQFAIASQACSILPPSPPDEHDDDDDDDDDDDDDDDDDDDDDHDDDDDHGGGGDDDDHDDDGDDHGGGDHHRVRRRHRHHRDRGRRGHATVVDLSALVAGNGSGSTQIAPAVAGNDTAGHRGNRTARGGRGGGRGRRRGHGRRGRLRGDDDDEGGGGDDDDHHDDDDDHEHDHDEHHDEELRAYRDCCRWLQEVSKDCVCDALMRLPPFLVKPQHTYVVRVGRTCKITYRCGGV >ORGLA03G0170100.1 pep chromosome:AGI1.1:3:12704412:12704774:1 gene:ORGLA03G0170100 transcript:ORGLA03G0170100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVMMMQRLARQAAARVVTQHARSGSGSGLAGAVRGYNNVSASHAAAAGSASGAANKQAARAMATTASRQRQVTETVMVASQNNAARIRKLEEEVMFYRSFAAFLLGAYLAAKVMGKA >ORGLA03G0170000.1 pep chromosome:AGI1.1:3:12696093:12696437:1 gene:ORGLA03G0170000 transcript:ORGLA03G0170000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVMMMQRLARQAAHAVTQRARNQTAATARGYHHAGVAAAGGKNVTPAAAAARRHPDVVVTIEEAAGAGPRPSSTSQEDAARRLRWLLYEASFWRGRSVYFAGVAAARVMAR >ORGLA03G0169900.1 pep chromosome:AGI1.1:3:12694020:12694997:1 gene:ORGLA03G0169900 transcript:ORGLA03G0169900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHVGGVIGQSPKPKVVFVLGATATGKSKLAISIAERFGGEVINSDKIQVHDGFPIITNKVTEEERAGVPHHLLGVLHPDADFTAEDFRREAAAAVARVLAAGRLPVVAGGSNTYVEALVEGGGGAFRAAHDCLFLWTDVAPGLLRWYTAARVDDMVRRGLVGEARAGFVDGAGAADYYTRGVRRAIGIPEMHGYLLAERSGGEAADDGELAAMLDGAVREIKANTYRLAATQVAKIRRLSALDGWDVRRVDATAVVARMAEGAPHRETWEAVVWKPCEEMVGRFLEASAAVDDDDNAAAGSPAALAPMTAACRLRAQLVQLQY >ORGLA03G0169800.1 pep chromosome:AGI1.1:3:12684705:12684890:-1 gene:ORGLA03G0169800 transcript:ORGLA03G0169800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETPPLALTLTELSMRIEEKGGGRFDGRRSLVVLKEALGCCHRSGNLEEVMESCHATHT >ORGLA03G0169700.1 pep chromosome:AGI1.1:3:12672353:12683248:1 gene:ORGLA03G0169700 transcript:ORGLA03G0169700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKPVLDPAFQGVGQKPGTEIWRIQDFKPVPLPKAGYGKFYNGDSYIVLQTTCSKGGGAYLFDIHFWIGKDSSQDEAGTAAIKTVELDTMLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKTPEEDKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEAIQHLKETYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAICDDDVVLETTAPKLYSINNGQLKLEDTVLTKSILENNKCFLVDCGSDLFIWVGRLTQVEERKAASAAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPVNSAGSAGAEEGRGKVAALLKQQGVDIKGASKSSAPVDEEVPPLLEGDGKLEVYCVNGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSIPEDQEMAFQTANSIWNSLKGRPILGRIYQGKEPPQFIALFQPMVILKGGISSGYQKFVEEKGLKDETYSGDGIALFRISGTSIHNNKVLQVDAVSSNLSPTDCFVLQSGNSMFTWIGNASSYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQNYTSRNATHDVVREPHLYTFSLRNGKLEVTEIFNFSQDDLLTEDMMVLDTHGEVFVWMGQCVDAKEKQKAFEIGQKYAEHAAAFESLSPDVPLYKVVEGNEPCFFRTYFSWDNTRSVIHGNSFQKKLSLLFGMRSESGSKSSGDGGPTQRASALAALSSAFNPSSQKNKGNDRPKSSDGGPTQRASAMAALTSAFNPSAKPKSPPQRAGQGSQRAAAVAALSNVLTAEGSSQSPRIGRSSPMAGDADTAELTPSAVSPLSEGASEFSADKDAPGDGALSEGGRTEPDVSVEQTANENGGETTFSYDRLISKSTNPVRGIDYKRRETYLSDSEFQTVFGITKEEFYQQPGWKQELQKRKHDLF >ORGLA03G0169600.1 pep chromosome:AGI1.1:3:12650199:12659358:-1 gene:ORGLA03G0169600 transcript:ORGLA03G0169600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-N-acetylglucosaminidase family / NAGLU family [Source:Projected from Arabidopsis thaliana (AT5G13690) TAIR;Acc:AT5G13690] MRPPTPLPFLLLLLLLLLPSPPAAAAGGADGWEAIGAAAAGGGRRRVASPEEQEAAAAGVLRRLLPSHARSFRFQIVSKGGVCGGSSCFRISNADGSRRNGAEILIQGTTAVELASGLHWYLKYWCGAHISWDKTGGAQLASVPLPGSLPQVKGTGVKIERPVPWNYYQNVVTSSYSFVWWDWKRWEKEIDWMALQGINLPLAFTGQEAIWQKVFKSFNVTDRDLDDFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLTLQKKILSRMIELGMVPVLPSFSGNVPSVFKKLFPSANITKLGDWNTVDGDPRWCCTYLLDPSDALFIDVGLAFIRQQMKEYGDITNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPTGKMIVLDLFADVKPIWQMSSQFYGVPYIWCMLHNFGGNIEMYGILDSIASGPINARTSHNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQKVEVEDWLKIYSYRRYGQSNVEVEKAWGILYHTIYNCTDGIADHNKDYIVEFPDISPNSFSSDVSKRKAISEVKKHRRFVLSEVSASLPHPHLWYSTKEAIKALELFLNAGNDLSKSLTYRYDLVDLTRQSLSKLANEVYLDAMNAYRKKDSNGLNFYTKKFLELIVDIDTLLASDDNFLLGPWLEDAKSLARTENERKQYQYEWNARTQVTMWYDNTKTEQSKLHDYANKFWSGLLKSYYLPRASKYFSRLTKGLQENQSFQLEEWRKDWIAYSNEWQSGKELYAVKATGDALAISSSLFKKYFS >ORGLA03G0169500.1 pep chromosome:AGI1.1:3:12614546:12616017:1 gene:ORGLA03G0169500 transcript:ORGLA03G0169500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPEDPKLNLVDLLPDDVLADILRRLAPRPLAACRCVCKPWRAVVDGHRLLRADLLPLSLGGIFVNFHEVFTSFFLRRPSARPPAAAISGKFEDFTPTAARSTVVDHCNGLLMLRSRYVVNPAMQRWAAFPEPPPPRPGIARSFYHDEYLVFDPTVSPHYEVFLIPSVTPEEFVSKKLRPKVEESEWPPSPCFLSVFSSSTGQWEERSFVREGEAAGTIADMRSQPLLEQYNAVYWKRALYVHREANFVMRISPSKSKYQVIKLPIAQDGYVDPFLARSEKGVYLAVLDRCHLRVWILNESCEQMKWELKYDKEIQLSFQRWNYDEESVGPWTLHYSRRDVYDGDDTNYNAEVAERKFEWDSDSDDVLDLEDRVQRSSHGGFLILGFHPYKEVIFLDEGSSRGLAYHLGTSKAQDLGRLRPNFFDHWHVEGVNRSFVYTPCWIGELSNGI >ORGLA03G0169400.1 pep chromosome:AGI1.1:3:12607200:12611342:-1 gene:ORGLA03G0169400 transcript:ORGLA03G0169400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-type zinc finger-related [Source:Projected from Arabidopsis thaliana (AT1G09920) TAIR;Acc:AT1G09920] MAAAAAAADAGSDPSAALAAAAAAATSTCAHCQREIPSSNIDLHSAHCARNLQKCEHCGEMVARKLMDEHFNESHAPVNCTLCKEIVTREIWDLHKSEQCPQRIVACEYCEFELPAVELHEHQDVCGNRTEFCQTCKKYVRLREWIGHEIQCHANANASAQTSSARIIPEREVRPPPPVRPPRPMHGAQHKRLLFTIAVTGIAVMIGSILFQREESF >ORGLA03G0169300.1 pep chromosome:AGI1.1:3:12599290:12600875:-1 gene:ORGLA03G0169300 transcript:ORGLA03G0169300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSRLQEQLQAERDLRAALEVGLSMSSSQFSSSRAMDTKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPMVDANDRYHRIPGHFSQQNFVQPGFDMNLAFCNQEKQRNEESSVDSSQWRNIKQHVLPYGSSRPLTRKLSLDASSSDSRGMEASTSMPTDNTAMAINAPKFTEGVDYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYNKPPSPWDSPR >ORGLA03G0169200.1 pep chromosome:AGI1.1:3:12591251:12593875:1 gene:ORGLA03G0169200 transcript:ORGLA03G0169200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLQLGIRHAVGKQGPITLDLKSSAFDPKEKVWTRFPPEGSKYTPPHSSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKSEVKIFLKMLRAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDYSIHRRFDLKGSSLGRTTDKPQTEIDEYTTLKDLDLNFIFRLQKHWHQEFLRQVDKDCDFLEQENIMDYSLLVGVHFRDKRNLLASEGSFDSDSSRASSPHLSRGDTDPNRFSKIKLGSNMPTRAELTVRKSECEPQIIGEPTGEFYDVILYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSRRFKDFVYKAFQEEKIDI >ORGLA03G0169100.1 pep chromosome:AGI1.1:3:12582937:12586886:1 gene:ORGLA03G0169100 transcript:ORGLA03G0169100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREHERRGRGRGGGGGGRVSPMPPGEDADTASSCSGADEGEAQAQGNEQQRAERVLPNGDLYKGQWRGGAPHGAGKYLWADGCMYEGEWRRGKATGRGRFSWPSGATYEGEFLDGFMHGAGAYVGAAGDSYRGAWAKNLEHGAGEKRYANGDCYDGEWRAGLPEGCGRYAWRDGTEYAGGWRAGLIHGRGALVWANGNRYDGGWEGGRPRGQGTFRWSDGSLYVGFWGREAPGGAVHQKGVYYPSPAAAGESRDPREVFARELPECVRSGTEGQSALPSLRSLRWLARSISGRGSSSSGRSIGSVVGVPHLWGSDGEVKPDIADDWRRRSSVREGRGPPPPSLAAPPPPPHVDKGAAPPRVMKRQGVTIAKGHKNYELMLNLQLGIRHAIGRQGQVILDLKSSAFDAKEKVWTKFPPEGSKYTPPHNSSDFRWKDYCPKVFRTLRKLFKVDPADYMLSLCGDDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRAFEDTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHTIHRRFDLKGSSLGRTTDKPLAEIDEYTTLKDLDLNFIFRLQKQWFQEFQRQVDKDCEFLEHEKIMDYSLLVGVHFRGKKVCFCAVDIDGDIPASPRLSRWDRDHFLSDPNRWSKIKLGANMLSRAELTIRKNDTDVVGQPTGQYCDVILYFGIIDILQDYDIGKKIEHAYKSFQYDSTSISAVDPRQYSRRFRDFIYNAFQEDRAES >ORGLA03G0169000.1 pep chromosome:AGI1.1:3:12571294:12571695:-1 gene:ORGLA03G0169000 transcript:ORGLA03G0169000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASTTATATSAAAAARAICVLLLLLVAVVVVAGQAPEPEGDDQAAAIDQAGGGGGGGNNNNGTGRARDGGGDRDRGADGGGGGRSKLASSIDCQICEATCRVKCLVNSLFQWGGCYQRCRSDNCNDWCTRG >ORGLA03G0168900.1 pep chromosome:AGI1.1:3:12546230:12549000:-1 gene:ORGLA03G0168900 transcript:ORGLA03G0168900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein [Source:UniProtKB/TrEMBL;Acc:I1PBE3] MDSHVGKFFDSVGSIFRGSDTLPWCDRDIIAGCENEVAEAANEEQKNESLMRLSWALVHSRQPEDVNRGIGMLQASLDRSTSPLQTREKLYLLAVGHYRTGDYTRSRQLLERCLEIQPDWRQALTLQRLVEDKTRRDGMIGMAIVTGAFGLVGLVAGGIIAAASSSSSSSRKK >ORGLA03G0168800.1 pep chromosome:AGI1.1:3:12536400:12541638:-1 gene:ORGLA03G0168800 transcript:ORGLA03G0168800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSSGGPAHNASLGPARTRTSSLFARRAGDPSAAIAGFQRRLYGGHRRPDVPLAGGSLADSYAERRVEIPKSFVILEDILQHTLSNMHSIQESILFWQSNALRTNSQKAYFMIFQRGPRAFVATTCQTLAKLQNKGSPVQGLLDSASSMFSTKLAILTRMQHCLASFLAEVYNETDKRRSVLIRRSEQSLHSLFVALNNIFSNLEVELRNAGEPGAVLANHDNNSFELFQRLPEADVQSSAAISLIYENLQKLDIFLSSQKAEKNDQILVTLHIWSIGPSAFTLWLLRHSSLMGSSDIDNWLHGAKKLLVWCWDENVQKPVSSIRYLTNTLQQSKGVTGKQYIQFPEDTLSKCRNISSSLCERYEMEPGSPEELACLMINQAFFSPTLKFQTKKFLWDFKEVMPELEHVLRVQQVTLAFGRWLLLPVLLKPARVVHGQVTQRRGRIAHLKRCQLLSRVQEMLAEFQYCMDKGMKEEAQCSLGLLLYSIYRFYRGVESSSKETGEWIYMKEHIFCLADPQLGVAIKRDILSSLRNYKCLSPPSSLYGF >ORGLA03G0168700.1 pep chromosome:AGI1.1:3:12520374:12522094:-1 gene:ORGLA03G0168700 transcript:ORGLA03G0168700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:I1PBE1] MASVTYIDDSGSEVIDPPKTEVLDVTELAGDPVPHSPKPNVVVSSSVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCVGIYPYYCKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGCTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDLEAKNYSYSLEVGGTGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQ >ORGLA03G0168600.1 pep chromosome:AGI1.1:3:12517742:12518353:1 gene:ORGLA03G0168600 transcript:ORGLA03G0168600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGGGSVGPFSKKPRHLSRSLTYHHHHHPYQGQGRSPSFNARRQHHPQQQDHAVVLYTTSLRGVRRTFADCAAVRAVLRGLRVAVDERDVSMDASLRRELQSLLAARGRPFSLPQLLVGARLVGGADEVRQLHEAGELRRLLEGAAGQDPAFVCGGCGGVRFVPCPACDGSRKVFVQEEGCARRCGDCNENGLVRCPNCCS >ORGLA03G0168500.1 pep chromosome:AGI1.1:3:12514111:12516520:-1 gene:ORGLA03G0168500 transcript:ORGLA03G0168500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSPSLHLPCNSRTGFAGKTQGIRLRVIPAGRVGFVRTTVECKESRIGKKPIEVPSNVTLTLEEQFIKAKGPLGELSLNYPGEVKVVKEESGKLRVSKTVETKRANQMHGLFRTLTDNIIVGVSKGFDKKLQLVGVGYRAAVEGKDLVMNLGFSHPVRMAVPEGLKVKVEENTRIIVSGYDKSEIGQFAASIKKWRPPEPYKGKGIRYADEIVRRKEGKAGKKK >ORGLA03G0168400.1 pep chromosome:AGI1.1:3:12495757:12498276:-1 gene:ORGLA03G0168400 transcript:ORGLA03G0168400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G31530) TAIR;Acc:AT4G31530] MAMAMACLNPLALSPRQAYGGRAAPRRCCSVVVPTSSARAAAGRCRWRLAAVAEEPQAVRQQEQQQRTEGSGEAGAEAAADASSKLVLVVGGTGGVGQLVVASLLSRNIKTRLLLRDPAKAVTLFGEQDESVFQAYKADTRNAAELDPEIFEGVTHVICTTGTTAFPSKRWDGDNTPERVDWDGTRNLVSAMPRTIKRLVLVSSIGVTKYNELPWSIMNLFGVLKYKKMAEDFVQNSGIPFTIIRPGRLTDGPYTSYDLNTLLQATAGERRAVVMGEGDKLVGEASRLVVAEACIQALDIEFTEGQIYEINSVKGEGPGSDPEKWKELFRAVQ >ORGLA03G0168300.1 pep chromosome:AGI1.1:3:12492737:12494702:-1 gene:ORGLA03G0168300 transcript:ORGLA03G0168300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole (Corrin/Porphyrin) Methylases [Source:Projected from Arabidopsis thaliana (AT4G31790) TAIR;Acc:AT4G31790] MLYIVGLGLGDERDITVRGLDAVRRCAKVYMEAYTSLLSLGLDPSALSNLEKMYGKEITVADREMVEERADQMLREAADADVAFLVVGDPFGATTHTDLVVRAKNMGVEVKVIHNASVMNAVGVCGLQLYRYGETISIPFFTETWRPDSFYEKIQNNRRLGLHTLCLLDIRVKEPTLESLCRGKKVYEPPRFMSVNTAISQLLEVEELRGGSAYGADSLCIGVARLGSDDQKIVAGPMKKLLDVDFGPPLHCLIIVGETHPVEEEMIEFHMIKS >ORGLA03G0168200.1 pep chromosome:AGI1.1:3:12489563:12492052:1 gene:ORGLA03G0168200 transcript:ORGLA03G0168200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRRGWLERIKRLFVSEPKQKPKPDKKVKSKRWMFAGKLKTQHSFALPGPAPVVEEEQIRQAEDEQSKHAMAVALATAAAAEAAVAAAHAAAEVVRLTGKTAALAPAPATTTTPTPYGHEHAALMIQSVYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMKIQARQRARASSAAAGGGDHNAANSPAPDGMDALLRRGRELYYAAAAAVHEQQLSKGWDSSTLSKEEMSAMSRSREEAALKRVRALQYASLHQSEKVGVRRQPMSREEMETLNQRWSWLEEWVGSQPPFDKDIPVAHQSPSRDAAAVNDDERPPPPPVLRSRSRSRADRLACVGDDDDDADRQLGYSARRSFTRAGRRTPARDDDGGGAAAFPGYMASTASAKAKFRSMSTPKERSGGGAADAYSEQCFPFADRLLSPIPSMSPIPSIASDIVFARSSRPAAAQRSPRVKGPMTPTRSRSRRSPGRHSFGSEAALHQLQMEQYTPIR >ORGLA03G0168100.1 pep chromosome:AGI1.1:3:12474543:12480210:-1 gene:ORGLA03G0168100 transcript:ORGLA03G0168100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-adaptin-like protein [Source:UniProtKB/TrEMBL;Acc:I1PBD5] MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSTRPIFEITSHTLSKLLTALNECTEWGQVFILDSLSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKLQASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDDLLSNISTLSSVYHKPPEAFVSRVKTAPRADDEEFADTAETGYSESPSQGVDGASPSSSAGTSSNVPVKQPAAPAAPAPMPDLLGDLMGMDNSIVPVDEPTAPSGPPLPVLLPSTTGQGLQISAQLVRRDGQIFYDISFDNGTQTVLDGFMIQFNKNTFGLAAGGALQVSPLQPGTSARTLLPMVAFQNLSPGAPSSLLQVAVKNNQQPVWYFNDKIPMHAFFGEDGKMERTSFLEAWKSLPDDNEFSKEFPSSVISSVDATVEHLAASNVFFIAKRKNSNKDVLYMSAKIPRGIPFLIELTAAVGVPGVKCAVKTPNKEMVALFFEAMESLLK >ORGLA03G0168000.1 pep chromosome:AGI1.1:3:12472713:12473675:1 gene:ORGLA03G0168000 transcript:ORGLA03G0168000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVYDSHALGPHKLVFFSEIVASPQSPSSAAPAVTLRLLVQMACRSSYGDDDDDDDDGGDVDTMEDVSCRVPLRDLTMAHGGDGDDVGAVRAAAAERAFGELVAGLEHPTLRPEVETEVPRAAARVLARCEGRAEEEFTGLEIRMHVVLVAHDAPREEGDGEDDESGSDMDFSDVCGGRGDWGDGDDAFLSDDDDDEGAQFAARPYGGAMLREGGPSDGTLLLSGFATRSDGPELDDQLELTPRDIRRLVRMALKGKDVERDEAYQRGLDGGTPVSPESLAAMLDQALQSVRQPPPQQQQNCQNTTRDGGVVRRMRTGF >ORGLA03G0167900.1 pep chromosome:AGI1.1:3:12467916:12471562:1 gene:ORGLA03G0167900 transcript:ORGLA03G0167900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPRPQAPAGTPPMAPLPVPPPIAPIPAPPPRAPAPAPAAAAAVASTSAAGGGGEADYEVSDDHRAARERHERAVQELLQRRRAFAMAVPTNDSAVRARLRRLGEPVTLFGEREMERRDRLRALMVRLEADGHLDRLLRAQEEEQGAAGAEEEEEQIQYPFFTEGTQELLKARVDIAQYSLPRAKARIERAKRRHDDPDEDPEAEANLVVKQAEDFVLECSEIGDDRPLTGCSFSRDASMLATSSWSGMIKVWSMPQVTKIATLKGHTERATDVAFSPVDDCLATASADKTAKLWKIDGSLLMSFDGHLDRLARLAFHPSGGYLATASFDKTWRLWDVSTGKELLLQEGHSRSVYGVSFHPDGSLAASCGLDAYARVWDLRSGRLWGTLMGHVKPVLGVSFSPNGFLVATGSEDNFCRIWDLRTKRMLYSIPAHKSLISHVKFEPQEGYYLATSSYDTKAALWSARDYKPIKSLVGHESKVTSLDISGDGQQIVTVSHDRTIKIWSCRSRAQDNAMELD >ORGLA03G0167800.1 pep chromosome:AGI1.1:3:12463201:12464616:-1 gene:ORGLA03G0167800 transcript:ORGLA03G0167800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGRTVLPSYVLLDRIVRSEEEAVEEESEWAAMECADWKSYGCHPGDERYPRNAARVKGMLLLARLAEPPGLSELSIRLSAAAAVPRPPPASFPPGAAVPPIPNLPDAAPDDDPPLTYVEAAGDGLIALTSCFWDGCSCYLVYDVVGRSLSMIPHLPESCLTYCSMRPLPLRAAAAGGYALRSSYSLAIVAKDMRFDMEAGRHVYRDVLCLCPPRPSSSSSSRGGITPWQFKDAIFPSQMPGSFHGDKVFSFGGHAFWADLAKGVLFCRCDDALSGRNDDAVQFRYIPLPVECHLNISFAMRGDLQLCRTMSCVDGGGDSIKFVCISDGGSSSAHTGDRAITMWTLTLATGEWLKDAQLMVADLWELEGFDKARLPKAIPISPVLNPQEDGVLSFMLNDADAELYMVSLNMHSKKLLSSLTLSSFPDDIVPPLGLDLSKDLQNLSLRPIAAESVPAKTQGRPIRRSSLP >ORGLA03G0167700.1 pep chromosome:AGI1.1:3:12325299:12330249:1 gene:ORGLA03G0167700 transcript:ORGLA03G0167700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVHRVVLKILQFVINTKTTSSQTSRILPDELIKLHGFTTLGGKRPAYDGRESLXTNGSLPFESKESVVKVFDPEKNAKERAQKEFKITIEIVGKTNLYHLQRFLLGKHRGIAQEIIHVFDVILSDKLSRNHVTGPRSFLCTQIGHQGYIGDGLESWRGYHQSLRLRKIGISLNTDMSAASFMPVRIIQIIDGFLNISYTSRPLLEQNRVQLKKVLCHVCIETNHHDDQIGRYKITGITPIPMSNNICPVGEQGTTMTVLQYFCDMEKTGVPSVGHWNIAEEKIINGGALDNWTSLNLSRMRPEEVQRFCSDLIQMCNATGMSFYPRPVVDFLSSHPNNIQNALRDVHRPMHSYVDCDENHFYADAHCNCTVSVVPSSSNDKVAVEQEELQFASTPGNSGQGKFQVSFLLNRQTILVDTENDDXVSAVIQRAIEKTNYWPKDVYYTYSMGMIDKKKMVKESHLYKGSLIFVNSRSRGGGEPPAGCERIVDRMIKANKIPLLDHIHSVKGHDPWAEWFEHVNLPRSLLKSSKEWGGVLLQDAAAKVGQMLALCLERAHCSGKCFGGFDIHDVYYIEYYQLIDINAPMTDFTSRRYINDWLSFKKIIDDHFRYTDPTSGYLSYTLYVEDFMFRISLLEDVPSTGRSWRARAVFFKNISLESSERRVQIVEGLVGFHRERLTSDRELFEEVLQDCGYWKRKTRRVPRMSSALTYSVKNELQDWVPANDYFENCGYSQLYFCRCFFGHYTVPGRITKKELDTAVGILLPGHIPRAQKRLMVDYEKTQVDAAVLSPSGNTARFSVHHIFGIGSIGNDLALPQIKKALPPSQRKRKQTCDK >ORGLA03G0167600.1 pep chromosome:AGI1.1:3:12315590:12317250:1 gene:ORGLA03G0167600 transcript:ORGLA03G0167600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSYDGVVALWLLRYYDEEAWECVCTRVAAGFLDRRRGRDDGVLRRPPLNASVGLKKKKNPKTIDQNSTTEEIKGGFDLIS >ORGLA03G0167500.1 pep chromosome:AGI1.1:3:12298367:12313699:1 gene:ORGLA03G0167500 transcript:ORGLA03G0167500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVGLVQLLVAFVVAWEAVELVLRHGLLLSLFKFALAAALAVAASFVAALFLARVVGWVLRRTAKLSIGCRSFSFNYLRDITIKSPKGAVDSICVGEIRLGLQRPLTQLGFTILNHGPILQLQISDLDIVLRQPAKSAKKKKPPPRKSTSTSPAKGKGKPKGQGKWRLITNIASLLSLSIVELRFKAPKAALGIKDLKIDLSKTGGLDPILNVEIHILPLFVQALEPDGIDNSTSVFSKLDWWVSGQYCSAMDTADCSSFLFEDIVLLCDLHQRGKGVGVKNLDLVIGPIVVNLEEKLFTKKKLSAPTVAEKTDEPAADVKSDTKSEGSKLSSLNKKIDLLPEKVSFNMSKLDLKFLPKDHGLLINNEIGGVSVRFVKSQPHSDFGEATHLQLETDVSDIHLLMDGATSVLEVVKVATVVSANIPIQSTSPIRAEAGIKISGSQCNIIISRIKPLIPLNSAQKKPTVPRESSTQEKTPKEKLALDLVFTLSAPELTIVLYSLDDIPLYHCCLLSTHFAASKTVNQGTELHAVLGELKLIVAGKPQQSIKDRISGTLLQISRSTIDLEQKVPDKDNCIDNPKSSLSLNISGVRMNVCFYYLELLCTTAMSYKVFLKSIHPPKKRPAQGTSQKTTKNAKGAQIVKISVEQCAVLYVGDMILEDMSIQDPKRVNFGSQGGRVVIINDADGSPRMAYVNSTSLPDHKHVNFFTSIEINQIGLCLNKEKQSVQVELGRSRLTHKEDLLDDKPVEEVTLFDVQKVKFVRRSGGSNDSAVCALINVTEVAVWWEPDPYLELLEVATRLKSIMHRIKHQNSANEIKDDTVYTDTLAKKDSLTEHGQQEKPQKKQELVIAVDVESLKISGELADGVEAMIHVGSIFSENAKIGVLIEGLVVSFCGARIFKCSRTQLSRIPVSISDSLPDKKLQSAATCDWVIQCRNAYVCLPFRLQLRAIDDAVEDTLRAIKLISAAKMSVLFPEKKSSGSSSSSSSSKKSKSKSTEFRYVRVIVRDLVAEIEEEPIQGWLDEHIDLMKSVFNESTVRLDLLDELASVKHKDSPKAKLDGSSSEKNNGCPEVDGDAPGVCSFEKLREDIYKQAFQSYYLACQALKVSEGSGACSSGFQSGFKMSTRRSSVMSVCAKDVDVSLSKIDGGDEGMIGFIKTMDPVCAKNDIPFSRLYGSNFTLKAKSLSAYLRDYTFPLFSGTSAKCNGRLVLAQQATCFQPQVRQDVYVGKWWRVNLLRSATGYTPPMKSYVDLPLHFQKGEVSFGVGYEPVFADVSYAFTCALRRANLAKRWFFERPEPPRRERSLPWWDDMRNYIHGKFRLDFTKTTWHLPAKTSPYEKLDQMLITSDYLEICYVDGYVSLYSKYLKVYLTSLESLAKKCSLETPHHEVIPFLETPSFFMDIAIQWGCDSGNPMDHYIFALPAEGKPRDKVLDPFRSTSLSLKWSFSLKPSTTEPVKHQQNIQAVSNNSPTVNVGAHDFVWLMKWVNIFFLPPHKLRLFSRFPRFGVPRFIRSGNLPLDRVMTEQFIRFDASLLQINNMPLQVDDPANGLTLHFTKFRLEIAFSRGKQIFTFDCKREPLDLVYQGIDLHLLKVSIKKTPEPSISKDAQVENKSLHMKATDSPGKNKTSSTEKSRDDGFFLYSDYFTIRKQTPKADAARLSAWQEDGRKKSEMPLAKSEFDGGEESDHAQSGSDEEGFNVVVADSCQRVFVYGLKILWNLENRAAIVSWVGDLTQAFQPPKPSPSRQYTQRKILEKKQSTKEAEMSNDGTLSSSPLASQSSDPPKQTKSSEPPSSGPSKLESTSTSDTAMKTSNSSDSEEEGTRHFMVNVVQPQFNLHSEEANGRFLLAAGSGRVLVRSFHSIVHVGQEMFEKALGSSNVAIGETRPEMSWSRYEVSVMLEHVQAHVAPTDVDPGAGIQWLPKIHRRSSEVKRTGALLERVFMPCQMYFRYTRHKGGNPELKVKPLKELAFNSPDITAGMTSRQFQVMMDVLTNLLFARAPRTKKSNLSYPLDNDDDDDTGEESDAVVPDGVEEVELAKIDVEIKEREWKILLDDIRTLSVGSEISADETQTPKSDDATWIVTGSRASLVKCLKKELVNVRNGRKEASSMLRVAMHKAAQARLMEKEKNKSPSFAMRVSLKINKVVWSMLADGKSFAEAEINDMIYDFDRDYKDIGIAHLTTKLFVLKNGLANAKSDTVLAPWNPPSEWGKNAMLRVNARQGAPTGGNSVIESLLVDIYPLKIYLTEAMYRMMWGYFFPGDEQHPQKRQELFKVSTTAGTRRVKKSTSIAETNSPSKQSSKETWEETVAESVANELVTQFQSQSNAPPESQDAPKEAKLVRSARSTREEKKNMDPNEVKQTRPQKMMDFRNINISQVELQLTYEGLPFAVSDVRLLMDTFHREDFTGTWARLFSRVKKHIIWGVLKSVTGMQGKKFKAKSTSQKEPTASLIAASDFNLSDSDGDEAGGSDQLPAFLKKPNDGAGDGFATSVKGLFSSQRKKAKAFVLKTMKGEADHDFQGERSENEIEFSPFARQLTITKTKKLIRRHTKKIKSKVPKGADGDKDSYFARTRVRAATSWPFWEPDGLLLFR >ORGLA03G0167400.1 pep chromosome:AGI1.1:3:12293812:12295708:1 gene:ORGLA03G0167400 transcript:ORGLA03G0167400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nucleolar protein 12 (InterPro:IPR019186); Has 2484 Blast hits to 1934 proteins in 262 species: Archae - 0; Bacteria - 90; Metazoa - 921; Fungi - 378; Plants - 144; Viruses - 18; Other Eukaryotes - 933 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G11240) TAIR;Acc:AT1G11240] MAWEEEAAVEEEEYEYGEEMEGSGSEAEDVVVGQMPTVMVPKHINKRALKNKALSVSLDKKALKDFVTGFHKRKKKRRKEAQKILQEKERKKRIEERKRRKQEKEIALYGRVLSSDNADGEDVENDGDEMETDDLPEPEVKTYEDGGTKITVTTSEITPEDDDEDLGPKRITPASTGYANKSVSKKSASLGVKKKPSKRTFRNKSKSKKGDKKRGAAKGKRKNKGRK >ORGLA03G0167300.1 pep chromosome:AGI1.1:3:12288081:12288685:1 gene:ORGLA03G0167300 transcript:ORGLA03G0167300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAVSSLNPDAPLFIPAAFRQVEDFSPQWWDLVKTTAWFRDHWFHEHQQLDEMADSLALHDAADDDDDLAGLLPDDAFDDDDDDLFFDQTHNLLVDPPQPPAALKTVKFIAMSPMCVCRCGAQGAEPGVSQGRRRTAGAPGEAPALREAHQVRRQPEERRRAPQRHPPASLGCDG >ORGLA03G0167200.1 pep chromosome:AGI1.1:3:12280823:12281059:-1 gene:ORGLA03G0167200 transcript:ORGLA03G0167200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSLHLRHLRVAVAPSSSSPVASLSPAVVLREALCGRQWMSSEEAKGSFLDKAEVTERIVKVVRKSAAHNVDRTD >ORGLA03G0167100.1 pep chromosome:AGI1.1:3:12277451:12279575:1 gene:ORGLA03G0167100 transcript:ORGLA03G0167100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRATIVASAVARLKPPRATLTHDAPAAVLAPPVADALHAEWRGLPDDVVARVLVRLPVVDLFRLGYLFSPRWLDIWRAEPLYLHDRQFASPRIAAADVADAIANVLELHVGDGVQFVGVQGENGSDDDDDGDGGGGNEVVGADGHDSGPGVAVELEHEAADQGGGVVNNSDGGGVAGRRRLRFPGGVGADDGVISDDDIYDHDDIPDGGYEIGRVYSFRVETTRWRADQLHRWCAALQRGRVREVTLANLTMEGHPELPQGIRDCATSLKGLHVFFFTMEADHIDSLVNLRVLGLYGCPGMILLALRPESEIRVLTIDFSRLVDVLVQTTRLRSLEMHNNVVQGTVVVHDAIQLRKLHLLPPTRPSKIFIGEAPSLRSIGYLDLFNTVFVIKGIVIQAGMVLHPPKMRSVRILGLRVNYTEMGHRVPREIEQILKCFPCLEKLEIMRYDEVAPEEGLLKADDEHIYQGNNFFRDLGCFSHHLRWIYLTAFRGGKYELALGKAILDEARAGTMFKMLHPQGSYTDYISNQLWWALEHFRMTTPNHAVRDRHVSVILRLRKAGGLPG >ORGLA03G0167000.1 pep chromosome:AGI1.1:3:12274119:12275106:1 gene:ORGLA03G0167000 transcript:ORGLA03G0167000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAVAAGVPMVKVRGGDGVEFSVQARRLAELAPGYIWDLPAIESGDIYDTVQLYRMNAELFTSRATGELLPQGVLRVQSIFAERVHDLDTLGHLTRAAIALDMEDLKDECYKRMLQDHQMSPEEVKLFLQNVLGHL >ORGLA03G0166900.1 pep chromosome:AGI1.1:3:12269671:12271699:-1 gene:ORGLA03G0166900 transcript:ORGLA03G0166900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:I1PBC3] MAGARSLLLRHLRVAVAPSSSSPAASLRPVVALREALCARRWMSSEEAKGSFLDKAEVTERIVKVVRNFQKIDDPAKVTPDAHFKNDLGLDSLDAVEVVMALEEEFGFEIPDNEADKIDSIKVAVDFIASHPQAK >ORGLA03G0166800.1 pep chromosome:AGI1.1:3:12265019:12265552:1 gene:ORGLA03G0166800 transcript:ORGLA03G0166800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFYGASEEDDDVHQWLPSEILRDIGAIVDPCEGCRAIVKDLAACLADVLFGSAVQRTTTQHHATVGPLPAMVDNKYQCYHAPPSMGVRPFKSNGGMMLDRVPIAPPRLALEMRTPLLLIATSAPALPPPPTKQRDAGDTGFFLPHTEANNKCTSKAPRATKTPRHVKRQQWLSK >ORGLA03G0166700.1 pep chromosome:AGI1.1:3:12260608:12260965:-1 gene:ORGLA03G0166700 transcript:ORGLA03G0166700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPLTLATACCILCTLAFSHATVAYVQLNVEASETKVVSTLKYEADEADKKGLHLLGEEE >ORGLA03G0166600.1 pep chromosome:AGI1.1:3:12247787:12258487:1 gene:ORGLA03G0166600 transcript:ORGLA03G0166600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INO80 ortholog [Source:Projected from Arabidopsis thaliana (AT3G57300) TAIR;Acc:AT3G57300] MDPRRPPSRGGAAANGGGLSYSTLFNLEPLLNFKVPLPEDLDRYRRSSPNGSMSSQGQGSLSDQYNGISDASHGLHRKRKRNLDGASDDDEVDAYSNQITEEHYRTMLSEHVQKYRRSKFKEDVFSSDPPQVIVPQKHKNGSARVTKYRSDTRNVAMLGGVEATAEYNGTKSTNAYGGFNKVVASLDSSYLDMGDNVSYKIPEGYDKLALSLNLPVFSDIRVEETFLNGTLDLRTLAAMLSTDQKFETTNRGGLAEPQPQYESLQERVKVQKFSLQVTEDPFAIPEGAAGRIRRFIISESGSLHVHYVKVLEKGDTYEIIERSLPKKQIIRKDPSEIAREESEKTIKLWHAIAVKGIPRHHRNFMALLKKRQVDAKRFSENCQREVKFKVSRSLKLMRSAAIRTRRLARDMLIFWKRVDKEQYELRKREEKEAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKAGESAPSDEASVPEEDEEDPEEAELKREALRAAQHAVSQQKRMTNAFDSETGRLRQSSDSGIPTDDLASMEPNKIDLLHPSTMPEKSSVQTPELFKGALKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLAHLAEDKNIWGPFLVVAPASVVNNWAEEVIRFCPDLKILPYWGPERMVLRKNINPKRLYRRDASFHILITNYQILVNEEKLLRRVKWQYMVLDEAQAIKSSSSQRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIEGHAEHGGALNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVPCRLSSRQQVFYQAIKNKISLNELLDGSRGNLNDKKLLSLMNIVMQLRKVCNHPELFERNEGSSYFYFADIPNSLLSPAFGELQDVHYAGKRNPIMFEIPKLVYKGIVSNMEMPVRGCGFLYGSFNRMFNIFSPSYIHQSAFPEAISPNNTVLLSGAFGFTRLINLSPVEASFLATCSLFNRLAFSAVRWNKKYTDELVDVFLDSESTDLESTHNDLTTVRAVVRLLLSPTKAESSFLRTKIETGPSDSPYEALVLSHHERLVSNIRLLRSTYAFIPPARAPPINVWCADRNFAYKLTDEMHDPWAKKLVLGFARTSEFNGPREPTSPHPLIEELHTDLPFPEPMLQLPYRIFGSSPPMSNFDPAKMLTDSGKLQTLDILLRRLRAENHRVLLFAQMTKMLDILEDYMNFRKFKYFRLDGSSAISDRRDMVRDFQNRNDIFVFLLSTRAGGLGINLTAADTVIFYEIDWNPTQDQQAMDRTHRLGQTKEVTVYRLICKDTIEEKILQRAKQKNAVQELVMKGKHVQDDHLMRQEDVVSLLIDDTQISHKLKEISMQAKDRLKKRRTKGIKVDKEGDLMLEDLDDQTSGAAEHDNTSSKKKKSSQKKLPKLQDNGSVDKNAEAEGGEVEDEDSIAAPRPKRSKRLMKNLNEDKEPEQEPTTDGDNPAEAAENNISPDDNDTEEAKDRTPSA >ORGLA03G0166500.1 pep chromosome:AGI1.1:3:12238508:12242817:1 gene:ORGLA03G0166500 transcript:ORGLA03G0166500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT1G52980) TAIR;Acc:AT1G52980] MAKKKERAVNVSGKPRHSLDVNRANDKKGAGGGAGGGGGGRSAATVRRLKMYKMRPLRDRGGKILKHDLQSKELPNTRIEPDRRWFGNTRVVNQKELEFFREELQSRLSNNYNVILKERKLPLSLLQDHQKQARAHLLDTEPFEHAFGPKGKRKRPKLMALDYESLLKKADDSQGAFEDKHATAKLLKEEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENAKHKHLVFLLNKCDLVPAWATKGWLRTLSQDYPTLAFHASINSSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLADASEHIGEVLRRVKKEHLKRAYKIEDWVDDNDFLVQLSKTTGKLLRGGEPDLTTTAKMVLHDWQRGKIPFFVPPPQQGEDSPSETAEPVEKSDEEGVSSDRTAAAMKAIAGIISSQQQMNVPCQKEFGVTNEDSEVAEQSE >ORGLA03G0166400.1 pep chromosome:AGI1.1:3:12233878:12236487:-1 gene:ORGLA03G0166400 transcript:ORGLA03G0166400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYGLFHAYGIDEIGQSVDAVRASVVDLDRFGKAVKLAAFTPFSSAVDALNQCNAISEGIMTDELRSFLELNLPKPKEGKKAKYSLGVVEPKVGSHISEVTGIPCQSNEFVQELLRGVRLHFDRFISELKKSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWFGWHFPELVKIVNDNYIYAKLADYIKDKSELAEKDISKLADLIGDEDKAKEVVEAAKASMGQDLSEVDLMNVKQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEVVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSESATAVFGQKLREQVEERLDFYDKGVAPRKNLDVMKAAIDSMVNDATNDVDDGEKVEASAKKSKKKKSKAEADGEAMDLDKPSNVADEAEPGTEKKKKKKKHKLEEEPQEQEKSAAHANGDAEENGTPKKKKKKNREVSENAEPKTATEGKKKKKKSKTEDSD >ORGLA03G0166300.1 pep chromosome:AGI1.1:3:12229222:12233060:1 gene:ORGLA03G0166300 transcript:ORGLA03G0166300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family [Source:Projected from Arabidopsis thaliana (AT3G54380) TAIR;Acc:AT3G54380] MDRRDMASHRGRSSTRGHGWGRGWRGRGEGRGLGRSRGAGPSPPPPPSSSTSSFPAAASATAAGTGGDAPPIVGSCPDMCPARERAQRERLRDLAVFERVGGDPARTSPSLAVKKASDIRPLPVLRETMDYLLHLLDSSEHQFEIVHDFIFDRTRSVRQDLSIQNIVNAQAIQIYEDVIKFHILSHQKLSRSSQDSDASSLCYLNMEQLMKCLLSLFDMYDVIHKNNSQSSKETEYYSFYVLLHLGCKIPKMVDSLSLWYGHLSASIIQSKEMVFARSILRFYHLGNFKRFFCAIAAEGTDLQLRLLEPFLNEARVRALMYFNHSGYKLQHHPLTHLSEILMIEELDLETLCRLCGLEINNNEDTKAFAPKQASFCVPASIPQINGIYISRENQR >ORGLA03G0166200.1 pep chromosome:AGI1.1:3:12213269:12214120:-1 gene:ORGLA03G0166200 transcript:ORGLA03G0166200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRQSYWCYQCRQRVRPRGQDMECPYCDSGFVSEMDDVDALMSHFVGMDPDFHRDPRFGIMEAISAVMRHGMAGTNREVDVRGRPNIFSDLEMEFGSGPWLLFRGQLPGHLSEDNGFDVFINGRRGVGMRRANIADYFVGPGLDDLIEQLTQNDRRGPPPATQSSIDAMPTVKITQRHLSGDSHCPVCKDKFELGSEAREMPCKHLYHSDCIVPWLEQHNSCPVCRYELPPQSSTGASCSRTRSTNQSQSSSSNGRTNGRQRRRNPFSFLWPFRSSSSSSR >ORGLA03G0166100.1 pep chromosome:AGI1.1:3:12203526:12204083:1 gene:ORGLA03G0166100 transcript:ORGLA03G0166100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRPHPPAPPSPVQAPAPAAPPPVSVAARPQAPYYYYCHGWKEGVAESRCPTTDAAAAAAAASGGVIELSSSDSSGGGGGAGRWDDDDDGCSSCVDGDDGAAAGGGGAGRRRDEEEKGRSQQFGSWWSRHDSSSSSSFLWPSPPNDNGDCAGGGDDEDPAAAAARRQEEDRKFWEACLASGLP >ORGLA03G0166000.1 pep chromosome:AGI1.1:3:12196760:12198307:1 gene:ORGLA03G0166000 transcript:ORGLA03G0166000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:I1PBB4] MVKAVVVLGSSEIVKGTIHFVQEGDGPTTVTGSVSGLKPGLHGFHIHALGDTTNGCMSTGPHYNPAGKEHGAPEDETRHAGDLGNVTAGEDGVANIHVVDSQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >ORGLA03G0165900.1 pep chromosome:AGI1.1:3:12179918:12182561:-1 gene:ORGLA03G0165900 transcript:ORGLA03G0165900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQEMRDEFGSISRHSLRSRSHRGGGGAPRVAAVGPAEAAAMQQSCWAQLPPELLREVLVRIEESEALWPSRRDVVACAGVCRSWRGITKEIVRVPEASGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDMSDMSKGSNTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRVPAGNYPVSHISYELNVLGARGPRRMNCIMDSIPASAVQEGGKAPTQTEFPLSGLDSFPSISFFRSKSARIDSATSQLSTQKEEKLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDENGPTNQEQDKVILQFGKIGKDLFTMDYRYPISAFQSFAICLSSFDTKIACE >ORGLA03G0165800.1 pep chromosome:AGI1.1:3:12176175:12177829:1 gene:ORGLA03G0165800 transcript:ORGLA03G0165800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:I1PBB2] MEAVLMQQAAAAAAVVARPARRRCAGEEVVGGRRPGLVRLGFARRRWSRLRLSPARAHLAVDRSREAGEEAAAVVEEEGEAAVRLFVGLPSDVVTADGRAVNRGKAVSAGLRALKLLGVDGVELPVSWAVAQPGPTGDELGWAGYLAVAAMVRDAGLCLRVSLDTHGSALPAWVAAAAAADPDILFADRSGNRRDGCLSFAVDELPVLGGKSPLQAYEAFFRSFAAAFHDFLGSTVTDVTVSLGPNGELKYPSYPPGSDGAGGYGGAGEFQCYDRHMLARLKRHAVAAGQPLWGLSGPHDAPRYGESPECSTFFRSPGGSWETAYGGFFLSWYAGELLAHGDRVLAAARRVFDGEPVELSAKVPLPRSRPAEATAGLHGGYGPVAEMFARRGCTVIASGMDGSAAAAAVLAQVKAACAEHGARLAGESASLAVARDGDGAPGAWGGLLAAERTRPCHFTYQRMGAEFFSPDHWPLFVQLVRAMECPEEAHEDDLPAAAGDGGRLAVPSGGRAAEDATAKQAQTV >ORGLA03G0165700.1 pep chromosome:AGI1.1:3:12167840:12169057:-1 gene:ORGLA03G0165700 transcript:ORGLA03G0165700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G18660) TAIR;Acc:AT5G18660] MAALLISSHLTAASSSSTTSPTARPAPSFVSFRAANAAPKGARRGCPVLASSVEPPPAASAAQPFRSLAPSETTVLVTGATGYIGRYVVRELLRRGHPVVAVARPRSGLRGRNGPDEVVADLAPARVVFSDVTDAGALRADLSPHGPIHAAVCCLASRGGGVRDSWRVDYRATLHTLQAARGLGAAHFVLLSAVCVQKPLLEFQRAKLRFEGELAAEASRDPSFTYSIVRPTAFFKSLGGQVETVKNGQPYVMFGDGKLCACKPISEEDLAAFIADCISDEGKANKILPIGGPGKALTPLEQGEMLFRLLGREPRFIKVPIQVMDAAIWVLDALAKVFPGVEDAAEFGKIGRYYASESMLVLDPDTGEYSDEMTPSYGSDTLEQFFERVIREGMAGQELGEQTIF >ORGLA03G0165600.1 pep chromosome:AGI1.1:3:12164987:12167087:1 gene:ORGLA03G0165600 transcript:ORGLA03G0165600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDEVEVGAEKKDQELPEVEVVEEEEEEGSKKAAAGCDYCGDAAAVVYCRADAARLCLPCDRHVHGANGVCSRHARAPLCAACAAAGAVFRRGAGGFLCSNCDFSRHRHGGERDPAAPLHDRSTVHPYTGCPSALDLAALLGISYSDKAAAATAAAGGDDGGWWAIWEEPQVLSLEDLIVPTTSCHGFEPLLTPSSPKIQNSPDGKVNEEVIRQLTELANSDGGGAQIWAHREAAQAGDHQLPSWGTTTQHNTGHGNFGTANSNEVATMPTPGYENGGWDNSDYPALNDPCKVEFTYEQPPASSAEACISSFVQMSELCPSMSNGSSMEETHQTNPGNGTPMQVLPKMPEFVPCPDRNLVISRYKEKRKTRRFDRQVRYESRKARADSRLRIKGRFAKVNQI >ORGLA03G0165500.1 pep chromosome:AGI1.1:3:12150207:12151475:1 gene:ORGLA03G0165500 transcript:ORGLA03G0165500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPCERRPVSLSDDMVAEILLLVPANSVGRLAAVCKPWRRVAADPTFLAARERRAPPLQLLRVSRRPSDSNGRQYDDAELSVVVPAPLISGGAEGEEARRPLARYTASFPGGYTHCTLLASCDGLLLFADHRRRLRVICDPTTRRWSGLPPHLSSAALGFYLHRPSGEYRVLSKGPDPSRKHSSSYFVISAGGGGEPRRLGGATADQLVERHPCSHLGHVAAGGKLYWMGDLVEAARHPHLNPYAPAKLVAFDTVSEAFRLVAPPPETVANNGDDDDVLMFELDGALAVLKGGAISTLKLWVLDDDVGGGGGGDAGEQGAPVWECKYSCMLPVSTPASVAVWDDDGGGGDDAGGATFTRRRITLYGVDETAARGRALHVFACGARNGGLLQVAFRDNTVAHAFFKTHPSPAVRTFGFL >ORGLA03G0165400.1 pep chromosome:AGI1.1:3:12135894:12146682:-1 gene:ORGLA03G0165400 transcript:ORGLA03G0165400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPTKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELISGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGDRTNAESLDFSEILSDDEVEAQLKEVAVISMGTEVSELDLLNIRELCDQVLALSEYRAQLFDYLRSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGEDNSIGLESRVKLETWLRVLEGKELGRSAGSTKGKPKIEVYEKDRKKGAGALITPAKTYNPAADLVLGQSTEETPKKPEGASKKRKHQDTEPAPAEKTTKEDGDQEGEKKKKKKNLRHGFGFLRVKNLAGAEETIQEDGDQEGQKKKKKKKSKESEDSPVADADGGKKKKRKSKESEEPPVATAEGEKKEKKKKKKSDSQDAEDVAMETEASGKKDKKKKKKKHGDE >ORGLA03G0165300.1 pep chromosome:AGI1.1:3:12113997:12118402:-1 gene:ORGLA03G0165300 transcript:ORGLA03G0165300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPSAPSPDHPQQPDPPPPPAAPEKPPAVAAEKAAAEEEEEEEKKPPKPARRERRARSSRSAAEAARLGLGGSFANRARGEQVAAGWPAWLSAVVGEAIDGWTPRRADSFEKIDKIGQGTYSNVYKARDTATGKIVALKKVRFDNLEPESVRFMAREILILRRLHHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDISFTEPQVKCYMHQLLSGLEHCHNNGVLHRDIKGSNLLLDNNGMLKIADFGLASLFDPNKNQPMTSRVVTLWYRPPELLLGSTDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRISETYKDFPQSALRLIETLLAIDPADRLTATSALRSDFFTTEPYACEPSSLPAYPPSKEMDAKRRDEEARRLRAAGGRTNDGAKKTKTRDRPRAVPAPEANAELQINIDKRRLITHANAKSKSEKFPPPHQDGAVSLVSTNHMDPLYEPQDPSSFSTVFTQEKSSVPTWSGPLADLSAVGKQKWKHKFGRSSKQPSTARAR >ORGLA03G0165200.1 pep chromosome:AGI1.1:3:12104387:12111902:-1 gene:ORGLA03G0165200 transcript:ORGLA03G0165200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore protein [Source:UniProtKB/TrEMBL;Acc:I1PBA6] MAGVGGSSSGGGDVEMGGWSELLHSSTKLLEQAAPTPHFPPLQRNLDQLEVLSTKLKAKTVRAEAPVQSLSATRLLAREGINAEQLARDLKSFELKTTFEDVFPSEATTVEEYLQQLHEMAIVSSIQEAQKDNLRSFNNYMMQVLEDDWQKEKRDFLQSLSRLSTLPKRNTNLMASGISRPALMPSSASSPQSSSGLPSKEVMPIPNKTIIENKSSVYAAVVRDLNDARGRSLPFGPATAFRAAYESLSVGAVGTKSVTMHKVWHLIQALVGEGSTHRNISRKMSLVIGARRHLEWGHEKYILETINSHPALAALGGSVGNLQKIRAFLRVRLRDHGVLDFDATDLRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRAAFNFAPLLVEWITTNGAVSPETALTASEECDKMLRMGDRPGRPGYDRKKLLLYAMICGCRRQIDRLLKDLPTLFNTIEDFLWFKLSALREYTSASSSNVSNEGLVPYTLEDLQSYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLPAILYLSKEVGEEGYHVDAVHISIALSDHGVLPDGVGSGQKMGIMDACAEAASIIRQYGSIYLRNGNLDLALEYYAQSAAAMGGGEVSWIGRGNADQQRQRNLMLKQLLTEILLRDGGIQLLLGPSGMGEEGELKKYMMDWRSRQQFLLEAAHQCHEAGLYDKSVEIHKRVGAFAMALQTINKCLSDAICAMARSMLDGESRAAALIHSGNEIMETARYSEASVQDKDLISEQQTVLRQLEAILHIYRLARAGQTVDALRETIKLPFLHLDPQAPNVTVDVFRNLSPHVQACVPDLLKVALNCMDNVRDTDGTLRAVKSKIANLVASNMSRNWPQDLYQKVAQCI >ORGLA03G0165100.1 pep chromosome:AGI1.1:3:12099711:12101870:-1 gene:ORGLA03G0165100 transcript:ORGLA03G0165100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDASRHGFGRMGFGCKHYRRRCRIRAPCCNDVFHCRHCHNESTKDGHELDRHAVESVICLVCDTEQPVAQVCYNCGVCMGEYFCSACKFFDDDVDREHFHCQDCGICRVGGKDNFFHCEKCGSCYSVSLRDKHCCIENSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICSMPIFDMDKFLRALDAEIEANMLHIDYMGKGWIVCNDCRDTTQVYARVAGHKCCHCQSHNTCRVAAPVLPA >ORGLA03G0165000.1 pep chromosome:AGI1.1:3:12095210:12097247:-1 gene:ORGLA03G0165000 transcript:ORGLA03G0165000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRWPPAAAAAAMLLVLIPAASRPPYGIVAATESSVQNSEQSFGFNLTLAKTIVEYASAVYMTDLTELYTWTCSKCNDLIQGFEMRRLIVDVQNCLQAFVGVDHNLNAIIVSIRGTQENSVQNWIKDMLWKQSDLNYPDMPDAKPSQTPFTRRGSYMEILA >ORGLA03G0164900.1 pep chromosome:AGI1.1:3:12091990:12092707:1 gene:ORGLA03G0164900 transcript:ORGLA03G0164900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKCRSARVVGLNQVSPRVPAGNYPVSHVPYELNVLGARGPRRMSCIMDSIPASAVQEGGKAPTQTEFPLSGLDSFPSISFFRSKSPRIEIVQLYNYLLRRKKSWFRRINLLGGMSNCNDGASTSVDGHGLLLPL >ORGLA03G0164800.1 pep chromosome:AGI1.1:3:12068937:12077097:-1 gene:ORGLA03G0164800 transcript:ORGLA03G0164800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSKQRRWHSTENKSEGRTRQHGEGLRTIHAYDCGKCAHTVSVVAGMHDVSQLFDAFVGVAEKMNLVDMLQRLGIDHLFEEEIATTLNTIHGAEFDSPSLHDVALRFRLLRQQGLWVSSDVFNKFKHRDGSFIIDITNDPKGLLSLYNAANLLTHNEEALQEAILFSRHHLELMKSNLKSPLAEQVSRALQIPLSRNLKRVEALSYILEYNVHEQTYNPSILELAKLDFNLLQHIHQRELKTITQWWEDLSNDIGLYYIRDRIVECYFWSYSMYFEEEYTRARMILAKFFMLTSLLDDTYDTHATLEECRNLNVAIQSWDESDISVLPDYLKKFFLKVMSNFVEFENELEPHIRHRNAYNRKVFQLLSGYYLQEAEWFHHNYIPSFKEQIEVSVMSAGIQALSVCILVGMGNIVTEETLEWAIGNNDAVRAGGEVARFMDDMAAFKNGRNKLDVASSVECYIKEYNVTSEVALAKIGSLVEDAWKTINQAHIDRRELLPFVHRVTNLSRSMAILFLDKRDAYTYSKDFKRTMESHFVKPIPL >ORGLA03G0164700.1 pep chromosome:AGI1.1:3:12042139:12049744:-1 gene:ORGLA03G0164700 transcript:ORGLA03G0164700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRADQLKEEVTLLFETCKDVEEKLKLVDVLQHLGIDHHFERRIAVALSDIHGAEFNSSSLHDVALRFRLLRQHGLWVSPDEFNKFKGPDGRFNAEVIDDPMGMLSLYNAAHLLIHGEVELEDAILFSRHQLETIIARNLKSSPLSQQVTRALRIPLPRTLKRIEALNYIAEYNQEQACNPSVLELARLDFNLLQLLHLRELKEFSRWGNNLYGAVELTYSRDRIVECYFWSYTIYYEQKYAQARIILAKIFVLATLLDDTYDMHATLEEGQKLNEAIQRWDESAISVLPEYLKNYYAKLMSTFKEIEDELKSEEKYYITYAVKAYQRLCKLYLQQAVWFHQNYIPSFQEHLEVSIISSGSPMLSVVSFVGAGDLATKEALEWAFDCTDAVKACGEIGRFQDDLAAFKHGKGKLDMATSVESYMKEHNVTGEEATAVISNLVEDAWKTINQARFERSSLVPAVNRVAYLAMSIMFFYQGSEDAYTFNKLSMNIIKQLFVKLIPII >ORGLA03G0164600.1 pep chromosome:AGI1.1:3:12038423:12041066:1 gene:ORGLA03G0164600 transcript:ORGLA03G0164600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G19830) TAIR;Acc:AT5G19830] MRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRVLVAFDDMDLPCGVLRLQPKGGYGRHNGVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQL >ORGLA03G0164500.1 pep chromosome:AGI1.1:3:12031136:12033458:-1 gene:ORGLA03G0164500 transcript:ORGLA03G0164500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATVTELRQSFSGKRALRPTLTSRHANEWPPTDVSSDLTVEVGTSSFALHKLLAQFPLVSRSGKIRRAVAEAKDGKLARLGLHGTPGGAAAFELAAKFCYGVGVDVTVGNVAMLRCAAHYLQMTEDFSDKNLELRAEAFLRDAVLPSIAGSVAVLRSCEALLPAAEDVNLVPRLIAAIANNVCKEQLTSGLSKLDQLKPPPPPPQAVVVAAAAAAGDLDSPGDWWGKSVAGLGLDFFQRLLSAVKSKGLKQETVTRILINYAQNSLHGLMARDIAAAAKCGGGGGDTDAVKKQRAVVETIVGLLPAQSKKSPVPMAFLSGLLKTAMAASASSICRADLEKRIGMQLDQAILEDILVAAGPVSAAAAAAAVEHTLYDTDVVARIFAVFLNLDDDSNDEDAVVVGGGCGAFDYDSPRSPKQSLLVKASKLLDSYLAEIALDSNLLPSKFISLAELLPDHARLVTDGLYRAVDIFLKVHPNIKEAERYRMCKAIDCQRLTPDACSHAAQNERLPVQMAVQVLYFEQLRLRSAIQATGTTTTTTNTSIGGAHDAALFFGCAAAAAAPRSGSGVGSGAMSPRDSYASVRRENRELKLEVARMRMRLTDLEKDQVSMRRELVRVGPANRLLRGLARRLGSLFHFRGAAAEPGLQQLGAKATADAKVLFQRRRRHSIS >ORGLA03G0164400.1 pep chromosome:AGI1.1:3:12011505:12014178:-1 gene:ORGLA03G0164400 transcript:ORGLA03G0164400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein [Source:Projected from Arabidopsis thaliana (AT2G39060) TAIR;Acc:AT2G39060] MVQALVFAVGIVGNILSFLVILAPVPTFYRVYKKKSTESFQSVPYAVALLSAMLWLYYALLTSDLLLLSINSIGCLVESLYLTVYLLYAPRQAMAFTLKLVCAMNLALFAAVVTALQLLVKAADRRVTLAGGIGASFALAVFVAPLTIIRQVIRTKSVEFMPFWLSFFLTLSAVVWFFYGLLMKDLFVATPNVLGLLFGLAQMVLYVVYKNPKKNSAVSEAAAAQQVEVKDQQQLQMQLQASPAVAPLDVDADADADADLEAAAPATPQRPADDDAIDHRSVVVDIPPPPQPPPALPAVEVA >ORGLA03G0164300.1 pep chromosome:AGI1.1:3:11997197:12005224:-1 gene:ORGLA03G0164300 transcript:ORGLA03G0164300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNGGIIPGPANAASLPAPVLIEDNWVCCDMCHKWRLLPYGTNTSMLPKKWICSMLDWLPGMNRCDISEDETTNALNALYVTQIPAAGVSSGGPHTAHASVAASSTYNISGQLGQSRKRKNALKDENCYEHDQQAPAKMTLTSNQQAPAKNREVVDSEHYTNDRDPVSTHDLVPQSKSASERHKSKHKGRSSHLDGGDLTEKSKKHSKSKNRRGIDRDEHKTSKKTKKEDRHYFNKDWKNEYDLAGNEVRDETKTLSAKAKMSKDSCEQDEFSLRKEKASRFDILEKTKRINDDDVAFHEKMKEHRAGIETLDFSGKKKTVKEWEDNRLSSMDHTSKGGDNENLNERLSKIKKSEARPEEVQYANALFSSAGRHQDNELVADNKFVTCKEGPSELWDNQPPRQVLNLAEPTRRDVACLQSSTVATSSSSKVSSSRRNKNSREAKGSPVESVSSSPLKNSNTDKISKARKTGKDGELNADSSILHTPMKYPTHEVGLLHTGQQAVGEAILRGSTNNSGMGRVDNQLYPGDKKILDMHGPTLQPDQQDCFNPRATADSTGHKSKNSAPSHQGRNGSSNLISEGNKQIEMSSRKEKLRPSIDNQDMQKSIGQDNHSHMKEGKSEVHTTRVKPGASKNHTQLRSNVENGDSASPIRRDGNMVAFALKEARDLKHKANHLKEKGLELESMGLYFEAALKFLHVASLWETPNLDNSRSGDVAQSMKMYSETAKLCSFCAHAYERCNKMASAALAYKCVEVAYLKAAYYKHPSASKDRQELQSVVQIAPGESPSSSASDIDNLNSHGLSKALSTKGGNSPQVAGNHLPLAVRNQAHLLRLLAYTNDVNCAFDATRKSQVAIASAASSQERGKTVDDGLASVRTVLDFNFNNVNELLRLVRLSMELINT >ORGLA03G0164200.1 pep chromosome:AGI1.1:3:11982799:11994475:1 gene:ORGLA03G0164200 transcript:ORGLA03G0164200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGWRTLLLRIGDRCPEYGGSADHKEHIETCYGVLCREYEHSKDAMFEFLLQCADQLPHKIPFFGVLIGLINLENEDFSKGIVDTTHANLQDALHNENRDRIRILLRFLCGLMCSKVVLPNSIIETFEALLSSAATILDEETGNPSWQPRADFYVYCILASLPWGGSELFEQVPDEFERVLVGIQSYISIRRHFDDIAFSVFETDEGNSPNKKDFIEDLWERIQVLSRNGWKVKSVPKPHLSFEAQLVAGVSHRFSPISCPPPTISQSSSEIVKGQEKHEADLKYPQRLRRLHIFPTNKAENMQPVDRFVVEECILDVLLFFNGCRKECAFYLVSLPVPFRYEYLMAETIFSQLLLLPNPPFRPIYYTLVIIDLCKALPGAFPSVVVGAVHALFDRISNMDMECRTRLILWFSHHLSNFQFIWPWQEWAYVKDLPKWAPQRVFVQEVLEREIRLSYFDKIKQSIEDAVELEELLPPKAGPNFRYHSDEGKESTDGHRLSKELVAMVRGRKTQGDIISWVDEKIIPVNGAKFALDVVSQTLLDIGSKSFTHLITVLERYGQIISKLCPNEEMQLLLMDEVSAYWKNSTQMIAIAIDRMMGYRLLSNLAIVKWVFSPANVDQFHVSDRPWEILRNAVSKTYNRIFDLRKEIQTLRKGLQAAKEASEKAARELEEAKSIIEIVDGQPVPSENPGRLRRLQARADKAKEGEVTTEESLEAKEALLARGLEESKELLRLLFKSFVEVLTERLPPISADGDVPNLRAGDPNVNSSARDPEATTMEIDNENGGDNDSSQLNGQNKKISHNVGELEQWCLCTLGYLKSFSRQYATEIWSHIAMLDQEIFVGNIHPLIRKAAFSGLCRPTSEGSHL >ORGLA03G0164100.1 pep chromosome:AGI1.1:3:11968270:11972392:-1 gene:ORGLA03G0164100 transcript:ORGLA03G0164100.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPYSASGYTXQTLCWFASCKPYCCRQYDAICARVSESFGARKYILDAKRWCIIGKNMESLEPEDGDGHQSDATDDDLPPRICTGKAYQAEIPNLATEDECRQYMSNTTDSCMALGYDCPIPIMWTLPSEFNKKEEEIQKQHSSETKAIENSRDGDSQTTSICPTSNNTSGQCSTSQDPHPELPDQIVSDSHQAHDDKLAPCSTQEGLNFTDKAMADQGEIEQFIPVPNSSTSIWSDQEAELLLLGLYIFGKNLHVLSRFVGSKTVGDVLSYYYGKFYKGEAYKRWSACRKAKIRRCILGERIFIGWRRQELISRLKSKIPKEAHDLLDEMFKSFNDSQTSLMDFVFHLKSVVGIEAFVEAVAIGKGKDDLTGFVLDPSKPNQVLSVQPGMPAGKECSSLASEDIIKFLTGDFRRSKTRSNDLFWEAVWPRLLARGWHSEKPNDVSTTKNCLVFIVPGIQRFSRSELTKGTHYFDSVSDVLKKVVADPVLLEIEVDEMGNGVNAEKNGFDTAMKLNQDVPFDGYHELPKFTIIDTSLVQGEEPSQVRELRNLPADANISFGPLRHTHNMVSDSSSDEHDTDDRSSDYKEGYAGVTADENGTEMVSSKNADNESQVDSFRNMAATSCSVFPVNGHSSNGNGDTIGATSFFPQKTKIEKRKYLSPVTKRRRLTSCSNDQTSRRSFSFSKGPGLEKEKVKLPSTSSKPTAIDVGGSFQSKSLASCSGKEKPCQQIKDASNSHANDRSNEKMNVARPKEKPSGHKVDTLASVHSKTAVEDTKPAKGVAQSSDLVANQVKLETPQDDKTVTIAHAPSSDNHGSILKNKETTSSSNTEIVHDAPEATRGGPANPQPDLQASSQAMNPRRQGTRVRPPTARALEAVAFGLLGSGKRKADPTGSSRPRQRARKSTKEAASVSTSSDTEKSSMDSGARQ >ORGLA03G0164000.1 pep chromosome:AGI1.1:3:11958897:11962698:1 gene:ORGLA03G0164000 transcript:ORGLA03G0164000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLAHLAAGVARAAASSSRLRGPRPAASALVAPLLASPWEPSGGGQSHWLVPSRGHVGHSHHHHHGEEVGGEASERIFRLGLAADVVLTVGKAVTGYLSGSTAIAADAAHSLSDIVLSGVALLSYKAAKAPRDKEHPYGHGKFESLGALGISSMLLVTAGGIAWHAFDVLQGVMSSAPDIIGNVSHAHHSHGSSGHHHGIDLEHPILALSVTAFAISVKEGLYWITKRAGEKEGSGLMKANAWHHRSDAISSVVALLGVGGSILGVPYLDPLAGLVVSGMILKAGVHTGYESVLELVDAAVDPSLLQPIKETILQVDGVKGCHRLRGRKAGTSLYLDVHIEVYPFLSVSAAHDIGETVRHQIQKSHNQVAEVFIHIGSLQPLNQNAL >ORGLA03G0163900.1 pep chromosome:AGI1.1:3:11949725:11955957:1 gene:ORGLA03G0163900 transcript:ORGLA03G0163900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAVPEELRCKRSDGKQWRCSAPSMPDKTVCEKHYVQAKKRAASSALRASLRRSSASAARGTTPPARMAVARPIYGRVAGEPVYVAEPALPPPPPPPRRRQPVHGLPMGNAAGARTAAELVGRGSAGQVACSSAAGAAAAATCHQCRRVANTIWCTSCDRRGYCTNCISRWYSDIPIDDVRKVCPACRGICNCRVCLLGDNVIKARVQEISAVDKLEYLHSILASVLPVLKQIYSDQCFEIGVDTKAYGLRTDIIRAKVNPDEQMCCDFCKVPVFDYHRHCPRCLYDLCLDCCRDIRRSRTSVARGEYAEGRAVDRSKDTSNKRARMEPSAESANDKSVPQRRDIKNIDIRSLFPTWRVNNDGSITCGPHEAGGCGSSKLVLRRIFKINWISKLVKNSEEMVNGCKVHVLENGCSSCNDGRTLELTGHRNFGVSTCSNNGGIDRFCVFSPVLEDLKSEGIIHFRKHWIKREPVVIRNAFEPSLSSSWDPLNIWRGIQEIMDEEVDDDVIVKAVDCSNQAEVDIELKQFIKGYSDGHKGEDGELMMLKLKEWPPPSVLEEFLLCQRPEFIVNFPLVDFIHSRWGLLNLSAKLPPDTLQPEVGLKLLIAYGRHQEAGKGDSVTNLMINMADVVHMLMHTAKGHDVCPKRLQPERSEKIANGMTMHVNAHAPVQNLNVDMGEQSPDHVSSKFDERAHASALRLQEKSSDAKLNCGFEGSSTEFSCSSHSEEPKVNGSERSQAGAVWDVFRRQDISKLNEYLTANWEELAASSQVKNPIYEQSVYLNKYHKRILKDQYGIEPWTFQQQIGEAVFVPAGCPFQVKNLQSTVQLALDFLSPESLGESARMAQEIRCLPNDHDAKLKMLEIGKISLYAASSAVREIQRITLDPKFNLDLKFKDQNLTQAVSENLARVTKQRNVPCS >ORGLA03G0163800.1 pep chromosome:AGI1.1:3:11934856:11935182:-1 gene:ORGLA03G0163800 transcript:ORGLA03G0163800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGVWVFKRDGVMQLEADEEGGTGRRQRRLVYVPANETMGSLQALERRLAGLGWERYYEDRAVVQLHRRDGGADLISLPRDFARFRSTHMYDVVLKNRDHFKVLDN >ORGLA03G0163700.1 pep chromosome:AGI1.1:3:11899160:11900494:-1 gene:ORGLA03G0163700 transcript:ORGLA03G0163700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADAVSPAPATPAAAQQQLRTVVLRVSIHCLGCKKKVRKVLRSIEGVKDVKVDAAMHKVTVTGTVDGDTLVKRLYKSGKQAVPWQHPHVAPAPEAAKAIEAAPPQPEAAPAGDDDGGKGDAAAAKEAAQAESSEEKKTEEKPEAEKEAEKKEEEEEEAKPSDEAKKNAGGESEAAPEAKAKGDDVGAEPAKEAVPAAAVKEASNDDEGAKDEKSKPKDAAEAAPPAAATTTERSLHFSPPAAAPAHKQHEEHYPYPYYGAPQPVMSYHMAQPTTSVSYYAPRPEPAYSMQQHPPPPAYSAPPPPQQQQQYPPPSPQPQPQAMQQQWSPSYLYMPYPHSSPDTYYRDYYSPPGTTHAPPLQDEYRMFDDENPNACSVM >ORGLA03G0163600.1 pep chromosome:AGI1.1:3:11875859:11877665:-1 gene:ORGLA03G0163600 transcript:ORGLA03G0163600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLQSEMASPRICCSALLVLLLVSSCNAGDHPACPAAWSAAVGAELFHGGVGGGGGGEAQCSAAAPHTPVAVFAHDVDPVRFALNLEFAEAEFFLHAAFGVGLDHLAPNLTLGGPPPVGARKAGLDELTWRVCAEFAYQEIGHLRAIQRTVGGIPRPLIDLSAHNFAKVMDEAVGYHLDPPFDPYANSLNFLLAVYVIPYLGINGYTGTNPLIDGYATKRLVAGLLAVESGQDAVVRGLLFERRRETVSPYGATVAELTDRVSALRNKLGQCGVKDEGLIVPEQLGAEGKICTNILSANVDSLSYSRTPAELLRILYLTGDEHVPGGFYPEGANGRIARMFLKKPPRINHGV >ORGLA03G0163500.1 pep chromosome:AGI1.1:3:11873478:11874412:1 gene:ORGLA03G0163500 transcript:ORGLA03G0163500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S21 [Source:UniProtKB/TrEMBL;Acc:I1QEQ6] MQNEEGQMVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFIRAQGDADSALDRLWQKRKAEVKQQ >ORGLA03G0163400.1 pep chromosome:AGI1.1:3:11868860:11872622:-1 gene:ORGLA03G0163400 transcript:ORGLA03G0163400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSMSGGALRTFTRCVTCLARVGSDLVLQAHPAKLELHTLNSSRSAYASISLARDFFDEFSLSAAAAADSAPSSTPLQCSVLLKSVLSVLRTPTAALDRIAASLPNPDAPKLQLTLHCLNGVKKTYWIACSAESEVQTLALDRSNFSSRLAIRPRDLARLLSNFQSSLQELTVIATDPAAGLSNVGVDGEIEGKAVELRSYIDPTKDDCDTRLHTQLWIDPTEEFVEYVHSGDPVDVTFGVKELKAFLTFCEGCEVDILLFFQKAGEPVLLVPKFGLDNGSSSDFDATLVLATMLVSQLTDSSVAQQPTTSAQRAEEPRVAATPPPVPENVSNHTKIWSELSGSAPKSFEVNREKYTQKERNANSNALNDTSMLHSVNARYKPPVADNANDTMQPMQMDHLEEPPDVVSDIPRSQHHPSNWVGADEDDDDDEDEELFVQTTPHYMD >ORGLA03G0163300.1 pep chromosome:AGI1.1:3:11866043:11866468:-1 gene:ORGLA03G0163300 transcript:ORGLA03G0163300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRALPFVLLVAAAAAALVVVPATAATAEALVAHWGMRAAPCLEGTVEEECVADGEVGVVGLRRWRRRRRLFQLMDDEGGGDYGGGGAGAAAAAQYISYAALMRNSVPCSIPGASYYNCRPGADANPYTRGCSAITQCRD >ORGLA03G0163200.1 pep chromosome:AGI1.1:3:11858232:11862741:-1 gene:ORGLA03G0163200 transcript:ORGLA03G0163200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:I1PB86] MASASLLLRLPTPSTAPSSGASSSFLSLPPTLLRQARGGAASSSALVARAASGGSPNPLFNPRADPFLSTLAAASPEELQAAAAGGGRRGDDHLPFLEIFQNAKLMASPAQVERSSSSYSQHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAQLMYLEWMNSKEPVYIYINSTGTARDDGEPVGMESEGFAIYDAMMRMKTEIHTLCIGAAAGHACLVLAAGKKGKRYMFPHAKAMIQQPRIPSYGMMQASDVVIRAKEVVHNRNTLVRLLARHTGNPPEKIDKVMRGPFYMDSLKAKEFGVIDKILWRGQEKYMADMLSPEEWDKVAGVRRPDIM >ORGLA03G0163100.1 pep chromosome:AGI1.1:3:11841727:11853113:-1 gene:ORGLA03G0163100 transcript:ORGLA03G0163100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSAAAKRPAAEEDQEEEKAAAAPSAAAGEGAGVGAGAAPAAASASAAGRRPAKRGKAVAAEVEKREGGXGGGVGVGGGVGGGCNPCGRSAAGARGSPPLDTPGLQALTGAVDRLEAILRPGEAVSNSAGHKRSALAKDLQAKLKEVKDLADGVAKKRLPPVANRRQEPWCRLISQHAKNPSIPINASHFTVGYGAHHNLRLEGSYTNSLVCRLKHAKRGALLEIYESKVVRVNGKSFDKTNKVTLCGGDEVVFNTPIFEQLPEEKSSTSPFSSTWCSVQPGQHSLIKDFKDIFSSKEAKVTSFYFGKSRPPLMPVGSSSDPDLVSSLCKTMEDQFNSEENTPFAWCQLLKEDLKNATIDPSEISETFDSCPYYLSENTKSALHSSAYVNLHCKDYIKFTKDISSLSQRVLLSGPAGTDIYQQYLVKALAKHFGARLLTIDSSMLFGGKTTKESDSYKKGDRVRYIGSLQSTGIILDGESPPDFGSQGEICLPFEENRSSKVGVRFDEQIPGVDSLCLDAPGWEIRSKHPFDVIIQFISEEIEHGPLVLFLKDTERICGNNDSYRALKSKLQYFPAGAFIIGSHVHPDDHKEKANASSLLLSKFPYSQAILDFAFQDFDRGTDKNKETSKATKHLTKLFPNKVTIQPPKDEIERSKWNQMLDRDVEILKGNANISKIRSFLLKMGLESSDLETVCVKDRLLTNECIDKIVGFALSHQLKHSTIPDPSSDVRFTLSSESLKHGVDMLESVESNPKSSNIRKSLKDIATENEFEKRLLADVIPPDEIGVTFEDIGALESVKETLKELVMLPLQRPELFSRGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWFGEGEKFVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRRKILSVILAKEDLADDVDLEAVASLTEGYSGSDLKNLCITAAHLPIKDILEKEKKEKALAEAENRPLPQSFSSNDVRALRLSDFKHAHEQVCASVSSDSTNMNELIQWNDLYGEGGSRKRTTLSYFM >ORGLA03G0163000.1 pep chromosome:AGI1.1:3:11839210:11839953:1 gene:ORGLA03G0163000 transcript:ORGLA03G0163000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLRLPSVKLWRAMVRVHSSCSSSPSPSPAPAPSEQLEEAAGAPPPAAAAASTTARRHWSEQREVFTIWMKSLVLNGSGCTVYDSGGRIVYRVDNYGSRRAADVCLMDLAGNVVVQILSKARLGHLVGRWDGYRPCRVAGAGAGGEQRPWFKAASEAWRGGGGPRCEFRSDDGGRAVRRYRMEGRLREAARAARIVDGATGAAVAEVRRKTTAGGVALGDDVLTLLVEPNVDRSLILSLLLLHHDW >ORGLA03G0162900.1 pep chromosome:AGI1.1:3:11827415:11831847:-1 gene:ORGLA03G0162900 transcript:ORGLA03G0162900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALASPFLLPHRRRKRPLDDSHFHGPQRHRRRRLGPAAFPCPPIPPEAASSPAFDMGGFVSFLRGKPRHDDAGLGVYRGWVDVRSRDLSVATAMDDDDAGFGPRLVVRRRVGDPRKAALEAAAPRPRVKMEPYYKGALEKARSYDKRLGELASQVNLQEEKLAELRKAAEPPKEDLSELFTPLTAEEENEVHKCLFGRGSSTEILALHEPSNIEVSREKFRCLRPTAWLNDEVINLYLELLKEREAREPKRFLKCHFFNTFFYKKIVGRLVQLACGKNGYDYKSVKRWTTRRRLGYELIECDKIFVPVHKDVHWCLAVINMKERTFQYLDSLGCVDHHVSRVLARYIAEEVKDKSNKEIDTSIWHDELVDDIPLQQNGWDCGMFMLKYIDFHSRGLSMSFSQENMEYFRKRTVMEILRLRAD >ORGLA03G0162800.1 pep chromosome:AGI1.1:3:11824297:11825295:-1 gene:ORGLA03G0162800 transcript:ORGLA03G0162800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDAATGNATTATTTVLVGVDYSEHSYRALEEAARLAAVRFPPGAAEVVAVHARRPLAPAFVAIGAVAAVMSVEAAEQQAMERLIEEKARQLSAQYKVEVKVEVKDGEAKRVLCDAVGEHGAGMLVVGSHGYGPVLRALLGSVSDHCCRHASCPVMVVKMP >ORGLA03G0162700.1 pep chromosome:AGI1.1:3:11819233:11823256:1 gene:ORGLA03G0162700 transcript:ORGLA03G0162700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAEEACAGRDGYNFDGHRLRVEPAHGGRGNGGSSFDRPSNFGGGGRRGVSRHSEYRVLVTGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTVGIVDYTNYDDMKYAIKKLDDSEFRNAFSKGHIRVKEYDGKRARSYSRSRSPSRSRSKSRSLSKSPRTRRSASRSRSRSRSVSSRSRSASKGRSPSRSPARSKSPNASPANGEASSPKKRSPSRSPSRSRSPDAKSE >ORGLA03G0162600.1 pep chromosome:AGI1.1:3:11816658:11817041:1 gene:ORGLA03G0162600 transcript:ORGLA03G0162600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATAVAASLSVPGGLGRPLARVSSPAAPMRAASPAAARRAVVVRASEAEPVRREKASAAAAAAGIAAVAAVAAALAVPEVAEAAPALSPSLKNFLLSIASGGVVLVAIVGAVVAVSNFDPVKRT >ORGLA03G0162500.1 pep chromosome:AGI1.1:3:11813681:11814088:1 gene:ORGLA03G0162500 transcript:ORGLA03G0162500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAMADEYNQYGGGGGGPRGGAAPHGLLLAVVVGLVVAGPLFLGDGGEAVTEAVAELLSPVGLLLLPVCLLLLIRLLSSDRGAAALADAFAFGGSPDAVHRVGGSPVGVALMLLLILALLYYRTALFGGDGGDDE >ORGLA03G0162400.1 pep chromosome:AGI1.1:3:11806879:11809434:1 gene:ORGLA03G0162400 transcript:ORGLA03G0162400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PETER PAN-like protein [Source:Projected from Arabidopsis thaliana (AT5G61770) TAIR;Acc:AT5G61770] MARVHHKNGRGGGGGGGGGKGKGKGKWKMPASVARKQQAAMANVDQVTGDKIPKSFVFSRGKLPTTLRHLQQDLRKVMLPYTALNLKEKKRNNLKDFVNVSGPLGVTHFFILTNPKSSPHLRMAKTPQGPTYTFQIKEYALAADIANSQKRPRCPPEIFKNSPLTVLSGFGGLGEPFKSLVEYFRHMTPAIDPVTVKLSTCQRILLIHFDREKEMINFRHYSIKLQPVGVTRKIRKLMQNNQVPDLRDLNDVSDYVTKAGYGSESEVDDEAATVSLASDVDKLNRASRKSAIRLQEIGPRMKLHLVKVEAGLCSGDVLYPQPVGKEGLGKKGKEVEEETEGQEDEDLMESDDDPEDESEE >ORGLA03G0162300.1 pep chromosome:AGI1.1:3:11803141:11804316:-1 gene:ORGLA03G0162300 transcript:ORGLA03G0162300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAAAAGGKGGAGKKKGSVTFVIDCAKPVDDKIMEIASLEKFLQERIKVAGGKAGNLGESVTVSRDKTKVTVTSDGPFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >ORGLA03G0162200.1 pep chromosome:AGI1.1:3:11799301:11802292:1 gene:ORGLA03G0162200 transcript:ORGLA03G0162200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photolyase/blue-light receptor 2 [Source:Projected from Arabidopsis thaliana (AT2G47590) TAIR;Acc:AT2G47590] MAAAASDSDSPAAAAARHHDDPTLPAFASFSLSLSLRTPASPSPAALASVPSTIHLPTQISTLAVCLHPSASASASPSSRRRLNAAAAASSLLAPLPASTPGLSRSFPSGAPAAAGRRRTLVWFRADLRLHDHEPLHAAVGASSSLLPVFVFDPRDFGKSPSGFDRTGPYRAGFLLDSVADLRRGLRARGGDLVVRVGRPEVVIPELARAAGAEAVFAHGEVSRDECRAEEKVSKAVEKEGIEVKYFWGSTLYHLDDLPFRLEDMPSNYGGFREAVKGLDVRKVLDAPEEVKCVPMKNVLEPGEIPTLAELGLTAPPAMAHGSKAAVGSTLIGGEAEALERLKKFASECCMQPNKGDKDSTRDSIYGANFSCKISPWLATGCLSPRFMYEELKKHASRAIPSGSTPKNGDGTSDAGTNWLMFELLWRDFFRFITKKYSSAQKTSEVAPATGCTPTPALA >ORGLA03G0162100.1 pep chromosome:AGI1.1:3:11793242:11797671:1 gene:ORGLA03G0162100 transcript:ORGLA03G0162100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKPRGKPKSRALVAGAAHGGKEKKGVTGGAGKRGDRRGGRHGPRLPTALRRQLDALGPGTSRGSDEDEEAGSDDEGAHDVYEYEEGVPEEEAGKNGRYDAVEKYEYEFDSDASNADEDVPSDEGEDMEEDDAGEDEDEEKQIRILQETTGMPREAFDGGKRKKQPLELPFQPGVGDGPVTIHDLLNNIQGKPGYSKLRKRLQQQEKKPMVVQAPLAKVEREKLERGVVYQQSKKEVTKWEPLVKRNREAPTLYFENDLNLGVNTVGAIASEFKPRNEFEKQMAEIMRSTEMMEAHKNDGVKILELNKIDMEDVRERQNRLAKMRSLLFRHEMKAKRIKKIKSRTYHRMLKKDKLKAASADFEADPEAAKEHAMKQEFKRAEERMRLKHKNTSKWAKRILKRGLDVQDEGTRAAIAAQLQQNALLTRKMNSTKDDSSSSEESSDDEEDDDESEANILNKGKEKILKILGDDNEIPTSGVFSLPFMERAMKKHEEATYEEAWLALEECDESLRKLEDGNTVENGDSVKVTGKRTFGPAKDTNKVTNKKQKLDDGDKNSDSEYESDSAQHLDDNEVHKIDDVQIGTALLDDDEPQDDLFKSFDDIIKNPGHKTTVEVGMLADNSWKKFKSSKGNDGSNTNGDIDKSTVKVSYMADQKLKQLDHNSDSDSEDDMVEGLLTISDAKENYKIPSQADLIRQAFAGDDVEAEFEKDKLDVLNEENPEPEKPALVPGWGQWTDIQQKKGLPSWMVKEHENAKRKREEALKRRKDAKLKHVIISEHVDKKAEKLLVRNLPFPYTSKDVYEQSIRMPIGPDFNPAISVAALNRPAIVKKPGVVIKPIQYEEVDPHEKPDEPKRVIQRAVPNPKAKRTSAKQAKAIASNKRK >ORGLA03G0162000.1 pep chromosome:AGI1.1:3:11791281:11792816:1 gene:ORGLA03G0162000 transcript:ORGLA03G0162000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGVQEDEACGAAPASIPSSSPGPDVGHRRRQARAIRCLRWKFCVCLHVTQHIFSILQANEYVIQSSKALIQLLDVGICADESGPHHYIAFGEGCERHTYDRNGDDMANPNPTLPWLPVPHPPLPTSLKQQQQATTWEERRLQRRLRSSSNNNSCIDKGEAAADVPKQQQQQATTRERRPQRRLRWLKGEMGRRREKGKIGTRVVDKMMR >ORGLA03G0161900.1 pep chromosome:AGI1.1:3:11787881:11788345:1 gene:ORGLA03G0161900 transcript:ORGLA03G0161900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAAGIEVVRWCGGGQRASGSEGLGKTSPPVQCVVKAAAAAAEGNDLMGSGGLRRRRRIGKTLSPAAAVGDDVGSGRRMGTTSSPPAAGRGGWGSSSGGEDLSPLVQCAPAAEGDDDARSESRVERVMTAEA >ORGLA03G0161800.1 pep chromosome:AGI1.1:3:11776707:11778440:-1 gene:ORGLA03G0161800 transcript:ORGLA03G0161800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancy/auxin associated family protein [Source:Projected from Arabidopsis thaliana (AT1G56220) TAIR;Acc:AT1G56220] MGLLDKLWDDTVAGPRPDTGLGRLRKHAAARPAAVKINDPAGDAAMVAVPPTTPAGAEEAPVKVTRSIMIKRPAGYPASPRSAASTPPASPAGSTPPISPFAGAGGRFRRKSSSDAYERATPGTTSHPPPFEV >ORGLA03G0161700.1 pep chromosome:AGI1.1:3:11771244:11774308:-1 gene:ORGLA03G0161700 transcript:ORGLA03G0161700.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFLIVDIIAPPNCYRPHTSSVCSRTRRPRPELLLGALPALSPVGGHDEDVILQQRPRPRAAVRRVRHHRLAGEGAGVGAGEGLGAGGVEDGLVEEGPGVDELVLVVLQHVVGVGRGGGAPAGRDEGAADGGEREAARGGGVLGEDAAGGEEAEEALEDGERGHKKKTREDRQKWKEKNNEEEAAAELLFPPFPEQSFVQQNFAFSISLFPSRRCACLMALQGGRVVSPASAHSMDEELHFKKLKNGERGGITCLLACDSSPASVRGGNSCHGRLRAAAAGSRASMCGDGSSSESRTMTQGG >ORGLA03G0161600.1 pep chromosome:AGI1.1:3:11767113:11767730:-1 gene:ORGLA03G0161600 transcript:ORGLA03G0161600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSKNLLLVLVVAATAVGIVAPHGSEAAAGRAVTGLVTGVVPCSAGSSINAASVPAFPDAGVQMVCGGRVVGGATADGTGAFTINMGALNATMLMAMAGNQCKVVVTTPLAACDASLAAVAGTLTAPVQLLGGTGGLGGLGGLITLITQILSGLLGEILNIIPLPFSLV >ORGLA03G0161500.1 pep chromosome:AGI1.1:3:11759914:11760847:-1 gene:ORGLA03G0161500 transcript:ORGLA03G0161500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSSLIRSLLLAAALLVAVGISPHAAEASSGGAVMGLVTGVVPCSAGSSINAASVPGFPNAAVQLECGGRAVAGATADGSGAFAINLGKLTAATLTPLLNDRCRVVVTTPLAACDASLAGVAGTLAAPVQLLGDGGAGGGGALGGLGGLIGGITGIIGQIISGVLGNIISIVPSAFSVV >ORGLA03G0161400.1 pep chromosome:AGI1.1:3:11750451:11752472:1 gene:ORGLA03G0161400 transcript:ORGLA03G0161400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSFFVGIVGNVISILVFASPIATFRRIVRSKSTEEFRWLPYVTTLLSTSLWTFYGLLKPRGLLIVTVNGAGAALEAIYVTLYLAYAPKETKAKMVKVVLAVNVGALAAVVAVALVALHGGVRLFVVGVLCAALTIGMYAAPMAAMRTVVKTRSVEYMPFSLSFFLFLNGGVWSVYSLLVKDYFIGIPNAIGFALGTAQLALYMAYRRTKKPAGKGGDDDEDDEEAQGVARLMGHQVEMAQQRRDQQLRKGLSLSLPKPAAPLHGGLDRIIKSFSTTPVELHSILHQHHGGHNHHHRFDTVPDDDDEAAAAVAAGGTTPATTAGPGDRH >ORGLA03G0161300.1 pep chromosome:AGI1.1:3:11739015:11747341:-1 gene:ORGLA03G0161300 transcript:ORGLA03G0161300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVARMPRSGSVGCGGGCSASVRLRRCRRRRHRRQPPGTGTVAGTIEGAAGADEDGGGDAGEGVPTKNPTMKLAGLPRWQRHGGDHAGGGRRAERGGLLEGKTPPPFPPEPPDSPPATTATPAMSASAAAAAAYGTPPSQQPPPPTAESVLRVASRDPSAAAPLLQALPPDGLDDVLSSLSPASPPNHLALLPAVLALSPSPTAAAAALSALLSAPSWPSPTLLAVASLLRDLPAAYRHRVPAFVAKVLSLLPAADAQDLPALAYQLLLLASKLLHPRAVLSGLLRFFGGHRGARLRAPPSIARQVEGTVLMHVAFAVKQDPALAREVVAAVKADAAGTLSGFAVAVLLSVARVRRFNDAAVGVLRDAVITSRRDYRISRRCKWLPECLKGECARAANCVEKALLKAVGESIGGREHVVPSIVQVGFLLLEASDSDRKEEVGSNEGVMSTEEVGVNMLKSLFDIHGMARTEIIEQCKFRILSVKPSQSLPVIRLLGGLVRTHPFQMLEYISHLKELLDYFAFLNDKISIGLINCILPLTKFSRDLKDYIILVIRKAMFKREDAVRIAATNAIVELIIAENKHKRTEANPFQDSSSQPSSSQQPETHLEIGGGLFQELSGLLRRCFMQQARVKEVLYNGLIQIVTSDPSIAENVLDFLWPHFLNYYTEHAECPLKIDSCFKIENAKFNKIVNVNNHEMHIGSASGLLLRRIMSYCQVGRLSSSDLFVKALSNTQKYLRKCLAEDQRGQTQETCSLSSHLDTAHCHNFAMIGIIEVFIGFTASKLEKVADEQKEMLEKEILDLIDAHSSFERKKSKNKEKIAQRAGNSSDSTAKQTNGPKEYYSATLQKLNERRETFMDSSLYELVRVCVKQCDADNLEKCSQRPTQSKLNQCHSLLSFVLKACYRMFKSLAAKGSGATTGNVRAVLYEDVKKLVGPMMQLIWWIMLDSKQENGGTKRNLTQGKKHMDSKKDQLYLALTCLTEMSKLSVPEDHPGDIIDVLVSSAPPNIEDMVHCSQLLGRNDTDPNTGSVHVFLNILKMLYVRVLSQSLPRESEAVTELILGISRKLHHEQSHLVGHWAASLCQKTILQNPSIAQEMVKLAIHLMIAPDDLVLVHEMTAELKLITNGEEDSRDSSETFPVINCKTKNSLAAVFLQMVESSLTELDWVIGKLKVMLALAYDSANIDEDDQPADERTQRLYLEEALYSRSTSVVHVLSSFAHTSLKDSQAEQFLKLTAKLYKLLARMAKSQIAPKGYKQVMPGLKFQKLAEVTCRMLTAPLYVFVALVQENQQASKRGILARIKRESKCIPDLIFQIEDYEKYLIQLSKLTKVNLLRHAKRSVARDFKIQSKDELERNSTAARAASSENMPEEDAEGPDAPLETNGDEDPQASARSDNTVEDSESDEEEERVLARRKRAKTNSIVQDSDEEAEDE >ORGLA03G0161200.1 pep chromosome:AGI1.1:3:11735972:11738150:-1 gene:ORGLA03G0161200 transcript:ORGLA03G0161200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPKSDDVYLKLIVKLYRFLVRRTKSPFNAVILRRLFMSKTNRPPLSLRRLVRFMEGKENQIAVIVGTVTDDKRVYEVPAMKVAALRFTETARARIVNAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSNTKPYVRSKGRKFEKARGRRNSKGFKV >ORGLA03G0161100.1 pep chromosome:AGI1.1:3:11733286:11734108:1 gene:ORGLA03G0161100 transcript:ORGLA03G0161100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIPLISSRGPGGKRSLSAADELWPPPPQHASDDPAEQAAADEEEQEQQPAARRQRRGERRTLYRGIRRRPWGKWAAEIRDPAKGARVWLGTFATAEAAARAYDRAARRIRGTKAKVNFPNEDNAFAAAPPPYHLAAYYGDASSTSYLYPMAMTPAAAGLREQQLMTTTAVEYSVNDAVDVASVYFQPPPPAVAYEFSAVGGGAVVVPVSAVAPAMTYGQSQEVAAPLMWNFDDITAMPM >ORGLA03G0161000.1 pep chromosome:AGI1.1:3:11713810:11724221:1 gene:ORGLA03G0161000 transcript:ORGLA03G0161000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RELA/SPOT homolog 1 [Source:Projected from Arabidopsis thaliana (AT4G02260) TAIR;Acc:AT4G02260] MQPPTGAVSGSSSSSLECVSSCRASWRGGGRPYECSVLSCAWNAPRALTGALASTTAQCSSCSHAEAGAGWRRRGRSRRSNNSLLHITWAEGINRGKFGYGSSAHSFPTGNFFKSWSTSVDPTWRVFCYSSSESFNHISPETLWEDLKPAISYLQPEELNFVHDALKLAYEAHNGQKRRSGEPFIIHPVEVARILGEHELDWESIAAGLLHDTVEDTDMVTFERIENEFGVTVRRIVEGETKVSKLGKLQCKNEGNSKQDVKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYRIKSELEYLSFMYMNPGDFAELKKRVEDLYKAHEQELEEANQILGEKIAEDQFLDLVSVETQVRSVCKELYSIYKTALKSKSSINEINQVAQLRIIIKPKSCNGVGPLCTAQQICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDLIAERGIAAHYSGRGVVSGPVRPGISSGRNSNGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVVDYAYLIHTEIGNKMVAAKVNGNLVSPIHVLGNAEVVEIIIYDKLSAKYAFQRHQQWLQHAKTRSARHKIMKFLREQAALSAAEITADAVNNFVADLEDESDYEQSIPSSENRDYTFNWQKILNSDKLSFGNKKSDCFLPVKNVSVPKVNGKHNKTVKELGIKINGSTFRGDSFTDFIHPGVSSSKEVLPSVDNWKAGKICAWHNTEGSSIQWLCIVCVDRKGMVAEVSSALTACGITICSCVAERDKRRGIGVMLFHFEGAYENVVSACSGVDMILGVLGWSVGCSCNPLGVLEC >ORGLA03G0160900.1 pep chromosome:AGI1.1:3:11711555:11712037:-1 gene:ORGLA03G0160900 transcript:ORGLA03G0160900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVYATYEEAARSSSYTYYKIRVAISTESVPQLVKKTLGFGTLCWDGSFVKDSAPSQDVTLLLPRHSSVWRAN >ORGLA03G0160800.1 pep chromosome:AGI1.1:3:11708062:11709627:1 gene:ORGLA03G0160800 transcript:ORGLA03G0160800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16760) TAIR;Acc:AT3G16760] MNSYSGDRSSSSSSRPTTTSFDSYQFDFGVNSSRSSASRPLRPGPGATAGGAAAGGVGGGGSAWTHQPAKTTSWTHQPSPASAAAGAGSGPTSMVGDIFGRSWSSAAPSSGLGIPQANNPGLFSDLLGSALGSSSRGQPNAPLRSSAPQTYKPANANPNPSGSPFSMGGMASTLPKTTTGSPMSSGGGGYGVGGRPMKPAGMASAAAAQPMMGQKKDPFGSIDPFAAKPGSMNAAKKANPVKPDQGFGAFQGVNSGGIAGLSGFQTADSGFGSFQSSGAAKPSSFTPPAPAPAPAPAAAAANSGVDHLDSLFASTTAAPTAVSNGGGGGDMFGEMDGWVDVEADFGSGDSGGATTELEGLPPPPSGLTASAAKSKGMDNYKGGQYADAIKWLSWAVVLIEKSGKDADIVEVLSSRASSYKEVGEYKKAIADCSKVLEKDKDNVSVLVQRALLYESSEKYRLGAEDLRLVLKIDPGNRLARSMIHRLNKMAD >ORGLA03G0160700.1 pep chromosome:AGI1.1:3:11692481:11696555:-1 gene:ORGLA03G0160700 transcript:ORGLA03G0160700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPKLDRTPSIRDRVEDTLHAHRNELVALLSKYVSQGKGILQPHHILDALDEVQSSGGRALVEGPFLDVLRSAQEAIVLPPFVAIAVRPRPGVWEYVRVNVHELSVEQLTVSEYLRFKEELVDGQYNDPYILELDFEPFNASVPRPNRSSSIGNGVQFLNRHLSSIMFRNKDCLEPLLDFLRGHRHKGHVMMLNDRIQSLGRLQSVLTKAEEHLSKLPADTPYSQFAYKFQEWGLEKGWGDTAGYVLEMIHLLLDVLQAPDPSTLETFLGRIPMIFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVLRLKKQGLDFTPKILIVTRLIPEAKGTSCNQRLERISGTQHTYILRVPFRNENGILRKWISRFDVWPYLEKFAEVNMHPFFTCRDSSDGPELVFFLCYXXLKDYIYQEHXKIIKFCLFHYALQQSFLFKELEIKGLNPRXRLXITYVEQXQNKIQFSIXELSRVCFCIXFVVXRALVTFPSXNPDSVYGTSSSGCELWSTVLLXLKLQYQALSIPVRPSGRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRTGLAMVSSIATXLILMVPSCRYSHQFNLHVISEHMEWVVHVTYILTCLTNYSQLSTKHSVSLLWRPXHVDSLLLQQSMVDLPRLXSTGSQGSTXILIIRIKLQIXXLTSSNNVNKTPTIGLKFLIEAYNVYMRNIHGRSTLRGXXHWLGFMASGSMSRSLRGGRPGAILRCSTYXSSVNWYAKSSALLGPL >ORGLA03G0160600.1 pep chromosome:AGI1.1:3:11687643:11688032:1 gene:ORGLA03G0160600 transcript:ORGLA03G0160600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVSAVSSGAGAGGGKAALGELDISALPVFVHVAGCEAAAAVECAVCLGEVRDGERGRLLPRCGHRFHVECIDRWFRANSTCPLCRAAVVAGEPGGAAAAAAGDKGDAVAVAVVGVPDVVVHVQVEEG >ORGLA03G0160500.1 pep chromosome:AGI1.1:3:11666287:11666892:1 gene:ORGLA03G0160500 transcript:ORGLA03G0160500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCLVTSSDTGAPPPPAAAGAAATQAPHLPRRGLHGPAAMKVAIAGNVVVAVLFVAVIVWRLFFFGGRDRAGGAAASAAADADGESSSAGSSPCASPRAGGGLGREDLMALPVYVHGASAAADGGAKAEECAVCIGELRDGDTGRLLPRCGHRFHAECVDKWFRSHATCPLCRAAVAAADGDSGGEADTKVAVVEQDVY >ORGLA03G0160400.1 pep chromosome:AGI1.1:3:11661602:11661880:1 gene:ORGLA03G0160400 transcript:ORGLA03G0160400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLAAAAAPVLAILGVGAACADEESRGGGKHAEARTQLRGWTKRDSTEAVELASSSSNTTSCSFPLGAPAGRGIRRRRRIGDRGEEMKGW >ORGLA03G0160300.1 pep chromosome:AGI1.1:3:11660289:11661126:-1 gene:ORGLA03G0160300 transcript:ORGLA03G0160300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACPPLSLQPAYLPGRSARARRPLPAVRCSAVGEVMAETVAVGTVEEPLLVSAIEGEEGRETAGLAYEAVREVHEELSIALRATSFIL >ORGLA03G0160200.1 pep chromosome:AGI1.1:3:11648200:11649555:-1 gene:ORGLA03G0160200 transcript:ORGLA03G0160200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PB56] MVEQKGNILMKRYEIGKLLGQGSFAKVYHGRNIKNSQSVAIKVIDKEKILKCELMDQIRREISVMNLVRHPCIVQLYEVMATKTKIYFILEYVKGGELFNKVRRGRLKEEVARKYFQQLISAIDFCHSRGVYHRDLKPENLLLDENRNLKISDFGLSALAECKRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADVWACGVILYVLLAGYLPFQDKNVINMYKKICKAEFKWPSWFSSDIRKLLRRILDPNPATRISVSEIMEDPWFRVGLDSDLLNKTIPTDKVDKVVHVDMDSTFGNLSNNINEGKQEAENLTSLNAFDIISLSSGFDLSAMFEDENSKEESKFTSTNTATTITKKLEDVAKNLRLKFLKKNGGLLKMEGSKPGRKGVMSINAEIFQITPDFHLVEFTKINGDTLEYQKVKQEMRPALKDIVWAWQGEQPQPQSLNEPS >ORGLA03G0160100.1 pep chromosome:AGI1.1:3:11643042:11645673:1 gene:ORGLA03G0160100 transcript:ORGLA03G0160100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEAECAAAAVGGGGRAEIDTSAPFESVREAVDRFGGSAAWSSHLIRRMFAPSNPKEQSEESKQPVDIKEQAAQLEHDLIIKEKETLDVLKELESTKKIIADLKQRIQKESNETSPSAVKSDDQSEIPITESEEQKPENVNIDMDMEGLDEHPQPLSGSVLLELEQAKANLNRTTGDLAAVRAAIELLHNSIAKEKLLLERSREKLSSNTALASSLEDELDQTTQKLQTLKDLQARREDPSDIFIEIKKMASEVQQLRGMANASKSEAMMLAAEIEQTKASIGTAEIRCIAAKKMEEAARAAEALALAEIKALLSSESSSECGSSVCDGVTLSAEEYFTLCSKAQEADENSRKKVEEAMLQVDVANSSETDSVKKLDDARLEVEECKRALQEALKRVEAANRGKLAVDEILRRWKSENGHKRRSIGGSPKFKNAAQRRKDSHSMDIISDASTNSCKQTLSIGQILSMKLMGPEGYDKTIWDDKTSEMPNVSLGQILNRGRVLSREETAVRKRVSGKRKKFALTGLSVLLAKQAKNKKKRESL >ORGLA03G0160000.1 pep chromosome:AGI1.1:3:11639313:11641515:-1 gene:ORGLA03G0160000 transcript:ORGLA03G0160000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCRRRLVLSLSFSSLWLAMQRERTTTTTGLLSAADEVNVAERNRTACLVDTGGGSTTRATGRLDNVAAAREEGRCRQPPLCVVVCRGEPVCTIVCGGEPRKKPYELQQIETARNRQRVSHRRSGRWRWMQNHDNNTTFSLEAEKPKREPHHPRRSKENHDELWMKTTTTIPEWSSKGG >ORGLA03G0159900.1 pep chromosome:AGI1.1:3:11633577:11634773:-1 gene:ORGLA03G0159900 transcript:ORGLA03G0159900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PB53] MVSSAMAAVAVAFAVVVAATTSSAQLDPHFYDGLCPAALPTIKRIVEEAVAAEPRMGASLLRLHFHDCFVNGCDGSILLDDTPFFTGEKNAAPNMNSVRGFDVIDRIKDAVNAACRRNVVSCADIVAVAARDSIAALGGPSYHVPLGRRDSRTASQAAANSSIPAPTLNLDGLVSSFAAQGLSVQDLVLLSGAHTLGFSRCTNFRDRLYNETATLDASLAASLGGTCPRTAGAGDDNLAPLDPTPARFDAAYYASLLRARGLLHSDQQLFAGGGLGATDGLVRFYAANPDAFRRDFAESMVRMATLSPLVGSQGEVRVNCRKVNYY >ORGLA03G0159800.1 pep chromosome:AGI1.1:3:11627259:11632188:1 gene:ORGLA03G0159800 transcript:ORGLA03G0159800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1PB52] MGYSYSSAAVAVSVLVVALAAAASGQLSTTFYASSCPTALSTIRSAVNAAVAREPRMGASLLRLHFHDCFVQGCDASILLADNATFRGEQGAFPNVNSLRGFEVISSIKTQLEASCRQTVSCADILAVAARDSVVALGGPSYPVELGRRDGMTTNQTMANTNLHPPTTDLGNFVTSFAGKGLSPTDLVVLTGAHTVGVAQCTNFRSRLYGESNINAPFAASLRASCPQAGGDTNLAPLDSTPNAFDNAFFTDLIAGRGLLHSDQELYRGDGSGTDALVRVYAANPARFNADFAAAMVRMGAIRPLTGTQGEIRLNCSRVN >ORGLA03G0159700.1 pep chromosome:AGI1.1:3:11615125:11620521:1 gene:ORGLA03G0159700 transcript:ORGLA03G0159700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEPVEPQSLKKLSLKSLKRSHDLFAPTHSLLFTPDPESKQVRVGCKVNAEYSAVKNLPTDQGRGQVKSAAAPSTALALPGTQDVKDADNKGSSTAIVPAPHMLPKAPDSTIPGKNTTITIPGSSDRFSTSALMERIPSRWPWPVWHAPWKNYRVISGHLGWVRSIAFDPSNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDSTIKFWDLVAGRTMCTLTHHKKSVRAMALHPKEKSFASASADNIKKFSLPKGEFLHNMLSQQKTIINSMAVNEDGVLATGGDNGSLWFWDWKSGHNFQQDQTIVQPGSLESEACIYALSYDVSGSRLVTCEADKTIKMWKEDLSATPETHPINFKPPKDIRRY >ORGLA03G0159600.1 pep chromosome:AGI1.1:3:11606861:11610429:-1 gene:ORGLA03G0159600 transcript:ORGLA03G0159600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G30320) TAIR;Acc:AT2G30320] MAAAAAATWRLWRPYSSALLSRRVNPRFLRTTPCVSYPGGAAASAAPPSPPLATTCSDDGGGGMRWESARKKRVVLRVGYVGTEYRGLQKQRELSADSTIESVLETAIFKAGGILESNYGKLQKVGWERSSRTDKGVHSLATMISLKMEIPDRAWENDPDGISLSNFINSNLPDNVRVFSVLPAQRSFDVRRECLYREYLYLLPAEIIGIKGGCSSEEVMEHLSEFNSILKGFEGNHPFHNYTARAKYRKVLAGRHRKVKGASSAVNSMPTEMSLDQSSSDDGTTSDHDEEDLNSSSIIGSSVPEDSYKDNPEFSEKQVQIRARWLHEPDENDRLNASHFRDILTFSCGELQISSGIQFVELTISGVSFMLHQIRKMVGTSVAVKRGLLPKDIIALSLTKFSRIVLPIAPSEVLVLRDNSFCLRNKQGTIVRPGIQSMNESEEVKKGVMEFYRAALVPELANFLDASMPPWKEWVENLDRFTSIPDPQLEEVRSAYRVWKADYDRVKMARKSASSD >ORGLA03G0159500.1 pep chromosome:AGI1.1:3:11602623:11604194:1 gene:ORGLA03G0159500 transcript:ORGLA03G0159500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRERKKAAALQEKLQILRSITHSHALSNTSIIMDASKYIKELKQKVVRLNQEIACAQDALRQNRVTVETLGHGFLVNVFSGKSCPGLLVSILEAFDELGLSVLEATASCTDTFRLEAIGSENLMEKVDEHVVKQAVLRAIRSCSGSGGDHHDDDDDDDE >ORGLA03G0159400.1 pep chromosome:AGI1.1:3:11587846:11588169:1 gene:ORGLA03G0159400 transcript:ORGLA03G0159400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEIFLLRITKISYYSDNDAEISYINGVSSVPVVCLFLPYFPEIYSCETTDNSDILLYNHNMSALPWMNKLSLGFLLQPCILHEWCFLLTRYFGSFLCVRRDTAAI >ORGLA03G0159300.1 pep chromosome:AGI1.1:3:11577188:11579342:-1 gene:ORGLA03G0159300 transcript:ORGLA03G0159300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQGGRIARRGLSRLAAAVETAAVAPPRMPDFNHVPLPYDGPSAAEIARKRAEFLSPSLFHFYSKPLNIVEGKMQYLFDERGRRYLDAFAGIATVCCGHCHPDVVGAIAAQAGRLQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELAIMMARLYTGSHDIISLRNSYHGNAAGTMGATAQKNWKFSVVQSGVHHAVNPDPYRGAFGSDAEKYARDVQEIIEFGTTGQVAGFISEAIQGVGGIVELSPGYLPLAYEAVRSAGGLCIADEVQAGFARVGSHFWGFETHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAQVLTRRCYFNTFGGNPLCTAGGLAVLRVLEKEGLQANAHAVGSYLKDRLRALQDKHEIIGDVRGTGFMLGVELVTDRQLKTPAKDEICRAMEHMKEMGVLVGKGGFYGNVFRITPPLCFTKEDADFFVAVMDSALSKL >ORGLA03G0159200.1 pep chromosome:AGI1.1:3:11561638:11572076:-1 gene:ORGLA03G0159200 transcript:ORGLA03G0159200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLRRLAGASAGGAPSPAAAAAAAALLLRPALARPISTGFREERDTFGPIRVPNDKLWGAQTQRSLQNFDIGGERERMPVPIIRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAQEVAEGQLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGEKFVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRFVPSLQQLHKSLDSKSVEFQDIIKIGRTHTQDATPLTLGQEFSGYATQVKYGIDRIVCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETELPFVTAENKFEALAAHDAFVESSGAVNTISASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVGVTVGGSNGHFELNVFKPMIAAGLLRSLRLLGDASVSFEKNCVRGIQANHKRISQLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGSTLKEAALDLGVLTESEFHELVVPEKMIGPSD >ORGLA03G0159100.1 pep chromosome:AGI1.1:3:11558266:11559906:-1 gene:ORGLA03G0159100 transcript:ORGLA03G0159100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 [Source:UniProtKB/TrEMBL;Acc:I1PB45] MVSLKLQKRLAASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQVRFFFPMVL >ORGLA03G0159000.1 pep chromosome:AGI1.1:3:11551138:11557237:-1 gene:ORGLA03G0159000 transcript:ORGLA03G0159000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSTDIRELISLDDVMEELGMGPNGGLIYSMEHLEDNLDDWLDEQLDGYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYFLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSVQYVLSHIDNCIQYGEDADVKVRDFDPED >ORGLA03G0158900.1 pep chromosome:AGI1.1:3:11546344:11549534:1 gene:ORGLA03G0158900 transcript:ORGLA03G0158900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase [Source:UniProtKB/TrEMBL;Acc:I1PB43] MATACPPLSLQPAYLSGRSARARRPPPAVRCSAVGEVMAETAAVGTAEEPLLVSAIKGRKVERPPVWLMRQAGRYMKSYQLLCERHPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIFDPLRTAAAVNEVREFVPEEWVPYVGQALNILREEVNNEAAVLGFVGAPFTLASYCVEGGSSKNFSKIKKMAFSEPEILHNLLQKFTTSMANYIKYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDSVKETHPELPLILYASGSGGLLERLPLTGVDVVSLDWTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISKRIFDTVQKAGNSGHVLNLGHGIKVGTPEENVAHFFEVAKGIRY >ORGLA03G0158800.1 pep chromosome:AGI1.1:3:11541761:11545863:1 gene:ORGLA03G0158800 transcript:ORGLA03G0158800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1PB42] NSGCDSANVSLLPNRQIADEELSTYKLECSSERTDKSCIKVWLEKHKKLHTALLIMVLIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHREYAVIPITCVILAFLFALQHYGTHRVGFLFAPIVLAWLICMSALGLYNIIHWNPHVYQALNPCYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDKVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSDKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWRRPPVLALCFLLFFGSVEALYFSASLIKFLEGAWLPILLALFLMAVMLVWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHQVLVFVCVKSVPVPYVFPAERYLIGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDASYRCSDASGGGGDHEPEGGAGGAGSPXSGAVTPATTSRQRPALVGRVRGKTTTPQQAGGGDNNGSPGGGRRGGEARSGSFTQPHGGKAKQVRFFIDSHVASPEAADSKQVAEELEALAAARDAGTAFILGHSHVQCKPGSSLLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >ORGLA03G0158700.1 pep chromosome:AGI1.1:3:11536290:11536580:-1 gene:ORGLA03G0158700 transcript:ORGLA03G0158700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVRHMLSRSRYRQVGFLHLIFFHAICECWGFLFMEKQCFYFPFISVIAFFKSRVVLYGFYLFCIHCACKFLHENVSKSTSNFECSKLVQVSLEK >ORGLA03G0158600.1 pep chromosome:AGI1.1:3:11532888:11533151:1 gene:ORGLA03G0158600 transcript:ORGLA03G0158600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAAAAALLLVAAVVAAAAVGAGAEGEETTGDAGELDCFCDCMKNQCMTLGAAPNKFDCADACTQGCTQIGKPGQPSDKDFCGF >ORGLA03G0158500.1 pep chromosome:AGI1.1:3:11512909:11517652:1 gene:ORGLA03G0158500 transcript:ORGLA03G0158500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSIYVFAYGSVPLKTYLPDGDVDLTVLGNTSYGSTLIDDIYHILQSEEQNCDAEFEVKDLQLINAEVRLIKCTIENIVVDISFNQTGGICALCFLELVDRKVGKNHLVKNSIILIKAWCYYESRLLGAHHGLISTYALETLILYIFNLFHKSLHGPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNQIVEATNTPGSDLLFDKEFLNNSVQKTDSNACNTEFRSKYLNIIDPLKEHNNLGRSVNKASFNRIRTAFSYGAQKLGQVLLLQPELIPDEIYGFFKNTLNRIGSGVRPDIGDESYNDAFRCESFLGPGKALWDEMSSMKISCNNQDENRGPHHLSKCLVNNDSYATLNVPTHFHGDHMVASSTDLSLKSSCFIQETPNQYPLFYLEDGNGSSEQYLDHEMVEQASCCTAETCHANEEPSMHPQVYPNNTLHTFYSSLANNLEYSKSGQSDMTNSSINVAHEEKQKFSPSPLSLVDLSGDLDLQLRCLRQVQYHLEYMFDGFLQSVQEASSDCKVARDSFEIPAVNITSNSDVVLPGLLSPSSTETDERRLSPVSSSHSTEDSSQQSHDESNWGASCQQNFLLIPSQTIAPTNGLSPCSSYANSDNSVQLYDSSDDISNMHETDQHILQKHMVSLGQNKTLINRQVRVKSNQASVPKGKFSICKEQITQDTATKDIKLSRHLRVKDSEHEYISTAKKISSYNCDTCLECVKPESEAMIPRHYKHARSSKNSFEHRIYDIDMGFARSGSPRNQMPKYQSLKNQDMSSLNVQKEHEINWPRKQMPSELLKLQNSLRGRACSKKKLAAKQINNNHKEHLSFVRDPEQMPYNQVNSNKEFETVGKSSQLLPRVQLSLHNDRSLTASTCQSSFPVTKGSTQFNNLEMPSLENIEFGTLGSFSLTLVSPKSNKIPNTHSTSKTCADAAALALQSHPTQSRSPGFYKVGDEDHFPPLRAGTR >ORGLA03G0158400.1 pep chromosome:AGI1.1:3:11494649:11495264:1 gene:ORGLA03G0158400 transcript:ORGLA03G0158400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSSTVRGSHVEGSMLRRPRHASSSSTGQIRFTPSSSLTLVYCPDCGEQIVQYVSRSKKNPNRVYYKCSNRTERNAPCDFWYWEECYQKYLIDHGLLNGDASREEREEIKGDSYEEDEVEDLGSKKKLIDVVLMMRSEVKQQTLYSKIAAFGIVVFGAVLLGMIAVVVAKSFL >ORGLA03G0158300.1 pep chromosome:AGI1.1:3:11488562:11492975:-1 gene:ORGLA03G0158300 transcript:ORGLA03G0158300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein [Source:Projected from Arabidopsis thaliana (AT3G10915) TAIR;Acc:AT3G10915] MEAAAGAGLAEAANGGIESSAADPSTSGTASRLSVHRIAGGGKAADIILWKRGRVTIGVIFGATMAWWLFEKSGLSFLTVCSDVLLILIVVQFIRIKVAGLLNKQPRPLPELVLSEEMVSNAAASFRVKVNNMLMIAHDITLGKDFRLFFQVVLLLWLLSVIGNFCSSITLAYFGTIALVTIPALYSKNQEQVDRYAGMVHRNISRHYKIVDENVMSRLPRSFIRDKED >ORGLA03G0158200.1 pep chromosome:AGI1.1:3:11485622:11487390:1 gene:ORGLA03G0158200 transcript:ORGLA03G0158200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:appr-1-p processing enzyme family protein [Source:Projected from Arabidopsis thaliana (AT2G40600) TAIR;Acc:AT2G40600] MAAAPGLGGGEAFRLSADAGAGALKLHKGDITLWSVDGATDAIVNAANERMLGGGGVDGAIHRAAGPELVEACRKVPEVKSGVRCPTGEARITPAFKLPVSRVIHTVGPIYDMDKQPEVSLNNAYTNSLKLAKQNGIQYIAFPAISCGVYRYPPKEASKIAVSTAQQFSNDIKEVHFVLFSDELYDIWRETAKEFLSQFEK >ORGLA03G0158100.1 pep chromosome:AGI1.1:3:11476695:11477591:-1 gene:ORGLA03G0158100 transcript:ORGLA03G0158100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLLLLLASLLLVAARRALGLGLGQWQPGHATFYGGGDASGTMGGACGYGNLYSQGYGTSTAALSTALFNKGLSCGSCYELRCAGDRRRSCLPGGATVTVTATNFCPPNYALPGDGGGWCNPPRRHFDLAEPAFLRIARHAAGIVPVSFRRVACARKGGVRFTVNGHAYFNLVLVTNVGGAGDVRSLAVKGSGSGSRAGGRWQPMSRNWGQNWQSNAYLDGKALSFRVTAGDGRSLTCADVAPAGWQFGQTFEGRQF >ORGLA03G0158000.1 pep chromosome:AGI1.1:3:11465538:11475561:1 gene:ORGLA03G0158000 transcript:ORGLA03G0158000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein [Source:Projected from Arabidopsis thaliana (AT1G06900) TAIR;Acc:AT1G06900] DAAAGADGADDELGIKSPSDHASYGXLRLPNGLCALLVHDPEIYPDGYPDPHASKPHETEDMVREDDEEEDGDEDDDDEEYSDEEGEDDEDDEGEEDEEDGSEPKRRKEKGSSEPLVKKAAAAMCVGMGSFADPPKAQGLAHFLEHMLFMGSSEFPDENEYDSYLSKHGGSSNAFTETEYTCYHFEVKREYLKGALDRFSQFFVSPLVKAEAMDREILAVDSEFNQVLQSDSCRLYQLQSHTCSQGHPLNRFTWGNKKSLVDAMGSGINLREEILQMYKTNYHGGMMKLVIIGGEPLDILESWTMELFSKVKGGPLLDMSPKTDMPFWRSGKLHRLEAVRDVHSLCLSWTLPCLHKEYMKKPEDYLAHLLGHEGKGSLLCFLKAKGWASSLSAGVGTDGTQRSSYAYIFEMSIRLTDSGLKNLYEVISAVYQYIKLLKQSEPQEWIFKELQDIGYMEFRFAEEQPPDDYAVDLAENMLYYSEKHIVSGEYIYEGWDPELVKHVLSFFHPDNMRVDVLSKSFDKQSPAIQCEPWFGAQYIEEDIPSSFMESWRNPAQIDDAFHLPRKNEFIPGDFNLRNANMPKPLSDDNPRCIVDEPFIKLWYKMDMTFNVPRANTYFLISVKDGYSNLENSVLTDLFVNLLKDELNEVLYQAYVAKLETSMSVVGSNLELKLYGYNDKLSTLLSSILAASQSFSPKSDRFEVIKEDLERAYKNTNMKPMSHSTYLRLQILREIFWDVDEKLEVLMKLTFSDLVAYVPKLLSQLHIEGLCHGNLSEDEAMNISKIFQNTLSAQTLPDEARHGERVLCIPDDTNFVRSVRVKNELEENSVVEVYFPVEQDIGKDATKLRAITDLFSNIIEEPCFDQLRTKEQLGYTVDSSPRMTYRVLAYCFRVTSSKYSPVYLQSRIDSFIDGVSALLDGLDEETFEHHRSGLIADKLEKDPSLSYQTGDYWSQIVDKRYMFDMSKLEAEELRTVRKEDVISWYNTYIKPSSPKRRRLAIHVYGCNSDIAEAAKLKEQSWITIDDVKSLKKSSQFYSSLC >ORGLA03G0157900.1 pep chromosome:AGI1.1:3:11459005:11462544:1 gene:ORGLA03G0157900 transcript:ORGLA03G0157900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPKHTDPAAMRGAHHRRARSEVAFRLPDDLDLGGGGAGAFDEIGSEDDLFSTFMDIEKISSGPAAAGGSDRDRAAETSSPPRPKHRHSSSVDGSGFFAAARKDAAASLAEVMEAKKAMTPEQLSELAAIDPKRAKRILANRQSAARSKERKARYITELERKVHTLQTEATTLSAQLTLFQFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQELERLKLATGEMTNSNETYSMGLQHVPYNTPFFPLAQHNAARQNGGTQLPPQFQPPRPNVPNHMLSHPNGLQDIMQQDPLGRLQGLDISKGPLVVKSESSSISASESSSTF >ORGLA03G0157800.1 pep chromosome:AGI1.1:3:11452942:11455101:-1 gene:ORGLA03G0157800 transcript:ORGLA03G0157800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G28490) TAIR;Acc:AT2G28490] MGRRRVAAAVAPLLMLLLLLLSRCSAASRRGGKGWDWEEEHEGEWRPDEEEEGGKGGGGGGDHPGPKPRPAERGLFVLDRGEKVVESEGGHVRVVRGRPWPPAAVPDPWQRGWSAASGCCREGFMHIGFITMEPKTLFVPQYVDSNLILFVQLGEVKVGWMHKDELVEKNLKMGDVLHIDAGSTFYMVNSGKGQRLKIICSIDASDNIGFSPYQVDHGGGGSRHPQSVLAGFDPKTLVIAFNTTFEDLDQTLLVDTGRGPIMYYTTEPVMSGGQGGVGVGYSGARRGAAAGQWRPVGRGEEEEEEEEELVVDEASSTWSWTKLVGSLLGVVGGGAPSNSVAAQPKKKKDKTVRAPEPYNLYDQGTGFRNAYGSSVAVDKHDYEPLGHSDIGVYLVNLTAGSMMAPHVNPRATEYGVVLSGTGCIEVVFPNGSKAMSATVRAGDVFYIPRYFPFCQVASRGGPFVFFGFTTSARRNHPQFLVGGSSVLRALLGPELAAAFGVPEKAMRKLVLAQNEAVILPSWPEKKKKKKWEEEPEDERWEEKKKAAKQRKPWVIEQVPAK >ORGLA03G0157700.1 pep chromosome:AGI1.1:3:11450547:11451866:1 gene:ORGLA03G0157700 transcript:ORGLA03G0157700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFHSSFPLSPPSSCHGGGVLQFATRAATSPFASYCRAPAARDGGDDHDHDAGILQALAFNGNGSVHGVLDPGVEEEEEAGDGGGGGRRGTRIRARDCAKRIMGLPVEERVKVLDLLQRDDGALTVSDYNDILSALAMAGDHDSAVALFRALRPNGVTPDAQSYATAVQCLCRKGAPDEAKEALDEMVARGFRPTVATFSAVVGCLCKRGRVTRAMEVFDTMRAVGCEPTIRTYNSLIGGLCYVGRLEEALDLLNKLKESRKQTPDIYTFTIVLDGFCKVGRTDEATPIFHDAVREWPLADDIHLQRPAQRPLQGRESAQGVQPAHGDVRQRRLPAGQDQLQHRAAGAAARRRDLRGVAGVQADGARRVRGRRPRPGHARAGPVPSVRGERRGARRREGGVRQAGGVRARAGVVHLLPDGAGAGARRRGGRGRVAPG >ORGLA03G0157600.1 pep chromosome:AGI1.1:3:11446294:11448212:1 gene:ORGLA03G0157600 transcript:ORGLA03G0157600.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLPCLFSQDQVPIQVSTKPDSIQLKFQRANDLVECVELELTNGEHERTSNLELIIEPLKATAVLTSYDTAQGMSVLWRSTTIYSVVSMLDPKVKSVVLSNSDALKMIFGATVIRFRGHISKDSFYPLAIDFKRDFVPTGILGKGAHGSVYRCSRGIMPLAVKKVSKERKGNPCSEVEAMAKLSGANHVVQMYWAWSENAVSGLGYVYIGMEVFESNLDEYLDARKGVNLQKSTTIFAEIMAGVKEIHEAGIIHRDLKPLNILIDSDDHIYITDFGISKIKPYPSANVRYPGGPXYGTQFYCDPILNSTHLQHDEKVDFYSCGIIYFEMHLLGITKRRAYEKPQVADSEDREALE >ORGLA03G0157500.1 pep chromosome:AGI1.1:3:11442243:11443252:-1 gene:ORGLA03G0157500 transcript:ORGLA03G0157500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQSLTVLRRTRVKLRGASGDDDSGEADGVVGLRSARYARAPLLRNREGMLGAVHWQGRLPQWFPIHLALLLPSSLSGRPLVPWGGYARFAILIASPNPRPELP >ORGLA03G0157400.1 pep chromosome:AGI1.1:3:11438772:11439527:1 gene:ORGLA03G0157400 transcript:ORGLA03G0157400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDLAWRFRPAEDTNGRTTYYKDNRRFTLTCDVNTCNLVVGNVGEYHSSTGAKCSGRRKGKKGKKGKREAPVTDFVPAKTQMRLDENAANADTTAASEPGASCRRGKYLIYMGGGERCKSVNHYVWGVLSGARRRHRGRPASFVAGFFSGTPSPASPPPSSSAPAAPSMVPRDDGAGSRRLSPMAAATATATATTETLLGRRATAADAWTRYSRRR >ORGLA03G0157300.1 pep chromosome:AGI1.1:3:11428127:11436395:-1 gene:ORGLA03G0157300 transcript:ORGLA03G0157300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTPQPILFTSLLCGCMQQLDEKTLERKLRKNQKAKEKEEKRLKAKQKEAAMLQAQPALDVLKKVEKKHRGKAVEDENPEDFIDQDTPNGQKKLLAPQMANQYCPSTVEKSWYAWWESSGYFRADSASTKPPFVIVMPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGPDNFVCEVLKWKERYGGTILNQLHRLGASLDWSREAFTMDEQRSNAVTEAFVRLHKEGLIYRDNRLVNWDCTLLTSISEIEVDHIDLKEETMLKIPGYATPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYMHLHGRYAVHPFNGRKLKIICDAEIVDPSFGTGAVKIAPAHDPNDFEVGRRNNLQFINILTDDGKINSNGGAQFEGMPRFTARICIIEALKAKGLYKGAKNTETSLGICSRTNDIVEPMIKSPQWFVNCNTMAKVALDAVRSKRIEIIPPQYEQDWYRWLENIRDWCVSRQHWWGHRVPAWYVTLEDDQEKTLGSDNDRWIVAKSESAASVEAQKSYPGKKFILNQDPDVLDTWFSSALFPLAVLGWPDDTADLRSFYPTSVLETGLDILFCWVARMVMMGTQLGGDVPFQKVYLHPIVCDTHGRKMCKSLGNVIDPLEVINGMTLEGLVKRLEEGNLDPDELNLERKLTDYPDGIAECGTDALRFALISYTSQSDRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGVHYSPPATVDVSIMPPICKWILSALNKATGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNEPQEFESARVASRDTLWVCLETGLRLLHPFMPYITEELWQHLPQPKYSCRQDSIMISEYPSLVEEWTNDNLENEMDIVLDTVNKIRSLKTRTERKERRPAFALCRSQDVTATIQCHQSLIVCLSSVSFLKILTENDETPADCAIAIVNKDLSVYLKLQGAINAEAEREKLRKKRDGIQKLHHAVTHMMDASGYREKAPQSVQEGDMRKHIALLQELEVVSEAEKKLDAKTDNI >ORGLA03G0157200.1 pep chromosome:AGI1.1:3:11412935:11415980:1 gene:ORGLA03G0157200 transcript:ORGLA03G0157200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVVLVGLACLAFVAEAKGGGAASAAALDDDVLGLIVFKADVVDPEGRLATWSEDDERPCAWAGVTCDPLTGRVAGLSLAGYGLSGKLGRGLLRLESLQSLSLSGNNFSGDLPADLARLPDLQSLDLSANAFSGAIPDGFFGHCRNLRDVSLANNAFSGDVPRDVGACATLASLNLSSNRLAGALPSDIWSLNALRTLDLSGNAITGDLPVGVSRMFNLRSLNLRSNRLAGSLPDDIGDCPLLRSVDLGSNNISGNLPESLRRLSTCTYLDLSSNALTGNVPTWVGEMASLETLDLSGNKFSGEIPGSIGGLMSLKELRLSGNGFTGGLPESIGGCKSLVHVDVSWNSLTGTLPSWVFASGVQWVSVSDNTLSGEVFVPVNASSMVRGVDLSSNAFSGRIPSEISQVITLQSLNMSWNSLSGSIPPSIVQMKSLEVLDLTANRLNGSIPATVGGESLRELRLAKNSLTGEIPAQIGNLSALASLDLSHNNLTGAIPATIANITNLQTVDLSRNKLTGGLPKQLSDLPHLIRFNISHNQLSGDLPPGSFFDTIPLSSVSDNPGLCGAKLNSSCPGVLPKPIVLNPDSSSDPLSQPEPTPNGLRHKKTILSISALVAIGAAVLITVGVITITVLNLRVRTPGSHSAAELELSDGYLSQSPTTDVNSGKLVMFGGGNPEFSASTHALLNKDCELGRGGFGTVYKTTLRDGQPVAIKKLTVSSLVKSQDEFEREVKMLGKLRHRNLVALKGYYWTPSLQLLIYEFVSGGNLHKQLHESSTANCLSWKERFDIVLGIARSLAHLHRHDIIHYNLKSSNILLDGSGDAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFACRTVKITEKCDVYGFGVLVLEILTGRTPVQYMEDDVIVLCDVVRAALDEGKVEECVDERLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMSEVVNILELIRCPQDSPETELG >ORGLA03G0157100.1 pep chromosome:AGI1.1:3:11403540:11409399:1 gene:ORGLA03G0157100 transcript:ORGLA03G0157100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPVRWAVVVVVMAMVEAAAGRFVVEKNSLRVTSPEGIKGKYECAIGNFGVPQYGGTLHGWVEYPKSNQKACKSFEDFDISFKSTRSGGRPKFVLIDRGQCYFTTKAWNAQNAGAAAVLVVDDKSEPLITMDNPDDAGTEHLENITIPSVLITKKLGDDLKKSAENGDMVSVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVKSFRGTAQILEKKGYTQFTPHYITWYCPEAFVVSKQCKSQCINHGRYCAPDPEQDFSQGYDGKDVVVQNLHQICVFKAANESGKPWLWWDYVHDFSIRCPMKEKKYTPECAVHVIKSLGLDVEKIKKCVGDPEADEENPVLKAEQDAQIGHDKRGDVTILPTLVINNRQYRGKLDKSAVLKAVCAGFEETTEPAICLSEDVQTNECLENNGGCWQDRDNNVTACKDTFRGRVCECPVVKGVKFVGDGYTNCEASGIGRCEIKNGGCWKETRNGKTISACSNEVSEGCKCPPGFKGDGIKSCEDIDECKEKLYCQCKGCSCENTWGSYECSCGGNNMLYMREHDTCLSKEATSAVGWSFLWVIFFGLVLAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDNQEAANQHHVAHAGDDI >ORGLA03G0157000.1 pep chromosome:AGI1.1:3:11396265:11396615:1 gene:ORGLA03G0157000 transcript:ORGLA03G0157000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSEGGVPAERVAAAVNDLVEVRDGLVRLRGFLPPPPQAEQSSSRPPCAAELMDATMSKLMSAMATLGGSGDIAGEVDAAGRWTSVAESADPMVVRREGESSAGRWVPAPDRPL >ORGLA03G0156900.1 pep chromosome:AGI1.1:3:11383991:11387673:-1 gene:ORGLA03G0156900 transcript:ORGLA03G0156900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRGGLAAPAPAPALALAVLIALTARGADASVHEYSGGGFAPRANSFFFHGGSEGLYASDPTSNSSASFIRFDSVTFRRTQESAGRHEAMQQKTGLVEAIIVEIQDRSKIGGSYLHSDAICCTPELDKEKSCKVGEVIIQPNPDNPDWPKRVQTFFSGRDEEASMVTQVVSINKTGMYYLYFMFCDPQLKGLKITGRTVWRNPQGYLPGKMAPMMTFYGFMSLAYLVLGLLWFLQFVRCWKDILQLHYHITAVIALGMCEMAFWYFEYANFNSTGNRPMAITLWAVTFTAVKKTISRLLLLVVSMGYGVVRPTLGGVTSKVGALGVVYFIASEGLELVENLGNINDFSGKTRLFLVLPVAILDATFIIWIFSSLSRTLEKLQLRRSMAKLELYRKFTNSLALSVLISIAWIGYELYFNATDPLSELWRRAWIIPAFWNVLSYVLLAIICILWSPSRNPTGFAYSEDTADEADEEGLSLVGSAVKGTGDIVNMHVFPEDKRA >ORGLA03G0156800.1 pep chromosome:AGI1.1:3:11376463:11381912:1 gene:ORGLA03G0156800 transcript:ORGLA03G0156800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:I1PB22] MALNRARMRWMSTGEPLLLSSSGTSDSPSKRQAPARLSVGSLGCLCQTDSFSSSLYEDCDTASVNHVDEEEAVSRVCSESDVNRGAERFQSADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRPQRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMISDGSYSGLIKCEQPNRNIYEFTATMELNSHRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSPLQVTGDSSYEISTTESSRQQGSKSKSGVNVDAELLALLSQPLVGEERLSAHDFFLTLAACNTVIPVSTENSLDLVNEINEIGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGERIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADISMLSILRREDDDELHNSLHAKIRETTENHLSGYSSEGLRTLVIGSKNLTDSEFGEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIVINGSSEFECRRLLADAKAKFGIKSSDSGRDCQDIEHTHNGDVSKLRTSNGHMSESGVHNFELTGVIASDKSEYSEKVANFADTDLALVIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMLFWYILHTAYSATLALTDWSSVFYSLIYTSIPTVVVGILDKDLSHNTLLHYPRLYETGLQNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGTIYNMAASRTYWLSVCLIIVLGLLPRFLCKVIYQTFWPSDIQIAREAELLKKLPRQLGSRPASDIS >ORGLA03G0156700.1 pep chromosome:AGI1.1:3:11363847:11364311:-1 gene:ORGLA03G0156700 transcript:ORGLA03G0156700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVSRTAPPARPTSLRGRGAGAVAAGHGQATFGRRAVHGGWLVAIRLRARCRCGGGTEPVEARKEREGGPGKGEEEEEEAAAAEELEVLEEEAMGGGDEGRRPTDYDRRAHIFEESSRVFSALKHRHDDGHGVDGDHGAAAAEVARHGDTGR >ORGLA03G0156600.1 pep chromosome:AGI1.1:3:11360649:11362689:1 gene:ORGLA03G0156600 transcript:ORGLA03G0156600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNTGGLRLVRCPKCYNILPEPPNVEVYKCGGCDTILRVKIRPSNGQNVATKQVRQDSDDFSVATTASNGVHPQKKDIAFSGATMDRSRTPDAPSTDTEHASNGTSSNDNGHAMSVENNASEVADTDNKEDCNLDGQNTSGRIEGPSEEIPPNANGMDIDSDKEETYNVEGIAENSEDCRVRGGGDIDTECNLSLPEHELPLHQESKSDSELKEATKTEDEATKKGHLVRVQSRSCDLRESHRASAGSSMDFHSARTSLQSKSFRASEPLQSKIMKTVDELRGDLSEFFSKPEEEGEDDDDRKPKTAAYPPRPSKQDGYSKPRAPFTSSVPLTAYHPAAKHSGHVSRLSRSGQVPPPPHHHRELSSLRYRRRRRAYSCCHSDQMETMRRPCSHDCCHYHSCRPPPCHHHDRPWKSQEGAMQRPPVQETTRRRAPPRHHCRPVLRGAPFVVCSGCNRLVQLPTDFAVPSKGTRRLQCGSCSEILSYSYRDPAKKKLQSPSGDGEECQYSTDDYEIHQAAGDADPFSYSEEYGVISYSTEEEQQPLHVSRNSSFDTVDDERSAKLHRLMGYSSASELLRLRRSPDLYESFGERTPAARTSDTKGKAICVADEEEHPSAKVRRGRGLPLPGILKKGIHGLESLKLR >ORGLA03G0156500.1 pep chromosome:AGI1.1:3:11349569:11354639:1 gene:ORGLA03G0156500 transcript:ORGLA03G0156500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: PRC-barrel-like (InterPro:IPR011033); Has 300 Blast hits to 300 proteins in 81 species: Archae - 0; Bacteria - 135; Metazoa - 0; Fungi - 0; Plants - 37; Viruses - 0; Other Eukaryotes - 128 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G38570) TAIR;Acc:AT2G38570] MCSCARLPHPALQPAPAPAPPPPNLKPKPAPTTRGPCPSPRTLVARAAPRRDDSTAPPPPPPPPSTFDFLALKRELEEEEEEEVVAVEPRDGGGGDGLASEDDGDGEAKRSGGGGESSGGRKRRQMARRSGLLAKQVISVSSARSLGFVSQLWVDAASWIVALVEVRPSLLSGEAEKFLFEDIYQVGDVVLVEDETVVENEFKLVGLHSLVGYSVVTSRRRNVGKVRGFTFDINTGAMESLEFDSFGISIVPSSLVSTYCLFVEDVLDIVSDTIVVHEDAISRVQRLTQGIWGTQNIQGPGGQMDDNGRYRRRKARRVQRQNGLRNSSGRKLHRKMRDRDGDWELPMDY >ORGLA03G0156400.1 pep chromosome:AGI1.1:3:11342543:11344495:-1 gene:ORGLA03G0156400 transcript:ORGLA03G0156400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEISSVTTTHAQGPKLFRGKILLPMGPPDVVPSENVEFDFSDVFGPTAVQTPTDLSILTPDSPAPLTESSEGIYNDPLVIVKRSHSLVGPSSLVSQSLPLSKLTLHESDSALDLLECTKEKKSNQEALSDEELDDTKNENGVVGLDDFEVLKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYQQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLFEMLTGKPPFVGGNRDKVQQKIVKDKIKLPAYLSSEVHSLLKGLLHKEAGRRLGCGPGGSNEIKNHKWFKSVNWKKLDSRQIQPSFRPNVAGKTCIANFDECWTSMPVLDSPVASPVAADSNFVGFSYVRPAPFLQRPSPLG >ORGLA03G0156300.1 pep chromosome:AGI1.1:3:11339946:11341748:-1 gene:ORGLA03G0156300 transcript:ORGLA03G0156300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFYRYRSEVDTFSMPVPEPFVSVAELKRLITATAHHGHGRSHGRGPRESVALYSDNTGEEYADEAELIRVHTKRRNKLLYDRMRDIVFVKLNSKLINKRDNKDRDPLEKEVNDAVSNDENEFITGIVPFSNELAEDDPQDGASQGESS >ORGLA03G0156200.1 pep chromosome:AGI1.1:3:11338174:11339031:-1 gene:ORGLA03G0156200 transcript:ORGLA03G0156200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQEPWAILAAIPNVVGYKEAKRIFPPGTDISVARKEVPRASVLTVPRHISLPACLGLYPYVVAADRSGLLLLLGTHPVTSASAMVSDHICDAHTGEVVSLRDCKPMRPMTFYGAANVGLIIKDDGCMVAELQPGCKGTSTGGATLLSYKVGECCKWRERELTCSPPLPLDWYPEGVVSHGGMLWWVDLSYGLLSCDPFAEEPNLIHVPLPQVPDELPVDDQVNRGAHRCVKVSGGRLMYVQIHGNPVVPVVSTWLLDESTCSPGEWEWNPQAQRALGRALD >ORGLA03G0156100.1 pep chromosome:AGI1.1:3:11326226:11327748:-1 gene:ORGLA03G0156100 transcript:ORGLA03G0156100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDSFGMPNSTGSIAGKKGCTKIAGGDRRLGLDEHLAEHQVLVPLHREFGDRFPFSDDFAGRDYDVWVAYDMSEMVTVQFLDLRIHRMPANDVLQLRRRLPPLELNCVQSVDQFKRFQAFLAIVTSQTDLARICATRGEELDELGIVEEYDDDDDDDGDEHYVYGGLDMFEPATTDEEDANAQVDADDLYYLGVSDDENEEVGSDGGTDDDGGDDAGGDGGRAGPLRWDNLWDDDPPQ >ORGLA03G0156000.1 pep chromosome:AGI1.1:3:11322992:11324254:-1 gene:ORGLA03G0156000 transcript:ORGLA03G0156000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWRSNGVPFGLTHHANHSTTAQCMTALPTRCLPRRATCQPAPPAGAHWAIPLRRDRQTRPWSSLHDDEELIRFTTSQKLGFSASPDSQQLLLRILSSPNMSSAKFPPNVAGKTCIANFDECWTTCPCLTLQWPALSLQVASSWDSAM >ORGLA03G0155900.1 pep chromosome:AGI1.1:3:11319189:11319701:1 gene:ORGLA03G0155900 transcript:ORGLA03G0155900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II family protein [Source:Projected from Arabidopsis thaliana (AT1G03600) TAIR;Acc:AT1G03600] MRPASSPVPAVLTAPATAATAAIAVKPTPPQRALQASRRELVVAAGGVAAAAVALWPCGGAARAASDDEYVSETKDVIGKVRSTINMDRADPGVADAVAELRELSNSWVAKYRREKSLLGRPSFREMYSALNAVSGHYISFGPTAPIPNKRRARILEEMDTAEKALLRGR >ORGLA03G0155800.1 pep chromosome:AGI1.1:3:11314975:11318667:1 gene:ORGLA03G0155800 transcript:ORGLA03G0155800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SMYQRLVDNVSDVLXLTPFDPTKKKKKKKVVIQDPSDEVDKLAEKTESLTVAETGEPSFTGMKKKKKKHVEHDTSLTEAGDGEDAIDDQIGEDEEGEGIVLGGATRYPWEGTDRDYKYEELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKAGT >ORGLA03G0155700.1 pep chromosome:AGI1.1:3:11306286:11310020:1 gene:ORGLA03G0155700 transcript:ORGLA03G0155700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSRFVAVFLLVALAPAARGQGGGGGNSSAPAASPPGPFVPRDNILLDCGATGQANDTDGRLWTGDTGSKYLPANLAAAAATAQDPSVPQVPYLTARFSAAPFTYSFPVGAGRKFLRLHFYPANYSNRNAADALFSVSIPDPNITLLSNFSAYQTALALNFDYLVREFSVNVTASTLDLTFTPEKGHPNAFAFVNGIEVVSSPDLFGSSNPMEATGDGSGTPFPIDAGTAMQTMYRLNVGGNAISPSKDTGGYRSWEDDTPYIPFASFGVSYANDTNVPINYPDSIPQYVAPADVYSTARSMGPDNNVNLQYNLTWAMQVDAGYQYLVRLHFCEIQSGISKINQRTFDIYINNQTAFSGADVIAWSTGLGIPVYKDFVVFTMGSGPMDLWVDLHPNVKNKPQYYNAILNGMEVFKLQLNNGSLAGLNPVPSIVPTASGGNSGKKSSVGPIVGGVIGGLVVLALGCCCFFVICKRRRRAGKDSGMSDGHSGWLPLSLYGNSHTSSSAKSHTTGSHASSLPSNLCRHFSFVEIKAATNNFDESLLLGVGGFGKVYRGEIDGGATKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTQNAPLSWRQRLDICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTMDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPHLKGKIAPQCFKKFAETAEKCVSDQGIDRPSMGDVLWNLEFALQMQESAEESGSLGCGMSDDSTPLVIVGKKDPNDPSIESSTTTTTTTSIKHGVSSVASIDSDGLTPSAVFSQIMNPKGR >ORGLA03G0155600.1 pep chromosome:AGI1.1:3:11294560:11304568:1 gene:ORGLA03G0155600 transcript:ORGLA03G0155600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G06720) TAIR;Acc:AT1G06720] MAPADGGGEQSHKAHRQHKSGAKARKKKGKGKGGGGDDDGGERKNPKAFAFQSAAKAKRLQARSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLSEVRGPITVVSGKSRRVQFLECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPIPLSWRMAHPYLLVDRFEDVTPPESVRLNRKCDRKITLYGYLRGCNMKRGTKVHITGAGDFSLSGVTSLADPCPLPSAAKKRGLRDKEKLFYAPMSGLGDLLYDTDAVYININPHLVQFSKTDENDASKKQGKGQDVGVTLVKTLQNPRYSLNEKLDQSFINLFGRKPAAQSEDISGNQNDQGDANILEEADGNNICNANTLESNDHSYSECSSDSEHDNDEATQQNDHEVGLREEVEFCNGRMRRKAVSANFKDDDDDEGAEEDDVDSENSGDDQLSEGSADDSEESLDSDDETENNSKWKESLLARTLSRRSANLMQLVYGQASKKLDEGNDSSAEESSDEEFFVPKGQKKQAKNESTSFDDMDAEDYSKFFKTELRDWSDEDLIKSIRDRFVTGNWSKAALRGQEINENDVDDEEVDGDFEDLETGEVHTSKAYENTSGNGGTHKQDDLAMEERRLKKLALKAKFDAEYDGSDLSGEEVDNDTKKSKREETNGGGYFDKLKEEIEIRKQMNISELNDLDEDTRVEIEGFRTGSYIRLEVHGVPFELVEYFDPCHPILVGGIGLGEENTGYMQASLKRHRWHRKVLKTKDPIIVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQHLSNSQVPFRITATGFVQEFNNTARIMKKIKLTGVPCKIFKKTALVKGMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKIETGDMPRRKGESIDGIARCTFEDRILMSDIVFMRAWVNVEVPTYCNLVTTALQPRDETWQGMRTTAELRRAHNIPIPHNKDSVYKPIERKVRKFNPIEIPAKLQHLLPFKSKPKDTPKHRKTPVENRVPVLMQPSEKKTHAAIQQLRLLKHEKARKKKLQDEKKKKAYEAEKAKSELLTKKRQREERRVRYREEDKQKKRARR >ORGLA03G0155500.1 pep chromosome:AGI1.1:3:11289660:11293591:-1 gene:ORGLA03G0155500 transcript:ORGLA03G0155500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKRNKKKKGNQGKNTGDVTSSTAEAAIQSHNHETAPNDHHNGTDADDAMSTVGEEAPQYQNHEPDRQANHDSTNTDDVMSSVGEGIPFQNLDPAMTHENHKVSSTAHADQRSVEMSDSTVELDMHRLYEAKLDKLHDTIKKLEDEKSLWHQKMSSMEIEVEKLHNKVDYHAQNEVRLEEKLNNLQYGYDVLIKKEVALDNKVRSIEVINDALTHQETSLKERLSGLEETNKVLLVQVKVLEEASNNTVEESQRLVKGFDELASRLGVFEAKSALTEASVTKKGNELIVDRSVNSSAAITSVDNYSPINSSPSNAYVSNHLEEAPMQLPETTINDVSSEGLIDMNAHQRSKQDFDEPRTSEEILPVALDDIQIHEEDPQPPVADDEAEEVPFSDAPIVGAPFRLISFVARYVSGADLVNQK >ORGLA03G0155400.1 pep chromosome:AGI1.1:3:11286652:11288735:1 gene:ORGLA03G0155400 transcript:ORGLA03G0155400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKIFEGSLVLLSLLLFTSLAVADIASEKQALLAFASAVYRGNKLNWDVNISLCSWHGVTCSPDQSRISALRVPAAGLIGAIPPNTLGRLVSLQVLSLRSNRLIGSIPSDITSLPSLQSIFLQDNELSGDLPSFFSPTLNTIDLSYNSFAGQIPASLQNLTQLSTLNLSKNSLSGPIPDLKLPSLRQLNLSNNELNGSIPPFLQIFSNSSFLGNPGLCGPPLAECSLPSPTSSPESSLPPPSALPHRGKKVGTGSIIAAAVGGFAVFLLAAAIFVVCFSKRKEKKDDGLDNNGKGTDNARIEKRKEQVSSGVQMAEKNKLVFLDGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEDGTIVVVKRLKDVVAGKKEFEQQMEQIGRVGKHANLVPLRAYYYSKDEKLVVYEYVATGSFSAMLHGIKGIAEKTPLDWNTRMKIILGTARGIAHIHAEGGSKLAHGNIKATNVLLDQDHNPYVSDYGLSALMSFPISTSRVVVGYRAPETFESRKFTHKSDVYSFGVLLMEMLTGKAPLQSQGQDDVVDLPRWVHSVVREEWTAEVFDVELMKYLNIEDELVQMLQLAMACTSRSPERRPTMAEVIRMIEELRQSASESRDSSNENARESNPPSA >ORGLA03G0155300.1 pep chromosome:AGI1.1:3:11278639:11279104:1 gene:ORGLA03G0155300 transcript:ORGLA03G0155300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARPRCMEFVSQITIWGCQRTARRWGEPINVSLDEIIHGKGMNTKHAMKMSIDKDDEEAKMTMAAAAVGSSGGGGGEQXRWWKXRQLRPPTGAAPLSCPSLPTGGRRP >ORGLA03G0155200.1 pep chromosome:AGI1.1:3:11274210:11277552:-1 gene:ORGLA03G0155200 transcript:ORGLA03G0155200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAAAAAVAVSSSSPLFSSSSSRPLPRRHLPPSSVSLRPRRRSIAAASAAVESAGRPLLEARDLVACVKENGQEILSGVNLTIREGEIHALMGQNGSGKSTLMKVLAGHPEYEVTGGTVLFKGENLIDMEPEERSLAGLFMSFQAPVEIPGVNNYDFLLMALNARREKKGLPALEPLQVYPIIEEKVNALNMNAEILNRAVNEGFSGGERKRNEILQLSVLGADLALLDEIDSGLDVDALEYVAKAVNGILTPNSSLMMITHYQRLLDLIKPSYVHIMEKGKIVKTGDRALANYIDESGYKAIATA >ORGLA03G0155100.1 pep chromosome:AGI1.1:3:11268900:11270777:-1 gene:ORGLA03G0155100 transcript:ORGLA03G0155100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRATAAAVVALVVLLPHLVVAVVLDVGGGVAARPCHSASVDGDAGCLSWRVMVEANNARGWRTVPAACVAYVRGYMTRGQYGRDLSSVMDQVAAYVDTVEADGDGLDAWILDIDDTCLSNLLYYEAKQFGAYDPSAFKTWASKGACPGIPAVLELFVTLQAKGFKVFLLSGRDEETLATCTSENLESEGFLGYERLIMRSPEYRGQSSSVFKSAMRKRLVEEEGYRIRGNVGDQWSDLQGDYVGDRVFKIPNPMYYVP >ORGLA03G0155000.1 pep chromosome:AGI1.1:3:11261318:11263821:-1 gene:ORGLA03G0155000 transcript:ORGLA03G0155000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIPVACLEDNYAYLIVDESTKSAAAVDPVEPEKVLAAAAEVGVRIDCVLTTHHHWDHAGGNEKMAQSVPGIKVYGGSLDNVKGCTDQVENGTELSLGKDIEILCLHTPCHTKGHISYYVTSKEEEDPAVFTGDTLFIAGCGRFFEGTAEQMYQSLCVTLGSLPKPTQVYCGHEYTVKNLKFILTVEPDNEKVKQKLEWAQKQREANQPTIPSTIGEEFETNTFMRVDLPEIQAKFGAKSPVEALREVRKTKDNWKG >ORGLA03G0154900.1 pep chromosome:AGI1.1:3:11258295:11260899:1 gene:ORGLA03G0154900 transcript:ORGLA03G0154900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGKRRSARLLKLEEQKNDDTTATGCLLDPWQIIRNSIAGVRGKRKRNEENQHLQGEASSSQALCATTDINNLSSKSSAVQIIEYILDTLEMRDTHELFAMPDDIQVTDYAERVNRPGDFATLRQKNKDGMYNTLEQFENDVYMVFQKAMSINSEDTIPYREAMSLLHQAKQVFLSLKSNQMYSESELAAWRQKNLVSQSPAKLNGKFDGSKVGSGSGAGGAAPTTPQRPSAPARKKIAAKTGAVAAATSVKSTTRQRAARESNGAPGRRARKAASVTPGTAEHGGAGASATVEQRRLAYADEADHGGWRPVPVVSTGQHATLVYRPQTAAHTYQDSLRRFVRHAGLKARVAAEFRSLECDVRARQAAPAPGYWPNGGFASSSGAGTASRSFLPHGRCPPPSPPSAAFGGGGAAAASSADAAGNKAPPRCRLETDEVLKLLVLIGRPAFMERARRVLGHERQESSSKQGHDQKPAVTRAGDDDGGAKAGVTEAKPGKKKGSASKPAAVEFGPFAPPKLVIPGRQLGFSQFAGSSSQPFKVTPTTPNVPDKKKKRG >ORGLA03G0154800.1 pep chromosome:AGI1.1:3:11253669:11254260:1 gene:ORGLA03G0154800 transcript:ORGLA03G0154800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DELSSICRGQXDEPTSFSSPTAFPIEPCGLLQRRSRWAVRAPSTAQQAPFTHPQPPSLTEKICIHHLHSPAGTHLPSPLDGDSEIHKTVTTSCGWAEGLRRPPLGYCGGRVKATTTSSSSYSSSTAVMLPTRPKCSTTMRMTPGHPPPLANEVGGVERKLAMRSLSSLLXRILSPQSS >ORGLA03G0154700.1 pep chromosome:AGI1.1:3:11235776:11236483:1 gene:ORGLA03G0154700 transcript:ORGLA03G0154700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPWCCGPLAAYLNLSLFSLTNGLAEALIGLGMFFWPFLEGLLILANALAILNEDRFLAPRGWSMSEVSGNGQSKSLKGQIVGLFYATQFFRMPLIALNVLIIVVKLVSG >ORGLA03G0154600.1 pep chromosome:AGI1.1:3:11224383:11227363:1 gene:ORGLA03G0154600 transcript:ORGLA03G0154600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPATLSLRPFATLAPSRAALPRVGAGFALPPAVSCQPRRRRLSLRAVAVDSDQQGSPEPPDQEAKPKKYHFLVANAKFMLDEEEHFQEQLKEKLRLYGEREKEQDFWLVVEPKFLDRFPNITKRLKRPAVALVSTDGNWITSFMKLRLDRVLAEQFEAETLEEALASNPVDLKFDKPEKWTAPYPKYEYGWWEPFLPPKSSNGTA >ORGLA03G0154500.1 pep chromosome:AGI1.1:3:11217484:11220440:1 gene:ORGLA03G0154500 transcript:ORGLA03G0154500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRPKAAANGGRGVERRHGGGGSRKIWRPPPSPTGSRPEVFVESPRMSTFGGDQGGIGGGRQVARARTAGKKAQTPVSSDAASTSCKLLSFSVLSHRAGELLRQGXWRRRGPKRRGNELPGDTEVSDAERSAWTTTRLSLTQLHGGTRRRTARPLLSLSGPDGGDLNGRMAVRMARIKSTGTLTHLKTSFGPALELSRSPRPRVLRQQPARVAVAPNDARDAADGKPRGEDGGQEGMDFGEAAPLIVGSRGHRRWRLPPPTPLRNDGLSVGSSSRRSPRDLVFLTDDERRHGRPRGGRRRLEPRDLIFLSKPGEAAVRAATATTPG >ORGLA03G0154400.1 pep chromosome:AGI1.1:3:11204348:11205026:-1 gene:ORGLA03G0154400 transcript:ORGLA03G0154400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSRTYNLINSAWWIGKFQGEVFDASPSRARKKGRCAVRRSSALSIHGVPQPCGQLLWVLGFRRAARLGGQGRRPAGGARAARVQPAARLIVSLFLESDVEINLRNYRAQGRVTPWEGEKRRRGLGRRTAAAQEDMWGEGDDLWGRM >ORGLA03G0154300.1 pep chromosome:AGI1.1:3:11183170:11188348:-1 gene:ORGLA03G0154300 transcript:ORGLA03G0154300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVASNVELDSAVFQVSSAQNRYEAIACSKGNTELIASGPFDQLVLHLEDARKFQSCSTAGTFKLSLSGNAKGSSWFTKSTIARFLNTINSPDASKSANGILHEISQLEETRKFHQSLYSKEQRNPTGGALSGGVFGTIGVEQQGNVGPNSSEATKNELLRALDLRLTVLKEEIFALLNRAVVSNMSTRDVSDLSSFVQHFGASEFSWLMRCLLLIPDCQPSEVSQQQSFPAEKDDKGENALHTRNISSHTIIQRPITNNVSPAKLAQIERESSTESDDSSESSAEDEAVVERSRPLMRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESGSCNGETDQPSRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNNNSEEKTIDSTSQSKSNNTGSDSEKDGAETQAEPGSASASSTVVTPSVEGFHTNMQGVAMPETETAVSSHTEISAEQTKSGQEENSDRAMASAEWNRQKEAELNQMLMKMMQVMPGKFSSANVTTTGITSTNEKKGGLQGQHKEKRDSKVRTEKGGRRPAKEASTRPLKETVGQKKAAITPKTGTAAEKRNSPVPQRARRNSSPPVLPKELTPKAPARKSSPKPSPAPVTRSSWSGGSLTKATTAQKTKSSPGTVSAPTATSRRRTPVASSPSQPTSKVERSAQPVKNKKETVAASKPAIKGIEEKKTKTATKTSRLAKSTPISDEKSSAATRPNLYNKVAKKSSVVPLESKPSKKATGISQSAGTDAVKSKMPQLDDSSNDIGNITQAEDKEHSAVTTQPKTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTENSAPSLATTEMDSSEQVEPHTEVQPPPEEDMGISSAAWVEVEHEEVTDVGENVVPEDVTSPSIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGLKRLLKFARKNKGDSNSNGWASPSVVSEGEDELEEPRGGNEGVNSSRRTFDGPKTNSILSAQSTTGSFNSTNSDRLRDRPGAAPSTKSSRSFFSLSNFRSSRSNESKLR >ORGLA03G0154200.1 pep chromosome:AGI1.1:3:11177543:11181858:1 gene:ORGLA03G0154200 transcript:ORGLA03G0154200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRRWELAAHRRLEKGKVVGVVVLDGWGEAAPDPFNCIHVADTPTLDALKKGGPERWRVIKAHGTAAGLPTDDDMGNSEVGHNALGAGQIYAQGAKLVDMALASGKIYEGEGFKYIQQSFENGTLHLIGLLSDGGVHSRIDQLQLLLKGASEHGAKRIRVHILTDGRDVLDGSSVKFVELIENDLAKLRDKGVDARIASGGGRMYVTMDRYENDWQVVKRGWDAQVLGEAPHKFQNALEAVKKLREDPKANDQYLPPFVIVDERGRPIGPIMDGDAVVTFNFRADRMVMLAKALEYESFDKFDRVRFPKIRYAGMLQYDGELKLPSHFLVAPPEIERTSGEYLARNGIRTYACSETVKFGHVTFFWNGNRSGYFHPNLEKYEEIPSDIGIPFNEQPKMKAVEIAKKTRDAILSCKFDQVRVNIANGDMVGHTGDIEATIVGCKAADEAVKIVLDAIEQVGGIFVVTADHGNAEDMVKRDKSGKPLRDKDGNVQPLTSHTLNPVPIAIGGPGLQPGVRFRSDLPSAGLANVAATVMNLHGFEAPDHYEPTLIEVVDK >ORGLA03G0154100.1 pep chromosome:AGI1.1:3:11165976:11170285:-1 gene:ORGLA03G0154100 transcript:ORGLA03G0154100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PAZ5] MKATPPPAKRRRGPRLAVLALVFCSLLVPIAFLFNRFPAVYVTDERPQQEIDLPSFGRMGLERSGGVTVVKPEDGSGANAETKDTPEMIHRGGINSHHHSDNVPSKVSANPKVPPPPKIEPLKPKAKSVPVPVQRTEVISGNNMKPAKVQNADDVEKAKACQLEFGSYCLWSIEHKEVMKDTIVKRLKDQLFVARSYYPSIAKLKGKEALTRGLKQNIQEHERVLSESIVDADLPSFIKSKIEKMDQTIGRAKACTVDCNNVDRKLRQILHMTEDEAHFHMKQSAYLYNLGVHTMPKSHHCLNMRLTVEYFKSAPLDSDDSAVHKFNVPDHRHYVILSKNVLAASVVINSTVSNSEETENVVFHILTDAQNFYAMKHWFGRNSYRESAVHVINYEHIILENLPEFSSQQLYLPEEFRVFISNLERPSEKTRMEYLSVFSHSHFFIPEILKDLKKVIVLDDDVVVQRDLSFLWNIDMGDKVNGAVKFCGLRMGQLRNLLGKATYDPQSCAWMSGVNVIDLEKWREHNVTENYLQLLKKFQHNDDEASVRAAALPISLLAFEHLIYPLDERLTISGLGYDYAIKEELVRNSVSLHYNGNMKPWLELGIPDYRKYWKRFLTRDERFMDECNVSP >ORGLA03G0154000.1 pep chromosome:AGI1.1:3:11159657:11162827:1 gene:ORGLA03G0154000 transcript:ORGLA03G0154000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPILPKSLKDIPRSHNTQNILMPGQLPNDSMPLHQSATQSSISHPRASVVRSSYSAMLGYAANPIDSVSSHEGHFMAAPFISQSSNAEMLQSLCNNNTHGGHTVPTFFPAPACGAPDYMDTITVPDNHTQSGSSTVTSDAAKQNEWWADIMNDDWKDILDATATDSQSKSMAQPSNSAASQPAFNQSTSSHSGDICPVTSPPPNNSNASASKQRMRWTPELHESFVHAVNKLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLSEGKTQEGKTTDELSLDLKASMDLTEALRLQMEVQKRLHEQLEIQRKLQLRIEEQGKYLQKMFEKQCKSSTQSVQDPSSGDTATPSEPSNSVDKDSEAALDPNRIGDNHPKNSTNVGANLKTAATESPDSPVIATDGSVLPQEKCRRVHES >ORGLA03G0153900.1 pep chromosome:AGI1.1:3:11150143:11154736:1 gene:ORGLA03G0153900 transcript:ORGLA03G0153900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPGGCARMRRVVLGVVLLLSVCVRASHAVTDSQDTSVLRALMDQWQNAPPTWGQSDDPCSDSPWDGVVCSNNRVISIKISTMGIKGVLAADIGQLTELQSLDMSFNKDLGGVLTPNIGNLKQLTTLILAGCSFHGNIPDELGSLPKLSYMALNSNQFSGKIPASMGNLSNLYWFDIADNQLSGPLPISTNGGMGLDKLFKTKHFHFNKNQLSGPIPDALFSPEMTLIHLLFDGNKFTGNIPDSLGLVTTLEVVRLDRNSLSGPVPENLNNLTKVNELNLANNQLTGPLPDLSQMTQLNYVDLSNNTFDPSPSPQWFWRLPQLSALIIQSGRLYGTVPMRLFSSPQLQQVILDGNAFNGTLDMGKYISSELSIVSLKDNQLSSVTVTASYNGTLSLAGNPVCDRLPNTQYCNVTQRAAAAPYSTSLVKCFSGTCNAVGESMSPQSCACAYPYQGVMYFRAPFFGDVTNGTAFQELESRLWTKLDLTPGSVFLQDPFFNADAYMQVQVKLFPSGSAYFNRSEVMRIGFDLSNQTFKPPKEFGPYYFIASPYPFPEERSSSRSKGAIIGIAAGCGVLVVALVGAAVYALVQRRRAQKAREELGGPFASWKRSEERGGAPRLKGARWFSYEELKRSTNNFAEANELGYGGYGKVYRGMLPTGTFIAIKRAQQGSMQGGHEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMSAGTLRDSLSGKSGLHLDWKKRLRVALGAARGLAYLHELADPPIIHRDVKSSNILMDEHLTAKVADFGLSKLVSDTERGHVSTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVVMLELIIAKQPIEKGKYIVREVKRAFDAGDAEFCGIKDMIDARIMNTNHLAAFSKFVQLALRCVEEVAGARPSMSDVVKEIEMMLQSEGLSSASTSASTSATEFDVTKGAPRHPYNDPLPKKDKDMSTDSFDYSGGYSFQSKIEPK >ORGLA03G0153800.1 pep chromosome:AGI1.1:3:11142382:11142879:-1 gene:ORGLA03G0153800 transcript:ORGLA03G0153800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTPAVTEARENPGEAPALLLYGYKVIAAGVRLHLHCCCAARRSQGRSRKEMRLVRGARARQRGPSASPDGNQWPPPSLALLPHGGDVRLRLHCCCGGEIGGRQGRGAERGGGVAPMR >ORGLA03G0153700.1 pep chromosome:AGI1.1:3:11136237:11140125:-1 gene:ORGLA03G0153700 transcript:ORGLA03G0153700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:I1PAZ1] MSMYGRDPWGGPLEICHDSATDDDRSRNLDLDRGALSRTLDETQQSWLLAGPGDQGRKKKKYVDLGCLVVSRKLFVWTVGVLLAAAVFAGLVAGIAKAIPRHHRPPPPPDDFTVALRKALMFFNAQKSGKLPKNNNVHWRGNSCMKDGLSDPAVGRSLVGGYYDAGDAVKFNFPAAFSMTLLSWSVIEYSAKYEAVGELGHIRDTVKWGADYFLKTFNSTADTIDRVVMQVGSGATSPGSTQPNDHYCWMRPEDIDYPRPVVECHACSDLAAEMAASLAAASIVFKDNKAYSQKLVHGATTLFKFARQNRGRYSAGGSDAAKFYNSTSYWDEFVWGGSWMYLATGNSSYLQLATHPKLAKHAGAYWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEILRTFHNQTSIIMCSYLPIFKSFNRTKGGLIQLNHGRPQPLQYVVNAAFLASLYGDYLEAADTPGWYCGPHFYPIETLRNFARTQIEYILGKNPLKMSYVVGYGNRYPKHVHHRGASIPKNGVHYGCKGGWKWRETKKPNPNIIVGAMVAGPDRHDGFKDVRKNYNYTEATLAGNAGLVAALVALSGEGHGVDKNTMFSAVPPMFPSPPPPPAPWKP >ORGLA03G0153600.1 pep chromosome:AGI1.1:3:11112868:11115703:1 gene:ORGLA03G0153600 transcript:ORGLA03G0153600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGDKEMIRLAFGPEALLHSVMAQARKELALLPPPQAASSSPTVPAAHSPFLLSRQNSGRCPAPSPSSWAQAQPFSRSNSMGNGGAADEMVGAGEELMSPLNGGGGAAANAPPFFPRGGDALLDDFELQEQLAFLHDGAGGVNPGHALQAFDGAECRSPGPGESGGMLPYGLAWANGGPGHRRSASVNELCLGGDGFGWKPCLYYARGFCKNGSTCRFVHGGLSDDAAMDAATAEQQQCQDFLLRSKSQRLGPAAFPFTPTGSLPASPSATSKCLSLLLQQQQQHNDNQRAAAATLMLAGGDEAHKFMGRPRLDRVDFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRSLLRKQQQGDFCCMSPTGLDARDPFDFHQLGARMLQHSNSANELMLRRKLEEQQQAADLQQAIDLHSRRLIGLQLLDLKSSAAVHAAETTTMSLPTPITNAFTSGQPGATTIVESPPSSTGQLMASCGSPSEGKVVNGGNKADSAGEVTRIADSDQSGEHNLPDSPFASSTKSTAFSTATAATAIGSEGDFTTGSSCNIGGSAVGSANPLRPPTLDIPSPRTCFFPMPRLSEHGAIGM >ORGLA03G0153500.1 pep chromosome:AGI1.1:3:11091518:11094410:1 gene:ORGLA03G0153500 transcript:ORGLA03G0153500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGDKEMIRLAFGPEALLHSVMAQARKELVLLPPPPSSSSPTVPAAHSPFLLSRQNSSRGPAPSPSPLSASSPSSWAQAQPFSRSNGSVDEVVGAGEELISPANSGGGAAANAPPFFPRGGDVLLDDFQLQEQLAFLNEGGVNPSHPLQGFDGAECRSPGPGEGGGMFPYGLGWANGGPGHRRSASVNELCLGGGSSDGFGWKPCLYYARGFCKNGSSCRFVHGDDAAALTGAAMDAATAEQQQCQDFLLRSKSQRLGPAAFPYSPTGSLPGSPSAATKCLSLLLQQQHNDNQRAAAAAALMLGGSDEAHKFMGRPRLDRVDFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKHQGDFSGCTTPTGLDGRDPFDLHQLGARMLQHSNSTNEMMLRRKLEEQQQAAELQQAIELHSRRLMDLQLLDLKNRAAAAVTTAMAMTIPTANAFGSSQPLATTMVESPPDSGEQLKGTGYFTEERKMVNGGGDKEESAGEASLNADSDQSLEHNLPDSPFASPTKSSVSAHQSFTTTDTGVVATSSCSASHVGISAGTNAGGGINHLRPSTLDIPSPRDFFSVSRLASDHGAIGM >ORGLA03G0153400.1 pep chromosome:AGI1.1:3:11072608:11079650:-1 gene:ORGLA03G0153400 transcript:ORGLA03G0153400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFRCSQPCADVPVPVQRVSSRIRAGIWMYGDRWYQGICEIEVKEMKTXNFIQVRAPILQAFLAKLADDLGVVLRAMLAKKEELATVGNNGEIIEQTEPGNMLKNGGFEEGPYIFPNTSWGVLVPPMDEDDYTPLSPWTILSTTKSVKYIDAAHYAVPGGARAVELVSGMETAMVQEVSTVPGRSYRLDFSVGDAGDGCSGSLTVQAYACGDERGGRRGGGRRRAALLACCCPVRXGGRPSAEEKTDAGTIATAVRSVDGRTAIAAASGGVAAMGNPTAAPSATPSSRGLLLGPVGEADAELAAAQEVAVEVAASAAGRPDGWRGREKRGTPSMRRGHRAAAGPGRGGGGDEEDADNHHGNADDHHAHRPPRARTPPSPPAAARSCYSPAAKRSKKRREKKKRERER >ORGLA03G0153300.1 pep chromosome:AGI1.1:3:11066428:11071212:-1 gene:ORGLA03G0153300 transcript:ORGLA03G0153300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSAAAGARQQQQQGEAVSDRVLRFRGVLVVVLAPVLLISLVLLLMPRAPASATVEGSAGELVAAAGRRWGPRAVSGLGDGSTRYAVIFDAGSSGSRVHVYCFDGNLDLLPIGKEIELFKQKKPGLSAYAMDPQEAAKSLVSLLEEAEKVVPVELREQTPVRVGATAGLRALGTEKSEEILQAVRDLLQDKSSFRSQPEWVTVLDGSQEGAFQWVTINYLLGNLGKPYSHTVGVVDLGGGSVQMAYGISEKDAGKAPPVAEGEDSYVKELLLKGTTYYLYVHSYLRYGLLAARAEILKAGEGNDYRNCMLEGHHGQYRYGDDIFEASGLSSGASYSKCRAVAVRALKVDEPACTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFVNPKAPFANVKPSDFEEAARRVCKLNVKDAQATYPDVSVENVPYLCMDLVYQYTLLVDGFGVDPYQDITLVKKVPYSNSFVEAAWPLGSAIEVASSS >ORGLA03G0153200.1 pep chromosome:AGI1.1:3:11063614:11065706:1 gene:ORGLA03G0153200 transcript:ORGLA03G0153200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASRSLLAVILLAATAADVDALVFDVPSGGSKCLTEELRRGALSHASYRVAEATSAASSAVSARVAGPRGEELHLTEGVEAGEFRFEAAEDGRYTACFWTPRYLRGAIVSIDVQWTTEVRDHAGGAGSPPAVAAAKEGHIDCVQVILMFHFIVIHTSIMGIRNVDAHASSMIGELKKLEVSTRLIHEEMISLRRSEGEMQKLNEDTTMKIHSFTLLSLAVCVGVAGLQLWHLKTFFQKRHIL >ORGLA03G0153100.1 pep chromosome:AGI1.1:3:11053098:11056823:-1 gene:ORGLA03G0153100 transcript:ORGLA03G0153100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHKSSPPAIRRRGVGMLRWAVRVASSIVLWTALLHLSSLLGLPRPPLLAARPSCLGGGGGGGSNSSASSAVTVAAADEVGRLAPPAVPRRRVYKSNGYLLISCNGGLNQMRAAICDMVTVARYMNLTMVVPELDKQSFWADPSDFGDIFDVNHFINSLQDEVKIIRELPQKFSRKVPFSMQPISWSSEKYYLRQILPLVRKHKVVRFSRTDSRLANNGLPLKLQKLRCRVNYNALQFAPSIEALGKKMISALRKTGSFIVLHLRYEMDMLAFSGCTHGCSDEETAELTRMRYAYPWWKEKEIDSEKKRLEGLCPLTPGETTLVLKALGFPRDTRIYIASGEIYGGEKRLTELKTEFPNIIRKEMLLSADELRPFQKHSTQMAALDYLVSIASDVFIPSNDGNMAKVVEGHRRFMGFHKTIQLDRKKLVELIDLLEDQELSWDEFSTAVKELHEGRMSEPTRRKAIAGQPKEEDYFYANPHECLGVARKRREKLKHTEI >ORGLA03G0153000.1 pep chromosome:AGI1.1:3:11045911:11051773:1 gene:ORGLA03G0153000 transcript:ORGLA03G0153000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFAGVCQSILHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLSQRAKAARILVVLICKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIIRNLDDMTLIKAWQQSIARTRLFFKLLEECITHFEHNKTGDSLLLGSSSRSPDAERPASPKYSDRLSPSVNAYLSEASRHEIRPQGTPENGYMWNRVSPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAVSLEVLGIIDKFSVAAASRSITTDYAKLDCVTSVLMGLLSRSQPLAFWKAFLPVVYNIFNLHGATLMARENDRFLKQIAFHLLRLAVFRNDSIRKRAVVGLQILVRNSFNYFKNTTRLRVMLTITLSELMSDVQVTQMKSDGSLEESGETRRLRKSLEEMADVRSKDLLKDCGLPVNALEAAPEGSTDNRWSWVEVKHLSKCLVQALDAGLEHALLGSEMTLDRYAAAEGFYKLAMAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSKEHVASLCKICPIVNTDVSSEASAAEVEGYGASKLTVDSAVKYLQLANKLFAQAELYHFCASIQELIIPVYKSRRAYGQLAKCHTSLKDIYESILEQEASPIPFIDATYYRVGFYGERFGKLNKKEYVFREPRDVRLGDIMEKLSHIYEAKMDGNHTLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >ORGLA03G0152900.1 pep chromosome:AGI1.1:3:11040339:11042161:1 gene:ORGLA03G0152900 transcript:ORGLA03G0152900.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCSLSPLPAYEPAFDWENERSLIFGQRVPESVPAINSSGLKITVKVLSLSFQAGLVEPFSGTICLYNRDRREKLSEDFYFHILPTEMQDAQISLDRRGVFSLDAPSPSVCLLIQLEKAATEEGGVTPSVYSRKEPVHLTDKEKQKLQVWSRIMPYRESFAWAMIPLFENNQAGGAASPSSPLAPSMSGSSSQDSIVEPISKLTLDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGVLRLEVEKLHNGHNDMDNISEGGSMANDLNDAGELNNGRYNQSSFDGIHGSLNSSAVAQKDAHQNGQASNTESGENFQAFDFRMMTRSEPFSQLFHCLYVYPLTISLGRKRNLFVRVELRKDDSDIRKPPLEVCLHMHYTLCVLL >ORGLA03G0152800.1 pep chromosome:AGI1.1:3:11032270:11033041:1 gene:ORGLA03G0152800 transcript:ORGLA03G0152800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGAKSSLLLAARQMTAPATPIHAACGRPSFFLRVRQMPAASPIHVARGLPPSMLQFISPSRCSPLWANGLNTSLFGVELHAPDPLSRDGRPGRSGLVGSTLVFAVRDFASLNLLRCRSDQESRDQLQSLHRPQLVLHAITVIFRRGNFGVDPKVKRFIGPKLI >ORGLA03G0152700.1 pep chromosome:AGI1.1:3:11019200:11020989:-1 gene:ORGLA03G0152700 transcript:ORGLA03G0152700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNPAMLPPGFRFHPTDEELIVHYLRNRAASSPCPVSIIADVDIYKFDPWDLPSKANYGDREWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHSSGGAATNESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAAADAHAANTYRPMKFRNTSMRLIDRCAWIFVQLDDWVLCRIYKKSSHASPLAVPPLSDHEQDEPCALEENAPLYAPSSSSAASMILQGAAAGAFPSLHAAAAATQRTAMQKIPSISDLLNEYSLSQLFDDGGAAAAAPLQEMARQPDHHHHHQQQHALFGHPVMNHFIANNSMVQLAHLDPSSSAAASTSAGAVVEPPAVTGKRKRSSDGGEPTIQALPPAAAAAKKPNGSCVGATFQIGSALQGSSLGLSHQMLLHSNMGMN >ORGLA03G0152600.1 pep chromosome:AGI1.1:3:11006913:11007125:1 gene:ORGLA03G0152600 transcript:ORGLA03G0152600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGVGGSGAGWWRLTGPHGASDGGVKEGVSGARGPRAQAVVTSGGGVGAGRRDASSAVEESVVGVERP >ORGLA03G0152500.1 pep chromosome:AGI1.1:3:11002420:11003810:-1 gene:ORGLA03G0152500 transcript:ORGLA03G0152500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGHHGHHGQDQPPQHHGGGGGGAHQPTFKIFCRADEGYCVAVREGNVVLAPTNPRDEHQHWYKDMRFSAKIKDEEGNPAFALVNKATGLAIKHSLGQGHPVKLAPFNPEYPDESVLWTESGDVGKSFRCIRMLNNIRLNFDAFHGDKDHGGVHDGTTIVLWEWAKGDNQCWKILPWGDEAYAGGSANAPRGGNEPTVRIFCKADEGFSVTVRGGSVCLAPTNPRDEYQHWIKDMRHSNSIKDEEGYPAFALVNRVTGEAIKHSQGEGHPVKLVPYNPGYQDESVLWTESRDVGHGFRCIRMVNNIYLNFDALHGDKDHGGVRDGTTVALWKWCEGDNQRWKIVPWSTRTS >ORGLA03G0152400.1 pep chromosome:AGI1.1:3:10979101:10980411:-1 gene:ORGLA03G0152400 transcript:ORGLA03G0152400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSVMAEVEETAAAAPLDLPPGFRFHPTDEEIVSHYLTPKALNHRFSSGVIGDVDLNKCEPWHLPAMAKMGEKEWYFFCHKDRKYPTGTRTNRATESGYWKATGKDKEIFRGRGILVGMKKTLVFYLGRAPRGEKTGWVMHEFRLEGKLPSQLPRSAKDQWAVCKVFNKELALAAKNGPMTVTGATADDAGIERVGSFSFLSDFIEPAELPPLMDPSFVADIDGVDDAKVSASTSGQAAIAAGFHVASQVMSYQQVKMEEPLPLPYLHQQPPRMLHSGQYFSLPAVHPGDLTPSAIRRYCKAEQVSGQTSALSASRDTGLSTDPNAAGCAEISSAPTSQPFPEFDDAILGLDDFWN >ORGLA03G0152300.1 pep chromosome:AGI1.1:3:10966496:10970810:-1 gene:ORGLA03G0152300 transcript:ORGLA03G0152300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT1G09230) TAIR;Acc:AT1G09230] MASFPPPPPPPHVRPAPATPSLAPPPPPPQQQQPPPGSAPPAATLLVRHLPEAIPQEMLSRLFSHYGATSVRPCAGGKLRNCAFVDFRDEVVASQAQSLLNRLRFLGKVLIVERANQPNSKNANEKQQEHEAQGALQVPSMNSLNQKNPISSAEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNLPAPFRMALPTPPLPSQVPAPPPPPPPPQPSAANKPHLTDLSSDESELESSDEDVDKRKVKRTKHEAIVGPAVDKSIAHEAVGVKPAALVSSELQVIKKKNPVLQINIAPKAAQKELTVQSTTDKELVPTAEQLQGKHFVTPQDIEKEKLPPEEILSLPMFKNYTPGNPASVLYIKNLAKDVIQDDFYYVFGSVFENMDIARSSLSIKLMQEGRMRGQAFVTFPSVELAQRALNLVHGYVFKGKPMIIQFGRNPAANKSS >ORGLA03G0152200.1 pep chromosome:AGI1.1:3:10964830:10965093:1 gene:ORGLA03G0152200 transcript:ORGLA03G0152200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVVGACSMAVGDFASIYTQLNIELAEQAAPWPDWPASSNMTGEYHGVQSRKNGSEAAISIIKIGYVQSGEKQEEKSTNKHGHYS >ORGLA03G0152100.1 pep chromosome:AGI1.1:3:10960732:10962339:-1 gene:ORGLA03G0152100 transcript:ORGLA03G0152100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAQAVAVKGSVAVPPCGSRGRRRGAVASVRMAAAAATSALRIGRRSPFLGGRLAVGPRRSRPVPRNLVAPVQMNLAFAKATKWWEKGLQPNMREVESAQDLVDSLTNAGDNLVIVDFFSPGCGGCRALHPKICQIAEQNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGAQGRLCSFSCTNATIKKFRDALAKHKPDRCSLGPTRGLEESELLALAANKDLQFNYTKKPELVPSGDAAAAQELDRGSTKLSPPAKPLVKQGSEERSLVSSGR >ORGLA03G0152000.1 pep chromosome:AGI1.1:3:10944354:10947695:-1 gene:ORGLA03G0152000 transcript:ORGLA03G0152000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNEPCWRMNSSFSPPMSRRWDCRNPSDGLPHRIHDAPPYVSSLSSHSKGSRSAFGSDQYLNHQHSVSDGALSYFGSPADSLQAPRWTPSLQRFDLGEFSTPAGGSRPDTSGYPQSSERQLTAVSSFSSASPFSESSQLASSSKPPYLHLPRNHLGRRSFMSKPVYPLVFRNPVSEAEASGMPEASNIGRTTPSDDSPVWRRSLASPELKFHNALSEFRKVEASPEPNTSSRREGFRWSNASSYDFGYDGDAIDISDHISVESQRSPTSSVRFLKCGLCERFLRQKSPWTSNRIVQNSDMPVAAVLPCRHVFHADCLEESTPKSQVHEPPCPLCTRGTDDEGCVSFSEPLHVALRSARRNQGNSFSLGGSGGSTSANPSRSDHGLKRNQSALVARRSGTMFRNRFKKQFSFKGRFGKDLFGGRVFKKVGSSSSSGQQDDRQQPKA >ORGLA03G0151900.1 pep chromosome:AGI1.1:3:10935741:10939043:-1 gene:ORGLA03G0151900 transcript:ORGLA03G0151900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:I1PAX3] MRPERQSGEEAMDVVQARLPDPVPSPILRHSPSTSRSLRSVGDMPSVTFAGDMRSGSCRAESTASSFESFRRAGSRPQPVGAVARMPTRRSASERAGSQRDLRDEDARFVYVNDAARTNAPPAKFPDNSVTTTKYSVLTFIPRNLYEQFHRVAYVYFLILAALNQVPQLGVFSPVASVLPLAFVLGVTAVKDAYEDWRRHRSDKTENNRTASVLVDGVFQPKPWREIQVGELVRVVANETLPCDMVLVSTSDPTGVAYVQTINLDGESNLKTRYAKQETMSTPPEALAGLIKCEKPNRNIYGFLATVDLDGRRAVSLGTSNIMLRGCELKNTAWAIGVAVYTGRDTKVMLNNSGAPSKRSRLETHTNRETIVLAVVLTLLCTLVSLLAGIWLSDHSDELGVIPYFRKKDFSNPNEAEKYKWYGTGAQVVFTFMMAVIQFQVMIPIALFISMELVRVGQAYFMVQDEHMFDDKRQAKFQCRALNINEDLGQIKYVFSDKTGTLTENRMEFRCASVHGGDFSETDGGDADGHAVAADGVVLRPKTAVKTDPKLMAMLKDGTGAKADAARDFFLTLVTCNTIVPIIVDDDEDNDDPAAAAAKLVEYQGESPDEQALVYAAAAYGYTLVERTSGHIIIDVFGTRQRIIMGYLGFSMIAGTNECGTRAKQQIRIKVVANVTKSWALTADDPLLNTLHPISKKYSXLLHLATSQTCVAAGC >ORGLA03G0151800.1 pep chromosome:AGI1.1:3:10924367:10928629:-1 gene:ORGLA03G0151800 transcript:ORGLA03G0151800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:I1PAX2] MAEEHDHHGSSRHMSASQKELGDEDARVVRVGDAERTNEQLEFAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLVIAVLNQLPQLAVFGRGASVMPLAFVLTVTAVKDAYEDWRRHRSDRAENGRLAAVLLSPGAGTHFAPTKWKHVRVGDVVRVYSDESLPADMVLLATSDPTGVAYVQTLNLDGESNLKTRYAKQETLTTPPEQLTGAVIRCERPNRNIYGFQANLELEEESRRIPLGPSNIVLRGCELKNTTWAIGVVVYAGRETKAMLNNAGAPTKRSRLETQMNRETLFLSAILVVLCSLVAALSGVWLRTHKADLELAQFFHKKNYVSDDKNANYNYYGIAAQIVFVFLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDTTLYDASSNSRFQCRALNINEDLGQVKCVFSDKTGTLTQNKMEFRCASVGGVDYSDIARQQPVEGDRIWVPKIPVNVDGEIVELLRNGGETGQGRYAREFFLALATCNTIVPLILDGPDPKKKIVDYQGESPDEQALVSAAAAYGFVLVERTSGHIVIDVLGEKQRFDVLGLHEFDSDRKRMSVIIGCPDKTVKLFVKGADNSMFGVIDKTMNPDVVRATEKHLHAYSSLGLRTLVIGVRELSQEEFQEWQMAYEKASTALLGRGGLLRGVAANIEQNLCLLGASGIEDKLQDGVPEAIEKLREAGIKVWVLTGDKQETAISIGFSCKLLTREMTQIVINSNSRESCRKSLDDAISMVNKLRSLSTDSQARVPLALIIDGNSLVYIFDTEREEKLFEVAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVDLLLVHGHWNYQRMGYMILYNFYRNATFVFVLFWYVLHTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYPQLYGAGQREESYNLRLFIFVMLDSIWQSLAVFFIPYLAYRKSTIDGASLGDLWTLAVVILVNIHLAMDVIRWNWITHAAIWGSIVATLICVMVIDSIPILPGFWAIYKVMGTGLFWALLLAVIVVGMIPHFVAKAIREHFLPNDIQIAREMEKSQDSHDVTHPEIQMSTVARA >ORGLA03G0151700.1 pep chromosome:AGI1.1:3:10918524:10922054:1 gene:ORGLA03G0151700 transcript:ORGLA03G0151700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGRKRRLWCEEEAAANAAWLGGIVWRTAQVVRIRGEVGMGDGRVGFSLVGYWVVRDISGLQVLTSANRNHPPVIFQVWHKDVRYSAGLKDEAGRLAFALVNKATGEAIKHSFGYNHPVRLVKFEPGYLDESVLWTESEDTGDGFHRIHMINNADYIFDAEEAVPLCDGARDGTRLILFRWNGGDNQLWRMAPCIGAEPDHEPPVHVVCLTVRHGAVVLARIDHKDPKQHWTVSFRNTGRVTDEEGHRSFLLLNPSTGKAMKRSADKEQPVELVGHGPDSVDVALLWTRSDNVGEGFHCIRTVSDVSLVLDAAGGGRHDGTPIIVFPWNGGANQRWSMLPLD >ORGLA03G0151600.1 pep chromosome:AGI1.1:3:10909710:10910568:1 gene:ORGLA03G0151600 transcript:ORGLA03G0151600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLTCSGSSAARPTSSDSPSLTARSSSASPTHATTVRQGLFTSLLHHFSIVSAMHTTSLRCAPFVSMVSSIPRSSLHSLYDTGTLSTMXVWHKDAKYSAGIKDEAGRPAFALVNKATGDALKHSLGYCCPLKSRFKHEDDVCISPNHLVRVIKFEPGYLDESVLWTASVDVADGYRRIHMMNNADYIFDAEEGTPQYGGARDGTRLILFRWNEGLNQIWRMVPCGGGVLEHEKPLRVVCHSNQALCLSVRDGVVVLADIDIKSRRVL >ORGLA03G0151500.1 pep chromosome:AGI1.1:3:10887606:10890361:-1 gene:ORGLA03G0151500 transcript:ORGLA03G0151500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNSPDRQSSGGSPPEERGGGGSGGGGGRSAAGEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQMQAAAAAAAAAASSSSPSANTSPAAASAATVQVGLPPGAVVHTMAMGGSACQYEQQASSSSSSGSTGGSSLGLFAHGAGASGAGGYLQASCGASASASSALAPGLMGDVVDSGGSDDLFAISRQMGFVGSPRCSPASSPATPSSAATAAQQQFYSCQLPAATITVFINGVPMEMPRGPIDLRAMFGQDVMLVHSTGALLPVNDYGILMQSLQIGESYFLVTIHLQALTSWSHRSLSTPISQCSFVFMKAPHF >ORGLA03G0151400.1 pep chromosome:AGI1.1:3:10880814:10882416:1 gene:ORGLA03G0151400 transcript:ORGLA03G0151400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHQQQLQSHNQLLPSRQSFPSERHLLMQGGSVSGESGLVLSTDAKPRLKWTPELHERFVEAVNQLGGPEKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNLHAQANAGNVKNALVCTTATEKPSEANGSPVSHLNLGTQTNKSVHIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLAKQNAGSVGLETAKMELSELVSKVSTECLQHAFSGFEEIESSQMLQGHTMHLGDGSVDSCLTACDGSQKDQDILSISLSAQKGKEIGCMSFDMHVKERGSEDLFLNKLNRRPSNHPERCQRRGGFSMSCQTANLDLNMNDTYDGPKHCKKFDLNGFSWA >ORGLA03G0151300.1 pep chromosome:AGI1.1:3:10858892:10862515:-1 gene:ORGLA03G0151300 transcript:ORGLA03G0151300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:I1PAW7] MAAAVRPTVKGSCSSGGHAGAGRGAGWAPRRRDRRRGGPRRGRSTRASSRRGRRRPRSGYQSRVSRAEQVGPEIRTGFLKDGKPIQMKQGKEITITIDYSIKGDENLISMSYHKLAIDLKPGSTILCADGTIALTVLSCDCEQGLVRCRCENSAMLGERKNVNLPGVIVDLPTLTEKDKVDILQWGVPNKIDMIALSFVRKGSDLMLVRSVLGEHAKSILLMSKVENQEGVANVDEIIANSDAFMDARGDLGMEIPIEKIFYAQKVMIHKCNIHGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMFSGETAAGAYPELAVQTMANICLRAESYLDYPFIFKKLSSEAPVPLSPLESLASSAVQTANISKASLILVLTRGGTTARLIAKYRPAMPVLSVVVPELKADDSFNWTCSDEAPARQSLIVRGLIPMLSTATPKAFDIESTDEAILSGIDYAKKLGLCNSRDSVVVMHRIGGYSIVKIVTVN >ORGLA03G0151200.1 pep chromosome:AGI1.1:3:10856146:10857108:1 gene:ORGLA03G0151200 transcript:ORGLA03G0151200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPSATCRYFCHMCSLIVRPEMGIEEVKCPHCHSGFVEEMVGGDDDDGRRSGNAAAGGRGAASEENADDEATPAPPPWAPMLIDLLGVSSRRHGLDDGSSDLAAFARRQYRNIAFLQLLSALQDDDEAGGDTPGDSGRERLVLVTPADGNGAAATSGFTLGDLFLGPGLDLLLDYLADTDPNRQGTPPARKEAVAALPTVRAHDAAGATCPVCLDEFEAGGEAREMPCKHRFHDGCILPWLEAHSSCPVCRYQLPTDDEPTAGNVVVAAEGGDELIGNARGGGGDGDGDGGSSGRRRWLSWPFGGLFSHRSSGSSSSS >ORGLA03G0151100.1 pep chromosome:AGI1.1:3:10848580:10852150:-1 gene:ORGLA03G0151100 transcript:ORGLA03G0151100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised conserved protein UCP022280 [Source:Projected from Arabidopsis thaliana (AT4G26410) TAIR;Acc:AT4G26410] MAPPDTSRAPAQGEEAASTSPWPLRKLQSFTPGLCSQYKAYENAFVDMAKGTISDAMVLVNEHQTEAIGCATVAGFILLRGPRRFLYRNTLGRFKTEKDLLNDAEQSMMEYKTSIEQLKKDSKYTLGKIAVGESDLQRGQTDLRSTGKQIRSLIGSIYKAESTATGLMDRLRTIPTRQSLELRAEVASMASDLKNQRCVLQERINKISEYGVRV >ORGLA03G0151000.1 pep chromosome:AGI1.1:3:10845162:10847242:1 gene:ORGLA03G0151000 transcript:ORGLA03G0151000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASASCRRRPIAWFFAIAALLFFFSWYLLLDSAAVTPEPLLAARGQGLRVGSSGRKCDPATAALRVFMYDLPAEFHFGLLDWEPQGGGGGGGGVWPDVRGGGVPEYPGGLNLQHSIEYWLTLDLLASEQGAPTPCGAVRVRHAAAADVVFVPFFASLSFNRHSKVVPPARASEDRALQRRLLDYLAARPEWRRSGGRDHVVLAHHPNGMLDARYKLWPCVFVLCDFGRYPPSVAGLDKDVIAPYRHVVPNFANDSAGYDDRPTLLYFQGAIYRKDGGFIRQELYYLLKDEKDVHFSFGSVVGNGIEQATQGMRASKFCLNIAGDTPSSNRLFDSIVSHCVPIIISDEIELPFEDVLDYSKFCIIVRGADAVKKGFLMNLINGISREDWTRMWNRLKEVERHFEYQYPSQNDDAVQMIWKAIARKAPSIRLKVNRLRRFSRFETNRTDETPTRSSWLENQPS >ORGLA03G0150900.1 pep chromosome:AGI1.1:3:10838094:10841315:-1 gene:ORGLA03G0150900 transcript:ORGLA03G0150900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQQLKPLSDVADKIGGFLFNLAWSKGTRLWNVEEEAEKLRRTEKRIRALLRDAEERRYIDDESVKLWLLELKSVAYDAETLLDRLTTFTAVARLESAEPSRKRKRSWLNLQLGPRQRWGLDAKITEINERLDEIARGRKRFKFQPGDAARRAQPGQRPRFVEVAACHDESSQIFGRAKEKEEVVQALLSDHTIPLPVISIYGAAGIGKTTLARLVYNNAEVQSSFPTRIWVCLSDKCDVTKATKMIMEAITKVKCDALSLDILQQQLQEHLSTTKFLLVIDNLWAEDYNFWELLRCPLLAGEKGSKVLITTRNERVWRRTTSTILPVHLKGLDDEECWLLLKKYAFLHGQGRENDALSKTGRMIAADCRGSPLAAKSLGMLLSDTNGEEEEWLNISNQMRILNEDNNRILPSLQISYHHLPYHLKQLFTLCCLFPVGHEFEKDEVIRLWIAEGLIQCNARRRLEAEAGRFFDELLWRSFFETSGSSTNQRYRVPSLMNELASLVSKSECLCIEPGNLQGGINRDLVRYVSILCQKDELPELTMICNYENIRILKLSTEVRISLKCVPSELFHKLSCLRTLEMSNSELEELPESVGCLTHLRYIGLRKTLIKRLPDSVSTLFNLQTLDLRECYRLTELPEELSRLVNLRHLDLHLEWDRMVPIPMPRGIDKLTSLQTLSRFTVTADAEGYCNMKELKDINIRGELCLLKLESATHENAGESKLSEKQYVENLMLQWSYNNNQAVDESMRVIESLRPHSKLRSLWVDWYPGENFPGWMGESSFTYLENLRICDCRNSRLLPSFGELPKLKKLHLGGMHSLQSMGTLLGFPSLEVLTLWDMPNLQTWCDSEEAELPKLKELYISHCPRLQNVTNLPRELAKLEINNCGMLCSLPGLQHLHDLVVRRGNDQLIGWISELMSLTSLTLMHSTETMDIQQLQQLSALKRLKIGGFKQLSSVSDNSGMEALSSLEFLEISSCTELQRFSVVGLQSLKDFKLRHCTKLEALPTGLGNLGSLRCVEIHDIPNLRIDNTGTVLPDSVSYLTLSGCPDLESWCRNTGAQRVKKIPNVKIGF >ORGLA03G0150800.1 pep chromosome:AGI1.1:3:10835490:10835903:1 gene:ORGLA03G0150800 transcript:ORGLA03G0150800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGRLPFVPGVADGGVQVLGPDLVDTFACPTLLLRRDRSAEFGVVERTCPCLETDLGSKKASLECSTDDDSSEHGGIVSICPCMDRISGIGWSNKKPTTPSVGVIGDGRRKAVPDLDQPKGLGGVVHAEGSVLRLW >ORGLA03G0150700.1 pep chromosome:AGI1.1:3:10833268:10834140:-1 gene:ORGLA03G0150700 transcript:ORGLA03G0150700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHNIRCGCSSWWVRPRQEAGRCSDSVAVPKPHLRHPSLGSISVVRELELELLLSLGATSTRPVFRRIGFYQRLGKKRLRGSLAVDDIDHNDSTTVCSWKSAGWRNGTGATRRKQTAAARAPSLHALMTMRKGREEGKEGVDDVPYQPKPPSKLSQDLIYTGFGC >ORGLA03G0150600.1 pep chromosome:AGI1.1:3:10826693:10828618:1 gene:ORGLA03G0150600 transcript:ORGLA03G0150600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGGLVMDQGMMFPGVHNFVDLLQQNGGDKNLGFGALVPQTSSGEQCVMGEGDLVDPPPESFPDAGEDDSDDDVEDIEELERRMWRDRMKLKRLKELQLSRGKDPAGGVVGDPSKPRQSQEQARRKKMSRAQDGILKYMLKMMEVCRAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPAAIAKYQADNAVPGCESELASGTGSPHSLQELQDTTLGSLLSALMQHCDPPQRRYPLEKGVPPPWWPTGDEEWWPELGIPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKEISTWLAVVKQEEELYLKLNPGARPPAPTGGITSAISFNASSSEYDVDVVDDCKGDEAGNQKAVVVADPTAFNLGAAMLNDKFLMPASMKEEATDVEFIQKRSASGAEPELMLNNRVYTCNNVQCPHSDYGYGFLDRNARNSHQYTCKYNDPLQQSTENKPSPPAIFPATYNTPNQALNNLDFGLPMDGQRSITELMNMYDNNFVANKNLSNDNATIMERPNAVNPRIQIEEGFFGQGSGISGSNGGVFEDVNGMMQQPQQTTPAQQQFFIRDDTPFGNQMGDINGASEFRFGSGFNMSGAVEYPGAMQGQQKNDGSNWYY >ORGLA03G0150500.1 pep chromosome:AGI1.1:3:10810382:10810999:-1 gene:ORGLA03G0150500 transcript:ORGLA03G0150500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLSILASSVAAGLRSSLIPLHPGAGVKLPFRLPLRWDVWVEEIEKAAVDGARAAGGGRLGERCSGGGQGIGGLSSSVSQLQRRPTRMEMGGFGGIVAGGASMVGESKSGSGARRWGLELRPVVDEDAMALTRAKPPWVAMFPFSIATDRYMRPLRLRSTLYMASFHLMQQRGVDDQDGALVVVAPVAGLERGRATRRPTSSSSA >ORGLA03G0150400.1 pep chromosome:AGI1.1:3:10807366:10808711:1 gene:ORGLA03G0150400 transcript:ORGLA03G0150400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIMLPAASAAAAASVLALLVLTSLQPHAARAQVASSPWAAPAPWPGELDCTGALLNLSSCLTYVEYRSTLTRPDKGCCGALAGVVDGEAACLCGLVGGYGAYGVRVDAVRALALPTICRVDAPPPRLCAALGVPVAEPPGGAVPEESGLSGGMPANAPSTAATGSSGGGGPATHRPTRRHLILLLLLLVFPASLLLL >ORGLA03G0150300.1 pep chromosome:AGI1.1:3:10798056:10802800:1 gene:ORGLA03G0150300 transcript:ORGLA03G0150300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASAAAPPPPPTAQPHMAAPPYGAGLAGILPPKPDGEEEGKKKEVEKVDYLNLPCPVPFEEIQREALMSLKPELFEGLRFDFTKGLNQKFSLSHSVFMGSLEVPSQSTETIKVPTSHYEFGANFIDPKLVILKWNCLQLILVGRVMTDGRLNARVKCDLTDDLTLKINAQLTHEPHYSQGMFNFDYKGTDYRAQFQIGNNAFYGANYIQSVTPNLSMGTEIFWLGHQRKSGIGFASRYNSDKMVGTLQVASTGIVALSYVQKVSEKVSLASDFMYNHMSRDVTSSFGYDYMLRQCRLRGKIDSNGVVAAYLEERLNMGVNFLLSAEIDHSKKNYKFGFGMTVGE >ORGLA03G0150200.1 pep chromosome:AGI1.1:3:10794580:10795282:-1 gene:ORGLA03G0150200 transcript:ORGLA03G0150200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIKENINAVGGWLRRQLRRWLHQRWGWKHXDAGGGCEVGGLSRLRQSWGWKRQDTGGGGKSAGHGDGEPGDRQRPSVDGDPGDRPPPRIDGDAGDRPRPCVDGDPSDRPPTRVDGKPCARPRVDGEPSDRPPPRIDGEPGDLPPQRVDGDPYTWRRVDGELGGRRRVGDLGGGPSIESSPRYGQIDLGVQG >ORGLA03G0150100.1 pep chromosome:AGI1.1:3:10786437:10786934:-1 gene:ORGLA03G0150100 transcript:ORGLA03G0150100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCARPVGVGYLVGGAASSWSQRRGRGVAAPRARVRVSASASTVAPERRAATMYEVLAVEETAGAEEIKAAYRRAARRWHPDACPGGAERFMLAREAYEVLSDPERRRGYDIQLRCCGAGAGAQAARRAGFADWEAQLAGLQWRAASRETWGSRMRHRQPSPS >ORGLA03G0150000.1 pep chromosome:AGI1.1:3:10780726:10783876:1 gene:ORGLA03G0150000 transcript:ORGLA03G0150000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASRKEEERNERVVRGLLKLPPNRRCINCNGLGPQYVCTSFWTFVCISCSGIHREFTHRVKSVSMSTFTTQEVEALQNGGNQRARESFLKEFDAQKMRLPDSSNVDSLREFIKAVYVERRYAGGRFSERPPRDKQNQKNNEQEHRRASSYHSFSQSPPYDYQYEERRNGKQSVMLTRKPGSDRGHDGKMSGFAYSPQSLHERMSEDRFANENSGPRISDCSGSSISNTFRTTPHSPNFLDKGCSSPSMQQNQSNIQASSGITQSERTISTGNIDSSSTKSGKSSLADMFFESDIAHRTQQTKDCITPSFTAFSDVANIAQKDLLNEPVAQQQPVTGLDQPVDFFASMPPATPSTDRMLTAAPSMDNAGWATFDTPPEEKQPGVIGLSGISVMDKHALSGDLFSFEPNNDQPTWLQSSKTSKNNASVTDQSDVRCKYTSSDASNSQAWSAFEAKSVSTQQASPDLSLMSSIEPKEPIDENKLQLWHSFDDASETMTLNLYNAQLQTNEHKNADNNSLTTSNPFTCSITSKVPLSSQVIFMVLNNLIYFSVM >ORGLA03G0149900.1 pep chromosome:AGI1.1:3:10777714:10778341:-1 gene:ORGLA03G0149900 transcript:ORGLA03G0149900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPGPWNLTPVSHQDDWHVGFVYERNGVPIGAQENSYWLYIVPWGINKAVTYVKETYGNPMMILSENEWTNLATSISPRVCMILQESDITEIT >ORGLA03G0149800.1 pep chromosome:AGI1.1:3:10769648:10775871:1 gene:ORGLA03G0149800 transcript:ORGLA03G0149800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSTPFTTATGVQKKLGAPVPLHSFLLSRRQPAAGAGRGRAAAAIRCAVAGNGLFTQTKPEVRRVVPPEGDASRRGVPRVKVVYVVLEAQYQSSVTAAVRELNADPRRAAGFEVVGYLVEELRDEETYKTFCADLADANVFIGSLIFVEELALKVKDAVEKERDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRKKNSGGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAVSYVPALKGADIKYDDPVLFLDAGIWHPLAPTMYDDVKEYLNWYGTRRDTNDKLKDPNAPVIGLVLQRSHIVTGDDGHYVAVIMELEAKGAKVIPIFAGGLDFSGPTQRYLVDPITGKPFVNAVVSLTGFALVGGPARQDHPKAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHKRVEQLCTRAIRWAELKRKTKEEKKLAITVFSFPPDKGNVGTAAYLNVFNSIYSVLQDLKKDGYNVEGLPDTAEALIEEVIHDKEAQFNSPNLNVAYRMNVREYQSLTSYASLLEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDACYPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQCNLDKDVPLPEEGVELPPNERDLIVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIASLDRPEDEIYSLPNILAQTVGRNIEDVYRGSDKGILADVELLRQITEASRGAITAFVERTTNNKGQVVDVTNKLSTMLGFGLSEPWVQHLSKTKFIRADREKLRTLFTFLGECLKLIVADNELGSLKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAALKSAKIVVDRLLERQKVDNGGKYPETIALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPEEMNYVRKHAQEQARELGVSLREAATRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDCDAPGAGMREQRKTFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPSSYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANATFIEDEAMRKRLMDTNPNSFRKLVQTFLEASGRGYWETSEENLEKLRELYSEVEDKIEGIDR >ORGLA03G0149700.1 pep chromosome:AGI1.1:3:10752845:10754212:-1 gene:ORGLA03G0149700 transcript:ORGLA03G0149700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLRHRPQPQSEGSSRQTGSASSAQAGNGGSSPTNVEDDESNTRARTGCGDRLEEADRPRIVPAGDAFEVYPYISSRRPSTVQGALLRKFYPGAFGLVECRTPALTWRDYKRSTNERIMSPADRVLKEFWYRFKCDPTDKVEADKVLEQNFKKKVPQQHTKGGQQGLAAAWQHTHRMQQGKNEQLCNQRAKEAWELLHVCQFRNPPKHMV >ORGLA03G0149600.1 pep chromosome:AGI1.1:3:10746621:10747741:-1 gene:ORGLA03G0149600 transcript:ORGLA03G0149600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQDRREARAEADARRAAEEIARARDERVMQAEVDARSAADEIARARADRGAATMGADTAHHAAGGGGILESVQEGAKSFVSAVGRTFGGARDTAAEKTSQTADATRDKLGEYKDYTADKARETNDSVARKTNETADSTRDKLGEYKDYTADKTRETKDAVAQKASDASEATKNKLGEYKDALARKTRDAKDTTAQKATEFKDGVKATAQETRDATADTARKAKDATKDTTQTAADKARETAATHDDATDKGQGQGLLGALGNVTGAIKEKLTVSPAATQEHLGGGEERAVKERAAEKAASVYFEEKDRLTRERAAERVDKCVEKCVEGCPDATCAHRHGKM >ORGLA03G0149500.1 pep chromosome:AGI1.1:3:10741576:10743999:1 gene:ORGLA03G0149500 transcript:ORGLA03G0149500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTNLRRRLHHGDVDGRKNEHVDISSVDSLNEPLLGKSSSDTGGSEVYDPRRQDLWDDDRKKEQLHWSFLFSNLIAQWAQWLANIIVGSGSLFGRLFPFSLDNQNSSPVYLSPLQEDRLNTLRSRLQIPFDGSRVEHQDALRQLWRLAYPNHDIPPLKSELWKEMGWQGTDPSTDFRGGGFISLENLIFFARNYPGSFQALLNKVQGQRADWEYPFAVAGINISFMLIQMLDLQSSVPSSKSGVRFVELLGRDENAFDHLYCVAFRLLDAQWLVKRASYMEFNEVLKSTRTQLERELVLEDVLEVKDLPSYTMLDK >ORGLA03G0149400.1 pep chromosome:AGI1.1:3:10731059:10731953:-1 gene:ORGLA03G0149400 transcript:ORGLA03G0149400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPPRERGRFPATGFNVRPRAERGGRGRNTEGTSTTCSVRVAVGRGAGAAAAGRKTVDEVWRDIQGATGNGFLRPAGAAAGQMTLEDFLSRAGADSGSGGGGGADGARWARAHHHHVGRPVPRPLGLGAGPVLDALYHDGPVSGSKRAPAAGEGAAAEKTVERRKKRMIKNRESAARSRARKQAYTNELENKISRLEEENKRLRMHKVSKPVFVHHFQEP >ORGLA03G0149300.1 pep chromosome:AGI1.1:3:10725595:10727647:1 gene:ORGLA03G0149300 transcript:ORGLA03G0149300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLPGLRCCRGLDAAAARPLLGPARARASPRASALRYSSLQAGDSLGEEVLRMFLAERQAHGDFVTKISDMVWRRNGGDLGVLEAAAEQENSADVAPPQPEEADVMGEGMLRIAATRDWVSGESSLPISKRLSAKDRQDERERRKELNLLRYEALKDELLLLTTGIGAACSLYCLLVFSLEAAVSYAFGVAFSCLYLQLLYRHTDNLSKKDVPEIFMKKKVKRIGIRSEDLKNTIEKVLGGISVALSSPRLVIPAIFFGLSTLSDHFQNSILNFELVPGMMGFFAYKAAALVQVYRDNEDLRLILPEEDADSS >ORGLA03G0149200.1 pep chromosome:AGI1.1:3:10721751:10724837:1 gene:ORGLA03G0149200 transcript:ORGLA03G0149200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS triad family protein 3 [Source:Projected from Arabidopsis thaliana (AT3G56490) TAIR;Acc:AT3G56490] MGNKNKITWTTKGVKNNQQKQKTTIQAHHIKQTRVFFHQSAILFLKQQGPKSCPICPPENHLQKGVLAFRDINPQAPTHIVIIPKVKDGLTGLSKSSQAEERHVEILGYLLYVAKVVAKQEGLEDGYRIVINDGPSGCQSVYHIHVHLLGGRQMNWPPG >ORGLA03G0149100.1 pep chromosome:AGI1.1:3:10719251:10719708:1 gene:ORGLA03G0149100 transcript:ORGLA03G0149100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEDDTCENKDCRVDAERKAMAIGEDDTCENKDFRVSAGRKATTVGENGACENKDCRVGAGRKASAVEEDNACKNKEKRRKMMLRVVVIATVDGEDVLDLDARWLVGASTLADYHS >ORGLA03G0149000.1 pep chromosome:AGI1.1:3:10717912:10718190:-1 gene:ORGLA03G0149000 transcript:ORGLA03G0149000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPDVGIPVLGLATVSAGSGGEAIGSRSPVTVEEEEEAVVREGDEETKQRVVVVVSWLLAPLQPHSCPYSGSHNPTAHDRRLTSTAGGAT >ORGLA03G0148900.1 pep chromosome:AGI1.1:3:10715355:10715708:-1 gene:ORGLA03G0148900 transcript:ORGLA03G0148900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGSPVMTERERRAYRYGQASSPTARAVGQLGCIRKSWSNDSLSSYGGGGRAGGGGAQACVCAPTTHPGSFRCKHHRQNASNLGAAAAAAAAPAQVVDIDADAKHQEAQEQEEKAT >ORGLA03G0148800.1 pep chromosome:AGI1.1:3:10713954:10714923:1 gene:ORGLA03G0148800 transcript:ORGLA03G0148800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKGSVVDEGGGTTVELIHSGERLDGLGVTVDRGLRGNECRERHSERRKRNGSSARSNDGSVERSTWMVSTMLSLMAREWGSTHLCNATLFLASSPAATCTDAAAHLIELHDQIYFDRVISATTDAAVHLVLPMESPPYLSCLQHRARRLRLLRRFLVLSPAKVENREERKKRWQLRPAGCLTAVNATIRDI >ORGLA03G0148700.1 pep chromosome:AGI1.1:3:10708106:10711963:-1 gene:ORGLA03G0148700 transcript:ORGLA03G0148700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFPFRSFTSNAGNGKASAGHDKKNENKLDGGTSCASHSPDTRAFRSRSRHGKPSSEESSTPQLRRCMSLTSSAIDRSLNERTMNFSGDIPCSFSNSSDAPRHIGDAEPSKLTNLSSKNEVLDLYIDGEQESNSLNEKHKLKLPVRSSSSYLGRGRPPRPHSTAPSSPKSCKEIVESYSYSNIDMIDACQLAQEETKGIWKVASVCAEPADDAQMLEASSEKFSHIEECKSQSIATLEDIYDRLEDAQPPCFCDTSMDYISGTTSRCFDADVCCRDDSHGFHDNNLEQDTDEKLLRRAKELDECFMVPLEENNELNMLRDNSLSSTDMLQLIQTLIEDRRQLALQLSSQIKARLTERFAAKEQHKRSKVELNTRTRRLEKEKSDVQSTLEMELDRRSNDWSVKLAEFQSEEQRLRERVRELAEQNVSFQREVTLLESNRIDVSNKITSLELQNKQLNDELQKVKKEHDTLLKSSVELNDNLTKTAEERNQILECLKEKGGDNKALHKVIARLQRISNEQEKTITGLRQGFNAELENKSLGTSESISRMQMELIRLTGVEQKLRREIQSCNREVESLREENIAVLNRLQSSDNKLSISSVRLEQELNTRVDNLQLQGLSLLDDTSQLCAKLLDSMKSKRSESFGSVDALASIEYTLSYQSIQERIKNLKQCLWAIRSMLTEKHNEEEKIGERTESCILKQDHLSKDDIEFKLKEESMLCRVLKEKLLSRERDIEQLQSDLASSVRIQDVMQNEIQRVQDELCCLTHKSKHLEMQVLKKEENISQIQQDFQESSKELTALRCTLKTVSDERDVLWQETKQLRKTISALQNDVASLKQKMKSLDEDILLKEGEILLKEGEISILRDSIGRPSDIICSPRPSKLFESE >ORGLA03G0148600.1 pep chromosome:AGI1.1:3:10701893:10703820:1 gene:ORGLA03G0148600 transcript:ORGLA03G0148600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein [Source:Projected from Arabidopsis thaliana (AT1G48175) TAIR;Acc:AT1G48175] MAAAAAEFMELALEQAKFALDNLEVPVGCVIVEDGKVISSGSNKTNATRNATRHAEMEAIDILLREWQGMGLDQPQVAEKFARCDLYVTCEPCIMCAMALSILGIREVYFGCANDKFGGCGSIMSLHQSSSAELSGEEIPGPKGYKCTGGIMAEEAVALFRNFYEQGNPNAPKPHRPVRIAPQ >ORGLA03G0148500.1 pep chromosome:AGI1.1:3:10700893:10701618:-1 gene:ORGLA03G0148500 transcript:ORGLA03G0148500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lipoyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT1G04640) TAIR;Acc:AT1G04640] MSGGARRVLEAWRLGVVRYGDALGLQERLVTDRRAGRVPDLVLSLQHPPTYTLGKRRTDHNLLLPEADLRALGADIHRTERGGDVTFHGPRQAVLYPILSLRAIGLGARRYVEGLESAMIEVAALYGVQARPGAAGETGVWVGDRKIGAIGVRISSGFTCHGLAFNIDPDLGFFEHIVPCGIADKEVTSLRREAAVELPPDEVIHDQLVQSLARTFCFSDVEFKDESECADMVCLAADKQS >ORGLA03G0148400.1 pep chromosome:AGI1.1:3:10698707:10699749:1 gene:ORGLA03G0148400 transcript:ORGLA03G0148400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPSPHQSHHLGHGSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNCNFPRYYYRCTYKDNMNCPATKQIQQKDYSDPPLYSVTYYNEHTCNSAFLPLSPSEFQLQTASGKAVSICFESSGAQEPMTNASSPSSSAARRSTPSENKNQPLPRHSEAYSWGVGVVEQKPSCTELQSCSTECQDAFSAGTIPEETVDAGRFGSIRFFHFL >ORGLA03G0148300.1 pep chromosome:AGI1.1:3:10688920:10689282:1 gene:ORGLA03G0148300 transcript:ORGLA03G0148300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLWGRYMCTSALASCHTDPMRARSTGALITEQPAVSLISNLPLHLCIRVDLSSSNDGSNSDREIGKKGRCLVTHPGLLAHVDATCVRYAHMHREEEGEM >ORGLA03G0148200.1 pep chromosome:AGI1.1:3:10679013:10681179:-1 gene:ORGLA03G0148200 transcript:ORGLA03G0148200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRSKNEDDKAIVLCHERKRYVREALDGRCAFAAAHFAYIQSLRHTGFALRKFLEPEVPTDSSLYTSTSATPEPPTIRQKSRNLSPSISHQASDSFSPVPSPLSSGRFRTNHMKSGGNPVMTIEEKVPVPVTATLQTSSLVPKAVHDLDDSSTFEAPPGTPPWDYFGLFNPVENQFSFHDEKEPGHDFENADDIRRLREKEGIPELEEEGEKTPVHPDNVRRFRDEKTSDLKDAEKSPINGREDDFAESEDDFDNPSSEPLVRVFQNRNDMPVENTVMNQTPEHMASEKLASENSVSFSRKQENSDSFSRKQENSDSFSRKQENSDSFSRKQENSDSQIDRPNNDKEVLDISMFESDDESPVASPVKEVRSSIAALPMNGKSKEPFHDVRNGAKDLHSCMKEIEILFIRASDSGKEVPRMLEADKVNFRPLLPEEKAHGSKASGFFATFFACCGGEEIPIPQPPPEAEVKYLTWHRSVSSLSSSSRIPLGVTSKDDADGLTGNIFSGVYMNSGSHASTLDRLYAWERKLYDEVKVLVFWLVLLLKYLL >ORGLA03G0148100.1 pep chromosome:AGI1.1:3:10669366:10674891:-1 gene:ORGLA03G0148100 transcript:ORGLA03G0148100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWNKLRSLDAYPKVNEDFYSRTLSGGLITIASSLAILLLFLSEIRLYLYSATDSKLTVDTSRGERLHINFDVTFPALPCSLVAVDTMDVSGEQHYDIRHDIIKKRIDNLGNVIESRKDGVGAPKIERPLQKHGGRLDHNEVYCGSCYGSEESDDQCCNSCEDVRDAYRKKGWALTNIEEIDQCKREGFVQRLKDEQGEGCSIHGFVNVNKVAGNFHFAPGKSLDQSFNFLQDLLNFQQENYNISHKINKLSFGVEFPGVVNPLDGVEWIQEHTNGLTGMYQYFVKVVPTIYTDIRGRKINSNQQFSVTEHFREAIGYPRPPPGVYFFYEFSPIKVDFTEENTSLLHFLTNICAIVGGIFTVAGIIDSFVYHGHRAIKKKMEIGKLG >ORGLA03G0148000.1 pep chromosome:AGI1.1:3:10660355:10661509:1 gene:ORGLA03G0148000 transcript:ORGLA03G0148000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSRGTGCSRRTCSCRGRRKGRTTSTASRTRSCSSSSTASPTRARWGGARPCPSGSTRSCRSWTTPASASTASSPTRPTPTTRSASPARAPPGRGVLSHLLKAMLLAVLKPFGHCDAGVRGGGGGERAGKHGGGGGGCGAQQHHSPAQVLKNFSSIRNLRMELPVSDVGTDDGVLLRWKAVFGSTLQSCVILGGTRVDRAAAPAAAAATATAAGDSEASQGDDTGSIPESFYTNGGLKLRVVWTISSLIAAATRHYLLREIVKEHPTLERVALTDAHGQGTLSMGRDQIREFRDKPLAAAAAANRTQVPACNMKLRYAPMLELSDGTRIQGATLVVIKPVGEAGGIGGGRKELDEFVADAFDGPYREAVSALSKRRTYLLEMNGF >ORGLA03G0147900.1 pep chromosome:AGI1.1:3:10650222:10650656:1 gene:ORGLA03G0147900 transcript:ORGLA03G0147900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMRWPPASSPLLARARALHAGSAIHIPRAAPCHVETASGHNPPRRAPPPGLVSFGLVCFPLLRSDADPSPWLAAECVTLHRTGWPPCQPCTCSGYFWLLRPPGALSLAACSCTPNCVTTRPPGTWFSVAANITKYHVVGWWI >ORGLA03G0147800.1 pep chromosome:AGI1.1:3:10646908:10649398:1 gene:ORGLA03G0147800 transcript:ORGLA03G0147800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGIAKAVQAYTGLSPAAAVTILALMLATYLLVSSLFVAPDAAPPKPPPQRKEEEQQQQQQEEAGAFVPYPDPVQVGEITLEQLAAYDGKDPAKPILIAIRGQVYDVTRGSFFFLTPRLFYGPQGPYSLFAGRDATRALALMSFDPIDLTGDLDGLGPDELEVLQDWEDKFKERYPTVGHLASENAADGNHGGAA >ORGLA03G0147700.1 pep chromosome:AGI1.1:3:10642310:10645426:1 gene:ORGLA03G0147700 transcript:ORGLA03G0147700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06200) TAIR;Acc:AT3G06200] MLLTRRFSSALARSPLLPRSLPPPRAVPATPPAPRPPPRRLMSSSSSGWHHSSRPPPPPPSGADKDQLFRGLEAALGTTFSSEPLAPPPQPMILVISGPSGVGKDAVIQRLQEEREGMHFVVTATSRAKRPGEVDGKDYYFVTKEEFLTMIERKELLEYALVYGEYKGIPKQQIRDYMAKGYDIVLRVDIQGAATLREILGESAIFIFLVAESEEALVKRLIHRKTETSDMLLVRVATAREEVKRMNNFDYVVVNSEGNLEGAVKQVESIIDAEKAKVHKRTVNI >ORGLA03G0147600.1 pep chromosome:AGI1.1:3:10632909:10636660:1 gene:ORGLA03G0147600 transcript:ORGLA03G0147600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G27060) TAIR;Acc:AT2G27060] MRLLILCLSIWAASAAAAMAGTDMEAVLEFGRGIRQDSSGHRATPWNPTSALDSDGCPLDWHGVQCSNGQILSIAFDGAGLVGNVSLSALASMPMLQNLSLSNNKLVGVLPRDLGSMTSLQLLDLSNNMFSGQIPAELTKLANLGHLNLSSNGFGGALPLGLRNLRKLKYLDLRGNGFTGKLDDIFAELQSPVHVDLSCNRFSGSLISISDNSSVVSTLQYLNVSHNMLSGALFESDPMPLFDSLEVFDASYNMLEGNIPPFNFVISLKVLRLQNNNFSGSIPEALFRQTSMVLTELDLSCNQLTGPIRRVTSMNLKYLNLSSNSLQGTLPITFGSCSVVDLSRNMLSGNLSVIRTWGNYIETVDLTSNRLTGTWPNETTQFLRLTSLRISDNLLAGELPTVIGTYPELISIDLSLNQLHGPLPGNLFTAVKLTYLNLSGNSFAGTLPLPNSEAKSSTFIDLLVLPVQTSNLSFVDLSNNSLNGSLPSGIGALSGLALLNLCQNNFSGQIPREITKLKHLIYIDLSKNNFNGTIPEDLPDDLVEFNVSYNNLSGSVPSNLLKFPDSSFHPGNELLVLPHSASQNGPDSSGGGRRGMKRGILYALIVCVVVFVTGIIVLLLVHWKISSWKSSEKGTSQSKQPATVGECSQRQGEAPTPEMQEVSLESSSSTEYVGNPLPGKERQHEAQDVSVHADQIGSSSTLKDNMASLMPPLTSSPPDVRAQHQHSVLRVHSPDKMVGDLHLFDNLVVFTAEELSRAPAEIIGRSCHGTCYKATLDNGYALTVKWLKEGFAKSKKEFSREIKKLGTVKHPNLVSMRGYYWGPKEHERIIISDYVDSTSLSSFLSEFEERNIPPLSVDQRLNIAIDIAHCLDYLHNERVIPHGNLKSSNVLIQNASPSALVTDYSLHRLMTPIGMAEQVLNAGALGYSPPEFASSSKPCPSLKSDVYAFGVILLELLTGKIAGEIVCVNDGVVDLTDWVRMLAREERVSECYDRRIVEAHGSGGAPKALEDMLRIAIRCIRSASERPEIRTVFEDLSSLSS >ORGLA03G0147500.1 pep chromosome:AGI1.1:3:10615014:10615661:-1 gene:ORGLA03G0147500 transcript:ORGLA03G0147500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTPPPAWAWPPAALLSRSFTDAAAIARALHFSLCDSSPLPEPTTHQYVAATDVDLGACGVAVAAPTPAVSSENAVVLKTRASLSPSARCRLGPAGGGRAGKRRPRPSKRAPTTYISTDAATFRIMVQQVTGAQVEPQDDACLGLLMPPPPFDVVDPAALLPADTAACAGAAHVATCVPHPLHAAAAAAAAVAAAEQPCFPTLDSWNVMYGKDEVV >ORGLA03G0147400.1 pep chromosome:AGI1.1:3:10606563:10608287:1 gene:ORGLA03G0147400 transcript:ORGLA03G0147400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKXPTQSIRSTGFYGSFRSGXRXDNGDISITMSFNVRGKIGYEEEGKTKQACTRNFVVFCYPRRVQEDGGSIIXFAXXFNNFVEKFMFANSXWIDKTEAILGTXQGXLAYTFLSNFRXSXGSCXXYTHDIWWTTLEDHAVDRCENGFKTACLPSIEPHEKSWISCXKDKRVGEVPGVKIGDIFYSRIEMLLVGLHSNINGGIEFMSGAFVNKEDKIATCIVSSGMYENGDDDPYTLVYNGQGKVHHKLERGNYSLNQSFIRRNHIRLIRSEPNPLVRLGSKEKIYIYDGLYKIEEKYRQTTKSRSNLKFKLVRELGHPNGIVVWKNTQKWRENPSCRDHVIMPDMSNGAEIARVCVVNNIDSEDAPNNFTYSTKLDNGNHMVSANKMCVCKCTSSCLGEDNCSCLKTNGSYLPYNSSGILVCRKTMIYECNDSCACTINCSNXVVQRGSYLHFEVFKTMDRGWGLRSWDPIPAGAFVCEYVGVVIDKDSLVEEDDYIFEVTRPEHNLKWNYLPELIGEPSFCDMNDTFKKLC >ORGLA03G0147300.1 pep chromosome:AGI1.1:3:10590998:10598147:-1 gene:ORGLA03G0147300 transcript:ORGLA03G0147300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRRGRLDSSLFHMLVLVCALSQVLFVGLVTGQTAQLSVDASSQNGRTIPDKMFGIFFEELNHAGAGGLWAELVSNRVQVTGFEAGGINTPSNIDPWLIIGDESNIIVETDRSSCFASNPIALRMEVLCGATGTNACPSGGVGIYNPGYWGMNIEKTKVYKVSMYIRSSDSVDLAVSLTSSDGLQNLATHTITAEKGDFAGWTKVEFDLQSSERNTNSRLQLTTTKNGIIWFDQVSVMPSDTYMGHGFRKDLATMLANLKPRFLKFPGGNYVMGNYLLNAFRWSETIGPWEERPGHFNDVWNYWTDDGLGFFEFLQLAEDLDACPVWVINDGASLNEQIPSATIAAFVKDVVDGIEFARGDPKTTWGSVRAAMGHPEPFPLYYISVGNQECSKPYYKEKYVKFYSAIKASYPDIKIISSCDISSISAVNPADLYDVHVYTSSGDMFSKTRMFDSTPRSGPKAFVSEYAVTGNDAGRGTLVAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRGFSPDAIVFNSWQHYGCPNYWMLHFFKDSSGATLHPLTIQVSNYDQLAASALTWQNSNDGNTYLKIKVVNFGNKAVNLNIAVAGLENGIQEFGSIKTVLTSGWLRDENSFQQPDKVVPAASPITNAGEQMGVIVDPYSLTSFDLLLDTNTDKYPLLESSFHSSM >ORGLA03G0147200.1 pep chromosome:AGI1.1:3:10587720:10589961:-1 gene:ORGLA03G0147200 transcript:ORGLA03G0147200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:small and basic intrinsic protein 2;1 [Source:Projected from Arabidopsis thaliana (AT3G56950) TAIR;Acc:AT3G56950] MSPAPPPSRGRIRPWLVVGDLVVAAMWVCAGALVKLAVYGVLGLGGRPEADAVKVALSLVYMFFFAWLEGFTGGASYNPLTVLAGALASRAGPSLYLFAAFVRMPAQVFGSILGVKLIRAALPKVGKGAPLSVGVHHGALAEGLATFMVVIVSVTLKKKAMKGFFMKTWISSIWKMTFHLLSSDITGGVMNPASAFAWAYARGDHTTFDHLLVYWLAPLQATLLGVWVVTLLTKPKKIEEEADESKTKKE >ORGLA03G0147100.1 pep chromosome:AGI1.1:3:10584035:10584478:-1 gene:ORGLA03G0147100 transcript:ORGLA03G0147100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFFNAKSCGAELRYLSVTSSNAECRATVDGRLSQRTNLQDQQDLISIRKAQWAPVCVALRPVQYRSMSMWLAFSLQDMWALKDKWKSSMSSPATEPNAPK >ORGLA03G0147000.1 pep chromosome:AGI1.1:3:10582482:10582906:1 gene:ORGLA03G0147000 transcript:ORGLA03G0147000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVDQKVPLPASISGLQSSARTDLRPATSRYRRINCSDFTSRSQKLAKQHHATRICPTIWADCNMLTSSRAGQNCNGQLDKTAATFSEAMYENPIMAFNYTINMERPSILRYVTLIN >ORGLA03G0146900.1 pep chromosome:AGI1.1:3:10568107:10571786:-1 gene:ORGLA03G0146900 transcript:ORGLA03G0146900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1PAS3] MYRAKRAALSPKVKRRVGKYELGRTIGEGTFAKVRFAKNTENDEPVAIKILDKEKVQKHRLVEQIRREICTMKLVKHPNVVRLFEVMGSKARIFIVLEYVTGGELFEIIATNGRLKEEEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDASGNLKVSDFGLSALTEQVKADGLLHTTCGTPNYVAPEVIEDRGYDGAAADIWSCGVILYVLLAGFLPFEDDNIIALYKKISEAQFTCPSWFSTGAKKLITRILDPNPTTRITISQILEDPWFKKGYKPPVFDEKYETSFDDVDAAFGDSEDRHVKEETEDQPTSMNAFELISLNQALNLDNLFEAKKEYKRETRFTSQCPPKEIITKIEEAAKPLGFDIQKKNYKMRMENLKAGRKGNLNVATEVFQVAPSLHVVELKKAKGDTLEFQKFYRTLSTQLKDVVWKCDGEVEGNGAAA >ORGLA03G0146800.1 pep chromosome:AGI1.1:3:10564258:10565537:1 gene:ORGLA03G0146800 transcript:ORGLA03G0146800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >ORGLA03G0146700.1 pep chromosome:AGI1.1:3:10559307:10560731:1 gene:ORGLA03G0146700 transcript:ORGLA03G0146700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPESTHRHGASSSSSGAPRRRSVGCMAGLLRLLSPYHRSHHRKRLTAKNAAPPVVCTPPSPPPPFRQKVPVATYSPSSQGQPPQQQMHPAPTPVRRRRSCDAPRSPTIAPEHRRASCDSPRPTPPAIVARLMGLEESAPPSPAATTPRPLPTRPPPPPPETAAEKRRKLLGALEKCDEDLKTLRRIIAAVRAAEMRAAAASDAPPTPEATGKGSDNRWKDDGSRDVDPSPSPTPQKPRSEEHYPSPDSVLDAITSPRFPCRKRSSPCTDLDADRKLSCGTPAVGSKIVKPSRTLVFSGDYCKIKPCNELHAVAMYHHPVVAIEAIPRWIPPPPPPSSEISWRHRRRWGLEAAAASGRSRAMAESVGEVWGHGADEERHEAGRVGAALERAILHDLVGDVVAEMLAQSAAPTPHPFVHGAGAAMCRKRLVF >ORGLA03G0146600.1 pep chromosome:AGI1.1:3:10549395:10553568:1 gene:ORGLA03G0146600 transcript:ORGLA03G0146600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGREEDPAAAAGEGDVEDSSVRSSERGFPPYGGGGNHVRRACSVGVVGGGGGAGSPPGSPGRSLSPRMFVPQTPVPPLQRAADVTPVFNRILMNEQEEEFDGPPQKEIPVLIVWTLGGKNVSVEGSWDNWKSRKPMQKSGKDHSLLLILPSGVYRYRFVVDGERKCLPDLPCETDIMGNAVNLLDVHDFVPESVESVAEFEPPPSPDSSYSIQAPEEKDFSKEPPVLPSQLHLGVLNSQNSDESCARPQHIVLNHLFIEKGWGAHPLVALGLTHRFESKYVTVVLYKPIER >ORGLA03G0146500.1 pep chromosome:AGI1.1:3:10528959:10529492:1 gene:ORGLA03G0146500 transcript:ORGLA03G0146500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSMDRLSVHHNGGGISKPCHGGGKGGGGGGKGSRGGGGKGIKVVYISSPMKLTASAEEFRAVVQELTGRDSNVADHDLDHHHHHHHQQRYHSFSSSSFGRASMMMPAAAAGGVVPRSSMPPTMATANAAGAGQMMMATADATGGGAAGVMATAAPMPFQSVYDHGNLLYGQDYW >ORGLA03G0146400.1 pep chromosome:AGI1.1:3:10518619:10519002:-1 gene:ORGLA03G0146400 transcript:ORGLA03G0146400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVGSGKEGGVSLEETVPLLEYVRLSQPAWLPDEMDPLKSDANGEPTADADGDAEAAGGGAEGTEASQGAPVPAAVAATKADSVEADRVRAMRHWKEGIGELTCGPKVIFDISCNFSLRLTQKLLF >ORGLA03G0146300.1 pep chromosome:AGI1.1:3:10506621:10510468:-1 gene:ORGLA03G0146300 transcript:ORGLA03G0146300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSTVLDTDDKNQMVDGQSGAIVPSNSSDRSDRSDKPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQEINKARQQGIYISSSGDQTHAMSGNGAMTFDLEYARWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFRLKGVAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKFQLLVNQLEPLTEQQLLGLSNLQQSSQQAEDALSQGMEALQQSLADTLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSRLRALSSLWLARPRE >ORGLA03G0146200.1 pep chromosome:AGI1.1:3:10500081:10505809:-1 gene:ORGLA03G0146200 transcript:ORGLA03G0146200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1PAR6] MAGLAVSASPAPAPASFRPAAAASRFGPCAQVAGPAANFRTRVCGLRCLIAAKLKFRKTLKRHGWQLRKNLEVRANDKVPDWLEATPLTENIMSRDVQLAYDSGGETSNTCPDTLDSSVIEQSSMHHKLNPSETHPPVLKEDPVLFDDPPESAAPLCIAVIGATGELAKNKVFPALFALYYSGFLPQNVGIFGYSRKTLTDEDLRSMIEANLTCRVDHHENCDEKLNEFLKRTYYIDAGYDNKDGMVKLNSRMSQIEGNCAANRIFYLAVPQEALLDVALSLADSAQTMQGWNRIIIEKPFGFTGLSSHRVTQSLLSRFEEEQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETAAEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVKVLRSIRQVNLEDVVLGQLKDTSVKVDRYTKSLTPTYFAAAMYIDNSRWDGVPFLIKTGIGLMENRAEIRIQFRHVPGNIYRERFGHDIDLDTNELILRDQPEEAILLKVNNKVPGLGLQLDASELNLLYRDRYNVELPDSYEHLLLDVLDGDSHLFMRSDEVAAAWNVLTPLIHEIDQNRIAPELYEAGGRGPVNAYYLAAKHGVRLDDEW >ORGLA03G0146100.1 pep chromosome:AGI1.1:3:10491407:10492558:1 gene:ORGLA03G0146100 transcript:ORGLA03G0146100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSRARAARLLSSSASAPSLPYFDTSRSSTNALLPCDSTQCKLDPSVTGCLNLNQTVQTCAYYSSYGDNSVTIGLLEADTFTFVAGTSLPGVTFGCGLNNTGVFNSNETGIAGFGRGPLSLPSQLKVGNFSHCFTTITEAVPSTVLLDLPADLFSNGQGAVQTTPLIQDAKNPTFYYLALKGITVGSTRLPVPESAFALTNGTGGTIIDSGTSITSLPPQVYQVVRDEFAAQIKLPMVPGNTTDPYFCFSAPSQAKPDVPKLVLHFEGATMDLPRENYVFEDAGNSMICLVINEGNEMTIIGNFQQQNMHVLYDLQNNMLSFVAAQCDKL >ORGLA03G0146000.1 pep chromosome:AGI1.1:3:10472908:10474284:-1 gene:ORGLA03G0146000 transcript:ORGLA03G0146000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARSEDMAAKLIGCKSSVHYIEEYSRRQNYNRTFDLWIWSLDLSTIPKATQFTITRPDKEARATEIPFPDMEPSQPAPTDTKKGLTYPVIIHIDTVQDLFSRXGRVFMWHYGVHDDVTRMRSMAHPIQACRTLPAPERRSEDEDDHEQRSRRRRRSRSLWNRLGGRSSSKSREPGLDNRSSYNQETRGRQRERAADINRSRSRHLFRSVETGETRIRRQLATPLHLSDPAPADKVSSPCKPFSHSRDSSPENGQCSAVASIPLEPLSPDILPVSQEQGALLMLERHLDPMLHEAMVGGSIYSPDTTLPRPASPVFVLSFAAEPQENLVHEAQHDVETFLAEVTTPIQQPLLSAPGTKLRRPCRNKVTTPIQRHSARLALKVRCSTKLELIAQEILAKQFGVLDDNKLLSDQIKKLYLQHYKKPLSPADMKTITTLVENGGCKGVRLRAKKAASVVPI >ORGLA03G0145900.1 pep chromosome:AGI1.1:3:10467641:10468795:1 gene:ORGLA03G0145900 transcript:ORGLA03G0145900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSKARAPRLLSGSSATAPVSPGAYDDGVPMTEYLLHLAIGTPPQPVQLTLDTGSDLVWTQCQPCAVCFNQSLPYYDASRSSTFALPSCDSTQCKLDPSVTMCVNXTVQTCAYSYSYGDKSATIGFLDVETFSFVAGASVPGVVFGCGLNNTGIFRSNETGIAGFGRGPLSLPSQLKVGNFSHCFTAVSGRKPSTVLFDLPADLYKNGRGPVQTTPLIKNPAHPTFYYLSLKGITVGSTRLPVPESAFALKNGTGGTIIDSGTAFTSLPPRVYRLVRDEFAAHVKLPVVAGNETGPLLCFSAPPRGKPHVPKLVLHFEGATMHLPRENYVFEAKDAGNRSICLAIIEGEMTIIGNFQQQNMHVLYDLKNSKLSFVRAKCDKL >ORGLA03G0145800.1 pep chromosome:AGI1.1:3:10461842:10463188:1 gene:ORGLA03G0145800 transcript:ORGLA03G0145800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLEKMLLLLSLLAVIAVVARCDAAALRLHATHADAGRGLSTRELLHRMAARSKARSARLLSGRAASARVDPGSYTDGVPDTEYLVHMAIGTPPQPVQLILDTGSDLTWTQCAPCVSCFRQSLPRFNPSRSMTFSVLPCDLRICRDLTWSSCGEQSWGNGICVYAYAYADHSITTGHLDSDTFSFASADHAIGGASVPDLTFGCGLFNNGIFVSNETGIAGFSRGALSMPAQLKVDNFSYCFTAITGSEPSPVFLGVPPNLYSDAAGGGHGVVQSTALIRYHSSQLKAYYISLKGVTVGTTRLPIPESVFALKEDGTGGTIVDSGTGMTMLPEAVYNLVCDAFVAQTKLTVHNSTSSLSQLCFSVPPGAKPDVPALVLHFEGATLDLPRENYMFEIEETGGRRLTCLAINAGEDLSVIGNFQQQNMHVLYDLANDMLSFVPARCNEL >ORGLA03G0145700.1 pep chromosome:AGI1.1:3:10452164:10454909:1 gene:ORGLA03G0145700 transcript:ORGLA03G0145700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPPVRFLPSSAAPAVVAANARIAHLARAGNIEGARAAFEAMPLRTTASYNALLAGYFRNRLPDAALGLFRRMPSRDLASYNALISGLSLRRQTLPDAAAALASIPFPPSVVSFTSLLRGYVRHGLLADAIRLFQQMPERNHVSYTVLLGGLLDAGRVNEARRLFDEMPDRDVVAWTAMLSGYCQAGRITEARALFDEMPKRNVVSWTAMISGYAQNGEVNLARKLFEVMPERNEVSWTAMLVGYIQAGHVEDAAELFNAMPEHPVAACNAMMVGFGQRGMVDAAKTVFEKMRERDDGTWSAMIKAYEQNEFLMEALSTFREMLWRGVRPNYPSVISILTVCAALAVLDYGREVHAAMLRCSFDMDVFAVSALITMYIKCGNLDKAKRVFHMFEPKDIVMWNSMITGYAQHGLGEQALGIFHDMRLAGMSPDGITYIGALTACSYTGKVKEGREIFNSMTVNSSIRPGAEHYSCMVDLLGRSGLVEEAFDLIKNMPVEPDAVIWGALMGACRMHRNAEIAEVAAKKLLELEPGNAGPYVLLSHIYTSVGRWEDASKMRKFISSRNLNKSPGCSWIEYDKRVHLFTSGDVLAHPEHAAILRILEKLDGLLMESGYSADGSFVLHDIDEEQKSHSLRYHSERQAVAYGLLKIPEGMPIRVMKNLRVCGDCHSAIKLIAKITSREIILRDANRFHHFKDGFCSCRDYWIQVSKRPFDREVHHPLQANCIWSIVLSRSWVWSGVVKLSKSSCTSLVHFVRALQPAPPEAPLPFRVELKSFDWAPAPGEVELEPKLCQTDPK >ORGLA03G0145600.1 pep chromosome:AGI1.1:3:10443411:10447010:1 gene:ORGLA03G0145600 transcript:ORGLA03G0145600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGFGTSSSMGSASHPARIEYNTSSNVSSQYIYEQGLYYPATNGYAYYAGFEPPVEWSDHTNFVGVDGQNLQLSNENLPYVYCTPGYGFSYYSPDQYTYMPGMVMGVDGSFVGSQQYFASPYQLPGSPSGFFPMSIQPTTDFSSTVSAEPPLLSTGTGTSAVASRLANTSMKNKYQMSGNTAPASQTAPSGSPAVVRPQQAYENESTNKPSNPPDANMSRRDKSSTSLVTVPVDASSTDKDGKSDEGNQSKEHVQSIQVTSGPMSGESGQGKATSNSTLEKIMIHPDQYNKVHFTVDHPDAKFFVIKSYSEDDVHKSIKYDVWSSTPNGNKRLDAAYSDVQGRALGKCPIFLFFSVNASGQFCGVAEMVGPVDFHKDMDFWQQDKWSGSFPVKWHLVKDVPNSTFRHIILENNENKPVTNSRDTQEIPFKSGTNMLKLFKDGPLTTSILDDFSFYEGRQKAMLEEKCRRSGRNFDVRMYVPAFITKSSVVAVGEPSEVGKGQFSSKDLHSGDVEQDNGACEQPDKLNQMKDILATEALKTDGGAFVGQLEHAKTNQGSLDARVDHQSEHCSCSNPPENGERKPDSLSELVKLNGKSQRDSEAQPGINLSEPNYSSVKKGLPEEFCGQNPSNFMKEGGAGTVEDRKSTKFVTKSQGFPSSRVSKEAKGNGNEMARITTTGVVKVGSVHIKVNVAGEPSSEIIGDENGLP >ORGLA03G0145500.1 pep chromosome:AGI1.1:3:10435051:10437700:1 gene:ORGLA03G0145500 transcript:ORGLA03G0145500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 9 [Source:Projected from Arabidopsis thaliana (AT5G02270) TAIR;Acc:AT5G02270] MAPTVEISHLSFTYPGIDGRPPPGAPPLIEDVCFSLDAGHRCLLLGSNGAGKTTILKILGGKHMVDPSMVRVLGRSAFHDTALTSSGDLCYLGGEWRRDVAFAGYQVNIQMDISAEKMIFGVVGVDPQRRDELIKILDIDLAWRMHKASDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARANLLTYLKKECEERGATIIYATHIFDGLDDWPTHIVYIARGKLQLALPLEKVKEMSQLSLMRTVESWLRKERDEDRRRRKERKEKGLPEFDKVTEGSRVIGDPAARAVNNGWAAGRLASTVAGEENFIFSSNSVLRQ >ORGLA03G0145400.1 pep chromosome:AGI1.1:3:10421100:10422413:1 gene:ORGLA03G0145400 transcript:ORGLA03G0145400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PAQ8] MAPPQLAGKMTAKAAAAVKPATRAYVTFLAGDGDYWKGVVGLAKGLRKVGSAYPLVVAVLPDVPESHRRILISQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFDNIDELFELPKGHFYAVMDCFCEKTWSHTPQYQIGYCQQCPDKVAWPTAELGPPPALYFNAGMFVHEPSMATAKSLLDTLRVTTPTPFAEQLQDFLNMFFREQYKPIPLIYNLVLAMLWRHPENVQLEKVKVVHYCAAGSKPWRYTGKEENMDREDIKMLVKKWWDVYNDGSLDFKGLPPVAAADDADEVEAAAKKPLRAALAEARTVKYVTAPSAA >ORGLA03G0145300.1 pep chromosome:AGI1.1:3:10414145:10414395:-1 gene:ORGLA03G0145300 transcript:ORGLA03G0145300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERSIDVRGRSEIWMGSRKFFLDLYRNASREVNSDILFVVPDHDLLPRVQGEIDFGARRGRGHHCL >ORGLA03G0145200.1 pep chromosome:AGI1.1:3:10405473:10409440:-1 gene:ORGLA03G0145200 transcript:ORGLA03G0145200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein S1 [Source:Projected from Arabidopsis thaliana (AT5G30510) TAIR;Acc:AT5G30510] MASLAQHVAGLASPPLSGAPRRRPAAPTRPSALVCGTYALTKEERERERMCQLFDEASERCRTAPMEGVSFSPEDLDSAVESTDIDTDIGSLIKGTVFMTTSNGAYVDIQSKSTAFLPLDEACLLDVNHIEEAGIRAGLVEEFMIIDENPGDETLILSLQAIQQDLAWERCRQLQAEDVVVTGKVIGGNKGGVVALVEGLKGFVPFSQVSSKSTAEELLDKELPLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLSSDTPSEGSGEGQTTDE >ORGLA03G0145100.1 pep chromosome:AGI1.1:3:10380172:10381647:-1 gene:ORGLA03G0145100 transcript:ORGLA03G0145100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAHERDASSEEEVMGGDLRRGPWTVEEDLLLVNYIAAHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDLRRGNITPQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDVMRYLWMPRLVERIQAAAAGQQQQQEGGADTPPLSWQHGGSDGLYESPELPAPDASCWPAEYCAAAGGAQSGGTPAPELSSTTAGSSSPSTDSGAGAQPSWPTQADGAEWFTTACDASSATGGVAMRDTELELAQPPCQGGQTWTTSESSLPGLTFPDLAVADFEIGGFDVDSFWTSMEDDQLWCPTQAAV >ORGLA03G0145000.1 pep chromosome:AGI1.1:3:10366159:10366850:1 gene:ORGLA03G0145000 transcript:ORGLA03G0145000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGLVLLGTPILAWLSLCLYAGPCTAVRDAPMHLHIHVHYYFDFFVNSAASCSVLMLEGEGHVALTYGIYDLVYTVAFQDYFLRACDMVNANLATSCAKCSASCAASAGGLHEPHSNLSSDASRDTLLRRGHRCVTRCSTAASPSSSCGTMDLPSAQDALRASAGAAAPGAAT >ORGLA03G0144900.1 pep chromosome:AGI1.1:3:10342010:10346068:-1 gene:ORGLA03G0144900 transcript:ORGLA03G0144900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISHLRRGAPRHARALLYLSTRRFSSSSAARVAPLAAVAASARRLLSTSVDSGASSTGESYKPPLFDPFRAASLASSAPPLESPPIEELPDDATPPPEEEPGLPAPEKDPVATTCQHELEGLKAWVETVRSRKESTEEKEAWSLLGRSVVSYCGTAVGTVAANDPSTANQMLNYDQVFIRDFVPSAIAFLLKGEGDIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSIPLDGNSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIRLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQSLFYSALRCAREMVSVNDGSNSLIRAINYRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIISSLATQRQAEGILNLIEAKWEDIIANMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRRDLAQRAIEVAEKRLSEDKWPEYYDTRTGRFIGKQSRLYQTWTIAGYLSSKMLLDCPELASILICEEDLELLEGCACSVNKSARTKCSRRAARSQVLV >ORGLA03G0144800.1 pep chromosome:AGI1.1:3:10329954:10330832:1 gene:ORGLA03G0144800 transcript:ORGLA03G0144800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFU domain protein 1 [Source:Projected from Arabidopsis thaliana (AT4G01940) TAIR;Acc:AT4G01940] MEASLTVAGAGAAALSLRPQIRLRITQATPLMPPRRLQSGPSKIQTSGARAHLAAAPASTPPAAGGGLYSAETYELTAENVDRVLDDVRPYLIADGGDVTVASVEDGVISLKLEGACGSCPSSTTTMKMGIERVLKEKFGDAVKDIRQVFDDDQQPAETTPQAVNGHLDILRPAIANYGGSVEVVAVDGEDCLVRYEGPESIGSGIKAAIKEKFPDITNVVFLQ >ORGLA03G0144700.1 pep chromosome:AGI1.1:3:10324964:10327939:1 gene:ORGLA03G0144700 transcript:ORGLA03G0144700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRGSIAFFASYRPPVALDIFCCPAPPSSPKDELHLTDGDSYNYNCQPIPPAALKTVVERLGVSRGDAVEGDIDSGRITGLVFVSEREHNLETLHIALRFNDDGEVQVFSLADAYGGDGLFSGARMEDSGCIAGGYEVDGRTVDHYLVYVSTKEPVRERRSPWNVAYKTNLRTGETERLTPPGTFDISPSVSPSGKKVAVASYQGKKWDGEIKNLNTNIYVMSLENPSQDRERVIENGGWPSWGSEDVIFFHRKDGDGENSCWGVFRHTLSTGETVRVTPAAFDAVTPAAIDETRVAVAAIRQKSEFSDVRVEAQYRHIEVFDMRSPEQPMQITRNTRPKADHFNPFVMDGGKFIGYHRCKSELLQHGDDLPRKFHKLQSPHEDVGVFRVSGVFPTFSKDGSQLAFVDNEFKSVWLADSQGMRVVFKTDGPDSVFSPLWNSKKDILYVCMGPSFKASETLEIHSIHNVSTGDRKSRQLTFGGFNNAFPSTNPDGTKFVFRSTRDGGAKYSKNLYIMEDADAGEGEDGSQSTVTRMTAGEWTDTHCQWSPNGKWIVFSSNRDRPADAPERDHGLDPGYFAVYLMDVASRSVVRVIRSGYDVAGHVNHPVFSPDGRSIAVTSDLAAVTADPMSLPLFLHSVRPYGDIFTVDIDPDDMARNEDVEGFVRVTHSRYENSTPAWTVFSTHDPHAQWNLLVVEDEHVPSCPYAHPDGGESWHMTGQICIPKRHC >ORGLA03G0144600.1 pep chromosome:AGI1.1:3:10303689:10304012:-1 gene:ORGLA03G0144600 transcript:ORGLA03G0144600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRTLTESRKQRIFCCSDNTINLCLNSLFIYFLFFKRIAISQIPVSYKKFHNSSLHRPSTFSHFSLFTHIRVTGWRIQHRIYIRRRMNDYQTNMHHIRGSHLLLDF >ORGLA03G0144500.1 pep chromosome:AGI1.1:3:10285680:10286018:1 gene:ORGLA03G0144500 transcript:ORGLA03G0144500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCGMDDRHMDGLEGDDNDQPSPGKANFSVDTDAMTLPTPNSDNQPSARRAECWQHFEVFTEMVDGKSIPRAQCKYCDKILSAATSSGTCHLNRHYLAHLKNKAPAGARQT >ORGLA03G0144400.1 pep chromosome:AGI1.1:3:10280239:10280760:1 gene:ORGLA03G0144400 transcript:ORGLA03G0144400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT5G49480) TAIR;Acc:AT5G49480] MCPGGRYAGLDVPACAAAGDLRPAFDVLDADRDGRISREDLKSFYASGAATSERFDDEDIAAMIAAADADNDGFVQYDEFERLLGRAAAGAGCRPAMEDVLRVMDRDGDGKVGFDDLKAYLGWAGMPAADEEIRAMIRVAGGGDCDGCVGLEELAIVLGCSPTNWTASSQTLH >ORGLA03G0144300.1 pep chromosome:AGI1.1:3:10276791:10277162:1 gene:ORGLA03G0144300 transcript:ORGLA03G0144300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPNPDCSVSTSGDEVPLPIHRTSLLRARKTKCSHIASFRPHTPPVLFRRRRRLPHASLASVFFPFPVAAHGMAAAALSFKAWWRTIVAAPPSTSDASILGVGVNRPVPSMAYLPSTRSHRL >ORGLA03G0144200.1 pep chromosome:AGI1.1:3:10271956:10272492:1 gene:ORGLA03G0144200 transcript:ORGLA03G0144200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIPAHYPPNPRDNGPLPRLVEIHHAASSGAGAASPRPVARRRCRRLSPHPPAPPPPLAADVAAANASRRICTCRLTGSSGAASLCSPVPAPPPFLPRCTTSAQPSLLPTARYRLFPNKNHELGISFIDKRYTLLASTMLLIRF >ORGLA03G0144100.1 pep chromosome:AGI1.1:3:10265230:10266492:-1 gene:ORGLA03G0144100 transcript:ORGLA03G0144100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGSDARVVESMQRLLDAVPPGADDPYTIFRLPAAVRERHRDLYEPKLVSVGPYYHGRDGLGAAQRHKWRLLRDFLSRQSDDKAGLGAYVRAARAVEADARRCYVEGFDDVGADEFAEMLVLDGCFLLEFFLRKSEGQLAAPGGAKWAWQHMYHDVLLLENQIPFFVVERLHGVAFAGDDDGAADRDALLDIFCKAFAGDLPSSRVIRPPSDKTIHHLLHLHYECNVRNPAADSDKARNGGDAANGGASSLAIWKQPPVPSPRSSDGAIKGRMTSMIPPAAKMEEAGVTFKRKATPRDVFDVSFRYGVLHMPAFVVDEGAKVLLANLVAFEQGGGRAARKLEGGNLATGFVALLGSLVNSRRDVEVLRRCGILHCMVTDEEAVAYFSHVVQYTTMDYDRHLLACLFRDIREHCHWNR >ORGLA03G0144000.1 pep chromosome:AGI1.1:3:10255465:10264155:1 gene:ORGLA03G0144000 transcript:ORGLA03G0144000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLLRLLLLAVAVATSAAARREAFRRDPGHPQWHHGAFHDVEDSVRADVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRYSLDGHRLEEFLKMSFPLHRPSCFETGEPIDIEHHIMYNVIAAGQPELISLEKSLKEAMVPAGTAREFMALNILSLQSEYGREFPLFEVDATMVEPVFQRLYSFIFDMEPGYSSTEMDRPAPVAIFVVNFDKVRMDPRNNETDLDSLMYGAIGRLTEQELKKQEADYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSYRSLPRLLNIIFPRGLAAPSASSTQDIFIGQLGGLISTTIEHVIAPDVRFETVDMAMRLLVPIIVLQNHNRYNILQAGHNYSIDVQAIEREVKRMVHAGQEVIIISGSHALHQHEKLAVAVSKAMRSHSIHETKTDGRFHVRTKPYLDGAILREEMERSADVLSAGLLEVANPSLSSRFFLKQHWLNEQDDTHDSIKHKSIWESYMPRNKKEKRGTGKKKHGDLYRTYGTRVIPVFVLSLADVDAELLMEEENLVWTSKDVVIVLEHNNEKVPLSYVSETTRQFAFPSLAQRHILAGLASAVGGLSAPYERASHIHERPVVNWLWAAGCHPFGPFSNSSKISQILQDVALRTTIYAQVDAALHKIRDTSEFVQSFASEHLKTPLGEPVKGKQNKSNTELWVEKFYKKVTTMPEPFPHELVERLEEYLDRLEGQLVDLSSLLYDHRLVDAYQNSSDILQSTIFTQQYVERVLSAERDKMKCCTIEYNHPKQSSQAFVYGGILLAGFLVYSLVIFFSSPVR >ORGLA03G0143900.1 pep chromosome:AGI1.1:3:10252552:10254379:1 gene:ORGLA03G0143900 transcript:ORGLA03G0143900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:enoyl-CoA hydratase/isomerase A [Source:Projected from Arabidopsis thaliana (AT4G16210) TAIR;Acc:AT4G16210] MAATSPDSGDLILVEPAKPGSRVAVVTINRPKALNALTRPMMVSLAAAFRRLDADDGVAAVVLAGRGRAFCSGVDLTAAEEVFKGDVKDPAADPVVQMERCRKPIVGAIAGFAVTAGFEIALACDILVAGRSAKFIDTHAKFGIFPSWGLSQKLSRVIGPNRAREVSLTCMPITAEMAEKWGLVNHIVDDTQVLSKAIEVCEAIARNNRNLVVLYKSVINDGLQLDLEHARALEKERAHDYYNGMTKEQFASMQKFIQGRSSKPPSKL >ORGLA03G0143800.1 pep chromosome:AGI1.1:3:10243380:10245347:1 gene:ORGLA03G0143800 transcript:ORGLA03G0143800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16230) TAIR;Acc:AT4G16230] MVLIRLTMLIFIAIILAGRTCVLVVAGGGMPATFVFGDSLVDAGNNNYLVSLSKANYPPNGIDFDGHQPTGRYTNGRTIVDILGQEMSGGFVPPYLAPETAGDVLLKGVNYASGGGGILNQTGSIFGGRINLDAQIDNYANNRHELIKRHGELEAVTLLRGALFSVTMGSNDFINNYLTPIFGVPERAVTPPEVFVDALISKYREQLIRLYLLDARKIVVANVGPIGCIPYLRDTTPTVGTACAEFPNQLARNFNRKLRGLVDELSANLTGSRFLYADVYRVFSDIIANYKSHGFEVADSACCYVSGRFGGLLPCGPTSQYCADRSKYVFWDPYHPSDAANALIARRIIDGEPADIFPINVRQLITS >ORGLA03G0143700.1 pep chromosome:AGI1.1:3:10239479:10239973:1 gene:ORGLA03G0143700 transcript:ORGLA03G0143700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPPIAAPGIEFTAPPPGRDHTQDCSLTYAQWKEVDASTRHRLSLNAHAVLGYTAQRIFARFMAITKLTLHCAQGSGTDSLSDDGARHVVAVLPSERLARLKLRGLRQLSDDGFASLAGATPVIRKLSVASISFGPKAFVAVLRSCPLLEDLSVKRLHGLL >ORGLA03G0143600.1 pep chromosome:AGI1.1:3:10231855:10233882:1 gene:ORGLA03G0143600 transcript:ORGLA03G0143600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06430) TAIR;Acc:AT3G06430] MALAAAATTFRPRLVVTAKADNTDTRRRHWKAGEFPFPTSSDTPRRSARTAERPPDKPRDEDGDSSRRTGRRHWKAGEFPGTPEDSRRPRRSPIKNVKKRLDARAEAKAWACTVTEALADRIDAKNWQEALQVFQMLKEQPFYHPKEGTFMKLLLLLGRSGQPSRARYLFDEMLQQGCQPTPELYTALIAAYCRNGLLDDAFRLLADMKASPVCQPDVYTYSTIIKACVDASRFDIVDAMYKDMADRSIAPNTVTQNIVLSGYGRAGRLDDMEKVLSAMLDSTTSKPDVWTMNIILSLFGNWGQIESMEKWYEKFRGYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATFNNVIEAFADAGDAKNMEHTFNQMRAEGMKPDTKTFCCLINGFGNAGLFHKVVGMVKLAERLGVPMDTTFHNAVLMACVKAEDLMEMERVFMRMKQIQCVPDATTYSILVGAYRKEGMTDKIYVLQQENPTLVPTDLVHV >ORGLA03G0143500.1 pep chromosome:AGI1.1:3:10229245:10229451:1 gene:ORGLA03G0143500 transcript:ORGLA03G0143500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHAGVSIAFRVMLVMVDEDVELWEALEKGDVTVEAKLDGVEYRTMELSKELVAYMWAPRITFNFFR >ORGLA03G0143400.1 pep chromosome:AGI1.1:3:10198118:10198597:-1 gene:ORGLA03G0143400 transcript:ORGLA03G0143400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELSSSSTTVASSPASPPLGRCVVRIRLPPAWTPEEDAVLRLAMENGSRHWRRVAAKMPRRRRSPAQCRDRWRDHLARDVFHRHFTSADDTELARLCLHLDDAGHRWKHVSRAVYGRSSCAVKRRWRELRKSDAFLSALWRPRTTAPTANAAITTTC >ORGLA03G0143300.1 pep chromosome:AGI1.1:3:10195144:10195527:1 gene:ORGLA03G0143300 transcript:ORGLA03G0143300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALQADCFTRDLSQLIIADVMSGSVPLDASTSISGRVTILGVFKPDKINCSPMPTHPILQWMMNDLLTINFISAAPVEGDAMGDELVVMIGLARELTATQRARAMT >ORGLA03G0143200.1 pep chromosome:AGI1.1:3:10192134:10193759:-1 gene:ORGLA03G0143200 transcript:ORGLA03G0143200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17060) TAIR;Acc:AT3G17060] MARPRLLLLPLLAVAAAVLSSPFGRALAKTAKKSNDIVNGPLLTSKINAKRTLIVGPEDEFKTVQSAIDAVPVGNTEWVIVHLRSGIYREKVMIPETKPFIFVRGNGKGRTSINHESASSHNAESAAFTVHADNVIVFGLSIRNSARAGLPNVPEVRTVAAMVGGDKIAFYHCAFYSPHHTLFDVAGRHYYESCYIQGNIDFIFGGGQSIFQCPEIFVKPDRRTEIKGSITAQNRKQEDGSGFVFIKGKVYGVGQVYLGRANEAYSRVIFADTYLSKTINPAGWTSYGYTGSTDHVMLGEFNCTGPGSEATKREPWSRQLTQEEADKFINIDFINGKEWLPAYYY >ORGLA03G0143100.1 pep chromosome:AGI1.1:3:10178526:10185900:1 gene:ORGLA03G0143100 transcript:ORGLA03G0143100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome [Source:UniProtKB/TrEMBL;Acc:I1PAN5] MASGSRATPTRSPSSARPAAPRHQHHHSQSSGGSTSRAGGGGGGGGGGGAAAAESVSKAVAQYTLDARLHAVFEQSGASGRSFDYTQSLRASPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAYSENAADLLDLSPHHSVPSLDSSAVPPPVSLGADARLLFAPSSAVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAESRRSNLEPYIGLHYPATDIPQASRFLFRQNRVRMIADCHAAPVRVIQDPALTQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIISSGGDDDHNIARGSIPSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTEVQIKDIIEWLTMCHGDSTGLSTDSLADAGYPGAAALGDAVSGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDSAEGTSNSKAIVNGQVHLGELELRGIDELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKVAELTGLSVEEAMGKSLVNDLIFKESEETVDKLLSRALRGDEDKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFINIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRGEVVGKLLVGEVFGNCCRLKGPDALTKFMIVLHNAIGGQDCEKFPFSFFDKNGKYVQALLTANTRSRMDGEAIGAFCFLQIASPELQQAFEIQRHHEKKCYARMKELAYIYQEIKNPLNGIRFTNSLLEMTDLKDDQRQFLATSTACEKQMSKIVKDASLQSIEDGSLVLEKGEFSLGSVMNAVVSQVMIQLRERDLQLIRDIPDEIKEASAYGDQYRIQQVLCDFLLSMVRFAPAENGWVEIQVRPNIKQNSDGTDTMLFLFCRFACPGEGLPPEIVQDMFSNSRWTTQEGIGLSICRKILKLMGGEVQYIRESERSFFHIVLELPQPQQAASRGTS >ORGLA03G0143000.1 pep chromosome:AGI1.1:3:10165387:10169184:-1 gene:ORGLA03G0143000 transcript:ORGLA03G0143000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme binding [Source:Projected from Arabidopsis thaliana (AT3G62370) TAIR;Acc:AT3G62370] MRILALVVAAAALLAAAATAHEHHGEAPTCAGGGSGRVLAEFRPGEVTLDGHPADWDGVEASEFALLPALDPDEDKAYAGGKVFVKAVHDGVNIFFMLKVDGDYTYTKGENKKCPSVALMFQIGEKATYYNMGGCKDMPGSCTSKSCRGQEVDIMHFSVGNAIPGRLYGGNHIDNADGNGGDRFGHLVDLYSWNPHCRYLDGIGPKENNSNAQNDWHGAWWHSSLTFHSGFVDDDSPYGKQDEKGTYYFEFSRPLRTMDRLQQDAQFTIGGPNSMAVAFWYPNDGKPWSKSEHYSASCDWLVLDIQPSMEAAHYRPAPNRSWDAATAFALLLSVVAICISVFVGYGASKNRSSVQFTPLEQI >ORGLA03G0142900.1 pep chromosome:AGI1.1:3:10156462:10163867:-1 gene:ORGLA03G0142900 transcript:ORGLA03G0142900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEDAAAAAAAAGNEDDVEDLYADLDDQVAAALAAAGESGGSNPATDGEAEAEAPGAHHTEADANEAVDLGDGTAGYISSDEESEDDLHIVLNEDGAAPPPPPPAGRCEEGSEEGEVSGSCVKGLSTDGGRGKLGELHRKGLFEKTTAPITGQGDRSHQHAFQKEFNFFLPRNRTVFDVDIEAFQEKPWRQHGVDLTDYFNFGLDEESWRKYCFDMEHFRHGTRTLANELSGLQQEFHYNLGLSKSVPKSEIYSVLKEGNGIAKPKGRAIHVEGGMHERLPSADMWPPRQRDSDVIQVNMVFPPSNRSSSDDRSTVNDKCITTKRCGPSNNHPGVDEYLKETSSVVDRVVDKEVHKRGSSECTRSKTVLGDSACAGAQSSTPDNSDMLSEESTEDFHFKRKRGKSNSNAFYVETNRKDEHVLSDFCRHASKSDQESSKGESHRYTPSPADDRYHKATKRQRMDEAGACISSRSLNNCQSDHHLHESGHRAKKELKRQSLAGGKHALFERQENTTDNYSSRYARKHKHKRSSSTSLGTNYRVHNQLCEKQEYLPLGRAALRNDEQCSADYNQRHRRSWREINDDEDIVGCYSARRWQQRHDDLHGSHSMLKAEVCDDIDGHMYRERRYEETRKIRHDRNGDDEFFHYTDYRFGKVLDPEDRRRCRSQSAESCDEHFRRSEHLVFDHFTHPDQLMLSHQANDNHRKSEKGWPGPAASLTFMRSRNRFIDNERIQNGKMKYNHDGYYEKKRQHDSLFDVDDIQQPALYTGSVAETGQCIRPVKRRVHADHSMNRKDRFNSSYQKGRRLMHGWSMISDRDLYVAEMHNSPKDIDVEAMCSPNDMRNSNNIPNIYDKIRHEVVNLQPRDTDNMLLIHRKRKFKRQGIEIRRVVESDSEGCLPADSDLHGSKHKNIHQKVRKPRAFRISRNQASEKSEQQKQQHVSNNQEYEEIEEGELIEQDHQDTASRSKSNHQRKVVLKSVIEASSACQGGVINATSKDADCSNGATGECDNKHILEVMKKMQKRSERFKASIAPQKEEDEDRKESFAVTCDVDDIKNQRPARKRLWGCSG >ORGLA03G0142800.1 pep chromosome:AGI1.1:3:10150624:10154974:-1 gene:ORGLA03G0142800 transcript:ORGLA03G0142800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) TAIR;Acc:AT3G17040] MAMRLFPSSSPLPPPPPLLPSPSAKAPPSAPFSLSLRLRRARVAASAAAAAGGPERGAGGYEGDAEGEGSSGAFDRGMSEIARKVPLFEPARGDAAAVAGERPLPINLELWLYRAKVHTRKYEFADAEKLLNQCIMYWPEDGRPYVALGKLYSKQSRFDKARAAYERGCQATQGENPYIWQCWAVLERKGGNIRRARELFDAATVADAKHIAAWHGWAILEIKQGNIKKARNLLAKGLKYCGGNEYIYQTLALLEARAERFEQARTLFQQATQCNPKSCASWLAWAQVEIRAENNAMARKLFEKAVQASPKNRFSWHVWALFEAEQGSIDKARKLLKIGHAVNPRDPVILQSLALLEYNYSSPNTARVLFRKASQIDPRHQPVWIAWGWMEWKEGNARTARTLYQRALSVNSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLNINSQSEVTWMTWAALEDEQGDPVRAEEIRNIYFQQRTEVVDDASWVMGFLDIIDPALDSVKKLLNIDQPSGPATRDNPKSTGEPSNTATVRTSADAVFSGGSRAEGSDASDLANADDKESDDAAETPESDFDVDGFIRKRLALDPAELDAVLEGSDPRGVVSRRRTQRLPRKPLPLLPVP >ORGLA03G0142700.1 pep chromosome:AGI1.1:3:10146809:10147414:1 gene:ORGLA03G0142700 transcript:ORGLA03G0142700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQSTSICPHFGSVLPQKPVTRVSQPVIVDALNKFDLMRPRRSTVGINAAAPPRGAAKPSDALLTMDEARDDIEDLGWRECPVGSLLSIRAGGGDAAPSAAHMPIAAIRPGSTAVERVSPPSILSACSPAPPGAVVIRKRCKKGQGKAAMRGRKRRVVQLLTLPSVENLAATA >ORGLA03G0142600.1 pep chromosome:AGI1.1:3:10142195:10146047:1 gene:ORGLA03G0142600 transcript:ORGLA03G0142600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G47330) TAIR;Acc:AT2G47330] MSKRPKLGGFSIPRPTSYSFERSQPPQRLYVPADDPDLDDIAFSDDAAAPSDAPPAGGGGAAGDEEEIDPLDAFMAEIQEEIRAPPPAPKPEALRRADSDDEDDPVESFLRAKKDSGLALAADAMHAGYDSDEEVYAAAKAVDAGMMEYDSDDNPIVVDKKKIEPIPPLDHSTIEYEPFNKDFYEEKPSVSGMSEQEVADYMKSLAIRVSGFDVPRPIKSFADCGFPVQLMNAIAKQGYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVVCAPTRELAHQIYLEAKKFAKPYNLRVAAVYGGVSKFDQFKELKAGCEIVIATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVECLAREILTDPIRVTVGQVGSANEDIKQVVNVLPSDAEKMPWLLEKLPGMIDDGDVLVFAAKKARVDEIESQLNQRGFRIAALHGDKDQASRMETLQKFKSGVYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGTAYTLITQKEVRFAGELVHCLIAAGQDVPNELMDLAMKDGRFRANRDSRKGGKKSGKGKGGGGGGGGGGSGARGRGRGVRGVDFGLGIGYNAESGSVPAPRSAAVNSLKTGMMQNFKSSFVSASSSNTPSNSAPSRGAPSSFVRPALRGFVSGGTIGGDANQARAVQPAPSFVPASRPAENTVENANPNPESSRDRTRERKRPSGWDR >ORGLA03G0142500.1 pep chromosome:AGI1.1:3:10128752:10135423:-1 gene:ORGLA03G0142500 transcript:ORGLA03G0142500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT5G26850) TAIR;Acc:AT5G26850] MGFMSAKLFPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPDGLPNERKIMKLCEYAAKNPLRIPKIAKFLELRSHKELRSAHVNFIKIITEAYSKLLFICKEQMAYFAISLVNVLTELLESKQENIHILGCQTLAKFIYSQVDNTYARNIESLVRKVCVLSRQQGVEHSLLRAASLQCLSAMIWFMKEHSYIFADFDEIVQSVLENYRVEESAAGDEERHAPQHNWVDEIVRREGRAGLGGGNDVNCNSTAIRLRSARDSSALTREERESPEVWAHICVQKLAELAKESTTMRRILDPMLSYFDKKKQWAPRQGLALLVLSDMSYLEKSSGNEQLILTSVIRHLDHKNVLYDPQIKSDMIQTATLLARQLRSRGIAAELVVAGDLCRHLRKTLEAMESASIEELNLNESLQNFLQDCLLEVVTGINDVRPLYDMMAITLENLPSMLVVARASIGSLLILSHIISLTSMSSNAPMQLFPEALLQQILKSMVHPDVDTRVGAHHMFSAVIVRGPSRQRSESDFLYETKKWQSRTTSVFASATALLEKLRREKESLGSDKTGNMDDEKEKSISEEENKHVWARKNSAYFSKLVFSFTDRYAALTSSAEEANIVMLTEDQTNQLLSAFWVQANQTDNTPFNYEAIGHSYSLTAISSRLKDSRNSNNIQFFQLPLSLRSVSLTSNGVLSPSCQRSIFTLATSMLAFAGKVCHITELVDVLRCFTSCNMDPYLRIGEDLQLYVRLQSDLGNYGSDSDQEIARSVLSDCRTKVGINDQRVLDVVACALCNLTEMDKDVLVKELTEMFTPEEVPLFGSNSAFDWANFHVQAFSDESLSFDEECSRTSSVDGGLHESPITNTGSSISKTTMPQSVPRVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGSGTRKKLSSWLVNGHDSTPDNPAPSLPSAQHFIIPKVNSCGFESSIRTTSEPCSAVKLPPASPFDNFLKAAYRAQ >ORGLA03G0142400.1 pep chromosome:AGI1.1:3:10124684:10127315:-1 gene:ORGLA03G0142400 transcript:ORGLA03G0142400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:I1PAM8] MATTTTTPSSSLTAPLLRPSSNANPAPRSLPLLRSRRCARAVATATATAGHGAAHQRSGIWSIRDDLVVPRSPYFPVEYASGQERGPSPMVMERFQSVVSQLFQHRIIRCGGPVEDDMANIIVAQLLYLDAIDPNKDIIMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCIGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLAYHTGQPLDKINVDTDRDYFMSAKEAKEYGLIDGVIMNPLKALQPLPASS >ORGLA03G0142300.1 pep chromosome:AGI1.1:3:10120634:10123098:1 gene:ORGLA03G0142300 transcript:ORGLA03G0142300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 32 [Source:Projected from Arabidopsis thaliana (AT3G17000) TAIR;Acc:AT3G17000] MEATAKYNRGNPAVKRILQEVKEMQSNPSPDFMAMPLEEDIFEWQFAILGPRDSEFEGGIYHGRIQLPSDYPFKPPSFMLLTPSGRFEIQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTPGGGALGSLDFKKEDRRALAIKSRETPPKFGSAERQKVIDEIHEQMLSRAPPVPQLLTNETNEETNQLPASDASDEHAHKAVGGVNTSGSNSDSVNNDFPRPDSESEIVQHIVEGRTEGVSNHSRANLSRENIPRVAPTPQNPVVAIQKPKHDRLLTLAAFGLTLAIMALVIKKFFKINGLAGYIEGKF >ORGLA03G0142200.1 pep chromosome:AGI1.1:3:10117438:10117890:1 gene:ORGLA03G0142200 transcript:ORGLA03G0142200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRRRLLPLSAAPLFAGALRLIALSSTLRYLDQILAVSLASVHYPLDPRPGNLSSLFLRPPLRVPPSHPPPPPPRSLSPLPLSLPLASTTSPSPSPPPRSLLSWRRRVVSNRLRSPRAARCTRGLRRRHVRGVRIGQAVACTLHAVQR >ORGLA03G0142100.1 pep chromosome:AGI1.1:3:10110180:10117236:-1 gene:ORGLA03G0142100 transcript:ORGLA03G0142100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G02020) TAIR;Acc:AT4G02020] MASSSSKASDSSSQRPKRPDQGPSGMDAAGLVALHGKLAQLKRQVQSTRLAAIKERVEANRKALQVHTCALFDVAAAAEVASRGAEGGNALSRGAAEGHRRFVGWDSASGPGERELVHVQEENLVAGTLVLSSSGGSGASHRTVVQLVKLPVVDKIPPYTTWIFLDKNQRMADDQSVGRRRIYYDPIVNEALICSESDDDVPEPEEEKHVFTEGEDQLIWKATQDHGLSREVLHVLCQFVDATPSEIEERSEVLFEKYEKQSQSSYKTDLQLFLDKTIDVALDSFDNLFCRRCLVFDCRLHGCSQNLVFPSEKQPYGHELDENKRPCGDQCYLRRREVYQDTCNDDRNACTTYNMDSRSSSLKVSATILSESEDSNRDEDNIKSTSIVETSRSKITNSEYADKSVTPPPGDASETENVSPDMPLRTLGRRKISKHASKSNDHSPDKRQKIYSSPFPFAMSVLNKQSVPEIGETCPDSIESAVDQLPSLDDPNKKISTKDMCAGSTTNTTENTLRDNNNNLFISNKEHSISHWSALERDLYLKGIEIFGKNSCLIARNLLSGLKTCMEVASYMYNNGAAMAKRPLSGKSILGDFAEAEQGYMEQDLVARTRICRRKGRARKLKYTWKSAGHPTVRKRIGDGKQWYTQYNPCGCQQMCGKDCACVENGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAASRECDPDVCRNCWVSCGDGSLGEPLARGDGYQCGNMKLLLKQQQRILLGKSDVAGWGAFIKNPVNRNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNEQQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKDRIEASEELFYDYRYGPDQAPAWARRPEGSKKDEASVSHHRAHKVAR >ORGLA03G0142000.1 pep chromosome:AGI1.1:3:10107223:10109532:1 gene:ORGLA03G0142000 transcript:ORGLA03G0142000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 6 [Source:Projected from Arabidopsis thaliana (AT3G62390) TAIR;Acc:AT3G62390] MDRQRSSGSSSTSHYGVVSPKGLILLSFASSSLLFSFLFSLFALRFGRPLHLPFVASSLAGNASAIARGPVLAAGGGGRSTSGAAVDVLPGRGRSGSLGEAARRSDAGGFPSAGGVGSAMEVKEAALGSENGGAPANGDSGSAMGAEGAPAGGGDGNSAEGENTTKEVADSAMETNLLVSNASASQGAAAAAEEPKKPKSVQDVDSSMGDSDLGSNGEFLQGESGNSSAGAHTSQRVDQGEHSAHSIVRNSSGAAPLSSSKQKTDLVQETVDSKVDAARSDAALCNVYDGRWVFDESYPLYTSDSCPFIDEGFSCEANGRMDGSYRKWRWQPTHCSIPRFDARKMLEMLRGKRLVFVGDSINRNQWESMMCLLRGAVSDPARIHEARGRRITKERGDYNFKFLDYNCSVEYHVTHFLVHEGKARIGSKRTRTLRIDTVDRTSSKWRGADVLVFNTAHWWSHHKTKAGVNYYQEGDHVYPHLDASTAYLKALTTWASWVDHYINPRKTRVFFRSSSPSHFSGGEWNSGGHCRESTLPLSGTRVRPVPEINMILEQVAQQMKTSVTILNITNLSGLRIDGHPSVYGRKAVVGLTASSVQDCSHWCLPGVPDTWNELLFYHLVSSQQKGVTS >ORGLA03G0141900.1 pep chromosome:AGI1.1:3:10101571:10105230:1 gene:ORGLA03G0141900 transcript:ORGLA03G0141900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLIHHSSCDIFKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNESDNGKEIASKTLNHLIHKSDTGTIRSAANDAVETMIKILNSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLIDGQTDKILMAASSCLAAIFLSIKQNKDVAAIGRDALAPLVSLANSTVLECRSINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSKLPKVSSGHTKAPWTVLAENPHTILPLVSCVADAAPSLQDKAIEVLSRLCSDQHDIVGGLVSEIPGCISSVARRVIGSNMLKVKVGGCSLLVCAAKEHCQKQIEILSDSSLYIQLIHSLVSMIHMTNLPSENGSGENISDIKISRHSKENNNSDETVCRTAVISGNMIPLWLLAVFARHDSKTRAEILEAGAVEMLMEKISQNAFLYVGEEDSTAWVCALLLALLFQEREINRSNAALHSIPVLSNLFRSDEQAYRYRYFAAQALASLVCNGSRGTLLAVANSGAATGLISLLGCAEVDIADLLELSEEFMLVPNPDQITLERLFRVDDIRKSEESLSKHGSRVKAKNGIGRELVYAWNQG >ORGLA03G0141800.1 pep chromosome:AGI1.1:3:10096722:10101152:1 gene:ORGLA03G0141800 transcript:ORGLA03G0141800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDCCGRGAGGAAEAGAAGAGGGRKRGCAGTALALVALAAAAAVAVLEGTAGGVSYVGDGWLHECAKWDADGRRLLVSNFFGAGVSELRAEAKGKEKEEERVVLADPDVAGRVALGLTVDAPRGRLLIVYADRLPRFAYSAVAAYDLASWRRLFLTRLDGPGDSTLADDVAVDEEGNAYVTDAKGNKIWKVSPDGEPLSVIKNATFFQRPGWINNFVGLNGIVYHPNGYLLVIHTSGGDLFKVCPKTGSVHVVKVKGSLKTGDGLALLSPTRLVAAGLVSRLVESDDDWETAVVTGRYVGPAHRIGSSATVKDGDVYINHIIGFGLGKKTHVISKAAFAPL >ORGLA03G0141700.1 pep chromosome:AGI1.1:3:10087146:10088126:-1 gene:ORGLA03G0141700 transcript:ORGLA03G0141700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNQEVAALVEKIAGLHAAISKLPSLSPSAEVDALFTDLVTACVPASPVDVAKLGPEAQAMREELIRLCSAAEGHLEAHYADMLAAFDNPLDHLARFPYYGNYVNLSKLEYDLLVRYVPGIAPTRVAFVGSGPLPFSSLVLAAHHLPDAVFDNYDRCGAANERARRLFRGADEGLGARMAFHTADVATLTGELGAYDVVFLAALVGMAAEEKAGVIAHLGAHMADGAALVVRSAHGARGFLYPIVDLEDIRRGGFDVLAVYHPDDEVINSVIVARKADPRRGGGLAGARGAVPVVSPPCKCCKMEAAAGAFQKAEEFAAKRLSV >ORGLA03G0141600.1 pep chromosome:AGI1.1:3:10083933:10084934:1 gene:ORGLA03G0141600 transcript:ORGLA03G0141600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNQEVAALVEKIAGLHAAISKLPSLSPSAEVDALFTDLVTACVPASPVDVAKLGPEAQAMREELIRLCSAAEGHLEAHYADMLAAFDNPLDHLARFPYYGNYVNLSKLEYDLLVRYVPGIAPTRVAFVGSGPLPFSSLVLAAHHLPDAVFDNYDRCGAANERARRLFRGADEGLGARMAFHTVDVATLTGELGAYDVVFLAALVGMAAEEKAGVIAHLGAHMADGAALVVRSAHGARGFLYPIVDPEDVRRGGFDVLAVCHPEDEVINSVIVARKVGAAAAAAAAARRDELADSRGVVLPVVGPPSTCCKVEASAVEKAEEFAANKELSV >ORGLA03G0141500.1 pep chromosome:AGI1.1:3:10075744:10082341:1 gene:ORGLA03G0141500 transcript:ORGLA03G0141500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTILLQRACLRFALLPVPPLRAPLRPPRRPLGLPRRSAMSSSAASRLSHIVAAAGGAAGESSEPPAAAAAASGLAQEDDDLSSAMMGYRLPPKEIQDIVDAPPLPVLSFSPSKDKILFLKRRALPPLSDLAKPEEKLAGVRIDGYSNTRSRMSFYTGIGIHKLMDDGTLGPEKVVHGYPEGARINFVTWSQDGRHLSFSVRVDEEDNTSGKLRLWIADVESGEARPLFKSPEIYLNAIFDSFVWVNNSTLLVCTIPLSRGAPPQKPSVPSGPKIQSNETSNVVQVRTFQDLLKDEYDADLFDYYATSQLVLASFDGTVKPIGPPAVYTSIDPSPDDKYLMISSIHRPYSYIVPCGRFPKKVELWTVDGEFIRELCDLPLAEDIPIATSSVRKGKRSIYWRPDKPAMLYWVETQDGGDAKVEVSPRDIVYMENAEPINGEQPEILHKLDLRYAGTSWCDESLALVYESWYKTRKTRTWVISPDKKDVSPRILFDRSSEDVYSDPGSPMLRRTAMGTYVIAKVKKQDENTYILLNGMGATPEGNVPFLDLFDINTGSKERIWQSDKEKYYETVVALMSDKTDGELPLEKLKILTSKESKTENTQYYLQIWPEKKQVQITDFPHPYPQLASLYKEMIRYQRKDGVQLTATLYLPPGYDPSQDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFPGIGATSPLLWLARGFAILSGPTIPIIGEGDEEANDRYVEQLVTSAEAAVEEVVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATNTYVEMSPFMSANKIKKPILLIHGEQDNNSGTLTMQSDRFFNALKGHGALSRLVILPFESHGYSARESIMHVLWETDRWLQKYCLSGSSKTDSDSVADTENKAVSASGGAAPCEGSXAEGFSSMQRSLL >ORGLA03G0141400.1 pep chromosome:AGI1.1:3:10071300:10073774:1 gene:ORGLA03G0141400 transcript:ORGLA03G0141400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIQKRRVQLLLFIVGVLALSMTAEKFRELVGKEEASKSGQFTFMNCFDMGSGSLACAVKEGIKLYVYNLQTAHTERVRHRAIEKALADAVTEGLSAAEAAKQAQKVGAKAAKVAARQAKRILGPIISSGWDFFEAMYFGGSMTEGFLRGTGTLFGTYVGGFHGEERLGRFGYLTGSHLGSWVGGRIGLMIYDVINGLKYMLQFVKPEYEASAYYSKESTEYAYSYRSGEREEPTYYETSEENQEESQGFSLF >ORGLA03G0141300.1 pep chromosome:AGI1.1:3:10069318:10070954:-1 gene:ORGLA03G0141300 transcript:ORGLA03G0141300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haem oxygenase-like, multi-helical [Source:Projected from Arabidopsis thaliana (AT3G16990) TAIR;Acc:AT3G16990] SCKSDAAAAMDGGGVEAATTAAWMARHRGMYERATRHPFTVSIRDGTVDLSAFRRWLGQDYMFVKEFVAFLASVLLKCCRQSDGSDMEIILGGLASLSDELSWFKKEAEKWSVNLAEVSPLKSNTEYCRFLQSFSEPEISYVVAITTFWIIETVYQDSFAFCIEEGNKTPPELLGTCQRWGSPEFKQYCQSLQRIADRCLAEASADAARSAEEAFLRVLELEIGFWDMSSSRS >ORGLA03G0141200.1 pep chromosome:AGI1.1:3:10068032:10068427:1 gene:ORGLA03G0141200 transcript:ORGLA03G0141200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILAVAAMATFQAPTTLPGPLAPTLPVRRNVVSFAGRRQGRALGRLAVVVAAGSPTPPELAQKVSESIKQAEETCAGDPEGGECAAAWDEVEELSAAASHARDRKKDSDPLEEYCKDNPETDECRTYED >ORGLA03G0141100.1 pep chromosome:AGI1.1:3:10063712:10064224:1 gene:ORGLA03G0141100 transcript:ORGLA03G0141100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPATEGSARRSAAAEEEERQRNRKRSNRLSAQRSRMKKQQYVDGLAVEAEQLRRENDAMRAGAGAVLQRCRLVEQENRVLAAHARELCSALQLRASQLRVLGEVAGVPLDVPDVADHLVQLYGGDLGMTPLSPPPLLPPLPPQIEMLFFQPDSVMDPVSMLQGYENI >ORGLA03G0141000.1 pep chromosome:AGI1.1:3:10059288:10060519:-1 gene:ORGLA03G0141000 transcript:ORGLA03G0141000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTASANKSCVNGLGWFTDEPDEESLSLSAGLGLPASFPLAGAGNLRLRCSGMEMRECPQYPDLVRSNSRDFVGSLIKAWQKNLRSFQQLKIQITEWIRNGRSATFDSCLSDSIEKKGQPSILPELV >ORGLA03G0140900.1 pep chromosome:AGI1.1:3:10045252:10056408:-1 gene:ORGLA03G0140900 transcript:ORGLA03G0140900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1PAL3] MLRAAAATTAGFLPPRLAAETAPAARVVAAAGAEVRSSPFLRALGVPRGGAGIGSATPGRRMYFASGSDSSGSAADSDSEASSAAGAGEEESEGNRSSSAVAPAIIRPEDCHTVIALPLPHQPLFPGFFMAMSVKDPKLLKALVENHKRSFPYAGAFLVKNEEDTDSNTVTRSDPKKSIHGLKGKELLKHLHEIGTLAKITSIQGDQVLLLGHCRLRITEMVEEDPLTVKVDHLKEKPYDKDNDSIKAIYFELLSTLRDVLKTSSLWKDHAQIYTQHMVDFNYQRLADFGAAISVTNKLLCQGVLEELDVSKRLMLTLELIKRELEITKLQQSIAKAIEEKITGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRKRIESRKEKCPSHILQVIEEELTKLQLLEASSSEFSVTSNYLDWLTVLPWGDYSDENFDVLRAQRILDEDHYGLTDVKERILEFIAVGKLRGSSQGKIICLCGPPGVGKTSIGRSVARALNRKFYRFSVGGLSDIAEIKGHRRTYVGAMPGKMVQCLKSVGTSNPLVLIDEIDKLGRGYSGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVIEMIPGPLLDRMEIITIAGYITDEKMHIARDYLEKNTREACGIMPEQVEVTDSALLALIENYCREAGVRNLQKQIEKVYRKIALQLVRQGVSNESAREITLVEPSEQPTGVSIATEVENKSQRDSLAEDVSVHVIPTDFSCEKINVVSLTTKSEVDHYEHPKGVSKETSADDKATPTNTSGKMETGVRLRTQIGXPTTLWSXPEDPXGLVLINCCLLFLKANEHTEEVMEALVDEALVDKTVEKVVVDASNLDNFVGKAVFQPERIYDQTPVGVVMGLAWNAMGGSTLYIETAKVKDGEKKGALVVTGQLGDVMKESAQIAHTICRSILHEKEPNNTFFTKSKLHLHVPAGATPKDGPSAGCTMVTSMLSLAMGKLVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSGIKTIIFPAANRRDFDELAPNVKEGLEVHFVDKYSEIYDLAFPSDSQALAS >ORGLA03G0140800.1 pep chromosome:AGI1.1:3:10024291:10040550:-1 gene:ORGLA03G0140800 transcript:ORGLA03G0140800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKHQPSGNAASISMVPLDFPSQELEKVNSVNPVVATDVPPEADVDVDMREVYFLIMHFLSHGPFKRTVGELCNELLEHQLLPRRYHAWYSRGGFHSGEENDDGISLPLGYLKLVERYPHIGKDHLVKLLKQLIFSSCHPHGLVGGVSPNAADVPTLLGSSSFSLLASTFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRIPDGLPISVLKGHTGVVTAIAFSPRPGAAFQLLSSSDDGTCRIWDARQSQQSPRIYIPKPPDVAPGKGGDASSSAVQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSSSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSFSVDTTHTIKEENNLKLRNSCSFSYLTYCANCAFLVSTVSLQLKFSAFARFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIQDTNGNVIDQETQLAPYRRNMQDLLCDSGMIPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTYNATTGEYQIIPVIDPDRWEPLPEITDFIELEPENEVISDDTDSEYDGLDEHSSEGEHEALNGDSSGASYSSGEIDGDNLTDSALRRSRRKKKKSDGGVVTSSGRRVKKRNFDERDVPQVSRPHKSRKSRNGRSSKRKKSPKSKGLRPQRRAARNALSFLSKIGASTEEDEDDSESSFSDSELNTESTEAEHLAWNGQLRLGRESNSRYDLDDVTQPSQFTETHGNSGSNRKLVLRIPRRDLKVQFTMGNRKTECSTQDREGLALSPTNREAVETKPNFEPGSSSALKAELTDGVQTEISDPHDVSALHNNSTIKWGEVKVRSSKRFKFGDSSAGDTWPTSNNAVPQNVDQPDSKKMLNGDEIQQTFELNSQEIQHAVNLENLKIDDCSEDNLLDKERIASDNNAHVDEGYEGEHDQQVHSTPQTISLKLKFRSRSFADGAGSSDKSRITTAVGNDMNSEHDKGHMLHDEGSALNQHTNDGILTVSKSLPERTDKSTSLDDSKKWHLDPAKTYSAVYKRSKTNKHKKNLDSDAYGNGESTSVSNDDDGYQPTDYSPVKPDSATLRRSARRSYAYTDDTTQAKNSYSSHEASTSGRRIVTDVRDVMWKSNLKTVGLRSTRNKRESSNFPGTHLLEKRKQVSMKYSWLMLLEHEDSYRYIPQLGDEVMYLRQGHEEYLKGSRQLDDCPWNRIKGLKDVELCKIQGLDYTTFRGSGESCCKLTIEFIDDTSRGFGRTFMITLPELVNFPDFLVERTRFEASIDRNWTNRDKCKVWWRNELEEGGSWWEGRVSAVKPKSLDFPESPWEKYVIQYKNDGSDHPHSPWELHDTGNLWVPWKHPHIDLGIKDKLLSGLDNLLELSHRNQDRYGVLKLNSVAEKSDFINRFPVQFSIEVIRIRLENNYYRTLEAIRHDATVMLANAQSYFSKSTDMTKKIRRLSDWIEQTFSSL >ORGLA03G0140700.1 pep chromosome:AGI1.1:3:10022121:10023470:1 gene:ORGLA03G0140700 transcript:ORGLA03G0140700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKHGGKVTSDRRAGRRQHGQRCSASDAAPLVVVVILIVGALFLILGPTGSSSFTVPRIRVVFNEPVHVAVAAPPPPPPPAQMQAGANASSEEDSGLPPPRQLTDPPYSLGRTILGYDARRSAWLAAHPEFPASVAPAGRPRVLVVTGSAPARCPDPDGDHLLLRAFKNKVDYCRIHGLDVFYNTAFLDAEMSGFWAKLPLLRMLMVAHPEAELIWWVDSDAVFTDMLFEIPWERYAVHNLVLHGWEAKVFDEKSWIGVNTGSFLIRNCQWSLDLLDAWAPMGPRGPVRDRYGELFAEELSGRPPFEADDQSALIYLLVTQRQRWGDKVFIESSYDLNGFWEGIVDRYEELRRAGRDDGRWPFVTHFVGCKPCRRYADSYPAERCRRGMERAFNFADDQILKLYGFAHESLNTTAVRRVRNETGEPLDAGDEELGRLLHPTFRAARPT >ORGLA03G0140600.1 pep chromosome:AGI1.1:3:10018516:10019983:1 gene:ORGLA03G0140600 transcript:ORGLA03G0140600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIGFIWERMMKSSIRLIILCVEAWETPYGMKYCGSVGCIENEKNGWEIVMVVAESTAYFCLLGYEVESLKSSKQAPLHPKKPTTLXFFDVFTXKCRTTPKLLLLPSSHHFWRDLAATGGVGAGGGVPPGXRRVGCGINGDTGGMRQQRRLGGGGAGGTDGGGGTRADRWRASVVAVRRLTAGRRQPSVRRNDYKRRGGMELTSLAKHFHTHLSSYRSYLPLKLEFGALPNGAXGERTFHKHFCWFRPAQFHAWAVSLEISETKXHPYMGFHKGPEFVHXRGHKEXVHL >ORGLA03G0140500.1 pep chromosome:AGI1.1:3:10011271:10012722:-1 gene:ORGLA03G0140500 transcript:ORGLA03G0140500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQDRHGGEAAADVGRRARHQRLLLSFPVFPIVLLLLAPCTIFFFTSGDVPLPRIRIEYARRDAPTITAVAADTSPPPPSPPSSSPPPLSFPPPPPPPSSPPPPALPVVDDHSDTQRSLRRLRQLTDSPYTLGPAVTGYDARRAEWLRDHTEFPASVGRGRPRVLMVTGSAPRRCKDPEGDHLLLRALKNKVDYCRVHGFDIFYSNTVLDAEMSGFWTKLPLLRALMLAHPETELLWWVDSDVVFTDMLFEPPWGRYRRHNLVIHGWDGAVYGAKTWLGLNAGSFIIRNCQWSLDLLDAWAPMGPPGPVRDMYGKIFAETLTNRPPYEADDQSALVFLLVTQRHRWGAKVFLENSYNLHGFWADIVDRYEEMRRQWRRPGLGDDRWPLITHFVGCKPCGGDDASYDGERCRRGMDRAFNFADDQILELYGFAHESLDTMAVRRVRNDTGRPLDADNQELGRLLHPTFKARKKKTSRAARPM >ORGLA03G0140400.1 pep chromosome:AGI1.1:3:10007877:10010553:1 gene:ORGLA03G0140400 transcript:ORGLA03G0140400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 2 [Source:Projected from Arabidopsis thaliana (AT1G56350) TAIR;Acc:AT1G56350] MASRLLTRSTAARLLSHLRSSGALSPTHHHHHHLDHGAALASLLGLGRGGLPAAAGPWSPRDPPTRWFSSPATVAEAPMTADGLTVDSIAGKGWTILPEAESDWRSHAAAVAQSVKLIKKRLKWGWILERSRQLSVVLERPDLWDDPVFAGKVSREHGELMGKIKSVNQFEQELMEHIDMLRLAREEDDNELETETMRALAEMRRSAKEKELNALLSGDNDSCSCFIEVQAGAGGTESMDWAAMVMNMYSSWAQRRGYTVSIIEEMPGEIAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVVPILGDGSTRYQIKDSDLRIERFRSGGPGGQHANCTESAVRIVHVPTGITATCQNERSQHMNKASAMAVLQSRLDQLEIARQAQMNADHTQSLSEISWGNQIRSYVLHPYRMVKDLRTNYEVSDPDSVLNGDLDDFILNFLSTSLDEAD >ORGLA03G0140300.1 pep chromosome:AGI1.1:3:10005397:10006528:1 gene:ORGLA03G0140300 transcript:ORGLA03G0140300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSNSSLETRTFLDEVRGLEKNWMVDLGHPLLNRVAESFVKAAGIGAVQAVARESYFMAMEGEGGGTGAVSDSTGARKRSFPDLNGGNSSKSAEAMVKSVSKESLQWGLAAGLHSGLTYGLTEARGTHDWKNSVVAGALTGAAVALTSDRASHERVVQCAIAGAALSTAANVLSGIF >ORGLA03G0140200.1 pep chromosome:AGI1.1:3:10000083:10004212:1 gene:ORGLA03G0140200 transcript:ORGLA03G0140200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSQSFLSPAPNPLLRPRILPFPAGGSVSLRGRRPAFPSVAAASTSMASSESEERKETKLWGGRFEEGVTDAVEGFTESISYDWQLYKYDIMGSKAHASMLAAQGLITAGDKDIILEGLDQIEKLIQDGKFEWRTDREDVHMNIEAALIEKVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILFRIKQFQVSLVLLASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLVNCRERLNFCPLGACALAGTGLPIDRFKTAKDLKFTAPMKNSIDAVSDRDFVLEFLAANSIAAVHLSRIGEEWVLWASEEFGFLTPSDSVSTGSSIMPQKKNPDPMELVRGKSARVFGDLMTVLTLCKGLPQAYNRDLQEDKEPLFDSVKAVLGMLEVCTEFAQNISFNSKRIQSSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRSVALCVSKNCQLAELGLDDLKSVHPVFEGDVYEYLGVENAVNKFISYGSTGSEQVKKQLEDWRTQLGISS >ORGLA03G0140100.1 pep chromosome:AGI1.1:3:9998170:9999246:1 gene:ORGLA03G0140100 transcript:ORGLA03G0140100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVATPRGRSSQRRTRCKCAGGGGGDRAAACCFNPLRSLFRCPGRGRGRRSRSRSRHTTPSKVRDASVAGGVEQQSEEPSFFVYAMPNQGGGDGVTADHSKKKKKKKHSKPRLPSIRSCFRGKKNKERKANAAAVVARRQALTPAPSLVTHPPHSPSTPEKTQAATPSATQPPSPAVTENGRTNSPATPNRIIPATPRPGKHSTSSASAPSPFPPQWQQPKQVEGLEIVEVATGERLSAHDVGLIEMVGSSADVSAESSVKSSLDYANDPPQQLTVSSKRKPAVVKATEPTRVWLNGNAVKGKAGERFTGPPVAGEADELWAHDIACSRVHAVMLAETVSYGCYTIHQAVYWKEATF >ORGLA03G0140000.1 pep chromosome:AGI1.1:3:9996567:9997436:-1 gene:ORGLA03G0140000 transcript:ORGLA03G0140000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62550) TAIR;Acc:AT3G62550] MDRATEEETAATGRRILVAVDEGDESVHALKWCLASFAKRGGGGGAAPPDTIILLYVRPPPPTYSVLDASAMVGYVFSDEVAAAIDGYSKEVAEAVVEKAQKLCTLYGKEVGGDGEAGHEMKVEVKVAVGDARNVICQMADKLGADVLVMGSHGYGLFKRSLKRSRFQSQKLALLGSVSDYCVRNANCPVLIVKS >ORGLA03G0139900.1 pep chromosome:AGI1.1:3:9988194:9988826:-1 gene:ORGLA03G0139900 transcript:ORGLA03G0139900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLISSGAAVGASGGGKVVMADGSVRALSEPVSVAELMMDHPRHFVVDARDLQQQQRRHKGKAGAPPPPGGKVAPLPADHVLGAGGVYVLLPATTRGKVSAEEARRALTASRSLERSRSMPGRLRRKLSSKKMTQEADNDGNASENHAAAAEAERREETAAAARPPPADGFEEHRPEFLSRELSSRGWKPSLITIEERVAPKKVSHWLF >ORGLA03G0139800.1 pep chromosome:AGI1.1:3:9980959:9984053:-1 gene:ORGLA03G0139800 transcript:ORGLA03G0139800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGHGDAAERDIDDLPRNDANYTALTPLWFLERAAVVHPDRAAVVHGPVRYTWAETYRRCRRLASALAQRSVGPGCTVAVIAPNVPALYEAHFGVPMSGAVVNCVNIRLNAETIAFLLDHSVAEVVMVDQEFFTLAEESLKILAEKKKWSFRPPILIVIGDPTCDPKPLQYALGRGAIEYEEFLKTGDPEFAWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYVMALGVAMVWGMPEGAVYLWTLPMFHCNGWCYTWAMAAMCGTNICLRQVSSKAIYSGIVNHGVTHMCAAPVVFNNLINAPASETFLPLPRVVNIMVAGAAPTPSLLAALSIRGFRVTHTYGLSETYGPSTVCAWKPEWDRLPLEERSRLHCRQGVRYGALEGLDVVDPKTMAPVAADGKSYGEIVMRGNAVMKGYLKNPKANAEAFAGGWYHSGDLGVKHPDGYIEVKDRMKDIIISGGENISSLEVEKVLYAHPAVLEASVVARADEQWGESPCAFVTLKEGADSSDEAAVAGDIMRFCRERMPGYWVPKSVVFGPLPKTATGKIKKHELRTKAKELGPVKKSRM >ORGLA03G0139700.1 pep chromosome:AGI1.1:3:9972932:9976917:-1 gene:ORGLA03G0139700 transcript:ORGLA03G0139700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERDIDDLPRGGANYTALTPLWFLERAALAHPARASVVHGPVRYTWADTYRRCRRLASALARRSVGHGSTVAVIAPNIPAVYEAHFGVPMAGAVVNCVNIRLNAPTVAFLLEHSSAEVVMVDQEFFSLAEDSLRIIAEQKKGAFKQPLLIVIGDQTCDPVSLKSALSKGAIEYEEFLETGDPEFAWKPPQDEWKSIALGYTSGTTSNPKGVVLHHRGAYLMSLSGALVWGMNEGAVYLWTLPMFHCNGWCYTWTLAALCGTSICLRQHFCSPSSQVTAKAIFSAIANQGVTHFCGAPVVLNTIVNAPPADAILPLPRVVNVMTAGAAPPPSVLASMSKLGFRITHTYGLSETYGPSTVCAWKPEWDELPDDERARLHARQGIRYVGLEGLDVVDPKTMAPVPADGSTLGEIVMRGNGVMKGYLKNPRANAEAFENGWFHSGDLGVKHTDGYIEVKDRAKDIIISGGENISSLEVEKAVYQHPAVLEASVVARADEQWGESPCAFVTPKDGADSSDEAALAGDIMRFCRERLPGYWVPKSVVFGPLPKTATGKIKKHELRAKAKELGPVRKSRM >ORGLA03G0139600.1 pep chromosome:AGI1.1:3:9971594:9972151:1 gene:ORGLA03G0139600 transcript:ORGLA03G0139600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVELLPLRHCLRSVAADMLPSPEVDLKPPLSIRATNVISPPATRQPGEGDVRGPREVRMSSALSGSPPDPPRSPAERELSPSQICLELQSGGRIGARRRLGVASTGARRRLGAAATGAWAQLGVAAIRCEGGEAAAPQPRRMDLAGPSLLCPVPSPLGPLRPAPWLRLPVGKGGEEREGGDEE >ORGLA03G0139500.1 pep chromosome:AGI1.1:3:9960925:9962118:-1 gene:ORGLA03G0139500 transcript:ORGLA03G0139500.1 gene_biotype:protein_coding transcript_biotype:protein_coding CECDLELQACIEKKYGGIAPKKPLISKDHERAYFDSADWVLGKLQTATVQRPRLRLSSPSSRERLITSSLLASQPAHQAEPRGISECSGSWRPRSNKGTGGLSSVL >ORGLA03G0139400.1 pep chromosome:AGI1.1:3:9953170:9953397:-1 gene:ORGLA03G0139400 transcript:ORGLA03G0139400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTLASYALLRSCGCLLAATAMLTAALQHILVVLDAAGMESAALEGKLASYSHGHWPLLQQNTHRAKLQGTPL >ORGLA03G0139300.1 pep chromosome:AGI1.1:3:9929907:9932484:-1 gene:ORGLA03G0139300 transcript:ORGLA03G0139300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPTSAPEKKRKWLLSNRKVIDKYLREARAILATAPEAGGGDAVAALGLVDAALELSPRMESALELRGRALLSLRRYRDVAEMLRDYIPSCAKTCSGDDTLSSSTSSSLSSSGSGDLGTISRAKLLSPDRHRSDAAAEPGAAAARSFRCFDISELKRRVLASLSKNPNTDTQWRYLVLGQACFHLGLIEDAMVLLQTGRRLASAAFRRESVCLSEDSFSSSSPAAAVAPIPSGNTTKSGSAFIIPAMESEAVSQLLAHVKLLLRRRTAAMAALDAGLPAEAVRHFSKILEARRGVLPHPFAAACLVGRAAAFQAGGRPADAIADCNRALALDPAYIPALRARADLLQSVGALADCLRDLDHLKLLYDAALRDGKLPGPRWRPQGGVRYREIAGAHRKLTARIQGLRSRVAAGEACNIDYYALLGVRRGCTRSELERAHLLLTLKLKPDGAVVFGERLELVDEHRDLEAVRDQARMSALLLYRMLQKGYSFIMSAVIDEEAAERQRAREAAAAAAAVAAAAAAAAAAALAVKQEATKQELAAPPMPEKPRQTESAPCAKRSMASKAKPKAKPAATVPSMMSRKAATTTTTAKAAMSKAAVTAPKAAAATAVAATAVAAAATAASTSTAAPVYQGVFCRDMAVVGTLLSRGGFVDRAMPVKCEAMSC >ORGLA03G0139200.1 pep chromosome:AGI1.1:3:9909770:9916780:1 gene:ORGLA03G0139200 transcript:ORGLA03G0139200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPISGSDDDDEHLFAGVRFFLVGFDPLSESQYRSEMVRRSGVDAGRFGSGCTHVIVCGLVYDDPACVAARAEGKKVVTELWVEASLDRGMLADADRVMYWPVRDLNGIAGSESLRICLTGYQRSDREDIMSMVSLMGAQFSKSLNPDVVTHLICYKFEGEKYEAAKKAKLKFNFNIKLVNHRWLEDCLKCWKILPVDDYSKSSWELEIMEAQAKDSEDEEDVGQRSFRNKIVRSTLNPKGSAGTSANHVVNAPIRSLTISSGNIGMVLEKQPNIPGEIRKAEDAVNRIHDVAAQGTPRTRLAMSANTDFSTPSQIPFIHSDSRGDAAVRDLKNADQIQGNKHKDVGTKTLDVTSGASGTPCSSKMVVSANHNVHSLNKTNFVEDHGDTDASKADLTTPSREILLANVLDSSNVARGRSQEDYGATCTPDAAVGQSTINDNVTNNNIGLKSGNNASLNINNKSSLKPLEKSVLPEQYSANRIGPLQGAEGSMMRADSSISTARKGDKIIADLAGVQVLKGGENIQDENVLDGAYSQKKKCSISPVLFKVQNGDTGKETGALNSPSANRLSDTSEPAIWSSVGTNPSEANNVDLGKQQSGSSKSRSRTALKHGNLVDGIKLPEYSSSETNAQPPPKPKELLATSLSATVDDVKRCPDFSFQNKDGDYAQDSGNALNQDGSPLMRKTENVLAKVRTSDISLHSSRKSKLVPSSGNGDTEMSDALDIEKNKAAVASNCKPEKVVPDENIKADQLKDFPGTSNNVLRQTGYLKKVASRKGMKASTKRPRSASKVVDEPVVDDGKTKTVVSESEPDKMIAHKHIGETAKDGPDSVNAAEHRTNSSDKVLTDGASRISRRLQNVHTMKNDRHAAFNLESSKMISEENTGIGITPKKFVSNATTEGHQTNSPKMLPNTSMRNTFAKRSRVSDTKMTGESSADKTETVAGKSLFDDLFPSQNIDHPKKVSSSASADGCGSLSCKNASPARVRNAVAKRKIKALEDKSDSKLGKIGGAIVSAAKAVASRGIEESSCNINKVSSDQNSVKTDGMRDVSGLFSSDTSVIDRSENLNNSKLRCSKRNKSLSLDHEKENMQDNGTLSSKSNGRTAIMNSNLDANSMKHGANMFNEPNRIKGNGPGTLITPEPTCFILSGHRQQRKDYRSILRRLKARVCRDSHHWSYQATHFIAPDPLKRTEKFFAAAAAGKWILKTDYLTSCNEVGKLLDEEPFEWSGTGLNDGETISFEAPKKWRVLRQQMGHGAFYGMQIIVYGQLVSPSLDTVKRAVRSGDGTILATSPPYTRFLNSGVDFAVVSSAMPSADAWVQQFISHDIPCITADYLVEYVCKHGHPLDRHVLFNTNDLANKSLKKLLQNQQEVATDVLKPQEDGDPDDLSCSACGCTDRGEVMLICGNEDGSTGCGVGMHIDCCDPPLEAVPEHDWLCPQCEMPKATKKSASRVASKSRVSKRKR >ORGLA03G0139100.1 pep chromosome:AGI1.1:3:9907239:9908182:-1 gene:ORGLA03G0139100 transcript:ORGLA03G0139100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQCPSKHFLLAAAVIFCMTIPSCKAQDAVEIVAKAALCFDNHTVINKCLQQIGIDSNARASTQGAGGAVLDASANASAALCDTPCFEHMLMMTDCMDDILSNFQGYSAGLIKGYRAVFQMSCRVVTAAAAGGGGSSSSSNGTANATVAGGGDADDRHSPSHGAAKGNSLVSRTGSAVANGAGGRRLRVGNLVWAAILAVTV >ORGLA03G0139000.1 pep chromosome:AGI1.1:3:9905752:9906579:-1 gene:ORGLA03G0139000 transcript:ORGLA03G0139000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSAARLSRFAVVVLLLCLCAPFCKPEPDGDVPIDPLPGLPSRSLRCFDDGQVYSCCEGAYRLNPSGVIAVPPGEVDSYCGGACVVETEDVLNCVASALDGFRFFNGASVEDARYALRRGCSHTIKRGDFNDLEPQMGDYPDIYGDNSSDGGEDNAASPRLLAFLGAAAAAAWLLLFGR >ORGLA03G0138900.1 pep chromosome:AGI1.1:3:9882449:9888191:-1 gene:ORGLA03G0138900 transcript:ORGLA03G0138900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTTEEDEKLAGYIAKHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRAGVKRGNISNQEEDVIIKLHATLGNRKSYVVKRREYVCLGARDYCFQQNTHRWSLIASHLPGRTDNEIKNYWNSHLSRQIHTYRRTYTAPSEAAVTIDVTKLQAAGKRRGGRTAGQSRKGDKKRAEDDPPKETAAADTPLPESSPRRAQSDEARSGSVVVDPEEPSSQPNNGSSGGGGGTPDGPCSEETATGPTSLDPMEMGLWEAESEFAEMEALLCGGVAPDGPGIPGLEPLDVAAQADDLLDMDWDGFAADLWGDPAQRGGLVQDAGEPNGSMGCSSDELESFASWLLSDSC >ORGLA03G0138800.1 pep chromosome:AGI1.1:3:9870109:9871179:1 gene:ORGLA03G0138800 transcript:ORGLA03G0138800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDIDSSCSTPFASAPSSPGRSPATGGGYFFSAPASPIHHLLLSSSSVASGAVSGAGYGGVGDAEFEFGGPGGPMISADELFHNGQIRPLTLPPLPDLDPGSDDDDGGGGDFRPGPVRGRELTLRSGSVHRRTRSMSPLRGASPRLKLLNALVPAPDLGSEPTPSHSAGSEEATPPVTASSRSSSSSSTSSSSSSSSSARGSRRWVFLKDMLLHRSKSEPGGAHAHDAPAKPEKAWQFSPSWASSRDRIAAKLRAARSPLPSQPQSEASSDAGGGEEAQATRGRARGGKGRRRSSTVAAAHERLYAARNRAQAEEMRRRTFLPYRQGLLGCLGFSSRGYGALHGFTKTLNPVFSR >ORGLA03G0138700.1 pep chromosome:AGI1.1:3:9862477:9866358:1 gene:ORGLA03G0138700 transcript:ORGLA03G0138700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEKGGAKIGGGGGGGGGGGGGGLFNLFDWKRKSRKKLFSNSPEGAKLVKRGEETLPSGRLHLIDDDEGIGVSSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDAMPSTGVPEPYCTPFRDTRSFRDSQSLKRSPEYSGSDQFSYVPRRVDGYMRKPLDLRAQKMPSSPIERFQIETLPPRSAKPLPMSHHRLLSPIKNPGFSSARNAAQIMEAAAKILEPRPQVSSREKICSYSPARIPLRISETRENIPASQRAVSRQLQSSRTNLELPDVRFSRGQQMNRSWNSEDDIVIFRTSSDSYEINNPGFSKNNKGKSISLALQAKVNVQKREGLGSSGKNSGGQKDRDECRTSQPFRSQSNAQKNKQQKKPSSSGTSSPVLRQNNQKQNSMVSRGKSAPNKSVSSQQGRKMAGDSSTGKLKNASKISKGGSRKDIVESISCDKEGSSSNNKDFPQKKRLIERNSTNEKGMFVPEKSAARLQKQVQPNVVMDEHIKWNNDSKDSTDVVSFTFTSPLVKPSAGPSRLSGKWDTRSNFNLDAINEKDDSDKKSEGVGLNFVNGDALSLLLEKKLKELTSKIEPSINFTRGDTFVPANFSLEEPVVSSSSNWDMESGVFDCSPSEGKPSQYVDYCQSAQSSTKGQIFRGSKLQVEEPEECSSISNARKEQEHEDLSPLSVLEPTFLNESCWSSDCCSGSSDVFTGSKGYSSSSEVKNMPKNFLSNPPSVDAEAKTTDSVSSSSIDASDTSASIDASDISDITQCSKKSRNSELEYIGDVLGNVNLTKGGLGSLFISQDDVSVMDPHLFNKLESMNLYTQGKKNLDRRGYRKLLFDCVSECLETRRLTYFRAGYAAWSKGMAAMSRGIETEVCNEISGWRSMGEWVEDELVDKDMSSGLGTWVDFRVEEFETGEELEREILSSLVDEVIGDVFVRRRDGRSVNL >ORGLA03G0138600.1 pep chromosome:AGI1.1:3:9855802:9856446:1 gene:ORGLA03G0138600 transcript:ORGLA03G0138600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAAAAANPKQKQKQQKASIGRRAWRLLRLAVLWARKGSAVHSLRLLSNLRRAGVGLGVVGRGDRLGYGEREYSIEETPAFRFRTPSARVLRLIPCIAPAVPDTPGLYGDEDRYFFARRDTEPECGGGVGYYDYNGEPGECGGVDDESFRDGAMEEQLLELSMLEASAAAVTEDAGVDAKAEEFIAKFHAQMKLQRQISWLQYNEMMERSLR >ORGLA03G0138500.1 pep chromosome:AGI1.1:3:9849590:9849844:1 gene:ORGLA03G0138500 transcript:ORGLA03G0138500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKILQWHSVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCPLIWGSCNHAFHLHCILKWVNSQTSTPLCPMCRREWQFKG >ORGLA03G0138400.1 pep chromosome:AGI1.1:3:9846598:9847035:1 gene:ORGLA03G0138400 transcript:ORGLA03G0138400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADADYEYRAYGAPADHDRPYHGREVVPYGDRRIDVVVKPPGTTTTTTTRSPPPPLPVTKVGGGGGGMGSAWCFSDPEMKRRRRVASYKAYSVEGKVKSSLRRGFRWIKAKCSELIHGWYGSLLLPLSFSLDDSIITSKQALS >ORGLA03G0138300.1 pep chromosome:AGI1.1:3:9835891:9839099:1 gene:ORGLA03G0138300 transcript:ORGLA03G0138300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGEGAVPVGESGGRRRRRPGEDGGDDDDEEYVVEEDEEEECDEDLSASSAGEGGEGTDEEYEEGDEDEEEDETPRPRQPVKSRENGRKGKADPPVARSRRRKYEDDDDYSEEEDDGVDEYGEDLEEEEEEDDEVPRSKRMKKRGGRNVEGKLPLERSNRRRYEEDMDFDPDMDEEEEEEDVDFDPEVEDEEEEDFEDEEDDELEATKVRVKNMGRRKSALNQRRGKMKSSSKVASRKVDSVKARNAASIRRRQKKRSMLDRYEDDDFIVEDEVTADWQPRKKARIRKQMEVDPPTPVFEAEIWPTIDSDTTDFEFVTSDEEAAIAEPTRVIKKGRKKRVFVSDSSSDSEFVVSDKELGNLKESEPPESLKVLPSSPRKISVTGNGEHKGKEKKEPQEAGRATCGICLSEEQRVTVQGVLDCCSHYFCFACIMQWSKVESRCPLCKRRFTTITKSSKEDTGLELTNSVIRVEERDQQVYQPTEEEIRRWLDPYENVVCIECNQGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLDGEAHSYHNHVNGNSGMFGAISPIGTFERQGIDLNVSPREIPRGNHSVESQASTAGASTPSGRQTNATNFRRRQMHDWIRSLLSRPRTTLGPVMHHNGVHQSGFVPSTEPDHMNFCAPLESDTLHNTGSVPRSEPSQNFHVMSEANTSETSFGRHAALSERRQIYERFFMLLSRPSPTIRPDLCHNASEHGSSIPRVEPNHMNFHAPPVANSPQTLLDGIPNRSNGFSFTQAHSNFVDGNNFQGTEGV >ORGLA03G0138200.1 pep chromosome:AGI1.1:3:9831971:9832699:1 gene:ORGLA03G0138200 transcript:ORGLA03G0138200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGSSDSRKPAAHPPPRDFLVHVEAYLSRRDGVDKLLKISRYAARLALAAGPLPPAASARLKSFESSVGLSRKAFRLGKFVQNVNALRAHPHPPPAVALLAYGGEGVYYFLEQFVWLAKAGLLPAHLLPRLQRLSAWAELLGYVGSITIKLEEIGKLESSVKMRLKEGCREESDVVRTLRVKLLLKRMSVVQDVADAVMALGDVTDGKGLLGSSTLMASAGLLSALISAHKNWNSC >ORGLA03G0138100.1 pep chromosome:AGI1.1:3:9829989:9830753:1 gene:ORGLA03G0138100 transcript:ORGLA03G0138100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAGSPSSSSARKPASRPRLPRRDILVHVEAYLSRRDGVDNLLKVSLYAARLALALAAGQPPLPHAATARLRSFESSVGLSRKAFRLGKFVQSINALRTAAYHPHPHVHPLLVLLAYGGQGVYNFLEQFAWLAKAGLLPARLLPRRLHRIGVWAQLLAHVGSIAIKLEEVAELECGVEARLEEGCGEESEVVRTLSRKLLLKRMSLVQDMVDSAMTVGDVTGRKGLLGSSTLMASAGLLSALISVHKNWNSC >ORGLA03G0138000.1 pep chromosome:AGI1.1:3:9827372:9829139:1 gene:ORGLA03G0138000 transcript:ORGLA03G0138000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLHRIERMASSSLGVSNQITRANPNGFIVAKFYSRITEEINSTHAVAQFTAFSGPRELGPDSYTGRKKYNEGPSTCHRDAASAPTLSFPSSPFLSPFSPGRCGWRQLRRRSGEEMLKAFMPVAVYSLIVSFHTDSFRRASMLNMLGISAGVAVAVAAYGEARFDAFGVMLQLAAVAAEATWLVLIQILVTSKGKSLNPHYLALLYRAVLPRVLDAAMAKAAPPWLAANDVVTAVTAAGRRLPTPSSLVGLLPTLTSLRKEVRERRKGEKKGKSKGCDMETLTCRLIWFYA >ORGLA03G0137900.1 pep chromosome:AGI1.1:3:9814372:9820099:-1 gene:ORGLA03G0137900 transcript:ORGLA03G0137900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAALFSLSAAAVVEDVLRQHGCRLSDRDLASRRAEEAAARRNEAAGWLRRTVGAVAARDLPEEPSEEEFRLGLRNGQILCGALNRVHPGAVPKVVVNTAADSVLQPDGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNLKPSASGKSFVRKNSEPFRRCQSMNEGEVPYEEAGFSGDYHLDSGDMSTSRPLKMLVSAVLSDKRPDEVPQVKAALKNGTDGTKSFSKSKVLVEATPNSNEKKMDTIEVYSKHRQTKKEAYGEVTLKQYSMLQLQSKHVEELKADIRATKAGMEFMQMKYSEDINILGRHLFSLAHAASGYHIVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQVSSCAVGSIDEGNITIITPSKSGKEGRKTFSFNKVFGPSATQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALSDLFKLAEQRKGAFIYDIAVQMIEIYNEQVRDLLVNDGLNKRLEIRNNSQNGLNVPDASLVRVASTMDVMELMNVGQKNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIASLAQKSVHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDALGESISTLKFAERVSTVELGAARLNKESGEVKELKEQIARLKSSLAMKDSGSEQNINRDPEAFNMKMPSPGFSNRRQGSCELVSSQTNFRQPMEDVGNIEVRANPTLRQKKPSFDLQDLLASNDSPSWPDSISRANFQMGEERETIGGEWIDKVVVNNNNSVGDWEGDSAALPDFFYQRYHSGTRDKQYLRNNSRKKDGNEFEQQRPRFYSTNTDDSDDIDIATSDSSESDALWQFSVQSINSSISENGSKIKKPQTKLRESSDTRTPLHSQIPSASRKTSNGNRSGRQPLSGSDSRRLSSNGRHAVFVMRDCEVFLEYSNE >ORGLA03G0137800.1 pep chromosome:AGI1.1:3:9806090:9809076:-1 gene:ORGLA03G0137800 transcript:ORGLA03G0137800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAGEEGKAAPSLPLATLIGRELRGGGSERPLVRYGHFGFAKRGEDYFLVKPDCLRVPGDPSSAFSVFAVFDGHNGVSAAVFSKEHLLEHVMSAVPQGIGRDDWLQALPRALVAGFVKTDIDFQRKGEASGTTATLVVVDGFTVTVASVGDSRCILDTQGGVISLLTVDHRLEENVEERERVTASGGEVSRLNLCGGQEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSEAAAQACRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHSSTPPSLSPKKNQNKLRSLLFGRRSHSSVGKLGNKSASFDSVEELFEEGSAMLDERLGRNFPSKANSSPSRCAICQVDQAPFEDLVTDNGGGCCSAPSTPWVGPYLCSDCRKKKDAMEGKRSSRSTACR >ORGLA03G0137700.1 pep chromosome:AGI1.1:3:9801859:9804501:1 gene:ORGLA03G0137700 transcript:ORGLA03G0137700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRVLEVEEEVVDGDEDELASPEAKRRRTFINNSSMQEAIGAQYMQRHLPKLEPFLRRVVQEEVQNVLIRHIDSAHRLPLQLKTSSKRYKLQFQGNLPLTLFTGNRVEGENKQPLRIVLTDAVSNQTITSGPLSSMKVELLVLDGDFNADERLEYTEKEFSESIVFEREGKRPLLSGEVIIVLEKGVASIRDISFTDNSSWIRSRKFRLGARISRASSIEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADFGIHTVQDFLRNLVMDQYGLRSLLGSGMSNKMWEATVEHARECVLDDKLYSYCSGHGIILLFNCIHEVVGVIVGSHCFTLNALTPTQKALVVKLQQDAYKFPNRIVEFKVQSQCTSQSSPTTIQSQSVQMPASENAQILNLPQGAHCFPSGELPSSSQDCLLNPLQYQPLNEALEDVLQTAGGSHHQHQGGSGELPWIASSFGAGGFVDARDPFDVQFSGSQPCGLLLSSSGARL >ORGLA03G0137600.1 pep chromosome:AGI1.1:3:9797592:9799553:1 gene:ORGLA03G0137600 transcript:ORGLA03G0137600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLGSRVARARRWRGSSASSRRRGRPASPSTAAVLDPRRGCRRWRRCSARLHRASPMAAEVVVVATTHRARASSPLQKQIPDCRDAGDFSSLTWASTLEKPLESPSSCISDGRGGGFGSPTSAFPPEKLLISPPTCVSDNRGVGNGGGFPFLPWASSLERLLTSPSSCVSDSRGVGNADGFPSLPWASSLEKPLTSPSSCVSDGRSGGYSSPLGASAEREREVREAEMLLRAIAERYDDCFLRLRDAAAELSDLHRERLRLAAENLHLSLLLEELESEQRKQASAMAPPKLEEDEAAQGGAPKSISIRSPGYLSQKQPQGQARPQRLRVRASQAMEISHPNCLIFVMGNQCSPKEAAAAGDEEDEEDKGGGEVEVEAYRQGAAKTELCNKWERGACPYGARCRFAHGLQELRPVIRHPRYKTLPCQMFAAASGCPYGHRCHFRHSPLRAAAAESFCY >ORGLA03G0137500.1 pep chromosome:AGI1.1:3:9794754:9796489:1 gene:ORGLA03G0137500 transcript:ORGLA03G0137500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYSIFCGNFHQLRNSIGSCSSTSLLKCGLIYRTVLQNRGNKTRPISTTILARSTRKESKQSFKTSRHLHSESVESLIEVLKQSDLEHLKSLQCYNIPQKVSGVKTDWPATILVFDIETTGFSRRYERIIEFAVRDLMGGKNSTIQTLINPEREIKNAYVHGISSSMVCKPDIPRFREFIPILLQYVLSRQMADKPVLWVAHNGRSFDVPFLMYEFQRSKIEMPGDWLFVDTLPIARQLIDSDGEKLKSVSLDNLREHYKIPLAGSAHRAMQDVITLCYVLQKLTFELKLTVPQLLERSFRVSDLSTPRPGK >ORGLA03G0137400.1 pep chromosome:AGI1.1:3:9789491:9790050:-1 gene:ORGLA03G0137400 transcript:ORGLA03G0137400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERMAAGEAKTVATDTERKPTRTAPTVRRTTSAEEKTPPSVYRDENETERQIEGPKVNLFLWVDAEFISFRL >ORGLA03G0137300.1 pep chromosome:AGI1.1:3:9783700:9785715:1 gene:ORGLA03G0137300 transcript:ORGLA03G0137300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCIVVVFGVLVLVAEMAAAQGQVPSPTPAAAAAAPAPTPDCNGILLTYNFEGRAKIRPYVADRNKQPYSFRANATVLNSGTRPLKSWAMLVTFGYGEILVGVDGAVLTGGGEMPYNTTQDAGNATSFSGYPQTDLLTPIATAGDISQIQASVGIVGTLFAGPGPFVPLPTALSLDDPAYRCPQETNVSSGVLSTCCVLTPEAEANATVIDANATDPTKNFLPRGTGDLVITYDVLQAYPSSYLALVTLDNNAKLGRLDNWRLSWEWRRGEFIYSMKGAYPSEKDTTGCIYGAAGQYYQSLDFSQVLNCDKKPVILDLPLSRYNDTQIGKIDHCCRNGTILPKSMDETQSKSAFQMQVFKMPPDLNRTKLFPPANFKIAGASSLNPDYTCGQPVPVSPTEFPDPSGLDSTTLAIATWQVVCNITTSKGAKPKCCVTFSAYYNDSVIPCNTCACGCPSNQRGPTCSTTAQSMLLPPEALLVPFDNRTQKALAWAELKHYNVPKPMPCGDYCGVSINWHISTDYNKGWSARMTLFNWDNVDLANWFAAIVMDKAYDGFEKAYSFNSTSVGKNTIFMQGLEGLNYLVKQTNMSGSDYLVPGKQQSVLSFTKKLTPGINVVAGDGFPSKVFFNGDECAMPQRIPMSNSGFRTHLSSVLSLVLVLAASAFVLLQQ >ORGLA03G0137200.1 pep chromosome:AGI1.1:3:9776158:9776466:-1 gene:ORGLA03G0137200 transcript:ORGLA03G0137200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTCWPTASLVAAFPSPHSRSRRLTVSLAAAFPSPPHRLHSAFAGRPPPSPPSLLHHARLHNASDARAAPAGRPPPSLPPSLLRHAGSTAPPPPHGFSQRR >ORGLA03G0137100.1 pep chromosome:AGI1.1:3:9769024:9770130:1 gene:ORGLA03G0137100 transcript:ORGLA03G0137100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1PAH5] MLWAARVSGFFSAAMVMVVLSPSLQSFPPAEAIRSSQFDSHVRFPGQIAGGARGLAFRRAPAFRNAADCGNATGNVCDPSLVHIAITLDEEYLRGSVAAVHSVVQHATCPESVFFHFLVSDPALGDLVRAVFPQLQFKVYYFDPDRVRGLISTSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVVVDDVAKLWRTDLGGRTVGAPEYCHANFTKYFTDRFWSDKQFAGTFAGRRPCYFNTGVMVLDLARWRRTGYTRRIERWMEIQKSPAGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVFGSCRDLHPGPVSLLHWSGSGKPWARLGAGRPCPLDALWAPFDLYGPADSAAEGSR >ORGLA03G0137000.1 pep chromosome:AGI1.1:3:9761856:9762590:-1 gene:ORGLA03G0137000 transcript:ORGLA03G0137000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLDRIAEQLKALPLLSQVVEGHQHRLEELSKQIAVAAALVEDVKKEQAAAAASRAARIDGDSGGFFRLAKLKFPTFSGTFPRLWITKCTHYFEFYGMPMKMWVSWASMHMEGMAELWMMTYEKRHERDWGRFCEAVEERFGPYDHKQKLTALLDLRQEGIMTVSEYRDQFEERLYHAKLFDPVSSNCFDVALFIRGLREEIRDRMWQQTPATVDAAAQSALVQEALYNLVMQRAQRDPYKE >ORGLA03G0136900.1 pep chromosome:AGI1.1:3:9758302:9759265:-1 gene:ORGLA03G0136900 transcript:ORGLA03G0136900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G56300) TAIR;Acc:AT1G56300] MDASAGSGNASGGAGAGASACCYYSLLGIRKNASATDVRAAYRRLAMKWHPDRCVSDPGEANRRFQRIQEAYSVLSDKGKRAMYDAGLFDPLDDDDQDFSDFMQEMLVMMDNVKNEKPDTLEDLQKMLQDIVSGDGGSRGGGVGGRVPSDGTRRTRVAPYPAQSRR >ORGLA03G0136800.1 pep chromosome:AGI1.1:3:9753039:9754736:-1 gene:ORGLA03G0136800 transcript:ORGLA03G0136800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1PAH2] MSKGAIIGASTVLVVAVVAAVCVVSFKNGSSNAKEDGELSTSVKSIKSFCQPVDYRETCETTLEQTAGNATNPTDLAKAIFKATSERIEKAVRESAVLNDLKNDPRTSDALKDCEELLDYAIDDLKTTFDKLGGFQTSNFKRAVDDVKTWLSSALTYQETCLDGFENSTSTEASEKMRKALKSSQELTENILAIVDQFADTLANLDITGFSRRLLGDDGVPVWMSNAKRRLLEATPGSKEFKPDVTVAADGSGDFKTINEALAKVPVKSTGTYVMYVKAGTYKEYVSVARNVTNLVMIGDGATKTIITGNKSFMLNITTKDTATMEAIGNGFFMRGIGVENTAGSKNHQAVALRVQSDQSAFYECQFDGHQDTLYTHTSRQYYRDCTITGTIDFIFGNAQVVLQNCRIQVRRCMDNQQNIVTAQGRKEKHSAGGTVIHNCTIEPHEDFKADAAKFKTFLGRPWKEYSRTLYIQSDIGGFIDPQGWLPWLGDFGLNTCYYAEVENRGDGADMSKRAKWRGVKTVTYQQAQQKYTVERFIQGQTWLPKFGVPFIPGLLPQEQSGRIH >ORGLA03G0136700.1 pep chromosome:AGI1.1:3:9750365:9751017:1 gene:ORGLA03G0136700 transcript:ORGLA03G0136700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTHEIESPVAAPRLFRAAVMDWHTLAPKIASHIVASAHPVDGDGSVGSVRQFNFTSAMPFSHMKERLEFLDVDKCECKSTLVEGGGIGKAIETATSHIKVEPAANGGSVVKVESTYKLLPGVEVKDEITKAKESLTGIFKTAEAYLIANPDAYN >ORGLA03G0136600.1 pep chromosome:AGI1.1:3:9744345:9749612:1 gene:ORGLA03G0136600 transcript:ORGLA03G0136600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G11240) TAIR;Acc:AT5G11240] MAPAAATIRDLLTSFSPAADFLALSSGDGRIKVWDAVRGHLQTEFADIPPVEVGGGAPAPGAKRGHLALDYTCMKWVQLSSKVRRSGRQGVRCWCLALAVEMSFALDVAAGQWKWRVTDCHPGGVTAVAYSKHGRSLYTGGTDGMVCRINASDGSVVEKFKSSSKAISALAVSPDGEILATAAGQLRTFDASDNKKIQKFSGHPVAVRSMVFSGDNQYVLSSGVGERYVAIWKLGSGKTQSSSCILSMEHPAIFVDCKCSDINDTEGEIHVLAISEIGVCYFWSGTNMDDLRNKKPTKIALSDSSLSRSKQGFAIFAAKLQGIDGPNSAHVLLAYGSVVKPSFDKLLVRYGMDISLGVSDDGVLLPMIQPTKPQKGQSAKKQGIITALDHANAEDSILPLPQLHTQEKKRKHNATESSGDIQSAPHSDLSSTKLIEKRAPVQRIEDDSVCIEDMMRKCGVIDSRVDQGMEGHPSIPTSILSDLFGSSSKIDANLPNKKIRAHLRSLKPGDACELLEKLVSSWKTRSGSAEVVLRWIYCLLIIHGRFIPFEKSKKIISDLEKMCGERYKAAEDLLKLSGRLRLLMAQIVKDPNDVSELPSEEMQDSAAAQSDEEEEDDEIDETVYGEDADSSQTSDDDAE >ORGLA03G0136500.1 pep chromosome:AGI1.1:3:9740992:9744089:-1 gene:ORGLA03G0136500 transcript:ORGLA03G0136500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (MYND type) family protein / programmed cell death 2 C-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G02220) TAIR;Acc:AT4G02220] WSPTPRSFVVSPSPRWMRKTTSPNCPTDPHPPAAAAEATARATRTTTRRRRKRRRXRLVFWRSRSTRASSSATCSLARPEASQRGWILXTCHRGTRVAAASAASPCSSSSRYMHRLRTMRHHFTALCSCSCARQWHACSETSTTSGNIDKAIRVEAXRFSGASFPVVMPSTQVNHQSTMILTSHYVQELLSAIWCGTWKGDKICSSCKKARYCSEKHQTLHWRSGHKSDCLQLISSSEASSSIFPAVGKVPASKSWPEYEIAIDYEGAFDSDSCDESNSKSLVMQRPGKPDDMMQSWMDQFEADADNKCWASFQERVSRAPKQVLRYCREENAKPLWALSAGCPSNADIPSCSYCRGPLCYEFQIMPQLLYYFGVKNEPDSLDWATIVVYTCKGSCDQNVSYKEEFAWVQLYPTTTTRS >ORGLA03G0136400.1 pep chromosome:AGI1.1:3:9735646:9736992:-1 gene:ORGLA03G0136400 transcript:ORGLA03G0136400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAERVVGERRMREIQRFARNAKLTVVCLLLTVVVLRGTVGAGKFGTPQQDLIELRHRFISHPHRALAEHHDALSRGGGSSSSSGRAAQRDDEPDPPPRTLRDPPYTLGPKISDWDEQRAAWHRRHPETPPFVNDVKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKMDYCRVHGLEIFYNMALLDAEMAGFWAKLPLLRALLLAHPEIEFLWWMDSDAMFSDMAFELPWERYGPYNLIMHGWDEMVYDDKNWIGLNTGSFLLRNCQWSLDFLDTWAPMGPKGPVRIEAGKVLTKYLKDRPVFEADDQSAMVYILATEREKWGDKVYLENGYYLHGYWGILVDRYEEMLENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMERAFNFGDNQILQMYGFTHKSLGSRKVKRIRNETSNPLDVKDELGLLHPAFKAMKTTST >ORGLA03G0136300.1 pep chromosome:AGI1.1:3:9730905:9733893:1 gene:ORGLA03G0136300 transcript:ORGLA03G0136300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33680) TAIR;Acc:AT4G33680] MAASPAAAAAAATVSSFVSPSSFSSVKASKPDRLRPARRAAAVNVRCVSSPPATETSFKTKVPRNANMAKLQAGYLFPEIARRRAAHLLKFPDAKIISLGIGDTTEPIPDVITNAMAERAHALSTVDGYSGYGAEQGEKKLRAAIAATYYADLGIEETDIFVSDGAKCDISRLQVLFGSNVKIAVQDPSYPAYVDSSVIMGQTGLYQEDVQKYGNIEYMKCSPENGFFPDLSSVPRTDIIFFCSPNNPTGAAASRDQLTKLVKFAKDNGSIIVYDSAYAMYISDDNPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTCFNGASNISQAGGLGCLSPEGLKAMSDVVGFYKENTKIIVDTFTSLGFNVYGAKNAPYVWVHFPGRNSWDVFAEILEKAHVVTTPGSGFGPGGEGFVRVSAFGHRENIIEAARRLKQLYK >ORGLA03G0136200.1 pep chromosome:AGI1.1:3:9714221:9718992:-1 gene:ORGLA03G0136200 transcript:ORGLA03G0136200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGAQLQALVLSARKPHAVLKSPSARCCLEQEPGADMVPDGGEGHEIVEVAGEPGAPSSTMRLMDFIPIYIPTVETGALSRSVRKRRFLDFLRAHPSRDWFLRSTFVGRLRRRGQGQAASGDDEEVDSGGGRRRPRRRFRVPFVRKIKWGKLWSYAVSWCRKPENFAMIIWLAFVAAGLLMLFMLMTGMLDSAIPDDEQRKKWTEVINQILNALFTIMCLYQHPKIFHHLVLLLRWRPGAGADREEIRKVYCKDGAPRPHDRAHMLVVVVLLHATCLAQYFCCALFWSYARKERPDWALNIGYGLGTGCPVIAGLYAAYGPLGGKQHEDSDEESAAAQAGGGNRPAENDREVEIKIYNRRVVVSSPEWSGGLFDCCDDGTVCALSATCTFCVFGWNMERLGFGNMYVHAFTFILLCVAPFLIFSVTALNVHDDDIRDTVVSVGVLLGLCGFLYGGFWRTQMRKRYKLPASGCGCGCECGAGGQGHACRAAVSDCAKWLFCWSCALAQEVRTANFYDVEDDRFVFHGARNEDGRAVLVPLPREASTATAHSRSMSCPPKIDAVAALSGASPLGVQMAAINMERSATYSGEHHPAAMRPPVPPLMQMDQE >ORGLA03G0136100.1 pep chromosome:AGI1.1:3:9707376:9708092:1 gene:ORGLA03G0136100 transcript:ORGLA03G0136100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVTFKRRALIAGGDDCRSWSCDLKDKIFFLCWCRTRWSAPCADGGCLGSPDGATSYSGGGGPGSLGAGALCGGSGLEISGDVAPSDNLGSPSVAALCGSLQTPGAVASCDSLGALRTTAPCAGDTLSCCFSATVKTLCGCDILFLQSKGYFFVGSLLLFICCGPLXFSNPAVILGLLQRQKFYRNHMISHVMWTTCSTESCFLLLQNNSIHAGSIIRVEXSLLLRSNERLHGTNLL >ORGLA03G0136000.1 pep chromosome:AGI1.1:3:9700232:9700614:-1 gene:ORGLA03G0136000 transcript:ORGLA03G0136000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCMMLAIPSQSFGTAVQFTSLDTLRPRTLHADCTKSDVQVPFGRISHK >ORGLA03G0135900.1 pep chromosome:AGI1.1:3:9691785:9692937:1 gene:ORGLA03G0135900 transcript:ORGLA03G0135900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTLASYAQDLAMPAAALDLLPDKAHQPSMAPSLHAWDSPNGAPTPMPKRLEGKVAIVTGGARGIGEAIVRLFVKHGAQGGDRGHRRRGGRGAGGGAGAARRVRAVRRVGEGGRGARRRARRGAVRAAGRAVQQRRGAGPPDARRQEHPVVRRRGVRPRAPRQRAGRRARHEARGARHDPAPRRQHHLRRQRRRRARRPRPARLHRLQARHRGAHQERRLRARRPRHPRQLHLPLRRRHPDAHQRLAPGPRRLHRRRRRRRHRPRHRRAQRPGGGEDGGGGQGPRHAQGRDAETQGHRRGGALPRQRRLQIHFRPQPRRRRRRHHLQKPNWPLTLLLPLDECDSLEHN >ORGLA03G0135800.1 pep chromosome:AGI1.1:3:9676691:9677080:-1 gene:ORGLA03G0135800 transcript:ORGLA03G0135800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGARRSIQGSGKGVPLSHTSSIVIPVGSASSPSEGISFSAVDSSVASFTYFEVTTMPGSSSSHSSLVMLASNLGDNDSDSCSMSLLFAVPWFGNAEFDCNCQDMGNPVPWRNGCRRGGPCRRGGAVGG >ORGLA03G0135700.1 pep chromosome:AGI1.1:3:9670649:9674550:-1 gene:ORGLA03G0135700 transcript:ORGLA03G0135700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDIPPNQTVYLRNLNEKVKKEELKRSLYALCSQYGRILDVVALKTPKLRGQAWVVFSEITAATNAFRGLQEFDFYGKRMRVQYAKTRSDCLATEDGSTAPKEKRKKQEEKAAEKKRRAEEAQQSGPNAAAQSNGTGYQASRLGKTSQEPPAPPNNILFIQNLPAETTSMMLQILFQQYPGFREVRMIEAKPGIAFVEYEDDSQSMVAMQALQGFKITPYNPMAISYAKK >ORGLA03G0135600.1 pep chromosome:AGI1.1:3:9663141:9668805:-1 gene:ORGLA03G0135600 transcript:ORGLA03G0135600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRHEVGNEYSLGGRELYRRANQLDPEAVLDGVAMAGLVGVLRQLGDLAEFAAQVFHGLYDEVMTASARGHGLMLRVQQLEAELPLVEKDSCHTDYLYVASNRGIDWHSNPRLESGVVTKGDTPRFIMDSIKKCHGPPKLFMLDKYDIGGEGACLKRYTDPSFFKTDSACSSMLEQGIQRERRPLRAMEIRPTLQNSEIFRPPNAANNDSKLETDLSGEALDEVPTRRRQLKYRQLNGSVFRSFRPQMQNLYGETSPDEKPCSMNHPEVQISFTDSPDTNTEERDVMVDTFSSMDKGKENNYVMAGKNRLISEEALSRSSDARSAGSSKGYNSEVDIYVDALTTMDSEVETDTEHRDHGHRALVPVESSKPCSDVHGAAVSGSISFRNNGSMVPNSSDVVPAEEENNDHHQGYVCVPSPQAKPVAGEHERSSSLEELFAQEKPVSCEHERTSSLEELLLGDVHPSGHNMRSSATESNTNGVVSTVESNGTVGTTKKEKDNPIIAGISFKKTASKKSKYVGSMELIVSKVGILPRKLSKKHDPFSDSLRNMAKQLLELKIDGTKDTELYEFEANGEGCDMKCLEMSHPPIEIMGSATQSVPPDSPQDNVDSRACRPEEVNQEYDHDVPPSDSPQDSVDGNVFRDIALLRSQEEQQCAGPVTVDNLLDHTPENTQDQIGEHFYREVTEDIHTEVVPENGPDIGEELEEGSITEEKVNEEDVEESNESDAYTLDENTEYIEEQVVSDDLISSPISSKQSDDPCQMAALTLTDADDALAGTITESNVSTVVVESATTNDVAVQYNEQCCLHPETSFAQDLTHVSSCEVEGQNEPLPLCSSSMVGTTPDLSVDTEEIHENPILCIDSSSDLFRDALAPDSRDVPLPNISSFDWMLNGAMQKSLNVLPAKPPNGILQENNSSKDTEDAPPPLPPLPPMQWRTTKLQPGPAVLSAKFGRPPRPKPPVKYQENESYSSQDERNREPEILQEASLQNGSTSVTPEKEMVVAKVSNEIQTNIMFGRDSQESHLKGLNEYDVQASDSFSTSEFKSVGGVASVEGDNLETSQLSELIVIPEEAWSELVDIKSISGQEKGRKRQLSTGVFNCNGMHTTGLSAEKRDDYKIYDQNEKNLLAGKGNAIADSEEKEPNGVTCQDDTKNSDLLVQQEDGQHDSSAGTARESSSSFEEEVAKFSPPRVPTPPKYPLFQVTAHDRSMLKKAPTLVQPSVKLSDENNTILEQIKNKSFNLKPVLAKRPNMMGAPRTNLQVVAILERANAIRQAVADDDDEDSWSD >ORGLA03G0135500.1 pep chromosome:AGI1.1:3:9659793:9661779:-1 gene:ORGLA03G0135500 transcript:ORGLA03G0135500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPTASPPSAPRSAPPPAASDPAPPKAARRGSRPPLRWIRPGTPPAPAPAPGARRCGIWRRRAGCRRTTGCRSPRWCWTARGDGSRTRSRRPAPATPPCRCSSARCTAAATASTRTSTRLKFGRRKHHDIDLQSGKLATNAQDTMLVTQIQMIPRKQANNHMLLER >ORGLA03G0135400.1 pep chromosome:AGI1.1:3:9653789:9657197:1 gene:ORGLA03G0135400 transcript:ORGLA03G0135400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGLPGDRKPGDGGAGDKKDRKFEPPAAPSRVGRKQRKQKGPEAAARLPAVAPLSKCRLRLLKLERVKDYLLMEEEFVVSQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQQIHTSKMTLADDVNLEEFVMTKDEFCGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >ORGLA03G0135300.1 pep chromosome:AGI1.1:3:9648287:9650343:1 gene:ORGLA03G0135300 transcript:ORGLA03G0135300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1PAF7] MAMVPADADVAAKPPPDVEKPDNSSQNGAPNSAAAAAGGGGGGVVDSVVARWRREDMLDKSPLALHAAAAAFAFVALVLVASNQHGDWMEFDRYQEYRYLLAIAALAFAYSLAQALRHALRMRRGVDPVPTASGRLLDFASDQVVAYLLMSALSAATPITNRMRSAVINRFTDTTAAAISMAFLAFVCLALSAIVSGYKLSKQTYM >ORGLA03G0135200.1 pep chromosome:AGI1.1:3:9641775:9642323:1 gene:ORGLA03G0135200 transcript:ORGLA03G0135200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGSFDVVRIVHLNGHIEEYARPVTAGEVVAAHPSHVLSRPCSQGGARRILIVSPESELKRGCFYFLVPASSVPEKKIKRKPSSSSAPPRPRSQQKKAPRPPPTPTPTPETSVLARDATVAAKNGGDSYLAEVLAEGKATGCKRRRSVRAAVWRPHLQIIAEEEDAAHE >ORGLA03G0135100.1 pep chromosome:AGI1.1:3:9634719:9637302:1 gene:ORGLA03G0135100 transcript:ORGLA03G0135100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCFFSAAALFLLCFLLPAAVAEERFYEFVVQETLVKRLCNTQKIITVNGQFPGPTIEVYDGDTVAIRAVNMARYNVTLHWHGLRQLRNGWADGPEFVTQCPIRPGGSYTYRFAIQGQEGTLWWHAHSSWLRATVHGALLIRPRPGVPYPFPKPHSEFPIILAEWWRRDPIAVLRQSMITGAPPNVSDAILINGQPGDFLECSAQVPDLVKPTKATFGLEKSIPTVVYSIGRRDERCIVLFRCLQRDKMKRQRFSRLLTMHAVAVTETSIIPVAAGETTLLRIINAAMNTELFVSLAGHKMTVVAADSMYTKPFETTVVLLGPGQTTDVLVTAHAAPGRYYLAARAYASAQGVPFDNTTATAIFQYKGGAGCPTTAGGAGAAGAVAGAGVGAGAAGGAGAVAGAGAGTFNGSLGRSKYSGGNPGRAGPAPMLPYLPAYNDTNTATAFSNSIRSPAPVKVPGPVTQEVFTTVGFGLFNCMPGPFCQGPNNTRFGASMNNVSFQLPNTVSLLQAHYHHIPGVFTDDFPPMPPVFFDFTSQNVPRALWQPVKGTKLYRVRYGAVVQIVFQDTGIFAAEEHPMHIHGYHFYVLATGFGNYDPVRDAHKFNLVDPPSRNTIGVPVGGWAVVRFVADNPGVWLVHCHIDAHLTGGLGMALLVEDGEAELEATMAPPLDLPLCAL >ORGLA03G0135000.1 pep chromosome:AGI1.1:3:9629188:9631672:1 gene:ORGLA03G0135000 transcript:ORGLA03G0135000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inflorescence meristem receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT3G51740) TAIR;Acc:AT3G51740] MAAVPAGGQPSDGVVIAQADLQGLQAIRQALVDPRGFLRGWNGTGLDACSGGWAGIKCAQGKVVAIQLPFKGLAGALSDKVGQLTALRKLSLHDNALGGQLPASLGFLPELRGVYLFNNRFAGAVPPQLGGCALLQTLDLSGNFLSGAVPASLANATRLLRLNLAYNNLTGAVPSSLTSLPFLVSLQLSSNNLSGEVPPTIGNLRMLHELSLSYNLISGSIPDGIGSLSRLHSLDLSNNLLSGSLPASLCNLTSLVELKLDGNDIGGHIPDAIDGLKNLTKLSLRRNVLDGEIPATVGNISALSLLDVSENNLTGGIPESLSGLNNLTSFNVSYNNLSGPVPVALSSKFNASSFAGNIQLCGYNGSAICTSISSPTTMASPPVPLSQRPTRKLNKRELIFAVGGICLLFLLLFCCVLLFWRKDKQESESPKKGAKDATAKAAAGKSGGGGGGSGGAGGDGGGKLVHFDGPLSFTADDLLCATAEILGKSTYGTVYKATMENGTFVAVKRLREKIAKNQKEFEAEVNALGKLRHPNLLALRAYYLGPKGEKLLVFDFMTKGNLTSFLHARAPDNPVNWPTRMNIAMGVARGLHHLHAEASIVHGNLTSNNILLDEGNDARIADCGLSRLMNATANSNVIAAAGALGYRAPELSKLKKANAKTDIYSLGMIMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLELMKDAAAAGSETGEELVKTLKLALHCVDPSPAARPEAQQVLRQLEQIKPSVAVSASSSFTGEPSQTTATATTITDDTKSTITE >ORGLA03G0134900.1 pep chromosome:AGI1.1:3:9622468:9625040:1 gene:ORGLA03G0134900 transcript:ORGLA03G0134900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62350) TAIR;Acc:AT1G62350] MLRRLLPSCHHCVLLQTLPPAATAAREILRRRQCSSVVSSSPSLSIWRRKKEMGKEGLMVVGQLKRLAALPPAGGSPRLEQFMRSHVSRLLRNDLLAVLAELLRQDHVLLSMKIYSVVRKEIWYRPDMYFYRDMLYMLARNKKIEETRQVWADLKSEDVLFDQHTYGDIVRAFCDAGLIDLAMEFYEDMRSSPDPPLSLPFRVILKGLVPYPDLREKIKQDFLELFPDMIVYDPPDSLSDVDDEFKF >ORGLA03G0134800.1 pep chromosome:AGI1.1:3:9610825:9611514:-1 gene:ORGLA03G0134800 transcript:ORGLA03G0134800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIPASSPGIPHQHQHQHHRALAGVGMAVGCAAEAAVAAAGVAGTRCGAHDGEVPVEVARHHEHAEPGSGRCCSAVVQHVAAPAAAVWSVVRRFDQPQAYKRFVRSCALLAGDGGVGTLREVRVVSGLPAASSRERLEILDDESHVLSFRVVGGEHRLKNYLSVTTVHPSPSAPTAATVVVESYVVDVPPGNTPEDTRVFVDTIVKCNLQSLAKTAEKLAAGARAAGS >ORGLA03G0134700.1 pep chromosome:AGI1.1:3:9592729:9595855:-1 gene:ORGLA03G0134700 transcript:ORGLA03G0134700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics;transferases;[acyl-carrier-protein] S-malonyltransferases;binding [Source:Projected from Arabidopsis thaliana (AT2G30200) TAIR;Acc:AT2G30200] MLRCPPPRRLCLRLRLRLGSPVSTMASTLTFLRPSAPAPLAASRGAARGVPAAVRVPCRSRVSAAGVSLGSEVAVGSDALFADYKPTTAFLFPGQGAQTVGMVAEAVNVPAAAKLFDKANDILGYDLLDFCTNGPKEKLDSTVISQPAIYVTSLAAVEVLRSRDGGQNVIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAASSAMVSVIGLDSEKVQQLCDAANEEVDEKERVQIANFLCPGNYAVSGGVKGIEAVEAKAKSFKARMTVRLAVAGAFHTSFMQPAVSRLESALAETEIKTPRIPVISNVDASPHSDPDTIKKILARQVTSPVQWESTVKTLMGKGLEKSYELGPGKVIAGILKRIDKGASIENIGA >ORGLA03G0134600.1 pep chromosome:AGI1.1:3:9589788:9591449:1 gene:ORGLA03G0134600 transcript:ORGLA03G0134600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHIRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDCILEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPMTETA >ORGLA03G0134500.1 pep chromosome:AGI1.1:3:9585540:9587173:1 gene:ORGLA03G0134500 transcript:ORGLA03G0134500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKQDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPMTETA >ORGLA03G0134400.1 pep chromosome:AGI1.1:3:9583601:9584221:-1 gene:ORGLA03G0134400 transcript:ORGLA03G0134400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIMNKLGGYWLGQKANKEISSAGDDIESLSTSVGEGAKWLVNKLKGKMQKPLQELLREHDLPEGLFPREATNYEFSPETRRLTVHIPAACEVGYRDGSVLRFDATVSGTLEKGRLAEVEGLKTKVLVWARVTAVRADAAKVHFTAGIRKSRSRDAYEVVRGGIIVEEF >ORGLA03G0134300.1 pep chromosome:AGI1.1:3:9579799:9582223:1 gene:ORGLA03G0134300 transcript:ORGLA03G0134300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADYRTPDRLLSAAAPGEEQAQDPPKPVLAVAATHDGLRFWQYMLAGSVAGVVEHTAMFPVDTLKTHMQAGAPPCRPVLSLGAALRAAVSGEGGVRALYRGLPAMALGAGPAHAVYFSVYEFAKSRLSERLGPNNPVAHAASGVLATIASDAVFTPMDTVKQRLQLTSSPYTGVSHCVRTVLRDEGLGAFFASYRTTVVMNAPYTAVHFATYEAAKRMLGDMATNEDSLAVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCERFSSSSIGDVFRTIIKRDGYAGLMRGWKPRMLFHAPAAAICWSTYEASKSFFERFNEKRRK >ORGLA03G0134200.1 pep chromosome:AGI1.1:3:9573186:9577024:1 gene:ORGLA03G0134200 transcript:ORGLA03G0134200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Autophagy-related protein 27 (InterPro:IPR018939); Has 138 Blast hits to 13 /.../eins in 57 species: Archae - 0; Bacteria - 0; Metazoa - 32; Fungi - 62; Plants - 33; Viruses - 0; Other Eukaryotes - 11 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G40316) TAIR;Acc:AT2G40316] MPSSRGQRPPPLQLAVACGFVHLICLAAVAGGGEPCEFSVARGGKLYSFSLASPTTAHRHGVLSEDGFYKVAVNNSVLWFQLCDQMIFNFDPPVCLNCEDCGGPQRCGTQCSALVSNNRGGYDVCTTIGRVSKSHISLIDDINPQKGVVVKMFSSKCSISVYIYCDSTVAHVSDQFVLSGSCDYATTLRHPSGCAQSMSASGNGWGWLATSFVTILCLLGGYILIGSIYRYYFLGIHSVEAIPNLEFWISLPQTIKSMLLPAARGHNRQSRDTYAPVDH >ORGLA03G0134100.1 pep chromosome:AGI1.1:3:9571841:9572296:1 gene:ORGLA03G0134100 transcript:ORGLA03G0134100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1278) [Source:Projected from Arabidopsis thaliana (AT1G76750) TAIR;Acc:AT1G76750] MACSGSFLPIMLLPLLLAGAAVAGGAPPGLGLAQRLADGVGQQQQQCWEVLMEIKSCTGEILLFFINGEAYLGPGCCRAIRVIEQSCWATDAMLSVIGFTPEEGDMLKGYCDAGDEHKPSPPPASPAVGYVAVGENAAAPAGRKSLELQHR >ORGLA03G0134000.1 pep chromosome:AGI1.1:3:9568388:9571080:1 gene:ORGLA03G0134000 transcript:ORGLA03G0134000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT5G58480) TAIR;Acc:AT5G58480] MRPSRRRHPLPPLAPGLLLLLLLHDLAPPPAAAAVGVNWGFSSSHPLPAAQVVRGLLLPNSVPRVRLAAASPDALAALSGTGVAVTVGVPNELLRPLATSRKAAAAWVHDNVARYASGVRFEYVAVGDESFLLNHGQQNQSFLVSAAANIQRALVDAKLSNKMKVVVPCSSDVYLNTSALPSKAYFRPEVNETIAELLSFLANHSSPFMVELNPFSSFQHKKNLSLDYYLFQLMSHPVSDGHIKYDNYFDASIDALVTSLTKAGFSNMDIIVGRVGWPSDGAVNATPAIAQSFMTGLVNHLARKSGTPLRPKVPPIETYLFSLLDEDQRSIASGSYERHHGIFTFDGQAKYYVNLGQGSKALENAPDVQYLPSKWCVLDNNKDISNVSSSFSAACSNADCTALSPGGSCSGIGWPGNVSYAFNNFYQQHDQSEEGCSFNGLGLITTVDPSVDNCMFNLAIDTSTAASFHPILAMLQILVLFFCTYNLL >ORGLA03G0133900.1 pep chromosome:AGI1.1:3:9564693:9567417:1 gene:ORGLA03G0133900 transcript:ORGLA03G0133900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEPRFPEVDAAVMIQVKHIADMGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRQEPAIVLRVDRDKGYIDLSKRRVSEEEAHACEDRYNKSKLVHSIMRHVAETLGIDLEPLYQRIGWPLYRKYGHAFEAFKLIVADPDAILDSLTYEEKETGADGQEVTKVVPAVTPEVKDALVKNIRRRMTPQPLKIRADVEMKCFQFDGVLHIKQAMRKAEAAGNDNCPVKIKLVAPPLYVLTTQTLDKDQGISVLTDAIKACTAEIEKHKGKLIVKEAPRAVSEREDKLFNEHIETLNEQNAEVDGDADSEEEEDTGMGDIDLTNSGVNAD >ORGLA03G0133800.1 pep chromosome:AGI1.1:3:9561059:9564052:-1 gene:ORGLA03G0133800 transcript:ORGLA03G0133800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEPAAGADGASASQAAVVEPIRLPTPEEIKGQDIWNNCAVRSVVSGVMGGGLGVLMGLFFGALENPITAEEMTARQQIVYQAKQMGRRSISNAKTFAVMGLIFSAAECVIEKARAKHDTTNSAVAGCVTGGALAAKGGPKATCVGCVGFATFSVMIEKFLDRHS >ORGLA03G0133700.1 pep chromosome:AGI1.1:3:9558694:9559633:1 gene:ORGLA03G0133700 transcript:ORGLA03G0133700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVGEEERREQQQEETMSMAQGKERGGEEDAGGGGESGFLTTMASKIGAAVSGADGSGGAEEEGGEGDGDVNVGGGVETDGDGGFLTTMASKIGAAMSGGNGNGRAEEEEGGERNGDENVVAASGGGEEERKRKRDCNGGGGIFSKLMSGSPDSLPASVEAEENEREGGDQGGEKAGILSTVASKIGIAMSGADGRENHGNEDDAKIRNGNAADHGKAEEKRDEPNGGGIVKQIMSNLPAGTVHHCQSLAAICTCSDHKTDLQQVLCFRQILFL >ORGLA03G0133600.1 pep chromosome:AGI1.1:3:9554695:9556963:-1 gene:ORGLA03G0133600 transcript:ORGLA03G0133600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G28470) TAIR;Acc:AT3G28470] MGRPPCCDKANVKKGPWTAEEDAKLLAYTSTHGTGNWTSVPQRAGLKRCGKSCRLRYTNYLRPNLKHENFTQEEEELIVTLHAMLGSRWSLIANQLPGRTDNDVKNYWNTKLSKKLRQRGIDPITHRPIADLMQSIGTLAIRPPPAAGAAPPPCLPVFHDAPYFAALQHQHQQQQVVTHVDADAPASPDSQHLQLNWSDFLADDAAGHGADAPAPQAALGQYQEGSAPAATAVVGGGRAFGDVDGASAGVGAGTDDGAGAASAFIDAILDCDKEMGVDQLIAEMLADPAYYGGGGGSSSSELGWGC >ORGLA03G0133500.1 pep chromosome:AGI1.1:3:9551547:9553151:-1 gene:ORGLA03G0133500 transcript:ORGLA03G0133500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNPLRRWKPFLAAFASVDGAIEAADPGGLSRDEFRRARGRIVEMLRGAEGEAEAEGLCLVLDDVMAESLLTLRLVPVTARTLATTDLAGIVGALRRHESERIRGLATDIVRGWRVAVRRELVRIGIAMEKLSQTPERIEADRRVRASSDLDTKVKHATPTSLPKRIVIEADQRVRASPDLDMKVKHASPVPPFKKKATADCSSRVDLAKTSQPSLTKTSAPPVVAGARVKAPDMGSATKANPPKKLPAVTGRAGGRRDGIKPYHIDGEKLTVAAKRLDVYQEAEEAQKRHKSADMGAAAKPKDPALPPKKSPAVVACAGRRESIELRNDDEKIAAAKWKLHEGYREAEEAKKRRKMADMGAAAKPKEPALPPKKLPAVVASAGRREGIELRNDDEEKIAAAKRKLHEGYREAEEAKKRRKMADMGAAAKPKEPALPPKKSPAVVASAGRREGIELRNEDEKIAAAKRKLREGYQEAEEAKKRRKIHVIEDPEILKQRQKKMHPILSLRSRASHASSMAEKSSLMSSLGRL >ORGLA03G0133400.1 pep chromosome:AGI1.1:3:9547635:9550903:-1 gene:ORGLA03G0133400 transcript:ORGLA03G0133400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07080) TAIR;Acc:AT1G07080] MAAGPRGRLLLVALVSAAAVVAGALLPGCAAAAAAGEKVPLALYYETLCPYCSRFIVNHLAGIFEDGIVDAVDLRLVPYGNAHVVGANNTISCQHGSEECFLNTVEACAIDAWPDLRVHFRFIYCVEDLVVNHKQREWESCFGKLNLDPKPVTDCYKGERGHQQLSLKYGRQTDALQPPHKYVPWVVVDGQPLYEDYENFEAYICKAYKGHPPKVCEGLARPPTPTVLEVAEAGNRVSYYDSGDIRLKPDEDGHAKIKKVVPDDDD >ORGLA03G0133300.1 pep chromosome:AGI1.1:3:9536990:9546678:1 gene:ORGLA03G0133300 transcript:ORGLA03G0133300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAASSSSSKYAKHRRIGEEEEEEEEEAGEAEEELERFDDFTIASSWERFISEIEAICRQWLADGPNILTQKGAESVPSFDNLYVVKRELKHGKRVYCMEYHFMKSAKGKHSYWDDDTHSMQLSFGVYEFLIIAPLSASGVVLDDPESTKLLSSVAIALSNCGSNWPAFVPVHDPSRKAYIGIQNLGTVFTRRFEADRIGSQVPIRLMHLEGLHELFLSKFVLSSTDFPARVKVIFSMKLTYRTPEYDYYNEETLVSEANESIAESEAANHPKKQWDDDCSWAEWYSAEDPVKGFELTAIWGERVFEETLEMAEVENASSFDADRWLLHPIVSPYMVDDSIGKFVGFASQLQLLVKAFESSAEAQFLEDFVAADTSGQENSKSTVTVPPPSVVDRVMKDLFNDEVGNSNYVEAENKYGRAMKGAPSDSLFAQFCLHALWFGNCHIRAIAVLWIDFVREIRWCWEESERLPRMKSTSSIDLCTCLIHQKLHMLAICIERKKSLSREKGTDHAHEDGISNSVAQNKTRKGSAGVVPSMMLLNTFQEMHAPYTQDALLMTEDMHEERLHAAEAFGNAVGLSGQLERDVLSSDMSAFKAANPDAVFEDFIRWHSPGDWVSEDGAEGSSGWPPKGRLSQRMSEHGNMWRKIWNDAPALPVSEQKFLLDPIREGEKVIHYLETLRPQQLLEQMVCTAFKSSADILNRTTYGGFKLMKTKMDQLYATLASTLKSLQGKSDISDLSDDLKRLCQVFEHIEKLLILAASVHRKLIDAPRLSQAIFADYFNYYLPKMGTSLESVCYEKEFTAKEKVGMHERDAISNLFPPPTANQSWRKVLSMGNLLNGHEPIQREIVFSVIERISNGHYSSPTPLSTDEQIETHRMYISGTSNDLWVALSVTSWD >ORGLA03G0133200.1 pep chromosome:AGI1.1:3:9535141:9535500:-1 gene:ORGLA03G0133200 transcript:ORGLA03G0133200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSGRLADDAFAATRLLAAYAALSPPDAVLRLFATSHERRPEFRAERRRNELYRFVLAAASAXRRRRGRRMEAVVRXGRSLAAEAAAAAAVRLPRSPPRSLARLREEDEEKGEGRKRV >ORGLA03G0133100.1 pep chromosome:AGI1.1:3:9525265:9527956:-1 gene:ORGLA03G0133100 transcript:ORGLA03G0133100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEEMVEQVLMKPHVRSDDSLPTCADAAFAAGEPTTAQAAPKKWQRLGRNFAGAIAAFKSSLNLDNGGLPRDPSPRAVGERPPLLVRGFQQLYSRGGATQQLPEKLVADLRRHFDALPNSYAQAGFDMKDVLLHARLVEQAAGEDQPAVSIEEVPGSNGRGGANEGTVFQLTFACSAPLSWQSMSGSLDSPSFCCKRIQIFEKRGLTLGVVMILVQPGNEVFFKNRVDAALKSAIKKQRKNSGGVKLPFGLCGCQEEGSRNFDEESMFDPDDGQVLDNEPTCKPQLPTPLPQSSVFVSIDEWQTIRSGGEELGRWMLSSEEIEFIDWVGPNSFKGVFRGRKVWVNKMRGCDMGSAYDVEIRQDLLQLMSCGQRNILQFHGICFNENHGLCIITRMMEGGSVHDIIMQRSKRLSLRDILKIAIDVAEGLAFMNSYAITYRDLNARRILLDRQGNACLGDMGIVTPCNNAGEVTEYETSGYRWLAPEIIAGDPESVSETWMSNVYSYGMVLWEMVTGEEAYSTYSPVQAAVGIAACGLRPEIPRDCPHFLRSLMTRCWDNNPLKRPQFSEIISMLQRQNVR >ORGLA03G0133000.1 pep chromosome:AGI1.1:3:9516848:9521938:1 gene:ORGLA03G0133000 transcript:ORGLA03G0133000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSAPVDASGDPIPTSSVLMAASKHIAVRCRPENVAFLNCKKKDPNPQKCLEKGRQVKRCVFDLLKELHQKCPKEMDAYAGCMYYYTNEFDFCRKEQQDFESACPVSE >ORGLA03G0132900.1 pep chromosome:AGI1.1:3:9512241:9516377:-1 gene:ORGLA03G0132900 transcript:ORGLA03G0132900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKGTKSRKGTDDEPKQEKNKLPEHLEVQRTRVVCKGDAPVNTEGFQYAGAFAAMGIDNSVSADKFCKNFKVVVNRLTEDDMEFDMIGIDASMANAFRRILIAEVPTMAIEKVLMADNTSVIADEVLSHRLGLIPLDADPRLFEYISENDVPTERNTIVYKLHVSCQKGSPRLTVKSGDLEWLPEGSQLPLASPAQSGDKQKTYTSFSQSQKDILEKPLGVKFKDITIARLGPGQAIELEVHAVKGIGKVHAKWSPVATAWYRMLPEVVLREEIKDADAEMLVAKCPVNVFDIEDLGNGEKRAVVARPRACTLCRQCVMGPTGEVMGPTVEQVELRRVRDHFIFTIESTGALPPEMLFTEAVRILEEKCERVISELS >ORGLA03G0132800.1 pep chromosome:AGI1.1:3:9507193:9509199:-1 gene:ORGLA03G0132800 transcript:ORGLA03G0132800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGCTNMLSQSQLSKIKMLASRPDICKRNSHLKLSRNLDWNPLPPNMPKEHVYDVSGQTPTCAKVALEHYNRSYEDEYEMVKALDSVSSFFNGVWVHVNFLAKLKGATQCPDLVPKFFFAEEVQRQHQFVVVGFA >ORGLA03G0132700.1 pep chromosome:AGI1.1:3:9491912:9497773:-1 gene:ORGLA03G0132700 transcript:ORGLA03G0132700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTKRADGAEAESSSDAPAKKARVGGSASEAEAMVAGEAGGGGGGVSGNGSEVAEIDEDLHSRQLAVYGRETMRRLFASNVLVSGLNGLGAEIAKNLALAGVKSITLHDMGNVEMWDLSGNFFLSEDDIGKNRAVACTAKLQELNNAVLISTLTEDLTNEHLSKFQAVVFTDISLDKAFEFDDYCRNHQPSISFIKAEVCGLFGSVFCDFGPKFTVLDVDGEEPHTGIIASISNDNPAMISCVDDERLEFQDGDLVVFSEVQGMTELNDGKPRKIINARPYSFCIQEDTSKFGIYAKGGIVTQVKEPINLEFKSLRDSIREPGNFLLSDFSKFDRPPLLHFAFLALDKFRKEFGRFPGAGCDQDAQRFIEFVASVNEATIDYKMDELDGKLLRNFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPQYQFFYFDSAESLPTYPLDSKDLKPLNSRYDAQISVFGSKLQKKMRDANVFVVGSGALGCEFLKNLALMGVSCGLKGKLTITDDDIIEKSNLSRQFLFRDWNIGQAKSTVAAAAASAINSSLHINALQNRACPETEHVFHDKFWEGLDVIINALDNVNARMYMDMRCLYFQKPLLESGTLGPKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFISNPAQYAAAMRKAGDAQARELLERVCECLDKERCDGFEDCIAWARLKFEDYFANRVKQLTFTFPEDAVTSTGAFFWSAPKRFPRPLQFSTVDSSHIHFILAASILRAVSFGISIPDWAKNTSNLVDAVSKVVVPEFEPKSGVKIETDEKASNISSASVDDASVIEDLLTKLEASAKKLPPGFQMKAIQFEKDDDTNFHMDLIAGLANMRARNYGIQEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHPVEDYRNSFANLAIPMFSMAEPLPPKVIKHQDMRWTVWDRWSIEGNITVAELLKWLSDKGLSAYSVSCGTSLLYNTMFPRHKDRVNKKLVDVAKEVAKVDVPAYRRHLDVVVACEDDDGNDVDIPLISIYFR >ORGLA03G0132600.1 pep chromosome:AGI1.1:3:9485265:9488130:-1 gene:ORGLA03G0132600 transcript:ORGLA03G0132600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPCPRLLLLLRLLVFLVAVSWPLCGAGTEGLGVGEEGLSSIGASSGSRNVRRLLQTGGVNQGAPAPLPLEQSPSASGPVSSPSPSPWVSPPKGSPSPSPSSKIIAHRSPHHPFTTPPQLVRPKPTTRRAEHDHSVETTGRSWFKRSWTTYGFIAAGIAALLIISAAGAFYCRAKKMGTVRPWATGLSGQLQKAFVTGVPALKRSELETACEDFSNIIGSTSSCTLYKGTLSSGVEIAVASSLVTSADDWSKECESRYRRKITSLSKVSHKNFMNLLGYCEEEQPFTRVMVFEYAPNGTLFEYLHVREAEKLDWMTRLRISMGIAYCLEHMHQLKPPVVPRNFDSTTIYLTDDFAAKVSDLEFWSGAKEPNPATSNSSSSSDLENTVRKYGMVLLEMLTGRVPDSEEDGPLERLAFRYFDGETRLAELIDPSIGSFSEEAARSLCEVVRSCIDPDPKRRPTMAEVAARMREITALGPDGATPKVSPLWWAELEIMSSES >ORGLA03G0132500.1 pep chromosome:AGI1.1:3:9479470:9483663:1 gene:ORGLA03G0132500 transcript:ORGLA03G0132500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNFLTTIRSLKLIEGCKAAQIYAFSSAGGASTSGSGDGAGKPLPPPQPRSLSVRSASVCYPHAPSTSGAFVADSPLPCGLPVAAALEPALDACLRPVDHVKALAASFRRMSSAEAEGDDLCDVFLEQHALFHALGDARLLRRALRAARVHATDPHRRVVLAAWLRYERREDEFDPMPPPLAPCTPTTPLLECPRAAVFAGESPGVDPICPCRRPPPPPPTPPSSRFRRNTSSIDQMVEDDGDVETNDLWFVIGEEEVACERSCIAALSKPLNTLLYGGFAEAQRDRIDFTRDGITPCGMRAVSAYSRHGRLDDFSTDTILELLAFSNKFCCEGLKSACDNKLATMVSGVEDALSLVDLGLEEAAHLLVAACLQAFLRELPKSLSNPDVARLLCSPDGRERLDIAGNASFALYYFLSSVAMEEDIRSNTTVMLLERLCESAERPWQKQLALHQFGCVMLERGEFKDAQGWFEDAIAEGHMYSLAGVARSKFKRGHKYSAYKMMNSIMEDYEPAGWMYQERSLYCVGKEKMADLHIATELDPTLIFPYKYRAVVFLEEDMVESAVAEISKVLGFKLVTDCLELRAWFYLALEEYEAAVRDIRAILTLDPSYMMFHGKVHGEQLIEILRGYVQQWDMADCWMQLYDRWSEVDDIGSLAVVQQMLTREPGNSSLRFRQSLLLLRLNCQKAAMRSLRFARNCSAHEHERLVYEGWILYDTGHRDEALAKAEQSIKIQRSFEAFFLKAYALGDSSLDTESSLSVVQLLEHANSCASDNLRKGQAYNNMGSIYVDCDLLDEAAECYNIALNIKHTRAHQGLARVHYLKNRKKAAYGEMSELIKVAKDSASAYEKRSEYGERDEARGDLNMATLLDPTRTYPYRYRAAVLMDESKEDEAIGELSQAIAFRADLQLLHLRAAFFDSMGDNANTLRDCEAALCLDPTHGDTLELYRKASTKAEPQS >ORGLA03G0132400.1 pep chromosome:AGI1.1:3:9479145:9479468:-1 gene:ORGLA03G0132400 transcript:ORGLA03G0132400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTSPLPPSSRAAPHLPITTTPAIIPQRRRRRNGPCGVRARASASAPTSPRGRALRSPAVRACASIACVARLRLMPVCLGCVGAAGERGEERKGRGAEVGWFSRFP >ORGLA03G0132300.1 pep chromosome:AGI1.1:3:9472791:9475661:-1 gene:ORGLA03G0132300 transcript:ORGLA03G0132300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITQVLLAAQSHDGQLRTVAEENLKQFQQQNFPHFLQTLSVELSNDEKPPESRRLAGILLKNSLDAKESTRKEEFVQRWMNVDPAIKSQVKESLLITLGSPVFEARRSSSQVIAKVAAIEIPHQGWPELIVNLLTNMTKPDAPPCLKQATLDCLGYVCEEISPEDLEQDQVNAVLTAVVQGMNHVENNSDVRLAAVKALYNALDFAETNFQNELERNYIMKVVCETAMCKEADIRKAAFECLVSIASIYYDLLEPYMQTIFELTSNAARVDEEPVALQAVEFWSTVCDEEIARQEESKESGVFSSSCHFHFIEKALPSLVPMLLETLMKQEEDQDQDDGIWNISMSGGTCLGLVAITVQDAIVPLVMPFIEGNITKPDWHSREAATFAFGSILEGPSVQKLTPLVHAGFDFLLNATKDQNNHVKDTTAWTLSRIFEFLHSPTSGFSVVTDANVPYVIQILLTSIKDSPNVSEKICGAVYFLAQGYEDAGSISSVLTPYLGEIISALLATADRSDSNNSRLCASAYETLNEIVRCSHISENLNMIVQLLQEILKRLNQTFEIQITSSDDKEKQSDLQALLCGVAQVILQKFSSCHEKSAILHFADQIMVLFLRVFSCNSSNVHEEAMLAIGALAYATGPEFVKYMPEFHKYLEMGLQNFGAYQVCCVSVGVVGDICHALDDKVLPYCDGIMSALLKDLSSPELHRSVKPPILSCIGDIALTIGEHFEKYVPYTMPMLQGAAELCFRMDAPDDDSIEYQNELSRSIFEAYSGILQGFKNSKSELMVPYAGNIFQFVELVLKDNLLRNESVTKAGVAMVGDLADALGPNIKLLFKDSKFHSELLGQCCQSDDEQLRETASWVQGVITRVLVS >ORGLA03G0132200.1 pep chromosome:AGI1.1:3:9469810:9470577:1 gene:ORGLA03G0132200 transcript:ORGLA03G0132200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRAAAPPQPPAWTPEPWSDGETSALLDAWGPRHIRAAGGPLRTADWRACAAAVTARRAAAGRAPRTVDQCKNRLDYLKKRLKAERSRSKGAPAPPPPPPSVDRLRALLRLAPSVPPGFTSRGGAMPKVGEEEQEEEEEKAESFAAPLPRSWPSVPKRPRTAVALLPLSSSSGHQHGDGGGTPCTEVAAALDRLAGTYERVEVAKQKEATRLEERRLEAMRDLEIERMRILVDVAISASAVADTATAASSSW >ORGLA03G0132100.1 pep chromosome:AGI1.1:3:9467645:9468289:1 gene:ORGLA03G0132100 transcript:ORGLA03G0132100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKPSPQQQQQQRANEWWSDGETAALIDAWGPLHVARSRGPLPAKDWRAAASAVNARRAAAGRRHNRTRAQCRARVQTLKERYKRELAKPPPSGWRHFSRLQEFLLAGPPPGFPPKTMPPASVKKEEEEECQDEAVGGGGGSGGLLGRWVVPTRPRNGAAAWCPVGVVVTKLAEVYERVELARLEVEKEKVAMEMEKAMQEAVKLKEEKLDT >ORGLA03G0132000.1 pep chromosome:AGI1.1:3:9464011:9464496:-1 gene:ORGLA03G0132000 transcript:ORGLA03G0132000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRLAVCGCASHGMHACGDGSGCGWLMDLISDGSGCMGMAASMGVEVVMAMDGDGGDIWKRIARAMFALL >ORGLA03G0131900.1 pep chromosome:AGI1.1:3:9445544:9462892:1 gene:ORGLA03G0131900 transcript:ORGLA03G0131900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSSGGMSDQLFVSIKLECPRLAEMGLVPHVFGSHPVVGAWDPSKALLMEQEEAAVWGLSCIVPSHRETLDFKFLLKPKDNSSHCIVEEGPDRSLVCGSNEVEIRNALFKFNDETGVVECKIFVETEILSPFDLAASWKAHQEHLQPRVRGAHDVIMNADSESRAKGADGRHVPPQEEQRAIFVDRGVGSPEFARPTNETISMSNIKFDSEAKDMPAAEGAVAAAAVADQMYGPKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGINQTADFFRGDNKEGVEARNEVAALAMEDMLSWMQEGGQVGIFDATNSTRNRRNMLMKMAEGKCKIIFLETICNDQNVLERNMRLKVQRSPDYAEQTDFEAGVRDFKERLAYYEKVYEPVEEGSYVKMIDMVSGTGGQLQINDISGYLPGRIVFFLVNCHLTPRPILLTRHGESLDNVRGRIGGDSSLSETGSLYSRKLASFIEKRLASERTASIWTSTLQRSILTAQPIIGFPKIQWRALDEINAGICDGMTYDEIKKIKPEEYESRSKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADKPLEELPNIEIPLHTIIEIQMGVAGVQEKRYKLMDAVDSTAGI >ORGLA03G0131800.1 pep chromosome:AGI1.1:3:9442243:9444336:1 gene:ORGLA03G0131800 transcript:ORGLA03G0131800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast envelope; EXPRESSED IN: inflorescence meristem, petal, leaf whorl, flower; EXPRESSED DURING: 4 anthesis, petal differentiation and expansion s /.../BEST Arabidopsis thaliana protein match is: Tetratricopeptide repeat (TPR)-like superfamily protein (TAIR:AT4G18570.1); Has 288 Blast hits to 260 proteins in 50 species: Archae - 0; Bacteria - 8; Metazoa - 27; Fungi - 15; Plants - 163; Viruses - 0; Other Eukaryotes - 75 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G07120) TAIR;Acc:AT1G07120] MMREGDACVALLRSKLHGLVERNRSLEEENKQLRHQVSRLKGQVSSLEGQDTDRKMLWKKLDNSSTGNSYLKEKQFVPNNDAKEAMDLNSTSCYSRQQFSRAPLVRSRAPRVPNPPPSPTYTQPIVNARKEGGMAPPPPPPPLPSRLLKSTKAVQRVPDVVELYRLLVRREGKNDAKSGSMGIPAATNSREMIGEIENKSAYVLAIKSDVENQSEFINFLAVEVKNAAYKEIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADTMREAAFTYRDLKNLESEASSFHDDRRVATPMALKRMQALQDKIEQGIHNTERARDSASGRYKDLKIPWEWMLDSGIISQLKMASLKLAREFMNRVVNALKSDPFTNDEELLLQGVRFAFRIHQLAGGFDEGCRKAFQELKMYASKSD >ORGLA03G0131700.1 pep chromosome:AGI1.1:3:9439496:9440748:-1 gene:ORGLA03G0131700 transcript:ORGLA03G0131700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGTHHFSICISIRSSTTDSPRCFARQDGVNTHTAWHVFLVNKSFTKHNYIGEGNLIPATEKVSFTPAKHRCVAVTGMATRVEMWALCASASGFASEVWAVLDLKIVLAWLLVSETQNIRDFFTKGDTLVLHQLAVHLSASANCQCGGSDHI >ORGLA03G0131600.1 pep chromosome:AGI1.1:3:9428016:9428786:-1 gene:ORGLA03G0131600 transcript:ORGLA03G0131600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTLQAIVIEQPKPKAPVYQLTEGTNTLFQDKPHDMLPKTWLCQGQILTPGRCLLHMSLLSFLLYCNCQEKLSFGISVVI >ORGLA03G0131500.1 pep chromosome:AGI1.1:3:9417502:9418247:-1 gene:ORGLA03G0131500 transcript:ORGLA03G0131500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSSSTQEREEDRQPEAAGADGDDGDYSATDVTVRLLCDRFYPGGESELARVVRRYTELEAQHRQDMERCRQAHDELLEFQATFRPVRLGRELVDMAAAVEVATAALEFDGGQEDDDGAAVDHKDTVTIELAPATTTAIDDVDGDQPPTTMATAVSIRSSALQEKRTEKKGQVAICMWMRSGKSH >ORGLA03G0131400.1 pep chromosome:AGI1.1:3:9411373:9411876:1 gene:ORGLA03G0131400 transcript:ORGLA03G0131400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRLGEEEREATERERTLPRSAARRRHRSAAPLYSVVLLSSTVSAPTRRSGSVDFVLTSSTSIFVSLTRGVVLAGSPGRRRRRRSGHPARRLRHRSGRPVSSPLGPGCPATRRRRRATPPAAFATVGPPDVVAARTASPAAATQLGFSDLTWGKNEWRERDWELGD >ORGLA03G0131300.1 pep chromosome:AGI1.1:3:9404896:9407487:-1 gene:ORGLA03G0131300 transcript:ORGLA03G0131300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHIGSVDGAAAAADNGAVGCPASAVGCPMTSARPAPVSAGEASLGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRLLPDRHLPPGDGTGCVNNGEGKCWTSKVKCEEELTEAIGMALGEKKDCLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >ORGLA03G0131200.1 pep chromosome:AGI1.1:3:9399229:9402364:1 gene:ORGLA03G0131200 transcript:ORGLA03G0131200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APRATAXIN-like [Source:Projected from Arabidopsis thaliana (AT5G01310) TAIR;Acc:AT5G01310] MDPDAGGSTAAPLPPASTAGASAASATVAEEGGGKQVIVVLVGPPGSGKSTFAEAVLGGSAAGRTWARVCQDTIGNGKAGTKIQCLKAAADALKEGKSVLLDRCNLEREQRADFMKLGSHVHVDVHAVVLDLPAKVCISRSVSRTGHEGNLQGGRAAMVVNRMLKNKETPLLTEGFSRIMFCKDNNEIKKAVDMYSALGPSDSLDSGVFGQNSKGPVQVGIMKFLKKPGSSAEKSGGHKVTPNESIPQMQNHISEQQNLEVGGTCTVESVKELSNSKKIEDQSRESVLSDISSRTLAFPSISTADFQFDLDRASDIIVDAVADILQKYDNIRLVLVDLSHKSRILSLVKEKAAKKNINSSRFFTFVGDITQLQSKGGLRCNVIANAANWRLKPGGGGVNAAIYNAAGEDLQRATKECADTLRPGSSVAVPLPSTSPLHQREGVTHIIHVLGPNMNPMRPDCLKNDYTKGSKILHEAYTSLFENFVAIVQSCMGKQNTEPALEKPATAVTSPNDSKTKRECKHDSERTKKHKLVQPNTSSNQAREGDSKRSGVTTTKTWGSWAQALYELAMHPENYKNSDSLLEISDDFVVLNDLYPKAKRHVLVVSRKDGLDSLADVKKEHLPLLRRMHSAGVKWAQKFLEEDSSLVFRLGYHSVPSMRQLHLHIISQDFNSASLKNKKHWNSFTTTFFLDSVDVIEEVDQRGSATISSDDRVLAMELRCHRCRSAHPNIPKLKSHIASCKSSFPSHLLQKDRLLSSSTMHMDCT >ORGLA03G0131100.1 pep chromosome:AGI1.1:3:9396262:9396792:-1 gene:ORGLA03G0131100 transcript:ORGLA03G0131100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITSVPNGSASNVHPSLGRPRLLSSNMLLGASGSRDDRFFPAFPSVSRSASSTRLPNSGFFPAAPAAADAAEALPFGDEPPGSAYLPSTRAARSRSRAVSGASFGGSWGGLPLALGAAGGGGVFVASCAAGAARSLSAAGHVLACLLLAGESRRDSSAGGGLWWKAAAVVAAFWK >ORGLA03G0131000.1 pep chromosome:AGI1.1:3:9391680:9396095:1 gene:ORGLA03G0131000 transcript:ORGLA03G0131000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G08970) TAIR;Acc:AT3G08970] MGIPVRSLLVASIVLSSIALHVAAAKNLDPYKVLGVDKSASQRDIQKAFHKLSLKYHPDKNKSKGAQEKFAEINNSYDILSDEEKRKNYDLYGDEKGNPGFDGGNFGNREGYTYFTGGGAKTSHFSSGDGWQTMGGQGNTKTFSFSFGGGNPGAGGGNPFNFDFGDVFSNIFSGGSMGGSQHTGSAGKARRGTKSSGHDSSSVNIQEVTMQIFNKETADQGITWLLLFYTPNTKGQFVLESVVEDVARSLDGALRAGKVNCDHEKALCKKAGVSIGKSARLFIYSYTTTEKGSLHEYSGDYDSKSLKTFCQEHLPRFSKRVDINQFSFPSNIIPNLPQVLLLSAKKDTPAMWRAVSGMFRSQLIFYDAEVQDVSHPLLKSLGVKNIPALIGRSVNGEEQLLKDGISVKDLRSGIKELKNLLENFEKKNKKLASNQAKKPAHTDQPKENKIPLLTASNFEEICGEKTSVCILGIFKSSKAKENLEAVLSEISQKTLIRGQNYNSGNAVAYALLDGNKQSAFLSTFDKSAFKSSDKLLLAYKPRRGRYAVYDNEVTMEEAESLAGTEYMLLVRDRTLVESLLLQQAGLCRLLEHTFGPAQRVVGSKHSSPGPDLRMASRSLSPLSSASKLCSRCGQADEPKMAATTFSSSPSKVTTAKFVTGLRA >ORGLA03G0130900.1 pep chromosome:AGI1.1:3:9378978:9388985:-1 gene:ORGLA03G0130900 transcript:ORGLA03G0130900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGDVQFIYSVLANSLSADAATRQPAEALLAQCEARQGFCSCLLAIITSRGEESDDDVRLLAAVHLKNCVTRCWRNSVDSPAIDNEEKVYIRKSLLLNMREENGKIALQLAALISRIVYFDYPKEWSDVFSVLAQQLQTSDVFTSYQVSTVLFRSLKKLSKKRLAFDQRNYSEITVYLFDYIWNLWKSNAQIVLQNFSVLSQHNSSLDQSNDLLLIYERWLVCLKIIRELICSGYASDSTTMQEVCQIKEVCPVLLGAIQSILPYYPFFKERQAKPWSHAKRACIKLMKVLIILQDKYPYSFAHETVLPAAVDFCLTMITNPEQADTSFEEFLVQCMVLVKLVLECQEYKPGQIGFEAVGSSEHAIFYQRKNNLSATASSMVMSVLPADRIMLLCDILIRRHFIYTATDMNEWHSNPESFHHEQNLLQCTEKRRPCAEALFIILFDNYRVQLAPFVASIIHDVKAVSPPLEIEITAGMLLKEAAYTAAGHVFDELSKYLSFDEWFCGYLSIDLSNGNPNMCIIRRRIALLLGQCAFEIKGVIQKEVCDALVGLLGDQDMAVRLAACSSLCYAFRVFGIWEVDLLECIPTCWAMCFKLIGAVQEFDSKVQVLSFILVLLNYVGDDRIIPFVSELSQFFLKITYHNDQALVRKHTSPAYKTWEESSGECLLQIELLDAIRTFISSLGYNSPLCYGMVLPILQYGMDVDSPNTLNLLEDTVLLLEATLSNAPSIVPQLLDCFPYLVGIMNGSFNHLEIMIKIIEHYIVFAGSDLLQSHATSLESILDTIVGNADDKGLLTTLPIIDLLVLMFPQEVPPLISSALQKLVFISLSGGDEHYPSRTAVCVTSAAILARLLLLNRDFLAQLLSEPALIARFQQAGINQNLLLLLVDWWINKVDDASSIEKKVYAMALSVILTANIPGVIEKLGDILSDDTISSLPLSDDPEYSNTSKEFKKAQIRELDPIRKASLVDMLRENLKECAALHGDAVFNAAISRIDPLVIAQLWQALEIG >ORGLA03G0130800.1 pep chromosome:AGI1.1:3:9374649:9377028:-1 gene:ORGLA03G0130800 transcript:ORGLA03G0130800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEHREEEEEAAAAAAGEDEDTGAQVAPIVKLEEVAVTTGEEDEEVLLDMKSKLYRFDKEGNQWKERGTGTVKLLKHKETGKVRLVMRQAKTLKICANHLVATTTKMQEHAGSDKSCVWHALDFADGELKEEMFAIRFGSVENCKKFREMVEEIAEQQGKNEEKENEEVSSTAGLVEKLSVTETKKEENAEKEETPAEEDKKGAKE >ORGLA03G0130700.1 pep chromosome:AGI1.1:3:9371403:9374298:1 gene:ORGLA03G0130700 transcript:ORGLA03G0130700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVRGKCVGRGAFGAVHVAVDRATGRAFAVKSVEAKGGAPAAAMACLESEIRILRRLSSPYVVEYLGDDGDAATTRNLHMELVPGGSAAEAAAAAAAMGGLGERGARGVVRRVAAALRYLHDVAGVVHGDVKGRNVLVGCDGDGRGAKLADFGMAPEVARGGAPTPASDVWSLGCTAVELITGKRPWSELGGASEVGELLFLIGFGGKRPELPACASDSCRDFLDKCLRRDAGERWTCDQLLRHPFLSAADVHDGGEPSPFPSPRAVLDWAAASMSDSDSDDSGGAEARSEHEVMARAKGRLAELASNASWGREWGAGPTWEAADTWAPPSSPDTTATNAPVPSNPAAVADAGGPPAVIAGGRDSVLAVATAGAGRDRCDSQHGHYKCELARTRRARLAVASVAAVITTGNHHEQTSSNEYEYIAIPAIGKIRRYHDLRSAYASMLGVNPDPRNMGTDSMCVTFAGCSSKNAHIQLDNSSPKRRRASHRI >ORGLA03G0130600.1 pep chromosome:AGI1.1:3:9358640:9360927:1 gene:ORGLA03G0130600 transcript:ORGLA03G0130600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G01340) TAIR;Acc:AT5G01340] KAAAAASPSSSPPPPPMSPAPQAASPAEDRRGGRAPVPPYVKAAAGSVGGVMEACCLQPIDVIKTRLQLDRSGAYRGIAHCGTTVVRSEGVRALWKGLTPFATHLTLKYALRLGSNAVLQSAFKDPGTGKVSAHGRLASGFGAGVLEALLIVTPFEVVKIRLQQQKGLSPDLLRYKGPIHCARTIVTEEGLFGLWAGALPTVMRNGTNQAAMFTAKNTFDIVLWKKHEGDGKVLQPWQSMISGFLAGTAGPICTGPFDVVKTRLMAQGRTGDIKYKGMVHAIRTIYAEEGLRALWKGLLPRLMRIPPGQAIMWAVADQVMGLYERSYLQPAHM >ORGLA03G0130500.1 pep chromosome:AGI1.1:3:9350281:9351881:1 gene:ORGLA03G0130500 transcript:ORGLA03G0130500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTVAIPSSPVFSPSRRPLSCKAASASASPESVSVAASSPAQAAPPAGSPLRPFALRAHLREEATPSPQPSAAAAAVVSAPAGSVLKRRRPAPLVVPVCGGAAAAAAAAAVAAVESDPRNEVEEDGEDFAVYCRRGKGRRRVEMEDRHVAKVALGGDPKVAFFGVFDGHGGKSAAEFVAENMPKFMAEEMCKVDGGDSGETEQAVKRCYLKTDEEFLKREESGGACCVTALLQKGGLVVSNAGDCRAVLSRAGKAEALTSDHRASREDERERIENLGGFVVNYRGTWRVQGSLAVSRGIGDAHLKQWVVSDPDTTTLGVDSQCEFLILASDGLWDKVENQEAVDIARPLCISNDKASRMTACRRLVETAVTRGSTDDISIVIIQLQQFSR >ORGLA03G0130400.1 pep chromosome:AGI1.1:3:9335481:9339431:1 gene:ORGLA03G0130400 transcript:ORGLA03G0130400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRKSVFASAPFAMKQAALGAGVAARRNGAPLSLAAVVFALFVFATFLYNEDIKSIADFPFGAGALRAKSPDLHVLQETVGAAHLAAGSIAKRGEEVIVRVLDAPASTAMAAAAGSSSNNSTIEVAKANANANANAADAGVKVDEGQERERDVTLPSVKEGGADEARRREDEEAAEKESSAKAAAATAALRTVVSVPDTCDLYRGNWVYDEVNAPVYKESQCEFLTEQVTCMRNGRRDDSYQKWRWQPTDCDLPRFDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVIPKGKKTLTKFVNGGNSNIFYAHEYNATVEFYWAPFLVESNSDNPQVHSVPDRVIQWHSIAKHAHNWLGVDYLIFNTYIWWLNTLDMKVLKGSFDQGATEYVEVDRPVAYKEVLKTWAKWVDRNIDPNRTTVFFMSMSPNHITPEAWGNYGGIKCAMETLPITNRTTSLDVGTDWRLYAGAQEVLQTFRRVPVHLVDITALSELRKDAHTSVHTLRQGKLLTPEQQSDPKTYADCIHWCLPGLPDTWNQFLYARIASAPWSSDQ >ORGLA03G0130300.1 pep chromosome:AGI1.1:3:9308314:9311998:-1 gene:ORGLA03G0130300 transcript:ORGLA03G0130300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGAADWSQAKRAHILSCSRRLKHRGPDWSGLYQCEGNFLAQQRLAIVSPLSGDQPLYNADRTIVVVANGEIYNHKKIRKQFASKHTFSTGSDCEVIIPLYEEYGEDFVDMLDGVFAFVLYDTRTKTYMAARDAIGVNPLYIGRGSDGAVWISSEMKALNEDCVEFEIFPPGHLYSSAAGGLRRWYKPQWFAENVPATPYQPLLLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVTKRHLIKTEAAEKFGAELHSFVVGLEGSPDLIAAREVADHLGTIHHEFHFTVQDGIDAIEEVIYHDETYDVTTIRASTPMFLMARKIKALGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEVRVPFLDKEFINVAMSMDPEWKMYNADLGRIEKWVMRKAFDDEEHPYLPKHILYRQKEQFSDGVGYNWIDGLKAFTEQQVSDEMMKNAAKVYPHNTPVNKEAYYYRMIFERLFPQESARETVPWGPSIACSTPAAIEWVEQWKASHDPSGRLIASHNSASANHTNHANANANGNSNGKANCNCAMAANGTNGVGLVVANGTANGKMEA >ORGLA03G0130200.1 pep chromosome:AGI1.1:3:9304606:9307891:1 gene:ORGLA03G0130200 transcript:ORGLA03G0130200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLPAMKRVKGRAPLSVVVAIIGGLALAGIIFTEDLRGLTEVKEKVTDKEKKRTSLRTVMRTSALLSADVAVLSVEPATATPPPAPKMAFNGTRCSVTDGYWAYDRSKKLPYTDQTCPYVDRQDSCQRNGRPDSDYLYWDWHLDDCLLPRFDPVSMLEKLRGKRIMFVGDSLQLGQWLSFVCLVNSAVPDTPGAKSMERSRTLSVYTVKEYNASIEFYWAPFLVESNSDRNIALGAGGRVLHVDAIEEHGKHWRRADILVFDSYVWWMTGYRIKSVWGSFGDDGYEELDAWVAYRLGLKTWANWVDSNVDPATTRVFFMSISTTHMRSEDWGREGGIRCYNETWPITQRGYRGSGSDRRMMEVMSDVLGRMRTPVTLLNITQLTEHRVDAHVSVYTETGGLLVTDEEKADPQRYTDCIHWCIPGVPDTWNRLLYAHL >ORGLA03G0130100.1 pep chromosome:AGI1.1:3:9296572:9298335:1 gene:ORGLA03G0130100 transcript:ORGLA03G0130100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFCKHGASFLAVAVSTSIVFLLLLHAFSLPASALSFGLARRHRKDAAVSCDVFSGSWVRDDGGGGAAAYTGYNCPVIDAEFNCQLYGRPDSDYLRYRWKPAGCELPRFDGADFLTRMKGKTVMFVGDSLGRNQWESLICLLHAAAPQSPAQLVSIDPLYTYKFLEYGLVVSFYRAPYLVDIGVAQGKKVLWLDDISENGEAWRGADVLSFNSGHWWTHTGTLQGWDYMGADGRSYEDMDRMVAFQRGMATWANWVDANVDPAKTRVFFQSMSPTHYSSKEWANPVSNNCYGETAPAAAAAAAAGLNATTAQATSGQDQVIQATLRSMKSPVRLLDISALSALRKDAHPSVYSGDLSPAQRANPGGGSADCSHWCLPGLPDTWNQLFYALLFYQ >ORGLA03G0130000.1 pep chromosome:AGI1.1:3:9292910:9293598:-1 gene:ORGLA03G0130000 transcript:ORGLA03G0130000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGRSHEAGSLGVGAGCDLGLLFVRRHEERMTEERALLGFIIRYLIKEQNKELKLRVSMASHFPYLGGNGTK >ORGLA03G0129900.1 pep chromosome:AGI1.1:3:9291403:9291822:1 gene:ORGLA03G0129900 transcript:ORGLA03G0129900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVGIAVVGAETKAGPGAAAVSAEAGTAAVGTEACVAAVDAEAGAAAVGTEARVAAMGVEAAWLWGRRLPRFLHRDQVHVGADVVLALLDGFLFAAAPTGFASTLRSSTLGHRVPDLGGSRRGRWPAGEKNGGGARW >ORGLA03G0129800.1 pep chromosome:AGI1.1:3:9284133:9288636:-1 gene:ORGLA03G0129800 transcript:ORGLA03G0129800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAADEAPLLAEEPLRPGACSRELELREFRDRYVIRSVDGGGAFAVSRSNGSLRPLSAEEAAAGSDCRVSKIYGVAGVIRLLAGSYVLVITSQRDAGSYQGSPVYNVNSMKFLCCNEAIKHLTAQEKRDEAYFMSLLKIAETTHGLYYSYDRDLTLNLQRASKLPAGRVHKPLWKQADPRFVWNKNLLEEFIEAKLDEFIILLVQGNIQTAQFSLKEAPVRITLFSRRCNRRLGTRMWRRGANLEGATANFVETEQLAEYEGLMSSFIQVRGSIPLLWEQIVDLSYKPCLNIIEHEETPKVVQRHFHDLSQRYGDTVVVDLTDKKLVK >ORGLA03G0129700.1 pep chromosome:AGI1.1:3:9277777:9278233:-1 gene:ORGLA03G0129700 transcript:ORGLA03G0129700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAADEFTIRFEVLLRFLESTYVTFKERAYEREQPTSFYSLWWRTMECFIVQQSSSYQRVKCCKAKEVWRENKDGGEVQCNGLVGEKGKDEDGAMDIPLYTYSL >ORGLA03G0129600.1 pep chromosome:AGI1.1:3:9274329:9276584:1 gene:ORGLA03G0129600 transcript:ORGLA03G0129600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHPTPFAGKRRSVAAAPAKIAAPKPKSIASTRTKTTRKSPPAPPPPRPRRAFGTVRSSNAHDAPEKPPPLQKAPKVSPPPPQKPDKVSPPPAQKPSKVSPPPPQKSAKVSPPPAAKPPKLSPPNLAKATKPSRLAAKPPKKAAPGPELDPKPRKKAQRVSFQEDAAMSVAPGSGEKVKVSTDDAAGHTPMVAVRALEKRVSVVASAETPFFSAQNCSNCSLDPLEESTYWLAHIHLAESVGKHRVAAAFFQLAFECQAQPIHRIQSELRNYTVRHESASTLTTLFDELLLAHGGIPVNQPKFETDGFEVVDTPLTTDSDDKRLDSTTTQVDERCSECDCGGDIVDVAVPSIVKPLEEGMDQPSFERKLNDGFEFDDCEAVIVDKLVGGHSDLEKIVDVNGPSDSETMQSACRSSIDRLSLKGSPVVSGLSQRQLSSDSPLDKLSPSARSLSAKRLSSVSPLDKKSPFGSSSSKRLTSSCPSSKKSFSSKALSSKRMSSGNASAGVGDLNEVIADMEFDCPASDDQLELKEHGDSEVCLRVLFTLWSSAEMI >ORGLA03G0129500.1 pep chromosome:AGI1.1:3:9269746:9273257:1 gene:ORGLA03G0129500 transcript:ORGLA03G0129500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Cytochrome B561-related, N-terminal (InterPro:IPR019176); Has 215 Blast hits to 213 proteins in 79 species: Archae - 0; Bacteria - 6; Metazoa - 131; Fungi - 22; Plants - 42; Viruses - 0; Other Eukaryotes - 14 (source: NCB /.../k). [Source:Projected from Arabidopsis thaliana (AT1G07970) TAIR;Acc:AT1G07970] MEFQQGGGGGGGGGKARDKFSVYRNPSLARALASRSVRPSLPVLVLLALSPIASASSILVLSSWEGQLVKVAGRAGLSMAAAVLVFRLIEAALGLVALFTLPAFFRAVMLYNGKRALAKEDKVVLSERQLGLLGLKMTGSEAGGTSEKTKRPPKAKPSTPSEPIVPIRRSSFSYTPSRSLGQSRIGSSNLSPGGERLTTSVQISPSTPLQKPISSPSTPWSRKSSGSAKGIQTEAMLEQFLAGLDENIDTITESASKIATPPATITSFGAASPVSVITSTTPSGAARSTPLRAVRMSPGSHQKYSTPPKKGEGELPPPMSLEQAVNAFENLGVYPEIEQWRDNLRQWFSSVLMNPLVQKIKTSHIQVKQTTATVGASVTVSQVGSDLPSTTAPVGLSPLGGTKDWQPTITVDEDGILNQLRTALLHSRDTPVAQTFGSPQQPQQNPLLPSIQACIDAITEHQRLNTLMKGELIKGLLPQSSVRADYTVKRVQELAEGTCLKNYDYMGHGDGCGKLEKKWISELPTDSLLLLYLFAAFLEHPKWMLHVDPTSYSGAQSSKNPLFLGVLPPKERFPEKYVALISGVPAVIHPGALILAVGKQSPPIFALYWDKKLQFSLQGRTALWDAILLLCHQINVGYGGVVRGIHIGSSALNILSVLDSDMES >ORGLA03G0129400.1 pep chromosome:AGI1.1:3:9265804:9267722:-1 gene:ORGLA03G0129400 transcript:ORGLA03G0129400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase (PAP2) family protein [Source:Projected from Arabidopsis thaliana (AT5G03080) TAIR;Acc:AT5G03080] MAEFQEMAAEVPPSLKAITLTHVRYRRGDTLGLFLAWVSLVPVFISLGGFVSHFLFRRELQGICFAAGLLASQLLNELIKHSVAQSRPVYCELLEACDSHGWPSSHSQYTFFFATYLSLLTLRRSPSSRVVASLAWPLAFLTMLSRVYLGYHTVPQVFAGAVVGLVFGAIWYWIVNTMLVEYFPMIEESAIARWLYIKDTSHIPDVLKFEYDNARAARRKVATD >ORGLA03G0129300.1 pep chromosome:AGI1.1:3:9261872:9264710:1 gene:ORGLA03G0129300 transcript:ORGLA03G0129300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Taxilin (InterPro:IPR019132); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G50840) TAIR;Acc:AT5G50840] MEGSPATRLPEADSLPDGFVESSAADQAPPPPADAGDPASRSLGLDQADATVGGGGGGDETLGAPPSTLASVAQDTLDAYSAADALQSLTVGGSAAEPERALGEPAGDAGAVPVVADAKESSKESSVVEQVESMADQKVVIAEGSGEQKRKVVKKSKVEKDRELFELAQAYHKVVAERDAAIAVKEKLESLCREFQRQNKMLKEECRRVSTEGQNMRMELSDKFNNAIKDVSVKLDEQKNECIAQLEENNLLRSKLKDLADQYNITQQKYAHQLKEKMLELELADLKMQQHQEKTAQEQTQMQLYADQVSQLMSTEKNLRLQLAADGERFQQFQDALTKSNEVFETYKKEMEKMVKLIKDLKKDNEFMKSKCENSDVALVKLIEERELMKKQVDKFKNQKEKLESLCRSLQAERKQSPSGGTPDATSNETNLATIES >ORGLA03G0129200.1 pep chromosome:AGI1.1:3:9255927:9258539:1 gene:ORGLA03G0129200 transcript:ORGLA03G0129200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRQGRSTRSGRHGRSSTKGSPTSTRSDAPVRFSCSPAFFSVSLPPPSSFASSDFFHVQVDAGATTTLIEFYSTSYKSSAPLPGWIKRIRDGQITVDGEVATDPDMILREGSKLVYHRLPWQEPFAPHLLDVLYEDDDMIALNKPSGLQVLPKGLFQQRTVLAQLQLKDWKMPSSFCSKRKDAQSHPVPVHRLGRGTSGLLLCAKTKLAKAQLAAYFAEGATNAGKSRDETDICKARKISKFYRALVTGILENDEVLTFPPHFCYGKFIVCYYLEGISAFFFTPCLXHMVPXGHIVSMPLXRSWKRIKFPXPH >ORGLA03G0129100.1 pep chromosome:AGI1.1:3:9254590:9255174:1 gene:ORGLA03G0129100 transcript:ORGLA03G0129100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTQKADPVEPAAKIVRQTSQFKRWGRKHPFVRYGLPLISLTVFGAVGLAHLIQGSKEVTKEKEDMEWEVVETTKALSRTGPVEGAYKPKKLSLEDELKALQQKVDINNYDYKRIPRPNEK >ORGLA03G0129000.1 pep chromosome:AGI1.1:3:9247245:9251902:1 gene:ORGLA03G0129000 transcript:ORGLA03G0129000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATMTWHEELATLVGETGVRFPGAGGGSAANVAAAVGGGWYRGEEEDGEGRAVEEEGWAQQARGFLESTAEMLRVLGRGLWDIAAQSLAGAEDSELARRLRGPAAAAGKRLSFMNEYLPEDRDPVWCWVVVAAVAFVTLIVLGVGSVDDTPVELPKKLYIGPPSAKTIQLPDGRHLAYKEQGVTADRARFSLIAPHSFLSSRLAGIPGIKPSLLEEFGARLVTYDLPGFGESDPHPGRDLNSSAHDMLHLAGALGIVDKFWVVGYSAGSIHAWSALRHIPDRVAGAAMFAPMANPYDSKMTKEERRKTWERWSTKRKLMHILARRFPALLPLFYHRSFLSGKQGQPESWLSLSLGKKDKTSLESPMFNAFWEKDVAESVRQGDAQPFVEEAVLQVSDWGFSLSDIQMQKREDLSFFELIKSLFCQAEREWVGFLGPIHIWQGMDDRVVPPSVTEYVRRVVPGATVHKLLDEGHFSYFCFCDECHRQIFSTLFGIPQGPINPVPEPIEVASELTEETTVPDKAKEEEQDISDLA >ORGLA03G0128900.1 pep chromosome:AGI1.1:3:9240330:9240738:1 gene:ORGLA03G0128900 transcript:ORGLA03G0128900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVVQLQQLVVVVGGVALNARMLLPRRLFSIRIFRYSDIPLVDHKRYLLITVVLRASEFRKGTKLPMTPTPTNKVLRMYT >ORGLA03G0128800.1 pep chromosome:AGI1.1:3:9235702:9235971:-1 gene:ORGLA03G0128800 transcript:ORGLA03G0128800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRHWRKAEPLVGDGAVAPTEPWTSRPNCGGERHGGGDQAAVEGVTGVVGEDGSGIGDDRSSGSGDRRQEPMRQWWREAPRGRGRRRR >ORGLA03G0128700.1 pep chromosome:AGI1.1:3:9231877:9232461:1 gene:ORGLA03G0128700 transcript:ORGLA03G0128700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCGCGSNCTCGKMYPDLEEKSSSAQATVVLGVAPEKAHFEAAAESGETAHGCGCGSSCKCNPCNC >ORGLA03G0128600.1 pep chromosome:AGI1.1:3:9227505:9229528:-1 gene:ORGLA03G0128600 transcript:ORGLA03G0128600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 5-1 [Source:Projected from Arabidopsis thaliana (AT1G07960) TAIR;Acc:AT1G07960] MDLAPGRRARLLVALALVVLVALAARSGAEVITLTEETFSDKIKEKDTVWFVKFCVPWCKHCKNLGTLWEDLGKVMEGADEIEIGQVDCGVSKPVCSKVDIHSYPTFKVFYEGEEVAKYKGPRNVESLKNFVSDEAEKAGEAKLQDS >ORGLA03G0128500.1 pep chromosome:AGI1.1:3:9220702:9226879:1 gene:ORGLA03G0128500 transcript:ORGLA03G0128500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:I1PA89] MGSSTDHGGAGGRGKKGSGSQLWKKALLHSSLCFVMGFFTGFAPSSVSDWTSAAVSAGGVGSSHVVRSLHATGGVAVNRSLLAQAAAGAVDAGPQPLLVVVTTTESTPSAAGQRAAALTRMAHTLRLVPPPVLWVVVEANPDVAATARLLRTTGLMYRHLTYKDNFTAADAAAGKERHHQRNVALGHIEHHRLAGVVLFAGLGDTFDLRFFDQLRQIRTFGAWPVATMSQNERKVVVQGPACSSSSVAGWFSMDLSNATSPVAVGGAGYGAAAARPRELDVHGFAFNSSVLWDPERWGRYPTSEPDKSQDSVKFVQQVVLEDYSKVRGIPSDCSEVMVCNELLRACASVHGHVRSKLDSLYRSDFPQTEPETLICLIHDHASHYIYGGRFLSGDFC >ORGLA03G0128400.1 pep chromosome:AGI1.1:3:9209227:9214234:1 gene:ORGLA03G0128400 transcript:ORGLA03G0128400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISLGHRYKECRPRRNNSPTKILVGKDLLKELEHRRSSPSVIAKLMGIDVLPPAYVTHNRHQDFKDVFEVSEEPQEAIVKERSHHFPKGLPSLKRSALKLKKLMPSMSPYGDGPFDNNVVCRDGFDRLNSLEINNPLFEKRPHDMNYSAKHQYEKETSSTFRKYPAGLGNTSLKDIRNSSRGKLEDFNSIVVLEPGLGKVQESGKAFCTPDPSHINKNFRREMQQADFSMQNRGRVSPNLLDTEDVDVSRIKRERYLSRNAVDSLLEGKESSFDHHNVPDTSSSGSSQNCVSGEVNSRQSNRPSSNSSPRKNRQKYEEGSVGSKTLAEMFALSDSERLKRDSDSHAQIRHNKLNRGNSNGKEGCFIVLPKHAPRLHPHSSLDKNSPHSNFIPNTSNTYHSGQSHFNSFCDISRLQQIGSPSQYNLRNACAKHQTLWQHRSASPSHDNRNHSRCSTDNFSTFDCINEKILFTTDEDLVKKPAETVHSSFESCGEEKVSASPFNCRDYESITVSDHSYVAKSHKSLKEVGQPSPVSILEPPTDEDSCCSGYFKCNLQDMPNVEKLIDDCELRYEQVSLSSDDDSGSSYRSLEAFQVEEERGFSYLLDMLINSGMIVADSQLLCKSWHSPGCPVGPQVFDRLERKYNKISAWPRPERRLLFDLANTVLSEILAPWKSSRRCCPVWGPEGPVEVVWQTMVRRQEELAVGHPDDKVLDPEWLEVGEDINTVGKQIAKMLHGDLLDEIILEFLSGCVAS >ORGLA03G0128300.1 pep chromosome:AGI1.1:3:9197095:9198122:-1 gene:ORGLA03G0128300 transcript:ORGLA03G0128300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGFYTNLMNEGNDSLDWDSLSSMPVEDDMSNQLDENGMSSEPVTQQFPTAERTTVARPNQKRSKNFSEQEDKILVSAWLHAIAVFKELEGKPFQFLHCWSLLWSQSKWHDKMKQITSQKPCATNRQKPSTDGSAKATPTNDETTNHVGEDNEPTETEEPKRPMGKKRAKEHLRRGETCTDAFDHLWEKKKEADAEKKKERDERHQKSYELDKQMLELDKKKGSRMRRMRYS >ORGLA03G0128200.1 pep chromosome:AGI1.1:3:9189538:9191319:-1 gene:ORGLA03G0128200 transcript:ORGLA03G0128200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGADRRAGGAMGEGPGARMLIKIAVPVRCKVCTRPAWTARRAAMKCCFMLAVYKYCPLRLIPLRKRLEKIEKD >ORGLA03G0128100.1 pep chromosome:AGI1.1:3:9184890:9185704:-1 gene:ORGLA03G0128100 transcript:ORGLA03G0128100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAGNTVANVTVAQQPHYGRSVSPPSRVSSCSPPPPPVGTASLLVGNTSSSSSPTTTVVLSPCAACKVLRRRCADGCVLAPYFPPTEPTKFTTAHRVFGASNIIKLLQELPESARADAVSSMVYEAEARLRDPVYGCAGAVCRLQKEANELKVQLARAQADLLNARAQHDNLVALVCVELAHRRRDDDQQLEYQAPAPPLPHPAEYCSGGAGFGATVYQPFYDSDLDSAAWGEPQLWT >ORGLA03G0128000.1 pep chromosome:AGI1.1:3:9173437:9175535:-1 gene:ORGLA03G0128000 transcript:ORGLA03G0128000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKEFRIVMPMSMEEYEIGISYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQYTSKNYHLQSKIPSWMKGFAPASALTVHEESWCAYPNSRTVIKCPLFSKCSLTIDTVIRPDNGCSENAHNLTSEQLAAREVEVVDIASQSRDYWSKVISAPNVDLTAFRSQRTSRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTLDQIREMERQTDLLLKKTLKKPAKAGSKHDGKRKTLKEEIVAVGSCT >ORGLA03G0127900.1 pep chromosome:AGI1.1:3:9168629:9168917:-1 gene:ORGLA03G0127900 transcript:ORGLA03G0127900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFLEVLLAIFLPPVGVFLRYGLGIEFWIDLLLTILGYIPGIIYAVYVLVA >ORGLA03G0127800.1 pep chromosome:AGI1.1:3:9162267:9165009:1 gene:ORGLA03G0127800 transcript:ORGLA03G0127800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45620) TAIR;Acc:AT3G45620] MAVASEAACRRAASCFFEVGRQEIGSSTPRASSRRISGSEGLVMRMHQYGKLRGHDGCVNTVSFNPAGNLLVSGSDDMDIILWDWLAKTQRLIYPSGHQENVFHARVMPFTDDSAIVTVAADGQVRVGQLNEGGEVTTKQIGVHDDRAHKLAIEPGSPYIFYSCGEDGLVQHFDLRNDSPMKLFSCYSFSNSRRRVRLNTIAIDPWNPNYLSIGGSDEYVRVYDLRRIQLGASNDMNQPVDTFCPKHLMGGKVHITGIAYSYAREILVSYNDEHIYLFQNNMGLGPNPESAQAEFLDRLEQPQAYTGHRNFRTVKGVSFFGPNDEYVLSGSDCGNVFVWRKKGGELLRMMHGDKSVVNCIEPHPHFPFLATSGIDKTVKIWTPSANKVMSLPKNAKQIIASNKRAREIDASRPELTLSSDLIMHVLRLQRRQSELYREHEPATADLASDDDESFFAGFDDANRNVRSNSDPRECIVT >ORGLA03G0127700.1 pep chromosome:AGI1.1:3:9159726:9160208:-1 gene:ORGLA03G0127700 transcript:ORGLA03G0127700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAASPSRRRWPWPASSSSPPSLPRRRPRLRLRHGVAAGQLRLARRLRRPGSLHRPRHGQLPPRLRHVQVPPGTSLMAELWCELARSSSPPSGRGTSRCLTTASSSTTVRQSAGRSWRTPTSSGSWTTRRGCGGDGGVIVGGGARLRRQRRSRGGCAQ >ORGLA03G0127600.1 pep chromosome:AGI1.1:3:9141820:9144062:-1 gene:ORGLA03G0127600 transcript:ORGLA03G0127600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMGGQPQQTPPPPQVVQVQQPAAAFGDTTLTKVFVGGLAWETHKDTLREHFERFGDILEAVIISDKLTGRSKGYGFVTFKEADAAKKACEDATPVINGRRANCNLASLGAKPRAQPPHLLRPSPPATPGPHAPALPSPHHHQPAPAIAVGSRGVSPVPWYYHPSTTPPPPPPPAAHYAAHGGHHQQYHGVLPFYPAATTYGYSPNYVADLSYNAKLGQAAAAHGTNGAYLQGHFSYPAAAQGGMLAANGMMPVYPYYQYHYHGAQGMGVPAAHFFPPVSAAAVTTVPAIISKPTVMAPPKVEQVTGCS >ORGLA03G0127500.1 pep chromosome:AGI1.1:3:9140418:9140803:-1 gene:ORGLA03G0127500 transcript:ORGLA03G0127500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGDKEDEKEEDGSNVHGKGKGEDISNLKDGSIMDNGGQNSGSIFRERGFFYSIYPDGLAQDTTVVESVQSQDSLALKLHVVPEALIAPTDRSREGSDRLASHCKGQKR >ORGLA03G0127400.1 pep chromosome:AGI1.1:3:9135848:9139570:1 gene:ORGLA03G0127400 transcript:ORGLA03G0127400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKGGAAPSPGGAGGLPNGRFFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACALLSYAAIAWLRVVPMQLVRSRVQLAKIAALSLVFCGSVVSGNVSLRYLPVSFNQAVGATTPFFTAVFAYIMTVKRESWVTYLTLVPVVTGVMIASGGEPSFHLFGFIMCIGATAARALKTVLQGILLSSEGEKLNSMNLLLYMAPIAVILLLPATIFMEDNVVGITIELAKKDTTIVWLLLFNSCLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYTLTVIGVILYSESKKRNKP >ORGLA03G0127300.1 pep chromosome:AGI1.1:3:9127383:9130448:1 gene:ORGLA03G0127300 transcript:ORGLA03G0127300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:I1PA77] MDSTACLRLPFLPARTRPSSSSSSSPRRAARASSIKCCAAASDAGASSASISSASPRRPDVVNGVGPAGVDGLAGPPVPVPDSPAPASRDLHWLPRPLTSADLMGVSGEGLKVAYQGCPGAYSEAAAKKAYPNCQTVPCEHFDTAFKAVENWLADRAVLPLENSLGGSIHRNFDLLLRHRLHIVGEVRLAVRHCLLANPGVKIENLKSAMSHPQALAQCEHTLTEFGIEHREAVDDTAGAAKTVAEQNLQDTGAIASSLAAELYGLNVLAENIQDDKDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALGVFALREINLTKIESRPHKKRPLRITDDSFSTPSKQFDYLFYMDLEASMADPKTQNALGNLKEFATFLRVLGSYPTDVNEA >ORGLA03G0127200.1 pep chromosome:AGI1.1:3:9123896:9124318:1 gene:ORGLA03G0127200 transcript:ORGLA03G0127200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVGQPRHARAFTPLLWCRSLTTAALCFGRRRQSSAISPQSTGSGSLDVEGMALADYFFGPELDDLMQWLGDGDVGRKGTLPTKKEAREAMPTVEVTAGHSASAFATASTVCREDYAAGEHATGTPYRHRFHASALCHG >ORGLA03G0127100.1 pep chromosome:AGI1.1:3:9116086:9118278:-1 gene:ORGLA03G0127100 transcript:ORGLA03G0127100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYDDHYGSTRLYVGRLSSRTRSRDLEYLFGRYGRIREVELKRDYAFIEFSDPRDADEARYNLDGRDVDGSRILVEFAKGVSSSLVPRGAAGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRNLRRERSYSRSPSPRRGRGHGRSRSYSRSRSRSYSRSRSRSLSGSPRGRRDRDDRRSRSLSYSRSPRRSISRAANGKERSPSPNGRRSPRSPQDRVSPPPKDNDERNGDSPRGRENSRSPSDGYRSPVAANGRSPSPRNNGSPSPMDNNSRSPRDNGSPSPRDGNGDGGSRGGSRSPRASESPEA >ORGLA03G0127000.1 pep chromosome:AGI1.1:3:9111015:9113296:-1 gene:ORGLA03G0127000 transcript:ORGLA03G0127000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G45640) TAIR;Acc:AT3G45640] MDGAPVAEFRPTMTHGGRYLLYDIFGNKFEVTNKYQPPIMPIGRGAYGIVCSVMNFETREMVAIKKIANAFNNDMDAKRTLREIKLLRHLDHENIIGIRDVIPPPIPQAFNDVYIATELMDTDLHHIIRSNQELSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRQPLFPGRDHMHQMRLITEVSELISVDTHTLNYATCSWVSSCWVHDQVIGTPTDDELGFIRNEDARKYMRHLPQYPRRTFASMFPRVQPAALDLIERMLTFNPLQRITVEEALDHPYLERLHDIADEPICLEPFSFDFEQKALNEDQMKQLIFNEAIEMNPNFRY >ORGLA03G0126900.1 pep chromosome:AGI1.1:3:9106525:9109518:1 gene:ORGLA03G0126900 transcript:ORGLA03G0126900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYPVVSAEYQEAVEKARQKLRALIAEKSCAPLMLRLAWHSAGTFDVSSKTGGPFGTMKTPAELSHAANAGLDIAVRMLEPIKEEIPTISYADFYQLAGVVAVEVSGGPAVPFHSGRELRLFSSFLDLLQDKPAPPPEGRLPDATKGSDHLRQVFSAQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTRNPLQFDNSYFTELLSGDKEGLLQLPSDKALLSDPAFRPLVEKYAADEKAFFEDYKEAHLKLSELGFADA >ORGLA03G0126800.1 pep chromosome:AGI1.1:3:9096172:9096375:1 gene:ORGLA03G0126800 transcript:ORGLA03G0126800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGQDHDERKKSHGNPYPRRGDIKRKIVQDVFGKSSDPPATSKPAGAGNGDGGDDAAAAGSYYGHY >ORGLA03G0126700.1 pep chromosome:AGI1.1:3:9091467:9092210:1 gene:ORGLA03G0126700 transcript:ORGLA03G0126700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQQDTRRPLPRRGQVKAGIFASLFRCIFPGEKEASQKLKEGNSGGGGGGGRRVAFSSATFESESFPNDTDDVTA >ORGLA03G0126600.1 pep chromosome:AGI1.1:3:9086509:9089189:1 gene:ORGLA03G0126600 transcript:ORGLA03G0126600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLGSGRFLARRPLLALAPRCSRGSPEKGGGSDKGDTSSTDWDKAWSTFKKKGKKTLFSEFSPNKYVSWNPRRSEYPLSEEVDPIRRTERSNLMLWTSPKFTLVMAIVIVSTLLIYTIVVPPK >ORGLA03G0126500.1 pep chromosome:AGI1.1:3:9071366:9085741:-1 gene:ORGLA03G0126500 transcript:ORGLA03G0126500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02830) TAIR;Acc:AT5G02830] MALAMTSTSPQPPPPSPRRRWRRRPLLRPGVSSPPSRCRPSPSPKAKAALPLLSDVGRDPTAIKYYSRVASNLVGAGRLREFLLAAEGLRAASGDAGFEGRISRRLLSRGVAAALRDQGLPHVLEFLRDAGRVGIRAAVMLDADAYDTVAAACRLLLAERSMTEFVEAVEALAQCGFFVQGIVDPMDVLKIFVKKRDPNMAIRYARIFPQSQLLLCNTMEAFGKRKELKHALTVFGALKDQFGGINMFACRSIIDICGHCGSSVQARIIFEGLLADKITPNVYVFNSLMNVNAHSFSYNFSVYKHMQNLGVPPDLTSYNILLKTCCNAKEYKLAQEIYEEIKKKEQNGLLKLDVFTYSTMMKVFADAKMWKLASDIKQDMQSAGVRLNLVTWSSLINAYANSGLVDRAIEILEEMTRDGCQPTAPCFNIILTGCVKSCQYDRAFRLFYDWKEYGVKISLSPEQKGCFGDNFSYCEEHTSNSSTLLVVPFRPTVTTYNILMKACGTNGERAKSVMNEMRRNGLCPDLISWSILMDIYGSSQNRDGAIQALRRMQRVGMKLNVTAYTVAIKACVENKDLKLALHLFEEMKAQQLKPNLVTYKTLLTARNKYGSLQEVQQCLAIYQEMRKAGYQANDYYLKNLIVEWCEGVLSSGNGNREYYQLDQRKESFKLVLEKVTTFLQKDVDQNQTVDVRGLSKVESRVVVLSVLRKIKEKYLLGRAVQDDVVIITGHGKASSAKAETSVVEVEHAIVAVLTDELGLEVLIGPGSRPASSKPTVPARSRSHLDLASKHFSRRPQGMIKIPINSLNHWLKRKAVRTVQ >ORGLA03G0126400.1 pep chromosome:AGI1.1:3:9069112:9070431:1 gene:ORGLA03G0126400 transcript:ORGLA03G0126400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spo11/DNA topoisomerase VI, subunit A protein [Source:Projected from Arabidopsis thaliana (AT5G02820) TAIR;Acc:AT5G02820] MSEKKRRGGAGAGAASGSASKKPRVSTAASYAESLRSKLRPDASILATLRSLASACSKSKPAGSSSSASKALAAEDDPAASYIVVADQDSASVTSRINRLVLAAARSILSGRGFSFAVPSRAASNQVYLPDLDRIVLVRRESARPFANVATARKATITARVLSLVHAVLRRGIHVTKRDLFYTDVKLFGDQAQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLTFADDGDRIDCTRMGVGGKAIPPNIDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTDHDIKVGKELLEEDFVKQNEGWVKELETMLRTRQKAEIQALSSFGFQYLTEVYLPLKLQQQDWI >ORGLA03G0126300.1 pep chromosome:AGI1.1:3:9067510:9067866:1 gene:ORGLA03G0126300 transcript:ORGLA03G0126300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNLTAADIVSATAFVIVMIFLVNLLVCLMTDALNNYWIRSAKEEEASPPAGHVRGNVAAVKAAQIVPTQYADQRIAV >ORGLA03G0126200.1 pep chromosome:AGI1.1:3:9060699:9063512:1 gene:ORGLA03G0126200 transcript:ORGLA03G0126200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37240) TAIR;Acc:AT2G37240] MAARAPLPVPHAAATSPRPAAASSLLRARGPCASLLYPRRLRFSVAPVAAAKPEAVGRAGEAAAAPVEGLAKSLQGVEVFDLSGKAVPVVDLWKDRKAIVAFARHFGCVLCRKRADLLAAKQDAMEAAVVALVLIGPGTVEQAKAFYDQTKFKGEVYADPSHSSYNALEFAFGLFSTFTPSAGLKIIQLYMEGYRQDWELSFEKTTRTKGGWYQGGLLVAGPGIDTILYIHKDKEAGDDPDMDDVLKACCS >ORGLA03G0126100.1 pep chromosome:AGI1.1:3:9056558:9060364:-1 gene:ORGLA03G0126100 transcript:ORGLA03G0126100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydrolase family protein / HAD-superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45740) TAIR;Acc:AT3G45740] MKGFRAALARASARSRAELQVARRRRHPSDPARRLSHSGTAPARPSFGIAFDIDGVILRGRSPIGGSPQAIRRLYSEDGTLKIPFLFLTNGGGVPEHKRAQELSELLGVNISPAQVVHGSSPYKELVNRFENDLIIAVGKGEPAAVMVDYGFRKVLSIDEYSSYFGDIDPLAPFKKWIVQQPDNINLMSEKVHPSYDVFEERVKGVFVVSDPVDWGRDLQKVLCDILSTGGLPGSGRGDQPPLYFASDDLEYQAAFPSERLGMGAFRIALESIFNQVNDHRLKYISYGKPNPFVFKNAANILEKLAICMHPSSLPTKEVEEHRFSTIYMVGDNPKVDINGALKAGPPWSPVLTRTGVFRGKDNDPQYPADLVCYVLLVIYRINVNCQAI >ORGLA03G0126000.1 pep chromosome:AGI1.1:3:9053645:9054307:-1 gene:ORGLA03G0126000 transcript:ORGLA03G0126000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSFLRTTLPTAKPLPAFQTLAPRPRPRPLRRSTIRAAITRGRKEDTVAAVREQLEGCYLLAGIRYEGLTVKQLQGIRDTLPETCRLLVAKNTLVGKAIEGTPWEALKPCMKGMNAWLFVHTEEVPAALKPYRAFQKEERLEETNDFVGAVFEGKFYAPGDFKALETMPSRAEVYAKLLGALQGPATSVVTTLQAPARDVVSVLSAYVRKLEQEVGAA >ORGLA03G0125900.1 pep chromosome:AGI1.1:3:9034407:9040290:-1 gene:ORGLA03G0125900 transcript:ORGLA03G0125900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACEAGTDEPSRDDVKGTGNGILENGHSHKPEEEEWRNGMGEDLPNGHSTPPEPQQTDEQKEHQVRIVRWERFLPVKTLRVLLVENDDSTRQVVSALLRKCCYEVIPAENGLHAWQCLEDLQNHIDLVLTEVVMPRLSGIGLLSKITSHKICKDIPVIMMSSNDSMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIRTQKCTKPKVDDEYENNSGSNNDNEDDDDNDEDDDDLSVGHNARDGSDNGSGTQSSWTKRAVEIDSPQQMSPDQPSDLPDSTCAQVIHPTSEICSNRWLPTANKRSGKKHKENNDDSMGKYLEIGAPRNSSMEYQSSPREMSVNPTEKQHETLMPQSKTTRETDSRNTQNEPTTQTVDLISSIARSTDDKQVVRINNAPDCSSKVPDGNDKNRDSLIDMTSEELGLKRLKTTGSATEIHDERNILKRSDLSAFTRYHTTVASNQGGAGFGGSCSPQDNSSEALKTDSNCKVKSNSDAAEIKQGSNGSSNNNDMGSSTKNAITKPSSNRGKVISPSAVKATQHTSAFHPVQRQTSPANVVGKDKVDEGIANGVNVGHPVDVQNSFMQHHHHVHYYVHVMTQQQQQPSIERGSSDAQCGSSNVFDPPIEGHAANYSVNGSISGGHNGNNGQRGPSTAPNVGKANMETVNGIVDENGAGGAMGVGAVVE >ORGLA03G0125800.1 pep chromosome:AGI1.1:3:9030798:9032938:1 gene:ORGLA03G0125800 transcript:ORGLA03G0125800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongator protein 6 [Source:Projected from Arabidopsis thaliana (AT4G10090) TAIR;Acc:AT4G10090] MEEYGGGDFLSEAMGSGARVVVVEDRVEAPGAFALHLLLKRALAGGGAAALLALAQPFSHYDRVLRKMIFNAVELTHLLVLIKDGWEKQNSTPSVSQCGAKGGAISDSFVRLYGDIQRAIDASRTGDNTGRFTLMIDDVSLLEVAAGGSVDDVLDFLHYCVTLTSEMNCSLVFLIHEDIYSSEEGVGVLLHLRYIADLVIRAAPLSTGLAADVHGQLSVVNKCTFREQRLKAQRIWNFHFRVKENGADFFYPGSRH >ORGLA03G0125700.1 pep chromosome:AGI1.1:3:9025989:9029368:-1 gene:ORGLA03G0125700 transcript:ORGLA03G0125700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26940) TAIR;Acc:AT3G26940] MGSCFSSEGGNESRKESAERPQITPDETAASEMDVNLNTTNADSISNHGMERLIHGQSFTYGELYAATGGFSDDRFLGEGGFGQVYRGVLDNSQEVAIKILNLQGNQGDREFITEASVLSKLHHTNLVKLIGCCQDGDQRLLVYEYMPLGSLKSHLHDLSPDKKPLDWNTRIKILVGAAKGLQHLHVNVDPPVINRDVKSENILLGDGYHPKLSDFGLAKMGPTGDDTHISTRVMGTLGYCAPDYLESGKLTVQSDIYSFGVVMLEVITGQKVIDDSRAKPERNIVEWAIPKINKKDFPKLADPVLNGQYHMRSLFRALTVAALCVDRTANRRPDITAVVDALTQISESQSSRKRWSSRLQSSVGSSASTEPRIEDWNQAKDQGEGS >ORGLA03G0125600.1 pep chromosome:AGI1.1:3:9017074:9024477:1 gene:ORGLA03G0125600 transcript:ORGLA03G0125600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRVGKIRYTANDMCGNGEAQLASIEEEERAADKSCRGDPAEESSNPDKVEQAQDREQGDSASAALEGGNGESYFPWREELESLVRGGVPMALRGEMWQAFVGVGARKITGYYNKLLDEGTEELDEKNPEDQELKDQTNAQKKPPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEEHAFWALVGVIDEYFDGYYTEEMIESQVDQLVLEEVVRERFPKLAKHMDFLGVQVAWVTGPWFLSIFINMLPWESVLRVWDVILFEGNRTMLFRTTLALLDLYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQAVREIGLQELRKKHRPDIISAMEERSKDRHSWKDKKGLATKLYSFKHDPLCPQVNSKEGEDDLQVNGEMQFLDSGSANLETYLTSSALDNELEEGIDLQDQVTWLKVELCKLLEEKRSAELRSEELETALMEMVKQDNRHMLSAKVEKLEAEVSELRKSFADKQEQEQAMLQVLIRMEQEQKVAEDARIAAERDAADKKYAAQLLQEKYDAAMAALRQMEKRAVMAETMLEATKQYQAGQFKANQSFNPSSPRAAPQSGKPNQDPNQDAPNRRLGLLSRGLGWLEKSKGKSSSTETPEG >ORGLA03G0125500.1 pep chromosome:AGI1.1:3:9015359:9015673:-1 gene:ORGLA03G0125500 transcript:ORGLA03G0125500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRARAPSPLTPAALPACLQSAWLRCKQLGLGDLPLVLEVERRRAHGAHGGRRRLGGARRGGRVGEQSKQKQSPSSSSTCHVEAWTCILEMVRAEPRVQRLQR >ORGLA03G0125400.1 pep chromosome:AGI1.1:3:9011688:9012245:1 gene:ORGLA03G0125400 transcript:ORGLA03G0125400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWRKNASSYISLRTKDGAAVVQTQQSEKADQPRKAAECESTAHSSTANLDTLMEPLDEPPLLVIEETEFPLPQPSMALSLPMALAHVADKTMRQGLIPIPGDSECQRGGVIATSLSKKLQREEEAPAEGINEAFTVPWCRMGCGPTKERMSSFNLVASCASSSLLVCSGKDVAAMKKAREGCRQ >ORGLA03G0125300.1 pep chromosome:AGI1.1:3:9006308:9010097:-1 gene:ORGLA03G0125300 transcript:ORGLA03G0125300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVATHASLLLKAAAAAAHLHPKPFFSPRAAPPRIPSAPAPPAAGGSRYRPTTTTTAAATATSATAACRWFRWPPPAQPPVRGLCSLPHSGGGGGGGEGMGSEGVGRRRRVVAPAVNGVAKDGAPQPPPPKLLTLPTVLTIGRVAAVPLLISTFYMEGPWAATATTGIFLAAAVTDWLDGYIARKMQLGTPFGAFLDPVADKLMVAATLVLLCTKPLEISLLRDGPWLLTVPAIAIIGREITMSAVREWAASQNTKVLEAVAVNNLGKWKTATQMTALTILLASRDKSLPAQDALVTSGVALLYVSAGLAIWSLVVYMRKIWRILLK >ORGLA03G0125200.1 pep chromosome:AGI1.1:3:9003790:9005586:-1 gene:ORGLA03G0125200 transcript:ORGLA03G0125200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37310) TAIR;Acc:AT2G37310] MKLPAWLTAVPPDPRAYGHLIQLCAESGHLAAARQIHARLVAASVTPSNFLASKLISLYSRADRLRDARRVFDSIPQPSLFAWNAILISLSLHSPDPSAAVRLFASSAVSPDEITLSTLLRSLAASGPALSPLVTGELHAVAFLRGFGSDLFVSNALITAYANAGDMRSARAVFDEMPRRDVVSWNSLISACARACWYRECLDLFQEFVRVRCSDGDGVGPNGVTVTSVLHACAQLKVVDFGIGVHRFAAESGLDMDMVVWNSIIGFYAKCGRLQYARQLLDGMTRKDSISYSAMITGYMNNGHVEEGMQLFRQASARGISMWNSVIAGLVQNGRQSDVLRLLQEMIASKVLPNSATLSIVMPSVPSFSTLLGAKQAHGYAIRNDYDQSIRLVSALIDAYAKAGFLDTARKVFKLTEHRSTIVWTSIISAVAAHGEAVEALSLFNQMITAGAKPDTVTFTTVLSACAHSGKVAEARKVFNSMQAVFGISPVIEQYACMVSALSRAGMLKEAVKLVNKMPFEPNAKVWGALLNGAAVVGDVEFGRYAFDRLFIIEPKNTGNYIVMANLYSNAGKWEEAETIRSMLWGVGLEKVPGCTWN >ORGLA03G0125100.1 pep chromosome:AGI1.1:3:8998190:9000138:-1 gene:ORGLA03G0125100 transcript:ORGLA03G0125100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQKQPQPSHIVRPSLTPNLGPLDTTTTTRNVDERREAKLGEMAATAMQCRGGERSEDGGGGGMRTVECLRGRLLAERVASKAAKEEADSLAKRLDELEKKLSDEVKIRNKAERRLRRAIKKLESLKILDVELSDSSIGSLSSNSCSGHRAPETEADVNNPGSSAGSCTQVNSSQEGSWCSVVSEQSPSVHCKEEEENGLDPEDAKNCGSGEEAGDHDSERTHGTLPCSRDDEPVHVPSEFGSSKSQDNQRDEDDDRLALVLVDPQPNAETGNEDDMRIDIQARKAQAEPREGDGEMEEANELAIVLVDPQPEPKAEPAATARPRNDVQSVLLALRQVKEQLRYTIERRSELVAHQELCGHC >ORGLA03G0125000.1 pep chromosome:AGI1.1:3:8994707:8997196:1 gene:ORGLA03G0125000 transcript:ORGLA03G0125000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSTKPAQAAAEPSPPAATAAAGEAPPAPNPASAATPAQNPTAAAGAAAGGATDLEKKMRRAERFGTAVVMSEEEKRSSRAERFGTGSSNEKAEEQKRKSRAERFGLASSSADEDAKKKARLERFGQSTNVDKGEEEKRKARALRFAETSSGPSQENGKDSSKPTQDAATVAGTA >ORGLA03G0124900.1 pep chromosome:AGI1.1:3:8990276:8992538:-1 gene:ORGLA03G0124900 transcript:ORGLA03G0124900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPRSSGKEALPAALGSASEPPRLFDGTTRLYICYFCPFAQRAWITRNFKGLQDKIELVGIDLQDKPAWYKEKVYEQGTVPSLEHNGKIMGESLDLIKYIDSHFEGPALLPEDPEKRQFADELIAYANAFTKALYSPLISKADLSAETVAALDKIEAALSKFGDGPFFLGQFSLVDIAYVTIIERIQIYYSHIRKYEITNGRPNLEKFIEEINRIEAYTQTKNDPLYLLDLAKTHLKVA >ORGLA03G0124800.1 pep chromosome:AGI1.1:3:8985323:8987684:-1 gene:ORGLA03G0124800 transcript:ORGLA03G0124800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLAFPRGRCSPSPLTRPSTSRSSSVPSSRTIKIRPSSRSVARCNLRDAKNLSPSRTVAMAAAAAAPASSEKEVLPPSLTSSSEPPPLFDGTTRLYVAYHCPYAQRAWIARNYKGLQDKIKIVAIDLADRPAWYKEKVYPENKVPSLEHNNQVKGESLDLVKYIDTNFEGPALLPDDSEKQQFAEELLAYTDAFNKASYSSIVAKGDVSDEAVAALDKIEAALSKFNDGPFFLGQFSLVDIAYVPFIERFQIFFSGIKNYDITKGRPNLQKFIEEVNKIHAYTETKQDPQFLLEHTKKRLGIA >ORGLA03G0124700.1 pep chromosome:AGI1.1:3:8976737:8979652:1 gene:ORGLA03G0124700 transcript:ORGLA03G0124700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S24e family protein [Source:Projected from Arabidopsis thaliana (AT5G02740) TAIR;Acc:AT5G02740] MSRLAAAALRRATAASGIPSSSSRSSVLAPFAPRLFSTEASGETPAAGAAAQGSQDEPFFKPSDEGVAYGRFYSVIPGGSRLPKSMLKTDIIHHLDKSELSLDDVKIDYNRGYYPVGALLRFSSVPLFNTAVRQTREGRQYRLEMISREEFDLKQSYDGKAILLQGVPRNAVPEDIERFLCGTNVEPPPFESFLRPGVPDPIRVVLVKFRSRTDAANAFITKNRGFCLNNPVSMRVLQ >ORGLA03G0124600.1 pep chromosome:AGI1.1:3:8973676:8976187:-1 gene:ORGLA03G0124600 transcript:ORGLA03G0124600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPRPISPGRRLLPVVVLFVALCSIPGIFSQRLVTLDSIDIFTTHEWFPSKPTVYFLCNGEDKVYLPDVKDANNIYTFKGEESWQPLTELPEKKCKRCGLYEEDTFKHDLYDEWELCSSDFKKGKYTHFKEGQFNATFLCPNCTASAGDSANHDSSSEVETKKSSVTVIIIVSVLSSVLVIIALFGGYKYWLKKKRERDQLRFLKLFEEGDDIEDELGLGNEL >ORGLA03G0124500.1 pep chromosome:AGI1.1:3:8972098:8973216:1 gene:ORGLA03G0124500 transcript:ORGLA03G0124500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNQEHEAESWPPAIYHQMPAILEYLEDHRVVVVSAAPGSGKSSVLPRCLAESGYGPVLCAQPRHLAAFVAMAKVGEEWDSDIEFTTTRQLLDRFSSPAPVLAGYGAVVIDEAHDRTLGTDVLLGMVKAALATGTTMGGRCKVVVCTAGGPADGMLSAFFGGAPVVSIPRAAHQVEVRYSRGPVLDMAAAVADEVAAIHASQPPGDVLVFLPENADIIGVHARLLGLPVPGLAVRYVHDNLPAELIDIMLINSPVPDGRRRVVLATDVAETAVLVHGITYVVDTGLVSEQPPVRISKEAAAARAAIAGFSGPAAATGSTSRRSTMISTSTPSHTSGKMARPSGSRSWSSDMPLTASRGLRFLTRHWNRQC >ORGLA03G0124400.1 pep chromosome:AGI1.1:3:8964071:8967575:-1 gene:ORGLA03G0124400 transcript:ORGLA03G0124400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAKKEEVCSHRLGPRLDEPAVGVPIKKRPVLLSDRLLPSGMPPSMRPSSPATGMAVSVAEAGCSKDTFLNRSVSEETSAIAKGNGMFNPQDQRHAKRSFIQSLTKKKGLSLDGSSGIPSRIESGTGNVAPVDDTQSQKFLSLGLCSASRLNGKINSSSNVKEEKDDQGLSSFPSADFQKDAGATNEPKSSSDSSFGRLPNLDLNVPLDPHDPAESLPIVQDSSNILYHETVXLQKAHVPPVPPVSTVSNGLRRNIDSTLNLSNAYGLSNKRGAADVTLDLQLKPPARPELGINWKGLAPVPGLSLSLSSKHVEESENNAGLNLSLSGKHVNESENNAPNVAIRSEPAKEPEEQSQRHVQNDVEKEQPLESQSVGLANNRAEIEKPDGAHQVPGKAALDLNSGIFPNVATANVPLSTERLRDAIRTEAMHADHEVKKSIKCEETTAAIPSHATASVSSRCSPLMATKQLPLGDRDASRAGLRVSASQPSLPTEPACCNPDEANVDCKPTMSHVNSRNVVEVCGSLQSSSNPIPEPSISNSRNRFGFDGMSQGSAEMDCSEDDDNIVSHLSTTNKPHGGTLGNNQTSDSMGSGRNLQKEHDSNTHQNCSFVTNKIDMQGISDDKRFNVKDGVFPHSCQNSHQSGNVVNKESKNKQLLGSDKNTPMNNNDSTIRVKTITGSSTADTRRTTSVQNERDGQVDDPHWRGMGHPYVNXAGLGFLIHMEXKMGEAYAVXEREEQRRVPWWQACAXYIQSTKARLPLWWTWCWIPWXSKELPGSKNEXIXTLLXRXTYGPQKAPLXRLPRAHAANSTXAAPLPANEQSVAGRSDERYGHXWFLWKRCSRPXAAGTXAYGRSIXXHDGGEITTINQN >ORGLA03G0124300.1 pep chromosome:AGI1.1:3:8958735:8962606:-1 gene:ORGLA03G0124300 transcript:ORGLA03G0124300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKLCELQNEESIVVGTRKRGRRRTCAEGGLRKGIDSVIRCHTYQRSLLQTNRPPYFIIRYCMATTTTVDGGDDGMVEDPSKAGSPVPIAAVRSGGIDSRRLVAAAAKMAPRRQSLPRSLVLRKVMTLDYLMTMRQSSLPPALLSYPLHPPRHQPSYHRRKRADSSTMRGSAWR >ORGLA03G0124200.1 pep chromosome:AGI1.1:3:8950981:8951484:1 gene:ORGLA03G0124200 transcript:ORGLA03G0124200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGAGRRGGEERGRGGYGDGGGRGPREEASRDPADSTSATVVAEEEAVVVERRRCWREMELGGRWRRGRGGTNRRRREGKSRIRSRRRRRPRAAPWEEGEVRAPLDASSSLAVARLQMTSSGNIRRWQRQARWATRRGAAAADGRPMGAGMEKAAPPRCPHLCR >ORGLA03G0124100.1 pep chromosome:AGI1.1:3:8947688:8949985:1 gene:ORGLA03G0124100 transcript:ORGLA03G0124100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFRSRRTLPIEEDGEDAPRADLLPGHQRSDRRSASSTNLDQHVVLGSHSPGHVVVDLRPTAANVDGGGKLELDPVGRMPVHFVLAFHDLTYNVGRPRRMAFWRRSRHVETDATTARGGGARAREGALLLDGVSGEAREGEIMAVLGASGAGKTTLIDALADRIQRDSLRGAVTLNGEPLGGRMLKVISAYVMQDDLLYPMLTVAETLMYSAEFRLPRSLSASKKATRVQELIDQLGLRAAANTIIGDEGRRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQGIAKSGSVVIMSIHQPSYRIIGLIDRLMFLSRGRTVYYGPPASLPLFFSEFGHPIPDGQNPVEFALDHVRQLEAIPEGTDELVEFSKAWREKALARAVSSAACTGRHDKPSLPLKEAIRMSIARGKLVSGATTSTGDNGTAAAAASTAKVATYANPWWAEVWVLARRAFTNTRRTPELFLIRLATVVVTAFILATVFWRLDNTPKGVNERFGFFAIAVSTMFYTSADALPVFLVERYIYLRETAHNAYRRSSYTVSNAIVAFPPLVALSLAFTAITFFAVGLAGGAGGFLFFALIVLASFWAGSGFVTFLSGVVPHVIIGYTVVVAGLAYFLLLSGFFITRDRIPSYWIWLHYLSLIKYPYEAVMQNEFGAEAGRCFMRGVQMFDGTPMARLPVETKVRVLEAMSRSMGLELNSGSCITTGPDILARQAVTELGKWSCLWVTVAWGFLFRALFYLTLVLGSRNRRR >ORGLA03G0124000.1 pep chromosome:AGI1.1:3:8937101:8939464:1 gene:ORGLA03G0124000 transcript:ORGLA03G0124000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVDKLPLFDRRPSPMEEAEGLPRSGYLGQLHHHQYYQPHSNMLPLEQSPPTSTKHTSVTLAQLLKRVNDARSGSSTPISSPRYTIELGGSKPESVSSESDDHHSDDGGSEGQPRALVLKFTDLTYSVKQRRKGSCLPFRRAAADEPELPAMRTLLDGISGEARDGEIMAVLGASGSGKSTLIDALANRIAKESLHGSVTINGESIDSNLLKVISAYVRQEDLLYPMLTVEETLMFAAEFRLPRSLPTREKKKRVKELIDQLGLKRAANTIIGDEGHRGVSGGERRRVSIGVDIIHNPIMLFLDEPTSGLDSTSAFMVVTVLKAIAQSGSVVVMSIHQPSYRILGLLDRLLFLSRGKTVYYGPPSELPPFFLDFGKPIPDNENPTEFALDLIKEMETETEGTKRLAEHNAAWQLKHHGEGRGYGGKPAMSLKEAISASISRGKLVSGATDGTVSVAASDHSAPPPSSSSVSKFVNPFWIEMGVLTRRAFINTKRTPEVFIIRLAAVLVTGFILATIFWRLDESPKGVQERLGFFAIAMSTMYYTCSDALPVFLSERYIFLRETAYNAYRRSSYVLSHTIVGFPSLVVLSFAFALTTFFSVGLAGGVNGFFYFVAIVLASFWAGSGFATFLSGVVTHVMLGFPVVLSTLAYFLLFSGFFINRDRIPRYWLWFHYISLVKYPYEAVMQNEFGDPTRCFVRGVQMFDNTPLAALPAAVKVRVLQSMSASLGVNIGTGTCITTGPDFLKQQAITDFGKWECLWITVAWGFLFRILFYISLLLGSRNKRR >ORGLA03G0123900.1 pep chromosome:AGI1.1:3:8929873:8933144:-1 gene:ORGLA03G0123900 transcript:ORGLA03G0123900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTAACKSLGALSKLSAQYAQKALDLLMGMMNDDTEAVRLQTLQALFHMATYGCLTVQEMHMHMFLGLLVDMNASIRDATRKILGLVNLPKLQMFKSAIDVLITSLEKHQEEQEIYSVLFSVGKNHGNFSANIAKHLAKEISMPSDGELILDKPRIKALLIVSISVAFSDDKHNKRDIPEVIFSHAISLLGKISCAIGEVVDQNSLLSYFCQRTGIPFWETKLPSRESEGCSVETVADIRPRIEKTVKSTKCLDEVLTMQSVKSIIETVERTWTIRKSCNIRDVRNILRTCKEELRILASNSSGSTGAFLSFLCEYLDAVQFIVEILRSFQLDNSYDLGPTSPDILLEKLDTSIRRMKCCYAGFNRGMEIQVCELALLANLFGLSKVGIQSKLVLDKLHWVINRLDCLCADGSCELSYFSREIKKAFNANFVGHDIFTLLELFHPKPTTDYGMLKTISADLQSGQIVAPHDCWRVYPAHLLRVVQFWR >ORGLA03G0123800.1 pep chromosome:AGI1.1:3:8928277:8929275:1 gene:ORGLA03G0123800 transcript:ORGLA03G0123800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCCTRSARGPSPPAEEAYDEVDKSRRTTATIKRQRRPVTEVSSRQTWNPFSGAVSRYKVHVALTEAFVYEFGRRRWFGFGQTRRLLVAETTTTTANGRVRRAGAPAGHRGRIRSKPSDGGGTAAAVVDGREEERTNVHLHLLVEDEIRMSSSPPALPAVDQKEKTWFPPGGYNEQCKPPARITYADRCGPESLEAFLESVVAARGGGGSASSHGVHGNRWAEIAEAKARRQRYLRDYCPFQRDEEETTEAAGYDHATVKQPANCPGSEGGDDTTTGVREKDARAVRGTAEYHVMRQEFLKSYQIRTFGEKETRVPALRRLLPRRKTARTL >ORGLA03G0123700.1 pep chromosome:AGI1.1:3:8918967:8924650:-1 gene:ORGLA03G0123700 transcript:ORGLA03G0123700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQEEGKRRDGSDASGSEPAAAAFPAWARTPSECLAELGVAADRGLSSEEAAARLRRYGPNELERHAAPSVWKLVLEQFDDTLVRILLAAAVVSFVLALYDGAEGGEVGATAFVEPLVIFLILIVNAVVGVWQESNAEKALEALKEIQSEHATVKRDGRWSHGLPARDLVPGDIVELRVGDKVPADMRVLQLISSTLRVEQGSLTGETASVNKTSHKIELEDTDIQGKECMVFAGTTIVNGSAVCVVTGTGMDTEIGKIHAQIQEASQEEDDTPLKKKLNEFGEALTAIIGVICALVWLINVKYFLTWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSAVKLVAIGRWPDTLRSFKVDGTTYDPSDGKINEWPSLSMDENLQMIAKIAAVCNDASIAHSEHQYVATGMPTEAALKVLVEKMGLPGGYTPSLDSSDLLRCCQWWNNAAKRVATLEFDRTRKSMGVIVKKADSGKNLLLVKGAVENLLERSGYIQLLDGSVVLLDEGAKALILSTLREMSASALRCLGFAYKEDLAEFATYDGEEHAAHKYLLDPSYYSSIESNLIFCGFVGLRDPPREEVHKAIEDCRAAGIRVMVITGDNKETAEAICREIGVFGSTEDISSKSFTGKEFMSLSDKKKLLRQTGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYDNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYMVIGMYVGIATVGVFIIWYTHGSFLGIDLAGDGHSLVSYSQLSNWGQCSSWEGFKVSPFTAGARTFNFDANPCDYFQGGKIKATTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFSEWLLVIAVAFPVVLIDEVLKFVGRCLTARARKQSGKRKED >ORGLA03G0123600.1 pep chromosome:AGI1.1:3:8915771:8918433:1 gene:ORGLA03G0123600 transcript:ORGLA03G0123600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLEMLVQLAVVVTVLCAAVRAYTPADSYLFLCGTSGNATVDGRTFVGDAGLPASVLMAPQSTEANMPANQVTGADDDSPALYQSARVFTAPANYAFSAKPGRHFVRLRFFPFRYQSYDLAADAAFNVSVQGVVFVDGYTPKNGTAVVREFSVNITGRALVITFTPTGKKVAFVNAIEVVSHPDELIGDTAPMVNPRNQSQYTGLTAKALETVHRINMGEPKVTPNNDTLWRTWLPDWTFLHESSFAAHNQVSPAMIKYQSGYATSLTAPSAVYTTVTELNTTAAMVGNTQAQLNLTWKFDAPAVSDYLLRLHLCDIVSKATLGVVFNVYVGQWRVLQDYESSGDTFSLLATPLYKDFVLAASDAAKGTITVSIGSSTATNALPGGFLNGLEIMRIVGSTGSIDSATSPRGSKIKTGIIAGSAVGGAVLAIALGCVAVRMLRRKKKPVKQPSNTWVPFSASALGARSRTSFGRSSIVNVVTLGQNGAGAGAGYRFPFAALQEATGGFEEEMVIGVGGFGKVYRGTLRDGTQVAVKRGNRLSQQGLNEFRTEIELLSQLRHRHLVSLIGYCDERGEMILVYEYMAKGTLRSHLYGSDLPPLPWKQRLEACIGAARGLHYLHTGSAKAIIHRDVKSANILLDDGFMAKVADFGLSKTGPELDKTHVSTAVKGSFGYLDPEYFRRQMLTEKSDVYSFGVVLLEVLCARAVIDPTLPREMVNLAEWATRRLRDGELDRIVDQKIAGTIRPDSLKKFADTAEKCLAEYGVERPSMGDVLWCLEYALQLQVASPDSSVTTLQRSSSISSVVTDATVSANLGDLDGMSMKRVFSKMLKSEEEGRRKMH >ORGLA03G0123500.1 pep chromosome:AGI1.1:3:8911542:8914824:1 gene:ORGLA03G0123500 transcript:ORGLA03G0123500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAADAIGVVYSSGSTTRLLISDTLRTPGRTIRNFVVGCSLMSVYQQSSGLTGFSCGVPSVPSQLGLTKFFYFLLARRFDDNATASDELILGGAGGKDDDEECAATEEGVRRRGTGGGSIVEEKGLGLSPYIAMSSRTKTMELPKISLYFKGGSVMNLPVENYFMVAGPAPSASVPAMAEAICLAVVSDVPTNSGGAGVTSAHAHVSGPPAVRPSQGCIKGAWRGWQRWGDKDAGAVRRRAWPLADILGRLLARSLATSRGSSLHQGRARQPWTDTGMPLLDEALANMASSGAPRTGRDHNIIGYGQTSRLKYHNLLAQIVYTGWFRKMIPKWHARRWGENDGCLPIRLRAN >ORGLA03G0123400.1 pep chromosome:AGI1.1:3:8902655:8910822:-1 gene:ORGLA03G0123400 transcript:ORGLA03G0123400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRNAVHRAVEASGGPLLTRTVRSSLGTVVHHAGQAVAGGARLINDRIGSRSYKSMRLTAKRLEDAALSYRGEDRVQLLRRWLVMLRETQRAAAAEKEAKRAGHPDQHLPVLDLYMDYETGAEPMNFIHVFLYSQALECLVLSMIMEFPTEEEASLLSEVFGLCLPGGKDVHNAILSSIKELAKLFSTYHDEVLAKRAELLQFAQCAISGLKINPEISRLDDEILQLQQRINGMDALRSNSTSRRSKASQTVAEGFRTAVNEIRLCSRMEELVLMKKSMHHGNSFETYFEKVNKLKVLSESLANSAAKAERRIMENRLQKEESLIFRVTKTNEVSVTEKEILAEISGLQKQKDLLEDELKKVNNILNAAVMKLKKTREERDQFDEASNQIVLHLKAKEEELSRSIASCKVESSTVGAWIIFLEDTWKLQSLYEELRKKQANDELDKCATCFAKLINHHLYARVEELSTCIDSIKTFVDNLKIFDNRSVSAEDGNNGSSKQSNPRKYLEEEYLEAEKKVVAAFSLVDNIRAIYLSNQDYQARRDDPDVKKLFANIDKLRVEFESVPRPLLQIEIKEREERAKQSRSLQAARSSRQAGHESPIPAQLRTRLPSESDSELAKSDPEYREYSADDISGWEFDDLEDDGARLSVKSI >ORGLA03G0123300.1 pep chromosome:AGI1.1:3:8900257:8901213:-1 gene:ORGLA03G0123300 transcript:ORGLA03G0123300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRAAMARASAQCFGEEEYIDLDLSSCRGFEFRVCRSAAAPPPCGDEMLFRGSRLHKAKHQQEADAGGGGGGGGGCGGGRRSTATVAPWHAAAAVAGIRNAQPAARMQRQPDGGATGRRKKGAAGSVHAKLQASRAFFRSLFARTSCSDEQCHGVGVRATTRSSRAATATSGAAGSVKPAPFGQIRNSYGSCSGRGAAAAPTTLRSSIEQEKLMDEEEHAASVRQRKSFSGVIKWRPPPAPAAAAARPPPPPAFPTRRSSSAASDPPLKRCSSARSESEGLIQGAIAYCKRSQQQLVLARKSVSDAALCSLQFQTQL >ORGLA03G0123200.1 pep chromosome:AGI1.1:3:8893760:8895576:1 gene:ORGLA03G0123200 transcript:ORGLA03G0123200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKNQQSGDGQQRPAQQAAGMDPAKAAAQAATRRKKMTKQLTGKRDDTALHGAARAGQLVAVQQTLSGAPPDELRALLSKQNQAGETPLFVAAEYGYVALVAEMIKYHDVATACIKARSGYDALHIAAKQGDVEVVNELLKALPELSMTVDASNTTALNTAATQGHMEVVRLLLEADASLAVIARSNGKTALHSAARNGHVEVVRALMEAEPSIAARVDKKGQTALHMAAKGTRLDIVDALLAGEPTLLNLADSKGNTALHIAARKARTPIVKRLLELPDTDLKAINRSRETAFDTAEKMGNTESVAVLAEHGVPSARAMSPTGGGGGNPGRELKQQVSDIKHEVHSQLEQTRQTRVRMQGIAKQINKLHDEGLNNAINSTTVVAVLIATVAFAAIFTVPGEYVDDAGSLTPGQALGEANISHQTAFLIFFVFDSVALFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLVSVAFLALSFVVVGKAERWLAVGVTIMGATILVTTIGTMLYWVIAHRIEAKRMRSIKRSSLSRSRSFSASGMSEAEWVEEEFKRMYAI >ORGLA03G0123100.1 pep chromosome:AGI1.1:3:8888008:8890589:1 gene:ORGLA03G0123100 transcript:ORGLA03G0123100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSDKGEGDLEIGLASPEAEGGGPGGPALDLSPPRAVRRPGLVMSHSGKRLDQSPAASPSPSRPVLVMSHSSNRLDQSPARPVLVMSRSSNRLDQSPASSPASSRGPVLVMSGSGNRLDSSGPSPSPSPTAAAAAAPVLVLSNSGKRMDQAGRKKYVKQVTGRHNDTELHLAAQRGDLEAVRQIIAEINAQMTGTGEEFDSEVAEIRAAVVNEPNEVEETALLIAAEKGFLDIVVELLKHSDKESLTRKNKSGFDVLHVAAKEGHRDIVKVLLDHDPSLGKTFGQSNVTPLITAAIRGHIEVVNLLLERVSGLVELSKGNGKNALHFAGRQGHVEIVKALLDADPQLARRTDKKGQTALHMAVKGTSAAVVRALVNADPAIVMLPDRNGNLALHVATRKKRSEIVNELLLLPDMNVNALTRDRKTAFDIAEGLPLSEESAEIKDCLSRAGAVRANDLNQPRDELRKTVTEIKKDVHTQLEQARKTNKNVSGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDNNGVAIAVHAVSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEIINKLMWLASVCTTVAFISSAYIVVGKHFQWAALLVTLIGGVIMAGVLGTMTYYVVRSKRTRSIRKKVKSTRRSGSNSWQQNSEFSDSEIDRIYAI >ORGLA03G0123000.1 pep chromosome:AGI1.1:3:8883550:8886089:-1 gene:ORGLA03G0123000 transcript:ORGLA03G0123000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLNRQPTLSKQHRPHHHRLPLPRSLASYLLREHRLLFVLLGFLLASSCFLIYPSFTPLSSSSSSPRDTVAARIRRGGGGGGGASSVVVSAAAASRRLPVGVRKPPLRVVVTGGAGFVGSHLVDELLARGDSVIVVDNFFTGRKENVARHLADPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPIKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQTLRKQPMTVYGDGKQTRSFQYVSDLVFLVDGLITLMESEHIGPFNLGNPGEFTMLELAQVVKETIDPSARVEFKPNTADDPHMRKPDISKAKSLLHWEPKISLKQGLPRMVSDFQKRIMDEKR >ORGLA03G0122900.1 pep chromosome:AGI1.1:3:8882088:8883044:1 gene:ORGLA03G0122900 transcript:ORGLA03G0122900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1PA33] MVDKAAVWLVVIALAAAANGAFAGRVLEEQPAAAPAPAEAPVAPVDPLPAPTDPPADTVVAPAAVPAGGAAATGNAGVGAAGGGAGAGDHHQLTFFMHDILGGSQPSARIVTGVVASAAANGQLPFARPNDNIFPIQGAMPLPQGASNLVNGNNVPYVAGLGGTSSAAIVQGNGNGNGSNKNIPFVNAGDLPSGATLQNLLFGTTTVIDDELTEGHELGAAVVGRAQGFYVASSQDGTSKTLVLTAMFDGGGVEAHGDTLSFFGVHRMAAPESHVAVIGGTGKYENAKGFAVIQTLHPGDEHTTDGVETLLQFSIHLI >ORGLA03G0122800.1 pep chromosome:AGI1.1:3:8874586:8880769:1 gene:ORGLA03G0122800 transcript:ORGLA03G0122800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGLVAAASSSRGLQTTPGMTGIGRGRSRHRGEAGEGWMERNAAAGSTSPAAVDHRGTVLRKQTSNPGMLSDSMLAEGNWRSKSKKASGTPMKTLIDEEFSKDVNARHTSPGVVGRLMGLDSLPSFGANNQHRYAQSHAEKSSPCCAHERRSFSEYIPHRRSTDEMPEVKDVFEVMEATRMKIHRSPRSKNGNVTSTFGKTGSPDLDQMRQKLMDAKRLSTDESLQISEELSETLDVLASNKDLLLQFLQKLDPIVKRDLHDHDSPSSTANCITILKPSRRNQFTDTDNIYSQDKGAESYFYKQKEVEHSQSRPYTKLPNQSPKEDSGSLRQKLSRSSHQEISDKRVCSTRIVVLKPSLDKAQDIEGAFALRNELSRFDFRRHKPCHGDAMWSPCTEEYIGPLRDSETFDDVAKGSKEIARGVMKQMRAARGVGTRKHIFKPETSTFVSDERSQPLSSRSNVKSSEVFHRSSELHDGYASSSFMSSPSYSTETKVSREAKKHLSNRWKATHRYQHQADKNNGFSMLGDMLALSDQEASKVATQKISNRKYPKGESQKDRMTSTCNSPLGISSNDGWRDVATGSLPRSKSLPTPFNRGVQKSNNRKRTGRHNEFSMLKDVLKVGPYDSEHACNSRNRKSLFQDATFHKDGADRVSSDNEERAIIEREIHVNSEEPINGIALANSSKGTLLHPSNPDNELDTVYYLDTSPVVPGQKKELCSPDRQNQQIHQQSPIESDDHLLVPRLNISMTQAEGIEQHQCDDNPVCNFEEKSVSAMRIDDHQSDGNQVPWMIPQTGSESPVSSDKDDQQSPVSVLESSLDAEDNYSGDFEKISADLQGLRMQLRLLKMEATDSADDTELISSDDELTTESQPLPDKEISPTFRDEEERDFSYVLDMLIVLGINTANRDQLLDMCYLSECPAGSDVFDVLENKYNSLILWPSLERKLLFDLTNDVIADIITSVMQHSSKGLSWSCSSRLDQEGFVEVVWQRVVELRQEMEYAHEGLFMDLGWVGSEDGIDLVASEVGKMVHEDLLQETISEFLGVTKSAMICGWNEP >ORGLA03G0122700.1 pep chromosome:AGI1.1:3:8854727:8855517:1 gene:ORGLA03G0122700 transcript:ORGLA03G0122700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDDSPASYIHMVQHLIEKCMTFGMSMEECMETLSKRADVQPVVTSTVWKELEKENKEFFDKYKQLRSEKGGVSSS >ORGLA03G0122600.1 pep chromosome:AGI1.1:3:8837595:8845716:-1 gene:ORGLA03G0122600 transcript:ORGLA03G0122600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTGLFGWASPHVQPLTPVSEVSEPPESPSPYGDGPAGDAGVGAREGEGLGPGEEEVEDDEVEPPPSAVSFWRLFEFADGIDWALMVAGALAAAAHGAALVIYLHYFGRSLNLLDSERVESALHGRSDELLHRFKEHALYIVYIAGGVFAAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSAISEKVGNYIHNMATFVGGLVVGLINCWQITLLTLATGPLIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAIAYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLIARGKADGGQVVVALFSVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSSTNQEGSTLPLVQGNIEFRNVYFSYLSRPEIPILSGFFLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKVEWLRSQIGLVTQEPALLSLSIRENIAYGRSATFDQIEEAAKTAHAHGFISSLEKGYETQVGRAGMALSDEQKIKISIARAVLSNPSILLLDEVTGGLDFEAEKAVQEALDVLMLGRSTIIIARRLSLIKNADYIAVMEEGHLVEMGTHDELLNLDGLYAELLRCEEATKLPKRMPTKNGKERKSLQIEDLSASQSFQESSSPKMAKSPSLQRTHGMLQFWRSDTNKNSHDSPKDQSPPSEQTIDNGIPLVATERVPSIKRQDSFEMKLPDLPKVDIHPIQRQSSKNSEPDSPISPLLTSDPKNERSHSQTFSRPQSERDDTSSEQSEPEELQHHKPPSFWRLAALSIAEWPYALLGTIGAAIFGSFNPLLAYTIALIVSAYYRIDVSDMHHEVNRWCLFIVGMGVITVLVNWLQHFYFGIMGEKMTERIRRMMFSAILRNEVGWFDKEENSADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVSVALLIGMLLGWRVALVALATLPVLVISAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLHLGKILKQSLLQGLAIGFGFGFSQFLLFACNALLLWYTAISVDKQRLTIATGLKEYILFSFASFALVEPFGLAPYILKRRKSLISVFQIIDREPKIDPDDNTGLKPPNVYGSIEFKNVDFSYPARPEILVLSNFNLKVSGGQTVAVVGVSGSGKSTIISLIERFYDPVTGQVLLDGRDIKSFNLRWLRSHMGLIQQEPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTAGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEQGTHDSLMDLNGLYVRLMQPHFGKGLRQHRLM >ORGLA03G0122500.1 pep chromosome:AGI1.1:3:8835259:8836843:1 gene:ORGLA03G0122500 transcript:ORGLA03G0122500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP-like protein 2 [Source:Projected from Arabidopsis thaliana (AT2G39470) TAIR;Acc:AT2G39470] MASLQNLICSVSKQLVAPNCAVTSKLNASPLSVVNASSSEASSDEKNVTRRRLALLGAGALATGLLKSSSAYAEEVPKNYKSYVDSKDGYSYLYPADWRDFDFLGHDSAFKDRNVALQCVRVGFIPTTKTDIRDLGPMDEAIFNLVNNVYAAPNQIPTVYDMQENKQLSCCDSQRTVDGKNYWTFEYDLEAPGYGVSAFATVAIGNGRYYTLIVTANERRWSRLRNRLKVVADSFKLSDLTA >ORGLA03G0122400.1 pep chromosome:AGI1.1:3:8832971:8834635:1 gene:ORGLA03G0122400 transcript:ORGLA03G0122400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoinositide binding [Source:Projected from Arabidopsis thaliana (AT1G61620) TAIR;Acc:AT1G61620] MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIHPLCCPKGHTFCKECILECLLAQKKDIKRKLAAHEAQKKLEKEEEDEKRMLQKSKELDAFDQQNHGAVPQYHDRSGSEDKNGFHGANSVKVTSFEEEALRNMKAFWLPSATPEATAKVETPSTDTICPEGQEKLKLKSLFPISFTEENTDQKNKKSVEKSYMCPSCKSTLTNTMSLVTISTCGHVFCKKCSDKFLVTDKVCLVCNKPCKERNFVPLEKGGTGFAAHDERLEARDFKHLGSGSGLGLVKPAPKNY >ORGLA03G0122300.1 pep chromosome:AGI1.1:3:8826259:8830162:1 gene:ORGLA03G0122300 transcript:ORGLA03G0122300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSLLTLGRVITALVEHSVHVPYRDSKLTRLLRESLGGKAKTCIIATVSPSIHCLEETVVTLDYAYRAKSIKNKPEANQKVCKSVMLKDLYQEMERMKQDVKAAREKNGIYIPQERFALEEAEKKTMRDKIEYLETQNKELKMNIESCKKEYLDLEEAHSRANISLKEKEFIISNLLHAEQSIVERAKDIRGALENASGDISALVDKLGRQSNTEAENKGLLFDFRSQLDHGLDLLHDTVVGCVCEQRQFLESMNEQNKIYFSAKSESTSQLERRIAKAKDIYASGVQCMNQLANTLHQRSIAHSEQMGLNILSHATRAANFLAVMVSEAEQVSNDVFKSISELKELLAFSADQQEVMLKRDLVSAQVMSKTSIDFFEDIRGHASRLIEHMEQSQAESSSQLLKFEEDFKELSVREEQAALDKIAGILAGLTAKKSTMVLDCVGQLNGKCREEQKHLKLQISNLQKVSDSGGKEAAAYAAKVESQFSEDKLSHCKIKDQMEDILQQSLKKTVHSVSYWSHTETSLEHLNKISVVEADDFIEETRKENESILQKMLIVSTQNDAKFAAITSDMLTAVKDSHLRDSESRMRIETVFATSSDHLEMLDTKHSQGTESIRSMTAKCLERDYKANSPVRRRPGELMTNAYSLESIEQLRTPVPDLVVKFRSENNLDEVDKGKRYVDQGTRTPRSPLMPVNHYNK >ORGLA03G0122200.1 pep chromosome:AGI1.1:3:8805258:8805833:-1 gene:ORGLA03G0122200 transcript:ORGLA03G0122200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKRPRQQAEEAAFSLFDSSDMARIMLLFSGAHGGGGGAAAAASPPERMFECKTCNRQFPSFQALGGHRASHKKPRLADGDPAAEAPAKPKVHGCSICGLEFAVGQALGGHMRRHRAVMADGLGLGLSLGLGIGVVGQSDDDGGKKKAAAAAAAELVFDLNAPAIEEEPDRARPAGLAVEFPVVVDFPC >ORGLA03G0122100.1 pep chromosome:AGI1.1:3:8800335:8801078:-1 gene:ORGLA03G0122100 transcript:ORGLA03G0122100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPPPPPPQPPSPSAATAGAAAAPVDALFLQNLMSRVQLRPPFLDTNSFLTQDLDEFLLNEFAALSAAAGASDDDDDGEDGEGEGSDGEVVSGEARRRRTLAREEAKLEKEIVRLVLAGEAEEALKPNSGQSVAVGDHHICVGFHDDSGGEYRVWEWHGHVMIFDDEDGYSAEYIYGNHFEPLAAATARAKEKEREKREKELSSGLRDLILGDTGSGANGSKENGKGGAPRVVRRNVVNAPAAPAR >ORGLA03G0122000.1 pep chromosome:AGI1.1:3:8793934:8798225:-1 gene:ORGLA03G0122000 transcript:ORGLA03G0122000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASNKHELMKYLSLTLERLQKQQLCRWIRHDDLDMLTDELERKRYRTLILMADTFLANDLRQFPSAKRKASLYTSTLANIFILMLKVSSVAVKCEASNSPSTTVGFDRMIAADYDLFHHMSFSPSLQNLQSPTFFTTRSSESYLGESSIYGGGARPALAQFSYSQPIAATSAAHLVRWTAAGEPMTGDGGFRSSKRLKTATTATTQPPRHGVKCHAKPRNQTTKATCNKRSQKLGDRITALQQLVSPYGKTDTASVLHEAAACIRQLHQQIQILTAPYPGTSSSSASSQQQDAGGGGGTATELRRRGLCVAALSPAVVSLAAEGGRRRTDVEDQKRIWFSNQ >ORGLA03G0121900.1 pep chromosome:AGI1.1:3:8787267:8791090:-1 gene:ORGLA03G0121900 transcript:ORGLA03G0121900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine biosynthesis bifunctional protein ArgJ, chloroplastic [Source:UniProtKB/TrEMBL;Acc:I1PA23] MPPPSLLLLHPRTPLPHHHRSSFRTSSPRPSRVVCAAAEGFISAAPILLPDGPWKQVEGGVTAAKGFKAAGIYGGLRAKGEKPDLALVACDVDATVAGAFTTNVVAAAPVLYCKRFLNSSKTARAVLINAGQANAATGDAGYQDTVDSADAVAKLLNVSTNDILIQSTGVIGQRIKKEALVNSLHRLVGSLSSSIEGANSAAVAITTTDLVSKSIAVQTEIGGVPIKIGGMAKGSGMIHPNMATMLGVLTTDAQVSSDVWREMVRTSVSRSFNQITVDGDTSTNDCVIALASGLSGLSSILTHDSTEAQQFQACLDAVMQGLAKSIAWDGEGATCLIEVTVAGANNEAEAAKIARSVASSSLVKAAVFGRDPNWGRIACSVGYSGIQFDADQLDISLGAIPLMKNGQPLPFDRSAASKYLKDAGDIHGTVNIDVSVGRGGGSGKAWGCDLSYKYVEINAEYTT >ORGLA03G0121800.1 pep chromosome:AGI1.1:3:8779003:8779583:-1 gene:ORGLA03G0121800 transcript:ORGLA03G0121800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:I1NNE5] MDAAGAGAGGKLKKGAAGRKAGGPRKKAVSRSVKAGLQFPVGRIGRYLKKGRYAQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKAAAAGKEAKSPKKAAGKSPKKA >ORGLA03G0121700.1 pep chromosome:AGI1.1:3:8772741:8777008:1 gene:ORGLA03G0121700 transcript:ORGLA03G0121700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:I1PA21] MAPKAEKKPAEKKPAAGEEKSAEKAPAGKKPKAEKRLPASKASSKEGGAGDKKGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKGYHFTQQRKNLKLSSHHLALLRKVIGDGGDTVIGDGGGGLQRLPRRRPWSRGGGRAAPKSTPPAKYARGRRPRRGSGGHAPHPESGGGGGGGGGAREWRDVGTTMRGGGGRGRGKSAQAADRCCRRMPMDGGPPTPTLAEVLSLSPPTTPRSRAPSSSRRRRMAAQTLATTAAGGQTAAEVARPPDSTPPAESGEEGGLGVHTGEEGNAATTGEGSGPFFPIIAAPSLPSPTQPPLLLPRHRGALLVTEEIGRXRRCRWEAATARDGDGAVR >ORGLA03G0121600.1 pep chromosome:AGI1.1:3:8771561:8772196:-1 gene:ORGLA03G0121600 transcript:ORGLA03G0121600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, subunit B/B', bacterial/chloroplast [Source:Projected from Arabidopsis thaliana (AT4G32260) TAIR;Acc:AT4G32260] MATAMMAATATSCSPRRAPVVASSSAQPPRRQQQQQPRRGLKQLPGLVATAAVAVAAAPLPALAEQMEKAALFDFNLTLPLIATEFLLLMVALDKLYFTPLGKFMDERDAKIRAELGGVKDASEEVRQLEEQAAAVLKAARAEIAAALNKMKKETTQELEAKLDEGRRRVEAELVEALANLEAQKEEAVKALDAQIASLSDEIVKKVLPSA >ORGLA03G0121500.1 pep chromosome:AGI1.1:3:8769511:8770618:-1 gene:ORGLA03G0121500 transcript:ORGLA03G0121500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G37510) TAIR;Acc:AT2G37510] MALSSSLLHRLLRGSTPVSSSSAAASSILRATFCSSSSSAPSPTESPLSSVFGDGAEVSNVPPLTAPKLFISGLSRLTTDEKLKNAFAPFGQLLEAKVITDRISGRSKGFGFVRYATLEEAENARQEMNAKFLDGWVIFVDPAKPRQQKPAPRPDTDSSHTGFTTNKTVGWCG >ORGLA03G0121400.1 pep chromosome:AGI1.1:3:8759964:8768479:1 gene:ORGLA03G0121400 transcript:ORGLA03G0121400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPPSPPPQQTAATTARGFEVTCVKDLLPLLQGVPVTYRFEKHNAKLEGTVAAGGYACACPAYAGCDYRGKVLSALQFEKHAGVTSKNQNGHIFLRNGRSLYELFHKLREVPAEKFPEAFRMAAGVPMTVLAAAAAAAAEEAPRERGLGPGPVAAEQPPASATPRPRPTMEMLTEEEKAGLSLLGLRASGSRTEINSMDGIEGLATEAIGNAPSSDHAMLDAEEMGNAAAQRPRNCGLSTTTTVKVPVTARNNHAMPDANEVRNADGGRSATLAVKLEVTSGSDHAMSDAKELKNADYEQPRDSVLATTSAVKVRIGAANDHAMPDAEQTRNPVLEQPWDSSMLITAPVKVRVTETKYRPESILKDVRGLLSTGLLEGFRVTYKKNEVERIGRINGQGYSCGCSECGYRNIMNACEFEQHSGESSNNQNNHIFLDSGISLYMVIQGLKYTKLDMLGDVIGKVISLPPNMIQYEKWKASFQLEKDYFDDAPSDPCSTQSSQESNIALTDSLKDSTSNASSILNWSSFRRRSDRQFKRGGTETSTPILSRSPEKEISDLSTSTSMKSEETPSENTAGLLTTDVTVIQDPPPDHNVDSNSKDLGQPKVRDNTLHPLLFKEGGLPDYTLLTYKLKNGEVLKQGYKLGTGIICECCSIEVQYTPSQFEKHVGMGRRRQPYRSIYTSDGLTLHELALKLQDGLSSNVNIDELPTLTSGSGKEYSTTSRPIIVPLKRTLQERVLTVESCYMCRKPHTVLGVISVDMIVFCNQCERALHVKCYNNGLQKPKAPLKVLGEYTQFNFMCCEKCQLLRASLHEGLKKREDIAFLRRIRYNICWQLLNGTNMRSDVQHQVIEIFKDAFAETAPQDIDDIRNMVNSKDTTGEKDFRGIYCAVLTTSTFVVSAAILKVRTEEVAELVLIATHNECRKKGYFSLLLSLIEAHLKAWNVRLLTAPVDPEMAPIWSDKLGYTILSDEQKHSMLMAHPLVMFANLSLVQKSLA >ORGLA03G0121300.1 pep chromosome:AGI1.1:3:8741467:8745321:1 gene:ORGLA03G0121300 transcript:ORGLA03G0121300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:poly(A) binding protein 7 [Source:Projected from Arabidopsis thaliana (AT2G36660) TAIR;Acc:AT2G36660] MAAQEMEVMMVAMAAEAPVAVEEEAVPAAAGGLNATVPALYVGDLHESVREEHLLEVFGKIGTLTSVRVCRDNATSNSLRYGYVNYLSQADAAIALEKLNHSLILDKPIRVMWSNRDPDARRSGVGNVFVKNLNDLVDNVSLQELFCKFGDILSCKVAKNEDGTSRGYGFVQFALQESADASIQNLNNSHFCGRQLHVATFIKKSERSTNNDDKYTNLYMKNLDDDIIEELIKLKFSQYGLVISVKIMKRDDGTSKGFGFVSFQNPESAKRAKESMNGMLLGSKTLYVARAQKKAERKQYLQRLHEEKRNEIITKSNGSNVYIKNINDEVGDDALRERFNEFGNITSAKIMRDEKGISKGFGFVCYNTPEEAKCAVSNMRGVMFYGKPLYVAIAQRKEERRAKLEQRFAELATMVGATSPVIPTGYPQFYFAHPSTHFPQSPGRQGFMYPPIGISQEWRHNMFPSSHNIQQIHSPIMRNTPRQYRNNRGRMNGNMMHFHHTVNYVPHAQPAKEFMSMSRQRFSHAKYIPNDVMANGLAIHHGDSISSMNDAFNNLLATAPPEEQKNMLGNRLYPLVERHHPDLASKITGMLLELGNSEVIMLLYSSNMLSAKIEECVKLLQAVKPKPEDQEALHPGFLLDSAGVNAN >ORGLA03G0121200.1 pep chromosome:AGI1.1:3:8736290:8739035:-1 gene:ORGLA03G0121200 transcript:ORGLA03G0121200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAARRLRELQGQAGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWTEAQLRKMEAGGNDRLNAFLAARGVPKETPHVAKYNSNAAAAYRDRIVAVAEGRPWTDPPVVKETPGSGAPAPTSKPPLPAGGGGGGGGGGGWDDWDDDFRPDMRRNQSVGSFGESGAESGRQPPRSKSTQDMYTRQQLEASAANKDSFFARRMAENESKPEGIPPSQGGKYVGFGSSPAPSANRNGAAAQGDVMQVVSQGIGRLSLVAASAAQSAASVVQVGTKEFQSKMREGGYDQKVNETVNVVANKTAEIGSRTWGIMKGVMALASQKVEEYAKEGGNGWGDDWQRKEQGSEPYHRFERETNGNGWNSSSHDGSSKNYNSNSWDDWDEPVKKDEPAKERQSSDSWAGWDDGKDDNFDSYNHSTPSKGSNQNGTTGGSYWTEGGFR >ORGLA03G0121100.1 pep chromosome:AGI1.1:3:8731515:8733536:-1 gene:ORGLA03G0121100 transcript:ORGLA03G0121100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G02530) TAIR;Acc:AT5G02530] MAETLDMTLDDIIKNNKKANPSSGRGRREGRRGSAAGGGGGGGGGVGGGGGGGVGPTRRPFKRSGNRAGPYQPPKAPESAWQHDMYSDVAAGGGGGSGGGGRVSAIETGTKLYISNLDFGVSTEDIKELFSELGDLKKYVIHYDRSGRSKQGTAEVVFARRGDAVAAVKKYNNVQLDGKPMKIEILGTNTPTAAAALPANNGGYVRNVAKSAPRGGPAGLPQGRPRPRGGGRRRGGGGGSGGPGGSGGRRGKERSQPKSAEELDADLEKYHADAMQTN >ORGLA03G0121000.1 pep chromosome:AGI1.1:3:8726912:8729034:1 gene:ORGLA03G0121000 transcript:ORGLA03G0121000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYEPKNILITGAAGFIASHVANRLVRNYPHYKIVVLDKLDYCSSLSNLNPSRPSPNFKFVKGDIASADLVNYLLTTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTMKERRVIDVAKDICKLFGLDTEKVIRFVENRPFNDQRYFLDDQKLKKLGWAERTLWEEGLKKTIEWYTNNPDYWGDVAGALLPHPRMLMTPGVERHNWTDEIKSLSTSPDEAKESSTAVPAATAKSTSSAPQKASYKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLEERSQLLQDIRNVKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCREQGLLMINYATGCIFEYDAKHPEGSGIGFKEEDKPNFTGSYYSKTKAMVEELLQEYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYKKYLNPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKSEFPELLSIKDSLVKYVFEPNRKVPAN >ORGLA03G0120900.1 pep chromosome:AGI1.1:3:8718025:8721191:1 gene:ORGLA03G0120900 transcript:ORGLA03G0120900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKEANGSSNGEHTTRPPPTPSPLRFSKFFQANLRILVTGGAGFIGSHLVDKLMENEKHEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAVRGEPLTVQKPGTQTRSFCYVADMVNGLIKLMNGDNTGPINLGNPGEFTMLELAENVKELINPEVTVTMTENTPDDPRQRKPDITKAKEVLGWEPKIVLRDGLVLMEDDFRERLQVPKKNQA >ORGLA03G0120800.1 pep chromosome:AGI1.1:3:8714635:8715276:-1 gene:ORGLA03G0120800 transcript:ORGLA03G0120800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XGPYPLAPPLSFSSSGRHSLLLQILATESASDAATSIVASGHRRRTSQGLKKKGDKGETNLTMATALARPPCVVSVEVRPVVMRSKAVSVLTSPLPSLALGERKAAPYAAATREEAMTRTSTRTTQCAIAAMDQVLAHLTAGRSSPCTSSPSRVARGPPPTAPPMLACFRCQDLEKDETWXPEEEQNEREGADGWGPCCFPRGHATXVKVENN >ORGLA03G0120700.1 pep chromosome:AGI1.1:3:8707071:8707956:-1 gene:ORGLA03G0120700 transcript:ORGLA03G0120700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISSKACRCLLLVSFALLPLSMAMDPLGSYCSGNSLAGSSKAVASINSVLTDLVTKGSTGVGFATSTAGKGNNVIYGLVQCRGDVSTSDCQACLASAANQILTSCNYQSDSRIWYDYCFMRFENENFFGQADTDNGVIMENVQAMDNTKAFQKAVGKVMSKATAQVSQAGSGGLGRVKDQYTPFINIYGFAQCTRDLSPLTCAQCLSTAVSRFDQYCGAQQGCRILYSSCMVRYEIYPFYFPLATSSTATTDITKYTKTIVHH >ORGLA03G0120600.1 pep chromosome:AGI1.1:3:8702815:8703697:-1 gene:ORGLA03G0120600 transcript:ORGLA03G0120600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSKACRCLVLMSFALLPLSMAMDSLGSYCSGNSLAGNSKAVASINSVLTDLVAKGSTGGGFATSSAGKGNNVIYSLAQCRGDVSTSDCQACLASAANQILTSCNYQSDSRIWYDYCFMRFENENFIGQTDTDAGVILVNVQAMDNGKAFQKAVGKVMGKATSQAGSGGLGRTKDQYTPFINIYGLAQCTQDLSPLACAQCLSTAVSRFGQYCGAQQGCQINYSSCRVRYEIYPFYFPLATSARSATTDMTKYTKIVVHR >ORGLA03G0120500.1 pep chromosome:AGI1.1:3:8699853:8700606:-1 gene:ORGLA03G0120500 transcript:ORGLA03G0120500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSIAMSRESQRQRTWRXEEWSLRACAPPPRSTDSGGCTRTHKWELVGSKRDRTLLCTQASSIDQAVRDKPQLQPSVMASEGAVSPAFAYTVVYVKDVAKSAAFYSAAFGYTVRRLDQSHKWAELESGTTTIAFTPLHQRETDALTGAVQLPDSAGERGPVEICFDYADVDAAYRRAVDSGAVPVSPPEQKSWGQKVGYVRDIDGIIVRMGSHVRA >ORGLA03G0120400.1 pep chromosome:AGI1.1:3:8692684:8696720:-1 gene:ORGLA03G0120400 transcript:ORGLA03G0120400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQADMKMWPFKVVPGPADKPMIVVTYKGEEKKFSAEEISSMVLTKMKEIAEAFLSTTIKNAVITVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKAASTGEKNVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRRCMEPVEKCLRDAKMDKAQIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQRVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELTGIPPAPRGVPQINVTFDIDANGILNVSAEDKTTGKKNKITITNDKGRLSKEEIERMVQEAEKYKAEDEQVRHKVEARNALENYAYNMRNTVRDEKIASKLPADDKKKIEDAIEDAIKWLDGNQLAEADEFEDKMKELESLCNPIISKMYQGGAGGPAGMDEDAPNGGAGTGGGSGAGPKIEEVD >ORGLA03G0120300.1 pep chromosome:AGI1.1:3:8684184:8685803:1 gene:ORGLA03G0120300 transcript:ORGLA03G0120300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLLTALSMDTTTAHHPHQGPSTFLSMDTASHDDFDLFLPPPPGPFRRCLHAAAAAPPDINLPLDADPSPPPPALQSGALHDPNVDMLDVGLGGPQLYDSDSPAATTGVSPAPAAATTTVAVSHAKGSNSSAARKCVKRNDTIWGAWFFFTHYFKPVMSADKNGKVKAPTAGGNGNNATLDAFLVQHDMENMYMWVFKERPENALGKMQLRSFMNGHSRLGEPQFPFSAEKGFVRSHRMQRKHYRGLSNPQCLHGIEIVRAPNLAGVPEADLKRWTELTGRDANFSIDAEASDYESWRNLPSTDFELERPATTAATKTSSHGHHKKLLNGSGLNLSTQPSNHSSGDGLDIPNICNKRRKDSSPTAMEEDCSNSNSDKVQDMEVSHTFEPSWMNDFTGVMRRASGPVTAAKTIYEDSKGYLIIISLPFADIQRVKVSWKNTLTNGIVKVSCTSVGRMPFLKRHDRTFKLVDPTPEHCPPGEFIREIPLPTRIPEDATLEAYCDESGTGLEIIVPKYRVGPEEHEVHVSMRPPSSWCQS >ORGLA03G0120200.1 pep chromosome:AGI1.1:3:8673883:8676984:-1 gene:ORGLA03G0120200 transcript:ORGLA03G0120200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP dissociation inhibitor family protein / Rab GTPase activator family protein [Source:Projected from Arabidopsis thaliana (AT5G09550) TAIR;Acc:AT5G09550] MDEEYDVIVLGTGLKECIISGLLSVDGLKVLHMDRNDYYGGESTSLNLTKLWKRFKGNETAPEHLGVSKEYNVDMVPKFMMANGALVRVLIHTSVTKYLNFKAVDGSFVYNNGKIHKVPATDVEALKSNLMGLFEKRRARKFFIYVQDYEEDDPKSHEGLDLHKVTTREVISKYGLEDDTVDFIGHALALHRDDNYLDEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLAELPQAFARLSAVYGGTYMLNKAECKVEFDENGKAYGVTSEGETAKCKKVVCDPSYLPDKVKKVGRVARAICIMKHPIPDTKDSHSVQIILPKKQLKRKSDMYVFCCSYAHNVAPKGKFIAFVSTEAETDKPEIELKPGIDLLGPVEETFFDIYDRYEPTNTADEDNCFVTNSYDATTHFETTVKDVLALYSKITGKELDLSVDLNAASAAESEAA >ORGLA03G0120100.1 pep chromosome:AGI1.1:3:8664484:8668956:-1 gene:ORGLA03G0120100 transcript:ORGLA03G0120100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G37585) TAIR;Acc:AT2G37585] KQPQPQPQGNRRLRWLRALLLALPLLSVPILYAALGAARPLVPPRRGAAPHQLPPRLAYLVSGGAGDGPRIRRMLRALYHPWNFYLVGVAGEEERADLEAFVRGEEAPRRYGNVRVAAAGEWGPVSRRGPTELAATLHAAAVMLREFDGWSWFINLSASDYPLMPQDDILHIFSYLPRDLNFIEHTSNIGWREYQRARPIIVDPALQISNKTEVVTTKEKRSLPSAFKIFVGSSWVILSRSFLEFCLLGWDNLPRTLLMYFANFLASSEGYFHTVICNSKYYQNTTVNNDLRFMAWDNPPRTLPVNLTTEHFDAIASSGAPFAHSFANDNPVLDMIDTKLLRRAPERFTPGGWCLGSSVNDKDPCSFFGRSFVLRPTKSSAKLEKLLLKLLEPDNFRSKQCI >ORGLA03G0120000.1 pep chromosome:AGI1.1:3:8661747:8663926:-1 gene:ORGLA03G0120000 transcript:ORGLA03G0120000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPCDDDGPAVGIDLGTTYSCVAVWRRGRVEVIPNDQGNLTTPSCVAFTDTWRLIGDAASNQAAMNPLNTIFDAKRLIGRRFSDVSVQGDIKLWPFKVASGKDDRPMIVVQYRGEEKEFSAEEISAMVLFKMKETAEAYLDKTVEKAVITVPVYFNDSQRQATMDVGAIAGLDVLRIINEPTAAAVAYGLGKVVGSSDKKKRVLIFDLGGGTLDVSVLNIDPGVDIDMGIFEVKATAGDTHLGGEDFNGRMVKHLVREFLRKYKRPEIRGDQRALRRLRTACEKAKRMLSSTAQTTVEIDSLHGGVDFYATVSRAKFEELNMDLFRRCMDTVDKCLSDADVDKSSVDDVVLVGGSTRIPKVRSLLQDLFHGKALCTSVNPDEAVAHGAAVLAAIITGDADVDELRDVLLLDVTPLSLGVETRGVFMTVLIPRNTTIPVRKRDNFTTCSDNQTTALIKVYEGEGERTKDNNLLGKFELTGIPPAPRGVPKIKITYDIDANCVLKVTAKDKTTGRSNSITITSDKGRLSKEEIERMVKKAEKYKAEDEEEMKKAEGYVTP >ORGLA03G0119900.1 pep chromosome:AGI1.1:3:8660825:8661168:1 gene:ORGLA03G0119900 transcript:ORGLA03G0119900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGALYINPKKFGGVTKPCMIEMVSFLNCLALNKQNDDKCVRQKDLLVACAQAQKGRPKNAAKTINYHLQRLARDKGI >ORGLA03G0119800.1 pep chromosome:AGI1.1:3:8657192:8659117:-1 gene:ORGLA03G0119800 transcript:ORGLA03G0119800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKAKSISLSFADKCRNILCANWEAHLNTIKADIKGSKGEIYTSRVHYMVERGTTYLIVPEDDRHTINIVIDERGSLSVCSPIPGRLTTLLRSLGKLPPRIAMTGDVLFMKRSKVPVIADSLKKAILKEHKAASEASHSVSAILSSASAACRSRSEGLLSLLDQGSSYNILKFEIGSCVYIDSLGSSHKVELDNFEPPKADLLLPFSARIIDGINRSDPRRRALIFFCFEYFNVTATDALLLSIDHHGFDVLAKVPEKAVLLDVPRQYVWREFRFSFKEAAKDIEDFCRMLVELEEEALQSMKSYSGL >ORGLA03G0119700.1 pep chromosome:AGI1.1:3:8653246:8656074:1 gene:ORGLA03G0119700 transcript:ORGLA03G0119700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDTSVQSDAKLWPFKVLPGPGDKPMIGVQYKGEEKQFSAEEISSMVLNKMKETAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSSSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVTPLSQGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKAEDEEHKKKVDAKNSLENYAYNMRNTIKDDKIASKLPEADKKKIEDAIDGAISWLDSNQLAEAEEFEDKMKELEGVCNPIIAKMYQGAGADMAGGMDEDAPAAAGGSSGPGPKIEEVD >ORGLA03G0119600.1 pep chromosome:AGI1.1:3:8642380:8643852:-1 gene:ORGLA03G0119600 transcript:ORGLA03G0119600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSVPAYLDPPNWNNQQGQPPRPANVGGGDAQHLPVGPTAAAAAPGEIGGLPTSSSSASAAAAAAQQARPNSMAERARLARAPQPEPALKCPRCDSTNTKFCYYNNYSLSQPRHFCKTCRRYWTRGGSLRNVPVGGGCRRNKRSGKSSSAAAAGASSSSSKPSSSAARQLPGGGASPMPSAAASTQPGGAAAGAIIPPSGLSSMSHHLPFLGAMHPPGPNLGLTFSAGFQPLGGMHHHVDTADQFPVASGGGATIGASLEQWRVQQQQQQQQPQQHQFPFLGGALELPPPPPMYQLGLEATRAAGTGATAAAAFTLGQTSATATTSRQEGSMKLEDSKGLEMSLQRQYMAALRQGDGVWGNNNGGNGGSDGGGNGGGGSWTMNFPGFHSSSGGGGDDGGGVL >ORGLA03G0119500.1 pep chromosome:AGI1.1:3:8611747:8616672:1 gene:ORGLA03G0119500 transcript:ORGLA03G0119500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1P9Z9] MDEEAKPNPNADAAPAAAEAAASSPPPISSSESAEGKDKEEEEEAGDLVEKLVELVEEIAAISDFRKAYRRQFCNLSRRIRLLAPMLEEAKEGPRPLPEVSYSALRRLREALADSRELLRLGVSGSKISLVLEREKIMKSFQDITARLEQALGLISFDELDISDEVREQVELVHAQFKRAKERSDPSDDDLFNDLVSVYNSSTSANVDPDILQRLSDKLQLATISDLNQESLILHEMASGGDPGAVVEKMSMLLKRIKDFVQSRDPEMGTPVNTTELSGKDNMASPIVPDDFRCPISLDLMKDPVIVATGQTYERGCIERWLEAGHDTCPKTQQKLPNKSLTPNYVLRSLIAQWCEANGMEPPKRAAQHHNAPASCTAAEHSNVVELLQKLSSQNLEDQREAAGMLRQLAKRSPENRACIGDAGAIPILVSLLSTTDVSTQEHVVTALLNLSIYEENKARIITSGAVPGVVHVLKRGSMEARENSAATLFSLSLVDENKITIGASGAIPALVLLLSNGSQRGKRDAATALFNLCIYQGNKGKAVRAGLIPVLLGLVTETESGMMDEALAILAILSSHPEGKTAISSANAIPMLVGVIRNGSARNKENAAAVLVHLCNGEQQQQHLAEAQEQGIVTLLEELAKSGTDRGKRKAIQLLERMNRFLMQQSQAQAQAEAMAQAHAHAQSQAQVQALNEAQSQVEMQVEQLLLPTTSHLSDRRDG >ORGLA03G0119400.1 pep chromosome:AGI1.1:3:8609535:8609828:1 gene:ORGLA03G0119400 transcript:ORGLA03G0119400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMREAADHAHKCRGHGAVSRLFPTVVHAARERVPSIHMRRGEERPDFPPHCRISQGPSRRRGSPPPPPPPPTPTPPAVVGRWALTGLGEHVRPCAR >ORGLA03G0119300.1 pep chromosome:AGI1.1:3:8607960:8608190:1 gene:ORGLA03G0119300 transcript:ORGLA03G0119300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGDGGALLRPQPFPPRHWWQNGRASRDWSDMQLPRQKSLMGRGGGRKLRQVDSLPRSPMALSVKGVTCQDRVDS >ORGLA03G0119200.1 pep chromosome:AGI1.1:3:8596136:8598091:-1 gene:ORGLA03G0119200 transcript:ORGLA03G0119200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTIRKALGAVKDQTSIGLAKVTSNIAPELDVLIVKATSHDDEPAEERHIREILHLTSGSRAHVAAAVAGCSRRLSRTRDYVVALKSLMLVHRLLADGDPSFHRELLHATRRGTRLLNLSDFRDEAHSGSWDHSAFVRTYALYLDQRLEFFLHERKQGSGSNASSSANGPSPRDRWGSPDPYGRRSPSYSSPPGNGNGYGYGGYDDYRERNGNNNADDKKPPTPVRDMKPERVLARMHHLQQLLDRFLACRPTGGAKHSRMVLVALYQIVRESFQLYADICEVLAVLLDRFFDMEYAECVKAFEAYASAAKQIDELCAFYGWCKETGVARSSEYPEVQRVTDKLLETLEEFMRDRAKRPKSPPREPEPEPVKEEEPEPDMNEIKALPAPEDYKEPEPEKVEEEVKPEPPPQPQGDLVDLREETVTADEQGNRLALALFQGPPAAGGSNGSWEAFPSNGGNEVTSAWQNPAAEPGKADWELALVETASNLSKQKATMTGGMDPLLLNGMYDQGAVRQHVNAQVTTGSASSVALPPAGQKTQVLALPAPDGSMQNVGGDPFAASLSFPPPSYVQMAEMEKKQQFLTQEQMMWQQYQRDGMQGQSSLAKLDRAYNNGFAPNPAMPYGMPAAYNTNPMPMAYTANTGYYYPTY >ORGLA03G0119100.1 pep chromosome:AGI1.1:3:8589931:8594062:1 gene:ORGLA03G0119100 transcript:ORGLA03G0119100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1P9Z5] MSRLASASGLHRLRRSPWEVLWSGLASCGLTLLSQLAVAMVPRLFPSLSLLAMLPVAGVVFLAVIVVGRFWRRFIGVAASAPLFVLFNILFLWGVYVFVIRGGTSSLLDMVINAECAMLLFGLYRIFSGDPGIVTYESSFFEEAGCKDFVEAICPSEKFSSLPRVRHCNCCKANVRGYDHHCPAFGNCIGQKNHRLFMALLTGFVVAESTYTTCSTKYITRCINSGTIRSENPMSVNMVIGTMLFSVLQVLWQAVFLIWHIYCICFNIKTDEWINWKKYPEFQMKEQPQSDSGVKFVNPYDKGVLCNIIEFLKPK >ORGLA03G0119000.1 pep chromosome:AGI1.1:3:8576332:8579019:-1 gene:ORGLA03G0119000 transcript:ORGLA03G0119000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGASCGRPSEEVDFFGAAQSGDLARLAAAVRSRPSLLGRTTLFDRLSALHIAAAHGHLQVVSMALDLCVHPDVVNRHKQTALMLAAMHGRTECVRRLLDAGANIVMFDSSHGRTCLHYAAYYGHADCLRAILSAAQSAPVSQSWGFARFVNVRDDTGATPLHLAARQGWRRCVHVLLENGAIVSASSSAFGFPGSTPLHLAARGGSLDCVRQLLSWGADRLQRDSVGRIPYEVAMKRGHVACAALLNPSSAEPLVWPSPLKFISELEPDAKALLEAALMEANREREKRTLKGARSASPLALPSPSRSDDGAHDAAISEEEAAAGGGEVCSICFEQACTIEVRECGHQMCAACTLALCCHAKPSAAAATPCQQPLPTCPFCRGGISRLVVATTKTRAGGDDEEDDEEAGSRLASPLHRRSRRAVNHPSGDGGSTSSIMGSIASSIGKMGRRRTDSSEHVDVDKP >ORGLA03G0118900.1 pep chromosome:AGI1.1:3:8572967:8574271:-1 gene:ORGLA03G0118900 transcript:ORGLA03G0118900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNRQSERKKGETKETCNIVKLWSYYFSKQEIMGRTCSMCRPHACTIHSHLHHHEKLALQSFIYDIPSRIPDPEKRDRASGLGLGGAYLRLFSDDLRANSAAADSRAERTMLPRLRSHPCAGLAPSKASGRTTISGGDRRSQTERHGEGTPLHWETPEPNRAFHETGWTVVLSAH >ORGLA03G0118800.1 pep chromosome:AGI1.1:3:8564810:8567639:1 gene:ORGLA03G0118800 transcript:ORGLA03G0118800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGITKNPCFSGDPYAAAVASDPLPDDSHGHSFTYVPSSAAAFDHSPRSAAASSETSYFSLSGAAISANPATSASMPSFRLYNELTWPPSTACTFESSRSFAAAPLIQAAPPRLSMSGPLHATSGRFSEASGSASTASDRFSDHPFMDGMLDRASSASSTARLMPSFSHLMSEPRVAQSGLSNERSLIRSLVRVASKLRFGVPLSGRRSNGPAEPTTKSDGDYRSTPKGNVEWAQGMAGEDRFHVAVSEEHGWVFVGIYDGFNGPDATDYLFANLYVAVHRELKGVLWDDIQGVDVVTDNLPDPALANATHLCFLDAGGVGGGGDDDPDAERKAKRGRIERNADDDGASSVHRDVLKALARALARTEEAFFAAAEERAAQSPELGLVGSCVLVMLMKGKDVYLMNVGDSRAVLARRREPDFKDIFFRPDQDLQLLKAEVIRELEAHDRNGLQCVQLTPEHSAAAEEEVRRIRSQHLTDRQAVVNGRVKGKLSVTRAFGAGYLKQPKWNDRLLEAFKVDYIGAEPYISCTPSLRHHRISSNDRFLVLSSDGLYQYFTNKEVVDQVAMFTAEQPDGDPAKHLVGELVLRAARKAGMDCRRLLEIPHGDRRNYHDDVSIIVMSFEGRIWRSSV >ORGLA03G0118700.1 pep chromosome:AGI1.1:3:8549960:8552631:-1 gene:ORGLA03G0118700 transcript:ORGLA03G0118700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAGVQGNAEILQSSNLRKFTFGELKGSTRNFRPDSLLGEGGFGSVFKGWIDERTLTPVKPGTGMIVAVKKLKLDSFQGHREWLAEVNYLGQLSHPNLVKLIGYCFEDEQRLLVYEFMPRGSLEHHLFRRGSHFQPLPWNLRMKVALEAARGLAFLHSDQAKVIYRDFKTSNILLDSDYNAKLSDFGLAKDGPSGDKSHVSTRVMGTQGYAAPEYLATGHLTAKSDVYSYGVVLLELLSGQRALDKNRPPGQHNLVEWARPYITNKRRVIHVLDSRLGSQYSLPAAQKIAGLAVQCLSMDARCRPGMDQVVTALEQLQGAKKAAK >ORGLA03G0118600.1 pep chromosome:AGI1.1:3:8546088:8549299:1 gene:ORGLA03G0118600 transcript:ORGLA03G0118600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIQCRDVRMRRQGQGQYGGGADINSMVAAQLHHYQTQQRVQQHPDNNYPGRDPGKAAEEQQYSAPKVRQSQWDRGGPNAPNQIPAYAYNEGQSAQGAQTFYDGQRSDLKVGLEKQPNKESRDRPRNDRFEARREDYNLPCTFEGLEQNFHEDIVILSKELHDAEDAENARHRERLNEINAQYQEKLLALRARQATYREEFLRKESQARQQQYQQASMSSYANNVRPGETHGYTPIAAKPPPPPPAAAATAGGTYGEAHRGYTSAQYDNFRERPDYPEFRGRGRGEGHGLEHRGQFPGGRAYNSGGRRF >ORGLA03G0118500.1 pep chromosome:AGI1.1:3:8539292:8539549:1 gene:ORGLA03G0118500 transcript:ORGLA03G0118500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRTDKRHLQQEVEDCRARLEEERTGFEAARKEMVAVREIVQDNLVEMTALKARISKVEVERNVFVLCSVACLFALAVVLCAKN >ORGLA03G0118400.1 pep chromosome:AGI1.1:3:8538924:8539259:1 gene:ORGLA03G0118400 transcript:ORGLA03G0118400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSNYSSSSSRRPAVSPVPYRVGPMDYQPLVFCDCKAKAARWISWSVDNPGRRYFKCRDARAGGCDFFLFGATGRQAIF >ORGLA03G0118300.1 pep chromosome:AGI1.1:3:8529109:8532549:1 gene:ORGLA03G0118300 transcript:ORGLA03G0118300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQKRSSLEMESGGGSHVAEMPRVPKSARGKRSIRKKESQGQVMCAFDLLATVAGKLLDEGEGSLGNASSGTPAVDASPKDVRVKQEQCDEEAKHFKNEVMDQDSCNESALVSHIAFQWPVNHHGRKGEDPEGSHAVIEDPKAKSEALDKESSMISCTKAELGCNFAAIADRWSPESVESGAFTGDAAAIAMPATTSGFHKNAPDMYNLLDPMDVDVKPPPLVSSDSTGEMPLYGNKIRRSTSFPRVPKGGAGFTVDRDEDDDDKSSGCTHPSTATNRGFRPNCTAGHSRVKKLLACKHRKVAPARMHKGDLSYSDVDRKPSFRNKKMYYTRQRTQRSTFKRRKMLDRHSAQVSEEYAKANTKFAARDSHTVSLEVSSAANKGTNSTAFQKSQESSDCHVKLRIKSFKVPELLIEIPETATVGSLKKTVLEAVNAILGGGLRVGVLHHGKKVRDDNKTLMQAGISHDEVLDNLGFSLEPNCAPHPSQLSPPEDNEFMETVDTTEPLARIAPADSSSKHGEVDASQELALAPLSANYQGSDHDFVHSPGGMSSPDKASTNSRAIVPVTPADSNAGAIVPANKAKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPLAEALLLT >ORGLA03G0118200.1 pep chromosome:AGI1.1:3:8506582:8509072:-1 gene:ORGLA03G0118200 transcript:ORGLA03G0118200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQLDIWIKIKCYLSKGLCNNGISPELRERKKLFSFYGKERRLSRSNQSRYKAENDKNSNCSWVDGCKGDKSASVQPCEAGVRCAFLDRTMAQGKCCNFR >ORGLA03G0118100.1 pep chromosome:AGI1.1:3:8501606:8506382:1 gene:ORGLA03G0118100 transcript:ORGLA03G0118100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDGSGAASSGGGGGFFSSIAAGVRSLGTAVHKSVNGLVGYEGLEVINPDGGTEDAEAEALRGRWKQEDRDSYWKMMHKYIGADVTSLVTLPVIIFEPMTMLQKMAELMEYCELLDKADECEDPYMRMAYASAWAVSVYFAYQRTWKPFNPILGETYEMVNHQGISFIAEQVSHHPPMGAAHCENAHFTYDITSKLKTKFLGNSLEVYPLGRTRVSLKKSGVKLELVPPLTKVNNLIFGRTWVDSPGEMVLTNLTTGDKVVLLFQPCGWFGAGRYEVDGYVYSAAEEPKIMITGKWNQSMSCQPCDQEGDPLPGTELKEIWRVAPTPPNDKYQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEKGDMSKSGSEKSRLEEQQRAEKRTREAKGEQFTPRWFNRTDEIAPTPWGELEVYEYNGKYTEHRAAIDSSSVADDDTDVTTIEFNPWQYSSSSSQ >ORGLA03G0118000.1 pep chromosome:AGI1.1:3:8489058:8490511:1 gene:ORGLA03G0118000 transcript:ORGLA03G0118000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDRCHKVQEPKFDCLLFDLDDTLYPLSSGIASHVKKNIGDYMVEKLGIEESKIENLGNLLYKNYGTTMAGLRAIGYSFDYDEYHSFVHGRLPYENIKPDPVLKHILKNLRIRKLIFTNGDKDHAVRALKRLGLEDCFEGIICFETLNPPCPSPPCDGEASIFDIAGHFSMPGAAADELPRTPVLCKPNVDAMEEALRIANVNPHKIFFDDSVRNIQAGKRIGLHTVLVGTPQRVKGADHALESIHNIREALPELWEEAEKAEDVLIYSDRVAIETSVTA >ORGLA03G0117900.1 pep chromosome:AGI1.1:3:8463378:8465617:-1 gene:ORGLA03G0117900 transcript:ORGLA03G0117900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:I1P9Y3] MGARCLALLLLHGTLLLLLLLPQLPLAGAATRYYTFNVKLQNVTRLCNTRAIPTVNGKFPGPKIVTREGDCVVVKVVNNIKDNITIHWHGVRQMRTGWSDGPAYVTQCPIQTGQSYVYNFTINGQRGTLFWHAHVSWLRSTLYGPIIILPKAGLPLPFTEPHKDVPIIFGEWFNADPEAIVAQALQTGGGPNVSDAYTINGLPGPLYNCSSKDTFRLKVQPGKMYLLRLINAALNDELFFSVANHTLTVVDVDASYVKPFDTDVVLITPGQTTNVLLRAKPTAEAAGATHLMMARPYATGRPGTYDNTTVAAVLEYAPPGHIKSLPLLRPSLPALNDTAFAAGFAAKLRSLACPDYPSNVPRRVDKPFFFAVGLGTTPCPGSNNQTCQGPTNTTKFTASINNVSFDMPTTALLQAHYTGQSAGVYTADFPASPLEPFNYTGTPPNNTNVSNGTRVVVLPYNASVEVVLQDTSILGAESHPLHLHGFDFFVVGQGTGNYDPSKHPAEFNLVDPVQRNTVGVPAGGWVAIRFFADNPGVWFMHCHLEVHTTWGLKMAWVVNDGPLPEQKLMPPPSDLPMC >ORGLA03G0117800.1 pep chromosome:AGI1.1:3:8453005:8453301:-1 gene:ORGLA03G0117800 transcript:ORGLA03G0117800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVDDKWKFSKKSRNNGSRRVPGGSGAGGDPFLKRSASSRDQVIGRGRVGSGGGGGAAAAPSSFSSRCAGLVKEQRARFYIMRRCVTMLVCWKDCS >ORGLA03G0117700.1 pep chromosome:AGI1.1:3:8434518:8439129:1 gene:ORGLA03G0117700 transcript:ORGLA03G0117700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSGSVSAGGKMMVKAAAAAESASTNERTQSFSGCNSEKFYHIESPHKKRKSQYELSDTRVSSLKYKFRNRLAWQEDESSRTESLGCNSIFVNRNYDMDMVNRVEELESCDNTQSLIGGCIEVDSINGIESHKMLKVQAFSSSSSSNNISSDAFTSSRSNGTKDTDSWDMQHLEYDHPGLMLLPYDDDIEEAYDVLGQYDVVMKNDLASGDVDGSAAGVIDEKLYSNGIEDLLILPRGQNSIHDEKNKLTIDQEFEQYFTRLML >ORGLA03G0117600.1 pep chromosome:AGI1.1:3:8429132:8431890:1 gene:ORGLA03G0117600 transcript:ORGLA03G0117600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G55530) TAIR;Acc:AT3G55530] MSFVFRGSRGDIEAGGFPGFAPERRAMRIHAGGRPVNSNLAFLVTVLMLFMVLNSHQMSPNFLVWLVLGVFLMATSLRMYATCQQLQAQAQAHAAAANGFLGHTELRVHVPPTIALATRGRLQSLRLQLALLDREFDDLDYDALRALDADNSPHAPSMSEEEINALPVFKYKVQAHQGSASFRKSDGPSQPSVSSTESGNEKKQDRFKADATDNTREDELTCSVCLEQVVVGDLLRSLPCLHQFHANCIDPWLRQQGTCPVCKHRVSDGWHGEADASNMV >ORGLA03G0117500.1 pep chromosome:AGI1.1:3:8427005:8427445:-1 gene:ORGLA03G0117500 transcript:ORGLA03G0117500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSFAQATASTLSRSSPRLGQDLAIGGEELHEGGFFQPAGKKRRLRRQPLPRAQARERQQLMHGPQAAATIVQVLVLRSLPPPRGPHDAAAAARPSRPPPPSPTHASSTNPTKEGPDPNSTAPDLAIVAGLHLSCFGLVGAPTI >ORGLA03G0117400.1 pep chromosome:AGI1.1:3:8407861:8409204:1 gene:ORGLA03G0117400 transcript:ORGLA03G0117400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLVLLCLCVFLASGGEGRSPAGTVLPLQVRVQEVELEAPAANRLRFRHNVSLTVPVAVGTPPQNVTMVLDTGSELSWLLCNGSYAPPLTPAFNASGSSSYGAVPCPSTACEWRGRDLPVPPFCDTPPSNACRVSLSYADASSADGVLATDTFLLTGGAPPVAVGAYFGCITSYSSTTATNSNGTGTDVSEAATGLLGMNRGTLSFVTQTGTRRFAYCIAPGEGPGVLLLGDDGGVAPPLNYTPLIEISQPLPYFDRVAYSVQLEGIRVGCALLPIPKSVLTPDHTGAGQTMVDSGTQFTFLLADAYAALKAEFTSQARLLLAPLGEPGFVFQGAFDACFRGPEARVAAASGLLPEVGLVLRGAEVAVSGEKLLNMVPGERRGEGGAEAVWCLTFGNSDMAGMSAYVIGHHHQQNVWVEYDLQNGRVGFAPARCDLATQRLGAGA >ORGLA03G0117300.1 pep chromosome:AGI1.1:3:8392841:8393680:1 gene:ORGLA03G0117300 transcript:ORGLA03G0117300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVSYWCYHCSRFVRVSPSTVVCPECDGGFLEQFPQPPPRGGGGSGRRGAMNPVIVLRGGSLSGFELYYDDGSGDGLRPLPGDVSHLLMGSGFHRLLDQFSRLEAAAPRPPASKAAVESMPSVTVAGSGAHCAVCQEAFEPGASAREMPCKHVYHQDCILPWLSLRNSCPVCRRELPAAAAPESEADAGLTIWRLPRGGFAVGRFAGGPREQLPVVYTELDGGFSNGVGPRRVTWPEGDGHVDGGEGRIRRVFRNLFGCFGRSSRPESSSSQSRSG >ORGLA03G0117200.1 pep chromosome:AGI1.1:3:8387793:8388353:1 gene:ORGLA03G0117200 transcript:ORGLA03G0117200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAHPLSLAVLPSSPAQATPLPLFLRYAALRRGGRCGGATRPLRLTRLRRGRAAAAAAGEVDAPMEQTEAMMRVAADDDSVTATVVSVLLTVAFVGLSILTIGVIYLSVTDFLQKREREKFEREEAERQKEEARKKRAKARGRKRKF >ORGLA03G0117100.1 pep chromosome:AGI1.1:3:8384511:8387374:-1 gene:ORGLA03G0117100 transcript:ORGLA03G0117100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fes1B [Source:Projected from Arabidopsis thaliana (AT3G53800) TAIR;Acc:AT3G53800] MAGDRLSWSGLLKWSLSYADGTRPSRAISEEERRWLAEAVERHMMVDVVSRMREIALLMSTPLSVLEAHGITPDDIEGLLAELQVHVESIDMANDLHSVGGLVPVIKYLRNSNARIRARAADVVTTVVQNNPTSQQLVMEASGFDPLLSNFTSDPDLTARIKALGALSSLIRNNKPGVSAFRLANGYAGLRDALTSESARFQRKALNLTNYLLSESHSDCSVFAQLGFPRLMMHLVSSDDLGVREAALGGLLELARDTTLGSRSLLADHDRLRWLLQARIERIRMMAPEDLDAAREERQLVDSLWITCYHEPSTLHVEGLLVLPGEECFEQPPDVAGRFFEPLRRSSARRAPSNERSDPGDGTGGGMMLLLGPSPGSRSNSGE >ORGLA03G0117000.1 pep chromosome:AGI1.1:3:8382596:8384005:1 gene:ORGLA03G0117000 transcript:ORGLA03G0117000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59600) TAIR;Acc:AT5G59600] MCPAKTSSWMRQLTSSSRQGHHGDVLRLFFTGVRLQAGSRGTVDPWPGAVPTALRACAHLADVASGRLIHALVLTRPTLASDAVAATALLDMYSKCGLVASARKVFDEMASRGDPVVWNALLACYARHGLPEHALALAVKMRGIGLCPDLVTWNIVVSGFALAGDDLMAGDLVGAMKDDGFQPDVVTWTSRVSGSVLNFQYGRARALFRAMMVAGGRVLPSSATISTILPAFANVADVKHGKEVHGYSVVAGVEQELTVSSALVDMYAKCGLVLEARRLFDKMPQRSTVTWNSMIFGLANSGHCQEAVGLFDRMLRDGAKPDHLTFTAVLTACSYGGMVEVGKILYRAMQVEYGVEPRLEHYACMVHLLGRAGRLDEAYGFIRAMPLEPDRFVWGALLGACRSHGNIELAELAASRLLAVEPDNAASCLLLSSALANAGKQDDVFKIKRLVKRRRLKKLDSCSWVETSL >ORGLA03G0116900.1 pep chromosome:AGI1.1:3:8376664:8381607:1 gene:ORGLA03G0116900 transcript:ORGLA03G0116900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSQSLFFSPLAAGPSRRVRGRGRSTSVSAAASASSHNSQPHGHPQQPLAVASSSSKSESKGSKTFALASAITAAASGAFLLASSGGGFGGGAGGPLGGGGGGWGAGGGGGGGGGGGGGGFWSRIFSGGAAHADEKSSGDWDPHGLPANINVPLTKLSGLKRYKISELKFFDRAAGGGGAFTGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFERVDLEGKAKPDGTLGLTVSFVESVWSAAKQFKCINVGLMSQSGQVDFDQDMTEREKMDYLRKQERDYQQRVRGAKPCILPDNVRGEVLGMMKKQEKVSARLLQRIRDNVQKWYHNEGFVCAQVVNFGNLNTSEVVCEVVEGDITKVEYQFQDKLGNFVEGNTQIPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELEPKSAEVSTEWSIVPGREGRPTLASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVDDRNKNRTFKTSCFNTRKLSPVFVAGPNMDEAPPVWVDRVGFKANITESFTRQSKFTYGLVVEEITTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGIDRMAFLQANITRDNTEFVNGAVIGDRCIFQLDQGLGIGSKNPFFNRHQLTLTKFVNLNKQEKGAGKPLPAVLVLHGHYAGCVGDLPSYDAFTLGGPYSVRGYGMGELGASRNVLEVASELRIPVRNTYVYGFVEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGLGVKLGLVRGEYIVDHNAGTGTVFFRFGERF >ORGLA03G0116800.1 pep chromosome:AGI1.1:3:8373262:8375995:1 gene:ORGLA03G0116800 transcript:ORGLA03G0116800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor [Source:UniProtKB/TrEMBL;Acc:I1P9X2] MACLAPQLKWPLSTRAAAFREHGGAGIGGFRTVRLHCAVSTTALVEAESSDSLAPGARRLVVYDGAVAPPPLPGGFGEAILNQEAVVAAAAAEAVALARAAAEVAGEVVRMSQTEQRHRPDFVTTHDTEDNYLAREILRAEAGLGARYADACLSEDAGFSSIFSDESEVDDDEQCVQGVAVKSVRQSERRARRVRAAMKAAKSFSGRNPVAASSSARKKRLKGCRSPLGCFYKMTGRRLLTAKQEVEFSQGIQDLLKLEAIQKELAHYNGGEPTFSQWAEAAGTDENTLRKRLNYGISCKNTMVKSNVRLVISIAREFEGPGMEFSDLIQEGIQGLVRGAEKFDASKGFRFSTYSHWWIKQAIRKSVLEQTQIIRLPAHMAEASSRVKECRRRLRRQLKRLPTNEEIASDTGMPLRRVEAAMSLPKYTVSLTSKVGCTDMTYQQEITPDTSTETAEEMLHRWLMKEDVDRALDGLSPRERQVIRYRFGMDDGRLRTLHDIGRLMGVSRERIRQIELVAFRKLRGRKKVQSLQHYLQPVESW >ORGLA03G0116700.1 pep chromosome:AGI1.1:3:8370749:8371672:1 gene:ORGLA03G0116700 transcript:ORGLA03G0116700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIGDSLPLKIGEGSSSSAAERVMANSSAVELPLLTRTNYHEWSLVMQVSMEALEQWDAVEAVSKDRGKDRRALAMIIRAVPREMKAGLAVRKSAKEAWDAVKKMHAGDDRMKAASVQRLMKQVENMAFRDGENVGDFAMRINGLVASLRELGEEMEDSRVVKKVLRMVPKRLKQVVVAIEMLADIDTMKIEELVGRLQVAEDADAEDQAASSAEHAGQLLLMEEQWEARQRQRRGKEHVHGGIGEKGGGHDDDDDGSSTSSGRGRSRYRGKCFDCGERGHMARNCPRKKKEQALLCNVDEEPTLL >ORGLA03G0116600.1 pep chromosome:AGI1.1:3:8366032:8366499:-1 gene:ORGLA03G0116600 transcript:ORGLA03G0116600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKPSASDKKVKRPPSRLQKHAPATLRLEPPSTPSPTGAWGDGRMPIPLLSPLVVSPSAAWEPDDQAAAAAGAPRREGGVQGGAGREGSSGAAARSPVCGGDRQAADDAAKSPAPAPCGGGWLHPALSTPVAEPASLVSFFQSQCALEVHNAPQ >ORGLA03G0116500.1 pep chromosome:AGI1.1:3:8363953:8365318:1 gene:ORGLA03G0116500 transcript:ORGLA03G0116500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELTHATTPSSPDVPYARFLFSAMDLKTAGKDHNMPYLSTAYSGGSGLQASYPLYPESPSSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYSRASPIPEQEVPTAQWKTSRSACDTPYSRNSPSNIFGLDSAASRNYMLDNNFFRPAASAQFYLDQAQQSFPYNNGGRISVSRDKQDAEEVEAYRASFGFSADEIVTTQAYVEIPDALDEGFSISPFGNNAPATEVDKPLFNVKVTTSPKKSADQLSNDSPHNVGTKGGDLSEDEGVVKDCHPFRKAMDEISLKPIEVRKKVQPGQSSSSDAEIEYRRARSLREANGVLSWRSTLARQLQ >ORGLA03G0116400.1 pep chromosome:AGI1.1:3:8357307:8357579:-1 gene:ORGLA03G0116400 transcript:ORGLA03G0116400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSIQQRRLPPPPLTFLRRRWRRRRQPRADPAAAGGSSGRGSLLRRLSSPSSGGGDGHGWISWRRLRAAVATVKVGSGGRRVKFCDVVL >ORGLA03G0116300.1 pep chromosome:AGI1.1:3:8354138:8355550:-1 gene:ORGLA03G0116300 transcript:ORGLA03G0116300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGARSWLADLRARFGGGAREEAGLGILAFEAAAAMSRLVSLHRSLSDVEVRRLRADALRAEGVARVTSTDQSLLLRLACGEFVADLDHAAGTAARLGARCCAGAPFLHDFDRVYAEAKRGNGLARLDAMVGFYRGAAKRFRKMERHVAATAKLYAEMDALSELEASERRMEQWMRHSGPIPAQPGPSAKRQVPEPGEKLIRELNSQRQKVRRLMESSLWSVAAHKVSKLMAKSVLAVLARISITFGAYVPGLPLLTVGRAWALRRTSGPLQQAASPAAAIRHSAPIFRQKDTAFSASESIKPPASTVGGSGMELRYANMIVCAEMLLRQLWPTIHSNEVDAGMDLSKRDELYKMLPVTIRTAVKAKLRESWRGQPVDEAAAAASMDAVDRMLRWLGPMAHDTVRWHDEHSMERAQRFSMRPRVLMVQTLHFADRHKAEDAIVEVLIGLSCVCWYDDERRRPADWDDDD >ORGLA03G0116200.1 pep chromosome:AGI1.1:3:8337225:8338001:1 gene:ORGLA03G0116200 transcript:ORGLA03G0116200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook protein of GA feedback 2 [Source:Projected from Arabidopsis thaliana (AT3G55560) TAIR;Acc:AT3G55560] MGSIDGHSLQQHQGYSHGGGAGGSNEEEEASPPPGGGSATGSAGRRPRGRPPGSKNKPKPPVVVTRESPNAMRSHVLEIASGADIVEAIAGFSRRRQRGVSVLSGSGAVTNVTLRQPAGTGAAAVALRGRFEILSMSGAFLPAPAPPGATGLAVYLAGGQGQVVGGSVMGELIASGPVMVIAATFGNATYERLPLDQEGEEGAVLSGSEGAAAQMEQQSSGGAVVPPPMYAAVQQTPPHDMFGQWGHAAVARPPPTSF >ORGLA03G0116100.1 pep chromosome:AGI1.1:3:8327154:8331151:1 gene:ORGLA03G0116100 transcript:ORGLA03G0116100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKWKVVEGGAGAGGGGGDQRRRCVTASLSMLIAATLAFLAYVAFFPYDGAGGLYRWWRCEGCGDAAGGFAFDEAAMAQGPTAGGARRRSPTTLSHIVFGVGASARTWDKRRGYAELWWRPGEMRGHVWLDEQPVGPWLAATCPPYRVSADASRFGDRASASRMARIVADSFLAVTAEMANGTTDSPEARWFVMGDDDTVFFPDNLVAVLNKYDHEEMYYVGAPSESVEQDVMHSYGMAFGGGGFAVSYPAAAELAKAIDGCLERYRLFYGSDQRVQACLSELGVPLTREPGFHQVDVRGDAYGMLAAHPLAPLVSLHHLDHIEPISPGGQHGSPLDAARRLVRASRLDPARSLQQAFCYQRGPRYTWSVSVSWGYTVQLYPWAVAPHELEVPLRTFKTWRSWADGPFVFNTRPLSLDDACAQPAVFFLSAARNDTSSRGRGRSRATMTEYTRRVAKPGAKECDRPSFLAASTVHTVRVFAPKMSPNEWTRAPRRHCCSTKRTRFGTELEVRIRYCGRGELTTP >ORGLA03G0116000.1 pep chromosome:AGI1.1:3:8310188:8312404:1 gene:ORGLA03G0116000 transcript:ORGLA03G0116000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVFPDADGKNERCCWLKRSLCALVLFSASYFAYFSFFSGNNVVRDLRQCPFCEPSPPSPPVVTGAAARSPTTLAHIVFVIGASNATWAKRRVYTGLWWRPGAMRGHVWLDDEPSGQWRPSWPPYRVLRPDEARFGKEHAAAARMARAVAEAFQTAEAGREGDGEVRWLVMGDDDTVFFPENLVAVLDKYDHREMYYVGSTSESVGQNVVHSYSMAFGGGGYAISYPAAAALAGIMDGCLDRYNEFYGSDHRVQACLAELGVPLTTEPGFHQIHPLLISVLLRATWQLDLKGHVYGLLAAHPVAPLVSLHHLDRLNPISPNWLKRLPAVRSLVGASRHDPSRTLQQAICYHHDARGGGRRRRRRRQFTLSVSVSWGYMVHLYPAAVPPHELQTPLRTFRAWSGSPAGPFTVNTRPEATPNATALPCHRKPIMFYLDRVTAMSTPTTNWTLTEYVPEVLSGERCNTTGFDAATKVQMIQVIALKMDPTIWKRAPRRQCCKVQNANEGDKLIVKIHECKPDEATTSV >ORGLA03G0115900.1 pep chromosome:AGI1.1:3:8307076:8307657:-1 gene:ORGLA03G0115900 transcript:ORGLA03G0115900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSAVCAEEALKDQAGLCRLNDLVWRCRRRGGNRERAAAPPPPPPRSFPTDVARATVGGTAAGGRAGAGEWWRRASSGGGGRAAAAAGFAGSTTSCSAAADEEATGSGRRLHLLLHRLPPPRIWLRRGACDGGSWGGRAVAAGGVVAGGGAEAGEQWRRRWEARWLAGGGRRPPVSSSFAAAASEVTSSMS >ORGLA03G0115800.1 pep chromosome:AGI1.1:3:8302312:8304923:-1 gene:ORGLA03G0115800 transcript:ORGLA03G0115800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARFWYSKPAERARPAAFVPPPPPPTPPQFVPPEEPSAFAKLYAVAGDVVSRAKALLTTGGPVTPSDDGQRVRRALAQLTAPPSDPAPAAPQKDSSSGLSSTAVVWIIVAAGVVGAVLALCVLTLWIRRCRRQRRRRRQAQPFPLPPPIYNPNPYYKGDLPPQPFVAQQPPSDHYFMQHQHPTPPQTSGTFSDAGSERPHSIDILTELPTGGSLSYDQLAAATDGFSPDNVIGQGGFGCVYRGTLQDGTEVAIKKLKTESKQGDREFRAEVEIITRVHHRNLVSLVGFCISGNERLLVYEFVPNKTLDTHLHGNKGPPLDWQQRWKIAVGSARGLAYLHDDCSPKIIHRDVKASNILLDHDFEPKVADFGLAKYIAPEFLSSGKLTDKADVFAFGVVLLELITGRLPVQSSESYMDSTLVAWAKPLLSEATEEGNFDILVDPDIGDDYDENIMMRMIECAAAAVRQSAHLRPSMVQILKHLQGETHGEDLNSIFRITYAEDTYSSIMESGESIGPRSRRAPRSQRNTSSDYSSEQALTDKANRSPAKGR >ORGLA03G0115700.1 pep chromosome:AGI1.1:3:8291153:8292852:-1 gene:ORGLA03G0115700 transcript:ORGLA03G0115700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVASGAAGRWTLRGKTALVTGGTRGIGYSPQHPSLVLPSRYPVRSETSTAEPLLLFHDQRGEFMRRRAVVDELAALGAAVHTCSRKEAELGERLREWEGKGFRVTGSVCDVSVREQRERMLREVAGLYGGKLDILVNNVGTNFSKQTTEYSADDYSFIMATNLESAYHLCQLAHPLLKSSGSGSVVFVSSVSGVGAVSSGSVYAMTKGAMNQLAKNLACEWAKDNIRTNSVAPWYIKTSLVEDELARKDFADSVVRRTALKRVGEPEEVSSLVAFLCMPGASYITGQTISVDGGMTINGLYPTQD >ORGLA03G0115600.1 pep chromosome:AGI1.1:3:8286312:8288711:-1 gene:ORGLA03G0115600 transcript:ORGLA03G0115600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAETSAKVGAPRRWSLQGKRALVTGGTRGIGRAVVEELAALGATVHICSRKEEELSERLKEWEARGFRVTTSVCDLSVRDQRERLLRQVADLFGGKLDILVNNVGTNIRKPTTEFSAEEYSFMMATNLESAYHLCQLSHPLLKASGSGSIVFISSVCGLVAVFSGSLYAMTKGAINQLTKNLACEWARDNIRSNSIAPWYIRTSLTEGLLANKDFEGAVVSRTPLRRVGEPEEVSSLVAFLCMPGSSYITGQTISVDGGMTINGLYPS >ORGLA03G0115500.1 pep chromosome:AGI1.1:3:8278854:8281427:-1 gene:ORGLA03G0115500 transcript:ORGLA03G0115500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETSGTVAAPGRWSLHGKMALVTGGTRGIGRAVVEELAALGAAVHTCSRNEAELGERLKEWEARGFRVTISVCDLSARDQRERLIGDVADRFGGKLDILVVNNVGTNIRKPTTEYSADEYSFLMATNLESAYHLCQLGHPLLKASGSGSIVFISSVAGIVALFSGTIYAMTKGAMNQLTKNLACEWAKDNIRTNCVAPGYILTSLSEGILANKEFEGSVKSRTPLRRVGEPAEISSLVAFLCMPGSTYITGQTIAVDGGMTVNGLYPS >ORGLA03G0115400.1 pep chromosome:AGI1.1:3:8271544:8271825:-1 gene:ORGLA03G0115400 transcript:ORGLA03G0115400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLASGNATTTAAVSGDDDHDEMGIWGATLQAFPLQAHFQPAEPRIRLPARLGSDMEP >ORGLA03G0115300.1 pep chromosome:AGI1.1:3:8267416:8268988:-1 gene:ORGLA03G0115300 transcript:ORGLA03G0115300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEVVAGSSSEGKGPECDTGSRAARRRRMEIRRLRVVAERGAEEETSGKRRRLDGGGGEASTDEEDREVERARYGFTSVCGRRRDMEDSVSARPGFLPGHHFFGVFDGHGCSHVATSCGQRMHEIVVDEAGAAAGSAGLDEEARWRGVMERSFARMDAEAVASSRGSVAPAPTCRCEMQLPKCDHVGSTAVVAVLGPRHVVVANCGDSRAVLCRGGAAIPLSCDHKPDRPDELERIHAAGGRVIFWDGARVFGMLAMSRAIGDSYLKPYVICDPEVRVMERKDGEDEFLILASDGLWDVVSNEVACNVVRACLRSSGRRERNRSSPTSNLSPRQSSSSGDEAPNDGAPSAAAAAAGSESDEESAAEEDKACAEAAVLLTKLALARQTSDNVSVVVVNLRRRKL >ORGLA03G0115200.1 pep chromosome:AGI1.1:3:8262306:8262842:-1 gene:ORGLA03G0115200 transcript:ORGLA03G0115200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPFVYRAIVHKRDGHRAIGNPFLNDDPAAAATAYKRLATCDSGTYSRPATTVDAPFLGGAVVTLLGLVFPKGKIYCVKAVXWLLCGDCAPCATGKPYRSSFQISW >ORGLA03G0115100.1 pep chromosome:AGI1.1:3:8255202:8257211:-1 gene:ORGLA03G0115100 transcript:ORGLA03G0115100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFGNKPMILHQIEALKEVGVTEVVLAINYQPEVMLNFLKDFESKLGIKITCSQETEPLGTAGPLALARDKLADGSGDPFFVLNSDVISEYPFAELIQFHKSHGGEATIMVTKVDEPSKYGVVVMEEETDKVERFVEKPKVFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADNGLFAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKAPAKLASGAHVLGNVLVHETAVIGEGCLIGPDVAVGPGCVVEAGVRLSRCTVMRGARVKKHACISSSIIGWHSTVGMWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM >ORGLA03G0115000.1 pep chromosome:AGI1.1:3:8248625:8251226:-1 gene:ORGLA03G0115000 transcript:ORGLA03G0115000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKAGDWEVTLVVPWLSKGDQLLVYPNKMKFSVPGEQEGYVRRWLEERIGLLPKFEIKFYPGKFSTEKRSILPAGDITQTVSDDKADIAVLEEPEHLTWYHHGRRWKNKFRKVIGVVHTNYLEYVKRERNGYIHAFLLKHINSWVTDIYCHKVIRLSAATQEVPRSIVCNVHGVNPKFIEIGKLKHQQISQREQAFFKGAYYIGKMVWSKGYTELLQLLQKHQKELSGLKMELYGSGEDSDEVKASAEKLNLDVRVYPGRDHGDSIFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKRFPNCHMYNTEKEFVRLTMKALAEEPIPLSEELRHELSWEAATERFVRVADIAPIMSIKQHSPSPQYFMYISPDELKKNMEEASAFFHNAISGFETARCVFGAIPNTLQPDEQQCKELGWRLQE >ORGLA03G0114900.1 pep chromosome:AGI1.1:3:8242036:8247278:-1 gene:ORGLA03G0114900 transcript:ORGLA03G0114900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAAGSGGGGGGGSGVRRVGDYVLVRQIGSGAYARVWLGKHRTRGTEVALKEIAVERLSSKLRESLLSEVDILRRIRHPNVIALHESIRDGGKIYLVLEYCRGGDLHSYLQQHKRVSETVAKHFIQQLASGLQMLRENNVVHRDLKPQNILLVANNENSLLKIADFGFAKFLEPSSLAETLCGSPLYMAPEVMQAQKYDAKQADLWSVGIILYQLVTGSPPFTGDSQIQLLRNILNTREIRFPSDCDLSHGCIDLCRKLLRINSVERLTVEEFVNHPFLAEHALERTLSRTPSDIRDGFPFINSSPTRPSSQSSQEDCMPFPLDDESTGQDEGPVSDSKSAIKSYGFATSKRLDKTSGQSPTKHASLVSKYIRGNNYASSSQRLDHPRRIKENKGDEGHNPKGGYPEGIAVCCDYHMFHYNCEKVLTKFVISLLVNVDSPIIDSLEFVDQEYVFVHPEGSSSSMNDSRQRTMPSKLDSSSLSPPKLLTAVSAPRPIHGMAINRQQSGGTGSLDSHCSPVSGTSQGSADLNDAMDQPPSDCLTRVRLLEQYASTIAELVKEKIKDAKHLEGFSIQLVVLATWKQAIYICTSYASSATRESPSHDVTAKGFGSNAPHLLANSQLLYDTCMEIESQFLVQMEYAEELANTIGQTIDATEMPDAIEIIFQTALNLGRHGGVDEMMGKSASAMVLYSKAVSMLRFLLTEAPSLALNPALSLTRDDRRRLRTYIEAVNARLVPLQYQRH >ORGLA03G0114800.1 pep chromosome:AGI1.1:3:8237856:8240779:-1 gene:ORGLA03G0114800 transcript:ORGLA03G0114800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain-related [Source:Projected from Arabidopsis thaliana (AT5G59210) TAIR;Acc:AT5G59210] MEANHASRGRRTLEEIRQKRAAERMQQQHNPAAAASLVDPYGNPGAGAELLGRVRELENGNIALERENQMLLSKIAEKEVEKDSLVNRLNDLERNIVPSLKKAVNDISLEKDAAAVAKEDALAQLRSMKKRLKEAEEEQYRAEEDSASLRAQLNTLQQQVMSNSYTGFPVGVSNEHILAMEKEVENLQAQLKQESLLRQQEQQKLSEESLLRQQEQQKLTGEQSRAASLVAEKKELEEKIAALTKKASDEASEFAARKAFSMEDREKLESQLHDMALMVERLEGSRQKLLMEIDSQSSEIEKLFEENSALSTSYQEAVAVTMQWENQVKDCLKQNEELRSHLEKLRLEQATLLKTSNTTIQPDGQNETSISVPPEFVTENLSLKDQLIKEQSRSEGLSAEIMKLSAELRKAVQAQNNLARLYRPVLRDIESNLMKMKQETYATIQ >ORGLA03G0114700.1 pep chromosome:AGI1.1:3:8229993:8233431:1 gene:ORGLA03G0114700 transcript:ORGLA03G0114700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:I1P9V1] MAAAPGAGGQGGGGMDAVLLDDIIRRLLEVRTARPGKQVQLSESEIRQLCTVSREIFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLTHLDEIKSLPRPTDVPDTGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGADKVSEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKGKFMASNKM >ORGLA03G0114600.1 pep chromosome:AGI1.1:3:8216267:8221049:-1 gene:ORGLA03G0114600 transcript:ORGLA03G0114600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related protein 2 [Source:Projected from Arabidopsis thaliana (AT2G39830) TAIR;Acc:AT2G39830] MAYSSRSCDQCSHERRSGFMKWLCAFLKGTKDGEANRRRPRVTAGEETTLWEEPVRPKKEEPPRHNNEEMDHALALALADDAKNTKERNHDKGENDEELARAIQDSLNMNPYQPYNPCAPSQTQARSRGYRVCGGCKHEIGHGHYLSCMGMYWHPQCFRCSSCRHPIRETEFTLLGTDPYHKLCYKELHHPKCDVCLQFIPTNRTGLIEYRAHPFWGQKYCPLHEHDRTPRCCSCEKMEPRNTKYMSLGDGRSLCMECLDSAIMDTGECQPLYHSIRDYYEGMNMKLDQQIPMLLVERQALNEAMEGESKGPHHMPETQGLCLSEEQTVTSILRRPRIGANRLLDMRTQPQKLTRRCEVTAILVLFGLPRLLTGSILAHELMHGWLRLKGYRNLKAEIEEGICQVMSYLWLESEILPSTSRYGQASTSYASSSSSSYRPPPSKKGGISHTEKKLGEFFLHQIANDTSSAYGDGFRAAYAAVNKYGLRQSLNHIRLTGGFPV >ORGLA03G0114500.1 pep chromosome:AGI1.1:3:8211967:8214525:1 gene:ORGLA03G0114500 transcript:ORGLA03G0114500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGMAAATMDSGAAAAAARRYSTQQQPPPPQLHHHQPQLGTVPHLLAGGVAGAVSKTCTAPLARLTILFQVQGMHSDVATMRKTSIWREASRIVYEEGFRAFWKGNLVTIAHRLPYSSISFYTYERYKNLLQMIPGLDRNGGFGADVGVRLIGGGLSGITAASMTYPLDLVRTRLAAQTNTAYYRGISHALYAICRDEGVKGLYKGLGATLLGVGPSIAISFCVYETLRSHWQIERPYDSPVLISLACGSLSGIASSTITFPLDLVRRRMQLEGAAGRARVYQTGLFGTFGHIVRTESLRGLYRGILPEYCKVVPSVGIVFMTYETLKSILTELASDD >ORGLA03G0114400.1 pep chromosome:AGI1.1:3:8200805:8203600:-1 gene:ORGLA03G0114400 transcript:ORGLA03G0114400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKGQFSRERNVKATLGRDGRQRGNSQDQSVQVPKEKIAVVGGNIDGKFEDRIRVVKNEKFRRQREPRSADAGGSLKGSKPWPGRKATTVDELVKHMSNVPSYLQRKETSGHLQDKALNVGVLEWGLLARWSHQQKHEFSSSHGASPSNTSRSLIFSSPSQSSASPSSKSLESNQSPTLNDHQHCSMEFQQSDLEDKYHGKARYSPSPNSAVLNLLPVHGKHFPENTGKFGDLNLRNISPLSDPLLTATGSSMRHEMVDDEETTRNIEEAVHHCSRRLFTDDDNIGQSFFTSHNNDSACGDFQQSSGVTGEVFETLVSSAVMEMERNASLSPVGFSKDIGQHHEFPRIPYSCPLPIMDSSEELGTSRTGTQGDSVGAAVTIGENRNQKQISRGASERTPRISAKFSDMDASPHRHLVSGLNRVNRCSSLKDGPCPRQPEASTSVDKINGDKSSGNKGSRRSPLRRMLDPILKPRQSSTSGPIQPSFVPKCHLPGHIDKQSLSLGGSALQNVQRRSVDSVVNSNCRTETNTNQPPQVLNSERYLQQDIDSTTTRHALLQLAWKNGLPFFMLSCGSDILVATVRRKGISDNNDLESTYTLFGVEEPKKRGGAWIKAGNKNKKDQLVYNIVGEMRVSHRKSRCYQAEKNHLHREFVLVGSEQLPSSEESGDSHVSREFAAFISAVPQQEPETSRHSSSQHSSRSMSTPTDCSCPLGNFHPNTRDDSCASSSVLAVLPNGFHGTSTSGQPLPLIERWKSGGACDCGGWDEGCMLSVLSDDARENKGDKSTQANQTTDGSQRFDLFVQGRSREDKHAFSMVSFREGLYTVEFRSSIALLQAFAMCIVMLHGRRPTRTQAGVHASQEHASLADHKLNKIMAASQGRAQASYVPHRPPLSPVGRA >ORGLA03G0114300.1 pep chromosome:AGI1.1:3:8197367:8200061:1 gene:ORGLA03G0114300 transcript:ORGLA03G0114300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLERDDALDTVLEVPIPEEMFSRGSGGGSSRGSRFGCTNVKSWVRPNASDRSGGAGEPCSMSRGELQLMLGVIGAPLIPLPVDHAKQSPCSVLCEQLKADPIESSTAKYIIQQYIAASGGEWALNKVTSMYAMGKVRMTAAELNSSDADGGGGGGGGGHRGGKKSSKNGGEVGGFVLWQKKPELWSLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQGLDPMLTASLFADAVCIGERSVDGEDCFVLKVEAEASSLRARNSSSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIKSSGHGSVFWETTMESHLHDYRAVDGVNIAHAGRTAVSLVRFGDSSDGNTRTRMEEVWNIEEVDFNIWGLSMDCFLPPSDLKDSKEDKDVSAAVKPARPPPIRIPAVAVRVGPSQVAAVNMDDSDSLIARS >ORGLA03G0114200.1 pep chromosome:AGI1.1:3:8187366:8188891:-1 gene:ORGLA03G0114200 transcript:ORGLA03G0114200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT3G54050) TAIR;Acc:AT3G54050] MAAAATTSSHLLLLSRQQAAASLQCGLSFRRQPGRLAGGSSAPSVRCMAVVDTASAPAATEASKKSSYEITTLTTWLLKQEQAGNIDGEMTIVLASISTACKQIASLVQRAPISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLKSSGRTGVIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIADDQNQNETPLSARVCLQLDQVEQRCIVSVCQPGSNLLAAGYCMYSSSVIFVLTIGTGVYVFTLDPMYGEFVLTQEKVQIPKAGKIYAFNEGNYALWDDKLKSYMDSLKEPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDQKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDIMPTEIHQRVPLYIGSVEEVEKVEKFLA >ORGLA03G0114100.1 pep chromosome:AGI1.1:3:8180281:8184496:-1 gene:ORGLA03G0114100 transcript:ORGLA03G0114100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRGNAFDPFSLDLWDPFDGFPFGSGGSSSSSGSLFPRANSDAAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVDDGNILQISGERSREQEEKSDKWHRVERSSGKFLRRFRLPENTKPEQIKASMENGVLTVTVPKEEPKKPDVKSIQISGXSPVVTXCPYLFDXRFGVGLPLDMRPCVFLAENLRCFCPXQSIHFLYVRVLIVVNIMACSAXATTVAXIKVLFYGFIRSRNSLIITELHIYIXDFLLHIXQKTPLKNSYNFYAIRSLSDWCRYPNPNPFLFYLLFLCPXTTLNGSRHRCLCCHLNPMVVRCPCHAISXPVALLCPXHXPTVCYRPYGFGGAVVASPPTHPAVHRRAITFHNHPSKPPSPSLSAPTXTQTYLPEFRLLSVLEKXMRLLELKKKHMKYESQITILAISLYLVSSVMAXHWNNFLVXSENGNPIGLFSVRSENVKPIGIFRNKXNFWDFCWNRIDCCENAETSEICGTCGNXALNFQNELSSLDRRIQEPKAKSTKTSRSKWQNIPEIRLNKQVXDXYNLRFVHKTRKVSIAHFQHSFELFHSINTEDQIXRFYLLQFITNRRLESKLSTVHVTPEVSYXPVTWMDLTSGFLGSSLGTVTVSTPFSMDALICSGLVFSGSRNLRRNLPLLRSTRCHLSVFSSCSLLRSPLICRMLPSSTSTLTSSFFSPGTSALNTCASGVSFQSMRAPAKAAVSEEVPRGKDGKMLPLLLLPEPKGKPSKGSQRSREKGSNTLLRRIIDIVGIAANLEVERSDFSEFLLXCLLGLLCVFFVVLPVKGSAVLXRAARGHRGAWNKLLETSSLPPHGLEPSTRXLGLEVYTTGSGVGCSPXRPGLSRIIXAISRPRTIQKNTSNFGPNXRTNENYPEAKLPVEPMDHHELLYKLLPPRSDRCQGNQLIDRKINKLSXELRSPFPSRTQTRQPKNSKTQYTMSLIRRSNVFDPFSLDLWDPFDGFPFGSG >ORGLA03G0114000.1 pep chromosome:AGI1.1:3:8174811:8179226:1 gene:ORGLA03G0114000 transcript:ORGLA03G0114000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLRKQPSFLLILLILHLGAREARALSSDGEALLAFKKSVTTSDGIFLNWREQDVDPCNWKGVGCDSHTKRVVYLILANHKLVGPIPPEIGRLNQLQALSLQGNSLYGSLPPELGNCTKLQQLYLQGNYLSGHIPSEFGDLVELGTLDLSSNTLSGSIPPSLDKLAKLTSFNVSMNFLTGAIPSDGSLVNFNETSFIGNRGLCGKQINSVCKDALQSPSNGPLPPSADDFINRRNGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDIHGFRVELCGGSSIVMFHGDLPYSTKEILKKLETMDDENIIGVGGFGTVYKLAMDDGNVFALKRIMKTNEGLGQFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLPGGNLDEVLHEKSEQLDWDARINIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNFEARVSDFGLAKLLEDDKSHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLLLEILSGKRPTDASFIEKGLNIVGWLNFLVGENREREIVDPYCEGVQIETLDALLSLAKQCVSSLPEERPTMHRVVQMLESDVITPCPSDFYDSE >ORGLA03G0113900.1 pep chromosome:AGI1.1:3:8167183:8171420:1 gene:ORGLA03G0113900 transcript:ORGLA03G0113900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G31440) TAIR;Acc:AT1G31440] METLRKQASKLREHVAKQQQAVRKQFSARYNQDPSLVDEAELECHQNLQRLYNSTRAAKHFQRSIVRGVEGFIAVSTKQMEIVKKLAEDCCRYGNDNQNFGFILARASVEFGNSHSQMEKERENLLKFLGEQVFEPLREMIMSAPLEDARLLTYRYQRIRQDMESQIADVMRKQLKSKESSGNADNSVKLQHAESKLSELRTTLSALGREATAAMEAVEVQQQQVTFDRLLAMVDAERAYHQNAADILNKLHDEMVQAKHHDEPENHYDETSSDPKTAATHEHSRSTSEDHIFTNTSEPTRTETSEPTRTETSEPTRNGQEVHYVGEGQVIHPFDAQADGELSISVGDYVVVRQVAPNGWSEGECKGKAGWFPSAYVEQRDKAPASKVIEPGLLTT >ORGLA03G0113800.1 pep chromosome:AGI1.1:3:8163418:8164753:1 gene:ORGLA03G0113800 transcript:ORGLA03G0113800.1 gene_biotype:protein_coding transcript_biotype:protein_coding YISNSGSNIKNHKVIGFYKVSXCKITGESFXSLTDCEGACRRYFHRTISNDADFNCETLNMSQEQVESSKLICKNCVYKQHQCFGCGELGSSDMSSGSAEVYQCSKSRCRRFYHPKCLAEFDSSKNPPVFECPLHECFACKNKGEKYNEETCKNKGHESIKKKQGAENNKKMHLALCRRCPIAYHRKCLPRCDMYLALFASDSALLKGYFVDTVFSFLGIFPLFPKVVFQGNGKLIRAKFSSIACKLFALHPFC >ORGLA03G0113700.1 pep chromosome:AGI1.1:3:8156821:8157288:-1 gene:ORGLA03G0113700 transcript:ORGLA03G0113700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTEEKIASARLMRQQAQEAQMDIAHARTLRVHALRMQLRAQAELVRCCNTDDGKGDNGEKAGDARIREGSVVDSLAGADRFTDSDNIGYVVDSLANNTFVADSLEETDAEEKKQL >ORGLA03G0113600.1 pep chromosome:AGI1.1:3:8152736:8153221:1 gene:ORGLA03G0113600 transcript:ORGLA03G0113600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLWDPFDGFPFGSGGSSSGSIFPSFPRGASSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNILQISGERNKEQEEKTDQWHRVERSSGKFLRRFRLPDNAKPEQIKASMENGVLTVTVPKEEAKKPDVKSIQISG >ORGLA03G0113500.1 pep chromosome:AGI1.1:3:8147707:8151907:-1 gene:ORGLA03G0113500 transcript:ORGLA03G0113500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEYLEKHLLSRKIEEAVNAAVRAKAPDPVLFISNHMRRAAPAVITSVRARQILDGRGEPAVEVSLHTNKAVHRASAAAADAPEGAAADAVRDAEKRKLLARAVADAVRVINDKVSEALVGMDPQQQSQIDQAIMDLDKAHHKAEIGVNSMLAVSIAACKAGAAEKEVPLYKHIAELVGKSATTLPIPAITVINGGTHAGNSLPIQEIMILPVGAKNFEEAMQMGSETYHHLKDIILEKYGSNSCNIGDDGGFAPNISSITESLDLVIEAINRAGYNGRIKLAIDVAATDFCMGNKYDMEFKFAEKSGQGFKTADDLIEIYSQLCSEYPLVSIEQPFDKDDWEHSKKFTTLELCQVVGDDLLMSDPERIKRAVNEYTCNALVLKANQVGTVTEAIEVVRQAKDAHWGVMVSHRSGDTDDSFIADLAVGAAAGQIKAGAPCRGECLSKYNQLLRIEEELGSDGVYAGENWRTTASTS >ORGLA03G0113400.1 pep chromosome:AGI1.1:3:8144974:8146487:1 gene:ORGLA03G0113400 transcript:ORGLA03G0113400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTQQKCKVCTKTVYPMDQLSTDGVVFHRSCFKCQHCKSTLSLGNYSSIEGVPYCKPHFEQLFKETGSYNKSFQSHAAAKPASEKLTPELTRSPSKAARMFSGTQEKCATCSKTAYPLEKVTVEGQAYHKSCFKCSHGGCAISPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKCASVKRAEAQPAPPPAAADSS >ORGLA03G0113300.1 pep chromosome:AGI1.1:3:8137712:8142155:1 gene:ORGLA03G0113300 transcript:ORGLA03G0113300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38025) TAIR;Acc:AT2G38025] MAQAVRPCNATLLARLRDGEARFELLEDSAAAAAASPAPAPVWPGLSCFSRVATSLRGGWSGALNKVEHYGVQRVTGDGRCMFRALVKGMAKNKGIPLTSREEVQDADDLRMAVKEVICDDETERQKYEEAVIAITVDESLRRYCHRIRRSDFWGGESELLVLSKLCRQPIIIYIPEREYHGRGNGFIPIAEYGLEFSKDSKQWKKKVPVRLLYSGRNHYDLLV >ORGLA03G0113200.1 pep chromosome:AGI1.1:3:8136374:8137195:-1 gene:ORGLA03G0113200 transcript:ORGLA03G0113200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPARSDAHLSPEGEAAMEAEVREYYDEAAPKRHSKPSRSEPSAVYTDALVPDDSHPELDRFQQLEAHTEKLVCEGGKAGDEFVETEYYKDLGCVGKQHHTTGTGFIKMDKPSADASFHLSDDPDASERHASCKGNPATNEWIPSADTVYPASDKPNRSDS >ORGLA03G0113100.1 pep chromosome:AGI1.1:3:8135530:8135925:1 gene:ORGLA03G0113100 transcript:ORGLA03G0113100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF962) [Source:Projected from Arabidopsis thaliana (AT3G09085) TAIR;Acc:AT3G09085] MGRGEQEAAASHGFGSMEEFWGFYLTQHSKPGTRRWHFLGTLAALACALLAAASGRAAPLLAAPVLGYGMAWYSHFFVEGNRPATFGHPVWSFLCDLRMFALILTGRIDAELARLRLQPPHDAAAASAHRD >ORGLA03G0113000.1 pep chromosome:AGI1.1:3:8123115:8132347:1 gene:ORGLA03G0113000 transcript:ORGLA03G0113000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G07360) TAIR;Acc:AT2G07360] MSNLVLYLQLHQQCEDRNVLRYVYYYLARILSDNGAQGLSAAGGIPTPNWDALADIDAVGGVTRADVVPRIVDQLSAESTSDDVEFHARRLAALKALTSSSTSNSEMLEKLYEIVFGILEKVADTKQKRKKGIFTKQGGDKESIIRSNLQYASLSALRRLPLDPGNPAFLHRAVQGVEFSDPVAVRHALSIASEIAVRDPYSVAMALGKNAQPGGALQDILHLHDVLARVYLAKLCHSISRARVLDERPDIKSQYSSLLYQLLLDPSDRVCFEAILCVLGKVDNTESTEERAGGWIRLTREILKLPEAPSVASKGILSKSEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAYSLGAYDEAANLQSYSDNVESLDSDLNENSQPEATRKANPLSNGHGGMDTIAGLLASLMEVVRTTVACECVYVRAMVIKALIWMQNPHESFEELKSIIACELADPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFASVDMVSASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLASALTRLQRCAFSGSWEIRIAAVQALTTIAIRSGEPYRLQIYEFLHALALGGVQSNFSELQLSNGENQGASGTGLGSLISPMLKVLDEMYRAQDDLARDIRQHDNSKQEWNDDELKKLYETHERLLDFVSLFCFVPRAKYLPLGPTSAKLIDIYRNRHNISTSAGLSDPAVATGISDLMYEPKDVPKEATLIQTGIDPDLAMAWAAGLEDDVWENNAPAVDKVKDFLAGAGTDAPDVDDEEYMNSRPSVGYDDMWAKTILETYEAEDDDGRSSGGSSPESTGSVETSISSHFGGMNYPSLFSSKPSGYGASQQTIREEPPSYSTSVLQKRESFENPLAGRGGRSFGSHEDEDRSSGNPQSGKALYDFTAGGDDELSLTAGEDVEIEYEVDGWYYVKKKRPGRDGKTAGLVPVLYVNS >ORGLA03G0112900.1 pep chromosome:AGI1.1:3:8114763:8116516:-1 gene:ORGLA03G0112900 transcript:ORGLA03G0112900.1 gene_biotype:protein_coding transcript_biotype:protein_coding CYSREDQGYESDSYSTSPRRSSHSRYRSRSRSVDSSDVENPGNNLYVTGLSARVTDRDLEKHFSAEGEVIDASIVLDPWTRESRGFGFVTMATVKEADLCIKYLDRSVLEGRVITVEKAKRRRGRTPTPGRYLGTKSSCVTPARRYSPSYSPVERDRYSSRYSPERERSYSPYGRRRSYSPYNRRRSYSPYERRRSYSPHERRRSYSPYGRSPSPYGRRRSYSPYDTRGSRHRSYSSYRGSRYRSRSPYRYRRERSCSYDHSVSPYYRRCYSPSARGRSYSRSVSPQRSYSHSCSPDSQRSGSYSPRKRYSERKPSRSRSSGKRHSRESYSHSRSSYSRSVSRERSE >ORGLA03G0112800.1 pep chromosome:AGI1.1:3:8111100:8113359:1 gene:ORGLA03G0112800 transcript:ORGLA03G0112800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAGERRLGRAMSFGIPDVALGLVMGFVEDPWDRDAISLVCRHWCRVDALSRKHVTVAMAYSTTPDRLFRRFPCLESLKLKAKPRAAMFNLIPEDWGGSASPWIRQLSASFHFLKALHLRRMIVSDDDLDVLVRAKAHMLSSFKLDRCSGFSTSSLALVARTCKKLETLFLEDSIIAEKENDEWIRELATNNSVLETLNFFLTDLRASPAYLTLLVRNCRRLKVLKISECFMLDLVDLFRTAEILQDFAGGSFDDQGQVEESRNYENYYFPPSLLRLSLLYMGTKEMQVLFPYGAALKKLDLQFTFLSTEDHCQLVQRCPNLEILEVRDVIGDRGLEVVAQTCKKLQRLRVERGDDDQGGLEDEHGMVTQVGLMAVAQGCPHLEYWAVHVTDITNAALEAIGTYSSSLNDFRLVLLDREANITESPLDNGVRALLRGCTKLRRFAFYVRPGALSDVGLGYIGEFSKTIRYMLLGNVGESDQGLLQLSTGCPSLQKLELRGCFFSERALAVAVLQLKSLRYLWVQGYKASPNGTDLMAMVRPFWNIEIIAPNQDEVCPDGQAQILAYYSLAGMRSDYPHSVIPLYPSV >ORGLA03G0112700.1 pep chromosome:AGI1.1:3:8100433:8102570:1 gene:ORGLA03G0112700 transcript:ORGLA03G0112700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L4 [Source:Projected from Arabidopsis thaliana (AT1G07320) TAIR;Acc:AT1G07320] MAASSVASTLLLSLSSSSSPFLSPTSVSFLPSAAAAASSSSPRVAVAAGKQKAAVSVLRALRAEAATLPVLSFTGEKVGEVALDLKSAPPSTARAVVHRAIITDRQNKRRGTASTLTRGEVRGGGRKPYQQKKTGKARRGSMRTPLRPGGGVIFGPKPRDWSIKINRKEKRLAISTALASAAVADDAFVVEEFDEEFAAGPKTRDFVAALQRWGLDPKEKAMFFATDFADNVRLSGRNIGSLKMLTPRTLNLYDILDARKLFFTPAAINYLNSRYGATVFDEYEDDTNGEDDGEEEAEELQEGEGSAEEAAQDEAAETEADSNS >ORGLA03G0112600.1 pep chromosome:AGI1.1:3:8096952:8099362:1 gene:ORGLA03G0112600 transcript:ORGLA03G0112600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT2G39970) TAIR;Acc:AT2G39970] TRGSRRSATPPSPAFKDGAVRQMCLVVKHEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRSRAEAAALQRSRRGIGDGSVGMLQSLTVAALSGCVNVLLTNPIWVIVTRMQTHRKANKQQSPLDLTCVLDKALQAPAVENIPHKTIHVVCIQDLYKEAGFLGFWKGVVPALIMVSNPAIQFMLYETLLKKLKKRHASNLKGADGLTALEIFLLGAVAKLGATVVTYPLLVVKARLQVKQIIDDDKRHRYKGTFDAITKMIRYEGLSGLYKGMSTKIVQSVFASALLFMIKEELVKGARLLVTGNTSLVKKLPSKPLR >ORGLA03G0112500.1 pep chromosome:AGI1.1:3:8089370:8090749:-1 gene:ORGLA03G0112500 transcript:ORGLA03G0112500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGPKSEAKQDEEGSSAMGGGGGSSRSSKVYHERQRLQFCLLHALNNLMQEKESFTRAELDGIAGNLVQIDPNKEHWTPMSLIFKPHHNVFTGNYDVNVLITALEARKKKVIWHDHRKGASSIDLDADALFGLMINVPVRRFRGLWTGRHWVAIRSINGTWFNLDSDFSAPKEFQDKEKLIAFLDSILSQGGEVMIVLQDE >ORGLA03G0112400.1 pep chromosome:AGI1.1:3:8084439:8087705:1 gene:ORGLA03G0112400 transcript:ORGLA03G0112400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQAAEAQPLLLQGDQVDAEWGCRPHRIVLFVEPSPFAYISGYKNRFQNFIKHLREMGDEVLVVTTHKGAPEEFHGAKVIGSWSFPCPLYQNVPLSLALSPRIFSAVAKFKPDIIHATSPGVMVFGARFIAKMLSVPMVMSYHTHLPAYIPRYNLNWLLGPTWSLIRCLHRSADLTLVPSVAIAEDFETAKVVSANRVRLWNKGVDSESFHPKFRKHEMRIKLSGGEPEKPLIIHVGRFGREKNLDFLKRVMERLPGVRIAFVGDGPYRAELERMFTGMPAVFTGMLQGEELSQAYASGDLFAMPSESETLGQVVLESMASGVPVVAARAGGIPDIIPKDKEGKTSFLFTPGDLDECVRKIEQLLSSKVLRESIGRAAREEMEKCDWRAASKTIRNEHYCTATLYWRKKMGRTN >ORGLA03G0112300.1 pep chromosome:AGI1.1:3:8078544:8079059:1 gene:ORGLA03G0112300 transcript:ORGLA03G0112300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETVVEVGSNEWLEEHHGLQLLVLLPHRHVVDLWRHRPAPPPHSLPSCDYVAVAMAATHPKNERCVVPAAALDCAMELLSCSKXSMCTAICTGSYSFCAVCKILCRCSAGG >ORGLA03G0112200.1 pep chromosome:AGI1.1:3:8070690:8077932:-1 gene:ORGLA03G0112200 transcript:ORGLA03G0112200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04730) TAIR;Acc:AT1G04730] MEADMDMPDPEELEWMESHGLLPEEEEEYAYFDDPEDEGFLPAAAGADQPRRSPQETTAAPAKPADEVSEGNLKRPPPPPPPEQGEERSKRRNVDREDSGDGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMSVTAPNGERVYAKVATDGLDGGGIGGTRQRTRISKPNFNYKGLLSESFHSLTSRAEQEALAKALQESAETQNLESCPVTPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHVRATGDDVLSALRRHSSAIQKNSSNRNFFSKSKGGPGMSQDNMLQNAHGSNSEDLTSSFNKRPTTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEVILKMINAEKNNSSNSSTSAEDTQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRLKYICKKERFKTSPIALSALAEYTGMVSFAPFTIVRSSAYTVVTSNLSNCKQENQRRLIEQSLPAECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSIIDVWKQVLQKKKLKRIEKVDSNFSRGKDIDSLFSLISNRGDYDVTMDGIHENFLKLNYHDPMLQKTVKCLDILGVSDSLMQYVYRTQQMSLHVYQPPVAITISQLVAQVEKPNIEWPKSLQRCRTMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDIASPFLHIISPSNLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLEPQERISGSMVSPDVPSLSLDPAINDIINFKVEKQKIMKDSAGKLLNQANEGDKRNEVSVSEKKSALVSTKSNPTTLKMQLSSASSMSGKDPAPAKKHSNHGINFFDRFRKERPVDAKARNDAGQQVATTLRDSRPLIFKYNEEYIGNPHDLHLVVPNKQ >ORGLA03G0112100.1 pep chromosome:AGI1.1:3:8068270:8069715:-1 gene:ORGLA03G0112100 transcript:ORGLA03G0112100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G09030) TAIR;Acc:AT3G09030] WKPPVPGGRRGTVKQLNVGGKLFALEASSLPISLSLSPSPNTIFVDRDPALLSAILSAIRAPSAAPAFPAGVLLDEAHSYGLHDQLLAALSPPPLVGFSASRASTLSPSSEPFPTALAPRHDGSLCLAHGAGLLTHYSPALEHLTTFRTHLHRITSLRQLPPGLAVAGSSLSPGLHVYDLLKGRHVASVQWSDPTDLRVQKAKVIAIAARPAADAADKNSPILATFECPHRENCILVFDPVTLKPIQEIGRQTGSAAKSSAPGRVVHLQELGLVFAASVSSGAFGYSGYMRLWDIRSGDVVWETSEPGGVGRSSRFGDPFADVDVDVKQQILYKVCSKSGDIGAADLRCLGKDPWVYMSSGPRGSGGGHGSVLHCYKSQVFVSRKDGLEVWSRLEEQSNGTANLAEQTRAKENINNKGINENCFRSCYVDTEEDADRGMIHIMEGGGDRLFVTREEMQGVEVWETSQLAGAISLSLSSLLV >ORGLA03G0112000.1 pep chromosome:AGI1.1:3:8062255:8064399:-1 gene:ORGLA03G0112000 transcript:ORGLA03G0112000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRCSSLLRGFVSLFFLLFIHIGHAGCCFSTGSSTQTLEEDDTGHGADGRGGGGGGSKRRKISPLAFSPSVSSSTGADERSRGRRRQVSSLATSVRFYLHRIFSYSSGSQERRWRFSRGGGRRGGDNDRVVPARAVAVVPGFTPRPRPSGWLPRRSPPGPLGPRPCCSGGLSRPLSAWESPFLSPSSPQSFSITPAVPLSPHNRQIPQATTRQSSSGSFAARGDVFPCKVCGEVLSKPQQLELHQAMKHSLSELSSLDSSMNIIRMIFLAGWKPAVMPGAGEPPSVRRILRIHHNPRVLIRFEEYRDLVRARAARRCAGAGAAAVEERCVADGNERLRFYCSTMLCTLGAGVCGSPYCCTCSILRHGFAGKQADVDGIATYSSGRAAHASLPDDVEREFAFLQVRRAMLVCRVVAGRVGRGAADDKVAYDSMVPLLPTSSFAAATRGDDDVELLVFNPRAVLPCFVIIYSC >ORGLA03G0111900.1 pep chromosome:AGI1.1:3:8052234:8056300:-1 gene:ORGLA03G0111900 transcript:ORGLA03G0111900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIAAATFTPSRLAARPATPAAAAAPVRARAAVAAGGRRRTSRRGGVRCSAGKPEASAVINGSAAARAAEEDRRRFFEAAERGSGKGNLVPMWECIVSDHLTPVLAYRCLVPEDNMETPSFLFESVEQGPEGTTNVGRYSMVGAHPVMEVVAKEHKVTIMDHEKGKVTEQVVDDPMQIPRSMMEGWHPQQIDQLPDSFTGGWVGFFSYDTVRYVEKKKLPFSGAPQDDRNLPDVHLGLYDDVLVFDNVEKKVYVIHWVNLDRHATTEDAFQDGKSRLNLLLSKVHNSNVPKLSPGFVKLHTRQFGTPLNKSTMTSDEYKNAVMQAKEHIMAGDIFQIVLSQRFERRTYANPFEVYRALRIVNPSPYMAYVQARGCVLVASSPEILTRVRKGKIINRPLAGTVRRGKTEKEDEMQEQQLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVSGELDDHLQSWDALRAALPVGTVSGAPKVKAMELIDELEVTRRGPYSGGLGGISFDGDMLIALALRTIVFSTAPSHNTMYSYKDTERRREWVAHLQAGAGIVADSSPDDEQRECENKAAALARAIDLAESAFVDKE >ORGLA03G0111800.1 pep chromosome:AGI1.1:3:8047974:8050644:1 gene:ORGLA03G0111800 transcript:ORGLA03G0111800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09010) TAIR;Acc:AT3G09010] MGVSASCLWGGSESRGNQNGSAAVTSPRSGQVISRAGSNVRIFSLKELKLATRNFHMMNCVGRGGFGAVYKGNLKDGTQIAIKKLSAESKQGANEFLTEINVISNVRHPNLVKLIGCCDEGTNRLLVYEYAENNSLAHALLGPRSRCIPLNWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLLPKIGDFGLAKLFPDTITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLVLEVISGESSSKSTWGQDMNVLVEWTWKLREQGRLLEIVDPELEEYPEEEMLRFIKVALVCTQATSQQRPSMKQVVDMLSNPTEISLENLVAPGVLKEPRHHSSSSGLTPDTTSNRSTKANPADSYSTQTRDMNSYQLSTIEVSPR >ORGLA03G0111700.1 pep chromosome:AGI1.1:3:8014249:8017326:-1 gene:ORGLA03G0111700 transcript:ORGLA03G0111700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAG1 homologue 2 [Source:Projected from Arabidopsis thaliana (AT3G19260) TAIR;Acc:AT3G19260] MAIRGPEASSFFPLTLVFSVGFFCARFFLDRLVYKPLAAYCFSSKASKLMNDEVRQAKIVKFSESIWKLTYYGSVQAWVLLIIKQEPWSLDTMQYFEGWPNQYMTSSLMLFYMCQCGFYIYSIFALVAWETRRKDFAVMMSHHVVTSVLIGYAYLTGFFRIGTIILALHDASDVFLETAKLCKYTEKELGASLFFGLFALSWLLLRLIYFPFWIIKTSSYQSIISLRKLEKFPTTLYYIFNTMLLTLLVFHIYWWKLICLMIMKQLNNKGQVGEDVRSDSEDEE >ORGLA03G0111600.1 pep chromosome:AGI1.1:3:8009414:8011960:1 gene:ORGLA03G0111600 transcript:ORGLA03G0111600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASAAASAGRGARAEKVGRIFERFDANGDGGLDRDEMAALVVAVNPRVKFSEDQISAILDEVFRTYAEFILPGGRGLSLPGLLRTYDDGAGDVDRDFLALSLPPLESGESSPEIAAGDPAAASSPPSGAAAAASLLDDHIKPLGAAGGAPSSSSRAAAAAPAWATSPSHGIAFDSSWALLDDLEILVKRLRSKQLRRTSSIDTINGSGGAGNNNFDSFSEAGWSREISGQADSASTAAPWDETSRDYLTFVKELAVLRTRADASRSREEAFDNHMVIGRALSEHRLFRDALSSFRRACELQPTDVRPHFRAGNCLYALGRHSEAKEEYLLALEAAEAGGSQSADILPQIHVNLGIAMEAEGMVLGACEHYREAAILCPSHARALKLLGSALFGVGEYRAAEKALEEAIFLKPDYADAHCDLGSALHAIGDDDRAVQEFQKAIDLKPGHVDALYNLGGLNMDAGRFVRAAEMYTRVLSIRPNHWRAQLNKAVALLGQGESEEAKKALKEAFKMTQRVEVYDAISHLKTLQKKKPKPPKGKDDNQGEEAFVVVEPSKFKRVGRKTTLRQDLANALDIRAFERTTKLGRCDTELLRKEMNETDVPISYSGAGNPEKSIRKAALEVILHRLLSFLKPDTFQGSVKAINERILSVLDASGSGRVDLGMFFAIIAPICSGPVDKRKRVVFDALLWRPASEGSRGQIRRSDALSYIKLLRAVYIPTHGASDMLEMHGESDPTMVSYTEFLEMFNDPDWGFGILSTLVKLEDSDHIRHGRHTCSICRYPIIGSRFKETKHSFSLCNRCYSEGKVPSAFKLDEYRFKEYGNESEALIDKCMCFNLHSKKLEADT >ORGLA03G0111500.1 pep chromosome:AGI1.1:3:8003773:8006429:1 gene:ORGLA03G0111500 transcript:ORGLA03G0111500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-ribonuclease binding protein 1 [Source:Projected from Arabidopsis thaliana (AT1G45976) TAIR;Acc:AT1G45976] MAFFSHHHLQQPHPQAPPPPPPQQQQQPVPPSFRNALPVPVDGQIPAPLPFFNPPPAFQDQPAQPPLVDAMGLTAAAGLGWRQPREQELLGENSQMSSIDFLQTGSAVSTGLALSLEDRRHGGGSGAGAGNSSGDSPLLLLPMLDDDISREVQRLDADMDRFIKAQSERLRQSILEKVQAKQFEALASVEDKILRKIRDKEAEVENINKRNSELEDQIKQLAVEVGAWQQRAKYNESMINALKYNLEQVCAHQSKDFKEGCGDSEVDDTASCCNGGAANLQLMPKENGHSKDLTACRVCKSSEACMLLLPCRHLCLCKECESKLSFCPLCQSSKILGMEIYM >ORGLA03G0111400.1 pep chromosome:AGI1.1:3:7998282:8000844:1 gene:ORGLA03G0111400 transcript:ORGLA03G0111400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-rich protein [Source:Projected from Arabidopsis thaliana (AT4G08230) TAIR;Acc:AT4G08230] MAYVERGVVKDKRTIWRLSIISDFFRAIVNFIRMFFLTMFSIEKTDSYRKGYGSGKKWDGGPGGGGPGGGPYWGGRGGGGGPRGPRTLSDIRSNDQNSLPACGSCCG >ORGLA03G0111300.1 pep chromosome:AGI1.1:3:7994572:7996141:-1 gene:ORGLA03G0111300 transcript:ORGLA03G0111300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phosphotriesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59530) TAIR;Acc:AT3G59530] MEEKKQQQQRPQRGRDGILQYPHLFFAALALALLLTDPFHLGPLAGVDYRPVRHELAPYREVMARWPWDNGSRLRHGRLEFVGEVFGPESIEFDRHGRGPYAGLADGRVVRWMGEDAGWETFAVMSPDWSEKVCANGVESTTKKQHEMERRCGRPLGLRFHGETGELYVADAYYGLMSVGPNGGVATSLAREVGGSPVNFANDLDIHRNGSVFFTDTSTRYNRKDHLNVLLEGEGTGRLLRYDPETKAAHVVLSGLVFPNGVQISDDQQFLLFSETTNCRIMRYWLEGPRAGQVEVFADLPGFPDNVRLSSGGGGGRFWVAIDCCRTAAQEVFAKRPWLRTLYFKLPLTMRTLGKMVSMRMHTLVALLDGEGDVVEVLEDRGGEVMRLVSEVREVGRKLWIGTVAHNHIATIPYPLEEQSSSSSSNVLGD >ORGLA03G0111200.1 pep chromosome:AGI1.1:3:7990534:7993064:-1 gene:ORGLA03G0111200 transcript:ORGLA03G0111200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT2G32600) TAIR;Acc:AT2G32600] MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGRYCPPPAKTLAFFFLDSVCSLALIPLDFVSSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPNKRKFAPRKSVKIGRPGYQVTKQYDPDMKQHSFLFEIGYPEIEENSKPRHRFMASYEQKVESWDKKYQYLLFAAEPYEIIGFKIPSAEIDKSADKFFNYWDPDKKQYILQLYFKTRQPEANKPPAAPGTLPNGSGGPPRPPPPQVPPPPPQAPPPPPPNAPMGMPPRIPPPPVGGTQPPPPPPPLANGPPRSIPPPPMTGGAMANFTPGAPPPRPPMQGFPGPQQ >ORGLA03G0111100.1 pep chromosome:AGI1.1:3:7986907:7989855:1 gene:ORGLA03G0111100 transcript:ORGLA03G0111100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDKVGCSPEPLPLDRLLAELAANAERLGRRWEAALRKRGREGARAAGVGLEEGRKADSAAMQLHTPLFYATCALGGLLSTGLTHLAVTPLDLVKCNMQVDPGKYRDISSGFGVLLQEQGLGGFFKGWMATLVGYSSQGACKFGFYEFFKKCYSDIAGPEHAEKWKTFIYLAASASAEMIADVALCPMEAVKVRVQTQPGFARCLTDGFPKIVQSEGAFGLYKGLLPLWGRQVPYTMVKFACFETIVELVYKHAVPKPKDECSKPLQLAVSFAGGYIAGVFCAAISHPADNLVSFLNNAKGGTMADAVRTLGVWGLLTRGLPLRIIMVGTLTGAQWATYDAFKVFVGLPTSGGFIPSPAATDLRQVDHEKRS >ORGLA03G0111000.1 pep chromosome:AGI1.1:3:7981666:7983405:1 gene:ORGLA03G0111000 transcript:ORGLA03G0111000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor [Source:Projected from Arabidopsis thaliana (AT4G08250) TAIR;Acc:AT4G08250] MEVTMEDVAGDFEFSGCGSTTTTSSASSLDDGTGMCYAWGELSPVADWANFCCSDDDGGHDLHGLIESMLCDDTLVGVDDDGQAGLHHADMFRDDLYCYGNGSNPSSTTTTNPGSPVFDDQTQGCPEKGLRLLHLLMAAAEALSGPHKSRELARVILVRLKEMVSHTAGANAAASNMERLAAHFTDALQGLLDGSHPVGGSGRQAAAAASHHHAGDVLTAFQMLQDMSPYMKFGHFTANQAILEAVSGDRRVHIVDYDIAEGIQWASLMQAMTSRADGVPAPHLRITAVSRSGGGGARAVQEADARLSAFAASIGHPFSFGQCRLDSDERFRPATVRMVKGEALVANCVLHQAAATTTIRRPTGSVASFLSGMALLGAKLVTVVEEEGEAEKDDDGDSAGDAAAAAAAGGFVRRFMEELHRYSAVWDSLEAGFPTQSRVRGLVERVILAPNIAGAVSRAYRGVDGERRCGWGQWMRGSGFTAVPLSCFNHSQARLLLGLFNDGYTVEETGPNKIVLGWKARRLMSASVWAPPPLPVPSSPPEGVCQPVVGMAPVATGGFARTEFDYIDSFLVEPAYALV >ORGLA03G0110900.1 pep chromosome:AGI1.1:3:7972024:7972866:1 gene:ORGLA03G0110900 transcript:ORGLA03G0110900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRERVVNDVPHQXHHALTDDVDTSSMSGRERESSRSGWRMLMDEAEESERPSMPIRRRRPVALEAPLTQQQGRGCVQVERLSIGDIQRLEWKALEAKIQCEIHVAPWCLR >ORGLA03G0110800.1 pep chromosome:AGI1.1:3:7966486:7967262:-1 gene:ORGLA03G0110800 transcript:ORGLA03G0110800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNTSNKCIAAAGATAAAGLGGGAASCSGGGGDRKVTTAAAAALAVRPYKGVRMRSWGSWVSEIRAPHQKRRIWLGSYATPEAAARAYDAALLCLKGSDAVLNFPSSASSRRRLDIHRGGTDSAAGDMSPRSIQRVAAAAAAAFDAAAAGVVVDESCSCSAEAMSSTPTSRATSLSTLGSSGGGDVLDHATTPSSSSSAAANVCSPPLEGDHELWTELDAFASPKFMDLMAAGGTAFSSPWEEPEEDGELMRLWSFC >ORGLA03G0110700.1 pep chromosome:AGI1.1:3:7960186:7964819:1 gene:ORGLA03G0110700 transcript:ORGLA03G0110700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGDAFGSATAPLAWHDFLERMRQPSAADFVKSIKGFIVTFSNRAPDPEHDSAAVQEFLENMEGAFRAHTPWAGSSEEELESAGEGLEKYVMTKLFNRVFASVPEDVKSDEELFEKMSLLQQFIRPENLDIKPEYQSETSWLLAQKELQKINMYKAPRDKLACILNCCKVINNLLLNASIVSNENPPGADEFLPVLIYVTIKLFLMQANPPQLHSNLLYIQRYRRQSRLVSEAQYFFTNILSAESFIWNIDGESLSMDERDFQKKMDLARERLLGLSASSENQDNQNNLDVREQKSQTLKASRDSDVNLSLKDNFQGPGLEMRRDSDASSNPVEHVQSISDLEKKGAAELLKDDDLNKKIQEYPFLFARSGDLTVADVENLLNSYKQLVLKYVALSQGMGINLENPPVQSMQTVSDLVESEEPKNVKNAVNFSEGSSKTSDDIKNDTLYSEVDNTGTQQTAVDPSYQKAQQDEASDQPEHA >ORGLA03G0110600.1 pep chromosome:AGI1.1:3:7958116:7958423:-1 gene:ORGLA03G0110600 transcript:ORGLA03G0110600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGAFDMWGKAADRKVSLSALQNTNNQHSLSWSHYKLQKAKGLQVRQIIGYAARIREQ >ORGLA03G0110500.1 pep chromosome:AGI1.1:3:7953617:7954434:1 gene:ORGLA03G0110500 transcript:ORGLA03G0110500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) hydroxyproline-rich glycoprotein family [Source:Projected from Arabidopsis thaliana (AT2G01080) TAIR;Acc:AT2G01080] MTTRSNGEHHHGPPSYQQRRPHYGGGYGGGSASFRGCCCCIFLLLTFLALLALAVALVVVLVVKPRKPQFDLNQVSVQYLLVTPPSSAASAVGGTVAAAVPAAAYLSLNITLLFTAVNPNKVGIRYGATAFDVMYHGVPLGVAAVPGFEQPAHSTRLLQTRVIVDRFNVLQADAQDLVRDAAISDRVDLRITGDVGAKILVLGFSSPKVQVSVDCAIAISPRRQSLTYKQCGVDGLSV >ORGLA03G0110400.1 pep chromosome:AGI1.1:3:7952173:7952601:-1 gene:ORGLA03G0110400 transcript:ORGLA03G0110400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPFLLPLLSLLPHLVSAPPRAGRARRPSEPAQVLPSSFSLHLCRRRRLRQGRTDAAPVVAVVAVSPPFFSLPFCQRRQPSPFVSLLSVSQRRRPPATAVLCQHLLRPPPLCPSLHSSLLPKSTAPLRGRQLNSSPDLTV >ORGLA03G0110300.1 pep chromosome:AGI1.1:3:7950541:7951758:-1 gene:ORGLA03G0110300 transcript:ORGLA03G0110300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFFWHQSEHALSSYPSSSPFVLNVLEEAISGTDTLRSLPVGSSAPGKADEKCALFYGVTISEEQARSGIVVRVNSAAQSKFKLLFFEQEFDGGYGLALQASKLR >ORGLA03G0110200.1 pep chromosome:AGI1.1:3:7939454:7943006:-1 gene:ORGLA03G0110200 transcript:ORGLA03G0110200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel cap-binding protein [Source:Projected from Arabidopsis thaliana (AT5G18110) TAIR;Acc:AT5G18110] MEPAAEKREAEQEELQQQHDEPAVPSADDDEAEAEENERRNRELKAGFHPLRRRFVLWYTRRTPGARSQSYEDNIKKIVDFSTVESFWVCYCHLTRPVSLPSPTDLHLFKEGIRPLWEEQDPANRSGGKWIIRFKKTVSGRFWEDLVLVLVGDQLDYSDDVCGVVLSVRFNEDILSVWNRNASDHQAVMTLRDSIKRHLKLPHSYLMEYKPHDASLRDNSSYRNTWLRG >ORGLA03G0110100.1 pep chromosome:AGI1.1:3:7923892:7938734:-1 gene:ORGLA03G0110100 transcript:ORGLA03G0110100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSADPEAPTPTPSPSPSPSPSPAKATPSPASADGNRLRRCVQSKLSWGPPKAGGGGGEAGGAGLPPLAAGDGTPEKVKKRGRPRKSEAGKKPSSNRETTGLEQDSKDEVILVDESPQKKQRKGRGKNQGAALKVPNRKHCKALESTDGHESCQQLRSSQTQAVLPQKSPTSVDIDLVTGPSEASPVNDNVDALDNEDKPQLIVDLRSEANIAAEENRRLSSGKKMHPFFASRKIHKGAGQDILNVEDEDMDSLCAFERDPPLCPVHVLYELEVTMPIHWSNKWLIADKSFLGTSTTEQNSAEHADPGKHLANFHDKQNKSKFSSQDVIDVDDECLLASSSCFHASLFESKQHERVQHELPEVTPKGCQTANLWTDKYRPETAAQVCGNSEHVKFLNEWLKGWDERGHRNKQNIVTNGSMNGRSCQDGSDTDYSEDASDYENVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDMRNGAYVRQKFEEATKSHGLEKWSQEIIGLPISNSLDPASGTPGTAEYKQVINKTLILFEDVDTVFDEDRGFISTILKMVETTKWPIILTSNKKDPPLPHLLAQLVLDFTYPSSAELLSHVDMICKSEGVEITVPQQKHIIDAFLGDIRRTMMLLQFWYQGKQQYSGRLNKCLSCPSLLDLDAVHSTVPRIMPWDFPCKLSETIYMEIDKTIVTAEQKKKQMEVSEFEGLELQIMTPLTKGRSAGKTRKPKKSKLKHGRSADCNDASPCKNDLDDFHDSPDIPLPSNHQRMRNRRGVVLFAESDDDLADAHAAKDATFTVQEGRLLPQSSELPCLYGHGISNIVPESVFFQQSFVPHLHREVISNQLCFPSESRAFEPASSFQNQLESNMPGSISQICDTFMSQGISCVPESSFMVGGTSASISSDDLLSSLVSNGLSALRNESTYTASVVALEDTNKVENQMTDEPQKCMEDEVGETCEAYVELADRNDHASCSITGYQLMDECSRAESVWLLSGKKNNDSCKVEHVQDTWNRLRRCHPVLPCDMNHNRSVSGALKRVSRVSDLISESDLMLISCHPFSNDISDPSLTPYTESDGFSYSKQLEMGSIYAQHGLCIFLQDSQATDDGFVDLLQELLFSGTTTTSLGKFVSSGISCGDGSGNISHVKYPTSCISKRRERQARLREVLLPVVPPKLSQSLRGPAFVDYLSSMSQISQLENMQLSECKASSKQRRCRQPRHYLSSGALSLSAEDIGLLAQCSTFSDRRESETIIEQAIS >ORGLA03G0110000.1 pep chromosome:AGI1.1:3:7915909:7919423:-1 gene:ORGLA03G0110000 transcript:ORGLA03G0110000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPAAPPSPSSSSGGSSRRRRRLERRNAAKHIGYDASNFCAYPQSPPAASAPASGSPSLACSPACSLDLTSFRIGGSGDGCRDVQLLCSSLGLSGVDDFAVPVADWEAHKAGRSSFSSSASTPKPREEPPARDSPVRREVAAEEEPPSLPAPAAAPVLPAKETPRSVAIEAPAPLLRVDPWEPARPDVRKASGEGGIKGVRPPPVVLKPPPSMVRPAVCVVESTWDILRSFAPEEDSHAHAPASRSGGDSACQDAGEEEDDAAAVLTLEELRLGETSEEFTGTSSLSTTNDDETSSTTTESMFYISPNGRFRRKIRSWYRGMLLGSGSFGTVFEGISDEGAFFAVKEVCLCDQGSNAQQCIFQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLASLYQKYRLRDTHVSAYTRQILNGLTYLHERNIVHRDIKCANILVHANGSVKLADFGLAKEITKFNVLKSCKGTVYWMAPEVVNPKTTYGPEADIWSLGCTVLEMLTRQLPYPGLEWTQALYRIGKGEPPAIPNCLSRDARDFISQCVKPNPQDRPSAAKLLEHPFVNRSMRSIRSMRTSSRSNSSVRGING >ORGLA03G0109900.1 pep chromosome:AGI1.1:3:7908431:7911289:1 gene:ORGLA03G0109900 transcript:ORGLA03G0109900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:partner of Y14-MAGO [Source:Projected from Arabidopsis thaliana (AT1G11400) TAIR;Acc:AT1G11400] MATASDGSGSGSGSGGEQRRLLSIPKEGERIIAPTRRPDGTLRKAIRIRAGYVPQEEVAIYQSKGAQMRKSGPDVPPGYDPALDAKPKTKAAKRNERRKEKRQQQASTTNDKGKGLHIEDDAGETDNPKDAVDSVTKQISGIAISESLVVATSSTDATDNSKSESSAPDIDKKIRALKKKIRLAEAQVQGDPENLKPEQLEKMKKIEGWKEELKLLENKSSPAAS >ORGLA03G0109800.1 pep chromosome:AGI1.1:3:7898988:7902119:1 gene:ORGLA03G0109800 transcript:ORGLA03G0109800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQQGECCRHGWLGVGEAAVGGGGEEPFYVPLRKRLSVDGKASTAPRICIWECDGEAGDITCDIVAAPLRRSCSAKAMPPPAPLFRMMTPPPPRPQRGDGEEARRPGEAIRKGHRSYSLMLNLQLGISYSVGKSSALPFQKLAASDFDPREKVWTRFPPEGSKFTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPAEYMLAICGNDTLRELASPGKSGSCFFITQDDRFMIKTVKKSEVKVLIRMLRSYYEHVRQYKSTLLTRFYGTHCIKQAGCPKVRFIIMGNFCCSEYKIHRRFDLKGSSHGRTIDKTERKIDETTTLKDLDLQYAFRLQRFWYEELMKQIQMDCTFLETQGIMDYSLLLGVHFRNDYSVSKIGISQHIAFPKSTGKRKSFEGGSSFCELCFVESGCKDRDLIDSRKPFIQLGINMPAQAERSSKKILDNFLLNERHLFITPPSGGSCDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPDYISAVDPKLYSRRFQDFIRRVFIKEQ >ORGLA03G0109700.1 pep chromosome:AGI1.1:3:7887223:7896082:-1 gene:ORGLA03G0109700 transcript:ORGLA03G0109700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G27640) TAIR;Acc:AT4G27640] MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPPHAKASLKQALIDSITIDHSHLVRRASANVVSIIAKYAVPAGEWPELLPFIFQCSQSPQEDHREVALILFSSLTETIGTTFQSHLNDLQPILLKCLQDEASSRVRIAALKAVGSFIEYVNDGGDVVKMFRDFVPSILNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSNQELEINIRQQAIQIISWLVKFKASFLKKHKLVIPILQVMCPLLTETADEDGDSDLAADRSAAEVIDTMAINLPRHVFPPVLEFASVSFHHINPKYREAAVTSLGVVSEGCCEHLKDKLEDCLKVVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNALEDPSDEVKEKSYYALAAFCEDMGENILPYLDPLMCRLVMSLQGSPRNLQETCMSAIGSVAAAAEQAFMPYAEKVLEMMKGFMVLTNDEDLCARARATEVVGIVAMAVGRARMETILPPFIEAAISGFVLDYSELREYTHGFFSNVAEILDDSFAQYLPHVVPLAFSSCNLDDGSAVDIDDADSVDNGFSGVSSDDDVNDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIRHSGYFHEDVRLQAIISLKHILTAIRAIPPAHADVLEKQKDILDTVMNIYIKTMREDDDKEVVAQACTSLADIVRDCGFAIIEPYITRLAEATLILLRQESCCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFTKLFDSLMKFAKSPHPPQDKTMVVATLAEVAQGMGAPISAYVDKIMPLVLKELASSEATNRRNAAFCVGEMCKNGGAAALKYYGEILHGLHRLFADSEPDDAVRDNAAGAIARMIMVQPQSIPLNQVLPVFIKALPLKEDHEESMVVYSCVCNLLLSSHPQILPLVPDVINAFAQVVVSPNESDEVKTVVAKAVSHLISVYGQQMQPILSALPPAHANALASFANRR >ORGLA03G0109600.1 pep chromosome:AGI1.1:3:7871339:7872391:-1 gene:ORGLA03G0109600 transcript:ORGLA03G0109600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVFETAEQRLPCHAAAAELGADGGKKVAVDDDASGGGPGQAVLLLQETDHGGDDDDRPERDDVWNMIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGRQPPQGVSPPRCRRGRGARWGGADDADPRDRRLVVRAVPVPSATLFRAQRCGGRLLLSFADTAAPSSDEDDENNDQEEPEQQADEVAHEEEEEEDDEDDEEEVEVVDRGTIVEVKVSTQPQARSNGGGSVGPRVHRSSLVINKFVNAEPAIAASDISDTAATAPNPPRRPIGSTTTAAAALVAASSLSATSAPSGGDNPGGESKLLMTTCRRRRSKEELMNHMRRCGQLSGKLFIWEPRIATSS >ORGLA03G0109500.1 pep chromosome:AGI1.1:3:7860975:7861384:-1 gene:ORGLA03G0109500 transcript:ORGLA03G0109500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNISTIILVKGMPGWSLVMLVKPGFFQDETMPLLDVWQWDTMTAAKGRTAQRESSDTACRREQLEPLFFDEPFPTEIDGGSTMRVLENFRISQGAAATPD >ORGLA03G0109400.1 pep chromosome:AGI1.1:3:7855312:7859314:1 gene:ORGLA03G0109400 transcript:ORGLA03G0109400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22080) TAIR;Acc:AT5G22080] MSLVSSEHKKSGPSVPSPTPVSPLSVALSLHATRSLVSSRRPPGATAFRPPSMASTDADEDQLLKSFLAEVSEAERDNEVLRILGCFKLNPFEHLKLSFDSSADEVKKQYRKLSLLVHPDKCKHPKAQEAFAALAKAQQLLLDPQERGYILDQVTAAKEELRAKRKKELKKDSASKIKSQVDEGKYEEQYERSEEFQKQLIIKVREILTDKEWRRRKMQMRISEEEGRLKKDEEETKEMWKKKREHEEKWEETRDQRVSSWRDFMKTGKKARKGEIKPPKLKTEDPNKSYVQRPVKRA >ORGLA03G0109300.1 pep chromosome:AGI1.1:3:7847676:7852138:1 gene:ORGLA03G0109300 transcript:ORGLA03G0109300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGDAAMRGGVAVAAGGGGHPPCAAPPGNSGGAGALGVAEDAEVDVDVVRVHGHGVPVRIRRAPQKRRHGERRLSCCGGDINGGRGSGSSSLRWAAADELGGGETRRIERHPGGWGPSSDLCSRPPPSSSSPVAGCRPPRSGGRSLTSSGVEVGCGGGWSPLPLPLAAVGCAPLPPSVAIKPPPTGVTALDHRRPPCVLPPCPPRRSLRVAPSFPVAGRAPPCAVVFCGT >ORGLA03G0109200.1 pep chromosome:AGI1.1:3:7840281:7841301:1 gene:ORGLA03G0109200 transcript:ORGLA03G0109200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARRLLHGARPLRRHPQRRLPEHDVQREPAELHRPGERGDVPGEQVQRRPDGVRHQGGHRDGRVRRQDPPQARRRPIASHHVSHIHTRYRESVIVQRSLEVIKPRGGVHRWPWRGGAATRTRHARACWQLDHLWFVGVGIGPRLLVRQWRWPLATRSNIMLC >ORGLA03G0109100.1 pep chromosome:AGI1.1:3:7823425:7830975:1 gene:ORGLA03G0109100 transcript:ORGLA03G0109100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHGDHRHHHHHQEAGVLVDEEEEEVIEQACGGPTSGVVEQEVGGDGGGVCQDAAGMVFEATSSVGSVSATMGPPPIMCWPPPAQPVHGAIHHHHNLGGGGGQQSPFFPLLPPLPPQPPPPPPFFADFYARRALQYAYDHSGGASSSSDPLGLGGLYMGHHGSHVAGMMMPPPFAPSPFGDLGRMTAQEIMDAKALAASKSHSEAERRRRERINAHLARLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSEITEEACPLPTESDELTVDASSDEDGRLVVRASLCCDDRTDLLPDLIRALKALRLRALKAEITTLGGRVKNVLVVTGDDSAAAAACAGTDGDGEQQEEAMQAPMSPQHTVASIQDALRAVMERTASATEESGGSGAGGGLKRQRTTSLSAILENRSI >ORGLA03G0109000.1 pep chromosome:AGI1.1:3:7811958:7812218:-1 gene:ORGLA03G0109000 transcript:ORGLA03G0109000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRRQRPAAAAAGGLLGPWLLVAVLVYSSFLGSTVFLAVDAARTSAFVAVAPLPMAPSPSPTAAELVGDSKRKVPTGANPLHNR >ORGLA03G0108900.1 pep chromosome:AGI1.1:3:7804322:7808674:-1 gene:ORGLA03G0108900 transcript:ORGLA03G0108900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLRSPLVLLLLLALHRLCAPWLVVADDLASVARSAFSMDGDVAWVVQVSDLHISAYHPDRADDLASILGPALRAIRPHLLLVTGDITDAKNRRKTTSRQDEREWITYKKAIDAIVGIGGIDKSRIFDIRGNHDTYGVPYRGGKLDFFSTYSVNSQLDRLSTISSILLQGRRNYLFLGIDDTMSIGIRYPANLFGHPTDKRIDAVNSELQYWSNHSNVPITKVVFGHYPMSFTTSSQKGQRYESTFAKQSISAYLCGHLHAKISKQLWRFHEMSATTQEQKTSYWEWELGDWKESRLMRILAIDGGAISFIDHTLKQAFQTSILITYPTDSRSMNTLESMNWSTRNDINVLVFSNQVIRNVSARVFDSHNEFKIVEEIPLQLVATPSVHKPLFHAEWNAENYKSPSPTRYWLQVFAQDSQGGKTSSERRPFSVEGKVQIQSRPCLNYLIFEVQWEDMYQVLLWSNFAFIIVLLFAPKLLYHFMKKSLTYQRWTVSVMLSPIQPRKAYFWLVWFLMEGARNRPIWFSLLIYVIWLIEMPWFWGHATSEKGEIAQMYLSGWSIPSLGEDLTWNKSSNPDVLVITLPFLYLVVVPVVVVIYSLFAEKAVACLRHSRRTENTVNPTNSNPESGYLLPSASVSLANLSDKKILSKVMKFCGGWTRRVLLLLCCLIAVIHLKLSSRLMSAYGAKPVAFSPPVTWMPLLLLSATAYCTMPNTLVLSAIFEARVY >ORGLA03G0108800.1 pep chromosome:AGI1.1:3:7801689:7803729:1 gene:ORGLA03G0108800 transcript:ORGLA03G0108800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLLPIMATKSAKDLVGDSKALVAASDVVTGSAIAESYNDQIRPLLDAVDRLRHLKVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDDPSADSPKLQLEHSNGRVVTTSEAKVADAINAATAEIAGSGKGISDAPITLVVRKRGVPDLTLVDLPGITRVPVQGQPDDIYDQIAKIIKPWTTSTSASATSASATALARRRTTRRASRRSGCSSIIPSSPRSTSPWSASPVLPAEDKEDSERPLSPKASRTSSSKINDRVSSHSSEVDQMPPDLNNVADAVRAFFHIVKQVCASLEKVLVRGEFDEFPDDRHFHGTARIAEKMDGYKRRLPAECPKSSDDDAMFLMEEVRVLEETKGINLPNFLLRSAFLVLLKKKVETVMHVPHELVNEVWGYVEDVVMNILLKHSENFPQVQPSCRRAVQTLMDKARARSAQHVKELIEMELVSDYTANPDYMRTWTEIMEGHDSFMEAVEDGSKPARITLEGFGEVDVSHLRAHAGLAGQAFDLRARLTAYWRSIVLRLVDGLALHVLRGVKRLVEHDLEAELADELLGNKMAGVERMLAPSPSTGAKRERLKKSIVLLRQSKEVVANIMDRISAAGEV >ORGLA03G0108700.1 pep chromosome:AGI1.1:3:7796508:7799474:1 gene:ORGLA03G0108700 transcript:ORGLA03G0108700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAASRFAAALFHRRTRRVTSALAYAVLEWTLIALLLINGLFSYAIARFAAYFGLRPPCLLCSRVDRLFEKATEEEDGARWLRSVLCGAHAAEISGLGNCLHHGRLADAGDMCEACLSSSKEESIKDAGEESATVCSCCYAVVKTSSRELPDKGQGQSEEKTTEEDRNQGYVPLAQEEHGEEEDQGYVILAQEEHDEEDEEEHGEFQEEERQEEVDDKDEQEEKMAAVQDESLEVMAVGEEIELDGERLVSVAAIDEMTIADESGLHQACCEKEKEMDHIDGEHELRDLDIGVVLEEKRMLDSSAAAADVAIEDDFVVPVPCAEPVTSPPDPHENIIPHDDELVIEDVAQIGDATAEEETVEEEEVETAEEEEIVVPEVTEAVPEDDNRSAEVDTNCEVSIGSEICEREQDDHVVPFHESAEFEEPTDPLAYPDEQPLPLESLHETDPTAQEASETEQEEEATASQRLDQPPNEQNEVEDDKAPETPTYSVATQISDKKFLLERKRSLSLSLDGSVASEMELSEPSTVDQLKSALQAERKALSALYSELEEERSAAAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELVTKREREKQELERELDMCRQKVLHYEDKERRRMASFKANGHSPNGNGTSVSSSGEDSDGHSDEYCELGESPDGSNLQSPSDATFSPRTDQENKKHLVALDDSLTYFEMERLSILEELKTLEERLFTLEDDDINDTSAAVGRSSDEYELSADGLHSPGNGDITSDKAKFEGRNSICRGKSLLPLFDAAGDESSDQTPSARVGDVQVDNSTKPVSVLVKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKKGDRGMDLLQEILQHLRELRSVELHVKHAGDALAANSA >ORGLA03G0108600.1 pep chromosome:AGI1.1:3:7787157:7790265:-1 gene:ORGLA03G0108600 transcript:ORGLA03G0108600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIPSVSLRRDGAAGEEEAAPDPAALARWARAFCVIRFDLERGQLVEACYPPGALASGTGLDRLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRVPDPSPSASVPGSRRGFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDVGPSALSMVASHVAAWPAPVPGRPMELPIGSAALRVHLPPAADDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPVLVVAPSPPQCSEAVAGLVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIPNVVSVGSPNPNSTRVLPVGGQSHGSGNGINGTPGKLKLDKLAINKFSPTGLLNSIKLRREGPLCLMTEHKEALWSTYVSTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFVNGLAARGAGKFLSKRMKSNWLDLYRRFLEGPNFMPWFRQRRAAAEQEQQRLWRQARMNVDIEKLMSKLSELERIDSFNAIERYLLREMENSRTGATESVTVCEKLKGDLRAAFSVLPKDMQQLLLSNPKRALLLQGSNEKIPGLDGIVSQTSL >ORGLA03G0108500.1 pep chromosome:AGI1.1:3:7784430:7785947:1 gene:ORGLA03G0108500 transcript:ORGLA03G0108500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIHPRDQRFVFNARQLDDNQSLADCNITHNSTIHFVFGIPCFYATPAYEQFNRLPRSESSDSSSTSKRDIAPANVKTVHCADCQVQANVYYCNTEEDNEGCVFYRCPYFSIHTGWWLPVRPVRRHG >ORGLA03G0108400.1 pep chromosome:AGI1.1:3:7779758:7781498:1 gene:ORGLA03G0108400 transcript:ORGLA03G0108400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVENSDAVANVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGHIWIEPSFRVLAYKHNINKMVCRKCYATLPPRATNCRKKKCGHSNQLRPKKVRWCRYFNF >ORGLA03G0108300.1 pep chromosome:AGI1.1:3:7777430:7778873:-1 gene:ORGLA03G0108300 transcript:ORGLA03G0108300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQELLLQEVPQPRRTGAALIVGATGYIGRFVAEACLDSGRDTFILVRPGNACPARAASVDALRQKGAVVIEGCVGGKEGRKSVEAALRARGVEVVISVMGGASILDQLGLIEAIRAAGTVKRFLPSEFGHDVDRARPVGAGLRFYEEKRLVRRAAEASGVPYTFICCNSIAGWPYHDSTHPSELPPPLDRFQIYGDGDVRAFFVAGSDIGKFTIRAAYDARSINKIVHFRPACNLLSTNEMASLWESKIGRTLPRVTLTEEDLIAMAADDIIPESIVASLTHDIFINGCQTHFYIDGPRDIEISSLYPDIPFRTIDECFDDYIHVLNLAEEAKEEEEKKNAPTVGRLAIPPTCA >ORGLA03G0108200.1 pep chromosome:AGI1.1:3:7769644:7776064:1 gene:ORGLA03G0108200 transcript:ORGLA03G0108200.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDFDDESPATDPLLSPSSWVPLLDPASASPSGDEPDSPSDALFVAGVRAMLSAASAGDDAAFATAAAQIEAAATGGHPGAQSALAFLSGAGMTRPASRSRAFLLHKFAADAGDLQSKMALAYSFFRQEMYEEAVTLYAELAEAALTSSLISKEPPVIEPVRLHSGTEENKEALRKSRGEDDEDFQITEYQAQRGNTVAMHKLGLLYYYGLRGVRRDYGKAYHWFSKAVEKGDTRAMELLGEIYARGAGVERNYTEAYKWLTLAAKQQQYSAYNGLGYLYVKGYGVEKKNLTKAKEFFEIAAEHKEHGGYYNLGVLYLKGIGVKRDVMTACNFFLRAVNAGQPKAIYQVAKLFQKGVGLKRNLQMAAVMYKSVAERGPWSSLSRWALESYLKGDIGKALLLYSRMADLGYEVAQSNAAWILDRYGDESICMGESGFCTDMERHLRAHALWWQASEQGNEHAALLIGDAYYYGRGVGRDYERAAEAYMHAQSQSNAQAMFNLGYMHEHGHGLPLDLHLAKRYYDQAVEVDPAAKLPVMLALTSLWIRKNYDGSFLVHFIDSLPEVYPVVEEWVEDVLMDEGNATIFTLFACLVTVLYLRERQRRQAAAANPQQPDGAPN >ORGLA03G0108100.1 pep chromosome:AGI1.1:3:7768552:7769023:1 gene:ORGLA03G0108100 transcript:ORGLA03G0108100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAAQGLALGLLLVCLLVGSDVAAAATYNVDWSFGADSWSKGKNFRAGDVLVFSYDPSVHNVVAVDAGGYSGCRESGTKYSSGNDRITLGRGTSYFICSFSGHCGAGMKMAVTAS >ORGLA03G0108000.1 pep chromosome:AGI1.1:3:7754103:7755794:1 gene:ORGLA03G0108000 transcript:ORGLA03G0108000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLLGNCIHHPVLLLVILACAGIAPASGAGGRWDVLQRSIGVSAMHMQLLHNDRVIIFDRTDFGLSNLSLPDGRCRRNPRERVVPMDCTAHSAEYDVASNTFRPLFVFTDTWCSSGTVAPDGTLVQTGGWNDGYRNVRTMAACEAGDDTCDWDETQDALAANRWYATNQILPDGRAFIVGGRRQFTYEFYPTADSSGASAISLPFLVQTKDPEENNLYPFVHLNIDGNLFIFAKNRAILFDYKKNKVVRTYPELAGGDPRNYPSSGSSVLLPLKPSPTEAEVLVCGGAPAGSYTSTKDGTFSSALVTCGRIKITDTAPAWVIETMPSPRVMGDMILLPNGAEVVIINGAMDGTAGWESAKTPAYSPVIYRPDHSPGDRFEEQSSTDIARLYHSSAVLLRDGRLLVGGSNPHIYYNFSNVQYPTELSLEAYSPEYLDPSNDALRPTIVDPSPNGAAVSVTYGASLTLQFAVPAARRARGGGGGSIGLVSVTMVAPSFTTHSFAMNQRLLLLDAVKTAALARASTYQTSVVMPATAALAPPGYYMVFVVNGHIPSEGIWVHIQ >ORGLA03G0107900.1 pep chromosome:AGI1.1:3:7742837:7744006:-1 gene:ORGLA03G0107900 transcript:ORGLA03G0107900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLEANLADLKSHGRRERSRGREAAVSQACELQNNGGHDCSLHSEATCWSLRLQGFGSEGGWSHSHAMSRGPWTRSYATCTAPGAAALVLVPFDGVAKAVDRSETVFCRRPTIRTHPQVFKWTFTAKNTAHLYSEVSLTVKKMFPKWKNGKIAYRK >ORGLA03G0107800.1 pep chromosome:AGI1.1:3:7740724:7742421:1 gene:ORGLA03G0107800 transcript:ORGLA03G0107800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVCSCDDESVPTLFHRLRLRFDLRGRHEIGASGRRKSREVEREDHSVGERVGVIDSGDMKPPAAAAAGNRAGGVDPSIPRFKCQECHRALVVVGVDSFAADKLPAQATSGHVSSVHGSIMGASRMDNSYVVLSKQNKSHGHGIPPRPPSAAAPHIEPNQPTRAMEGSYIVLPPAAASIYKTSTSEGGGAQLPPPSINSGSLLTGNSFHSNVTVLKRAFEIATSQTQVEQPMCLGCMRLLSDKMDKEIEDVNADIKAHEVCLQHLEQESYNVLSDAGFQEEKLKIEEEEKKLNAAIEEAEKQYSEISSEMKDLEIKSKEFEELEERYWHEFNSFQFQLTSHQEEREAILAKIEVSQVHLELLKRRNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGKAALLLHTMAQYFTPKFEYRIKIHPMGSYARVTDIHRNPYELYIMLTRFGPVDLFWSTRFDKAMTWFLTCLQDFAEFAISLDKENNVPPEKSLKLPYKIDGDKVGSHTIFLSFNKVENWTKALKYTLCNLKWVLYWFIGNTSFAPPSGSLCAAQSSKR >ORGLA03G0107700.1 pep chromosome:AGI1.1:3:7733373:7733588:1 gene:ORGLA03G0107700 transcript:ORGLA03G0107700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTGRDRSGLGPGKRKERVRPESTSRVLARADSSDTRDNERRQRTGQGGNGTMAWSETGGSSERGRGGF >ORGLA03G0107600.1 pep chromosome:AGI1.1:3:7724668:7725771:1 gene:ORGLA03G0107600 transcript:ORGLA03G0107600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRMGALHVGEPRVSFQQQQQQQVGKNGQQGHGHGAVVEEIHGLIKVYKDGFVERIPAIPDVPCTWGTTASVPGVVIARDAVVDRATGVWARLYAPAAAAAAGRVPVVVYFHGGGFCVGSAAWSCYHEFLAKLAARAGCAVMSVDYRLAPENRLPAAFDDGVTAVRWLRQQAAISSAADELSWWRGRCRFDRVFLAGDSAGATIAFHVAARLGHGQLGALTPLDVKGAILIQPFFGGETRTASEKTMPQPPGSALTLSTSDTYWRMSLPAGATRDHPWCNPVTGRGAPRLDSLPLPDFLVCISEQDILRDRNLELCRALRRAGHSVEQATYGGVGHAFQVLNNYHLSQPRTQEMLAHIKAFVRAR >ORGLA03G0107500.1 pep chromosome:AGI1.1:3:7718246:7719906:1 gene:ORGLA03G0107500 transcript:ORGLA03G0107500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPTWTPPIILTIPSLYPISLPLLSSGAAGRSGDDRSGAGKERRQPNCGGQGAEAARVGRGGAGRAPGSDGPRTRDLPRRRGDPWVPRRRVAEPEHDGARARRRIGDEGAHDGAVRVEEGEAPRSGGRPLTSLVTVEKRGDGEMKKEERGGRRGGVRMTWTKIRLDDKYKDLKFSMAHYARKLQKKRSGRDQSDRQLPVALNSFFGWK >ORGLA03G0107400.1 pep chromosome:AGI1.1:3:7712246:7713817:-1 gene:ORGLA03G0107400 transcript:ORGLA03G0107400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIRPISSFLVLTVFHCIKLVAPSASENQFAFEGFAGANLSLDGAAAVTPSGLLKLTNDKHIKGHAFSATFVFAIVSEHAELSDHGLAFLVAPSKNLSATTGAQHLGLMNISDNGKASNHVFAVELDTVLSPELHDIDSNHVGIDVNSLQFIQSHTAGYYDDSTGAFMNLTLISRKAMQVWVDYNGQAMVLNVTLAPLGVSKPKKPLLPTGLDLSRVVEDIAYIGFSSATGLSIAYHYVLGWSFSLNGAAPALNPSKLPVLPKLEQRHHRSEILVVVLPIATAALVIGLLLVGFMIVKRWFRHAELREDWEVEFGPQRFSYKDLFDATQGFGSKRLLGIGGFGIVYRGVLSVSNSNSEIVVKRVSHDSRQGVKEFIAEVVNMGRLRHKNLVQLLGYCRRKGELLLVYEYMSNGSLDKHLHDKNNPVLDWNLRFHIIKGIASGLLYLHEEWEQVVVHRNIKANNVLLNNEMNGCLGDFGLARLYDHGTNPRTTHIVGTMGYLSP >ORGLA03G0107300.1 pep chromosome:AGI1.1:3:7709441:7711346:1 gene:ORGLA03G0107300 transcript:ORGLA03G0107300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase biogenesis protein Cmc1-like [Source:Projected from Arabidopsis thaliana (AT5G16060) TAIR;Acc:AT5G16060] MGYLQEARENHVKKKVEEALRSKMKQKALKECDFYCSKYAECARGRTFSVVWQCRKQAKELNDCLHQFTNDAVLEEMKKAYMVEQESKEKNQ >ORGLA03G0107200.1 pep chromosome:AGI1.1:3:7702189:7704364:-1 gene:ORGLA03G0107200 transcript:ORGLA03G0107200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGGKSASSKQTAKLKSLIKLAAARVAVARRPRLGRRSIARGDVAQLLSIGHLDRALLRVCPCHAFALPDTRRDSSANSSRRVLFLQAEQVIDEDNMLEVLDIVELYCKILIEQATQLDKPKECGEEIKEAAAGLMFASARCGDLPELLDARAILADKFGRDFAAAAKDGAHGVVDPTLVRKLSGAPASTEQKQRLTKVIAAENDILLEFPENTGDTDQGKQNEQAKNVRAEQFVEQNEVKREHHEVQGRQRFVDEEVNPRLARLSVQEQYADARMAAEAAFKSASFAAMAARAAVELSRSESQGKGSRGGGGGGYEKVHPVQNSVAGEKEAPPSWKPHKPPSPSPSPSLSDRSTATSVGSEGTQKGKGVVFDGSDEEVDDVAWTPQLRRPPYRRASTMGIGSGAWNGEAGRVGADPQPFQDGVYNNSQHPRPPHRRHASELGAGAGAPREPLVSLAPQRGQYRDPPYRRDPAAYRDINAGAAQRRQPDGAGARPYESSDYVHPPYARIVSALERSNEHIARHEEVRRIGTGERVLQERVYGAGGAPGRAPLNQEQGRLNSVRTRR >ORGLA03G0107100.1 pep chromosome:AGI1.1:3:7700163:7701025:-1 gene:ORGLA03G0107100 transcript:ORGLA03G0107100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHRKTSKQTSKLKTLLGLAVLRIATARGPHLARKSIATDDVRQLLTLDHLDRAIHRAEQVIAEDNMLEAFEMIEMYCKRLIEHAAKLDKPGECTDEIREAAASVMFAAGWCSELPELLFARTILADKFGSDFTEAAKDGTGIVDPMLMWKLSSDAKSMELKRKVTKEIAMENNIIVDFSELQDAIKDEED >ORGLA03G0107000.1 pep chromosome:AGI1.1:3:7695497:7696315:-1 gene:ORGLA03G0107000 transcript:ORGLA03G0107000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHRKTSKQTGKLKTLLGLAISRIAAVRRPRLARKSIATDDVRQLLTLGHLDRAIHRAEQVIGEGNMLEAFEMIEMYYKRLIENAAKLDKPGECTDEIREAAAAVMLVAGWCGELPELPFARTILADKFGSDFAEAAKDGTGIVDPMVFWQLNKHGAEEEGDQRDRHGEQHCSGLL >ORGLA03G0106900.1 pep chromosome:AGI1.1:3:7694015:7694215:1 gene:ORGLA03G0106900 transcript:ORGLA03G0106900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQLSNYNIHEYTRCRVQRMPSARTVPLATAFADGKKQLDVAKRHAVVYSLYTPKAKSIMEMKLQ >ORGLA03G0106800.1 pep chromosome:AGI1.1:3:7688810:7688983:-1 gene:ORGLA03G0106800 transcript:ORGLA03G0106800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMDDLSGQKRICVIRDVCGHHRLGFPSGSGLLCCWAAGLWESEKMKKALGADLIK >ORGLA03G0106700.1 pep chromosome:AGI1.1:3:7683492:7687288:-1 gene:ORGLA03G0106700 transcript:ORGLA03G0106700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSDPQANGDHRPPAAEMEDTGVKVEEADAGETMEGVASIALLPSGAISGHFIRLPDSVCYGLHGTPISCERECSRGEDYRLIKLSIIDFKNKREKVAVVECRGHDAARLQNIDHLHGWEDDIVGLVEKKHGNRKFSISFECETLKADKAADEHISKYMPNLSGMDAIVNIGKMSISGINLDEDDEPSGDN >ORGLA03G0106600.1 pep chromosome:AGI1.1:3:7668853:7680848:-1 gene:ORGLA03G0106600 transcript:ORGLA03G0106600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEFRIPYQQVSSSQTTENAGQFKICRCGEGDPNTNTSETGDKSPTSCPNCQNAPPRGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPVVANTVGQNPIFSTDIAEPAEAPAEQSDNKSIIGRPAEFALVDADGSPAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTRRLDAMNRVIYEVFPEPNRQLLQRTLKMMQIVESHKAVNRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEDGSYSSDAYTESEDGDFDKEYSTDNDGPEEDDSYDSGEDNIEEDMDDDTEHSSGGSECDNNIKTSVSDDKVKSNNSGTASNGNDQGLQPPKKAARTGHGAVREDTCQIESNDPSNRKQELYESNGSTDQIEKLNVRSSSARAKFMEKSSSSRNKSKKTLWGRTSARKDLSAEEIDYCSDDETLIEKLENSKTDLQSKITKEFQAKENSILQASLERRKVELHKRRLALEKEVENLRDQLQKERNLRVSLESGLMNLRRGQASFPSTIDNKTKADLEEVATAEADILNLKKKGSDLRGQLNNQVQMSSASLCDSCNKRLLNTDKLTEGEQSTTSSNVGPNSISDMACNQFWVCFMLLMVSILSLRAQRMLISKGEIVKDGQDPLASKWNLAQKQYSNNPLLGRLGSRTEDSGAPSALAKLTSRLNFLKERRAILASEMQNLDLARPPGPPAPAPKRDST >ORGLA03G0106500.1 pep chromosome:AGI1.1:3:7654678:7655004:-1 gene:ORGLA03G0106500 transcript:ORGLA03G0106500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPHVIPFLLLPLPSSLSFLFPFDFLFLLPPLAPWQCLSLPPVSGWRSDVGHTSSPPPAESNHLRTAKSSRDGLRTSEKETTPAVLTLTAMAMAEAVASEKLGGGRL >ORGLA03G0106400.1 pep chromosome:AGI1.1:3:7648491:7653498:-1 gene:ORGLA03G0106400 transcript:ORGLA03G0106400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS HF [Source:Projected from Arabidopsis thaliana (AT4G26900) TAIR;Acc:AT4G26900] MAAATSINAVPCSAGRPKRRSQRRGASTVAVRASGDASIRRRFDATAVTLLDYGAGNVRSVRNAIRHLGFGIRDVRSPEDILAADRLVFPGVGAFGSAMDVLTRSGMADALREYIRRDRPFLGICLGLQLLFDSSEENGPISGLGVIPGVVRRFDSSKGLIVPHIGWNALEITKDTQLLKGAEGHHVYFVHSYHALPSDENKEWISSVCNYGESFISSISMGNIQAVQFHPEKSGATGLSILKNFLSPNSSGSKVPSRRKASNLAKRVIACLDVRSNDSGDLVVTKGDQYDVRDHSSSKEVRNLGKPVDLASQYYIDGADEVSFLNITGFRDFPLGDLPMLEVLRCASEKVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGSDAVFAAEAYLQTGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYVKNPEEVQFKTVKVSNKGPLGEEYAWYQCTVSGGRDSRPIGAYELAKAVEELGAGEILLNCIDCDGQGCGFDIDLVKMVSDAVTIPVIASSGAGTVEHFSEVFEKTNASAALAAGIFHRKEVPISAVKEHLVDAGVEVRV >ORGLA03G0106300.1 pep chromosome:AGI1.1:3:7643334:7643969:1 gene:ORGLA03G0106300 transcript:ORGLA03G0106300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVEDDGARSFNLHVRIERPKYERPIYEGSEIRVSSDVWPSRCVLHAYVGGEHLGWCRLPEGRDHPLRVEGWSAAFELPLQREHWGRLSLDLEVERRDVYYDEDDGVVRVFHREDPQTSSRTAVIGRARVALVDALLRDGDEDEDEDRGRKRREKDGLPALVKGIREFGEWVKLQGWRFPARGPPANVVRGRLAVHMSLEARRGRGAFVV >ORGLA03G0106200.1 pep chromosome:AGI1.1:3:7633451:7634125:-1 gene:ORGLA03G0106200 transcript:ORGLA03G0106200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHPCAHRDPGGVEMGVPAVYPPLPNPNGQAMDQERLGGGGPNHWVGNDANTLLVVATLITTLTYQLGTNIPGGYWQDTKDDHRAGDPIMRDLHRPRYWVFMGASWMGFASSMVMTLSLLVRMPVDSRNVRWSFAVAYSTLVLTFIVSQPRTHLSLDILVWVAVLAFLWFTISLRPERRAKIAQAICCGHNS >ORGLA03G0106100.1 pep chromosome:AGI1.1:3:7629781:7632426:-1 gene:ORGLA03G0106100 transcript:ORGLA03G0106100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWIWRATSRRLDDQIQRWAPLLPPLYPIPSLPPWKSHGSRRSGGAGSRRGGGRQSGVTAAGAMTAREAEGAELGGGERSSSNPLSAPISTMEVCVLLFLLLEPIAIVFVIFNGHNGGEAGGKRELTRCRPQRGRCQGQRAARRMAKVGARAAMVMVRNRKRIKDRGKWRKTEGFKAKKTSQRDSSRTVTTHPKDVGLVSTQRALVQIFMLKDPMIQR >ORGLA03G0106000.1 pep chromosome:AGI1.1:3:7615419:7619928:-1 gene:ORGLA03G0106000 transcript:ORGLA03G0106000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPNGLARIETHGAKTKKHENGICHDDSSAPVRAQTIDELHSLQRKRSAPTTPIKDGASSPFAAALSEEERQRQQLQSISASLASLTRETGPKVVRGDPARKGEAAAKGAPSPHPQPVHHHHPHVTPTIAVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRIVKDEASAQELWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDTENRIKVRIISARAYHSLFMHNMCIRPTEEELEEFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDINLARREMVILGTQYAGEMKKGLFGVMHYLMPRRGILSLHSGCNMGKQGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDTGVSNIEGGCYAKCIDLSQEKEPDICNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLPQTMYHFISGYTALVNNFCSEAESPALPSYHLDDVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKYGATGWLVNTGWSGGRYLLLSTVTASTPLHIYLWHELTLNSLFRYGVGKRIRLPYTRKIIDAIHSGELLTANYKKTEVFGLEIPTEIDGVPSEILDPINTVSSVASRISSCHFCYTCKKCRV >ORGLA03G0105900.1 pep chromosome:AGI1.1:3:7610156:7613503:1 gene:ORGLA03G0105900 transcript:ORGLA03G0105900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVTSSVGEPNQDNEPRDSSIELPRVITDMETSAMHDPEAQKLIGHEADTELTPYEGMEFESEDAARDFYSKYARYAGFRIRISRYTRSRRDNSIISRRIVCSKEGFHETRDCENLHVDQKQQARVGTRVGCKAMLMIKKFGPDKWVVTKFIKIHNHGPVPPRKPHAGEHHDCDLMENPHSIEVDPIDEPVEGMEFESEEAAKLFYINYARVNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRARKDITDGGKTTKRPRMITRVGCKAMIVVKRMNSGKWMVSKFEKEHNHSLLSSRAVPITSNDASREVIDFAATSNDPNEVKAEGCSTGIQCNSTDSLTVLYNHLCQEAIKFAKEGSVTEEIYHVAMNALKEAAEKVSEVKRSHPTMSQSKHEVMQMETMSASQCSNDDKQKTMTPQLKFLQEPSPSLVLIPTNLLTHSSSNCADNIPLSCDLTINAGEVKHVSESSCLAANRKEDSSQKSQGKDERFHVLSKETTVAIPAIPLTLYMPIMKNPTGDSADGRYRLLAAPIEAVPISYRPAEPIQQPHGSFPNSGPLPGFLPKLYKRGKGPNSLVHATALACGARVVPPEEAASLIKAIESKIRSGGATIAKLPSSSLTPLIPEVASMSSSSEDDEENDHSEPLMASVEHNCHDQSSEEMKLEADPPSELETEAENCSAQPENENNGPTHC >ORGLA03G0105800.1 pep chromosome:AGI1.1:3:7604050:7607303:-1 gene:ORGLA03G0105800 transcript:ORGLA03G0105800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3353) [Source:Projected from Arabidopsis thaliana (AT3G51140) TAIR;Acc:AT3G51140] MLAHSLAINPSRAARCPVTSRASSAPLGLVSSLAFSRGRKESVKLFINVDRYTKYSTPFCYAPRNTRITPLATASFGGTADSSTPIFPRIHVKDPYQRLGISREASEEEIRAARNFLINKYAGHKPSVDAIESAHDRIIMQSFSDRKKPKVDLKKKYRELTQSRPVKAIQGRFQTPSSKVIWQTAITFVLLGVLTLVFPTEEGPTLQVAISCAANIYFIYQRLKSGWRTFFYGFGSFFASWFLATFLMVSVIPPILPGPRNLEVSTACVTYALLFVSSAFLK >ORGLA03G0105700.1 pep chromosome:AGI1.1:3:7592140:7597675:-1 gene:ORGLA03G0105700 transcript:ORGLA03G0105700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:I1P9L1] MHHHPHPRERDTAAFAPAAPLASLVAAAECVPFISVPPRHSNQQLLFFFFFFFFFSFASPTEQLCSSFLLLLLVIVRTSAGDNRTTPNGAAAAVMAAATVGVLLRLLLLPVVVVVSLLVGASRAANVTYDHRAVVIDGVRRVLVSGSIHYPRSTPDMWPGLIQKSKDGGLDVIETYVFWDIHEPVRGQYDFEGRKDLVRFVKAVADAGLYVHLRIGPYVCAEWNYGGFPVWLHFVPGIKFRTDNEAFKAEMQRFTEKVVDTMKGAGLYASQGGPIILSQIENEYGNIDSAYGAAGKAYMRWAAGMAVSLDIGVPWVMCQQSDAPDPLINTCNGFYCDQFTPNSKSKPKMWTENWSGWFLSFGGAVPYRPAEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGMVRQPKWGHLRDVHKAIKLCEPALIAAEPSYSSLGQNTEATVYQTADNSICAAFLANVDAQSDKTVKFNGNTYKLPAWSVSILPDCKNVVLNTAQINSQVTTSEMRSLGSSIQDTDDSLITPELATAGWSYAIEPVGITKENALTKPGLMEQINTTADASDFLWYSTSIVVKGDEPYLNGSQSNLLVNSLGHVLQVYINGKLAGSAKGSASSSLISLQTPVTLVPGKNKIDLLSTTVGLSNYGAFFDLVGAGVTGPVKLSGPNGALNLSSTDWTYQIGLRGEDLHLYNPLEASPEWVSENAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGAYSSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPSMISFTTRQTSSICAHVSEMHPAQIDSWISPQQTSQTPGPALRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMTNCSVPVSSNNFGDPCTGVTKSLVVEAACS >ORGLA03G0105600.1 pep chromosome:AGI1.1:3:7576739:7580396:-1 gene:ORGLA03G0105600 transcript:ORGLA03G0105600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSNGGGGSPGHRRRGSAAQGHGHHQVHGHHHQPSSPPPPPPPESSPSHYVFAAATPYPPPQYTNPNLPRYYPQYGNYYPPPPSLQVPLPAPYDHHHRGGGAGVPAGGEFPPSAHPQHYPGWPGVSGRPHPCGLQPAMPTPYVEHQKAITIRNDVNLKKETLRIEPDEECPGRFLVAFTFDATLAGSMTVYFFAKEELNCNLTAVKEDLIKPVTVSFKEGLGQKFRQPSGTGIDFSVFEDSELLKQGDMDVYPLAVKAETTMPVDQKLEGEDQKMKTPNSQITQALFEKKESGDYQVRVASQILWVNGTRYELQEIYGIGNSVEGDADANDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTTRCPICRQPVERLLEIKVNNKAEEQQQPSPDSPIKVNSKAEEHQQQPSQSLPIPHREEV >ORGLA03G0105500.1 pep chromosome:AGI1.1:3:7572052:7575728:-1 gene:ORGLA03G0105500 transcript:ORGLA03G0105500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate synthase [Source:UniProtKB/TrEMBL;Acc:I1P9K9] MAAPTSSQPVARVLPRGGGGGFRAFPESAPASLRFSVGRRRAARLEVKASANVFGNYFQVATYGESHGGGVGCVISGCPPRIPLTEADMQVELDRRRPGQSRITTPRKETDTCKILSGTHEGMTTGTPIHVFVPNTDQRGGDYSEMAKAYRPSHADATYDFKYGVRAVQGGGRSSARETIGRVAAGALAKKILKLKSGVEILAFVSKVHQVVLPEDAVDYDTVTMEQIESNIVRCPDPEYAQKMIDAIDKVRVRGDSIGGVVTCIARNVPRGIGSPVFDKLEAELAKAMLSLPASKGFEIGSGFAGTDYTGSEHNDEFYMDEAGNVRTRTNRSGGVQGGISNGEIIYFKVAFKPTATIGKKQHTVSREHEDVELLARGRHDPCVVPRAVPMVESMAALVLMDQLMAHIAQCEMFPLNLALQEPVGSASSVPAFAPDLS >ORGLA03G0105400.1 pep chromosome:AGI1.1:3:7568299:7571697:1 gene:ORGLA03G0105400 transcript:ORGLA03G0105400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSELGLYHHGRDQLAWISPHPPPHPPSPPLRSAPRRPGRRERRRRFARRRRWGRPPAKIEGPAAAPDLPRSLCEERERGTRASLKEDETERGVVCHRVKMPCYLCDTLENVKITSLSRKGLIAVFFMPTLEVFSIIFLDSLINLG >ORGLA03G0105300.1 pep chromosome:AGI1.1:3:7560215:7568115:-1 gene:ORGLA03G0105300 transcript:ORGLA03G0105300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPPLGAHAPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAAILKHPRTPTTANPSMDYPSGDSDHVSKRTRPVGMSEEVNLPVNMLPVTYPQSHSYPQDDFHKNVARTLSQGSTPMSMDFHPVQQTLLLVGTNVGDIGLWDVGTKERLVLRNFKVWDLTKCSMALQASLVKDPTVSVNRIIWSPDGTLFGVAYSRHIVQIYSYHGGDDIRQHLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFLIKIWDMDNTSLLTTIDADGGLPASPRVRFNKEGTLLAVSTHENGIKILANADGVRLLRTLENRSFDASRSASETVTKPLMNPLTAAAAAAASAAAAGTSSGNAAPPAITALNGDSRSLVDVKPRIADEPLDKSKVWKLMEITESSQCRSLKLTDNMRTSKISRLIYTNSGVAILALASNAVHLLWKWPRNDRNSSGKATASVSPQLWQPPSGILMTNDITDNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKKITGLAFSNVLNVLVSSGADAQICVWSTDGWDKLKSRMLQIPSSRPSSIILDTRVQFHQDQLHFLVVHETQIAIYETTKLEPVKQWPVRENSSPITHAMFSCDSQLIYASFLDATVCIFNASSLRLQCRILPASYLPQNISSNVYPVVVAAHPSEANQFALGLTDGGVYVLEPLESERKWGNPPPAENGSTSALSTPPNGASSSDQPER >ORGLA03G0105200.1 pep chromosome:AGI1.1:3:7548660:7550154:1 gene:ORGLA03G0105200 transcript:ORGLA03G0105200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:I1P9K6] MEEAEEMQVERMQEEVEGGGADTDKLSYEIFSILESKFLFGYTDPHQLWLPKAPAAQASAATAVPSGKAAQRGKVCVLCVDGGGGGLRALLAGRALAHLEAALRRASGDPDARVADYFDLVAGTGAGGVFAAMLFSTHSRGAPLFHADDTWRLVADHAPRLFRKAVGGSTSLFCRPKKRPLAAPTAALDAAMKTAFGEELTLRDTIKPVLISCYDLKSSAPLVFSRADALESESYDFRLCEVGRAAWSEAGRFEPAEVASVDGATSCAAVDGGPIMGSPAAAAITHVLHNKHEFPFVRGVEDLLVLSIGGCSAGGTGAAADADLRRLRRWGPKDWARPIARIAADGAADLVDHAVARAFGQCRSSNYLRIQAKRESMPPCGPDGEYDPTPANVHALLAAADEMLKHRNVESVLFEGRRVGEQTNAERLDCFAAELVAEHRSRGSRIGPTVAFKQAPRKQPPAAAVAAMG >ORGLA03G0105100.1 pep chromosome:AGI1.1:3:7522252:7525900:1 gene:ORGLA03G0105100 transcript:ORGLA03G0105100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAITRARLQHRPARFHRAPYRCIPVTTTTCLPERRVHRGGGVQWCGSSAATGERARAVSEVRAAGARGGDARPPPQGEGDVAAGVAEAPSSGGGAKRGSVVGAAALVVGTSIGSGILAVPQRTAPAGFVPSAACMVTCWAFLVIEALLLVEINVHLRRKKGKDAGGDGDGGGGGGGGQLEVISLKSMAQETLGEWGGNLAAAAYLFLSYTSMVAYTSKSGEVLSRVVAGVPEPVSGGAFTAALALLIAAGGTGVTDKVNQLLTFVMIGLLLTIEVSAVAFGAGLTLPANTNWEQVPATLPVIIFTLVFHDIAPVICAYLGGDLVRIRLSILVGSIVPLLSLLVWDDIALSISTDLDGFDILDMLNTEWSYTVVETFSLLAVGTSLIGTLLGASQFFIEQMTNLVSSSAQGHEEEALRHRGAKTAVDNNRLSYIAAGAVVAPTVLIAATVPNSFSIATDIAGGYCMTILYGVLPPLMAWAIASRMSDSRAGSVEAESSVAGGSGNVDLTSAKPVLVGMGVFSVLMVFEQMSQDFVSFQSYLLAWTG >ORGLA03G0105000.1 pep chromosome:AGI1.1:3:7510755:7514138:-1 gene:ORGLA03G0105000 transcript:ORGLA03G0105000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQLAAVLASLALGGALLVLVFGKWWQPLADADRRVKELADAVEALLQLRSELLKVEPAPPESDQLARAWLRRVQEAQDEVASLKARHDGGQLYVLRLVQYFVSTAPVAGSAEKQLKAVRALREQGEALLEAALSTPQAPPPLLRQPEELELPPGTSLTRPYLNEALRFLGDCDAALGVWGAGGVGKTTVLTHVRDACGLVAPFDHVLLVAASRDCTVAKLQREVVGVLGLRDAPTEQAQAAGILSFLRDKSFLLLLDGVWERLDLERVGIPQPLGMVAGRVRKVVVASRSEAVCADMGCRKKIKMECLSEEDAWNLFEANAREETIHRHPRIPALSRQVASECKGLPLSLVTVGRAMSSKRTPEEWGDALDALKKTKLSSAPGPDKIAHPIVKFCYDNLENDMARECFLACALWPEDHNISKDELVQCWTGLGLLPELADVDEAHRLAHSVISVLEASRLVERGDNHRYNMFPSDTHVRLHDVVRDAALRFAPGKCLVRAGAGLREPPREEALWRDARRVSLMHNGIEDVPAKTGGALADAQPETLMLQCNRALPKRMIQAIQHFTRLTYLDMEETGIVDAFPMEICCLVNLEYLNLSKNRILSLPMELSNLSQLKYLYLRDNYYIQITIPAGLISRLGKLQVLELFTASIVSIADDYIAPVIDDLERSGAQLTALGLWLDSTRDVARLARLAPGVRARSLHLRKLQDGTRSLPLLSAQHAAEFGGVQESIREMTIYSSDVEEIVADARAPRLEVIKFGFLTKLRTVAWSHGAASNLREVAIGACHAVAHLTWVQHLPHLESLNLSGCNGMTTLLGGAADGGSAAGELVTFPRLRLLALLGLPKLEAIRRDGGECAFPELRRVQTRGCPRLRRIPMRPAASGQCKVRVECDKHWWGALQWASDDVKSYFAPVLI >ORGLA03G0104900.1 pep chromosome:AGI1.1:3:7501279:7506405:-1 gene:ORGLA03G0104900 transcript:ORGLA03G0104900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: cultured cell; CONTAINS InterPro DOMAIN/s: Mitochondrial inner membrane translocase complex, subunit Tim21 (InterPro:IPR013261) /.../35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G00026) TAIR;Acc:AT4G00026] MASRIARLLQHQNRRLLSTAAEASSRRPPRAPLGGAIPKHEVAKAEASSLKKSRWYMIKSNPSSPLTTQRESHKVSTHLVRPSASYSTQASEQNPKEGTKDLKTVEDPFDSPTYNIPEKPVTFAEGASYSLVIVAGLGIAAVAGYAVFKELIFEPKEYKIFGKALARVQNDSQVTAKIGYPVTGYGNESRNRAARQRIPNKVWTDEDGVEHVEVNFYIRGPHGAGKVYSEMFKDNNDRSWKFTYLIVEIVSPHRAQLMLESYVPA >ORGLA03G0104800.1 pep chromosome:AGI1.1:3:7496089:7497096:-1 gene:ORGLA03G0104800 transcript:ORGLA03G0104800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYDQMLAPLLGAGRSAWTAHDGGGGGGEAVVRQILKCTRWQLEETTDFVTCPYHYYCDSSYPGDYHAAVGVLVAAFAAYCFLSTLAFTVLDLARSGGGGGGAGGVRGIRRKYLLPSGPFLLPLVLLVLAKGQRINAVFPLAQLGPALLLLLQASALAFRNEADGDIRYAVLEASTVSGVLHASLYLDAVVLPYYTGLEALRWSQFSGECATCLCRMEPLVVGGTAVRYRGLSKTALAIIFALCSRMVCRIYGEERLSAWTRSALEAAGWVFVAADAVYLVGWVAIEGGAVAVLAYSLVAGLVFLSVFGKVYRFLAWLETRQSQWKSSLCHSAV >ORGLA03G0104700.1 pep chromosome:AGI1.1:3:7490447:7491736:-1 gene:ORGLA03G0104700 transcript:ORGLA03G0104700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKLSFSIPSKARPPRPASRPAATAAAAAASDDGEYSARAPAPQFVTEFDPTQTLATGAAPSVIAPLQNSGHFLNHRSRKPSSLPTPEEEAALAASAAGGPSFVLDTSTAPDNPSSHIAYGLTVRNAAAELEKAAEPEKTPPPPPAAGAPSGDLMLRRYKEDMASLPDHRGLDEFRDVPVEGFGAALLAGYGWSEGKGIGRNNKGDTKVVEYDRRAGTQGLGYNPSEADPKKTRAGEWVVGGNKETQNGNAKKRDRDSRGRTEDRDSSSRQKRSGERRAEREVQEKDRNSRHTKQVKIGGGGGDKMRWLHSDIKVRVVSERLSKKLYLKKGRVLDVVGPTTCDIIMDDQSELVQGVEQDMLETVLPRTNGLVLLLAGEHKGLCGHLVEKNSEEETGVVELSNTKDMIRVKYDQIAEYIGDPESLEY >ORGLA03G0104600.1 pep chromosome:AGI1.1:3:7485720:7487162:-1 gene:ORGLA03G0104600 transcript:ORGLA03G0104600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCRARTTRKKIEIKCGDKKVRDACFSKRHTTIFNKANELAILCGVMVAVVFVSPNANGGIFSFGYPSVSSVANRFLANAPNNTSVSSSTQSGRDVEIRELEREERELKEHLQASTDQNKLLREAIAARDGEQLMLLLQSDCSELGPKGLVAVVRLWFRNSLVGIRLDRLCWLLQQILFGLDWG >ORGLA03G0104500.1 pep chromosome:AGI1.1:3:7476272:7477768:1 gene:ORGLA03G0104500 transcript:ORGLA03G0104500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLDHAPPSPLSSDILPHFPPSLADAGAGALDLSFTSTASASTSSFTTATTFSARSSLSLPSFSSSTSLSPRPHSSSSSPHWALLAAARAATPDGVLRLAHLHLIRELGHGHLARVFLCRLKSSPPASPLFALKVVDLRDDDPSRVTHVLAESRVLSSLDHPFVPTLYARLDAGRYACFLMDYCSGGDLHAVLRRRPGGRLPVAAARFYAAEVLLALEYLHALGFVYRDLKPENVLLRGDGHVVLSDFDLALPASVEPAVRRRQVRKLSRRKNRIVPSCFSANGGSGDDGDEVNAKEQFEFVAEPTTANSKDCVGTHEYLAPELVSGSGHGNGVDWWAFGVFLYELVYGRTPFKGHAKDATLKNILAKQVTYPQLDGEADAAQLRDLIGRLLERDPRRRMGSARGAAEIKRHPFFAGVDWALIRCVAPPVVPDKDAAAAGAGDKKAKLGSWNSMGGKKRSSFGRKSNYEERQGVFRKLMSWSQESRSKKAKTNKVKL >ORGLA03G0104400.1 pep chromosome:AGI1.1:3:7456683:7460575:-1 gene:ORGLA03G0104400 transcript:ORGLA03G0104400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GHMP kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G31910) TAIR;Acc:AT1G31910] MEVVASAPGKVLVAGGYLVLERPNAGLVLSTSARFYAIVRPLRDELSHDSWAWAWTDVKVTSPQLSREATYKLSLKKSTLQLTSSRESANPFVEQAVQFSVAAAKVTVTDKEGKEALDKLLLQGLHITILGCNDFYSYRKQIEARGLPLTPEVLLSLPPFCSITFNSEVANGTMTGENCKPEVAKTGLGSSAAMTTSVVAALLHYLGTVNLSCLGQSSSGNAAGRDLDLLHAIAQSAHCIAQGKIGSGFDVSAAVYGSQRYTRFSPEILSSAQVTGGHCMADVVADIVTQSWDHEKAKFSLPPLMSLLLGEPGTGGSSTPSMVGSVKQWQKSDPQKSKETWSKLGIANSVLENQLRNLNKLAEDHWEAYESVLRSCSRLTCSKWTEVATNQHQELIVRSLLAARDAFLEIRLHMREMGIAAGVPIEPESQTQLLDATMNMEGVLLAGVPGAGGFDAVFSVILGEASDAVAKAWSSAGVLPLLVREDPRGVSLEAGDPRTREVSTAVSSIQIN >ORGLA03G0104300.1 pep chromosome:AGI1.1:3:7453329:7454039:1 gene:ORGLA03G0104300 transcript:ORGLA03G0104300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNSGGSGGHAWRRWRPLVAGGGGGLLRAATSTTKGTAIGVGVSGASATATARANIASTAAAAMEGTSAVAAKEAHPRRQRQRCPVPSHATCLSLSLISVASAPRSSIINRQPGGAGARSPTGLLRGRGRVMVFTRPSLRGRGRGRSLGAGAGAFWPNPPRCQPYSPISSARHGPTRRRRDARPHPLSPLSIPGKSSRPSHSRTARAGPPRHRPRCVPPPPWPQVAAAMGSSWRR >ORGLA03G0104200.1 pep chromosome:AGI1.1:3:7450111:7450704:-1 gene:ORGLA03G0104200 transcript:ORGLA03G0104200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSRAENARFEQALAMYDRDTPGRWERVAAVVGGGKTADDVRRHFDLLVDDCGSIESGNYGYPGTGAGAGRGSGNGNGNGRDKNNDGNTNRRQSRANGPQT >ORGLA03G0104100.1 pep chromosome:AGI1.1:3:7437496:7441602:-1 gene:ORGLA03G0104100 transcript:ORGLA03G0104100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALPVVNATAAVLARVSAAFNAPFARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLGEKEIDELCDEWEPEPLCLPIKDGARIDTPMLESAAAPHTTIDGKEVINFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIAKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLANTLEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGQSGRGLAEHYGVPIDKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAVSAVSYLEGNPSVLADLRSNISFLHKELSGTPGLEISSHVLSPIVFLKLKKSTGSSNTDIDLLETIAERVLKEDSVFIVASKRSPLDRCKLPVGIRLFMSAGHTDSDISKVSSSLKRVSASVPMIPVAAHTCKHFEK >ORGLA03G0104000.1 pep chromosome:AGI1.1:3:7397624:7398604:-1 gene:ORGLA03G0104000 transcript:ORGLA03G0104000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKYLNIRFNPDGSLTRNGAARLLPPAPAGEPVDGVNGPARRIVHSNDAPLNDANGTTVRLFVPSGPCVGADGGGRLPLVLYFHGGGYVLFRAASEPFHNTCTALAATIPAVVASVDYRLAPEHRLPAAFEDAADAVRWVRSYAAGCRPLFLMGSHAGASIAFRAALAAVDEGVELRGLILNQPHHGGVKRTAAEESSVDDRVLPLPANDLLWELALPLGADRDHEYCNPETMLAGVDAARLRRLPPCLVLGRMKDPPRDRQRTLVEALQKAGVTVEAKLDGAGYHAMELFKEDRAAEFIAQVTDFVRRHTGAGSDVHAGRSRL >ORGLA03G0103900.1 pep chromosome:AGI1.1:3:7389370:7392745:-1 gene:ORGLA03G0103900 transcript:ORGLA03G0103900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSADGLVLKAACERCGSSSDLHGTGCRHATLCVSCGSAMARSGDCCPVCAAPIASLIREYNVLVDTTGEKQYTIGKFTTGVPPFSDRENAGSRSWSLHPEGQQGRQPTGNIWENCSNRKSCILEDDTGDYQYQGQIQGLQSAASTYYLLMMHGKDVHAVPADSWYNFSTISQYKQLTLEEAEEKMSRRRSTATGYGRWMMKAATNGAAAFSSDVTQLDDANEGETDQVHLKKGNKNGDENKSDKGSGEERAHVPMTKGREEEGSKDRDFDLDDEIEKGDDWEHEEIFTDDDEAVDVDPEEGGDLADPEIPAPPEIKQDDNEKHGGVGLSKSGKELKKLLRRAAGQNESDDDDEDTDEDESPSPVLAPKQQDQLKSEPQEDNHSKPTVLGHPYSTPHVSKSNQKRRQRGDDSKTCATPKKPKIEPDTKKIVVKEETSCSLEPTSEPFASARSDTNVSPITVEEIRVVLRLYAPIAMRDFSKDFMPRFSPRLRSPEDREVFLANLRKISHLQKINGQKYIILLEEYK >ORGLA03G0103800.1 pep chromosome:AGI1.1:3:7384241:7386416:1 gene:ORGLA03G0103800 transcript:ORGLA03G0103800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRLLAAAASPPPAAEEKAVVDGLRGSHGARRHAAGSAVGAGVAAVVALVLVALGLGLFVWLRRGRKRGAGAGGGAAAGMGVGVGVGARTQPAPALRRLSCQQLRRATGGFAAGSKLGQGGFGPVFRGALPRSGQAVAVKVMDAAGSLQGEREFHNELSLASHLLGCGHGHGSPSILLPFAYSLSAQPRRRRMMLVYELMPNGSLQDALLGKRCPELVSEWPRRLAVARDVAAALHYLHSVAQPPVIHGDVKPSNVLLDGELRARLSDFGLAQIKSEEGDELESAAIEGNGNESSNPCGGCDDDMSVADENATAVAVNGEDNAAKSPEDDEGFTMASPAEAASTSGCDKTSVGSGLNGRSCNGGGAAASGAGNDWWWRQDNGGGSGGVKDYVMEWIRSEIKKERPKNDWIAGASATTPATSTERKKTKRRAREWWREEYADELSKKQKRRALAKSRSEIGPMASMQWWERDCDLEEKGRSRWRMMKSWSRRSSNGNGSIDWWIDGVRRSSRDWASGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQVTASPMSEFEKASLISWARHLARVGRLLDLVDPALRDVNRDQALRCITVALLCIQRSPSRRPSSEEVLEMLSGEGEPPNLPVEFSPSPPGGFRFRSRKKDLDGEK >ORGLA03G0103700.1 pep chromosome:AGI1.1:3:7375851:7380731:-1 gene:ORGLA03G0103700 transcript:ORGLA03G0103700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLAQVVGNTGHRHKSFSFQLGEFLQSLKKGDRGTELSFAAAATVAVVHGRGGGRCPCIMETCIHTISISISRTSDVGFLSSRFFNKDEHLGRFAQAADVNALASLDREDVKKICGENLPEWVSFPEYEQVKWLNKQLSKLWPFVEEAATMVIRDSVEPILDDYRPAGISSLKFSKLSLGTFPPKIEGIRIQSFKKGQITMDVDFRWGGDPNIVLAVDTLVASLPIQFKNLQVYTIIRVVFQLCDEIPCISAVVVALLAEPKPRIDYILKAVGGSLTAMPGLSDMIDDTVASLIADMLQWPHRIVVPLGGVDVDVSDLELKPHGKLTVTVVRAESLKNKELIGKSDPYVVLYIRPMFKEKTSVIDDNLNPEWNETFSLIAEDKETQHLILQVFDEDKLKQDKRLGIAKLPLNDLEMESVQEINLQLLSSLDTTKVKDKKDRGVLTIKVSSCPHGGASWVLGTRDAKVFDADRRDNTQVLYHPFTKAEALEALELEKKTVEERRKTKEETAAVSGAADAASGVTSTVTPAAGAGVAAGAAAPGAGATAAGSGVGLVGTGIGAVGSGIGAFGTGLSKAGKFVGRTVTGPFSSARRSASSVPTIDE >ORGLA03G0103600.1 pep chromosome:AGI1.1:3:7368580:7375052:1 gene:ORGLA03G0103600 transcript:ORGLA03G0103600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:I1P9J0] MARGGGGGCCPPMDLMRSEAMQLVQVIIPTESAHLTVSYLGELGLLQLKDLNADKSPFQRTYAAQIKRCGEMARKLRFFKEQMSKAGISTSAQLTEISLDFDDLEIKLGELEAELAEVNANNEKLKRTYNELLEYSTVLQKAGEFFYSAQRSAAAQQREMEANQSGESSLESPLLEQDTLTDASKQVKLGSLSGLVPKEKAMAFERILFRATRGNIFLRQESVDEPVTDPVSGEKVAKNAFVIFYSGDRAKAKILKICDAFNANRYPFPEDVARQLHAVQEVSAKISELKATIDMGLAHRDNILKNIASEFENWNRLANKEKIIYHTLNMLSVDVTKKCLVGEGWSPVFATTQIQDALQRATLDSKSQVGSIFQVLNTTESPPTYFQTNKFTSAFQEIVDAYGIAKYQEANPGVFTIVTFPFLFAVMFGDWGHGVCILVSTLYLIIREKKFASQKLGDIMEMMFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCGDAATEGLLKVRRTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIVISYFNAKFFRNSVNVWYQFIPQLIFLNSLFGYLSMLIIIKWSTGAKADLYHTMIYMFLSPTDELGENQLFPGQKTVQLVLLLLALVSVPWMLIPKPFFLKMEHERRHQGQQYAMLQSTDDSVVAEMGHHNGSNHHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAFGYNNILIRIAGITIFICATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALISEEED >ORGLA03G0103500.1 pep chromosome:AGI1.1:3:7363145:7363885:-1 gene:ORGLA03G0103500 transcript:ORGLA03G0103500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQQLPYAGQPAAAGAGAPVPGVPGAGGPPAVPHHHLLQQQQAQLQAFWAYQRQEAERASASDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQRNDVAAAIARTDVFDFLVDIVPREDAKEEPGSALGFAAGGPAGAVGAAGPAAGLPYYYPPMGQPAPMMPAWHVPAWDPAWQQGAAPDVDQGAAGSFSEEGQQGFAGHGGAAAGFPPAPPSSE >ORGLA03G0103400.1 pep chromosome:AGI1.1:3:7351215:7351691:-1 gene:ORGLA03G0103400 transcript:ORGLA03G0103400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQAMNSALFSALVVVVLASAVNGQLNPCQAPAPTPTGTPAPAPAPVVTPTPAPTPVPTPSPAPTPSPTVAPVNPPSPTPPPKCPLASINLNACISVGLGNPLLNQACCSQLSSLPSDIAAVCLCEAIKVNALVNLKVKIPDILKVCGKVSAVVCV >ORGLA03G0103300.1 pep chromosome:AGI1.1:3:7345151:7346879:1 gene:ORGLA03G0103300 transcript:ORGLA03G0103300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRQKAAVSLGRLESCEQHCWFKSWLPRATLRHTPRSANGSGHLGGGAGDGVGTGVGAGVGGTTRAGAGAGVSVGVGAVARHGLWPCSTSPPLTAEAKTKITDAKNKAEFIARLLAIVGICVFDAFRPDSQLSSTAMLYTAGSRLEASSYRTPRSKLWYDHYLSESALFSPLAAVDSEGSRVMFSEDSIYIRAPDCDGYSSDRENAAHASGGDVVLEL >ORGLA03G0103200.1 pep chromosome:AGI1.1:3:7332379:7332765:-1 gene:ORGLA03G0103200 transcript:ORGLA03G0103200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLSIASFFLATALLLLAFASGQTTNAPPPPPPPNTQCPGGVISDLANYTRCITAILFGRPNPEQFCCPAISELPNNVAARCVCAALRATGLSIGITASNNVTGSILKICNKAPLDLLTVNCSRA >ORGLA03G0103100.1 pep chromosome:AGI1.1:3:7324827:7325828:-1 gene:ORGLA03G0103100 transcript:ORGLA03G0103100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMASAAFVLVAIVLPISPFAHAQRPTQPPIVAPTMPPTPPPTQLPSPGPMAPMPSPPPPTQPPSPGPMPPMPPPPAPMPSPPPMQPPSPGPMLPMPPPPAPMPSPPPPTQPPSPGPMPPMPPPPAPMPSPPPMQPPSPGPMPPMPSPPPPTQPPSPGPMPPMPPPPAPMPSPPPMQPPSPGPMPPMPSPPPPTQPPSPGPMPPMPPPPAPMPSPPPPTQPPSPGPMPPTPPPPAPTPSPPPPNQPPPPGRCPLIKIVILKECTKLSLFDLVLNPSKARQQCCPPLEDLSSSGATDCLCRAFKGPIGVLPPPIRVILGLCGKTVELNLFCH >ORGLA03G0103000.1 pep chromosome:AGI1.1:3:7315281:7318987:-1 gene:ORGLA03G0103000 transcript:ORGLA03G0103000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:I1P9I4] MSRRSRCVLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALMTVMERFGDFTISWLPFYSEAKLMFFIYLWYPKTKGTTYIYGTFFRPYISQHENEIDRNLLELRARATDVVVLYFQKAATVGQNTFFDVLKYVASQSPSQRSRQQPSQEPQQPKQQQAPVQQQPTQKQAPTVLRRSASIAARQAAMAQQSQDAKTVPSSPKIKRQASTKAAPVASTKLTGAAAPSTPKSDADAPKKNEAAPASLQVPTPATKADVPASEPSAPLPEAEEADKMAIDEADYAVEGTEEGDPVPGETVEERPMEETIRVTRAKLRRRTASEDPAGN >ORGLA03G0102900.1 pep chromosome:AGI1.1:3:7304789:7307989:-1 gene:ORGLA03G0102900 transcript:ORGLA03G0102900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT4G38810) TAIR;Acc:AT4G38810] MERTRSWFGRRRRRKARGEGGGGGGEEGEGEKVVVDGSEIRELVEDREAFGMFAESKFRELDADGDGRLSVRELQPAVEGIGAALGLPARGSSPNADHIYSEFTNLTCMQAISELTHGKKEEVSRTEFQEVLSDILLGMAAGLKRDPIVILRIDGEDLRDFVDSPRYEPEAAAIFSKIGSEDMSLRQCLLAALQLLNVDNGMPPASDPWVAENIIEPALQKLPAGQLEQPASQDVFLEQLKKLLSNIAERLQEQPVIVAHTENTFDGSGVKRLLDNKFELDKLLDSVWKDVLIEHKNKGSRECLIAALDKMADAAGLPPYGAVNQVDAVVNEALKTVNADERTAVDEAGFKKLLTDILRAVMMQLNRQPIFVSNSTVVHEPLFSSSAILSSPPVKSSPSE >ORGLA03G0102800.1 pep chromosome:AGI1.1:3:7301858:7303999:1 gene:ORGLA03G0102800 transcript:ORGLA03G0102800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDAAAAASAGAAAVQRRPKVVEEDDDDDDFVPLSHARGRKKASGAAAPKYKEEEEEDEDDDEEDNVPLAVSRAKKAGNAGASKAKKDEDDSGDDDDDYHVPLSRSKKGKEKQKSTVKAKVKKEETDSDDERKPKAQKKSSAVTKTSKVKKIKDEDLEIDTKKIKKGAATRKGDAEKVKKEKKVYDLPGQKHDPPQERDPLRIFYESLYEQVPTSEMAAIWLMEWGLLPVDVAQKVFEKKQGQKLKSPVKTTSAKRRPDTPTKKPQLSSATKTNSAVNDSGKTTAQKKRRASSDTDDDDDDFIVSKTKTKMQKMNS >ORGLA03G0102700.1 pep chromosome:AGI1.1:3:7297378:7297770:-1 gene:ORGLA03G0102700 transcript:ORGLA03G0102700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRGETSSVVEAFTLSPLPYPVILILLMVMLLLGVSWFFTYEDFMEEAAEQLSWALLLVPVALVLLIRWISSVDTFDGYFSFYPTERRWNRYDPGPAEGSSPWGVAMVVLLLLVLASFHSTFQDMWKP >ORGLA03G0102600.1 pep chromosome:AGI1.1:3:7287058:7291800:-1 gene:ORGLA03G0102600 transcript:ORGLA03G0102600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLVACLPWVCFILLSLYVFQLFADARRRLPPGPWPPKPLIGDLLALGKGDQQHRSLARLADRYGPVMSLRLGTVLTVVVSTPDAMREIFHENKDNLAGRPTADAFNAMGHSANSLLGLEHPGVRWRAIRRFSTAELLAPRRLAALQPLCRDKVRGLVRGVSELAARGEPVHVRRVALDMALSLMLSAIYSVDLDPESTAVFRSVVEEAMLLIGTANLSDLFPAIAALDLQGVRRRVAELFTITYRQYDEQVARRRPERDTGEAGKNDLLNVVLDMEREWQQKGSVLSHDAIRVLFTDLYGAGASTTSVLIDWAIADLLQNPESMRKIKEEITNVIGTNAQIQESDIARLPYLQAVVKETLRLRAVAPLVPRRAEATIEVQGFTIPKGTNVILNLWAINRDARAWNDPDKFMPERFIGNDINYLGQNFQFVPFGVGRRICLGLPLAQKVMYLVLGTLVHQFEWTLPEELKETGIDMTEKCGMVLCLANPLKVMAKKM >ORGLA03G0102500.1 pep chromosome:AGI1.1:3:7285407:7285887:1 gene:ORGLA03G0102500 transcript:ORGLA03G0102500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSGSTNGGFYRPAGAEGSVSIEECPQKCDYRCSATKRQEPCLKYCNICCQKCLCVPSGTSGNKEECPCYNNLKSSQGNSKCP >ORGLA03G0102400.1 pep chromosome:AGI1.1:3:7279486:7280940:1 gene:ORGLA03G0102400 transcript:ORGLA03G0102400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLTGAPGTAGAAGGAASVKPQFHHFHHHRLATRHHHPSPTSLLSKLAFWSVCSLSLLLAFLLLSPSAAPAPRAAPDSPRRSLHTSSPSAAATWGGAAWEKKVRASARVRRANGRGLTVLVTGAAGFVGCHAAAALRRRGDGVLGLDNFNDYYDPALKRGRAALLARSGVYVVDGDIADAELLAKLFDVVPFTHVLHLAAQAGVRHALVDPMSYVRANVGGFVALLEAARMANPQPAIVWASSSSVYGLNSHVPFSEHDRTDRPASLYAATKKAGEEIAHAYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTRDILAGRPITVYESAGGGTHQTTISRDFTYIDDIVKGCVGALDTAGRSTGSGGKKRGPAPFRTYNLGNTSPVPVTQLVDLLEKLLKVKAVRKIIKMPRNGDVPYTHANISLAQRELGYRPSTDLQTGLKKFVRWYLEYYMPGFAGKQKQHGSSNSKSSRGRSGNTSSAR >ORGLA03G0102300.1 pep chromosome:AGI1.1:3:7274918:7276637:1 gene:ORGLA03G0102300 transcript:ORGLA03G0102300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYGGMKGGKLGVEEAHELQLNRIRITLSSKNVKNLEKVCADLVKGAKDKQLRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADV >ORGLA03G0102200.1 pep chromosome:AGI1.1:3:7271377:7273857:1 gene:ORGLA03G0102200 transcript:ORGLA03G0102200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLQRFTDIAGDGGPRLDAASGEELLRMDRAASVALGRRAPEPPGTLFVTTRRVIWLSETEKGQGYAVDFLAITLHAVSRDLEAYPSPCIYTQIDAEDGSDEEAGGSDFEANGDLQLAKVSEMRIILSDPGQLDALFDVFCHCAELNPDPNAVRNEENGWSGGENLAEGGWIHGDEDMIDGNDLEAHMFFTNLIGQNGVRDLGRSVRELQIDDQRFEDAEEEDEIQENGH >ORGLA03G0102100.1 pep chromosome:AGI1.1:3:7264604:7267977:1 gene:ORGLA03G0102100 transcript:ORGLA03G0102100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I peptide chain release factor [Source:Projected from Arabidopsis thaliana (AT1G62850) TAIR;Acc:AT1G62850] MATAMRSTTFLRLGFRQVSSLLFHGPSSPAPTLGLALGVGRGALVRLRCSAAEAGDDGGRKVSARLALTQQVLRDAEERAASAGSDPAPKITLDHVTLNFARSGGAGGQNVNKVNTKVDMRFNVKEAQWLGERIKERILQTEKNRINKDGELVISSTKTRTQKGNIEDALQKIQAIIDAASYVPPPPSEEQKKKVEKIAAAAERKRLQKKKVLSQKKEFRRNRTSWD >ORGLA03G0102000.1 pep chromosome:AGI1.1:3:7261835:7263250:1 gene:ORGLA03G0102000 transcript:ORGLA03G0102000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPSPSSPFPVAAVSDARRAGGGRTTASEVDEKYAHVATPLHNHRGGSGGAKKTPRRAKSEGGGGADPAAYVAAVSCSDCRFKQRLHAPASPGPGAVIRSLFVSLTRRSTPRSSPSPTSASGGDGGESEQWRLAAADLSRRLAAATRTRDEALEETTRLKHSLTELEMKLARLEARVLPTPTAAAFPVESFLRAVSTARAAVRSLTRALSNHLRSPANPGPNLESFLNRAFHADFELDTEGDVHTADPAGRCEANLAAYHSIAALTWEEVLLHGTKHYSEGLSRFCDAKMSEVVSSLGWARARAWPEPLLQAFFLAAKGVWGVRLLARSVHPPLPVVRVDRGARFDSRFMEDAAAGRAGKLEPASVKMMVAPGFHVYVACAGVVKCKVVCFYSSSSSSRTGGHRDGGSIANGSVGLGSSCSDVNGSATDAVDGCNNQSSSVVT >ORGLA03G0101900.1 pep chromosome:AGI1.1:3:7255100:7256372:-1 gene:ORGLA03G0101900 transcript:ORGLA03G0101900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPEVGRGALSGGGGGGLGEGGVRGGGAELGGGRRRSVEAPSGSVEAAASVAAAAGSAKEEFAAAALGSVEGVVDKIDINVNNIIITLSDEEVTKRKVVDLDERINFVVDHIPM >ORGLA03G0101800.1 pep chromosome:AGI1.1:3:7236494:7240827:-1 gene:ORGLA03G0101800 transcript:ORGLA03G0101800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIQSVKARQIFDSRGNPTVEVDICCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVDNVNSIIGPALIGKDPTEQTVIDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLALCKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHTLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYTEDQTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGDQVQIVGDDLLVTNPTRVAKAIKDKACNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRRFGCSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVEPY >ORGLA03G0101700.1 pep chromosome:AGI1.1:3:7233358:7233831:-1 gene:ORGLA03G0101700 transcript:ORGLA03G0101700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSTVELIALWASSSSPSLLAFCVSHLIIALLLLAGSGAGAAPEISSRADGDRSLEADAVVQGREMNPGGHKGPVTGVNGRAEEWLVRAGDGDNVETLASENGRSAAREEEPAATDASQEKHGDDVELEDELMLRAEEFIRRMNRVWMAENLRVLC >ORGLA03G0101600.1 pep chromosome:AGI1.1:3:7232434:7233088:1 gene:ORGLA03G0101600 transcript:ORGLA03G0101600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAKTDREGCKWRRPTLIPVYRLPAACRFLSSATPSLCGKKNNQQQCCRLRKEREKSRARSLERQSFAAPSAMPIDYLSLSLSPALAASPTSSPSTASPSGAGHSAIILALPVVNHGPWIEIMEWRGCGEKGRKKERFGWKKKTYKD >ORGLA03G0101500.1 pep chromosome:AGI1.1:3:7229700:7231929:-1 gene:ORGLA03G0101500 transcript:ORGLA03G0101500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLYAPCVILLVSSLYLLRLFSDARRNLPPGPRPLPLVGNLLELGAKPHRSLARLAERHGPLMTLRLGAVTTIVASSPDAARDILQRHDAAFSTRPVPDIVRACGHDRFAMPWLPPSSPQWRALRTVCSAELFAPRRLDAQQRLRREKARRLVSHVARMAREGAAVDVRRVVFTTLLNMLSCTLFSADLADLDEGRAGSAGELADTVAEFAGTVGVPNVVDYFPAVAAFDPQRLRRRLSRVFTRLFAEFDEQIERRMRERDAGEPPKNDFLDVLLDYRTTEDGRQFDRQTLRSRFTDLFSAGSDTSAVTVEWAMAQLLQSPSSMMKAREELTRVIGSKPEIDESDIDSLEYLQAVVKETFRLHPPAPLLLSHRAETDTEIGGYTVPKGATVMVNIWAIGRDSKVWFEPDKFIPERFLQKEVDFRGRDFELIPFGSGRRICPGLPLAVRMVYLMLASLLHRFEWRLPPEVERNGVNMEEKFGVVMTLATPLQAIATPI >ORGLA03G0101400.1 pep chromosome:AGI1.1:3:7220810:7222443:-1 gene:ORGLA03G0101400 transcript:ORGLA03G0101400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLCTPCVILLLSSLYLLRLFVDARRNLPPGPRPQPLIGNILDLGSQPHRSLARLAGRYGPLMTLRLGTVTTVVASSPGAARDILQRHDAAFSVRSVPDAARACGHDGFSMGMLPPSSALWRALRRVCAAELFAPRSLDAHQRLRRDKVRQLVSHVARLARDGAAVDVGRAAFTASLNLLSSTIFSADLADFGDARAESSVGDLRDLISEFTIVVGVPNVSDFFPAVAPLDPQRLRRRVARVFERLQAVFDGHIERRLRDRAAGEPPKNDFLDALLDYRSPEDGRGFDRPTLQFLFTDLFSAGSDTSAVTVEWAMAQLLQNPPAMAKAREELARVIGSKQEIEESDISQLKYLEAVVKETLRLHPPAPFLLPHQAETTTQVGGYTVPKGTRVLVNVWAIGRDSKVWSDPDKFMPERFLQSEVDLRGRDFELIPFGSGRRICPGLPLAVRMVHLMLASLLHRFEWRLLPEVEKNGVDMAEKFGMILELATPLRAVAIPV >ORGLA03G0101300.1 pep chromosome:AGI1.1:3:7217101:7217942:-1 gene:ORGLA03G0101300 transcript:ORGLA03G0101300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKQAPENESPPPPPHCWIYPECTLVTRSCCLLLRLKLLRHGHPLHLGSHGGRGQPGSREAVGKDVDQRGLLGLLDALLMVMEGRDHEASRSRAFIGGDAMVSNLIPGTCEVSSIMASCEASGIMAGYEVSGIMAGCESALPM >ORGLA03G0101200.1 pep chromosome:AGI1.1:3:7208768:7214983:-1 gene:ORGLA03G0101200 transcript:ORGLA03G0101200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 [Source:UniProtKB/TrEMBL;Acc:I1P9G6] MMSFRSKDRNVQPRFNWPWRSESPLSAQLLVDIPPEIELSDYRRLPGSGSESPSGLLHGEGFKDEPIADLDIFFERLYEYFCAKGLRCIVTKWIIEMLNVLFMVCCIGFFFLIVDWNALGHLKCGVEALESGEKPCDLMQVVKHNPLVPFTFPKMITIGSMVILTTYGLINFLKFFVQLRSTLNIRDFYCNSLKITDLEIQTISWPKIIEKVVLLQKSQKLCVVRDLSEHDIIMRIMRKENYLIGMVNKGIISFPIRPWVPGAGPTVKSHLQNRRNHLILPKALEWTLNWCIFQSMFDSKFCVRKDFLTSPAVLKKRLVFVGISMLILSPCLVIFPLVYLILRHAEEIYNHPSTASSRRWSNLSRWIFREYNEVDHFFRHRMNNSAVHSLNYLKQFPTPLISIMAKFISFVSGGLAGALIIIGFLGESVLEGHIFGRNLFWYTIVFGTIAAISRKVVADELQVIDPEGAMCNVVQQTHYMPKRWRGKEDSEVVRREFETLFQFTIVMLLEEMASIFISPYLLIFEVPKRVDDILRFISDFTIYVDGVGDVCSLSLFDFRRHGNRNYASPFDALKTLRSSQGKMEKSFLSFQSVYPSWEPNAEGKQFLTNLQKFKEKQIRQQALAQYQAMEASGFVASTRGHRDDIFHQLLPSDIHNRAEAVSPAVYNLGPLGLLDTDQRSHPYILDWYYVCHPPHLDRTEAPYFNEVFPETSENTGSAAFKASEIEEARGWDSDTVPPPRADRDEWNFNHERVRSHMDASTSSNLFHHAPVEHRDTKGNIIDWWDQAPEHSTGQQGSFLEPPEFGNRYVAGNRSSYHSGDVSDGSVEELERSYNRSSSSWRRPQDLSTTRYMDDSDIEEGLNLPFADLPQKDEDARHGTSDTNDPTPVGLPVRIIPRSSDPV >ORGLA03G0101100.1 pep chromosome:AGI1.1:3:7203939:7206137:1 gene:ORGLA03G0101100 transcript:ORGLA03G0101100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYHPSEVYELFVRHMNTPRVVVDSGVCATATLVQVHSARKHGMLLEAVAALSEHGVCVRKGYISSDDGRWFMDVFHVTDAAGRKVADADALLARLESSLSAEALPRAAAGGPAAEGLTLLELVGADRPGLLSEVFAVLHDLRCNTVEARAWTHGGRVAALVFVRDEETGAPIDDAARVRRIESRLRHVLRGGARCARTVLADPSAAGNLDRRLHQLLNEDGEADSRGAAPMTAVAVQDWGERGYSVVTVSCRDRPKLLFDVVCTLTDLDYVVYHGTFDTDGDHAQQEFYIRRSDGRPISSEAERQHVIRCLQAAIERRASEVRDGVAVVSPLLSLHATPPIAAVLCCAHFAAPSREVTFVLARLSTTPPMCSAEIPASARGARPSDAIFFLCAGRAARAPHLRPPRAARLRDARVPGERPLGDARRDHDKGRHGHERLPRHRRGRPPRRSQDDRRGDTEDRHGEPPGGRGAVAAPLLGGRRRRRPRRRRRAVLAGEPRQEEPLQPWPHKILLVSTDDVSDPMGCLCKYGGGPWVSL >ORGLA03G0101000.1 pep chromosome:AGI1.1:3:7190033:7190245:1 gene:ORGLA03G0101000 transcript:ORGLA03G0101000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLHQSGPSESEKKAFQKTRSSDWTVTMRANSGLIFAVMILIMCGASAASSDNDELVAPFDTSNGLRTL >ORGLA03G0100900.1 pep chromosome:AGI1.1:3:7185374:7187751:1 gene:ORGLA03G0100900 transcript:ORGLA03G0100900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRGKFGIIMVAMILVVRIIAFASASDNDELPALFNILQQKPGKAAQLLCASGPRQTSLCLPMVTVKLWNANHKSRSPSTSNSGLILSQANRIEVRVGYQDDNGVKASPICFVNLLPSVAPPLNEASIVGGEVKGLSNGVELGFGAVDKDVVDGFFLLVTEDVGGRV >ORGLA03G0100800.1 pep chromosome:AGI1.1:3:7181387:7184188:1 gene:ORGLA03G0100800 transcript:ORGLA03G0100800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWVLLDRVVKPAVFDEEESKGKGESTGAPVKYLPARLRQEVPAGMRNVKPYPEVADPPIVSRFSMLISRKAIRVVETIHVECADKSLVLFYAGTGFPGFSHGCHLIYDAIDGSLTTVHTFPFPVSGVVWVGTAAVLRHAGGGGGGDGTTAYVIAELLRPFHGSLPDATLVMWLSNSPASTSGSNGQWVKEDVRLPGEVCTGTDPFTTDLVFSFGESCLCWADLFMGILFCDLATLRAPRFRFIPLPKACSFDPVGKYGRPHMPEFRSMGRVNGVIRLIDMEGFTNEYLAVDEVKLTIWTLSADLSEWEKGPVCTVGDIWASEEFVAMGLPQLRPMCPVLSMVDEDVVCVVMTEVEIEESDVTDFDDEGNKLKFKAQYVLDIDVLSITQHHIESMGDLIPDLIACEFTAYSELSKGMQAMVEGNEGEESTKRMKVK >ORGLA03G0100700.1 pep chromosome:AGI1.1:3:7177783:7178220:1 gene:ORGLA03G0100700 transcript:ORGLA03G0100700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMATITAKSGVIAVSMVLVMCAASAAAGDNDMLPAAFDILQQPAKEAANLGHGCYTRCFAGCFAAGFDGDYCSDFCSKECGDDVRKFLSRLSPENSAIVGDICNIPRCISSCVEAKIDPPYCKIWCEDMCGDDVRKNQIGLSP >ORGLA03G0100600.1 pep chromosome:AGI1.1:3:7171386:7173335:1 gene:ORGLA03G0100600 transcript:ORGLA03G0100600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTSSHSPTVSAMNPLLPSSSFPKFPHPPDPNLSSPNPSPCSYLLHADADDEALIQIPCPNPSSGAASSSSVVLPPIDPAPHISSQFYTFTAESHALMLRCVLAGRPAAADEVRAATPPSVLASWRAVWKDRNEDTAYLTAWKRIQDKLGASADGRSLHFKSNPGQRVSHVGQWRDIVSEAHADPDLLRHLGLKDTVDRIKQSWTVGAKFYGIPESFVRVCVSACAVCKAAPAGQPDFAMSSPGRGKRRRRFEYTETLDVPARDVPRRLQQLAAKHKVVLCIRQKYIRHKPFMAEVKDYACHRAGVPTSSGVNATSSSGSVPDGKKTRVLKREPYQSKRCGCGFRIRAIVPIANYNEKDKSFVYEEEGTAVFKLYAVHSGHEPGPLDGNARIVHRLVGHKGALEFDPDIYGVSEEGDPNFTIKGDFDVETDDSHLAVLQQIRDLKTEVGLLEGKVGKMHPELLGSLSNELSECLHRIRKFNFDGNVCQPEETLMIGNEEVPGWGPADVSHHLDQHDGAFCRDDEMLDDDDTDFGSSLGPIVSWDGMTAECEDRKMLMSDSPKCDKWMLKEDVGDFVEKSILNCGDDDGVEDSKIIKPLMHDETMVADPGLVGIHVEGFYSGAKWYDSPVGLDSSGDADVSFRHGGLV >ORGLA03G0100500.1 pep chromosome:AGI1.1:3:7163086:7168682:-1 gene:ORGLA03G0100500 transcript:ORGLA03G0100500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQAAAAAFLSFLSSSPHHTAPSSSVSFLAARVLPASLRAAAAGSPTSASRSRGRGVAAVVAQLPTANPEVASGEKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSDAAKLLRANGITLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAINEKLKSGEDGEVTANHLLLGIWSDKESAGHKVLASLGFDDERANSLAKTAGEEAAMSPR >ORGLA03G0100400.1 pep chromosome:AGI1.1:3:7155859:7157492:-1 gene:ORGLA03G0100400 transcript:ORGLA03G0100400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGASSALGGGGGGSGGGGGGPSGGGGGGGGPCGACKFLRRKCVSGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLQIPAHKRPDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELTYLQAHLATLELPSPPPLMPAPPQMPMPAPFSISDLPSSTSVPTTVDLSALFDPPPQPQWASPLQQQHHHHHQHHHHQQQQHQLRQPSYATLARAPSGMTAAAESSGGGGGGGGGDLQALARELLDRHRSAVKLEQPPPPHSRS >ORGLA03G0100300.1 pep chromosome:AGI1.1:3:7143786:7152409:-1 gene:ORGLA03G0100300 transcript:ORGLA03G0100300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAPPPTPPESDPRLVEAFVPFLEKLIKNASWRNKAHSKLSHTAKSILDRLQNPPPPAAAAQAPSTPTSPTTPTSSSSQPGPLRSLSLADSELLLGPINSALGSGSAKLAEAGLELLHRLIAHSYIHGEADPSADPSAQLVASLLDAACNALHLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSVVNQATAKASLVQMLVIVFRRMEADSSTVPVQPIVVADVIELPEAASGASPAADANFVQGFISKIIGDIDGAITPLARTTSSAAAGAGGAAAHDGAFETRAAEEGAHPADLLDSTDKDMLDAKYWEINMYKSALEGRKDEIGVEGAVVGALDDDADVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPIVMRGKILALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNCASAHMIVFQLSCSIFISLVSRFRPGLKAEIGVFFPMIILRVLENIAQPNYQAKLIVLRFLEKLCADSQILVDIFLNYDCDVHSSNIFERMVNGLLKTAQGPPAGVSTTLVPPQDTTMKSEAMKCLVAILRSMGDWMNKQLRIPDPDSPKVESEQNDNDGGHEISHTEDNGDECSEASDSHSEMSNGVSEAASLEQRRAYKMELQEGISLFNRKPRKGIEFLINANKVGESPEEIAAFLKSSSGLNKTMIGDYLGEREDLSLKVMHSYVDSFDFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSPEDFIRNNRGIDDGKDLPEEFMRSLYERIWKKEIKMKEEEFVPQQQKSTSSNKILGLDNILNIVVRKRDSRMETSDDLIKHMQEQFKEKARMSESVFYPATDVVVLKFMVEVCWAPMLAAFSVPLDQSDDEIVISQCLEGFRSAIHVTAAMSMKTQRDAFVTSLAKFTSLHSAADIKQKNIEAIKAILLIADEDGNYLQEAWEHILTCVSRFENLHLVGEGAPPDATFFALQQPDLDKSKQAKSSILPVLKKKSPNTVPASKRGSYDSAGVGGKASGVDQMNNVVTSLLEQVGMAEMNRVFVRSQKLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSENLSIAIFAMDSLRQLAMKFLEREELANYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVSHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILREYFPYITETESTTFTDCVNCLIAFTNSRFNKDISLNAIGFLRFCAAKLAEGDIGSSSRLKENPPSPRLTKDGKQESAVLVDKDDTIHFWFPLLAGLSELTFDLRPEIRKSALQVLFDTLRNHGHLFSLPLWEKVFDSVLFPIFDYVRHAIDPSSGSPQGQNVESDPSELDQDAWMYETCTLALQLVVDLFVKFYDTVNPLLRKILLLLTSFIKRPHQSLAGIGIAAFVRLMSSAGSVFVDEKWLEVVLSLKEAATETLPDFSYIASGAYLENVPIENGGSSEKTEDESRPLEDGTGEASRSRNLYFAIGDAKCRAAVQLLLIQAVMEIYNMYRARLSSQNTVILFEALHTVATHAHKINSDNDLRSKLQELGSMTQMQDPPLLRLENESYQLCLTILQNICLDRSPNERSVEVESHLVGLCKEVLEVYLSTANPSQLSGAPQPLGHWLIPVGSSKRRELAARAPLVVSTLQAISGLGDSSFEKNLGQFFPLLAGLISCEHGSSEVQVALSDMFSTWVGPVVLQTC >ORGLA03G0100200.1 pep chromosome:AGI1.1:3:7140684:7141373:1 gene:ORGLA03G0100200 transcript:ORGLA03G0100200.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLATNRHKSRQQTRQQIGNKSTNRVARAARSTQRQGAQRRRPRHAAAAWEVRRGGVGERRRRQPGTHSAAKNRRPPARCSPRPLPPQRLFLSRRRRQEPPDAPAAKNRPALTSPASSSMPVLEPPPPRASSLSTPSSAAPASVSSIRRVPSLHNTVDLSSPPLHRSHNAELVACHRLGLLDPPPRPQPPSPPPPRGEGEERSCQRGEGRSRHRSEEGAGECVMREEFGD >ORGLA03G0100100.1 pep chromosome:AGI1.1:3:7130623:7137708:1 gene:ORGLA03G0100100 transcript:ORGLA03G0100100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKSSSADASQNSNQAEQDDKAPKYVQISPETYAHLTESEEQVKTLNEKVKALNEDLSAAQSEITTKDALVKQHAKVAEEAVSGWEKAEAEASALKLQLETVTLAKLAAEERTAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAEFEAKLLEFEQELIRAGAENDALSRSLEERGDLLMKIDEEKARAEAEIEVLKNTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHMEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGRDYGESRLRRSPAKNSSFHRPMSPMSPVPDYAFENLQHMQKENEFLTARLLSMEDETKMLKEALAKRNSELQTSRNMYAKTAGKLRGLEVQMLTGSQRKSTSNPNMDIHFDGALSQNGSNPPSMTSMSEDGVDDEGSCTESWANALVSELSHIKKEKGAKSSVTEGSNRLELMDDFLEMEKLACLSSEANGHVSTVEKMKIDDTEASLSGITERDGVKDSQSVLALPGTPSNKLQLSDSSPLLKLQSRISSLLDSESPQNNAGNILDSIRNILKDIEDEADSSNDSKTHYGDMVEVADNGSLMKHSSSGSKHAMDQELVNAILKIQDFVKSLDQEVSKFQGQSSDCDGLCDKIQQFSALVEKALSNENVLNDIVMTLSLILSGTSEIKFMMLKENTKEADNNNLDYVDKVTLLENKVQLEPLKDSISGPCLPRSSSDPEIEGPTDSGCDVKTAVQICSSEEFEQLKSEKLNLEAELSKCNEVIEETKFRFKELEKSLEELTSKLVASEKSNSLAETQLKCMAESYKSLESRKAELENEIKVLQSKIEALTAELDDERQNHQEDITRYRDLEEKIERYENERNSMCVDEDADTKAKQEKEIAAAAEKLAECQETILILGRQLQSMRPPAESMGSSPNQRMEDFLQDAAGTTEGVEYSQKPTGQLDTDQEMHASGNESPVNGYKTHNAPSEADGSPFLSPNGSKRPKHRSRSSSSISNQLPEKQNRGFSRFFAKEKI >ORGLA03G0100000.1 pep chromosome:AGI1.1:3:7110157:7111245:-1 gene:ORGLA03G0100000 transcript:ORGLA03G0100000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVSLSHGRMADGQSPSLSTLAIAVRLTETKARNDQGPVVDHVVHRTTWRTIRKTSEHKASMIFSIKFAAPIAFWSFSSKLFIRSERRPQQASVNISIQYEISRKPTKMIVGNNLAKRT >ORGLA03G0099900.1 pep chromosome:AGI1.1:3:7097555:7099993:-1 gene:ORGLA03G0099900 transcript:ORGLA03G0099900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSCLLRVGWLPAALCALALALALAPASDATSASLVGINYGRVGSNLPPPQAVLPLLEGLGIGRVRLYDADPAVLHAFAKTGVELFVGVPDQSLAGLADPGGADSWLRSNVMPFLPDTKIAALTVGNEVLTGNNSALTRALLPAMQSLHGALAKLGLDKQISVTTAHNLGVLGTSYPPSSGAFRRDLLPYICPILDYHARTGSPFLVNAYPYFAYSGDPKGIHLEYALLEAGYAGVPDPNSGLRYPNLLVAQVDAVYHAIAAANTAAARVVEVRISETGWPSSGDPGETAATPQNAARYNSNAMRLVAEGKGTPLKPTVAMRAYVFALFNENLKPGLASERNYGLFKPDGTPVYELSYKLPRDNSTFGGAGNSGWRFPGGGGGNVSGGYDNNGVNSGYYDISAASPDSAGPCRWSQAAVAGAMAVLVVAA >ORGLA03G0099800.1 pep chromosome:AGI1.1:3:7089353:7089946:-1 gene:ORGLA03G0099800 transcript:ORGLA03G0099800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRHDVHEAVRGGPAVGDPGRRGAAPLRAVRRRSSRPSSSPTSTPARSKGYGFVTFRDPDGAARALQDPTPVIDGRRANCNLAAFGAARRVHAVAAPFGMARLRPAMIASSSSYQGSAPSYFPQVLYAYPYCYG >ORGLA03G0099700.1 pep chromosome:AGI1.1:3:7077448:7079046:-1 gene:ORGLA03G0099700 transcript:ORGLA03G0099700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1P9F1] MEAAVMERERLTAEMAFRDDARAAGEEWAPSIVIKIRRRLPDFARSVNLKYVKLGIRHGGSVTSYLPMLCVPLLASAAYSFVRLDVIYRSIDLLTCVAWLGTAVLLLTVYYFKRPRPVYLVEFACYKPDDQHKISKEGFLEMTESTGCFNDAALDFQTKITNRSALGDETYLPPGVQARPPRLNMAEARMEAEAVMFGCLDALFESTGINPKRDVGILIVNCSLFNPTPSLSSMIINHYEMRADVKSFNLGGMGCSAGLIAIDLAKDMLQANPNSYAVVLSTENITLNWYFGNDRSMLLSNCIFRMGGAAALLSNRRADAGRAKYRLLHTVRTHKGATDECFNCVYQREDEDGKVGVSLARELMAVAGDALKTNITTLGPLVLPLTEQLKFLKSLMMRRVFRVKGVRPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLSLEDRDMEPSKCSLHRFGNTSSSSLWYELAYAEAKGRVQRGNRVWQIGFGSGFKCNSAVWRALRDVPAVSPPPKGKKSCNPWVDCVAKYPPKAYV >ORGLA03G0099600.1 pep chromosome:AGI1.1:3:7072165:7073109:-1 gene:ORGLA03G0099600 transcript:ORGLA03G0099600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFASCVAVCLAFAVLLPWHATATSPTGTIQRETKQQILASIPPHWQENPVLFLTSPSGKYTAYFLRSQTAPGAGGLGADFCYVEVLDTSDPGAEGRSVWESECLAVSTVNTCSLVFSWKGLEVFDGSNSVWHTHDTQSDSQNFLETLELVDEGDMRILDKGGELAWKASDEPRAAQHCGMPGSPGLASAFPPFAQPIGHGSSDLPFGFGNGDHVAGNGIGGGAVAQPELPVAPVPQPELPLAPVPQEADLGGAAGVEPQGQGVGQTSFGFGAQPLVDNSPYDSGAWKQVGGCSLTAIGVGFILNVAIAMGLGH >ORGLA03G0099500.1 pep chromosome:AGI1.1:3:7068353:7069523:-1 gene:ORGLA03G0099500 transcript:ORGLA03G0099500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLVPRETAALLGAFVALLAVSFGAVAAPAPLVVGSIKCLDCSPDDVKAEDAFRGLQVGIMCNSGAGEAYETKMLSGLDENGGFSIPLAADLLRDDGELDKDCFAQLHSAPETPCAGQTPPRIAKAGPGNDTIAAAAADAAPTYLAVSYDTLFSPVACKCGKYKKKFMFAPPPPPPPRPPAPEYKPPTPTLTPIPTPEPSYGPPAPKPPAPPVEDEPQPFFHKHPKLKFMHKKKPCPPLVDVDIPRPNN >ORGLA03G0099400.1 pep chromosome:AGI1.1:3:7066456:7067535:-1 gene:ORGLA03G0099400 transcript:ORGLA03G0099400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPRQLLLGVCGAVVLVAGLAHAAYAGTAPVVVGLAMCSGCTRKNMNAEAAFKGLQVAVKCKNSRGEYDKMAVGKVDKSGAFSVPLAADLVGEDGVLKQDCFARLHSASSAPCPGQEPSKIVAAQQPGHDGAKTFVALAGKVHRPSAECASAFLCDPFHKHHHHIVLHPPVIVPPKHDHDHSLPPVHEPPVTVPDHKPAPVTVPDHKPPSTTTPVYAPPKPTPIYGPPTQQKNKH >ORGLA03G0099300.1 pep chromosome:AGI1.1:3:7062144:7065609:1 gene:ORGLA03G0099300 transcript:ORGLA03G0099300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPCTLSPAPWRRRRTLHGAAGTPQRVSAAAPSAIVEAVSPPARLSFPILVNGCTGKMGLSVAEAATSSGLHLVPISFSSRDTLDRTVRVGHTDVRIYGPSAREDVLSSVIDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVVAFLAAMEIMAEQFPGAFSGYHLEVLESHQAGKLDISGTAKAVIACFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGSVDAAMFLHRKVRSNDSKRIYDMIDVLREGSMR >ORGLA03G0099200.1 pep chromosome:AGI1.1:3:7057330:7059540:1 gene:ORGLA03G0099200 transcript:ORGLA03G0099200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGDEQLQPRVGQGIPLLRVRYRGPGAGSLSHIAHVSIRVCDGVSLSSTSWITARAAAAPQLQREGSEVVDSWLEGKGVCPCFQLLYGVKSGITRNYWYHVWPVMYNSSTTTEPQYYQHAQRYLFVIVIDRTIQSPQTAHTVCIHHTLKKKKTRRNTGGWLFTSPALVGLPRAAAARGKRRRAAEGRGGAAAPGGEEEEAAVVVGALVGVGEGGVGGVDADEVVGGRGGGVRGGAPGGRGRAKPAVPRP >ORGLA03G0099100.1 pep chromosome:AGI1.1:3:7053631:7054008:1 gene:ORGLA03G0099100 transcript:ORGLA03G0099100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRCRKSCCLHWMNYLSPDLKCSNFTDDDDELTIKLHALLGNKWNTHIKRKLMSQGIDPQTHQPVSAGTSVAAASELTMTASTVGFPSLQAPAPE >ORGLA03G0099000.1 pep chromosome:AGI1.1:3:7045872:7047812:-1 gene:ORGLA03G0099000 transcript:ORGLA03G0099000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVKAMLAKPIQLADQVAKQAGWQCFRAECMDLRSRAEKLAALLRQAARAELYERPAARIMADTVRALNKAAGMAARCFQSHSRLRRFFTLNPVSGLPRTLAMLDTALEDVAWLLRISSPHADAGGGGDDEDYDLHGLPNIAQNEPILFLIWDHIARLHTGNLGARADAAHNLASLARDNPHFAKLIIEEDGVAPLVKLLKDGTDDGQEAAATALGLLARDEESVDKLLLAGVCSVFAAALKVPPMRVQAAVAESVAALAHHNQKCQDLLAQTNAVRHLVGHLAAGTIQEHSRYYVAWTGSRNMNMTSLHSVVLAKTLSVHQGGSGSPANEPPSSSEYPGSQQQAGKNQMQSVVQSAMAAKTTANGATVPPGCRHQLTPNGSSGRGSREAEDPATKAHMKAMAAKALWKLARGHVGVCKSITESRALLCFAKLLEQGDGGAGTDLQFYSAMAIMEITRVAEHNLALRQSAFKPSSPAAKAVVEQLLRIVCKGDDDDLLRPCITSLGCLSRTFTASETRVVRPLVELLDERELPVTKEAVVALTKFACTENHLHVSHCKAIVDGGGARHLVQLVYLGDQVQIEALILLCYIALHVPENEELAQAGVLAVLLWASKQPHMVQDLRVDALLPDAKGRLELFQSRASR >ORGLA03G0098900.1 pep chromosome:AGI1.1:3:7034927:7037544:1 gene:ORGLA03G0098900 transcript:ORGLA03G0098900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAADGSLANEKAPAETVGVGRYVEMEQDGGGPSTAKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLLGSWTAYLISILYVEYRTRKEREKVDFRNHVIQQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAVASLIHGQKNTCFLYEYQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLMATLYVLTLTLPSAASVYWAFGDELLTHSNALALLPRTAFRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMITFRSAHARENASWVHPCSGWTME >ORGLA03G0098800.1 pep chromosome:AGI1.1:3:7034033:7034257:1 gene:ORGLA03G0098800 transcript:ORGLA03G0098800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEGLAVGASPVPLTFSGQPARWAATRWGGGGGGGGGSRMGREEEGGGVIWKPGGGGGGGGGGVRVKGMHES >ORGLA03G0098700.1 pep chromosome:AGI1.1:3:7021481:7023728:1 gene:ORGLA03G0098700 transcript:ORGLA03G0098700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCPTHVALRLLALLFLLPAAWSATFTMTNNCGYTVWPGLLSGAGTAPLSTTGFALAHGASATVDAPASWSGRMWARTLCAEDATGKFTCATGDCGSGGIQCNGGGAAPPATLVEFTLDGSGGMDFFDVSLVDGYNLPMIIVPQGGGAAAPAGSGGGSGGKCMATGCLVDLNGACPADLRVMAASTGTGAAAPGGGPVACRSACEAFGSPQYCCSGAYGNPNTCRPSTYSQFFKNACPRAYSYAYDDSTSTFTCTAGTNYAITFCPSTTSGKYSGGENPQAAGVPSTNDTMVVLGAEQLSTASSAAAHAAPQLTLPLLPLVVVAALVAAMI >ORGLA03G0098600.1 pep chromosome:AGI1.1:3:7011014:7013526:1 gene:ORGLA03G0098600 transcript:ORGLA03G0098600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G75690) TAIR;Acc:AT1G75690] MATSSSPLTALYSSFLSYTPSTPSMAALPRRRRAGCRYPRIQAVDLDQNTIVAISVGVVSVAIGIGIPVFYETQIDNAAKRDNTQPCFPCSGSGAQVCRFCTGKGTVTVVIGGGETEVSNCVNCDGVGSLTCTTCQGSGIQPRYLDRREFKDDD >ORGLA03G0098500.1 pep chromosome:AGI1.1:3:6999339:7003340:1 gene:ORGLA03G0098500 transcript:ORGLA03G0098500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35987) TAIR;Acc:AT4G35987] MDSASPPSPPPAQASAAEASGASNASLRWRILRRALLARSASTSRAPEETSNDQQDKNDTNKISRKTSRGFDLIECHMLPISQSTKSHGDSSSRNDNIVECQNDVYVCYKLPCEGSPKLNLVYRREDSLELNDIVASNRYNIDTTGLVCCWPSEEVLAYYCINHSDMFRSKKVLELGSGYGLAGLAIAASTDADEVVISDGNPQVVGYIEQNISINTETFGQTKVNSMVLHWDVEQASEMNSSFDIIVASDCTFFKQFHQSLVRVVKSLLKPSETSQAIFLSPKRGDSLSKFIEVIKKNGLTCELIEKYDPTVWNMHKKYVSGDNRSWPNYNEEHCYPLLVRINSHSK >ORGLA03G0098400.1 pep chromosome:AGI1.1:3:6995986:6999003:1 gene:ORGLA03G0098400 transcript:ORGLA03G0098400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSLHAVRYLVLWMLLRCGRELVAAVPPGQSRNHGWYDYTAYTDCRGQPEPALYNGGILRFGNSNDPTGYRTTETGVFSPAFVVYNLNKTTMYTFSSWVKLEGASSALITARLAPDNAGARCIGTVLARNDCWAFLKGGFVLDWPTQTSVIFFQNADKTPMKITVASGSLQPFTPDQWSMHQKDTIRKRRKRMATIHVADQQGGRVVGASVSVRQTAKDFPFGSAIASTILGNQAYQKWFVDRFNAAVFEDELKWYSTEPMSGQLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPSWVKGLSPDDLRAAVNGRIQNLMTRYRGEFAHWDVNNEMLHYNFYEQRLGANASVEFFSVAQDADPLATLFMNEFNVIETCDDVSSTVDTYVAKLKDLRAGGAVLEGIGLEGHFLKPNIPLMRAVLDKLATLGLPIWFTEIDISNRYDAQTQAVYLEQVLREAYSHPAVTGVMLWTALHPNGCYQMCLTDWNLNNLPVGDVVDRLLQEWQTGQAAGPTDAHGAYSFSGFLGEYIVSVTYANSTSQATFSLSPGDETRHINIQI >ORGLA03G0098300.1 pep chromosome:AGI1.1:3:6988101:6993329:1 gene:ORGLA03G0098300 transcript:ORGLA03G0098300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFYELLLTAAASLLVAFLLARLLASAATASDPRRRAPDHAAVIAEEEAEAVVEEERIIEVDEVEVKSARAGECVVSEGWVEVGRASAAEGKLECLPEEEEAPAKAARELVLDAVLEEREEEGQVGEERCDLAAAVAEVVGVKPHELGVEAAPGEVFDVTLEEGKVQDVGVEQHDLVAEVAPTEALDTGLEKQGVPIIEAVEIKRPDYLGAEVAPSDVPEVEFEQQGVRIIEAIDVKQHHRVDLAAPAEVVDAGLEERVQAMEAGSSGLTSETVPEEVLDELSEKEEEQVIEEKEHQLAAETAPIAIPDVALTETEELKEEQSSEQAVNVHEEVQSKDEAKCKLHLVDQQEGLASKVELVGRNTDNVEISHGSSSGDKMIAELTEEELTLQGVPADETETDMEFGEWEGIERTEIEKRFGVAAAFASSDAGMAALSKLDSDVQLQLQGLLKVAIDGPCYDSTQPLTLRPSSRAKWAAWQKLGNMYPETAMERYMNLLSEAIPGWMGDNISGTKEHEAGDDAGGSVLTMTSNTINKHDSQGNEDNTGMYESHLTSSPNPEKGQSSDIPAE >ORGLA03G0098200.1 pep chromosome:AGI1.1:3:6957059:6958087:1 gene:ORGLA03G0098200 transcript:ORGLA03G0098200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRFVFMVAKGSRRGHEQFYLLRRINMSRFFYPDKVSPVPVPGIEEAPLPPTCARFCAGELINGHMDFMLLARDKVLAVEASGRTTIYDDSFRVVRSGPVLKAPLYWPISVPVDDSGVYVLDSKHCFQKLVHDNSSCEDWTCEALPTAPREVRGGSRRAYAVVGGNSIWISNDGDGTYAYDISRRSWAKHAEWALPFSGRAEYVSEHKLWFGLARNSTGNPMCACDLAAAAEQGSPPVQRNIWQQDVRPRKGWVPRYSNLLHLGSARFCIVRIFAKPSPETEFQSEWDGPKREEVFAVLTAVEVVRSGELGKGLRMVKHKSVRYSLGDGYCKVQPLMVY >ORGLA03G0098100.1 pep chromosome:AGI1.1:3:6952651:6956265:-1 gene:ORGLA03G0098100 transcript:ORGLA03G0098100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGETDDDKPEKLEALISAVNSSDSSHIVHVPPGENALSDVLISTPIFTGEEGGSGFAASAAAAAATGAAGFEFDVDPNVDPELALALRLSMEEERARQEAIAKKAAEESSGAENKDHASSSNTDSVMAEAEPASNAADDKKDQPKEDDDAQLLQQALAMSMEEGSSGAAAADAAMAEAAVDDQDLALALQMSVQDAGGSSQSDMSKVFEDRSFVTSILNSLPGVDPNDPSVKDLLASLHGQGEQEKKEDKSDKPEDEKK >ORGLA03G0098000.1 pep chromosome:AGI1.1:3:6948258:6949061:-1 gene:ORGLA03G0098000 transcript:ORGLA03G0098000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTIFFSQLMGFISIWCSLDNIEECMQKTQYVKYGEEVCFNGMLMLKASSSGLELGNCVWTIKGPRASMTYLPSSIFVSAHALDFDYSSLKGNDVILFSDFSSLNGMYDDNKKMGEHIVDETDILLASNSVFRDDGMDEDETIKFLCSNDDIAEEIERISFICSCIIDAINSGGSVLIPIGRIGIILLLLEHMSETLHSSNMKVICGPCNHIVDLLVNSYRAYTFCACM >ORGLA03G0097900.1 pep chromosome:AGI1.1:3:6938734:6940600:-1 gene:ORGLA03G0097900 transcript:ORGLA03G0097900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAYKMATEGMNVKEECQKWFMEMKWKKVHRFVVYKIDERSRAVLVDKVGGPGEGYEELVAALPTDDCRYAVFDFDFVTVDNCQKSKIFFIAWSPTASRIRAKILYATSKQGLRRVLDGVHYEVQATDSSEMGYDVIRGRAQ >ORGLA03G0097800.1 pep chromosome:AGI1.1:3:6932564:6934906:-1 gene:ORGLA03G0097800 transcript:ORGLA03G0097800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:subtilisin-like serine protease 2 [Source:Projected from Arabidopsis thaliana (AT4G34980) TAIR;Acc:AT4G34980] MAAAPHCLLLLLVLIPTLSRGVVGGSGAGGEARKTYIFRVDHSAKPSVFPSHAHWYSSAAFASGADGAPLEPLHVYDTVFHGFAASVPASRADALRRHPAVLAAFEDQVRTLHTTRSPQFLGLRARLGLWSLADYGSDVVVGVLDTGVWPERRSLSDRNLPPVPSRWRGGCDAGPGFPASSCNRKLVGARFFSQGHAAHYGLAATASNGSVEFMSPRDADGHGTHTATTAAGSVAYDASMEGYAPGVAKGVAPKARVAAYKVCWKGAGCLDSDILAGFDRAVADGVDVISVSIGGGNGVASPFYLDPIAIGAYGAVSRGVFVATSAGNEGPTAMSVTNLAPWLATVGAGTIDRNFPAEIVLGDGRRMSGVSLYSGKPLTNTMLPLFYPGRSGGLSASLCMENSIDPSVVSGKIVICDRGSSPRVAKGMVVKDAGGVAMVLANGAANGEGLVGDAHVLPACSVGENEGDTLKAYAANTTNPTATINFKGTVIGVKPAPVVASFSARGPNGLVPEILKPDFIAPGVNILAAWTGATGPTGLESDPRRTEFNILSGTSMACPHASGAAALLRSAHPGWSPAAVRSALMTTAVATDNRGEAVGDEAEPGRVATPFDYGAGHINLGKALDPGLVYDIGDDDYVAFMCSIGYEANAIEVITHKPVACPATSRNPSGSDLNYPSISVVFYGGNQSKTVIRTATNVGAAASATYKPRVEMASSAVSVTIKPEKLVFSPTSKTQRFAVTVASSSSSPPASAPVYGHLVWSDGGGHDVRSPIVVTWLQSM >ORGLA03G0097700.1 pep chromosome:AGI1.1:3:6905914:6906600:-1 gene:ORGLA03G0097700 transcript:ORGLA03G0097700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVLLDRRSSGSFEFPRRGGGFRPGHLGGGAMPAMAPRQQYKGGAWSHASLPALPYARPPLYSSPSLPLLPSNQPPLLPLPPAATKYATFPYPPPPQQPPRSGRASTPSTRQRDRRRKPSRPPPSTETTKGGTQKKKPLERATPLPPAPAVAEALDDLEQEVARNFVQDLLHVLAPPPSSLPLPRFVITSSSSSPAAGNKVVPPPPPAPSCNAEAAAADSLRRVLRL >ORGLA03G0097600.1 pep chromosome:AGI1.1:3:6899975:6902879:1 gene:ORGLA03G0097600 transcript:ORGLA03G0097600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKDSGGRSSGGGGGGWLEQNGVGPFGQVRVLVVGDSVLQMYTLSLFHFTFSGVGKSSLVHLILKGSAIARPAQTVGCAVGVKHITYGSAGGSSNNIISDVQRNFFVELWDVSGHERYRTCRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLGSGGPGGLPVPYLVIANKVDLVPRDGSRVSSGSLVDFARQWVEKQGLLPSSEELPLTDSFPGNSGLLSAAKEARYDKEAVIKFFRMLIRRRFFSNEPAAPSPWSLTPREDSILPVETLKDEVDSFQRKSGEDFMYKGVTPLPAQRNLASPPDLSPQQPVFSLDNYRYHRYSSPSLPDVSSSRTSREDIDV >ORGLA03G0097500.1 pep chromosome:AGI1.1:3:6891623:6898462:1 gene:ORGLA03G0097500 transcript:ORGLA03G0097500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RADIATION SENSITIVE 17 [Source:Projected from Arabidopsis thaliana (AT5G66130) TAIR;Acc:AT5G66130] MGKRPPVVVLSSSSDEDEGGGRRAATRGPSARRARTPATAPAPAHAASGPRKKPRRVSSAERGRRRATGAAPSGSQKVEDVKKWLEEKLRAPKGTFGGWTLVLTGQAGVGKSATIKAIAAELGVEICEWTAPVPTLWTEHLHANSGLGYISKLEEFENFVEKIRKYSLLSPTNFGSQRKHTIILIDDIPVTSGKVSFARLGKCLTGLIQSTQVPTVISLTQYHKSENNDTAMWNSEDLESLLQSAGAHKISFNPVTVNSIKKILVRICKQEGSDLTDDLVHQIATSSGGDIRHAIMSLQYYCLNPRRLNSALARTAILPGLKSGGSLVPGQDSYGCSSVIPTACGRDETLTLFHALGKFLHNKRETYSEVDVAVDVDSFPMKEKLRRNPLKMDIPEKVLSQAHGKVRTVADFLHENVLDFIDNDAIDDAWSVASYLSEADCLLAGSPISSTRWMVNESYEAENMTQLIAASVAARGILFGNAHVSSSRWHTIRSPRVWQIEQSFRSRKDLILRERYDCSSTSGSRNFSDVVTEFKPFERWISPHNDMPRSNSFNHNIEASSSEEDGDEIEDW >ORGLA03G0097400.1 pep chromosome:AGI1.1:3:6886007:6889278:-1 gene:ORGLA03G0097400 transcript:ORGLA03G0097400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQSLYPEVNQSHPDLNTAFLANPNRAATASPGGSLYPSVDPQQLAENLFPDAADDDAPPPPTTEEALVAVPGAQLHLVDPDRSMDLGAGTLSVVRLRQGDHSVAVLARLVPEKRSQRRGGLFGLLSGGGKAGDGAAQEPVQWPLTRDVAAVKLDTAHYFFSLHVPHTDHEDDDAEGAEAEKDADGEAALSYGLTVASKGQEAVLAQLDKVLEEYTTFSVKQVEPAAKEKSEVMDTKAVTEITPEEAVGDKKEVVEEQSAAFWTTIAPNVDDYSSSVARLIARGSGQLVRGIIWCGDITAEGLRCGEAVVKKSVGPSGKPSQVKPSTIRRMKRARRVTKMSNRVANSILSGVLKVSGFVTSTVLNSKPAQKFFKLMPGEVILASLDGFGKVWDAVEVSGKNVMRTSSVVTTSVVTHRYGDQAGQVTQDYLHASGNALGVAWAVFKIRKALDPKGNLKKSSLASAAAHAVAKESISRQRRK >ORGLA03G0097300.1 pep chromosome:AGI1.1:3:6881586:6884898:-1 gene:ORGLA03G0097300 transcript:ORGLA03G0097300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49142) TAIR;Acc:AT3G49142] MNPPRSLFPELPPAGHALLRLVDSCRAPAHLRSLRAAHARLLFLLRLPSHPASAAVRVKLIQAYAACAALPAARAVLDASPDRTTVFFNVLLRGLTAASLHRDALLLFASMRPQGHACFPDHYTYPLALKSCAATDGLVLGRQIHSSTARLGLDGNVFVAHSAISMYARCGRPDDAYQMFEEMQYRDVVSWNAMISGFAHAGLFGRAMDVFRELVALQCPKPDAGTMASILPSMGKARVEDIALLKGVFDEMRFKGLISWNAMLAVYTNNEMHVEAVELFMRMQKDGIEPDAVTLATVLPSCGEVSALSLGKRIHEVIKRRRMCSSMLLENALMDMYANCGCLKEARDVFDSMGTRDVVSWTSIISAYGRHGHGREAIDLFEKMCGQGLEPDSIAFVAILAACSHAGLLDMGKHYFYSMTSEFHIAPKLEHYACMVDLLGRAGCIREAYDFIMVMPIKPNERVWGALLGACRIHSNMDIGLLAADSLLRLAPKQTGYYVLLSNIYARAGRWADVSMVRSVMESKGIKKLPGVSNAELGDRVHTFHIGDTSHPQSKMIYKKLSELLRRIREMGYNPEVEATLHDVEEEDKEGHLSVHSEKLAIAFLLINTNPGTPIRITMNLRTCSDCHHAAKLISTIAGREIILKDVNRIHYMKCNIHMQLPSQKERRQTRSTNLRDWYKIALSKDEMLEEELLQVACITDSQHGYYLDNQQWRTIILANKLRVMEGKNYVNSSYYTSFILSQIQANFQKDICLILGHQQGAVVLIMQFGLSFDRAVRHLALHFSNERHHERYGDEDRMECTNSGKWRWR >ORGLA03G0097200.1 pep chromosome:AGI1.1:3:6869032:6872156:-1 gene:ORGLA03G0097200 transcript:ORGLA03G0097200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEHGDSVGRCILVGLHMDGVGKELLQWALNEAARSGDRVVAVHIYRKSDNCKTNTLSLIRTLDDYLAEYEALCSKKDIVLVGRVTPGSSIQKVLVKEAKLCAAMVVVIGANKKYSFGGSTCLAKYCAKKLPATTTIVAIQNGKAIFVREAPKPPLGAEPKPVLRTVLHPSVGLEPKVIIPNPNRSARSMDFDAMGCGHDGAAPVSSYDDATKVGGGGERTAEQRLGWPLLRRPLPAADGAVQPPPKDDGPRKQSVVQWVMSLPRRSSPSTSPEPQAGLVAELKRMLDAVPSRCRWFRYEELYDSTNHFSSENLIGKGAHSRVYRGSLASAQPVAIKLSKASAVASNDFLREVDIITKLRHHRIVPLIGVCVEGPNLISVYSYLHRGSLEDNLHGKRSKPALSWEKRYTAAIGVAEALSYVHSGHSRPVIHRDVKSSNILLNDEFEPQLSDFGLAIWAPSNPGSLTHSDVVGTFGYLAPEYFMYGKVTDKVDVYAFGVVLLELLTGRKPISDGSPKGQESLVMWASPILDSGDISDLLDADLDVKHDDAEVKRMALAASLCLRRSARLRPKISQVLSVLRGESEVSLDDLKAEPADCVDDETYPAANVRTHLGLALLDVEDAESISSTEHSNLSPLEEYLRERCSRSSSFD >ORGLA03G0097100.1 pep chromosome:AGI1.1:3:6866082:6868490:1 gene:ORGLA03G0097100 transcript:ORGLA03G0097100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYVRNPVVAVAAAGAQATTKRLLWWLKLQKEREKAEKKKEKKSDRKALPHGEISKHSKRTHKKRKHEDINNADQKSRKVSSMEPGEQLEKSGLSEEHGAPCFTQTVHGSPESSQDSSKRRKVVLPSPSQAKNGNILRIKIRRDQDSSASLSEKSNVVQTPVHQMGSVSSLPSKKNSMQPHNTEMMVRTASTQQQSIKGDFQAVPKQGMPTPEKVMPRVDVPPSMRASKERVGLRPAEMLANVGPSPSKAKQIVNPAVAKVTQRVDPPPAKASQRIDPLLPSKVHIDATRSFTKVSQTEIKPEVQPPIPKVPVAMPTINRQQIDTLQPKEEPCSSGRNAEAASVSVEKQSKSDRKKSRKAEKKEKKFKDLFVTWDPPSMEMDDMDLGDQDWLLGSTRKPDAGIGNCREIVDPLTSQSAEQFSLQPRAIHLPDLHVYQLPYVVPF >ORGLA03G0097000.1 pep chromosome:AGI1.1:3:6861994:6863352:1 gene:ORGLA03G0097000 transcript:ORGLA03G0097000.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSQEVVNPKAYPLADAQLTMTILDLVQQASNYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKTPIQNLKDAIEKLLI >ORGLA03G0096900.1 pep chromosome:AGI1.1:3:6855576:6860603:1 gene:ORGLA03G0096900 transcript:ORGLA03G0096900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRSAPARQWAAWTRQEEQNFFNALRQVGKNFEKITLRVQSKSKDQVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTIAAMLCWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKTRKKCPRGDVCLSSSSSAVNRTPGNESFSVKLLAVDVSNGSKVGSSKGSFFKKVTEPNCSNKSGATKGDLSATRTVKQKRRAGGVVASAAYKKWERAAMAGVSLVADAAEELERNTVNADARMLSPSSSNACTVDGLGTNHIKEADQQAPAKLKLQLFPINEATRKALEKDEHNPHLELTLSARKKISSVLEHLNRKWGNSNIASGELLLFPYCAHQEDLATYQRWTTKDTVAVADVFLSVNSPSVFRLRYGWFSLAELEAGVSEISLTHFENCLIPEDIHAKSPSEACVQKDGNSLSSCAPEQHPCGSKDQSALLLAMPSSTGKSAQVPEQCIDVLPSQFGRQNQDQVTTNQVFEVDQGMDCAAVSEGEWADTLTDISVGYLLTEASRGANTDCPGTSVVKNTLLLENPCSYDSFDAAVALHASRYKSAEQPALASHSTIWGAEETCDAFSFNLPASRKREGSNNSASSSPDSDSDVHPSNSQGFQCFLQDLAGAAVAHNPCIDDAKDIESLCAESPPRSDHDSAPKDQSLADLYWPDSLGPLDLDIPSATYHADDLLLGDSQNSWNRMMANSLDAFRNLSFFTADKNDSIPSIM >ORGLA03G0096800.1 pep chromosome:AGI1.1:3:6851056:6851442:-1 gene:ORGLA03G0096800 transcript:ORGLA03G0096800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGNGDAAAVNHLTSFVSCIHGRRARRRRSGDGGSGARRSDGGGSVRRSGARGEGMGGEMGLPFSDWAEKSCLFLHSGGEGKTSTICRAAPLPVTAAAAAAAVWLSTSPPALFPTGAASPAYLW >ORGLA03G0096700.1 pep chromosome:AGI1.1:3:6847338:6850968:-1 gene:ORGLA03G0096700 transcript:ORGLA03G0096700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQDELLGLADDPDADPVFKNDALDMDVLYRSGETHQGIPTYCPRLVSVGSRGSLGSLSSSGNLSQTSASADQLNVATWSGSVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGASNSQKSVEDKDLIDCLENSVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTPVLLYCVRDPMTLGSSRRNQRESIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSYMSSYLYIQDEKPFHASAVCAAAIHSITVPFRLQQTGPSSDLAHSSGNLDIGELLHILSDQGRQNMVTALDVAMPAPSLTDRDAMGNIEMKLHSLTPEISDEDEDPYSVESLVVHGALDKGGQRTSISQVKDSVCSVYEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHAEESQPKGSLDIESIPMAARLRSSSAVLPFIERRSGSLQKHGVARGAIGSLVLRDWGFGREEVEDMAEHLAKLLGPFHPEMDLTSDSD >ORGLA03G0096600.1 pep chromosome:AGI1.1:3:6843346:6846049:1 gene:ORGLA03G0096600 transcript:ORGLA03G0096600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGAMVECEDNWNCQLDNITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGGAPPVRR >ORGLA03G0096500.1 pep chromosome:AGI1.1:3:6840442:6842863:-1 gene:ORGLA03G0096500 transcript:ORGLA03G0096500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAAEAAVAVMLTLPAPRAVRKQIVGLTSMLLQPFAGILPFAAFQLLDIYWKNEHRLMCTSEICTADERIRFEKSIFKAQRNVILCVSACLLYWCIFRICKYNKDIKALEETEKRLKEE >ORGLA03G0096400.1 pep chromosome:AGI1.1:3:6833969:6835306:-1 gene:ORGLA03G0096400 transcript:ORGLA03G0096400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1P9B8] MALLARRARKAVMAKAPAPLLQKRGGGAAAELAIPAHFRCPISLDLMRDPVTAPTGITYDREGIEAWLDTGRAVCPVTHAPLRHEDLVPNHAIRRVIQDWCVANRSRGVERIPTPKIPVTPVQASELLFDVAESAARRGAAGRAAGAVARVRALARDSERNRRCFVSVGTGRVLAAAFESLAAAGEAGVLEDVLAALVCMMPLDEEAARVLASSSSMGSLVAIAKHGSLAGRLNAVLAIKEAVSRDGAFVDLADDKVDKVVDALVVIIKAPICPQATKAAMVATYHLASSDERVAARVASTGLVPTLIEALVDADKSVSEKALAVLDAMLASEEGRASARGHALAMPALVKKMFRVSDVATELAVSAMWRLGCKASSGDEEAAATGCLVEALRVGAFQKLLLLLQVGCRDATKEKATELLKMLNKHKGLGECVDAVDFRGLNRLS >ORGLA03G0096300.1 pep chromosome:AGI1.1:3:6827601:6831098:-1 gene:ORGLA03G0096300 transcript:ORGLA03G0096300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast [Source:UniProtKB/TrEMBL;Acc:I1P9B7] MSAAPIPREWVGLQQFPAATQTKLHELLGKLKEENVSTLTILVMGKGGVGKSSTVNSIVGERVATVSAFQSEGLRPMMCSRTRAGFTLNIIDTPGLIEGGYINEQAVEIIKRFLLGKTIDVLLYVDRLDAYRMDTLDDQVIRAVTNSFGKAIWRRTLVVLTHAQLSPPDGLDYNDFFTKRSESLLRYIRAGAGVSKRELGDFPLPIALVENSGRCKTNENGEKVLPDGTPWIPNLMKEITTVVSNGSKSIHVDQKLIDGPNPNNRWKMFIPLILMVEYFLVVKGIRRAIHADISNGKLDDWEQRYRDLVGSKDPVDQKGSSSGNRKA >ORGLA03G0096200.1 pep chromosome:AGI1.1:3:6824097:6826727:1 gene:ORGLA03G0096200 transcript:ORGLA03G0096200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSDDWRFLFPVSSVFAPPSLATSSAAAASYGPLLFSPLPPHATLLALPSPFQPPHPSRRGLRHLLRHFVRSTSFLPFADLDPLSGALLTAPSPPFPAPSNLLAVLRAPSSSRSLVVFFPSGENAEQVSYVTLDPVADPTTPLSHSVQSDGFMHPRHRIQQLATTASWSSWPSRSRDSSIEGFLLAATLYSVNWFKVESRGSGSPALVPAAKQAFDAAVVHACWSKHLQSECVVLLENGQLCWFDLDTRRGGKMKVGFGSKDDLGDWLSCEYGAQPWTVIVASTAAILLVDMRFGDHGEYKVLARVGMEGLFETDPFVKTQCYLAFCKAPFDDFLISVVTERHLMVFDIRRPLIPVLAWQHGLDNPNHIAMFRLSELRPSKEHEWASNSGFAILVGSLWSTEFNLFFCGPKEQDATENAPLYAWDLPSRISLIGQHCSCSIGLMEEVFKGVVPGHGSASQLIRNYIIGYHVLPNTMLESSFTGFALIRLTSSGKLEMQRFRASGDLHDDAICDESQHKSVGSSSSISLDTHGENFSERYEFLKLHYLSKFLKGNLRSSLENHDSDVNKRSRHIVISEDVSVFAKDNSASCSQSVSDFLCNASVPMNIFEIACQSILSRLSSDILLVAFSKYKDMLASTNKKRIYEYLEVPACFPNSNKLRPYLLAKSSSISWNLTSKAKSGNSLVGPVLPIPVLLAMEDSNKGIDSPSREDSSSVSHRCREVIEAFVPEISIANTDNCNGWSASQEVKDDKPYFVYEPQTDRPTLDEAARKKDKQTQKLDDPSCLHAPTAPPMDENFMTFVCGRAGIPHSGPEQAASNLFDFSPVRMKFESPAIDIQPAEEKVYKCLKKQFLAWQNDFKPYQDFYNSYQIQKPPQ >ORGLA03G0096100.1 pep chromosome:AGI1.1:3:6813562:6816305:-1 gene:ORGLA03G0096100 transcript:ORGLA03G0096100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGQRRIWTPSPATSLPKRQCPDREPSAEGPIVSLPPARTYLQPATTGGAEASSCRCCSRGSAVERDGSGRIRPISSPPAWIPSSLPAPLRADQPSTAMGGADRRTSSLPHISRLLSTRLSRGSVGGGDGRGGSGDLFPSRTDPIVSSRRRRQEGRKRQPPHCCQFRPLPHRRCLLSLPYRKIGYGTASEALACKLPFIFVRRDYFNEEPFLRILLEHYQSSIEMTRREFLNGYWKLYLLRALTLEPCYDGPTNGGEAWRLKLPRILEAVWACGLVDVWKVYVLPLAYWII >ORGLA03G0096000.1 pep chromosome:AGI1.1:3:6797999:6798741:1 gene:ORGLA03G0096000 transcript:ORGLA03G0096000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRGGAAAVAAAVQGRGGATSRRSGGGGSGMRRRSSGSRCNGDGRLRTGGVEAQRRQAGGEASADPAAAPHPTRMVLATAARIRRGCGRCGRGSGYGGVLLTWFIHCPPNNDRRAADGVYRDDEEGSDVSLMTRSAEMGLAPSPVSMDYGCHLGGRIRGGGNHGGGDSSPPSTAVPSIPLTSPPVYGGIHLVRRR >ORGLA03G0095900.1 pep chromosome:AGI1.1:3:6787470:6787904:-1 gene:ORGLA03G0095900 transcript:ORGLA03G0095900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNETYFPPLLHHIPPAATHVEAIREAHMLFFPALDDLFAKIGVPLSSVGVVVVNCSGFCATPSLSAIITNHYGMPGDVKTCNLSGMGCAAGAIGVNVAANLLRTHAMSYVVIVRSSPTNATATRGSEREGKEGKRGKRDDVAF >ORGLA03G0095800.1 pep chromosome:AGI1.1:3:6774594:6779423:-1 gene:ORGLA03G0095800 transcript:ORGLA03G0095800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEVATRSKSQKSSATQNEQSTPTNPPTAYPDWSQFQAYYNPAGTAPMTPPGFFHPNVAPSPQGHPYMWGPQMMPPYGTPPPYAAMYAQGTPYQQAPMLPGSHPYNPYPGQSPNGTVQTPTSAGGTETDKSGKSKRKTPLKRSKGSLGNLDVVATKNKKAPAKPSASSSNEGSSHSESGSGSSSEGSSTNSKSGSRTKDGSEHGQGNDASNKGATAQSSAVEPVQASTGPVVLNPMMPYWPVPPPMAGPATGVNMGMDYWGTPTSVPMHNKVIAAPASAPSSNSRDVVLSDPAIQDERELKRQKRKQSNRESARRSRLRKQAEWEEVANRADLLKQENSSLKEELKQLQEKCNSLTSENTTLHEKLKELEGEKSNGNWYKE >ORGLA03G0095700.1 pep chromosome:AGI1.1:3:6769337:6770053:-1 gene:ORGLA03G0095700 transcript:ORGLA03G0095700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSQEAGEEVSEISSQATSNNNETCNSSSGKVSLDLSLTVAAAAAAAASATESSTTDSGGTRPAATATATAREPARVFTCNYCQRKFFSSQALGGHQNAHRRERTLARRAVRLDAFPYGYADVASLPLYGAGLYPIGIQAHASVHHHHPGVAAPAGRAELRSARALLGPMPFFVQAAGDEDASFGWPGSFRPPPTAATTIPAAAPAAVNSGSSNSNHGGSVVVQAAADEPDLTLRL >ORGLA03G0095600.1 pep chromosome:AGI1.1:3:6763678:6767384:1 gene:ORGLA03G0095600 transcript:ORGLA03G0095600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1423) [Source:Projected from Arabidopsis thaliana (AT1G14740) TAIR;Acc:AT1G14740] MFGDSDGSKDANPGAPPSTTDPPFPNRELTLSSYLCEKPTLASAAGGGGAGAGPSSPPNPAAAAAGDDGKHCVERDFLHLSAPKRGDPPGDDSSVVGGKKPRLDSLQLSLSLNSDGPAAPPSSQPPLASLLQPVPATDGDLRGAAAAAAAAAAVPAAPARRTYSATTARTHSINSDDMSYSYSIFSHNPSCSLTHNSTDIYAAGEGTNGSVHSRFNFRPMGDGSVAFATPPLKEGTSSFFPTELPARMAAAAAAAAASAGGSFDGGRGGLHASRPDKILRDIVSDSVAAMAQVLQDFPSERLELLREAVRGMIDSHEKRDELASLQRKLERRSDLTTETLGRANRTQLEILVAIKTGIATFVTGKGRVPSSELVEMFLMTRCRNLNCKSALPVDDCDCKICSTKKGFCSACTCSVCHKFDCAANTCTWVGCDVCGHWCHVACALERNLIRPGPTLKGPIGTTEMQFQCLACNHSSEMFGFVKEVFNCCAENWNAETLMKELDFVRKIFAGCEDFEGKGLHAKAEEVLSLLGKKIISPLDATNSILQFFKYGVTDYSVTGSTSKAILAAQASQSTDMRSLQTPTITPPKSSFNFKTTTSILDTDALKPSPKPLSIEPHFSTSSKEDDSSLETIVKCKEAEAKLFQKLADDARKEVDSYCQIVRSRTQKLEEEYAAKLVKVCFQETEEKRRKKLEELKMLENSHYDYLKMKMRMQTDIQGLLERMEATKKMWV >ORGLA03G0095500.1 pep chromosome:AGI1.1:3:6761840:6763113:1 gene:ORGLA03G0095500 transcript:ORGLA03G0095500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGKASKTSCSNCVQADITQMKRLNLNPSDEIPSDVPCSRRGGEASPSVDMGAPAGATFLTQTCAVDMVYTHARPGENRGEGDATVTARRPVAEQVVVSARWLSRRSRPTMRSGRKKRRPRRCWRGGHRTRRREGIILDSCYFEGSQYGLIPLVFSWQICWAWPINMVKARSEESCQAGAEIKRCPEEDTGHGCQLPTDGLDQRLVREKIFWAQAATAGSRPDGVDVEQERQVHRARRAAAPRCPNPMSDHHSVFLFIRSCMPQTRRLRLFLLSDPDNVKRVYRTSSTSGLYRSRRRSSPRASAPITRVVTALAH >ORGLA03G0095400.1 pep chromosome:AGI1.1:3:6755783:6758691:-1 gene:ORGLA03G0095400 transcript:ORGLA03G0095400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1P9A8] LAMARCSLLPILAAVLLAASLSLPPRAAAYAAMVDSLLPASATALSFEEGYTQLFGDSNLMLHGDGKRVHISLDERTGAGFASQGAYHHGFFSASIKLPADHTAGVVVAFYVTNGDVYERTHDELDFEFLGNVRGREWRVQTNVYGNGSTAAGREERYGLWFDPTQDFHRYAIRWSHDTIIFYVDETPIREVVRTASMGAQFPSKPMSLYATIWDGSSWATSGGRYKVNYKYAPYVAEFTDLLLHGCPAGSPPPCEGAAAAATMPPEQRSAMERFRARHMTYGYCYDRVRYPAPLPECSVGAEAEAFLPSGEARSTDRRGGRHGKRHRRAGGGVDSAL >ORGLA03G0095300.1 pep chromosome:AGI1.1:3:6745736:6750839:-1 gene:ORGLA03G0095300 transcript:ORGLA03G0095300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVIPSSAPGAAAAGAAAVESAGWVVDERDGFISWLRGEFAAANAIIDLLLLHLRSVGEPGEFEHVAAAVQQRRHHWAPVIHMQQFFPVGDVAYALQQAGWRRRAPPHHQQQGPGASPSPPPPPPRGRPSFSASHSHHRHGGHHHRSDSVRGGGTGATAGSDKDGREVHNKEEKGMKEAENVVEAKSSQLESLVSHEGEKTPRPQAVAEGSSKVVPTPVEYTVNDIIDGKTVNAVEGLKVYEGLVNENEKNKILSLLNETKASFRRGGLEAGQTVIIGKRPMKGHGREIIQLGIPIVEGPPEDDYPRETKVEAVPGLLHDLFDRLCQKEIIPTKPDYCVIDYYNEGDYSHPHQSPPWYGRPFCTFCLTDCDMVFGRVISGERGDHRGPLKLSLSTGSLLVLHGKSADVAKRAIPAACKQRILLSFGKSLSRKQVPSESVSRFTTPLTPPPMPWGPPRPANMARHSSSPKHFGYAPNSGVLPAPAIGAHHIPPSDGMQPLFVAPAPVAAAAMPFPSPVPLPNSTTAWMAEAAPRSAPQRLPVPGTGVFLPPGSGHALPHQMMTASQFPAEPISSTDSSAYVHNKSTSTMGEMANGDVSPKSSPAKQSDAVEQKPECNGSSNGGSSLVDKKSAVSKEQQNGGMKKVGSNKVQPNAAK >ORGLA03G0095200.1 pep chromosome:AGI1.1:3:6742308:6744819:-1 gene:ORGLA03G0095200 transcript:ORGLA03G0095200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68080) TAIR;Acc:AT1G68080] MSAAAASPPPPAAAGEHPRFHLRGFLSPETCKELEFVHRSCGTAGYRPSVVSTSLPHLAATGCGHLLLPFVPVRERLRDAVESAFSCHFDLFIEFTGLISWCKGASIGWHSDDNKPYLRQRAFTAVCYLNDHGKDYKGGILQFQDGEPSFITPVAGDVVIYTADNSNTHCVDEVTEGERLTLTLWFTRDSAYDEDPKLLSFLSQTSLNYEPVHQSSYIPLPASDIMYWFAYDHSGFDIRCARVHILGFSFHTSIDEDNKSVAPAEDDPIELLGKPVRLGRGDDVFDKVFANGLHALQVSTYFHFLSSFSLQIMSIRLQ >ORGLA03G0095100.1 pep chromosome:AGI1.1:3:6738577:6740847:1 gene:ORGLA03G0095100 transcript:ORGLA03G0095100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1P9A5] MARRSSSRACAAMATAAVFALLAATATASGLVRVEHPAKSDGSLSLLVVGDWGRKGTYNQSRVAEQMGKVGEKLNIDLVISTGDNFYEDGLTGVDDQAFEESFTDIYTAKSLQKPWYLVLGNHDYRGDVLAQLSPVLRKIDQRFICMRSFIVNAEIVDFFFIDTTPFQLKYWTRPKDHHYDWRGVAPRQKYITNLLKDMDEAMKKSTAKWKIAVGHHTIRSVSDHGDTKELLQLLLPVLKVNGIDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVLQPNSDKLQFFYDGQGFMSLQINQDQADFIFYDVSGNILYKWSKSKANYLQPSTYITEA >ORGLA03G0095000.1 pep chromosome:AGI1.1:3:6721520:6724427:-1 gene:ORGLA03G0095000 transcript:ORGLA03G0095000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENQRQAEVLWPRLVANKLFRKPSGSHAFVADFPMAVDDDFDGEAVPAAVESFDDDGCSPDADACRSVKRPRPRPQQRASNKTLKYRLFASTWNVGGVAPPDDLDLSDWLDTRNAAYDIYVLGFQEVVPLSARNVLGADKKRVGMRWNELVRAALNRSSPSAPNSSRDQREAKGTGGGAAAAAAGGGEIKQQAAQQKVHPVRDGIGGGGGELACRDYRCVVSKQMVGILLTVWVRADLARFVRRASVSCVGCGVMGCLGNKGAVSVRFWLHDTSFCVACCHLASGGRDGDEAHRNADATEILSRTTFPRGHSLNLPQKILDHDRVILLGDLNYRISLPEAKTRLLVERQDWKTLLENDQLRSEVESEGGAFHGWNEGAIAFSPTYKYYPNSDTYYGCASHGRKGEKRRAPAWCDRILWRGAGLKQKRYDRCESRLSDHRPVRALFEVEVGAPRRNLNSLRSFFLSERFDGGRSAAADLLREDGTASSARFGDTI >ORGLA03G0094900.1 pep chromosome:AGI1.1:3:6713742:6714209:-1 gene:ORGLA03G0094900 transcript:ORGLA03G0094900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLGEFGDMMVSMLPKNLAFTVFVPSPESFRRVLKLQRPNDSATNGNGADDDATYAVVSRVLGFSAVPRRLRAADVAPPRHRQQMVAVAPVLESVSGLRISAWRRDVDGALVVNGVPSECVDIVKERDIIVHVMAGVLMDAEFERSFSSEFDN >ORGLA03G0094800.1 pep chromosome:AGI1.1:3:6710890:6713080:-1 gene:ORGLA03G0094800 transcript:ORGLA03G0094800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin J18 [Source:Projected from Arabidopsis thaliana (AT2G01905) TAIR;Acc:AT2G01905] MDEDRAVEAAASAWPGPSRRRRLIEFLLHASTRLDLRPVVKYTALSFFTDRLLPSLPRKMGFCGARGGRAVTSWLLEPLRYSNLELFALVAVWIASKIHELKPLSVKSLKALGDRIIADQHFTCRDFANAELVFMEVVEYNIGSLNIAFTYLEELLVQFREISKIGDLLNMDVCMEILDILYETEDSSWLFNSPCQLAASALVTAYAISVPKQRWEFPILPWVTFTTSYDEEEIMKVVLTILMHVLKPDEMKGKGERDFNI >ORGLA03G0094700.1 pep chromosome:AGI1.1:3:6704840:6706628:1 gene:ORGLA03G0094700 transcript:ORGLA03G0094700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRYSADLLQSEKTKFSRNNKVIFSKNSHLQEVLAPRMLALHQIQEVRLKTIVTRHAPCDAEVNLNRLAKAMGFRKRIANLACVVYGREVSSLSAKKEFRHFFSWSIASTLISAMLYRWTRSERTNVSSAVLDAPLRLRLREQLANNTIPSDSD >ORGLA03G0094600.1 pep chromosome:AGI1.1:3:6698713:6703834:-1 gene:ORGLA03G0094600 transcript:ORGLA03G0094600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVLAGYGMDKAVRSSVSFETPCGRLLRELEQIWTEIGEREEDKDRMFLELETECMRVYRRKVDSANAERSQLRQSLMAKEAELKVLVASIGEITPKFKVDEKQSLKEQLAKVTPLLEDLRSKKEERIKQFSLVQSQIEKIKAQISDHNNQHDNGPVNHSKDNHDLSTRRLSDLQAELRNLQKEKSDRLQKVFIYVDEVHCLCSVLGMDFAKTVKDVHPSLHGANSENSTNISDSTLEGLTETILKLKAEKRTRVSKLQEIVGKLHKLWNLMESTEQERRHFTRVAAVLGSTEEEITSSSVLSLETIQETEEEVERLTKQKASRMKELVLKKRLELEDICSNAHMEPDMSTAPEKITALIDSGLVDPCELLSSIETQIAKAREESLTRKDIMEKVDRWLSACDEETWLEEYNQDSSRYSAGRGAHINLKRAEKARILVQKIPSMIDNLIAKTFAWEDERSVPFLYDGARLVAILEEQKLRRVQKEEDKRRHRDQKKLQSLLLKEKELIFGSKPSPKKTSSFNRRTSSHHPNGNGAGFMTPAPRRVSAGSATPELLTPRSYSGRYNNYFKENRRLAAAPLNFSTVSKEDSMSSFASISGSEPDSPLVLH >ORGLA03G0094500.1 pep chromosome:AGI1.1:3:6694492:6695148:1 gene:ORGLA03G0094500 transcript:ORGLA03G0094500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQLLEPSILPTYKAAPRDPSSSSHSPRQLAAAAAAAMFGVVFPDHSFPLDATAFAQVAPNSWALDLSTLSLAAAPRSAVVFLLPVAAAALPPGKAVAVYFQPAANRPFAFLGALGPGRPSASLPLPEAGDEPEPPLGPAKLGVAVEDAAALPPPPDGQRAERVALRVGENLFNFMQSFCGADGGKLVVPTDILDRWFRKFQERAKKDPSYLKTFDF >ORGLA03G0094400.1 pep chromosome:AGI1.1:3:6684146:6684886:1 gene:ORGLA03G0094400 transcript:ORGLA03G0094400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTTSYSTTSAEGEIQPNQIGTIIVIDNLVYRDHPSLKLRGVPKDVRSHDIERVRNKVIENIFQEQLSTRVGCGQVHRIIENDLDKCRVVTPNLPTKTDDLSHSTGHGWTTREKVVNG >ORGLA03G0094300.1 pep chromosome:AGI1.1:3:6672967:6673384:-1 gene:ORGLA03G0094300 transcript:ORGLA03G0094300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDPSPAIAGRLDSSPATAGTTASGLGQGGDNNGGGSDDDSGDGGGGSRWIRII >ORGLA03G0094200.1 pep chromosome:AGI1.1:3:6656818:6660674:-1 gene:ORGLA03G0094200 transcript:ORGLA03G0094200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKSHHQMLASSSTSSSSPSSQQQQPPPPASNSSSLAAAAADQPSPAKRKRRPPGTPDPDAEVVALSPRTLLESDRYVCEICGQGFQREQNLQMHRRRHKVPWRLVKRPAAATAAEDGGAAGGGGGAGGGAGGGGARKRVFVCPEPSCLHHDPAHALGDLVGIKKHFRRKHGGRRQWVCARCAKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNSGRVCGEVVPVATTLPVIRPAALRHHHHHPPPPPPELQLLPASTTAPLAAAFSSNSTTTGSSSHEQHATTMTTTKLQLSIGPAAVVAAASGGGGACAAAAGGEEEEQQREEVRRALEEKTAADAARERAREEAAAAERALEDARRARHRARGELEKALALRDHAARLIAQVTCHACRQRSLAVMSMAAVDGHGASAVAREHLRGGGVGAGI >ORGLA03G0094100.1 pep chromosome:AGI1.1:3:6648781:6651000:1 gene:ORGLA03G0094100 transcript:ORGLA03G0094100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGAGAKGAGFGMPRVGMGTAVQGPRPEPIRRAVLKAIEAGYRHFDTAAHYETEAPIGEAAAEAVRSGAIASRADLFITSKLWCSDAHRDRVLPALRQTLRNLQMEYVDLYLVHWPVSMKPGRYKAPFTADDFVPFDMRAVWEAMEECHQLGLAKAIGVCNFSCKKLDTLLSFATIPPAVNQVEVNPVWQQRKLRELCREKGVQICAYSPLGASGTHWGSDSVMASAVLRDIAQSKGKTVAQVCLRWVYEQGDCLIVKSFDEARMRENLDIVGWELTEEERQRIAGIPQRKINRALRFVSDHGPYKSLDDLWDGEI >ORGLA03G0094000.1 pep chromosome:AGI1.1:3:6643615:6647246:1 gene:ORGLA03G0094000 transcript:ORGLA03G0094000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:I1P994] MASPAAAAPAALLVLLAAALAATGVVADGSDHRYKANELVPLYANKVGPFHNPSETYRYFDLPFCSPEKVKEKSEALGEVLNGDRLVDAPYKLDFRVDYDSKPVCSKKLTKEEVAKFRNAVAKDYYFQMYYDDLPLWGFIGKVEKGGKTDPKEWKYYLYRHIIFDILYNNDRVIEINVHTDQSALVDLTEDKEADVQFLYSVKWKETPTPFEKRMEKYSSSSNLPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEESGWKYIHGDVFRFPKNKSFFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKTEFQAPCRTTKYPREIPPLPWYRQTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGFFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGMIGFRAALFFVRHIYKSIKCE >ORGLA03G0093900.1 pep chromosome:AGI1.1:3:6632302:6640611:1 gene:ORGLA03G0093900 transcript:ORGLA03G0093900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:anaphase promoting complex 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) TAIR;Acc:AT1G78770] MWDTKFGFPPAAPPTTAAAAQKNPKRRREAEAEAEGEVAAEMREEAVERLRGVVRDSVGKHLYASAIFLADKVAAATGDPADVYMLAQALFLGRHFRRALHILNSSKLLRDLRFRFLAAKCLEELKEWHQCLIILGDAKIDEHGNVVDQDDGSDIYFDKDAEDHEINIKAAICFLRGKAYEALDNCDLARQWYKAAVKADPLCYEALECLVDNYMLTCEEESELLSSLKFGKEDGWLSAFYSCLIRKHEKEYIVEAKFKEFERESCSISSLSSGLTLKNNIDVLACKAEYYHQSGEYQKCFELTSALLERDPFHLKCTLVHLAAAMELGHSNDLYILACNLVKDYPQKALSWFAVGCYYYCIKKYDQARRYFGKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLPTLYMGMQYLRMHNFKLAEQFFTQAKSICPSDPLIYNEMGVVAYNMKEYQKAVQWFELTLEHTSSSLNEMWEPTLVNLGHALRKLKKYQKAISYYEKALTFQTKSLSAFAGLAYTYHLMDKFEAAITYYHKALWLKPDDQFSTDMLTLALESSCQITARTR >ORGLA03G0093800.1 pep chromosome:AGI1.1:3:6629099:6629728:1 gene:ORGLA03G0093800 transcript:ORGLA03G0093800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASIFSFYSFAVAAVLLVGTAAARPATSAVVARGTNATAAAANATVMARRGRSSPLSTATTEEEEQQYICYLCRGRNTLMISWCPLDKDECHIACLSSPSSASRSSSSSPPRALPFSAADDDGGDNGRGGGHDDCYVMKVYPDGSWVVVDVVSCQASAGCYLVCSYGDALPSSSSSGAASGEITPAAIGSPLPRGLTEFERCGDQR >ORGLA03G0093700.1 pep chromosome:AGI1.1:3:6618673:6619815:-1 gene:ORGLA03G0093700 transcript:ORGLA03G0093700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRSRSESDADHRSGACAGGEAKRPRPEQKHLYLALDDWEKGYNLYKVDVHAIGSADTEAESQLPEPPVLQLEAAKGARDVLFAAVGTDILALWQPRYETTRTAVYDTATGRLDAAGPRHPRALQPMRFVVASSAGARGLYALHGGGMHFMERRGGGEATTEPRWTWSTACSSLRLPFDGMQPGSPRRTMQITSYAMHPDGRTVFVSATSGKHHGTFSSSLDDDNEWTRRGDWLLPFHGQGHYDAKLRAWVGLHSPGHVCTCDVPSTTFSSVAAATSQPPAWQLVNAEHLFQEDHPERGGTSLVSTGDAEFCIVESVTPKWMDPVWDRDEIDEYVLRVTRFRLKHDRHGQLRASSRCRRASYRVRKHDSVFAPQAFWM >ORGLA03G0093600.1 pep chromosome:AGI1.1:3:6615514:6616107:-1 gene:ORGLA03G0093600 transcript:ORGLA03G0093600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFAVAGRAAPPVLRQSKLPPSHGLRAPPSAAESASPAAAPPGAAHAVATEAGRSSFPVAGRLRRAGSVGELDPASPHAGEPAAAASTPPPTSPPTPTPPLQPLPHRRLLHPATDSSTPPPSSPRQPAGQAGVAVFAPQTMSVPPPPTGGRDEAPPPPSLWPRGFAGGRSGGGEAAEAGGGGAGDGGGGFCLPCRP >ORGLA03G0093500.1 pep chromosome:AGI1.1:3:6611653:6612381:1 gene:ORGLA03G0093500 transcript:ORGLA03G0093500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGAAGGGGVGGGGGPPGQEAGKKVGWSKEEDKLLRELVRKQGGKDWGVIATAFPGRTDKSCRLRWRQHLDPSVDVALPFSAGEDRKIVELHRVHGNRWATIAAFLPGRSDNAIKNRWNTHLRKRHAQDVQQRPSGGLALGLGGGGGAGQAAGGKLTPVCLQLFPPTQAPPIGENLPGPARSAVPELLKLFPLAPGDLKDNASAAAAMDVGNEAVRALPELRLSPAAVVFDAMPLQAIRM >ORGLA03G0093400.1 pep chromosome:AGI1.1:3:6608533:6610954:1 gene:ORGLA03G0093400 transcript:ORGLA03G0093400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:I1P988] MVLSKAVSESDMSVHSTFASRYVRASLPRYRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFHAPLGEDETAVGVGTVGSSEAIMLAGLAFKRRWQNKRKAEGKPFDKPNIITGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPEKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLDKKNKETGWETPIHVDAASGGFIAPFLYPELEWDFRLPWVKSINVSGHKYGLVYAGIGWCIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCHENAMVLKEGLVKTGRFDIVSKDEGVPLVAFSLKDRSRHDEFEISDMLRRFGWIVPAYTMPPDAQHVTVLRVVIREEFSRTLAERLVLDIEKVMYQLDALPSRLMPPVPPAPLLVVAKKSELETQRSVTEAWKKFVLAKRTNGVC >ORGLA03G0093300.1 pep chromosome:AGI1.1:3:6597347:6598527:-1 gene:ORGLA03G0093300 transcript:ORGLA03G0093300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHAVCPHRVDNTGLSRRIFAGPVPRRRRVFEGRSGGGQREGGRCLETERRRGALEAELWLVVLKAFRWLPPPMHVATPVPPSPPPAPGLCSTLASAPPAHARAHMVFVAITTYPGGKDLDAGILDVPAELGDGPAGAAKLVRVVDDVVEVGGGGGKGIATCVGAAGAVATP >ORGLA03G0093200.1 pep chromosome:AGI1.1:3:6590770:6594678:-1 gene:ORGLA03G0093200 transcript:ORGLA03G0093200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYQHDKPLLDEENSSQWMTLALKAICVFYLEMQNTLEYTGDGSVCIRGHPALRKHTGNWKGSSLAIVFSFCSYLAFTSIVKNLVSYLTKVLHETNVAAARDVATWSGTSYLAPLVGAFLADSYLGKYCTILIFCTIFIIGLMMLLLSAAVPLISTGPHSWIIWTDPVSSQNIIFFVGLYMVALGYGAQCPCISSFGADQFDDTDENERTKKSSFFNWTYFVANAGSLISGTVIVWVQDHKGWIWGFTISALFVYLGFGTFIFGSSMYRFQKPGGSPLARICQVVVAAIHKRDKDLPCDSSVLYEFLGQSSAIEGSRKLEHTTGLKFFDRAAMVTPSDFESDGLLNTWKICTVTQVEELKILIRMFPVWATMILFAAVLDNMFSTFIEQGMVMEKHIGSFEIPAASFQSIDVIAVLILVPVYERVLVPVFRKFTGRANGITPLQRMGIGLFFSMLSMVSAALVESNRLRIAQDEGLVHRKVAVPMSILWQGPQYFLIGVGEVFSNIGLTEFFYQESPDAMRSLCLAFSLANVSAGSYLSSFIVSLVPVFTAREGSPGWIPDNLNEGHLDRFFWMMAGLCFLNMLAFVFCAMRYKCKKAS >ORGLA03G0093100.1 pep chromosome:AGI1.1:3:6585151:6588929:-1 gene:ORGLA03G0093100 transcript:ORGLA03G0093100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TEVVLLQQELPKKQFKAEHLSSWRVQQPFRAAKGAFMGSSLEAEQQSLIVRTTEPEDVDDYTGDGSVGFSGQPILKHETGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYKIIAVFSTIYFLGMAALTFSALVPSLQPSQCFGSFCPQPTVPQYLIYFVGLYMIALGSGGIKPCVSSFGADQFDDTDPVERTKKGAFFNWFYFAINIGSLISGTVLIWVQQNCGYGIGFGIPTIFIALAIGSFFIGSQRYRYQIPGGSPLIRVCQVVIAAIHKRNVDLPVDSSVLYELHGKTSAIEGSRKLEHSSEFSFLDKAAVILSNERGGSHDPWRLCTITQVEELKILMRMFPIWATGIVFFTVCAQNSSMFIEQGMALNNQIESFKIPPATLSSLDVISIVVWVPIYETFVVPIASRLTGKERGFSELQRMGIGLFVATTAVATAALVEIKRLEIARSEDLIHSKVPVPMSILWQAPQYLLVGIGEVFTAIGQAEFFYNQSPDSMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRDDNPGWIPDNLNEGHLDRFFWLIAGLSFLNLLLFVYYAQQYKCKKAAAI >ORGLA03G0093000.1 pep chromosome:AGI1.1:3:6576009:6580137:-1 gene:ORGLA03G0093000 transcript:ORGLA03G0093000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAEDRRLQVREEGGDQEPLLLLPQDANLYTGDGSVDIKGCPALKHATGNWRACFYILGDECCERLAYYGIAKNLVTYLKTNLHQGNLEAARNVTTWQGTCYLTPLIGALLADSYWGKYWTIAAFSAIYFIGLVALTLSASVPALQPPKCSGSICPEASLLQYGVFFSGLYMIALGTGGIKPCVSSFGADQFDDSDPADRVKKGSFFNWFYFCINIGAFVSGTVIVWIQDNSGWGIGFAIPTIFMALAIASFFVASNMYRFQKPGGSPLTRVCQVVVAAFRKWHTEVPHDTSLLYEVDGQTSAIEGSRKLEHTSELEFFDKAAIISSDDAKSDSFTNPWRLCTVTQVEELKILIRMFPIWATTIIFNAVYAQNSSMFIEQGMVLDKRVGSFIVPPASLSTFDVISVIIWIPFYDRVLVPIARKFTGREKGFSELQRIGIGLALSILAMVSAAFVELRRLEIARSEGLIHEDVAVPMSILWQIPQYFLVGAAEVFAAIGQVEFFYNEAPDAMRSLCSAFALVTVSLGSYLSSIILTLVSYFTTQGGDPGWIPDNLNEGHLDRFFSLIAGINFVNLLVFTGCAMRYRYKKA >ORGLA03G0092900.1 pep chromosome:AGI1.1:3:6573440:6575365:1 gene:ORGLA03G0092900 transcript:ORGLA03G0092900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37170) TAIR;Acc:AT4G37170] MASRSRAVSSGFTKAPTFSVTTASQLHDAIDCLLPRLRGDPSLAPAARALAAAATASLPPSTVLSNRLLHLLSSHPATLPDALALFSSIAAPDICSHNTLISALSRSPRHLPSARELFDRMPQRDHFAWSALVSGYARHGQPEAALALYRRMQEEPGNDGADNEFTASSALAAAAAARCGRAGRELHCHVVRRGIDAAGGDAVLWSALADMYAKCGRVDDARRVFDRMPVRDAVSWTAMVERYFDGGRGGEGFRLFLHMLRTRGVRPNEFTYAGVLRACAEFAVESFGRQVHGRMAKSGTGDSCFAESALLRMYSKCGDMGSAVRVFEAMAKPDLVSWTAVISGYAQNGQPEEALRYFDMFLRSGIKPDHVTFVGVLSACAHAGLVDKGLEIFHSIKEQYCIEHTADHYACVIDLLSRSGRFERAEEMINNMAVKPNKFLWASLLGGCRIHKNVGLARRAAEALLEIEPENPATYVTLANIYASVGLFDEVEDVRRIMESKGISKMPASSWIEVGRRVHVFLVGDKSHPQADEIYALLKKLYVKMVEEGYVADTEFVLHDVEDEQKEQDIGYHSERLAVAFGIIATPDSAPVKVFKNLRICGDCHTAIKLISRIVQREIIVRDSNRFHHFKNGICSCRDYW >ORGLA03G0092800.1 pep chromosome:AGI1.1:3:6569566:6572496:1 gene:ORGLA03G0092800 transcript:ORGLA03G0092800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSRIVLRDLDSIDSPAASMASSKKLVTRDEWERKLRDVKIRKEDMNRLVMNFLVTEGFVDAADKFRVESGTQPDIDLATITDRMEVKRAVQSGNVQEAIEKINDLNPTILDTNPQLYFHLQQQKLIELIRAGKINEALEFAQEELAPRGEENQVFLEEIEKTVALLVFEDIKNCPYGELLDVSQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWTQNQLDEKAAYPRINNFSTAALEDPAI >ORGLA03G0092700.1 pep chromosome:AGI1.1:3:6565378:6567057:-1 gene:ORGLA03G0092700 transcript:ORGLA03G0092700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1P981] MEYATRGDRTASCLSFLCKIVVLLGLAAAAASGQLMDDYYDYCCPQVYRIVRSRVAAAMKAEMRMGASLLRLHFHDCFVNGCDASILLDGTNSEKFAAPNNNSVRGYEVIDAIKADLESACPGVVSCADIVALAAKYGVLLSGGPDYDVLLGRRDGLVANQTGANSNLPSPFDSISVITARFKDVGLNATDVVVLSGAHTIGRSRCLLFSNRLANFSATNSVDPTLDSSLASSLQQVCRGGADQLAALDVNSADAFDNHYYQNLLANKGLLASDQGLVSSSGDPAVAATKALVQAYSANGQRFSCDFGNSMVKMGNISPLTGSAGQIRKNCRAVN >ORGLA03G0092600.1 pep chromosome:AGI1.1:3:6561529:6563801:-1 gene:ORGLA03G0092600 transcript:ORGLA03G0092600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1P980] MGAVAAVRAAVLVVAVALAAAAAGASAQLCDKYYDGTCPDVHRIVRRVLKRARQDDPRIFASLTRLHFHDCFVQGCDASILLDNSTSIVSEKFATPNNNSARGYPVVDDIKAALEEACPGVVSCADILAIAAKISVELSGGPRWRVPLGRRDGTTANLTGADNNLPSPRDNLTTLQQKFAAVGLDVTDLVALSGAHTFGRVQCQFVTDRLYNFSGTGKPDPTLDAGYRRALAKSCPRRGGNSSALNDLDPTTPDTFDKNYFANIEVNRGFLQSDQELLSTPGAPTAAIVNSFAISQKAFFKSFARSMINMGNIQPLTGSQGEVRKSCRFVNGS >ORGLA03G0092500.1 pep chromosome:AGI1.1:3:6550215:6551402:1 gene:ORGLA03G0092500 transcript:ORGLA03G0092500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1P979] MAAAASVVVLCALVVLAAAGGASAWPVMSPSYYEASCPSVYDIVRRVVQEARCTDPRAPASLLRLHFHDCFVNGCDGSLLLDDFGAMHSEKNAPPNKGSARGFDVVDGIKAALENACPGVVSCADILALAAEISVELSGGPSWNVMLGRRDGTAANFEGARDLPGPTDDLDLLRRKFSEFNLDDTDFVALQGAHTIGRAQCRFFHDRLYNISGTEQPDQTLDMAYLNELRQSCPASDPESTALRNLDPPTPDAFDNSFYGNLLRNRGLLQSDQGMLSAPGGAASTTAPIVVRFAGSQDDFFRSFATAMVKMGNISPLTGSMGEIRRNCRVVNRG >ORGLA03G0092400.1 pep chromosome:AGI1.1:3:6545347:6547596:-1 gene:ORGLA03G0092400 transcript:ORGLA03G0092400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >ORGLA03G0092300.1 pep chromosome:AGI1.1:3:6543169:6544201:1 gene:ORGLA03G0092300 transcript:ORGLA03G0092300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASASNGAVRFTEEQEALVLKSWAIMKDDSANIGHRFFLKIFEVAPSARHLFSFLRNSDVPLEKNPNLKKHAMAVFVMTCEAAAQLRKTGRVTVRDTTIKRLGSTHFKNGVSDTHFEVARFALLETIKDGIPASMWSPEMKNAWGEAYEHLVAAIKEGMKPVALL >ORGLA03G0092200.1 pep chromosome:AGI1.1:3:6539659:6540499:1 gene:ORGLA03G0092200 transcript:ORGLA03G0092200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGSNVVSRGAVRFTEEQEALVLKSWAIMKNDSAHIGHRFFLKIFEVAPSARQLFSFLRNSDVPLEKNPKLKIHAMAVFVMTCEAAAQLRKTGRVTVRDTTIKRLGSTHFKNGVSDAHFEVSLFALLETIKEAVPASMWSPAMKGAWGEAYDHLVAAIKQGMKPAAA >ORGLA03G0092100.1 pep chromosome:AGI1.1:3:6535644:6536470:1 gene:ORGLA03G0092100 transcript:ORGLA03G0092100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEDNNAVAVSFSEEQEALVLKSWAILKKDSANIALRFFLKIFEVAPSASQMFSFLRNSDVPLEKNPKLKTHAMSVFVMTCEAAAQLRKAGKVTVRDTTLKRLGATHLKYGVGDAHFEVVKFALLDTIKEEVPADMWSPAMKSAWSEAYDHLVAAIKQEMKPAE >ORGLA03G0092000.1 pep chromosome:AGI1.1:3:6531527:6534742:1 gene:ORGLA03G0092000 transcript:ORGLA03G0092000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMDGTDLSSPRCNVQHLQNAEELKDQNSTNKRLPRTTELPCSLIQEVQHLEKRLNDQFAMRRALEKALGYKPCAIHSSNESCIPKPTEELIKEIAVLELEVICLEQHLLALYRKAFDQQICSVSSSCDMEINKQPARSFSGILTGPSELDFSIPRKHQLLQSSGMVMARKSTPTTLTSETSTSHYNDKTGIGRSHSSLLQRSICSARVSPSANNLARALKPCHTLPLSFVEEGKCMDPGIVSLADILGTRIADHVPQTPNKISEDMIKCIASIYIRIRDFNAVQHPFFPSPCSSFSSASGLSSKYTGDIWSPRCRKEGYIEAWQDDALGTGESRYFSQQYDSVIEVSALCKGAQRSADVKDMLHKYKSLVQLLESADLNGMKNEEKIAFWINVHNAMMMHAHIEYGIPQSNSKRILLTKLSYLISGQRVNPELIEYHILCCRVHSPTEWLRLLLYPKWKSKEKEDLQGFAVDRPEPLVHFALSSGSHSDPVVRLYRPERLLQQLEAARDEFVRANVGVRGGRRGRGRRVLLLLPKLLEPYSRDAGLGAHDLLRAVESCLPEPLRPAAQQAARSRGGGGGVEWRPHNPAFRYLVARELVGPPAPTAHLSST >ORGLA03G0091900.1 pep chromosome:AGI1.1:3:6526191:6527262:-1 gene:ORGLA03G0091900 transcript:ORGLA03G0091900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRHRCPTWPVRWGHRRRSESRWCGSGRTRCSRSAEPPRTPPTPSSSSTSSLRAGGRMRPSGRVRGTWWLLGKEPRPVAEGVCFDDLMFVPDLDDEGAGNEFNSQGCDDEFVPETQQDVPIEEIGIGFLSYLFNY >ORGLA03G0091800.1 pep chromosome:AGI1.1:3:6522240:6522527:1 gene:ORGLA03G0091800 transcript:ORGLA03G0091800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEYEIPDLNLDPGVQEVLQDEGDGIPDLNLVPAVQGEDAFQYEDEELPDNQCFGAHEDEHPGPTMQAVELSNGRSAQEICHLNMEPGMESKS >ORGLA03G0091700.1 pep chromosome:AGI1.1:3:6517632:6521161:1 gene:ORGLA03G0091700 transcript:ORGLA03G0091700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAEEVSPTASSSELEQEDDDDDCYLSDQEDDALEESVLQVLEDERDEDCHWSSTSVITKESLLAAQREDLRKVMELLGLREHHARTLLIHYRWDVERIFELLDQKGRDRLFSEAGIPLQPANNAGSPSSTEVTCNVCYDDVPLSDASKMDCGHNYCNECWTGYFIVKINEGQSRRIKCMAPKCNTICDEAIVRKLVNAKRPDIAERFERFLLESYIEDNDTVKWCPSTPHCGNAIRVKGDIHCEVECTCGRQFCFNCSSEAHSPCSCVMWELWIKKCRDESETVNWITVNTKPCPKCHKPVEKNGGCNLVACICGQAFCWLCGGATGRDHTWSSISGHSCGRFTEDQSKKTEQARRNLYRYMHYHNRYKAHTDSLKQEAKLKGDIQGKISISENKDSKIKDYSWVINGLNRLFRSRRVLSYSYPFAFYMFGDEIFKDEMTSDERELKQNLFEDQQQQLEFNVERLSGFLERDFQNFSDDEVMDTMKHVINLSNVVDRLCKQMYQCIENDLLYPLRTPHNIAPYKSKGLDRASELNVCWDSSEQGLQPIKYSQDEHKSQPGLSGSSIFGKRQLGSSSNNNGRPHKRERNDAHGGAALFDLNVPAEVADKI >ORGLA03G0091600.1 pep chromosome:AGI1.1:3:6511363:6513237:-1 gene:ORGLA03G0091600 transcript:ORGLA03G0091600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKGLCILAVVIAFQLAGGQAVTDATARARRFACNVSAPCDTFVVYRTQSPWFLDLGNISDLFGVSRALIASANKLTTEDGVLLPGQPLLVPVKCGCTGARSFANVTYPIRPRDTFFGLAVTAFENLTDFVLVEELNPAAEATRLEPWQEVVVPLFCRCPTREELSAGSRLLVTYVWQPGDDVSVVSALMNASAANIAASNGVAGNSTFATGQPVLIPVSQPPRFPPLTYGAIAADPGAGKHRHGIIVATSIAGSFVACAVLCTAILAYRRYRKKAPVPKHVSPKLSWTKSLNRFDSNSSIARMINGGDKLLTSVSQFIDKPIIFREEEIMEATMNLDEQCKLGSSYYRANLEREVFAVKPAKGNVAGELRMMQMVNHANLTKLAGISIGADGDYAFLVYEFAEKGSLDKWLYQKPPCSQPSSSSVATLSWDQRLGIALDVANGLLYLHEHTQPSMVHGDVRARNILLTAGFRAKLSNFSLAKPAATVDAAATSSDVFAFGLLLLELLSGRRAVEARVGVEIGMLRTEIRAVLDAGGDKRAAKLRKWMDPTLGGEYGVDAALSLAGMARACTEEDAARRPKMAEIAFSLSVLGQPLSVADAFERLWQPSSEDSIGIGNEVAAR >ORGLA03G0091500.1 pep chromosome:AGI1.1:3:6509283:6510163:-1 gene:ORGLA03G0091500 transcript:ORGLA03G0091500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGRTPALQIIVGVLWSQLQFGAEAVGTTVFTLRNNCTYTVWPATLSGNTAVAVGGGGFELSPGANVSFPAPAGWSGRLWARTDCAPSGTASLACVTGDCGGAVSCSLGGAPPVTLAEFTLGGADGKDFYDVSLVDGYNVGIGVAATGARVNRSTCGYAGCVGDVNALCPAELQVAGKENDQQSGAAATTTVACRSACEAFGTAEYCCTGAHGGPDSCGPTRYSRLFKAACPAAYSYAYDDPTSTFTCGTGAQYVITFCPAQQQ >ORGLA03G0091400.1 pep chromosome:AGI1.1:3:6506701:6508608:1 gene:ORGLA03G0091400 transcript:ORGLA03G0091400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGVNGGTVDGGRRCWSSRRQSGDHVADGHMAGDGVGAHRLATRMEPEVGVNGGAVDGEQRCSSTRRQSGNHVADGRALLSPSRSSSPACAGVADGHLLLTAQALSLVPLXMGKVDKMYPIPMLRCESLKHRLPRDGGAGQDSAEDAGDNHRPWAGRDAVERPGAGPRGDDVDPVVGNVALVANETLGPGEEHRNDAEPAAEGVADRAALVEGAVDAQLGGLPVLGLRRAAGGPLLCILRRCRAEEVHGTVEAAWLATARSPLARSPAAALDGKRWAPAADPAVA >ORGLA03G0091300.1 pep chromosome:AGI1.1:3:6504656:6505812:1 gene:ORGLA03G0091300 transcript:ORGLA03G0091300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRTLARGIWAGKNANAAAACPAAAAPKPPARRPLPAVDDCPTLAYLRPRPGTIRYTTASVPLPAHCFPALPVGDQLFNRLRLDGLVPPTTAVTRPPEEEGVGVTVEEARKVARAAEMEVARARLRSNAQSVVSGSEFAALCVDIAGGAEGGRRLARALDDSGVVIVLGDAVFLRPDMIAKAIGSMIPATAHATRAAASVVEVRKKREEEEELRAMEEEKAGIDAAAAAQVRRELWCGLGLLAAQTLGFMRLTFWELSWDVMEPVCFYVTSLYFMSGYAFFMRTSTEPSFEGFYRSRLASRQRRLMRARSFDVARYEALKEQVGGGARYGVAARDAIVFRQQHVTHVH >ORGLA03G0091200.1 pep chromosome:AGI1.1:3:6489897:6493533:-1 gene:ORGLA03G0091200 transcript:ORGLA03G0091200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEEVEAARWAEAEDERKEGLRRRRRYGLVEYRALPGYMRDNEYILRHYRCEWPLPQVLLSAFSIHNETLNVWTHLIGFFIFLVLTIYTATQVPNVVDLQSLQHLPDVLRNADLHKIQTELVACLPSLPHLSDLQKLKDELKSSWNSIEVLPSLSRWHLLELLSSCLPHRFTHSNETSLSVLQSMKEDIANMIAPQLIRPIPRWPFYAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCYPFFCNLYLSCITILGVATIAFSLLPVFQNPEFRTIRACLFFGMGASGVIPVIHKLILFWHQPEALHTTAYEVLMGLFYGIGALVYATRVPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYHSGLVYLKWRDVQGC >ORGLA03G0091100.1 pep chromosome:AGI1.1:3:6486485:6488821:-1 gene:ORGLA03G0091100 transcript:ORGLA03G0091100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRRVAVVAVLLSLPSRGRSGGGGGDLHPVVLVPGYGSNRLYARLTAAYEPAAPRCGAREGKDEWFQLWPIDAAASEPAQAPCLAEQMSLVYDPVADDYRNVAGVVTRVPSFASTRALVGWDPLVRQLEAMGYRDGGSLFAAPYDFRYAVAPRGHPSAVGERYFARLTRLIERASRLNGGRPAVVVAHSFGCALTYQFLRARPLAWRQRFVKHAVLLAAALGGFAEGMDGLASGAGSGLPNLAPPARTRLARSQQSALWRLPTPMVFGDRPVVVTKNSTYSANNITEFLDAIGFTEGVQPYVTRVLPMWRALPAPMVPVTSMYGVGVRTPETFVYGEAGFEGTPEVVYGDGDGNMNIVSLMAAEKEWSGVEGQILKVVRLPGVSHVGFFSDLALKKVVAEIQKAVSSIEVHRKEKIFSFLNNFEFTIPVPLGRW >ORGLA03G0091000.1 pep chromosome:AGI1.1:3:6479251:6479646:1 gene:ORGLA03G0091000 transcript:ORGLA03G0091000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAIVDSPLLGPNVGAGLGQGAAMPAVHLDSGRLQQPPSGFRLHNVRNLPLQFTSTHLKGSRIRRGRRRFLPSRQEVAAAIHVLVESCWNNNPLVPACVASSVHPSXFLTASPGIVSLQVAGRASMLNS >ORGLA03G0090900.1 pep chromosome:AGI1.1:3:6472874:6474253:-1 gene:ORGLA03G0090900 transcript:ORGLA03G0090900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1P963] MPQYQELPCGGQVLDIDTALKDGILGGGPELGDAAAGDGGKQPVELRKMMDELDAAGDGGGDEAVPSVFICPISLEPMVDPVTLCTGQTYESANISRWLALGHRTCPTTMQELWDVTPIPNTTLRQLIAAWFSRRYTRFKKRSADFHGRAAELVHALRGTAVPKRQPLKGQARVAALRELRSLAAAHQSVTKAIAEAGGVGLLTSLLGPFTSHAVGSEAVAILVSGVPLDADAKAALMQPAKVSLLVDMLNEGAVDTKINCVRLIRILMEEKGFRPDTVASLSLLVGVMRLVRDKRHPDGVAAGLELLNSICAVHKPARSLIVSIGAVPQLVELLPELPTECVEPALDILDALAAVPEGRIALKDCPRTITNAVRLLMRVSEACTRRALSMLWVVCRMAPEECAPAALDAGLGAKLLLVIQSGCGPELKQQASELLKLCTMNCTSTVFISKCKLTKTIQ >ORGLA03G0090800.1 pep chromosome:AGI1.1:3:6466122:6468863:1 gene:ORGLA03G0090800 transcript:ORGLA03G0090800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G22870) TAIR;Acc:AT2G22870] MLLRPRLSLLRAVAPRPVPPPPRASLPVRRTLSSPAGAARTASAGDAPPPAQRTGPKGGRKSAPPPPHPRGSSVNPALFFPPGVDRDAAVAAEMVIPASNIVVGPYAGDSRVKEAEFVMSSARARDCPKDDRPEFAVLGRSNVGKSSLINALIRRKEAALTSKKPGKTQTINHFLVNKSWYLVDLPGYGFAAASQSARTDWSSFTKGYFLNRDTLVGVLLLVDASIPPQKIDIDCANWLGRNNIGLTYVFTKCDKSKKGKGGRPEENIKEFQETISSLYPEPPPWIMTSSVTGLGRDGLLLHMSQLRNYWDNEATMSP >ORGLA03G0090700.1 pep chromosome:AGI1.1:3:6461633:6462061:1 gene:ORGLA03G0090700 transcript:ORGLA03G0090700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLSALFLTAILLCLICTRSQAARPEPGSSGHKSQGVVASSIAHQKSVGSSGIGVEMHQGEPDQAVECKGGEAEEECLMRRTLVAHTDYIYTQGNHN >ORGLA03G0090600.1 pep chromosome:AGI1.1:3:6453669:6454452:-1 gene:ORGLA03G0090600 transcript:ORGLA03G0090600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREILLRTGPARYSYGVDCYAAVHEVRICEDDIPDWQNALHPACIVVVRFAASERLRIRHAWLCEPTPSELGSSQRKRMLEYS >ORGLA03G0090500.1 pep chromosome:AGI1.1:3:6450194:6450427:1 gene:ORGLA03G0090500 transcript:ORGLA03G0090500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPSSTAADCTMARIAFPCDGGRQATSRTPTRQRRRRSSDCFLLGWEPPFGCLGVIASIGATGTNVYGVVHLCAS >ORGLA03G0090400.1 pep chromosome:AGI1.1:3:6447323:6447585:-1 gene:ORGLA03G0090400 transcript:ORGLA03G0090400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVANVVRRNSGGVDGSTLRWPKTFALMQSSLNTYHYQQRLYFAQKKEKKLYFTRRG >ORGLA03G0090300.1 pep chromosome:AGI1.1:3:6436605:6440333:-1 gene:ORGLA03G0090300 transcript:ORGLA03G0090300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGSSNWLGFSLSPHMPAMEVPSSSEPSTAAHHHHHHHPPAAAAAAAGAMSSPPDSATTCNFLFSPPAAQMVAPSPGYYYVGGAYGDGTSTAGVYYSHLPVMPIKSDGSLCIMEVGMMSSSSPKLEDFLGCGNGSGHDPATYYSQGQEAEDASRAAYQHHQLVPYNYQPLTEAEMLQEAATAPMEDAMAAAKNFLVTSYGACYGNQEMPQPLSLSMSPGSQSSSCVSAAPQQHQQMAVVAAAAAAGDGQGSNSNDGGEQRVGKKRGTGKGGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKDGQTRKGRQVYLGGYDTEDKAARAYDLAALKYWGLSTHINFPLENYRDEIEEMERMTRQEYVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDKIMESSSLLPGEAARKVKAIEAAPDHVPIGRELGATEEASAATVTGTDWRMVLHGSQQQEAAACTEATADLQKGFMGDAHSALHGIVGFDVESAAADEIDVPGGKISGINFWNSSSLLTSLSNSREGSPERLGLAMLYAKHHPTAVSLAAMNPWMPMPAPAAAHVMRPPSAIAHLPVFAAWTDA >ORGLA03G0090200.1 pep chromosome:AGI1.1:3:6424114:6432833:-1 gene:ORGLA03G0090200 transcript:ORGLA03G0090200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSMDQRGGAGGAAETHRVQLPDTATLSDVKAFLATKLSAAQPVPAESVRLTLNRSEELLTPDPSATLPALGLASGDLLYFTLSPLPSPSPPPQPQPQAQPLPRNPNPDVPSIAGAADPTKSPVESGSSSSMPQALCTNPGLPVASDPHHPPPDVVMAEAFAVIKSKSSLVVGATKREMENVGGADGTVICRLVVALHAVLLDAGFLYANPVGSCLQLPQNWASGSFVPVSMKYTLPELVEALPAVEEGMVAVLNYSLMGNFMMVYGHVPGATSGVRRLCLELPELAPLLYLDSDEVSTAEEREIHELWRVLKDEMCLPLMISLCQLNNLSLPPCLMALPGDVKAKVLEFVPGVDLARVQCTCKELRDLAADDNLWKKKCEMEFNTQDTCGCMMCKCIYSDQRKDIVLADKYTRGNYMQKPVTQPGRWLIILVFHSLLCQYITIGLSLLWYHLVDLVQDAPAAGIHFDCIIPLPINPYQLPPSAGACCSTTQASASAKDGGNMYSPPCSAAASSQGHCFAVGANQLASLDLAMDFDEPILFPVHNASLQEGIQFYNPTGDTQLSRNMSIDKCLKGSKRKGSGEGSSSLHSQEETGEMPQRELSMEHAGEKAGDADASREEYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSTISPELNSDLDLQDILCSQDARSAFLGCSPQLSNAHPNLYRAAQQCLSPPGLYGSVCVPNPADVHLARAAHLASFPQQRGLIWDEELRNIAPAGFASDAAGTSSLENSDSMKVE >ORGLA03G0090100.1 pep chromosome:AGI1.1:3:6418465:6421336:-1 gene:ORGLA03G0090100 transcript:ORGLA03G0090100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASLLPPLLPAPSSSPRHLHPSPRHLRPLPPIRLLRAARRRHPDAVVVVPDARPWVGDLSGAAASYRDGREEDDDDAGEEDDDNDDDDDDEDRSLDLLVRFLHSVFRKVSRRARRAARSVLPPSVPAELVKFSVNGVLVLTFLWVLKGLLEVVCTFGSMVFVSILLVRGIWSGVTYIRENRYSYIRQIDNDDNRWSRVQTAG >ORGLA03G0090000.1 pep chromosome:AGI1.1:3:6411930:6414354:-1 gene:ORGLA03G0090000 transcript:ORGLA03G0090000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNSMIPPSEASQLHDAGASPMFLDNTFAATTSFTNLVEPDDSSAGLGMKQIETDQGANEDSHTRKASEKMTPKVGMKFNSEQEAYEFYNAYASEIGFSIRRSSYHYMGNTKIIKNRTFCCSREGTRGVDKRREALVYGNSFNRPETRCKCQACIKISFIDGFYQVYHFVPEHSHILATKSQAHQLRSQRKVNEAQVASVEVAKSVGISTKAAVDMLAKQSCGYENLGFTRVDMKNKLYSKRSLLTKQEEEEEFIKAWHQLHDKYELQQNKWLQRIFDKRHQWALVYGRNTFSADMSTTQRNESFKNELKGYISVKYDMLTFFEHFDRLLGDKRYEEVKCDFRATQSTPRPKAELRILRDVVEVYTPAIYKIFEEEVMQTLNCDIFYCGDVDEQKVHKIKSHEDIEKCLKIRCNPDLETSSSPQGVKEN >ORGLA03G0089900.1 pep chromosome:AGI1.1:3:6396339:6401007:-1 gene:ORGLA03G0089900 transcript:ORGLA03G0089900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGGRSSPLLPPSPPLPINRNNLEASARLRLLLPPPPPPLPFSPLARRRLAATRIGAAPRPQQRSPPPRAERPRRPHHHWCGMAEVAAGAGQLIGVAVATLLAAIFLAAALLGSRRRRRRAPLAGKPAAVGGCGVADGEGCGGDGRTDVIVVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVQEIDAQRVLGYALFKDGKDTKLSYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQLEQGTVTSLVEEDGTVKGVKYKTKSGEELKAYAPLTIVCDGCFSNLRRALCSPKVDVPSCFVGLVLENCQLPHANHGHVVLANPSPILFYPISSTEVRCLVDVPGQKVPSIANGEMAKYLKTVVAPQIPPEIYDSFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASALCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGVRLISSACGIIFPIIKAEGVRQMFFPATVPAYYRAPRPME >ORGLA03G0089800.1 pep chromosome:AGI1.1:3:6389695:6394480:-1 gene:ORGLA03G0089800 transcript:ORGLA03G0089800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVASAGQLVGLAAATLLTAAFLVAVKMGWRRRRRQREVAPEGGCRVVGGDGGDRTDIVIVGAGVAGSALAYTLGKDGRRVHVIERDMTEPDRIVGELLQPGGYLKLMELGLEDCVEEIDAQRVLGYALLKDGRNTKLSYPLEKFHSDVAGRSFHNGRFIQKMRQKAASLPNVHLEQGTVTSLLEEGGMVKGVQYKTKSGEELKAYAPLTIVCDGCFSNLRRVLCSPKVDVPSCFVGLVLENCQLPHPNHGHVILANPSPILCYPISSTEIRCLVDIPGQKVPSMATGEMAKYLKTVVAPQIPPELHDSFIAAIDKGSIRTMPNRSMPAAPLPTPGALLMGDAFNMRHPLTGGGMTVAFSDIVVLRNLLKPLGNLHDASSLCKYLESFYTLRKPVASTINTLAGALYKVFCASTDQAKNEMREACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPVPSPKRMWIGARLVSGACGIIFPIIKAEGVRQMFFPATVPAYYRAPPPMDQIRITHINAILHRSCRLCPLISELLEAEKGTEEPCLFRHRTLPFERLNACTGDMTYTYMP >ORGLA03G0089700.1 pep chromosome:AGI1.1:3:6386597:6389074:1 gene:ORGLA03G0089700 transcript:ORGLA03G0089700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:I1P951] MAAAAAAASSAKRALLPWARDAHHALARALQGCGGGGGGGLGLRGALPTAGGRWSLLQCRWRSSLPQLDSADSRSDEESGGEIDWDNLGFGLTPTDYMYVMRCSLEDGVFSRGELSRYGNIELSPSSGVINYGQTRVMAACVRTYVLDDQGLFEGLKAYRAANQQGSYMLFRPEENARRMQHGAERMCMPSPSVEQFVHAVKQTVLANRRWVVPPQGKGALYIRPLLIGSGPILGLAPAPEYTFLIYAAPVGTYFKEGLAPINLVVEDSIHRAMPGGTGGVKTITNYAPVLKAQMDAKSRGFTDVLYLDAVHKTYLEEASSCNLFIVKDGVVATPATVGTILPGITRKSVIELSRDRGYQAKQVEERLVSIDDLVGADEVFCTGTAVVIAPVSSVTYHGQRYEFRTGHDTLSQALHTTLTSIQMGLAEDKKGWTVAID >ORGLA03G0089600.1 pep chromosome:AGI1.1:3:6369679:6371084:1 gene:ORGLA03G0089600 transcript:ORGLA03G0089600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDVGHLSDAGLALGLSLGGGGGGTTDAAAAHRGGCRRPSPSSQCPPLEPSLTLSLPDDAAAGAAATATATVSGGGGPAHSVSSLSVGAAAAAAVKRERAEEADGERVSSTAAGRDDDDDGSTRKKLRLTKEQSALLEDRFREHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQRELQELRALKFAPAPPSSAAHQPSPAPPAPFYMQLPAATLTICPSCERVGGPASAAKVVAADGTKAGPGRTTTHHFFNPFTHSAAC >ORGLA03G0089500.1 pep chromosome:AGI1.1:3:6339904:6344659:1 gene:ORGLA03G0089500 transcript:ORGLA03G0089500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:I1P949] MRLHGEVSFDEDEEEVVMVPAAALSSSPLNGGAVPVTRLVVGYALTKKKVKSFLQPKLLLLARKKGINLVAIDDTRPLAEQGPFDVILHKITSKEWQQVLEDYHEEHPEVTVLDPPNAINHLNNRQSMLAEVSDLNLSSFYGEVCTPRQLVIMRDPSSIPTAVAMAGLTLPLVAKPLVVDGTSKSHELSLAYDEASLSMLDPPLVLQEFVNHGGILFKVYIIGETIQVVRRFSLPDVNTYDLLNNVGVYRFPRVSCAAASADHADLDPHISELPPRPLLEKLGKELRGRLGLRLFNIDMIRELGTKDRYYIIDINYFPGFGKMPGYEHIFTDFLLNLAQSKYKKCLSGG >ORGLA03G0089400.1 pep chromosome:AGI1.1:3:6337381:6337841:1 gene:ORGLA03G0089400 transcript:ORGLA03G0089400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQGRINFVDLTVPQPTEQKRERCNAGGIRELLEQMYCVQRRSQRLLQRRQSASGHQHPLTSHHSVGEGLIRNTGDLSAFFRA >ORGLA03G0089300.1 pep chromosome:AGI1.1:3:6332806:6335542:1 gene:ORGLA03G0089300 transcript:ORGLA03G0089300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:I1P947] MDFSGDVKPAIHRPSVAAARGGGNGGAIPLLRGWQAFRRSGAPARLLCFEGGAWADVAGEVVGLLRRAFMEGKAVCEAACGGRVFLFDFMRMVRIDEATAEEAALGWIDDRGACFFPAPEGGRKRKRERDEAGSEVKGEDRRRRQPAAEEDGDEASSGVEERSGESRPEADEPDRKKARGTLWGKAVRLDEADKFYKVVEKLFVSRMAPVAAARGVAITAVHKVAQGPRARAFHLQGQLLAAARGVGDGSNAKFAWYGAPAADVAAAVEHGFGRTNGQFLGGRAHGDGVHLSPPQYPHASAMLTKPDENGEAHIVLCRVLMGRPEAVPASSPQFHPSSDEYDSAVDNLENPRWYVVWSTDMNTRILPEYVVSFRWPNLPQMEGSSGLGSKLKKPSPAATRDMFPMLLTEIQRFVPSPKLQTLQRTYNCFKRGQMKKDQFIRFLRSHIGDNVLTTVAKKLRGY >ORGLA03G0089200.1 pep chromosome:AGI1.1:3:6309474:6309819:-1 gene:ORGLA03G0089200 transcript:ORGLA03G0089200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPAADKRIRQPASNVPIMAQSFAPTRAKLGASNTVSVALCRTMDNDDGLIPSGNQTWCLCLFYKALKLSRNDASNQYCRSSASGKYIKDLRT >ORGLA03G0089100.1 pep chromosome:AGI1.1:3:6305243:6308703:1 gene:ORGLA03G0089100 transcript:ORGLA03G0089100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRAKLVEQLREHQIRSAQSYSAALAVFSPSPHIASRRDLKVALFYAILFCFVMVSCYVALYLRWFRLSAIFVVFGILLPVGLKISRHRRLKRKRERRLLLPLSM >ORGLA03G0089000.1 pep chromosome:AGI1.1:3:6295458:6298994:-1 gene:ORGLA03G0089000 transcript:ORGLA03G0089000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRWLDAAVISGLLQALLFHLATSQSFIGVNYGTIADNLPPPASTANLLKSTSIGKVRLYEPQPDLVAALAGSNISILLGVPNGDVPNLASSPAAASAWAAANIPTTVPVSAISVGNELLNSGDPTLAPQLLPAMQNLLAALPAGSTTKQISTVHSMAVLSSSDPPSSGAFHADLAGSLDPVLDFLKQNGAPFMINPYPYFAYASDTRPETLAFCLFQPNPGRVDAGSGLTYTNMFDAQLDAIRAALDAKGYSGVDIVIAETGWPYKGDADEGGATVDNARAYNGNLVAHLKSQVGTPRTPGKSVDTYLFALYDEDLKGGPESERSFGLYRTDLTANYDIGLAAAPGTAAPATVTPVTVQNTPQPSRGMTPTGYCVTAAGVPGTTQGQQVPQTSSCYIPAGAVSRRADAAVRRLVWLGVLLCLVTLVRK >ORGLA03G0088900.1 pep chromosome:AGI1.1:3:6287592:6294742:1 gene:ORGLA03G0088900 transcript:ORGLA03G0088900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G52050) TAIR;Acc:AT3G52050] MACCCLRASTAPRFLLFRAAARRAPLPVAVSRKGFSEQSVLPITDMIENFQGPSMENTPRIPLYDDSLPSSLLTTSPNPSDSVAHADPSKSRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLVLDMLEFIPSHAAVVFDHDGVPYGHYTAMPSKECHMAKGMTFRHMLYPSYKSNRIPTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDVIGTLAVSSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVEGIGDINAVKLITKFGSLENLLKSVDEVEEERIKQALISQSEQAMLCKSLATLRSDLPSYMVPFKTSDIVFQKPKDDGAKFIKLLRALEAYAEGSSADLIIRRAAYLWNKLNS >ORGLA03G0088800.1 pep chromosome:AGI1.1:3:6262393:6269325:1 gene:ORGLA03G0088800 transcript:ORGLA03G0088800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit gamma [Source:UniProtKB/TrEMBL;Acc:I1P942] MPRSLAGDLTDPEMAQPYMKKDDDDEDVEYSPFYGIEKGAVLQEARAFHDPQLDARKCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSKDAGLRRLVYLMIKELSPSSDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQANPEIVKRWSNEVQEAVQSRFALVQFHGLALLHQIRQNDRLAISKMVSGLTRGSVRSPLAQCLLIRYTSQVIRESSMNTQTSDRPFFDYLESCLRHKSEMVILEAARKIAEMDVTSRELAPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTRPLAVTNCNVDLESLMSDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSMMNFLSNSLREEGGFEYKKAIVDSIVTLISEIPDAKEIGLLYLCEFIEDCEFTYLSSQILHLLGNEGPRTSDPSRYIRYIYNRVILENATVRASAVSTLAKFGALVDALKPRIFVLLRRCLFDTDDEVRDRATLYLQTLDGEVAVGSTEKDVKEFLFGSFDVPLANLEASLKTYEPSEEPFDISLVSREVKSQPLQEKKAPGKKPPAGAPAPAPVPAVDAYQKILSSIPEFSGFGRLFKSSEPVELTEAETEYAINVVKHIYSSHVVLQYNCTNTIPEQLLENVTVYVDATDAEEFSEVCSKPLRSLPYDSPGQIFVAFEKPEHVPATGKFSNVLKFVVKEVDTSTGEVDEDGVEDEYQIEDLEIVSADYMLRVAVSNFRNAWENMDPESERVDEYGLGVRESLAEAVSAVISILGMQPCEGTEAVPKNARSHTCLLSGVFIGDAKVLVRLSFGLSGPKDVAMKLAVRSDDPEVSDKIHEIVASG >ORGLA03G0088700.1 pep chromosome:AGI1.1:3:6255606:6256286:1 gene:ORGLA03G0088700 transcript:ORGLA03G0088700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWQNSVDQGGGWHAQYSPGQAPPSSEMSGSHGAGPLPPPSPGMALGFSKSSFSYDELALATGGFSSANLLGQGGFGYVYRGVLAGSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGSSQRLLVYEFVPNDTLEHHLHGLHIFPALFPAIVTRHSYVRFLFDSDTRVCRMRAGKGVPVMAWPTRLAIALGSAKGLAYLHEDCKVPNKLFV >ORGLA03G0088600.1 pep chromosome:AGI1.1:3:6243861:6245636:-1 gene:ORGLA03G0088600 transcript:ORGLA03G0088600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASLSLAFSPLLLPTPRPRPYSRPINPGFPTPLRLSLACSPPSRRAGPVAAVPDGVAVADVVEKDWSFLDAAAAAAAAGGSLPRALAAGALSPASRVLAVTPAPSFVDALLSGHPCELLVAAHESLYVLAGIKEGHDEVRCFHLEGGGGGRGGGVVEGVPERFDAFDAVFVCYFPGMGVSAAALLKSLAKRCSKGGRVVIFLDQGRQSLEQHRREHPEVVTADLPTRPSLEKATAGSKFEILEFVDEPTLYLAVLQFQ >ORGLA03G0088500.1 pep chromosome:AGI1.1:3:6236301:6242702:1 gene:ORGLA03G0088500 transcript:ORGLA03G0088500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVAAETAVASASGSGIWSRRRDEITLDRLQKFWNGLPPQARQELLKLDKQTLIEQARKNLYCSRCNGLLLESFMQIVMYGKTLQRDASVNRLNTTGETRIRQGEQEDPSVHPWGGLVATKDGILTLLDCFVNAKSLRVLQNVFDNARAREREREMLYPDACGGSGRGWISQRLASYSRGYGTRETCALHTARLSCDTLVDFWSALSEETRLSLLRMKEEDFMERLMRRFESKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTDFQCEVFEDAVIIDWRQTLSEADGSYHHFEWAIGTDEGQSDVFGFEDVGMNVQVHRDGINLDQFEDYFITLRAWKLDGTYTELCVKAHALKGQSCVHHRLVVGNGFVTITKGESIRSFFEHAEEAEEEDEEDAMDRDGNDLDGDGLHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTARQNAHSIFVSLALELLEERVHVACKEIITLEKQNKLLEEEEKEKQDEQERRMRRRTKEREKKHRRKERLKEKERDKGKEILGLKSSDDNSCSTLRNSTSTNDESTNTPDSRDSASEEEDNSTVVDLCSPDTFVDQTACREISVQNNMDYCNTLTEFARTNSSDLFTSGQSKSSRWNLRLRKDFHQDQSSCCYDECGDENGSIGDFQWQSKERTRHSARSCNSVFTTNNRTRDRHNYISFSCDPRDDYVINDSCSSSSTGSGRETKMARKTGVERPRVQYRRCYPLDNFIVSKESRTGNTQQKNVAPKQVWEPMDSQKKNFLDNKNNGSGAVCNVDPTKLVEQDSSECPNFDAGHEPLSQSSERSRDICKSETDQPCENNEKNQATSCGGTIMVDKQDCYSTKDEGSGHDEELMMNSTSSDGLSSCTSEADRESSTSSVTSLSAQHQESSSSDSEESPERVNSIEEAPSTKTVSRSLLEACAGKGFREYQPKAMHRPHNDRLGFNIPPFQDQLLHHQSMHVPTHSSAIMGLHNHPWAAPASGYMQYAQPSHFYSNPLGFGVPGKQSPDFPVQYSNVHHFPAPAFSYAPPEPIRKTTPSFRVMHTSPPYRNGLHQSQTVGHPHGDPTLERHPSQPKPLDLKDAPGENKSSPEGNASFSLFQFNLPIAPPAPPSSKDDTSGESATRTPLAQVQVQPCSREQTDVKEYNLFCSKNGSMFSFISR >ORGLA03G0088400.1 pep chromosome:AGI1.1:3:6230449:6231148:-1 gene:ORGLA03G0088400 transcript:ORGLA03G0088400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRGEPNNGSGPPPPSLKHVIFHVIINGEVEGIGPNSPKLSYMSGTSIHGTWSISEGVNVFTGRQSHGRTTDCNGTTTSDKGYNCRRIILQS >ORGLA03G0088300.1 pep chromosome:AGI1.1:3:6225843:6229806:1 gene:ORGLA03G0088300 transcript:ORGLA03G0088300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G58060) TAIR;Acc:AT3G58060] MEAKGENDARAPLLAERRRNSVGSMRGEFVSRLPKKVLDAVDPERPSHVDFSRSKGLREGEKEYYEKQFATLRSFEEVDSIEESNVMSEEDDIAEQKQSEFAMKISNYANMILLALKIYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFVQAVEKLIVNETPDKLTPVQLTWLYSIMIFATVVKLALWLYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDMFYWWIDPVGAIALAVYTITNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVLYFVEVDIELPEELPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHNILSKLPSSQP >ORGLA03G0088200.1 pep chromosome:AGI1.1:3:6218451:6219020:1 gene:ORGLA03G0088200 transcript:ORGLA03G0088200.1 gene_biotype:protein_coding transcript_biotype:protein_coding YAMTGQLNQKSDVYSFGVILLKLLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVRQCVDPKLGDDYPPKAVAKMAAVAALCVQYESDFRPNMTIVVKALQPLLSKPAGAGGP >ORGLA03G0088100.1 pep chromosome:AGI1.1:3:6216615:6217447:-1 gene:ORGLA03G0088100 transcript:ORGLA03G0088100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEGNNGVSGGAVSFSEEQEALVLKSWAIMKKDSANIGLRFFLKIFEVAPSASQMFSFLRNSDVPLEKNPKLKTHAMSVFVMTCEAAAQLRKAGKVTVRDTTLKRLGATHFKYGVGDAHFEVTRFALLETIKEAVPVDMWSPAMKSAWSEAYNQLVAAIKQEMKPAE >ORGLA03G0088000.1 pep chromosome:AGI1.1:3:6208602:6210038:1 gene:ORGLA03G0088000 transcript:ORGLA03G0088000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVPLPRRPVPGSYGVPFVSAVRDRLDFYYLQGQDKYFESRAERYGSTVVRINVPPGPFMARDPRVVALLDAKSFPVLFDVAKVEKRDVFTGTFMPSTSLTGGYRVCAYLDPSEPNHAKIKQLLLSLLVSRKDAFVPVFRSNFGALLDTVESQLTSGGGKSDFTALNDATSFEFIGEAYFGVRPSASSSLGTGGPTKAALWLLWQLAPLTTLGLPMIIEDPLLHTLPLPPFLISSDYKALYAYFAAAASQALDAAEGLGLSREEACHNLLFATVFNSYGGFKLLLPQILSRVAQAGEKLHERLAAEIRSAVADAGGNVTLAALEKMELTRSVVWEALRLDPPVRFQYGCAKADLEIESHDASFAIKKGEMLFGYQPCATRDPRVFGATAREFVGDRFVGEEGRKLLQYVYWSNGRETENPSVDNKQCPGKNLVVLVGRLLLVELFLRYDTFTAEAGKKVVITGVTKASTSAVNRTA >ORGLA03G0087900.1 pep chromosome:AGI1.1:3:6199423:6203531:1 gene:ORGLA03G0087900 transcript:ORGLA03G0087900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAARLLLPLVVVLLHAARGSAGSTGGGGNGSCTQSCGRMRVPYPFGFSRGCTVQLGCDDASGTAWLGGTRGLGLLVSNVTPRAIVLTLPPNCSRPLNESLDALFTDNYAPTAQNALVVSSCDPQAAARLSNCSIPPEAYLEKSCNSIRCVLPSTKGNVDGTNVTDPFLNRSEMRRLGSDCRGLVSASIYSNTAGPALQLTALELDWWVQGRCGCSSHAICDGFTPPSTQKEAFRCECQEGFEGDGYTAGAGCRRVPKCNPSKYLSGSCGKLVQIGLLVAGVFFGAMVMGITCLVYHLLRRRSAALRSQQSTKRLLSEASCTVPFYTYREIDRATNGFAEDQRLGTGAYGTVYAGRLSNNRLVAVKRIKQRDNAGLDRVMNEVKLVSSVSHRNLVRLLGCCIEHGQQILVYEFMPNGTLAQHLQRERGPAVPWTVRLRIAVETAKAIAYLHSEVHPPIYHRDIKSSNILLDHEYNSKVADFGLSRMGMTSVDSSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKAVDFSRVGSEVNLAQLAVDRIGKGSLDDIVDPYLDPHRDAWTLTSIHKVAELAFRCLAFHSEMRPSMAEVADELEQIQVSGWAPSTDDATFMSTTSSLCSSAPSRCTDKSWGTAKSKRQAAANAVVKQETTKGAVADSPVSVQERWFSDRSSPSSNSLLRNSSLN >ORGLA03G0087800.1 pep chromosome:AGI1.1:3:6190958:6197218:1 gene:ORGLA03G0087800 transcript:ORGLA03G0087800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Conserved oligomeric complex COG6 (InterPro:IPR010490); Has 384 Blast hits to 379 proteins in 190 species: Archae - 0; Bacteria - 4; Metazoa - 151; Fungi - 156; Plants - 42; Viruses - 0; Other Eukaryotes - 31 (source: NCB /.../k). [Source:Projected from Arabidopsis thaliana (AT1G31780) TAIR;Acc:AT1G31780] MAAALAPGVSRKLKKVLETRTDNPDLLASLGALSTFYVQNTPQARRNLKSSVEQRGLTINRHFLDASLPAHKALDRVEGEVHALNDSWKKIEEALGSCSASTGDIISTTERLQQELEVITQRQEIVSCFLRDYQLSNEEIHALRDEEIDEKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQVECKRLGDTDNPEVSELLKKAVRCLKERPVLFKYCAEEVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELIVVLLDPDAMTDSGPTTRRYSVREGDPSKGEHDITFVLDRIFEGACRPFKVRVEQVLQSQPSLIISYKLSNTLEFYGYTISDLLGGDAALCNTIWSLRDATQQTFFNILKSRGEKLLRYPPLVAVDLSPPPAVREGISLLLELINTYNSMMVPASGKRPNFDPVISAILDPIIQMCEQAAEAQKSKGTIARRGRTSSDPTGNNRDSISVDAILSKNSSTSFLSGESSSKIYLINCLSAIQEPLMGQDVATSYINNLRSMIETHLHTLVDKEADSILRKCGLSNKMPYIKDYSSTGSKDDARPLADIVETSPQMLLECLKAFYGLVTGTEGSLPEFEQLQVPRLRSDACYGLARALAEAYELIYKAVVDPKNCYPDPRSLVKHSPEQIRTILEI >ORGLA03G0087700.1 pep chromosome:AGI1.1:3:6182987:6190044:1 gene:ORGLA03G0087700 transcript:ORGLA03G0087700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin, Nup133/Nup155-like [Source:Projected from Arabidopsis thaliana (AT2G05120) TAIR;Acc:AT2G05120] MFSPAIKKPHLLHRRDKEEASPSPPPAPAHTPAPRGFAVHDRPATGTPAPWTSSSLLARISTSTRTDRTGDSGQIQPVHVSEFPQIVRNAQANLLQKSFSGKNMLAGGIDKETSLAWMLCGNELFIWSYLASVAKDCLVLEVPSSLMGNKEEKSLCGNQWAVCIVRWGSSGASTRSSGDILHRRSSTGFILCNKRTQAIAYWSDIYAESSKSPVLDLIGYGDTSSGDGTSGNCRINSLIAVAVPGGINECIVIASQPTGTLWMFWCSPAAVRRREIHKGTLGVYNADHSQKNSGGRSLAWLPSKASSKAAERTFFLLTSNELQCWSISFGHDINCKKIGSQEIVGSDGDMGIKKDIAGQKNIWLLDMQIDDHGKEIIILVATFCKDRVSGSNYTQYSLLTMLYRPNQKFSSEDNVIKTERFLEKKAPSQVIIPKARVEDEEFLFSMRLKTGGKPSGSVIILSGDGTATIAIYWRGSTRLYQFDLPWDAGKVLDASVIPSSEDRDEGAWVVLTEKAGVWAVPEKAVLVGGVEPPERSLSRKGSCNEAVAEEKRRNQAFNASVVPRRASSEAWSAGERQRPALTGIAQQAVVDEESEMLLNRLFHDFVLSGTVHEALQKLRAAGAFDKEGEMNISVRISKSIVNTLSKHWTTTREAEFLASTIVSSLTEKQQKHKKFLQFLVLSKCHEELSSKQRTAMLTVMEHGEKLSGMIQLRELQNALSHQRSSINLSPQSKNQTTGALWNLIQLVGEQSRRNTVLLMDRDNAEVFYSRVSDIEDLFNCISHQLQYIITGEENPSVQMQRALELSNACMTLVQAALRYREEHKDWYPSPEGLITWNSQPVVRSGIWRVASFVMELLREPGAADMSMKSNLWSQLERLTDILLDAYIGLLTAKFERGDEHGVLIQEYCDRRDELLGSLYDLAKQIVDAKYQETTEVTDNLELKESIFREVTSPILATAKRHEGYQTLWQICYDLSDTGLLRSLMHDSVGPHGGFSFFVFKQLVNRRQHAKLLRLGEEFPEELANFLKERDDLLWLHEICLNRFSSASKTLHTLVSPEEDANLTSNRKSLSFVERRRFLYLSKIAAAAAGKDVDYEVKVAHIDADIQILNLQEEIVQHDPEYAPDKYTTKPFRPLELIEMCLKGDRELSLKAFEVFAWTRASFRSSNKGLLEACWMNAADQDDWVSLQEESSGGWSDEVIQESLQGTVLFNASRLCYSPDAVVYDGSFEDVLPVKKEDVHLRGLEGRCLSVEEVLMQHKDFPDAGKLMMTAVIMGKELPYTVSTAEPVDMDS >ORGLA03G0087600.1 pep chromosome:AGI1.1:3:6176099:6179846:1 gene:ORGLA03G0087600 transcript:ORGLA03G0087600.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALQGLKGKETRAEPDVSSVSKASGGSSEHINKLPPPVPVQEEEEAPEWLDVLLRTKFWGQCKQHWDASRAEVCIFCLSCRQVLCPRCSHDEPGHRLLKVRRYMYRSVVLARDLQDLNVDVSRVQTYIVNGQKGVHLRPMRRSPQFKPHVGVDISQDDFSGPEAERRHKQTLGIVVESSPQQSIPQPFDASPVRNEDATMVEAECGQVQTNATESESSAVGDADEVIPKVTKFNVDIHSLRRRVRKQAAPQRAPFF >ORGLA03G0087500.1 pep chromosome:AGI1.1:3:6173408:6174820:-1 gene:ORGLA03G0087500 transcript:ORGLA03G0087500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRPRLASLTRRLSTCRDGDLAALLSVLRSPPASSTSLPRALSSAFPSPSDSFPLGKLPSLLPLLPSPLLSLRFLLWRLPPSSPLPSSYALSSLAASLPDLPSAVPLLLSSSPQPLPLRHYALLLGISAHAGLFPASLALLRHMRSFGLAPDAACFRSALRSAGSPGDVCAVLGIMSACGVSPSVPLVVTSVHKLATAGDFVGARQLIEKMPEFGCVTNVAVYTALLDGMCSFGDVDAALGLVEEMEGWSLGAGCVPNVVSYTCLVKCLCGKKRMGEALSLLDRMTGRGVMPNRVFVRTLVGGFCSEEMVADAYAVVERVVSDGSVSSDQCYNVLLICLWRVGMDGEAEGLVQRMMKKGVRLSPLAASVMVRELCNRNRLLDACYWIGVMEENGVLCDTDVYNGLLLRLCVEGHVGEALALAKKVAERGILIEASCADRLMDLLKQYGDEELAPKISELRRCSEVLSH >ORGLA03G0087400.1 pep chromosome:AGI1.1:3:6167328:6171590:-1 gene:ORGLA03G0087400 transcript:ORGLA03G0087400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTMLASVPTRPRSHPFRRRRGAAAAAPPLLPDQIAAAAAAAAKRPAESSTSASSCFHSEVISATFTTCPTSLAAAQRPEKRPRYQDVDEEQPAASECSEIIGGARPRAAEVEVSESSCLASVLESYLACPEQLANDAETTAYSSAREDLTLSETEEEEEEEEVRSGPCVCTDCSFSPLHESSSSSDDDNAVPSPTFSLFLALAEQFVPFTHPKTPTATDVALQAGEGKRFEDLDNEVSYERFRRRERRGVVARDYIEVYSSMLGSYGRAVVEQRVVMVNWIMEHSQAMKLQPETVFMGIGLMDRFLTRGYVKGSRNLQLLGIACTTLATRIEENQPYNCILQKAFKVGINTYSRSEVVAMEWLVQEVLDFQCFVTTTHHFLWFYLKAVNADDRVEDLAKYLALLSLLDHKHLSFWPSTVAAAVVALACLATNNESSCHLVMETHMRTKNDDLPECLMSLEWLTNYAS >ORGLA03G0087300.1 pep chromosome:AGI1.1:3:6158801:6159820:-1 gene:ORGLA03G0087300 transcript:ORGLA03G0087300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRQRRHLPHLTLPLDHFALRPPPAPQQQQQPAVAPSTSSDVRLSDFERISVLGHGNGGTVYKARHRRGCPAQQPLALKLFAAGDLSAAREAEILRLAADAPHVVRLHAVVPSAAGGVEEPAALALELMPGGSLAGLLRRLGRPMGERPIAAVARQALLGLEALHALRIVHRDLKPSNLLLGADGEVKIADFGAGKVLRRRLDPCASYVGTAAYMSPERFDPEAYSGDYDPYAADVWSLGVAILELYLGHFPLLPVGQRPDWAALMCAICFGEAPEMPAAASEEFRDFVSRCLEKKAGRRASVGELLEHPFIAERDAADAQRSLAALVAEAEQSGDL >ORGLA03G0087200.1 pep chromosome:AGI1.1:3:6155036:6155338:-1 gene:ORGLA03G0087200 transcript:ORGLA03G0087200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTRRTSRDLHFMCDKLRIEARAAIQNMDVCSGYAALQRHRQAFVRGKKMTQNMVKDTEPRAITKKCASGESNPVSTVGGYYDTTTPDALLWCWKLVPL >ORGLA03G0087100.1 pep chromosome:AGI1.1:3:6151163:6154102:1 gene:ORGLA03G0087100 transcript:ORGLA03G0087100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKRSPQHPAAAAPPPAVGGGGGGEVSGDGGASTANGPVVPKPSEVAPFLTKVYDMVSDPATDNVISWAEGGGSFVIWDSHAFERDLHRHFKHSNFTSFIRQLNTYGFRKVHPDRWEWANEGFIMGQKHLLKTIKRRKKSSQEAPSEIQKAPVKTAPGTENIEIGKYGGLEKEVETLKRDKALLMQQLVDLRHYQQTSNLEVQNLIERLQVMEQNQQQMMALLAIVVQNPSFLNQLVQQQQQQRRSNWWSPDGSKKRRFHALEQGPVTDQETSGRGAHIVEYLPPVPETSGQVNPVEGAICSANSQPVPSPAVATPMDMQTSNVADTLGSSEEPFTDNSTLHEWDDNDMQLLFDDNLDPILPPFENDGQMGPPLSVQDYDFPQLEQDCLMEAQYNSNNPQYADVITEA >ORGLA03G0087000.1 pep chromosome:AGI1.1:3:6147638:6149786:1 gene:ORGLA03G0087000 transcript:ORGLA03G0087000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSAKVFRRFVHDAIERPDEFILHPAAPLPPSVEIVRVMDIMSSMLIRSTLRLLAGFNENVMKKAVHLQVGFLGGLTVEE >ORGLA03G0086900.1 pep chromosome:AGI1.1:3:6134373:6137450:-1 gene:ORGLA03G0086900 transcript:ORGLA03G0086900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLDLATGAADQAPAPAALGALRRRLPRVVTTAGLIDDSPLSPSTPSPSPRPRTIVVANHLPIRAHRPASPSEPWTFSWDEDSLLRHLQHSSSSPAMEFIYIGCLRDDIPLADQDAVAQALLESYNCVPAFLPPDIAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRALWQSYVSANKIFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHICLEYYGRTVSIKILPVGVNMGQLKTVLALPETEAKVAELMATYSGKGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGKDVDEVKGETYAMVRRINEAYGAPGYEPVVLIDEPLQFYERVAYYVVAEVCLVTAVRDGMNLIPYEYIVSRQGNEALDRIKPEEKKSMLVVSEFIGCSPSLSGAVRVNPWNIEAVADAMESALVLPEKEKRMRHDKHYRYVDTHDVGYWATSFLQDLERTCKDHAQRRCWGIGFGLRFRVVSLDLSFRKLAMEHIVMAYRRAKTRAILLDYDGTLMPQAINKSPSANSVETLTSLCRDKSNKVFLCSGFEKGTLHDWFPCENLGLAAEHGYFLRSSRDAEWEISIPPADCSWKQIAEPVMCLYRETTDGSIIENRETVLVWNYEDADPDFGSCQAKELVDHLESVLANEPVSVKSTGHSVEVKPQGVSKGLVARRLLASMQERGMCTDFVLCIGDDRSDEEMFQMITSSTCGESLAATAEVFACTVGRKPSKAKYYLDDTAEVVRLMQGLASVSNELARAASPPEDDDE >ORGLA03G0086800.1 pep chromosome:AGI1.1:3:6128408:6132764:1 gene:ORGLA03G0086800 transcript:ORGLA03G0086800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:I1P922] MAPVEDGGGVEFPVGMKVLVVDDDPTCLAVLKRMLLECRYDATTCSQATRALTMLRENRRGFDVIISDVHMPDMDGFRLLELVGLEMDLPVIMMSADSRTDIVMKGIKHGACDYLIKPVRMEELKNIWQHVIRKKFNENKEHEHSGSLDDTDRTRPTNNDNEYASSANDGAEGSWKSQKKKRDKDDDDGELESGDPSSTSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGIANPFCPPASSGKVGSLGGLDFQALAASGQIPPQALAALQDELLGRPTNSLVLPGRDQSSLRLAAVKGNKPHGEREIAFGQPIYKCQNNAYGAFPQSSPAVGGMPSFSAWPNNKLGMADSTGTLGGMSNSQNSNIVLHELQQQPDAMLSGTLHSLDVKPSGIVMPSQSLNTFSASEGLSPNQNTLMIPAQSSGFLAAMPPSMKHEPVLATSQPSSSLLGGIDLVNQASTSQPLISAHGGGNLSGLVNRNPNVVPSQGISTFHTPNNPYLVSPNSMGVGSKQPPGVLKTENSDALNHSYGYLGGSNPPMDSGLLSSQSKNTQFGLLGQDDITGSWSPLPNVDSYGNTVGLSHPGSSSSSFQSSNVALGKLPDQGRGKNHGFVGKGTCIPSRFAVDEIESPTNNLSHSIGSSGDIMSPDIFGFSGQM >ORGLA03G0086700.1 pep chromosome:AGI1.1:3:6110443:6115083:1 gene:ORGLA03G0086700 transcript:ORGLA03G0086700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSAARALNSVSRAAFSWKPTGLPQQTLAAAVSRSGVGLHSGAKTTATLLPARAGEGRYFVVEGEETRVAAEVANAEAHSPLCTALRRGGARVRTVEHLLSAMEALGVDNCRVEVSGGDEIPLLDGSAQEWVMAIRGAGQCAAKDSSGQKLEKLAPEIHEPVYLQKSDCFIAALPSSRIRITYGIDFPKVPGIGCQRFATVLDANVYSSKIAPARTFCIFEEVEKLRSAGLIRGGSLENATVCSISGGWLNPPLRFEDEPCRHKILDLIGDFSLLAQNGNQGFPLAHVVAYKAGHALHTDFLRHLLGRSAVGQENLAEQC >ORGLA03G0086600.1 pep chromosome:AGI1.1:3:6086448:6093723:-1 gene:ORGLA03G0086600 transcript:ORGLA03G0086600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G01690) TAIR;Acc:AT2G01690] MAADALSIIPGAVLRNLSDKLYEKRKNAALEIEGIVKQLATSGEHDKISAVIALLTNDFTMSPQANHRKGGLIGLAAVTVGLTSEAAQHLEQIVPPVLTSFLDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPNVDYGRMAEILVRRAGSSDEFTRLTSITWINEFVKLGGEQLVPYYADILGAILPCISDQEEKIRVVARETNEELRAIKADPTEGFDIGAILSIAKRELNSEHEATRIESLHWFSTLLVRYRAEFLAYLNDIFDPLLNALSDPSDAVVLLVLEVHARIAEESHHFHHLVSYLIHTFHNNHVLLEKRGALIVRRLCILLGAEKVYREFSTILETEGDLEFASTMVQALNLILLTSTELAELRSLLKKTLVDSCGKDLFQSLYASWCHSPMATISLCLLAQAYNHAISVIQSLGEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGKHTWLLKTLYGLLMLLPQQQSAAFKILRTRLKTVPFSENLKRTSSTNPYSQILQVTEDGNRNQDTQSYNAINFPSRLHQFESMQQQHRVHLKNQLQSQKSASAIVLSQEIQRYEEAHSSSTSEIGRPPSRTSRGIS >ORGLA03G0086500.1 pep chromosome:AGI1.1:3:6075854:6079716:-1 gene:ORGLA03G0086500 transcript:ORGLA03G0086500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:I1P919] MANLTDLVNLNLSDCSDKIIAEYIWVGGSGIDLRSKARTVKGPITDVSQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGDNILVMCDCYTPQGEPIPTNKRHSAAKIFSHPDVVAEVPWYGIEQEYTLLQKDVNWPLGWPVGGFPGPQGPYYCAAGAEKAFGRDIVDAHYKACIYAGINISGINGEVMPGQQWEFQVGPSVGIAAADQVWVARYILERVTEVAGVVLSLDPKPIPGDWNGAGAHTNFSTKSMREPGGYEVIKKAIDKLALRHKEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTGMIAETTLLWKQN >ORGLA03G0086400.1 pep chromosome:AGI1.1:3:6074111:6074718:1 gene:ORGLA03G0086400 transcript:ORGLA03G0086400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGGATNAVWAAGGATRAPAGAAAARVGVAAVTAPTPALPRGPARRRCRMARRRKARPAARARRRPVLDLRGGRLSLGVEGMAWWSGDGRCARWLCGVLMGNRWRKPCQAMGWHDDGDAIWRHSPPWRRRPGVDPS >ORGLA03G0086300.1 pep chromosome:AGI1.1:3:6070737:6073108:1 gene:ORGLA03G0086300 transcript:ORGLA03G0086300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPKMVTGWAARDANGLLSPFSYPLRAKGDEDVVVKILFCGICHSDLSTIKNEWGNAKYPVVPGHEIVGVVAEVGSSVARFAAGDTVGVGYIASTCRACANCRDGFENYCAGLVPSFNAALPDGATVHGGFSELAVVNQRYVVRIPGGGGGASPAPLDRLAPLLCAGVTVYCPMRRLGLDRPGVHLGVAGLGGLGHLAVKFGKAFGVKVTVISTSPWKEAEAVERLGADAFLLSTNAEQMKAAAGTMDGIIDTVSAVHDLTPLITLLRTHGQLVPVGSPGKPVQLALYPLQSDGKSVAGSMIGGMRDTQEMVDFAVEHGVAAEVEVIGMEDVNGAMERLQKGDVRYRFVIDVANTMARAR >ORGLA03G0086200.1 pep chromosome:AGI1.1:3:6061474:6063096:-1 gene:ORGLA03G0086200 transcript:ORGLA03G0086200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIINLKFVVLANRISMAAILLLLLVFLVSVALVVRTWSASRRSHEKARYATAELRPYPLVGHLPQFLANRHRILDWMTEVLSRQPTRTFVLRRPGGVRGVITANPANVERFLRSGFDNYPKGERFASLLHDFLGRGIFNADGEAWRSQRKAASYEFNTRSLRAFVARCVHGELHGRLLPLLRRAAAEGRAIDLQDALERFAFDNICRVAFDHDPGQLPDASGGGALAEADDGSTASGRFADAFRDAANLSAGRFRYAVPWFWRVKKALHIGSERRLRESIAIVHDFADRIIRSRREEIRAGLEKHDLLSRFMASHDESYTEVALRDVVISFLLAGRETTSSALTWFFWLLSSRPDVERRIREEVATVRARRGDGDVDRVGFDLDELREMQYVHAAITESMRLYPPVPVDSLHAQEDDVLPDGTAVEAGWFVAYNSYAMGRMESVWGKDAAEFRAERWLEDAAAATFRPESPFRYVSFHGGPRVCLGKEMAYIQMKSIIACVLQELELAVDGAYRPRQVTSLTLRMADGLPTRVKVRVN >ORGLA03G0086100.1 pep chromosome:AGI1.1:3:6051173:6054276:1 gene:ORGLA03G0086100 transcript:ORGLA03G0086100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMPAPAVALAVLVFLSAVPGYFSDDLNTDAQALQALRSAVGKSALPSWNSSTPTCNWQGVTCESGRVTELRLPGAGLMGTLPSNVLGNLSALRTLSLRYNALTGPIPDDLSRLPELRAIYFQHNSFSGEVPASVFTLKNLVRLDLAGNKFSGEISPDFNKLNRLGTLFLDGNSFTGEIPKLDLPTLSQFNVSYNKLNGSIPRSLRKMPKDSFLGTGLCGGPLGLCPGETALTPAGSPEVQPAGGGAADAGGASSGTKKKLSGGAIAGIAIGCVFGVLLLLALIFLLCRKKSSSSTPATAVEKGRDLQMAPMDMEPKGQNGSAGNGAHVGAAAAAPAAATSAAVAAAAAAAKTGGATGGSKKLIFFGPMAAAPPFDLEDLLRASAEVLGKGAFGTAYKAVMESGSAVAVKRLKDVDLPEPEFRERIAAIGAVQHELVVPLRAYYFSKDEKLLVYDYMSMGSLSALLHGNRASGRTPLDWETRSAIALAAARGVAHIHSTGPTASHGNIKSSNVLLTKNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPSMSEVAARIDEIRRSSLGDRPATDSAGEGEEPSL >ORGLA03G0086000.1 pep chromosome:AGI1.1:3:6044698:6046762:1 gene:ORGLA03G0086000 transcript:ORGLA03G0086000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPPRPPHRIHRRVPAACCLFLLLLALVLLPSAAAKSSRRPITDNEIREKKSACYTDVENGLWGWACKSSATEKENCVLRCLSPECYDLIYGGDPLEEGELDYIRGHEYKYCMHKSSLGESLDGVKGSFSYS >ORGLA03G0085900.1 pep chromosome:AGI1.1:3:6042288:6042930:1 gene:ORGLA03G0085900 transcript:ORGLA03G0085900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFISNQERTLVQEIAALKETGCISVPETKNRENLGERNSHSSTGKRRSLWRSIRNLFRGDDGDTRFASISAQSVTPLWTPRRGSHPAVLLLEGFLMITVLLFVISRTRIIRSTPKRYDRPTEAKEADGET >ORGLA03G0085800.1 pep chromosome:AGI1.1:3:6038187:6040492:1 gene:ORGLA03G0085800 transcript:ORGLA03G0085800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALIVPANPNPTPNPTSSLRPPSRAASSVLRFPRRDRARTHLTAAFGRGSPAAAAERGGQDYYATLNLRRDATLQEVKTAYRTLARKYHPDMNKDPGAEEKFKEISAAYEILSDEEKRSLYDRFGEAGLTGDYGGGDIGSNGIDPYELFNAFFGGPDKLFRDSMGAGRFHYGTKVTDNRALDIRYDLLLSFEESIIGGKREVSIFRYETCGTCHGTGAKSSNDITECTQCRGQGRLMKTQRTPFGIVSQLVD >ORGLA03G0085700.1 pep chromosome:AGI1.1:3:6034335:6036764:1 gene:ORGLA03G0085700 transcript:ORGLA03G0085700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLIARLPTRRPHLSLRQRSYKLAPRITMASSSSSSPPSSDPSLETVAPHAAVTGERKLNPNLQEQLPKPYLARALAAVDPSHPQGTRGRDARGMSVLQQHAAFFDRNGDGIIYPWETFQGLRAIGCGYPVSIAGAILINLVLSYPTQPGWMPSPLFSIHIKNIHKGKHGSDSEAYDTEGRFDPSKFDAIFSKYGRTHPNALTKDELNSMIKANRNMYDFIGWITSAGEWMLLYSVAKDKEGLLQRETVRGAFDGSLFERLQDSKKSA >ORGLA03G0085600.1 pep chromosome:AGI1.1:3:6024166:6024330:-1 gene:ORGLA03G0085600 transcript:ORGLA03G0085600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMDDLSGQKRICVIRDVYEHHRLGFPSGSGLLCCWATGLWESEKMKKAMGAG >ORGLA03G0085500.1 pep chromosome:AGI1.1:3:6006800:6010043:1 gene:ORGLA03G0085500 transcript:ORGLA03G0085500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AISAHTLSFPSSLPSSLIFPLGIARCRRRSAPLYRPLDSRSSTSRRHSDANRGNSPAAPHSLDIYISSPAAPHTHNANENIQFRSISALSVMNSQKQNWHDQSRARSIMTCNTEWHGGSSTVIGDSFFKGFQSDKPGKLCFDDDRQSRWRRLANFGGFVDWAFAQRGDADIQSVIIFMSRLDSATPEQVNEWLRYAVRRVVKTFWFNACDSTPIGAWWAPPPRDHGHQLPTVELPSHGRTASINLNLSSYPFRLKLPASPAARYEALTDLSLSSAWFGEDEAVAGRRTLADFISSCCPRLRKQIIDPMRLPQLVLRAEALEELIVASTRDTQTMDVTAPNLRIFELHYFNSMTSVTSYGESIDLVVRITAPRLEEIAINNSTLEIEDNLDLRIHGLASVRRLKNLTLAMHGHNCCNTDYG >ORGLA03G0085400.1 pep chromosome:AGI1.1:3:6001834:6004567:1 gene:ORGLA03G0085400 transcript:ORGLA03G0085400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHDDPADDLDRISALPDDLLHIILSNLNNATTVTRTAVLSRRWRRVWTNAQALYFADMNPKRRRAIKPGQFGSFVDWAFAERGDADIQSLTIHMSYRKSATQDQINDWLRYAMRRAVKAFRFYYFSNARDGQDLQLLPIVELPSHARTASIVLFLGSSRLRLPASPAACYEALTELNLRWVSFDEEEGASAGGRTLDDFLSTCCPRLRKLEMSSLKLLSRLVLRTESLEELRISYANDLQSLDVTAPNLRVFTHTFCWYGLTLDDFNARSVIKIVAPRLEEIAMDNQVFMNTPDLHIHGLTSVRRLCDLNLTVHGQYCSNRGYGLWLLKNCPNVKHVDLLLKSSVFTTDEELADLTDESAPRLHKVRSMVLKTSKLPHHHFTASVRSFLLMCPGLRSLCINITERGKGAWWGVDGGTRLWVPDPMSIAAVGSEVRCGASIDGWRGLGPALMAAATSCGVQGFEVACSLRDPAPASTAVASIGISLFKDRDTLANHPKLTLELLQEVTITGFTRTDEEIDLVSLLFGSSSSIMSVTIHATEKEDTEKVSLKNIMVENDDNDDDTTTHQQLLEIPFTDHGCWRFQGDVYSWKRYTTEDALSDSVTSGAC >ORGLA03G0085300.1 pep chromosome:AGI1.1:3:5998859:6000551:1 gene:ORGLA03G0085300 transcript:ORGLA03G0085300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTRSYPMDVDDELADDLDRISALPDDLLHVILSILGDATMVTRTAVLSRRWRRVWTHAQKLSFVDTDPKIRAKPGQFGGFVDWALAQRGDDNIQSLSISMPTSDSATPEQINDWLRYAMQHTIKTLKLCSPYHSSYETDDDHPLPILELPSNARTTNLGLRMRGQYSCNTDYGLWLLKNCPNIEHLDIYLRHMFSMNGLIDLMDKGAPRLHKVRSMVMKTSYLWPEHRFVTCVRPLLLMCPGLRSFCVKISGRDKIPLFEDPNTLANQPNITMDFLHEASIIGFTGTDQEMHLVSFLFGCSTSITCMTILPECDDNDDPNRSQLLEIPFTGHGCWHFQRDKYTWKRTQYEGAQGKSCAY >ORGLA03G0085200.1 pep chromosome:AGI1.1:3:5996082:5998079:-1 gene:ORGLA03G0085200 transcript:ORGLA03G0085200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MA3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G22730) TAIR;Acc:AT1G22730] MSPMESRPDDLVPPAMHKPAIQCAAAEDASLLRSPTVSSEEFMQFKRKATTIVEEYFSTDDVAATANELRELRVPCYHYYFVKKLVSVAMDRHDREKEMAAVLLSSLYGDVIDRPQVYKGFGKLAESCDDLSVDTPDAVDILAVFVARAIIDDILPPAFLAKQLPCLPEGCKGAEVLHRAEKSYLSVPHHGEIILQRWGGSKSITVEEAKAKIADILEEYLAAGDIGEACRCIRGLKISFFHHDIVKRALTLAMERGGGAEGHILDLLKSASDEGIINESQITKGFNRLIDSVDDLTLDVPNARRLLKSMILKASSEGWLCASSLKPLGPEPKKAVVEDDAAVRQFKAKTLSIIKEYFLTGDIIEVMSSLEAENYACSSSYNAIFVKKLITSAMDRKSREKEMASVLLSSLGMPPEDVVSGFHLLIESAEDAALDNPAIVEDLTMFFARSVVDEVIAPSNLEKMEEEAGRGKPGGSSTGLLALRNARALLGAKLSAERILRCWGGGATGKAGWELDDVKDKIGRLLQEYDCGGDIREACQCIKELGMPFFHHEVVKKALVAIMEKRGKDERLWGLLVECYGRGLITPNQMTKGFERVAGCVDDLALDVPDAGKQFCCYVERAKKGGWLDASFPNGVRS >ORGLA03G0085100.1 pep chromosome:AGI1.1:3:5983324:5991415:-1 gene:ORGLA03G0085100 transcript:ORGLA03G0085100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRAGGAPPVTGDRYLDLLVRFVGRNAGALLDGSVTLRLHPVGLHYVASRLEALRELEAVGAGAPVDYLRAYVADLGDHRALEQLRRILRLLTSLKVVAPGPGRDPAPLSLVPFARLRVLELRGCDLSTSAARGLLDLRHTLEKLICYNSTDALRHIFTSRIMDIKDSPVWGRLLYVSCASNGLVLMDESLQLLPAVETLDLSRNQFAKVDNLRKCTKLRNLDLGFNHLRSISSLSEACGRIVQLVLRNNALTTLHGIKNLKSLMDLDLSYNIISNFSELEILGSLFLLQNLWLEGNPICCARWYRARVFSFLHNSESLKLDDKGMNTQEYWEKQVLFSSRQKQPAGYGFYFPAKDDHEDEDTSNSKMKKISRLALIVEEERSLCDEGVDQQTTPHESDSSKKDEVAAADNDIKITSLINTAELLKKEKSTDWLREFKEWMDENMENTEPDNLYIEFNSSNERYEEQKKMQKAQKNSKDISDLVQTSEGGSSSNILESDLSFTDGACYSANGVTTESSHEGNTYQAPLKLHLNSSQQLPPLNFVAISHADSFCEMEDGTGNLHTNGVSSNLMNKLVEPSLSFTNSSPQSPPQYKEDILHRRLCMEEEVLQTSGDFNCAGSLGSGSSCSDDSSGDLCSCNSEDDCVAIRTKMELSPNGQIARFSSVGDYEEKDGMEYFSGKKGLPDYSAEDVPNFTDSVEFGIKQLHDRYKSNGHLGEGSDHLVRQQSNQKFKMRIPPLFKNHNGTKLVFPKVNGDEMDNGVSVAGNGHLGCNLNNCTLCREHSLENHNSSILHKDNLCASANTVSCNTEKYKLIEDFFNLEIASDASEICEKTAFCGYIFQNGTGSDLVQREVALLRCSQNKLHVVLVDMAQDGQDTMLRVLGSYWMEDLENILIGLGLQALRVHMADNTTHLFLTRTSKEAEDILWLLTASNFPQLTSSISLQSWEKVQLKLLENCIHPSLEMGIFLYSLLMFWKNDTEEGSFVIRSLAVTEGSLFVCIENIHQFGSLPDDPDTPYFSLDACCFINDIQEVVVDHCDKRCLTLVLDNHAHEGRFCSNGSITNSQSKQPDEIYTVHTWKLKWFSEDTVVKFISLLKALYSVSSSSSLPVKCTS >ORGLA03G0085000.1 pep chromosome:AGI1.1:3:5980299:5982830:1 gene:ORGLA03G0085000 transcript:ORGLA03G0085000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1P904] MLLVGVLLLLFFNLHLPTAAIDTLTLGQSLLWNQTLVSNGGNFELGLFSPGKSNKHYLGIWYKKISKKTVVWVANRERPILEPSSCHLELSVHGDLRLFSTAPSNTLLWSSNASASSSPSPPRTTVATLQDDGNLVVKSNATRSRSRSPSSTTTTHVAWQSFDHPTDTWLPGARLGYDRGRGVHSFLTSWTDFENPAPGAFSMVIDARGLAKFDLLAGGEHRYWTTGLWDGEIFANVPEMRSGYFTGVPYAPNASVNFFSYSDRLPGAVGNFMLDVNGQMRRRQWSETAGKWILFCSLPHDACDVYGSCGPFGVCSNATNPECRCPAGFEPRSSEEWRLENAAGGCVRRHPLECHGDGFLALPYTVRLPNGSVEAPAGAGNDKACAHTCLVDCSCTAYVHDGAKCLVWNGELVNMKAYAANENGQGDPGLAGAVLHLRVAHSEVPASSTEHSWKKSMVILGSVVAAVVLLLASLVTVVAVAAVLRMRRRRGKVTAVQGSLLLLDYRAVKTATRDFSEKLGSGSFGTVFKGALPDGTPVAVKKLDGLRQGEKQFRTEVVTLGMIQHVNLVRLRGFCCEGNKRALVSDYMANGSLDSHLFVMSGSSSGPDSKQVTLTWSQRYNVAVGVARGLAYLHEKCRECIIHCDVKPENILLDQEMAARLADFGMAKLVGRDFSSVLTTMRGTVGYLAPEWLAGTPVTAKADVYSFGLLLFELVSGRRNSTAPSSSSEGGPGIYFPVHAVVKLNEGDVAGLVDERVAKDADPKEVERLCKVAGWCIQDEEGDRPTMGLVVQQLEGIADVMLPPIPSRLHILAIENEWVRGVPEDERCSKSGSKPETEAIEEM >ORGLA03G0084900.1 pep chromosome:AGI1.1:3:5971976:5976240:1 gene:ORGLA03G0084900 transcript:ORGLA03G0084900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFLFLLILAASVVHGEDGAYIGVNIGTAMTSVPAPTQITTLLRSQNIRHVRLYDADPAMLAALANTGIRVIVSVPNEQLLAIGNSNATAANWVARNVAAHYPSVNITAIAVGSEVLSTLPNAAPLLMPAIRYLQNALVAAALDRYIKISTPHSSSIILDSFPPSQAFFNRSLDPVLVPLLKFLQSTGSPLMLNVYPYYDYMRSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMAYLNVTNVPVMVTETGWPHKGDPSNEPDATSDNADTYNSNLIRHVMNTTGTPKHPGVAVPTYIYELYDEDTRPGSTSEKYWGLFDMNGIPAYTLHLTGSGVLLANDTTNQTYCVAREGADEKMLQAALDWACGPGKVDCSALMQGQPCYDPDNVEAHATYAFNAYYHGMGMGSGTCYFSGVAVITTTDPSHGSCVYAGSGGKNGTSLLNGTSLAPSSNSTAGDSGAHRAIGDVSSFVRAVVAALLLSVVLLL >ORGLA03G0084800.1 pep chromosome:AGI1.1:3:5961015:5968208:-1 gene:ORGLA03G0084800 transcript:ORGLA03G0084800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDKEVGPPPPPCVDSDHEDLPLAERRRRLLRPPAESKPPAPERREASAAAAAAAEDSGGAAQQGWPGLPRGVEFNPTDNDLLWHLAAEVGNGQARRHPFINEFIKSVDETIGFGYTHPQDIPGTRQDGCASYFFHKNFKECANENSKCIRWQKSGNPISITLDGNLQGCKEVFVLYAYETDGNNPQITDWRLHQYHIESTEKDEGELVVSKIFYELEKNQFKWAEKSHAQSAQGASAIDDDSKEELQLDNHSFNMITENSSVQGNENKQKQTQTGTCPNLDKLSYFNVVSNMHIGNQINDHDEIEELDHMSLQERYRILMAENHSSSAAVVSSEQCAIDGLENSCKPGTNGMIPKRIHEGTAFRDGMYSMLQEISSAPAIIGSIDNDNNRRLLTEGLSNNQQSHEAGCESGFLSTSSSAAPPQCQVVCSHDLLVNGKTLIYSRDPSSSSTPTFGDKNIQLEGTDDRTLLVDVKLEPALEGDFTEKITSSVQRTDPNHGTEGSNLVGSINSVSSAISKRISEAARSNPENSHVEGLLPSSRIKSEVTGSELPLVVCGLTSISIAELTAKKTNTLNHDGVLAYCSRKRKRRKTLRDPSEKTLEEDSLRNDEGTAYFSRQRRRRKTATDSIETALEEDAPGLLQILLDKGILVKEIKLYGVEEEDDMVPDCTESDFQDLENVITKLFPQRTSLLKSALRHEKGEKAIYCLTCLISLIEQSRYLQFRDCPVEWGWCRDLQSFIFIFKSHNRIVLERPEYGYATYFFEIVKSLPIQWQIQRMVTAMKLSGCGRTALIENRPLLIGEDLTEGEARVLEEYGWVPNSGLGTMLNYRDRVVHDRWNERSGTDWKTKIGKLLMNGYSEGHLVLSHFPTKVGKIEDDTEIKQEDPL >ORGLA03G0084700.1 pep chromosome:AGI1.1:3:5957647:5960116:1 gene:ORGLA03G0084700 transcript:ORGLA03G0084700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G25900) TAIR;Acc:AT3G25900] MAVAVEEIVRRAGGCAVIDGGFATQLEALGADINDPLWSAACLITKPHLIKEVHMQYLEAGADVIISSSYQATIPGFLARGMLLEEAEGLLRRSIELALEARDEFWKSTLRKSKPVYNHALVAASIGSYGAYLADGSEYSGSYGEDISAEKLKDFHRRRLQVLASAGPDLIAFEAIPNKMEAQALVELLEEENIQVPSWICFSSVDGKNLCSGESFAECLQFLNASDKVTIVGVNCTPPQFIEGIIRELKKQTKKAIAVYPNSGEIWDGREKRWLPAQCFGHKSFDALAKRWQEAGASLVGGCCRTTPSTIRAVSKVLKGKTAYSATQI >ORGLA03G0084600.1 pep chromosome:AGI1.1:3:5948336:5954793:1 gene:ORGLA03G0084600 transcript:ORGLA03G0084600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSIEACNTXPSCSSLVYGKSKSSSCSXFFDQNNSRRSXSCYXPFILCXWHINGTVIWFFHQLGXGEXSVHCPYICTSNLLKVLIDGPVVRFRXVFTXCYGLRSLARXRXDNCTSSLATLXQALXHCSVXGXCRLMCXDSXFQQXSDLWSGHICPWXRXXFNLTINHGCVQFKGPSMFERHYYMYTGFTTGQSGIGGPIINFNGQVLGMTNVLGMGFIPSSVILHCLDMWKKFGYIPRLHIGMKLSAMKFLDPIHVEKISRKCNIDSGLIVKELEIELMQICEDHLDKGRGIGSSVDIQVGIFRMCKGSTCTISLRLNISNDVEVFARGKYIFSARDCTWVFDDVGTGL >ORGLA03G0084500.1 pep chromosome:AGI1.1:3:5930800:5931328:1 gene:ORGLA03G0084500 transcript:ORGLA03G0084500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCGMPHPPLPIASQFPKPLSKVVMVSWPHPTRNRTAVTGEISHPGRQFDELSPVNPLGFTTMLSEVQIRSEQGSSGGPLLNGQVQVTGLLHGGNGSFSFFISLPNGDSLYDLLALIQHCSAPFTSFWMLCVWVNMLRLF >ORGLA03G0084400.1 pep chromosome:AGI1.1:3:5925841:5926646:1 gene:ORGLA03G0084400 transcript:ORGLA03G0084400.1 gene_biotype:protein_coding transcript_biotype:protein_coding CADSRDTERSHNTNWITEVHFSNRGSNKLWISEVAKIKKRIRSLNLRKSLGQARFSSSTGCIHEQLLKFYSLNYIHAGLSAESLPFPCLLKKLSGLCFAILLYTRFAGYIRKGTCQLSLCKGFRWSSA >ORGLA03G0084300.1 pep chromosome:AGI1.1:3:5920125:5920376:-1 gene:ORGLA03G0084300 transcript:ORGLA03G0084300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHACAKFPHVSLELQQVLQRSSSAFIVPPAFELEINFGGWLPCEGFCFLPFHALHLIEKETLSCTEKREKERELEEEEESLN >ORGLA03G0084200.1 pep chromosome:AGI1.1:3:5916941:5917247:-1 gene:ORGLA03G0084200 transcript:ORGLA03G0084200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVAVAGSGMAAAVTTTSSFPNGDRPQSHSCCVRRHLADEIDATMNTEDGAGKCYPLTWMNFRL >ORGLA03G0084100.1 pep chromosome:AGI1.1:3:5900766:5902343:-1 gene:ORGLA03G0084100 transcript:ORGLA03G0084100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1P8Z5] MPGAAGYSGSVKLKYVKLGYQYLVNHFLTLLLVPVMAATALELARMGPGELLSLWRSLQLDLVHILCSVFLVVFVGTVYFMSRPRPVYLVDYSCYKPPPSCRVPFATFMEHTRLITDDEKSVRFQTRILERSGLGEETCLPPANHYIPPNPSMEASRAEAQLVIFSAIDDLVRRTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISLDLARDMLQVHPNSNALVVSTEIITPNFYWGTRRDMMLPNCLFRMGAAAILLSNRRREARRAKYRLMHVVRTHKGADDRAYRCVYEEEDEQGHSGISLSKELMAIAGDALKSNITTIGPLVLPMSEQLLFFFRLVGRKLINKKWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLDLSAQHVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCLRTVKTPADGPWDDCIHRYPVDIPEVVKL >ORGLA03G0084000.1 pep chromosome:AGI1.1:3:5893178:5895122:1 gene:ORGLA03G0084000 transcript:ORGLA03G0084000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L15 [Source:Projected from Arabidopsis thaliana (AT3G25920) TAIR;Acc:AT3G25920] MASITLLSLAPAATLLHLPASTSLSTSFVAAPGPISGRRSVVLRARPRRCVTVVCSAAAAEAAEAEPAEKFRLDNLGPQKGSRRRPKRKGRGIAAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRIPKLRGIAGGMHIGLPKYVPFNLRDLARGGFKDGDEISLESLKSRGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSASAKEKLEAAGCTLSLVPKRKKWLPQSYLKNQARAEEYFSKKNGGAGESDEASA >ORGLA03G0083900.1 pep chromosome:AGI1.1:3:5888533:5892586:1 gene:ORGLA03G0083900 transcript:ORGLA03G0083900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDPDRGGGEPDISKLFCHYNALYFHDSLGTCAVSWATDEDPLPNREVGGCEYYPGGGGCIILLSRSLYEHHTDLDLKNALLHEMIHAYMCIKDSNDNHSDHGPKFQKLMNTINLNSVADPHRPLGGYSITVFHEIRKKFYIHKCESCGDLIKSTKIKGPSQDDCIEAMGANDSCDSLICHWHWHKKRCTGSYHRVQGSSSGCVEGSKALSVEAPDCKVEESAPGSWHNAHTSIKGGKGNKHELEETSAGFPPDDSIGISGMESSSRDTANKKIKLSKDIGLDRLTATTVQEAPKRPRTTSLKKNQECSRQKKRKISKWDGSYSVIIEWLNYYSVDESDEDEVPLINKRTERRKRQKLLKLVLARESNSGSEGASSTSFVENGRNSSSAGSYPLSQGDNDKSENVQANRVDGSSLPDHPVSSHVAAEDQAGQAASSPLNSPTRGIIVDISDG >ORGLA03G0083800.1 pep chromosome:AGI1.1:3:5883807:5885528:-1 gene:ORGLA03G0083800 transcript:ORGLA03G0083800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12770) TAIR;Acc:AT1G12770] MRLAVGQVHRHVLALASSRSCFVLGDNLPLRMLSLPRAVRFHQTAWLGTETVQDKSASLTLASLEGQNKVEYGKKEKATRIGGPKPSSRASALKVKPKVSSFNSKPTKSTLPKSAVVKKTLKIDESLFSAKSFEELGLPPLLIDRLNKEGLSTPTEVQSAAIPIISQKHDAVIQSYTGSGKTLAYLLPILSEIGPLKRLTEQDGSDKRSGVEAVIVAPSRELGMQIVREVEKILGPNDKRLVQQLVGGANRSRQEEALKKNKPLIVVGTPGRISEISAGGKLHTHGCRFLVLDEVDQLLSFNYREDMHRILEHVGRKSGTSSRDILGPLARRSERQTILVSATIPFSVIRAARSWGHDPVLVRAMSVVPLDSITVPRPVLSQTDANPNSPSNSVNQAAVDSLPPSLEHYYCISKAQHKVDTLRRCIHALEAQTVIAFMNNTKPLKDVVFKLEARGMKATELHGDLGKLARSTVLKKFKDGEFRVLVTNELSARGLDVPECDLVINLDLPTDSTHYAHRAGRTGRLGRKGTVVTICEETETFVVRKMRKQLAVPIKPCEFTEGKLLVHNEEDVE >ORGLA03G0083700.1 pep chromosome:AGI1.1:3:5881397:5881705:1 gene:ORGLA03G0083700 transcript:ORGLA03G0083700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRGTSAMLSRAARMRQKLQSALEASALDIEDVSYQHAGHAAVKDNANETHFNIRVISPKFEGQSLVKRHRMVYDLLTDELNSGLHAISIVAKTPKESGS >ORGLA03G0083600.1 pep chromosome:AGI1.1:3:5878317:5880227:-1 gene:ORGLA03G0083600 transcript:ORGLA03G0083600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPISSSSSSSWXSSDQRLRPAPLCSRLPRSLTSRRRCLLRRCRCGPSRRPSRGRTRCTRRSRRRSASARCCPTRRSARRXPSTPASSAPSATRRWFPPPTTPSPASPSAPSRSPSPTPTSRSAPPSTSPTRSPCSRTPDRPISPPPRHGAPSAGSRPSPSSPGPAAAPPPVASRSSPAASRSPTAHTSPTAASSSTPPGISTRSATSSTPSAPWPSTSSMSSTGTSPTPSPSPSSSPPSPTSPTPAPTPPPCATPRTTSGTSSASPPPFGIRVIPEIDMPGHTGSWAGAYPEIVTCANRFWAPHAEPALAAEPGTGQLNPLNPKTYRVAQDVLRDMVALFPDPYLHGGADEVNTACWEDDPVVRRFLAEGGTHDHLLELFINATRPFVAQELNRTVVYWEDVLLGPKVTVGPTILPRETTILQTWNDGPENTKRVVAAGYRAIVSSASYYYLDCGHGGWVGNDSRYDKQEKEREGTPLFNDPGGTGGSWCAPFKTWQRVYDYDILHGLTDDEAQLVLGGEVALWSEQSDETVLDARLWPRAAAAAETLWSGNKGSNGKKRYANATDRLNDWRHRMVERGIRAEPIQPLWCSLHPGMCNLSQ >ORGLA03G0083500.1 pep chromosome:AGI1.1:3:5873574:5876980:-1 gene:ORGLA03G0083500 transcript:ORGLA03G0083500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1 tubulin [Source:Projected from Arabidopsis thaliana (AT1G64740) TAIR;Acc:AT1G64740] MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTTPGIARDAFNTFFSETSSGKHVPRALFVDLEPTVIDEVKTGPYRQLFHPEQLISYKEDAANNFARGHYTVGREVVDLCLDRLRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQQISTAVVEPYNSVLSTHSLIEHTDVVVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISVEKAFHEQHSVPEITNSVFEPASVMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVHSIKTRRTVQFVDWCPTGFKCGINYQPPTAVPGGDLAKVRRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEVEDDDEEQGEY >ORGLA03G0083400.1 pep chromosome:AGI1.1:3:5869850:5872921:-1 gene:ORGLA03G0083400 transcript:ORGLA03G0083400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:I1P8Y8] MAGKAGGLKGVALIGGAGGNSAVAGALHFFQDPSTGYTEVRGRVTGLAPGLHGFHIHSFGDTTNGCNSTGPHFNPHNKSHGAPSDDERHVGDLGNIVANKDGVADIFIKDLQISLSGPHSILGRAVVVHADSDDLGRGGHELSKTTGNAGARIGCGIIGLRSAV >ORGLA03G0083300.1 pep chromosome:AGI1.1:3:5864134:5865412:1 gene:ORGLA03G0083300 transcript:ORGLA03G0083300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPIDDDGCHGASQGAAAVGTARHKRGKDGAVSVLEPSTSRELRELIIRSCSSHRRSYWGFSAGERRRGWRWRWARRRLRWSLRSGGAVLGGGLPQIYASWPDLEGGRRWSSATAADLQRLATAATVVVAAVGGDGVG >ORGLA03G0083200.1 pep chromosome:AGI1.1:3:5855968:5857479:1 gene:ORGLA03G0083200 transcript:ORGLA03G0083200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPQFFCFGAYVNGIASTFQIAYRKISYRKFGQGDTYEVSSDTYKVSDDSYHVLGDTSEVSGVGHQSIVAGGPTVHVSRWSLSEAAASSTVHATSELGGDDCCILHSPRHVGARHRRLRPPSSTSHVGASCRWPHPPPYMPRQSSSPAAASSVIHAPRRSSSATAASSIVHTTSELVAGGRVLLSLRLSTPTIAPSPAIATTHVHQLRLQARTAPQRGTSLRFSNDGQAPRAAAVVSWCE >ORGLA03G0083100.1 pep chromosome:AGI1.1:3:5848127:5850837:-1 gene:ORGLA03G0083100 transcript:ORGLA03G0083100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKVDAKEREKIEAVRKTLRKQAPLTAKQAMYCNDACVERFLRARGESVKKAAKHLRTVLSWRDTIGADHIIADEFSAELADGVAYVAGHDDEARPVVVFRIKQDYPKFHSQKSFVRLLVFTLEVAVSCMSRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPGRLHRAFVIDPPSLFSVLWKGVRPFVELAPATAVVCSLDFEDSLEDASFTAYPRTASLRFEPAAALLATGTKGIGVGSASSRFSVTVSHDNTLKPWYLSTTTPSSVGPRSVVPTSSPSLIGASPLSARSFSFASPAARSTTTPPVHRGAPLTPFSTKGGQKAPAPAQQFPRTPRPSFLQSPSMLFAFKKDGQASRGERERESFLPFLRFYRRPYDEISYRAKMRPPLGGLIAIVDEKSKQHKTVQPPLRRHAGLHHQQLFQLHHHQRI >ORGLA03G0083000.1 pep chromosome:AGI1.1:3:5839531:5841267:-1 gene:ORGLA03G0083000 transcript:ORGLA03G0083000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat-shock protein 70T-2 [Source:Projected from Arabidopsis thaliana (AT2G32120) TAIR;Acc:AT2G32120] MTEQLYTVASDSETTGEDKSQMSFPDVAIGIDIGTSNCSVAVWTGHQVDLLKNTRNQKGMRSYVMFKDDSLSAGVTGGATREHGHEERDILSGSAIFNMKRLIGRMDTDEVVQASKSLPFLVQTLGIGVRPFIAALVNNMWRSTTPEEVLAIFLLELKALVEMHLKHPVRNAVLTIPVAFSRFQQTRIERACAMAGLHVLRLMPEPTAIALLYAQQQQQLLHDNMGSGIEKIALIFNMGAGYCDAAVAATAGGVSQIRALSGSTVGGEDILQNVMRHLMPDFDSLYAGQTMDRIKSIGLLRMATQDAIHKLATQEHVEINVDLGGGHKVSKILDRGEFEKVNQSIFEKCEGIIKQCLADAKLTPEDINDVILVGGCSRIPKIRSVVLGLCKKDDSYSGIDPLEAAVSGAALEGAIASGVSDPSGSLDLLTIQATPMNLGIRADGGNFAAIIPRNTAVPARRDMLFTTTHDNQTEALIAVYEGEGNQAEDNHLLGYFKITGIPAAAKGTVEINVCMDIDAGNVLRVFAGVVKPQGQAVPPFIEVRMPTLDDGHGWCGQALAKMYGSTLDVATIPKKLHP >ORGLA03G0082900.1 pep chromosome:AGI1.1:3:5828975:5833278:-1 gene:ORGLA03G0082900 transcript:ORGLA03G0082900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G26340) TAIR;Acc:AT5G26340] MAGGFSVSGSGVEFEAKITPIVIISCIMAATGGLMFGYDVGISGGVTSMDDFLREFFPTVLKKKHEDKESNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGVFFIVGVIFNGAAQNLAMLIVGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTAKIHPWGWRLSLSLAGIPAALLTLGALFVVDTPNSLIERGRLEEGKAVLRKIRGTDNVEPEFNEIVEASRVAQEVKHPFRNLLQRRNRPQLVIAVLLQIFQQFTGINAIMFYAPVLFNTLGFKTDASLYSAVITGAVNVLSTLVSVYSVDRVGRRMLLLEAGVQMFLSQVAIAVVLGIKVTDRSDNLGHGWAIMVVVMVCTFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHLKYAIFAFFSAWVVVMSLFVLFFLPETKNIPIEEMTERVWKQHWFWKRFMDGADKHHVVPNGGKSNGATV >ORGLA03G0082800.1 pep chromosome:AGI1.1:3:5822992:5827584:1 gene:ORGLA03G0082800 transcript:ORGLA03G0082800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRSYGDDMDDDRRRFYDRGPPPPRRRPGDYDGDGFDRRKGFGGGGGGGGGFYDHRYRESPSPRGYGGDRAMHRSESFSGFRREFPKGFRSERDRSRRDGGGSSAWRRQSGGWRDSEGLDGYRAAPRRSGASPPTPPLRLPSESSRRFDGARVEKSRKQSFGISEMEEGEVAPDPETKARAAAVDHRKQIESSGHAKEKGPERGEVKKMESGVRGDLGAHGKGVAGSSAARNAGREEGKIKDSMIAEAGTVTHTRHEKSTSDAAVSTGRGHEVQGQDEAANALNQVGQCVSSSGSHKVPQEETMIRDEAANIVDAIGQSTSSDIQQEAVCEKAAVRDETANAAEEAGQRTSSSVHEEAIHEQATIRNETASDVDEAGQSTSSNIRKDAIQQKATIRDETTNAVDEAGNGTSSSNQEAAIQEKVPVQDETTNAVDESGLGTSSSIHQEGLQEEAMALDEAVDAADVVRKVSLCGMHQEALLGKTRDQTANDVDRVECSTSSGLLQVTPQEGMASIDGTANAVEPEKIDSDMLKETIEGELVLDGTADVVGEGNSPSTVKEAMHGKITAEDGCPSSALEIAKKCKQSAITEELVHEKVATSPSQGAPEMKKNEKGTISSKKMSESFEPDASQHVEEALPRDGRENIVALSDTEVPEQEAAAENKTIEKEVKGFCLEANSVGANVFLQPSKECNGDRKEEGTALNLIMGKPSAEDKGKGIAFDVLSKEEDIGVGSSVGRSFDLALQPDIDRTEVLKSSGTVSVKQEDDTPKIGRLDLSLSLSGCLQNPEFKCSVPRSESLDLATCSQMLPSSSFRTNSEGFTGSVSLTNSQTFVHNPSCSLTQQSLDNYEHSVGSKPLFKGVDKLSDSARWQAQLSNESTKKREPTAVLQNTVKYGNLPDKTFLGVNVQNNGISKDIHRRAGISGVLSPTHSRDSHDSGFEQSRHRRQLTRERSSSSLTRGERQDGQQLVLNGAGVIERIISKIVSEPLHHTGRMLDEMTSNSVTYLREAISDIIADADKRGQVVALQEALKKRSDLNSEMLQRCPRVLLEILVAIRTGLPDFMKKSNSIGTCDLVDIFLYLKCRNLSCKSILPVDDCDCKVCQRKTGFCSSCMCIVCSNFDMASNTCSWVGCDVCLHWCHTDCGLRHSLIRKGGSGSRAYSTNELQFHCAACGHPSEMFGFVKEVFRTCAMQWRMETLVRELQYVERIFSSSDDARGKRVRDFVKQMIIKLENRAYHPEVVKYIMAFFSDDNSNAGSGTSVPLKGIPCNIAERIDGIPSSSRKAPSWLPSVTLEGVPFLEKQGVISTTGSPSTLRKFGGTDFQTVDNKPTVDELDALIRLKQAEANMYQQRANDARKEAETLKHVTMVKHAQIEEHYATQIGELHINELQEQRKRKIEELQVIERTHHRFLSMKTRMEGSIRELLLKMEATKQNFST >ORGLA03G0082700.1 pep chromosome:AGI1.1:3:5813290:5819198:-1 gene:ORGLA03G0082700 transcript:ORGLA03G0082700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEENEIFRSGPSRGHMAPYTFLVATARCCPCCLRVSGFSTFLEMVDTPTGPGKFLHLRYLMITFATWRFSWAYDYFSLASFLDASPSLETFILCISQKEKHDLTFKDPICLRQIPEHRHDKLKNVKITGFSATRSLVELICHIMENTTSLECLTLDTLCTQLRCSDGNIDVCLPLDQDVIKGVHNSLLAIRTYIEGKIPSTVKFNVREPCSRCHGW >ORGLA03G0082600.1 pep chromosome:AGI1.1:3:5808063:5811693:1 gene:ORGLA03G0082600 transcript:ORGLA03G0082600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYISRALLDNSSLHSNAQSISRSLDHVMRTLFEICLCQSGIEENVYLDRLYGIEENDIGALIHSSHPGKVFPYVNLSSCVSPITRTVLKVIYKTFRISYMETINDLFTCATSISTWKIITEDFTGGRGDEVVDDDGELRGHGDGQGSFCCPGSTRLRRLQLWCPCGSASNDEAELDDGVDGSELTVQPGRPPPPLVAASAVCVCMYSSTSQ >ORGLA03G0082500.1 pep chromosome:AGI1.1:3:5804599:5804919:1 gene:ORGLA03G0082500 transcript:ORGLA03G0082500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYVTSEAQARALLQVGGWPVGTLVHVVIVDAACRARRLDHTLRAMVARRGGSWSTATPCFESSASATWSTTSSTSPSPASSTTPTTPRSSLTSGGRRRLFVRWRV >ORGLA03G0082400.1 pep chromosome:AGI1.1:3:5795215:5795920:1 gene:ORGLA03G0082400 transcript:ORGLA03G0082400.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPPPPLPISAATAAALQSLLAXRWPSQIXSTQQREQMHGFLPSMVKKAEEYSVHGSSFSVYFVAASPSSRLTPIRPPVRIGEIMTLGAGRNFVKNTCHDFPKGYQFSFTLDVIPNLPKCSYCQVEKNVIAEHLGWMGKLGA >ORGLA03G0082300.1 pep chromosome:AGI1.1:3:5788648:5793040:1 gene:ORGLA03G0082300 transcript:ORGLA03G0082300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGLNRLMSIQREQRCRQIEANRLLASTDKRKGSPCKQDNSRCSKRERYSQPDLPEDIWRHIHSLLSLQDAARAACVSRAFLCLWRCRPHLTFSLQTLGLSEMACKKGGIARDYNKKVDRILKKHSGTGMKKFEIEFYGPSNANTYYHLNNWLEIAITSGIEELTLRLTPDVTKYNFPCSLLSDGRGDLIQSLHLSHCSFRPTVEVVSLRSLTI >ORGLA03G0082200.1 pep chromosome:AGI1.1:3:5785512:5785943:-1 gene:ORGLA03G0082200 transcript:ORGLA03G0082200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLRLLAIGAAAVPSMRGNRHPRGRRADAVSRPPRSSSFLCQSSSPSEPPGSPAGGGGGGGGGGGGGGGGKKSAWWAAAMAERVFGDAAKAGRAVRESLSPKQKGDWKDVTLMSFSFAVYVYISQKIVCTYCAWISMINH >ORGLA03G0082100.1 pep chromosome:AGI1.1:3:5780640:5784990:1 gene:ORGLA03G0082100 transcript:ORGLA03G0082100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRAAAKCQHEPATPPAPRILNMPRRPAGGGRPSRSAGRAQQQQRMAAPGAVNLGALFEMERRVRGLESAPASPPPYSRAARSQEDAGEQEEKWRFQAEILRAECNFLRMEREVALRKLDRHRGQMEAALKSAVETLVSGRKKIDGRGDVGVAAALDEGIEDLEEMMEDLRAEKESGRRAVSSRRELQRSNGRNFDRQASSLRRRLEKMPPADAEPCVKDIREIALPVAPQSPPPPAEHSDVDDDHSNSPNLSDVEMLRMKMEGMSKGMRERMAEYSRRLEAVAGGDNNNAADDCQSRKCGSRHSRKPSASSQRSWSGGSTNAGASRDTAASHGRSRHTVAPEKHHHHHQQHKIMSEECKMVGSGSCCDCREIVGKIMEQVRTESEQWTEMQDMLEQVRLEMQELQSSRDTWQRRAIASDISLRSLNSQVLEWKHRAQVSEQHVEDLQKKISELESKLHTFKAHFPSPGQPNQEWSEACKMEKPIRSNKAQHPPRPSHEPGGGREKEKHVLICRVKHSPSVAAKRQPFQEIRNISLPRHAPMKR >ORGLA03G0082000.1 pep chromosome:AGI1.1:3:5774231:5776816:1 gene:ORGLA03G0082000 transcript:ORGLA03G0082000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKKLHLASISALSIFLYVFAHTNSAQAFPVADGTYPPEAEGPAAESSVMDEQLELTPGPQPRVVDVDDYRARADAGDHTEAFLQAWKEACNSSDYPSVLLVPEGKTYLLMPVSFNGPCRATTITATIRGTLEAPSNRSVWLDLDLQEWITFDNIDHLRVLGGGTLNGNGHQWWINSCKTNRSMRCVTGPTALYFRRCNHLVVEGLQIRDSMQMHVVIAYSWRVLVSRLLITAPGWSPNTDGIHVSNSREVLMSGCIISTGDDCISIVTGSMFIRATGIFCGPGHGISIGSLGANKSWAHVSDVLVEKATLVGTTNGVRIKTWQGGDGHAERITFQDITMHNVTNPVIIDQNYCDSMTPCHEQGSAVAINNIRYRNIRGTSSSKVAINFVCSNSVHCDGIVMQDVSLVGEGSYVSCSYMNARVVELGYNFPYCRAEM >ORGLA03G0081900.1 pep chromosome:AGI1.1:3:5754377:5758619:-1 gene:ORGLA03G0081900 transcript:ORGLA03G0081900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1P8X3] MAGLKKMEEVTAAAAAVAASSTAEKRAAAVVVPDAALTMNGAAGAEEKTAAAAAAPEDLPAPAALSGWPRRVGLYLFVMNIRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKCIEENSSQDLEKASPVDSETNNLPVSGPDKVECVNSCIPTECTNPSDQGCKRKYIPSVTSALIVGSFLGLLQAVFLVFSAKFVLNIMGVKNDSPMLRPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTSLYATVVGDAANIILDPILMFVCHMGVTGAAVAHVISQYLITMILLCRLIRQVDVIPPSLKSLKFGRFLGCGFLLLARVVAVTFCVTLASSLAARHGPTIMAAFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDKGKVVVATSRVLQLSIVLGMGLTVVLGVGMKFGAGIFTKDIDVIDVIHKGIPLCFWVQFVAGTQTINSLAFVFDGINFGASDYTYSAYSMVGVAAISIPCLVYLSAHNGFIGIWIALTIYMSLRTIASTWRMGAARGPWVFLRK >ORGLA03G0081800.1 pep chromosome:AGI1.1:3:5749404:5753103:1 gene:ORGLA03G0081800 transcript:ORGLA03G0081800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein [Source:Projected from Arabidopsis thaliana (AT5G63840) TAIR;Acc:AT5G63840] MDPPPRPRPHRVAVLLLLLLLLASSPAARAWKKDEFRNCNQTPFCKRARTRAPHSLDAPLSLDAASLAVATDGSLTASLSHPSRLRPLLLRLSALPPHALRLQIDEDYSSNTPPHRRFQVPDVLLPDVEARTLHLPQPKTSAAGVSTFALSSDVDVVVKHDPFELTVRRAGSGDPVLSFNSHGLFDFEPLQESKQEGETWEEQFRSHTDTRPRGPQSITFDVSFYGADFVYGLPEHGSTSLALRPTRGPGVEESEPYRLFNLDVFEYLHESPFGLYGSIPFMIAHGDGPSSGFFWLNAAEMQIDVLAPGWDGASSTENGRIDTLWMTEAGVVDAFFFVGSEPKDVIKQYISVTGTPSMPQQFAVAYHQCRWNYRDEEDVAGVDSGFDEHDIPYDVLWLDIEHTDGKRYFTWDHSAFPNPEVMQGKIADKGRKMVTIVDPHIKRDSSFHLHEEATAKGYYVKDATGKDFDGWCWPGASSYPDMLNPEIREWWADKFSYENYKGSTPTLYIWNDMNEPSVFNGPEVTMPRDAVHYGDVEHRELHNAYGYYFHMATADGLLKRGEGKDRPFVLSRAFFAGSQRYGAIWTGDNSADWDHLKSSIPMVLTLGLTGMTFSGADIGGFFGNPEPDLLVRWYQVGAFYPFFRGHAHHDTKRREPWLFGERRTALMREAIHMRYSLLPYYYTLFREASVTGVPVMRPLWLEFPDDKETYNNGEAFMVGPSLLAQGIYEEGQKSVSVYLPGEELWYDLRNGSPYKGCVSHKLEVSEDSIPSFQRAGAIVPRKDRFRRSSTQMVNDPYTLVIALNSSSAAEGELYVDDGKSYDYQQGAFIHRRFVFADNKLTSMNIAPKNLGNKKFSTECVIERIIILGVSSGSKKAIVEPGNHEVDIELGPISLRSGSSSVAPTVRKPNVRVVDDWTIRIA >ORGLA03G0081700.1 pep chromosome:AGI1.1:3:5743565:5744617:-1 gene:ORGLA03G0081700 transcript:ORGLA03G0081700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTGIGADEQELPLFHPSPCAYYVQSPSAASHTLSHPASESTAIILSPFPDAAFAAPRHSRVVDDATAHDHDVDQEASRLTLSRYSSSRGSNNSFLAADKKLPAGGGHRGRQVLSGRSGGGVDDEEEDDGEGARSGAWRYVKLDPDAPCCCIVFQVAWRVAVSVAFALLVFFVATKPRDPGVTFKVGKIQQFSLGEGLDGSGVITSFLSCNLTVAMAVDNHSRVFTLHVRPPRLDMSFGRFTFATSQGVEEEGSSYDVGARGKRTVRLFVAAEGKPMYAAGRGMQDLLESGGGLPLAVTVRARSRYWMVGSLVRLSYRHDAQCVVRLRRTPRRNNAIDASGYTCSTIR >ORGLA03G0081600.1 pep chromosome:AGI1.1:3:5741238:5742416:-1 gene:ORGLA03G0081600 transcript:ORGLA03G0081600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSSGDVVQWTKRISALARSGRAAEAVAAFARMDAAPNALTLASVLPACARLGDLALGRAIHGLWLRRGGGHGANPIVDNAVLDVYAKCGALASARRLFDEMPERDVFAWTTMVWGLARSGSPQDAVAMFRGILSDGDAAPNDATVVSALHAVATSGSLISCKLLHSYAVKQGLGGELVVGNALIDAYAKCGDAWLAFKVFVELPETDMVSWGTITRAMAVHGRCREALQLFSLMLRRGVRPDGAVFLALLTACCHAGRVDQALLFLGAMARVYGISPRREHYTCVLDACGRAGQLDRAGEIFRQMPAEYDAEKALGVYCSYAVSNGVAGVAGERLPELFLDGEVDAGGGTYAVVSKSLADAGRWEDACAVRERMAERRIEKEAACTWIEV >ORGLA03G0081500.1 pep chromosome:AGI1.1:3:5737634:5739235:1 gene:ORGLA03G0081500 transcript:ORGLA03G0081500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRPTLPRRCSHDPKLSSFLSALASLTASPSSSSSSPSPPAGSVPASPTPAAYNALMSAYSRAGRHGEVLRLFRSLPFAPTAPLFTTLISSLAASGRPLAARAAFASLLKSGVPPTASAFTALLRSSSDALDFVDSVFRAMEALGCSPDAAVYNWVISMLCDFQLVQEALGFLDHMLENGPRPTARSFTAILRAYCEQGRFFDAGRLVDTMIQNGCPPDVVSYTVLIEGLCRVGEFSTVEMILGESESQGWMPTAVTYNIYMSGLCRMGFLDEAFRQVDIMRGRGLSVTAETVHILFDCLCRNAMFSEAVCLLEHSEELGWDVDVFCYNTLMSRLCDVDDFARVLKLLVDLLKKGIGPDKFSFTIAIRSLCRAGKLRLAKCLIENKGIKYDVVAFNTLIHGFCIAGDLDRVQQTRTDMINRDVIPNNFTDAMLIDSLCKERKFGEAKRFVLDSLVNGLVPDHLIRLNNWLVKAKKMTLLLKLLYEIRCKGIVVDTSIISPLVRVFCWEGYCRRDNFYQISPILDIIVTYVEQ >ORGLA03G0081400.1 pep chromosome:AGI1.1:3:5721567:5722460:-1 gene:ORGLA03G0081400 transcript:ORGLA03G0081400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGEGGGGAASVRDQPPHDFANVASFSELPFLRPAPAPRESPNNSAIRLFGIDVPHASSSPDGKASKESVVVSTAAAAASTHGAAAAAIAAAADSSRKFECHYCCRHFPTSQALGGHQNAHKRERQHAKRVQMQTAMAAAAAAASGAHHHHHHHQLLGYPQHHHRFGLAGPTVAALYQHPSWPTMSGGGGGISSIGPQFYSGIGSVTQPINGSPLPAGLWRPGPMAAPPLLAGERRPVAVSTSSVFRGDEPRASASLVASQTASSSLLLSPQGQFACEQPPATTAAEGVSLDLHL >ORGLA03G0081300.1 pep chromosome:AGI1.1:3:5705371:5709715:-1 gene:ORGLA03G0081300 transcript:ORGLA03G0081300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated proteins 70-5 [Source:Projected from Arabidopsis thaliana (AT4G17220) TAIR;Acc:AT4G17220] MGSLGEVVEHGVSKDMLPFDGHPDPVVDELNRLENLLREKDRELGHAYSEIKGLKVMEALKDKAIAELTKELKKQDEKLSSLEKQLEQKNLDVKRLSNERKEALSAQFAAEATLRRIHSSQKDEEVVPFDAIIAPLESDIKAYRHEIALLQDDKKALERHLKLKEAALVEAGNILRSALERALIVEDVQNQNIELKKQMEIYHEENKLLEKSNRQQVLDIERLTHTIAELEESILSTGDVANAVRFYQNQAAKLNEEKRTLERELARAKVYVNRVASTTANEWKDDADKLMPVKRWLEERRLLQGEIQRLRDKIAMAEKSAKAEAQLNDKLRRKLKALEDDMRNESSNTSASNKDNATSKQATPKRSSSQPRRPIISADGADKRRPASQPRASVSGKVLNKQPGSETEAAEKNRHAAAKRFDSPRSAKSVAAGGRGERPVRSHLWAHRSKVADDAGKENKEQNPNYKAHLGDSHADGDCGVQCSEHEEAMDLRKLDEGKADDSDAVKSTKDSCEI >ORGLA03G0081200.1 pep chromosome:AGI1.1:3:5700720:5703517:-1 gene:ORGLA03G0081200 transcript:ORGLA03G0081200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAGAAAAAAAVSLLACYLLLHRDGAKLPWVGPTTRSSRSSGRRTRRKGLVEAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALESGDLLCGGTVTEGSAGSTAISLAIVAPAYGCKCHVVIPDDAAIEKSQIIEALGATVERVRPVSITHRDHFVNIARRRALEANKSAAAQRESRYKETNGSAHVNTRIMHSKITASKGESNKALTNCSANSEIQYNGKCDHDSDSKGGFFADQFENMANYRAHYEWTGPEIWQQSKGTLHAFVAAAGTGGTIAGVSRYLKEKNTNIKCFLMDPPGSGLFNKVTRGVMYTKEEAEGKRLKNPFDTITEGIGINRVTKNFMMAELDGAYRGTDREAVEMSRFLLKNDGLFVGSSSAMNCVGAVRVAQDLGPGHTIVTILCDSGMRHLSKFFNDQYLANHGLTPTATGLEFLD >ORGLA03G0081100.1 pep chromosome:AGI1.1:3:5677538:5685446:-1 gene:ORGLA03G0081100 transcript:ORGLA03G0081100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALIIFSGRGRLFEFSSSSCMYKTLERYRSCNYNSQDAAAPENEINYQEYLKLKTRVEFLQTTQRNILGEDLGPLSMKELEQLENQIEVSLKQIRSRKNQALLDQLFDLKSKEQQLQDLNKDLRKKLQETSAENVLHMSWQDGGGHSGSSTVLADQPHHHQGLLHPHPDQGDHSLQIGYHHPHAHHHQAYMDHLSNEAADMVAHHPNEHIPSGWI >ORGLA03G0081000.1 pep chromosome:AGI1.1:3:5665762:5672707:1 gene:ORGLA03G0081000 transcript:ORGLA03G0081000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein [Source:Projected from Arabidopsis thaliana (AT1G69180) TAIR;Acc:AT1G69180] MDLVSPSEHLCYVRCTYCNTVLALQVGVPCKRLMDTVTVKCGHCNNLSFLSPRPPMVQPLSPTDHPLGPFQGPCTDCRRNQPLPLVSPTSNEGSPRAPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSSTVSTSNSNPEPRVVAAPIPHQERANEQVVESFDIFKQMERSG >ORGLA03G0080900.1 pep chromosome:AGI1.1:3:5633751:5636007:-1 gene:ORGLA03G0080900 transcript:ORGLA03G0080900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRASRFKMSNLSEPSKEAASADDSSAVQKTGAWSNTLNILLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVVGVLLCGQLKLIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLEMLLMGELNQMKGDSAKVTNWLSSDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGGVLYQQSTTKSKAPKAEPKEENDEEQQKLLEMQQGLESSSTQKQASS >ORGLA03G0080800.1 pep chromosome:AGI1.1:3:5627311:5631302:-1 gene:ORGLA03G0080800 transcript:ORGLA03G0080800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGGNFNPQYRHAAPPPPPQQAGVTGGFPQQPLPPPPPRMAQYPQPPAMAAPPPGPYQHGMPLVQNQAYPFAQMHQMPMLPQQRGYAQMPMPGPPSQPPPPQAMYQAHPQYPMPGSLPPPPPRPPSFAPENALPPSSPPPPPPPPPPPCSPPPVPSSPTAAPTTGQSWNSEPERKEGATATDVGHDVKTEKVTNQLIVSDDSDMDMDADEDSPSREHVSPINSSFATAECTGNVNTRKPACDVSNLGKDSGGKAKTTNVTDEGRSTFQLIQGYASDDSEDEDGAGAVSNLVPLTENNKPVHSIGTNPDIGHQLLTEAAPCTERSLEDREHQLMSKSNPVKHDSDELGHPVKEDLSGNDSDRGQQTRRHGRSQWKRSRGQSPQGRRSCSPLSQSLSSGRQSNSPLAKRANLLESKSPDGVGQTFRAQPGVKLGISKDGFYNDKHDSPVKVATPFDIHPAGGHISGDRISEQDGLMGTKKFNGSPDDIDCNEKTNDASVGSFGPHGHGAVLTCGPSQSVASSANGSDPHKMQRSGGASIPQSDMDKSSLGAHQSLSSQPPGISFATVHATEKNMMCDVLQPHSQNLCPPGQMPSGLRPAHIPSSNITPLPGQQLLSTPEFPQMHFQPNVMAPANEFLQSQMQTYPAPDLPHPRPLDFHPHTLQPVVPPHQQPAAMVQSSFQRFTPNLPGSTEFGAISDTDLPKSSIKPHYNPFASTFEQTDPTLNIGCDVIPNPVGSASTKAAEHANALSPFGLSVPGSGTHVRENSAEVVSSRQKQPHREFTSSAPYDPLLDSIEPSSSSINKMDLGREANLSASNHNASKIVNIEVESKNMHGLGLVAESEVEEFGEVAADTEAGVVDNLSPEPLGAKDWSSDMPGDIDNDESVDKNKRTKDSRSMKLFKVAIADFVKEVLKPSWRQGNISREAFKTIVKKTVDKVSSSVPNNHIPKTPAKIKQYVQSSQKKVTKLVMGYVDKYVKL >ORGLA03G0080700.1 pep chromosome:AGI1.1:3:5618924:5622272:1 gene:ORGLA03G0080700 transcript:ORGLA03G0080700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFVESQREARPELADPYADLADLYQRKLWHQLTLKLDHFLQLPAAQTGDTLIQLYNNFITDFETKINLLKLAHFAVIASRQYPDKDAAISFLEGVITKLRETKDLRINEPILYVKMQIAAINLEKGNQKECKKLLDEGKSTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALSAQPALVQNERKLLEKINILCLMEIIFSRASEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >ORGLA03G0080600.1 pep chromosome:AGI1.1:3:5613344:5615863:-1 gene:ORGLA03G0080600 transcript:ORGLA03G0080600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRHLAVFTTASLPWMTGTAINPLFRAAYLAKDGDREVTLVIPWLSLRDQELVYPNKIVFGSPLEHEAYVRRWIEERISFRPSFSIKFYPAKFSKELRSILPVGDITECIPDEVADVAVLEEPEHLNWYHHGRKWKNKFRRVIGVVHTNYIAYVKREKNGQAIACFMKYMNTWVTRIYCHKIIRLSGATQDLPRSVVCNVHGVNPKFLEVGKLKLKQLRNGEIAFTKGAYYIGKMVWSKGYRELLDLLSKYQSKLVGLEVDLYGSGEDSDEVRKSAELLSLAVKVHPGRDHADPLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKQFPNCRTYDSGEEFVQLTLDALAEQPAPLTDMQSYDLSWEAATERFMEAAELNLPISAEPRIHQTSKASLPAFMRTRKLKQSLEDASVYLHQALSGLEVTRRAFGAVPKTLQPDEQLCNDLGLAPAKKKRLKLKLMT >ORGLA03G0080500.1 pep chromosome:AGI1.1:3:5603996:5608757:-1 gene:ORGLA03G0080500 transcript:ORGLA03G0080500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSRDLLCGIGKGGDGPRGEVRPRVDMEAEEVELNLGLSLGGRFGLDRRGEKLARSSSVAAILAAPTEPSAPPSGLFRTSSLPTVAAAEAAKKQGVDELSCRRPSGGAEAEPAAARLPSSGSPSSGSSDGEGRRLEVNMTDTLMRTSSLPAGIEDEWRKRKEAQSLKRLEVKRKRIERRNSLTSNISKEAVGQILEEMNAGAEKVESCDDVATGNKKTGGNVNHSSDRNRCTGLPPVHRATYTQQRGSLSGIPTKHIPAMKGSADAEEHNVPSAATEHRNGAAIATPPFSALAVRAVALASRGEQLRATGRVAARAKSMGDVERIMMQEMPCVCTKGLPNGKRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVANPLRHIVVNPIPPSLY >ORGLA03G0080400.1 pep chromosome:AGI1.1:3:5599970:5603068:1 gene:ORGLA03G0080400 transcript:ORGLA03G0080400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAAPSVTPGAVAFVLENASPDAATGVPVPEIVLQVVDLKPIGTRFTFLASDGKDKIKTMLLTQLAPEVRSGNIQNLGVIRVLDYTCNTIGEKQEKVLIITKLEVVFKALDSEIKCEAEKQEEKPAILLSPKEESVVLSKPTNAPPLPPVVLKPKQEVKSASQIVNEQRGNAAPAARLAMTRRVHPLISLNPYQGNWIIKVRVTSKGNLRTYKNARGEGCVFNVELTDVDGTQIQATMFNEAAKKFYPMFELGKVYYISKGSLRVANKQFKTVHNDYEMTLNENAVVEEAEGETFIPQIQYNFVKIDQLGPYVGGRELVDVIGVVQSVSPTLSVRRKIDNETIPKRDIVVADDSSKTVTISLWNDLATTTGQELLDMVDSAPIIAIKSLKVSDFQGLSLSTVGRSTIVVNPDLPEAEQLRAWYDSEGKGTSMASIGSDMGASRVGGARSMYSDRVFLSHITSDPNLGQDKPVFFSLNAYISLIKPDQTMWYRACKTCNKKVTEAIGSGYWCEGCQKNDAECSLRYIMVIKVSDPTGEAWLSLFNDQAERIVGCSADELDRIRKEEGDDSYLLKLKEATWVPHLFRVSVTQNEYMNEKRQRITVRSEAPVDHAAEAKYMLEEIAKLTGC >ORGLA03G0080300.1 pep chromosome:AGI1.1:3:5594360:5599254:1 gene:ORGLA03G0080300 transcript:ORGLA03G0080300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1P8V7] MAAAAAVLHALVALSLAGAVAAAGRGGEQPLSRIGIHRTTFAIQPGASVDASPLLLGLEGQDREWVTLTYNNPKPSKDDWIGVFSPANFSDSTCPSESQWVEPPLLCTAPIKFIFANYKNLDYEKTGKGSMKLQLINQREDFSFALFSGGLSNPKLIAHSKRVTFTNPKAPVYPRLAQGKSWNEMTVTWTSGYGTNEATPFVKWGLQGQIQSLSPAGTLTFSRSTMCGPPARTVGWRDPGFIHTSFLKDLWPNFKYTYRIGHRLSDGSIIWGHEYSFQAPPYPGEDSLQRVVIFGDMGKAEADGSNEFNDFEPGSLNTTYQLIKDLKNIDMVIHIGDICYANGYLSQWDQFTAQVEPIASSVPYMVGSGNHERDWPGSGSFYGNLDSGGECGVPAQNMFYVPAENREQFWYSIDYGMFRFCIANTELDWRPGTEQYKFIEHCFSSVDRQKQPWLIFLAHRVLGYSSASFYVEEGTTEEPMGRESLQPLWQKYKVDIAMYGHVHGYERTCPVYENVCVAKAASHYSGAFTATTHVVVGGGGASLADYAGVRARWSHVQDRDYGFAKLTAFNHTALLFEYVRSRDGSVHDSFTVSRDYRDILACGVDNCPTTTLAS >ORGLA03G0080200.1 pep chromosome:AGI1.1:3:5587614:5593590:1 gene:ORGLA03G0080200 transcript:ORGLA03G0080200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RS2-interacting KH protein [Source:Projected from Arabidopsis thaliana (AT3G29390) TAIR;Acc:AT3G29390] MTEDRAPKVPDEPAAAAAKQRKKRKWDQPAEDVVAAAAAAAAVAGLPVVNIGALSGVSIPGAAGPLGNIVAVPYTLPVHLAPSVLQTAAAAVQKLSQAKMPDELIAREIVINDADPSVRYKLTKRQTQEEIQRCTSTVIITRGRYHPPNGQTDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGPNPEGTIQSNGQAVHPFSASIFLGFHADPSLNVAARVRGPNDQYINHIMNETGVTVVLRGKGSGTPVNCHAEASQQPLHLYISSMHVKNLEAAKILAENLLDTIAAEFGASRISSSKVYGAVPPPQQLLDGVQTSGTIPDVHPTLGPNVLTGASHSFASTGANASLVAPSVTSQSGAPSYSVVPPPSNLICPSQPANGGTFYGGYGGIYPQATPLQQVALTLKHASSSSTQVVSATSTSTSTVAMVNPCSHAEADKRSQRRKFQELPVSQGATTEVQWRSLFVSFFQNSQQRSKFVKTGLDGLGNMTNSSIEPPIKVQPGSNGMLLQDQPHVSAHPSASKNMLPPPPPPPRNMLPPPPKSMPPPPPKFPSNEMSRNEDRCADLNKPMAPPKSMPPPPPKSMPPPPPKFPSNEMSRNEDRRSDLNKPMAPPRSLDVSSVSPPNLYSAQLPSKEPRVVKPGGASVSDTLLKLMDYGDDDEEDNIDETNSVLGGNPTSISGQKPFWAV >ORGLA03G0080100.1 pep chromosome:AGI1.1:3:5581479:5584866:-1 gene:ORGLA03G0080100 transcript:ORGLA03G0080100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT1G74240) TAIR;Acc:AT1G74240] MAASPSAPPPPAAAAGVGVWSPAPQSPSPNLANFFVWREFVWGAIAGAFGEGMMHPVDTLKTRLQSQAIITGAKAQKNIFQMIRTVWVSDGLKGFYRGISPGVTGSLATGATYFGVIESTKTWLEHSNPNLSGHWSHFIAGGIGDTLGSFIYVPCEVMKQRMQVQGTKKSWALTATKGNISQTPGAPMYNYYNGMFHAGCSIWRDHGLKGLYAGYWSTLARDVPFAGLMVTFYEAMKELTEYGKRKYLPESNLHASSSFEGLLLGGLAGGFSAYLTTPLDVIKTRLQVQGSTTSYNGWLDAITKTWANEGMSGLFKGSIPRIIWYIPASAFTFMAVEFLRDHFNEKIDTDARELTGLSMDTRSEVEEAA >ORGLA03G0080000.1 pep chromosome:AGI1.1:3:5575396:5580320:-1 gene:ORGLA03G0080000 transcript:ORGLA03G0080000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT1G13770) TAIR;Acc:AT1G13770] MHTSPIVISTRESDKKKQKLHQSPRLELSRSPRRALHCTRKQSSEPWRRRARGGVRSRSAPPAWAAGLRGGGRLVGIMDSSRSRSAAATEAAEAEAAGWVTVEEWAGSSAAALSRTAVLTASPSSSLASRRFGSRWGRVGGRLLGAFVPEGFPGSVTPDYVPFQMWDTLQGLSTYIRAMLSTQALLGAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLGMLMDLLSPLFPSSLIVIMCLGSLSRSFTGVASGATRAALTQHFALANNAADISAKEGSQETLATMLGMGLGMLLAHVTRGHAFGVWVSFLSLTIFHMYANYKAVQSLSLTTLNYERSSIMLQYFMDNGEENYFLLDKGGSVHIFIHKQAAATDILMSFIHGLVLAHLMQKSKSGHAEARQWIDEKYNTFISKLQVEGYSTERLLSHSIVWRAHWVHGPSEEKLE >ORGLA03G0079900.1 pep chromosome:AGI1.1:3:5566268:5575040:1 gene:ORGLA03G0079900 transcript:ORGLA03G0079900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAARPLGGRARNPSPGPARPPPPFAAADAAAAAGLRDAPRRVARMKDRACATCGDKIDSGNVIRCQCKKSPEHAKHEIHHTNDAMLEAKGFAKPNSSNKYGMHKSSGGTYSKPDARVKIIPAEEITYVRHGKLCGKTVGSDGLQKRQRRRSVTPPPSSRKVSLVTPTVVNQRPTPPVSPAASRISPNRPGTAKNVHSVVTSCISPNLTGKAENGHSLATSGISPNCPGAVKKIHSLATSPISPNWPGAVKKIHSLATSPISPMWPETAGNGHSLVGGYITNSFTTQIASLSQRPSPFCMAVLSQPSGTPLGTDATAPKNLSRSGNREAYVKSCSSRTRTFSSAHAHSTVVPPGTNAEPSAESFCAPGNEKSSPMSCKLGTLQCQGTRTAVAPSVQKKLTMEPALPSPKSVLSEKSNEAYPDTAPRPSSRPNLFDTKCKVGSPQSETIIPPSQSPQSTSHARCVEPPDDFEAVPSTKSHIITEKQTNQEAPINCNVSSGIPVILHTKLHKKHYQPEACWKGKFEVTGELTHICDGLEAHFPFEISAQVYEASKQMPEILKLEARPLSHLWPKTFKMKPPEGQDIGLCFISSLQRPNGSSDHLLKNISSHIGLRTKIGATELLIFSSKLLTQEYQRKCDKFYFWGVFRALHRSYNQTSMSFDATGCKEIERHKNKETGKILETQDKKTEKEKCGEIGNKLDSAVSRERDRINECMRMLTPDPNAAASSSDFTCQSAPRVPAGSDLVLDTPSGFPHDDPPGLTKAHCLLHTGETTEPYIDSSPSLNLGVPPGLSLDIPPGFMKAHHLPHTGETTESHINTSHSHSLSWDTPLGFSLDVPPGFTKAHRLPIVSTAGSETVVSEKKPLIKFTLNVPRVAQTEAIPGFIKLLAVKQEPGLPAICMATEKASTGKEDEIKSKQDEVVTERDESSEERLFPKTRLLSDILSSSASSNANTNASPKPTSKFHDAPDNQIQDRKRDHPESPEPSPADTLKRLRVNGRIALNRVMDRRTLSSQPISREGLVDIQVSGPTVLTREANAVVGNISGDECACFVCSEEFPKG >ORGLA03G0079800.1 pep chromosome:AGI1.1:3:5563832:5564320:1 gene:ORGLA03G0079800 transcript:ORGLA03G0079800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVLECGDISASQEELLAHSSFLNGGDDGEVFSTPPTTQEDAITMCTLPFTQSQSPAPAPLPSPAAVSRTTPGCSSSEDNRDDEMSDIVKQRRRPRVCTRKVRWGAKIRTPTPSPDRTTSEVENKDGDPLYKAVLMIPTRDSTPAIPMDLIALARQRGLF >ORGLA03G0079700.1 pep chromosome:AGI1.1:3:5541599:5560522:-1 gene:ORGLA03G0079700 transcript:ORGLA03G0079700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U5 small nuclear ribonucleoprotein helicase [Source:Projected from Arabidopsis thaliana (AT5G61140) TAIR;Acc:AT5G61140] MLAPLPRLTSALRGHYDADQAYLLRKSALQSLKLPRPRDEWELARKIVPDWDDAPSDVRQAYKQFLGAVVELLNGEVVSEELQEVAPAVYALFAGDDTQSNLADNVLRRRNELENLVGYSVQDSVLKKLAQLAQMLCSLQRARGHELIQINADESITSEFGANFDFKPPSRFIVDVSLDDDLPLGSGVLSSKPAEHDQYDASSASVSHNSVSPGDYVNLRWLKDQCDLITRSGGSVLSGDELAKALCRVLLSNKAGDEIAGELLDLVGDAAFETVQDLLSHRKELVDAIQHGLMILKSEKLSSSNQPKMPTYGTQVTVQTEYERQLDKIRRKEEKRGKRGTETATNDIFSDDFSSFLLASERKQPFDDVVGTGEGANSFTITSLPQGTTRKHMKGYEEVKIPPTPTAPLKANEKLIEIGELDELAQAAFQGYKSLNRVQSRIFQATYYTNENILVCAPTGAGKTNIAMIAVLHEVKQHFRDGILHKNEFKIVYVAPMKALAAEVTSTFSRRLSPLNLVVRELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMSLSMLVKLIIIDEVHLLNDDRGSVIEALVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNPDTGLFFFDSSYRPVPLAQQYIGISERDYAKKIELFNTLCYEKVVESIKQGHQALVFVHTRKDTGKTARTLIDLAANAGELELFSCADHPQYALIKKDVSKAKSREVAEFFESGFGIHNAGMIRSDRSLMERLFADGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGVIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFIRMKTNPLVYGIAWEEVIGDPSLGSKQRAFIIDAARALDKAKMMRYDEKSGNFYCTELGRIASHFYLQYSSVEAYNEMLRRHMNESEVINMVAHSSEFENIVVREEEQDELESLFKNACPHDIKGGPTDKHGKISILIQVYISRAPIDSSSLHSDAQYISQSLARIMRALFEICLRRGWSQMTSLLLEFCKGVDRKIWPEQHPLRQFDRDLSHEICKRLEEKHVDLDRLYEMEENDIGALIRFSHLGKVVKQYVGYFPYVNLSATVSPITRTVLKVDLLITPEFLWRDRHHGMSLRWWIIVEDSENDTIYHSELFTLMKKARGAPTKISFNVPIFEPHPPQYYIRAISDSWLGAESLFTVSFHNLTLPQTQITHTELLDLKPLPLSALGNKTYQDLYRFSHFNPIQTQAFHVLYHSDNNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWRQRLVTQLGKKMVEMTGDFTPDMMALLSADIIISTPEKWDGISRSWHSRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVRDDGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPDKPVLIFVSSRRQTRLTALDLIQLAASDEKPRQFLSMADNSLDMILSQVSDTNLRHTLQFGIGLHHAGLNDRDRSLVEELFSNNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYIDYDITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTISNKEEAIIYLTWTYLYRRLVVNPAYYGLEDTETYTLNCYLSRLVETTFEDLEDSGCIKVDDHSVKYLILGKIASQYYLSYLTVSMFGTNIGPNTSLEAFVHVLSASAEFDELPVRHNEDNLNRTLCGKVPYSVDQQHLDDPHVKANLLFQAHFSRAELPISDYVTDLKSVLDQSIRIIQAMIDICANSGWLSSALTCMHLLQMIIQGLWFERDSSLWMLPSMNDNLLDHLKGRGVSTIPALLGLSREELHRLLQPFSASELYQQDLQHFPCVDVKLKLQNEDKDQSRPPILSIRLQMKDARRSTSRAFSPRFPKAKQEAWWLVLGNIRSSELYGLKRINFMDRVVNTRMELPAMFDIQETKLILVSDSYLGFDQEYSLGHLAKGV >ORGLA03G0079600.1 pep chromosome:AGI1.1:3:5531079:5537444:1 gene:ORGLA03G0079600 transcript:ORGLA03G0079600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFHPTQHGGDSDFQVWQQQMMYKQLQEFQRQQQVQQLDHGARMQPSFGQFQAPAKPLPTDQLSAMTNEMPNNESTAYAWSHQLHGSSDPGLASNSQMLNPSSNTNWEQYGGAPGVANFVNGSMFSNTPIQPMRPMGLAAHQMNPSLYPINTTSRDGSGNQHSQFLGIPTDPRNAMARAAAHQSEKASMQFSSLMSEQGPSSSMQNFLGKVGDNIKVGTPVPVNHLQHGVQHQDFHSRPNQVDFQAGLREKSTMQVESGNGGASLDPTEEKFLFGNDEDSNWGALLRGGNDHGSSMDNDNFGGALPSLQSGSWSALMQEALQSTTSDNSPKEEWSGLSFQKQEQIIANNSTLQSHDQNKFSALSGANLENQRPSSASSYGDGTMHNPNFAGFQHAARTPYEQRDRMQHDSSNATGTNHQSTAGVNNGYFQQSMKQKQSDDYSRQEQMNASNGIWAHQKPEMPRNNSHSSGGHATPPSAHGFWMSQQNSIDHNISRESGSTQNDWKSKGPLVQDINSTPNVFNNDGSFWKSSGGNANSVHRPQQMKPDISTMQMPNDSSDGKSTSAMGSNMPTLNQDQYQSIIGRTGEHVGQNHNMGRKGPEITGSLGRGAEQKSNDHNQDYLNVLPTERQGHGSNHGQHVSSDFATRRHPFFAGKESQNLGQSGQQAMGSYMLQNHAMDNSGMNIRHSSGNPVPNQFPSQSHQLHNNLKPRFIPSSQASSNMASVNEKMLMREEQFKSRHVPNSSSSPPFGGSDAGLPQNRAVQNSQHMLQLLHKVDNSTDSNAAADMPNSSPDNTGTVQQQLNQSSLQGFGLRLAPPSQRQLTPGHVWSTRADVDGKQPEHSTKGEDQTQPSAASQSLPPGHPSSQPTPFNSSEIDSTGQQTGQFHQFGSGQQYPVSESRSGSVAMPQQGSSATVFKNVWTNISAQRLAGVQPNKITPNILQSMMFPNSAADTNLWGSQKADDQGQRASTPSDVATSSTNSQNQETKQGGDSDAGLASSEMVNLDSTGATMSRESIQKHSSDGNFAMHVSSLARLHQQGIMNPKQGENPAANFQAMKTSQNTGIGLHGSPTPSNIQQQNYSLLHQMQAMRHVDVDPANIAGKKLKSPETGSDASQVDWKSGQRFAHGTNNSVRSSVDNIGNANVPGPFPADMKMLSFAPRNNEDRGPSIPSQFPSREPPSQGMAVAAQTEPANASSDLIERSERPRINPQMAPSWFERYGNHRNGQNLSMFNLQKTPVPPYNVQKPSWNMDNNSAEQRIDSGQSVKPGHYISSKKMEVSVPSSIMQRRPKKRKSAESDLVSWHKLIEHPKTLRNMSTTEMDWAWAANRLMEKAEDDAENLEDVPVNYLWRKRLRLTTRLIQQILPAIPAKVLRAQAASAYEGVTYNIAMFTLGDACNMASYNSRTLTDHENNSSEQTNAKKMEDRLSKVVEVFTGRIKKMENDFVSLNKRSSMLDVQLECQDLERISIVNRLGRFHGRNHAAGVEASSASEMIPRRIFPERHVMSFAVPGNLPEGVYCLSL >ORGLA03G0079500.1 pep chromosome:AGI1.1:3:5521267:5523387:1 gene:ORGLA03G0079500 transcript:ORGLA03G0079500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATPTRPPHAAPSSSPSPSPASLRQWRPAAQRNLRNQWSRLLAAKARWLSAAADGRSHASALVNAHLSRRYMPGMDLGVLKDMPGIREKASGKLARREEQCQSMLLSAYREMVLATAELVRASHSMRCFSKVAANSPLIRFTERQDDMNDSGDGGGSPVFKWFSVLEFENLAQELVDMFISELQLKRLLVLELLSVTFKEGVQHDASLEWSNELFDGEFNEFQSIGLLSGDNYALPKNWSAGVSKAWQPDQTPSHEVLQVYLTSWLANVNIKTSRIDEIFELVGEEMQIKLS >ORGLA03G0079400.1 pep chromosome:AGI1.1:3:5518294:5520303:1 gene:ORGLA03G0079400 transcript:ORGLA03G0079400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQQLLYRRYSGNFLVNLIGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >ORGLA03G0079300.1 pep chromosome:AGI1.1:3:5512278:5514290:1 gene:ORGLA03G0079300 transcript:ORGLA03G0079300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFYSEISSRWYSFIPDDVDDDGVPPPPGGTKERSGCPHDETSERSQGGNGRRRLTLAPSWATSSKGLAASLSPAKSSSEECERARFRLGRLVEAAAASATRPRAVHGSSAAFDQASSDDSGHSLADLESAVKPWSGPLPSPRRSPPRTLGTIVSSALQGRIDSVQDALSAEAVACLHALRAAVDHGFSHISVETDSVTLVNALESSCCDRTTAGVIFKQIKAMIHLDFVMIRVSFTSRSCNNCAHELAYQGASWDPGHMSIWADPLPDFVQSLMVRDYTEPT >ORGLA03G0079200.1 pep chromosome:AGI1.1:3:5497083:5503585:-1 gene:ORGLA03G0079200 transcript:ORGLA03G0079200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKSSSGRCSTARLEAVAVLVVVFGVASSSLRGCIAQQSGGGLTRGSFPEGFVFGTASAAYQYEGAVKEDGRGQTIWDTFAHTFGKITDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWSRIYPNGVGQVNQAGIDHYNKLIDALLAKGIQPYVTLYHWDLPQALEDKYKGWLDRQIVDDFAAYAETCFREFGDRVKHWITLNEPHTVAIQGYDAGLQAPGRCSVLLHLYCKAGNSGTEPYVVAHHFILAHAAAASIYRTKYKATQNGQLGIAFDVMWFEPMSNTTIDIEAAKRAQEFQLGWFADPFFFGDYPATMRARVGERLPRFTADEAAVVKGALDFVGINHYTTYYTRHNNTNIIGTLLNNTLADTGTVSLPFKNGKPIGDRANSIWLYIVPRGMRSLMNYVKERYNSPPVYITENGMDDSNNPFISIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWAAGYSSRFGLYFVDYKDNLKRYPKNSVQWFKALLKT >ORGLA03G0079100.1 pep chromosome:AGI1.1:3:5490726:5494817:1 gene:ORGLA03G0079100 transcript:ORGLA03G0079100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein [Source:Projected from Arabidopsis thaliana (AT3G02090) TAIR;Acc:AT3G02090] MAFRRLLSAAVRRRSAAAAAAAGPGNAREASTAVAAAGPGVIAPDAAPVRPPMMVYDRIAEAVNARLRRLEHPDPRFLRYASPVPAHADHTAILAAPETRVTTLPNGLRVATESSLASRTATVGVWIDAGSRYETEDSAGVAHFVEHMLFKGTGDRNAAQLEEEIENIGGHLNAYTSREQTTYYAKVLDKDVPRALNILADILQRSKLEESRIERERDVILREMEEVEGQYEEVIFDHLHATAFQYTSLGRPILGSAENVKSITQEDLQKYIETHYTAPRMVITAAGAVKHDDIVEMATKLFNDLPTDPTTTSMLVSTQPACFTGSEVRIIDDDMPLAQFAVAFNGASWVDPDSIALMVMQSMLGSWNKSAGGGKHMGSELVQRVAINDIAESIMAFNTNYKDTGLFGVYAVAKPDCLDDLAFAIMQEISKLSYRVTEEDVIRARNQLKSSIQLHLDGSTAVVEDIGRQLLIYGRRIPIPELFARIDAVDASTVKRVANRFIFDQDIAIAAMGPIQGLPDYNWFRRRTYMLRY >ORGLA03G0079000.1 pep chromosome:AGI1.1:3:5486135:5489416:1 gene:ORGLA03G0079000 transcript:ORGLA03G0079000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKALSSVPDSGAGRVKHLVQAFESILSISGATSDADRAGEGSWALPGLQAWKEDCEGKIGMPPVSVSSSAEFLNAGPNRLCSSLDGKSDRLSWDSRTSAGKCRSRRNTSESLRSSWNKKLKVTSQHPFKLRTEQRGRVKEQQFIQKVQEMLMEEEQQRIHIAQGLPWTTDEPECLIKPPVKETTEPVDLVLHSDVRAIERAEFDQYVSERNKFAEQLRLERERQQKLEEEEMIKQLRKELVPKAQPMPYFDRPFIPKRSAKPATVPKEPKFHPRPEKQSCLCRQRCMDTGMLSSSCLHDQSTVLAEKSKALPRMF >ORGLA03G0078900.1 pep chromosome:AGI1.1:3:5482396:5483851:1 gene:ORGLA03G0078900 transcript:ORGLA03G0078900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQTSLGTPVYRTNPFDSDSDSEVPSRPSRAQSVPVRRTDQSIQELEDYAVDKVEETSRKVNDCVRAAEAIREDATKTLVTLHRQGEQITRTHRVAADIEHDLSMSEKLLGSLGGLFSKTWKPKRNQQIKGPISQNNSFTSSANHMEQRQRLGISSTRQPSPNQVHRSPATAIEKVQVEIAKQDDALSDLSNMLGELKGMALDMGTEIERQNKSLDAFGDDVDELNFRVKGANQRGRRLLGK >ORGLA03G0078800.1 pep chromosome:AGI1.1:3:5478851:5479919:1 gene:ORGLA03G0078800 transcript:ORGLA03G0078800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQMANLRLTDFEFFRIILPGSSKTKLKLPYKFARELGDRELREARLRVAGEGRRPWDVKVFDDDVSGDVYLGRGWQEFARAHDLRDGHFLVFRYDGAAAFTVTVFDETMCRRDYRRHHDAAESGSSSSSDSSDAAAAAAAEGVGDVALSQFAVTLRQCNLEDKQAQYLNVPMEFQEAHEYARREKVVLRMRGEAWTVRLKHSRRERGQRTAFRYGWHRFCVDNGLAVGDTCFFRVLREGDLRRGGAADDHVLKVAVRKADGTTLE >ORGLA03G0078700.1 pep chromosome:AGI1.1:3:5474282:5477585:1 gene:ORGLA03G0078700 transcript:ORGLA03G0078700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT5G07910) TAIR;Acc:AT5G07910] MGCCSSRSADSPASRVTRWRSTGIVALRDARLKVVPNEVLQVGNSLRILDLTNNKIAEIPQEVGTLVNMQRLVLAGNLVESIPANIGYLRNLKILTLDRNKISVLPEELGSLSNLQQLSISQNSLSRLPKSVGDLRNMLLLNVSDNKLIALPESIGGCSSLEELQANGNSIEDVPSSICNLVCLKSLSLNGNKIRQQLPQNLLKDCKALQNISLHDNPISMDQFQQMDGFTEFEARRRKKFDKQIDSNVMMSSTALDEGIDLN >ORGLA03G0078600.1 pep chromosome:AGI1.1:3:5469203:5470699:1 gene:ORGLA03G0078600 transcript:ORGLA03G0078600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1P8U0] MQSPENAAPRVYFIPFPTPGHALPMCDLARLFASRGADATLVLTRANAARLGGAVARAAAAGSRIRVHALALPAEAAGLTGGHESADDLPSRELAGPFAVAVDLLAPLFADLLRRRPADAVVFDGVLPWAATAAAELRVPRYAFTGTGCFALSVQRALLLHAPQDGVASDDEPFLVPGLPDAVRLTKSRLAEATLPGAHSREFLNRMFDGERATTGWVVNSFADLEQRYIEHYEKETRKPVFAVGPVCLVNGDGDDVMERGRGGEPCAATDAARALAWLDEKPARSVVYVCFGSLTRFPDEQVAELGAGLAGSGVNFVWVVGGKNASAAPLLPDVVHAAVSSGRGHVIAGWAPQVAVLRHAAVGAFVTHCGWGAVTEAAAAGVPVLAWPVFAEQFYNEALVVGLAGTGAGVGAERGYVWGGEESGGVVVGREKVAERVRAAMADEAMRRRAEEVGERARRAVEVGGSSYDAVGALLEDVRRRRRRREMAADPRNVKEV >ORGLA03G0078500.1 pep chromosome:AGI1.1:3:5462317:5465942:-1 gene:ORGLA03G0078500 transcript:ORGLA03G0078500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPRFLPVRPLFLLLLLLVLAGVASGKTVKRDVKALNEIKSSLGWRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLKRLDLHNNKLTGPIPPQIGRLKHLRILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPELRYLYLHENRFTGRIPPELGTLKNLRHLDVGNNHLIGTLRDLIGNGNGFPSLRNLYLNNNDLTGVLPDQIANLTNLEILHLSNNRLIGSISPKLVHIPRLTYLYLDNNNFIGRIPEGLYKHPFLKELYIEGNQFRPGSRSKGTHKVLELPDADILV >ORGLA03G0078400.1 pep chromosome:AGI1.1:3:5450827:5455972:-1 gene:ORGLA03G0078400 transcript:ORGLA03G0078400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1P8T8] MQLRISPSMRSITISSSNGVVDSMKVRVAPQPPPPPPPLALQGVVTPGAGRRGGGGGGGGGGGGWWGAGWYWRAVAFPAVVALGCLLPFAFILAAVPALEADGSKCSSIDCLGRRIGPSFLGRQGGDSTRLVQDLYRIFDQVNNEESPDDKRIPESFRDFLLEMKDSHYDARTFAVRLKATMENMDKEVKKLRLAEQLYKHYAATAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTVRSSSVPHKVVFHVITDKKTYPGMHSWFALNSISPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGAVSSASDSPRVLASKLQARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVIQRDLSPLWKINLEGKVNGAVETCRGEDNWVMSKRFRTYFNFSHPVIARSLDPDECAWAYGMNIFDLAAWRKTNIRETYHFWLKENLKSGLTLWKFGTLPPALIAFRGHLHGIDPSWHMLGLGYQENTDIEGVRRSAVIHYNGQCKPWLDIAFKNLQPFWTKHVNYSNDFIRNCHILEPQYDKE >ORGLA03G0078300.1 pep chromosome:AGI1.1:3:5445629:5448992:1 gene:ORGLA03G0078300 transcript:ORGLA03G0078300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLLLLVFICLHALHWAASAQQPEEATVIVKGSTKIAETNKNYICATIDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIQAFDNLRIRLGGSLQDRVVYDVGTNSPCTPFTNMSNGLFGFSDGCLSMDRWDKLNALFQKTGAIITFGLNALYGRYNVRHSFWAGKWNSTNAYNFVKYTISKGYPVDSWEYGNELSGHGIGARVDATLYGKDAIELKSIFQQLYKAPLSQPSLLAPGGFFDQQWYTQLLQTSGHGVVSALTHHIYNLGGGNDAHLIRKILDPKYLDRSEDTYRDMQLTLQRHGTWASAWVSESGGVFNNGGELVSNTFINSIWYLDQLGMASKYNTKVFCRQTLIGGHYGLLDTQTFLPNPDYYSALLWHRLMGREVLSVDINAPRKLRAYAHCRKQQQGITLLLINLSNTTGYNVTLQNDINVSLDKTASLHKHNSFSHSLRRAVSWLGRKPSSDVARREEYHLTAKDGDLQSKTMLLNGAPLELSDDGGVPAMSPALVAVNSPVYLAPTSIAFVVLPMFEAKACS >ORGLA03G0078200.1 pep chromosome:AGI1.1:3:5439390:5441813:1 gene:ORGLA03G0078200 transcript:ORGLA03G0078200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G29290) TAIR;Acc:AT3G29290] MAAVWSGCSTSFSQELPPHPRGRRGGDGARIHPWSGGAGRDATTRHAEAARTVVVFARGRVRVCRAAAPCVLEPDVAGKEEVGVAVWGMDDEPPVADGHRRHGLRRRPVRPAAVEEGPVAAARSAASASASAAGSKSEVGGSRLHFLEERDEEMLSRRLIKLSQSNKVRSATELFDSMRASGLQPSAHACNSLLACFVRRGSFADAMKVFEFMKGKGMATGHSYTLILKAVATTEGYFAALKMFDEIEESDKKNVDVIVYNTVISVCGRAKDWRQVERLWRRLGENSLSGTLMTYDLLVSTFVQCGQSELAVDAYQEMFKSGIDPSEDILKAIIASCTKEGKWEFALTTFRRMLSAGMKPSIIVFNSIINSLGKAGEDELAFRMYHLLTSSGLKPDQYTWSALLSALYRSGRCWDVLDLFQGIKTKHSALLNNHLYNIALMSCERLGQWEHGLQLLWMMERGGLQISAVSYNHVIGACEVARMPKVALKVYRRMTHRGCSPDTFTHLSVIRACIWGSLWNEVEDILEEVAPDSSVYNTVIHGLCLRGKIRLARKVYTKMRSIGLKPDGKTRSFMLQNLATDY >ORGLA03G0078100.1 pep chromosome:AGI1.1:3:5435717:5437778:-1 gene:ORGLA03G0078100 transcript:ORGLA03G0078100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDLKKLRVRFPGLGKGNKGGRQAPTILQEEDTSLQRAPMNSSDGYDAAFAATIAAAAYAIAAQEEKLAAQKKHVPIQGQSTTTPVQSPVKRGESMKKPTGGSRISRWFSGKEPAEDNDDGPANVSVRRPLKPAQRKQEDIASDQKVPPKMVDSSLSAKEGSGSSSKLQDKKGSKKFEQEQAIQKTPSTTRPATSYHSRRNGDGTVGLTAVGPADTKTNEWEKAKLASITEEYKNMMDTIAEWENEKKMFRLTILKTELANLQKVLDQKRAKALEEYSQEITRINKIAGGARTMAEERKYNDEKKIKEKANKRRSSEKAPHACACF >ORGLA03G0078000.1 pep chromosome:AGI1.1:3:5431301:5433616:1 gene:ORGLA03G0078000 transcript:ORGLA03G0078000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLERRNSFGGSTPTSSASGSRKDEKTTPHYLRASTGSCHNLCKYGHKNPFEEEKKLSTSGGRRKKLPSHLNNLTLHRSAILDRSKDVRQRNLSLAKSSISLGESDRIASKKTQANLKGSSDHLITRTSSSADHKNVNLDGRKKHPTVAQRTSADSGSSNGVPKFDKKSAMPVKGTIVPAKLKLAEMPQLEESRTMEKVTTVKQSSIKRPTSLPTKLNLIKQVPVPSQVSSHLLSSKAKRTVKGELTSSPATVTGVRQSNSGKTGRSSMNSSKPSINGKEGLHMARSSFSVESKMDVSVVIQEHDVQDSFIRGLHVESTLAGVSSDTTECVDESRSAPEEIIRPVSGDDGMESNRKNEASGGNEEPLQSSIVTGLLQSSDDQQLKNALSNLEAEENQTDDASPCQLSKNSIAVENAVLGDSLSTENSSEIEADGVKANASMESQVAEGNEEEEAHEGLQESIEQLALGEKHAKEPGSFLGSTSGNTVEDVKADEIFEGWTNNSPSHCQPISETSSDGELLGEPKSVQIQPSDSTLQTDGLVISSTGNTFEQDELKPGFFLQQSPEELSEDEFYEEYDFELSELDESGTEDEGPTINKNSYDHLKADGQRPKRISALEQDDDSATPYKLKFKRGKIVELQPDSNGPRKLKFRRRSASQFSSSEGLSARRIYKMNSTIDAGPSNLDVESPGVKLRHQDTQEKKDAQGLFNNVIEETASKLVESRKSKVKALVGAFETVILLQDGKPASTPHAGNSPHLFHDDEGNASELAA >ORGLA03G0077900.1 pep chromosome:AGI1.1:3:5423342:5424466:-1 gene:ORGLA03G0077900 transcript:ORGLA03G0077900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRHRSDDDGGELESRRPLSRHRRRRRRRHLYVVLDDWSKGYSVYKVDVDGFDGDPDADLDDEAVRLPEPPVFRLETADYGRFGIFVAVGSRIFATHYSEDTNARAPVLMFDTVTGGLAVCPGVPAELCNQPMIFPAGDKVYAMGRSKIKMDARGELRKYLEELAADGEGSWAWSSSVDDRAPPPPFDVGRARCHAAHPDGRTVFFSAHGDGTYSFDAGTRGWTRHGEWMLPFDGQAYYDGELDAWVGLWSGHSGRRGRVCSCDVVDPRGGGGGEQPPPAWKLAVRSHPAWRARSRFLSVALARMGGGEFCVVEWRSRRGVSEEELHERCLLYATTFRLRYDRDGSLEATDRRARAFTARKKSDEFEWCAFGI >ORGLA03G0077800.1 pep chromosome:AGI1.1:3:5421543:5422325:1 gene:ORGLA03G0077800 transcript:ORGLA03G0077800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFASNAIAGSIRKKAQPSKCSQSNPDCSDDDVSSCASREEGLECPICWESFNLVENVPYVLWCGHTMCKNCILGLQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYKGNLKFPRKNYFLLWMVESMNGERAKFHSPSHEERHSLCPSGGGPSSSQHHRRPAPRTESASGRERSVAGNVFNTYSISASLQKIMLSFVQMTAKFPLVIIFLLIVLYAVPASVAVLVLYVLVTVLFALPSFLILYFAYPSLDWLVREIFN >ORGLA03G0077700.1 pep chromosome:AGI1.1:3:5417735:5418218:1 gene:ORGLA03G0077700 transcript:ORGLA03G0077700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGPTAAVAKAVPSPSTPPPPHSRGAGRRRLRPCGAKKGPGTDERGATAGGGGVVTRGALLRSGAALFALGFVDAGYSGDWSRIGAISKDTEEALKLAAYAVVPLCLAVVFSPSSEDGSNNT >ORGLA03G0077600.1 pep chromosome:AGI1.1:3:5401553:5408700:-1 gene:ORGLA03G0077600 transcript:ORGLA03G0077600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleotidyltransferases [Source:Projected from Arabidopsis thaliana (AT3G61690) TAIR;Acc:AT3G61690] MGMVPNGLLPNASAGVTRRLDGERWAAAEVRTAELIARIQPNADSERRRRAVYDYVRRLITNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSDSEELKDTWANLVRDALEHEEKSENAEFRVKEVQYIQAEVKIIKCLVDNIVVDISFNQVGGLCTLCFLEEVDALISQNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHVFNNCFTGPLEVLYRFLEFFSNFDWEKFCLSLSGPVPISSLPDMTAEPPRMDAAELLLSKSFLDKCSYAYAVTPRIQESQGQQPFVSKHFNVIDPLRTNNNLGRSVSKGNFFRIRSAFSFGAKRLAKLLECPKEDLIAEVNQFFTNTWIRHGSGNRPDAPTLGLVHQHHLKVVPAEASNSQRSAMALKKNAENPNIRANQDNLSENANSYPEATSQPLQRSVLHPRNSLRTVNPSDSHAHHQKVHVTHANTKVSEQLERNRSDGSMQNERNKTVPNSLFVNDRNGQNRSRFARTRSSPELTDPSVEGYSRGRRTGVVEMDKSLKVDYNSRRNNLAPEVSSSHITKSSQDESVSSMNSSSHYSGKAASDSNSVSSSYREDNGFIMNEELPSVSEASDKQQEEQVLVNLMSSAKLHDFNGQVQLPIEMPPHFSVAPSPLLAPAAFPPKHFAGIPPTSLIGAPWSNMHLIHGYVSPPMAHYVQNHTFAPNIEEGNESEKPITPDASRDDGNNWHEYGVGFPRYFNHQGRDPQMRHFNGKEHSSSPNSVSGAPFERQGEIAVEDNGAVEENYTNMFQNQTSRQASINTRIGSGNARIPSSQSGLSRNKAMPENSWGESAGNTTRSLRDKWGKRPAFAAPDTTTHSKNNTGWQTGNASEHIPPEVDDGARNGVIVPNIRHEASDIITGSGSTASRTSQVPNDFEPSQIGMPNPLFAPLFIGSPQQRQSGNGGLTFIQTGPAVPFLMLPYAPGNDGSVPQFERNEGVDQLPVNIAVQNFSSLNNVHHPDINATSTASSSTAGDPSEEQKPDILNSDFDSHWCNLQYGRSCQNPRPMNPVLYPFAVPPMYLQGHVPWDGPGRPASTNVNWTQMVPPSQRIYPMMPLQPSSERITGGPQHHTEDAPRYRGGTGTYLPNPKVPFKDRHSGSRNHRGNYNTDKGDHNDKEGNWINSKQRNPGRSYGRSHSERSGIRSDRQAADENQYDRQRRSYRNDSYRHETGAQSSGSTNYIRRPGNMTHGDPSPSASNGIGALSGSSAPYFMYYSCEPGTNHGSSSEPLEFGSLGPVPTADGGDMPRPTRQAMPNEFYGQRHVAFRGGSSHSSPDQPSSPQTRR >ORGLA03G0077500.1 pep chromosome:AGI1.1:3:5397764:5398951:1 gene:ORGLA03G0077500 transcript:ORGLA03G0077500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRGLVAASPVTAPRRRGRCSAATAATSAPEKTAGHVGRLPLAIVPAAAASLSLVLWSSPVHAGIMSGFKGMESVPGPDLPRVEFLEKWNAENQKKYAEFDSRFKSSQVLKDLLEKSKQNKLKNEREIQDKYCLRGAEWGVGDCSTEGMSDQEKEDFIAELKKRTGQE >ORGLA03G0077400.1 pep chromosome:AGI1.1:3:5394909:5396084:-1 gene:ORGLA03G0077400 transcript:ORGLA03G0077400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDGGGDGHRGRPPPQQHRPSSGGGGGGGSGDLASSAKLVAEAAKSVFQDHNLEKVDKGRVAGAAADLLHAASQYGKLDGKPAGNYLEKAEEYLHQYGRKEGSAGSGGGGKYQDEGGEGKYKKKPGHGGGRYEEEEEEDYKKKPTSGGGDGYGGGRYEEEDNYKKKPTSGGGGYGGGRYEEEDDYKKKPSSGGGGGYGGGRYEEEDEYRKKPSGGGYGGGRYEEEEDDYRKKPSAGAGGYGGGGRYEDEYKKKPGGGHGGGRYEEDDEYNKKPSGGYGYGASSGGGHGGRYEEDDYKKKPSAHSGGGGGRYEEEEGYKKPSGHGGGRYGKEEEEDDKKKKKHGEGSEGGMGDYLKLAQGLMKKQGGEGESGGGGMGDYLKLAEGFLKKR >ORGLA03G0077300.1 pep chromosome:AGI1.1:3:5389974:5392132:1 gene:ORGLA03G0077300 transcript:ORGLA03G0077300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT2G03350) TAIR;Acc:AT2G03350] MEKALTKIGSFTISRKAKQELSAIGGDISRLSSTVEEKAKWVFDKLKGKPNKSLSDLLREYNLPPGLFPQNIICYEYDQTSSKLVVHLSKPCEVSFKDSSVIRYAPRVKVTLSRGKLSAIEGMKTKVVVWVKVASISLESFRSDKICFIAGVKKLRQKDAYEVPREGIAVEEF >ORGLA03G0077200.1 pep chromosome:AGI1.1:3:5380937:5386987:-1 gene:ORGLA03G0077200 transcript:ORGLA03G0077200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) TAIR;Acc:AT1G04080] MEQDQGVAAAAASENPSAGQGAHDSGSAGAGAAASSIASFDSATANPDANVYSQNPSSVPQADGAQGADASVYPADHAPLNGTAGQVVDYQSAGAAENGAATNEMGEPVPEQSYADAVLSAEEARLWNAVTANCLDFNAWTALIDETEKTAESNILKLRKVYDAFLAEFPLCFGYWKKYADHEARLDGVTKVIEVYERAVLAVTYSVDIWYNYCQFAISTYEDPDIIRRLFERGLAYVGTDYRSNILWDEYIKYEESLQAWSHLAIIYTRILEHPITQLDRYFHCLKELAATRSLSEILTSEEAAMYSVTAENTAQTLDGETQPGDVDMSAQPEISGSTEADSLAKYVSVREEMYNKAKEYESKIIGFELAIRRPYFHVKPLDNPELENWHNYLDLIEKEEDINKVIKLYERCVIACASYSEFWIRYVLCMEARGSIELANNALARATHVFVKKQAEIHLFSARFKELSGDVSGARVEYQHLYSDLYPGLLEAIVKHSNMEHRLGDKESACSIYEKAIAAEKEKDRSQILPTLLIQYSRFLSLAIGDIEKAKETLTGFLEQCDLTKSIIEAIMQLESILPSEKRIEFLDSLVEKFLTAEPTEGEVTSLADKEDISSIFLEFLDLFGDAQAIKKATNRHLTHFSRKRSMLSSKKRRADDVIMSDRDKLARIGDGTQPVVGTDPNAHNPPVWPATSEASGQQWGAAYAPQATYPAYGTYDYSHQMPQSAPQAAAYGAYPPTYPAQAYTQQTYAQPSAMAVAAPAPAVAPAAAYPQQPVAAQQPYYGTGTYY >ORGLA03G0077100.1 pep chromosome:AGI1.1:3:5377916:5379222:-1 gene:ORGLA03G0077100 transcript:ORGLA03G0077100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDTRPLGSVRAALTHFQQRADHHSRFSPDRNLQEIEILTKELASCRMQLEVKENEKIQANLKLESLQNAMQESSDNRMIARQQSEASEECKALRDELTVVRGELDAVRSSNSFLLREIELMETRMILEKESIRDSLNHVLQINESVLSSAVAAIRAEEERSVFFQEITLEFLSSDKNREVIDRQVEMIKNLESELMAKTVEIAYLQSQLQQVKEHCISSEIIAGNQEQQAEASLTLGNGDAEAVVVAGGGFVAVISKEDDGGGEEFYTKEIEHDQQQAAGAAGLAVADGYVLVAKSDGGDADLKGKLEAARAEIGDLRFSLEEAVRRAELAEEAKAALERALREEIQRKAQPRNTPSLTTTTTTPAKPPLTEPRGGDGRPLPGGCLTLGKVLNMKYK >ORGLA03G0077000.1 pep chromosome:AGI1.1:3:5375289:5375630:-1 gene:ORGLA03G0077000 transcript:ORGLA03G0077000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:I1P8S4] MAMTTRTLLLAAVCAAAALPRGWSPIKNIDDPHIQELGRWAITENNRVSPSDELTFHRVTGGEQQVVSGMNYRLEIEAASGGGDVTGSYGAVVFEQEWSNTRKLISFDKNHNF >ORGLA03G0076900.1 pep chromosome:AGI1.1:3:5373104:5373457:-1 gene:ORGLA03G0076900 transcript:ORGLA03G0076900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:I1P8S3] MTMRTSSLLLAAVAVVAIVAGATAATVGSWEPVDINDPHVQELGRWAVAEEDRGVAAGGLTFERVTDGEKQVVAGVNYRLTLEASSSGAKDGRYEAVVYEQDPRSNARKLVSFEPIH >ORGLA03G0076800.1 pep chromosome:AGI1.1:3:5369818:5370162:-1 gene:ORGLA03G0076800 transcript:ORGLA03G0076800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:I1P8S2] MRTSSLVLFAAVAVFGAACTAAAGDESWKTIDANDRHVQDVALWAVAETDWASATGGLTLNTVDGAEKRFEAGVTYYRLTLEASSRVVAKYLRFQAVVYEEGDEHKLVSFVPIH >ORGLA03G0076700.1 pep chromosome:AGI1.1:3:5356344:5365596:1 gene:ORGLA03G0076700 transcript:ORGLA03G0076700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEFRIPYQQVSSSQTAENAGQYKICRCGEGDPNTSETGDSSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPVRGNEPVVTLGGIDLNNTGSVVVKEDRKLLTVLFPDSRDGRTFTLKAETTEELNEWKSALENALAQAPAVANAVGQNPIFSTDIAEPAEAPAEQPDDKSVIGRPAEFALVDADGSPSFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVQDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTKRLDAMSKVIYEVFPEPNQQLLQRILKMMQIVGSHKAVNRMSPSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDEIFDDLEDGSCSSDAYTDSEDGDVDKEYSTDNDVDGSYDSGEDNIEEDMEDDTEYSSGGSECDDKVKRNNSGKASNGNDKGFQPPKKAARTEHGVLREDTNQFSSVPPVENTSQMESNDPSHRKLHESNGSTDQIEKSNVRASSSRAKFMEKSSSRNKSKKTLLGRASARRDLSADETDFCSDDETLIEKLENNKTDLQSKITKEVKENSILQASLGRRKEELHERRLALEKEVENLRDQLQKERKLRASLESGLMNLRRGQVSFPSTIDSKTKADLEEVATAEADILNLKQKVSDLRGQLNNHVQMSSTSLCDSCNKRLLSTDKLFEDEQNTSPSNVGPNSMSDMASATDMADIEQSRKQTTQHSSSSIDKPTLHKHQKSIASNEQSSTISQRAQRILSSKGGIMKDGQDGSFTSKWNLAQKQYSNNPLLGRLGSNAYSSTRTEESGAVPFALAKLTNRLNFLKERRAILASEMQNLNLARPPGPTAPAPKKDST >ORGLA03G0076600.1 pep chromosome:AGI1.1:3:5349448:5353252:1 gene:ORGLA03G0076600 transcript:ORGLA03G0076600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGAQVEEVVDSGESETMEGVASIALLPSGAISGHFIRLPDSVCYGLHGTPISCERECSRGEDYRLIKLSIIDFKNKHEKVVVVECRGHDAARLQNIDHLHGWEDDIVGLVEKKHGNRKFSLSFECETLKADKAAEEHISKYMPNLKGLDAVGYHLSYPVATYLGYPPPTGTAGYYYGGISTFGPGGTGVFYPGAWVAV >ORGLA03G0076500.1 pep chromosome:AGI1.1:3:5341054:5348126:1 gene:ORGLA03G0076500 transcript:ORGLA03G0076500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionyl-tRNA synthetase / methionine--tRNA ligase / MetRS (cpMetRS) [Source:Projected from Arabidopsis thaliana (AT3G55400) TAIR;Acc:AT3G55400] MAAGRAFLRAAPSSLAAGAGRFAFACPTALPLTAAAAAATGPHRRGRGRCYCSASDAPPPPPYVLTTPLYYVNAPPHMGSAYTTIAADAIARFQRLLDKRVVFITGTDEHGEKIATSAEACGRNPKDHCDTISNSYKMLWADLDIEYDKFIRTTDRKHEAVVNDFYSRVLDSGDIYRADYEGLYCVSCEEYKDEKELGENKCCPVHLKPCVPRKEDNYFFALSKYQHQLEDLLTKNPNFVRPSHRLNEVQGWVKSGLRDFSISRASVEWGIPVPNDTKQTIYVWFDALLGYISALLDDGEKASLQQAVERGWPASLHLIGKDILRFHAVYWPAMLMSAGISVPDAVFGHGFLTKDGMKMGKSLGNTLEPKDLVNRFGVDAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCKSTLAFDSIAAADGISLKDNVENLVDKAKDQFENLLLSSACETLMEIGNLGNLYIDEQAPWSCFKQGGESAEKAAKDLVIILETMRIIAIALSPITPSLSLRIYTQLGFTEDQFRTLRWEDTKWGGLKAGQVMMEPKPVFARIETETDEKDQSSSKATKGGKKKARSQGLVEA >ORGLA03G0076400.1 pep chromosome:AGI1.1:3:5330607:5334748:-1 gene:ORGLA03G0076400 transcript:ORGLA03G0076400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1P8R8] MRKHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGRKAFQYVAMVLYTPLITSVVVLYIWCAATNPGDPGIFKSAEHPKLKDGRRSQKNSDHGLSQGGKMSSDGFNAVDNSEKLSSMLEQKDSHSWPAFSEILCFPFSCLCKRCFHADNQSSEQHTSEEGMFFCSLCEAEVMKNSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKGFFLLMTSAVLLLVMQWLSGALVLILCIVDRGEFSRQIVSKLGSSFSTVVFIIVVATCTVLAMIATIPLAQLLCFHILLIKKGISTYDYIIALREQEEDQQEETAGHQSPQMSIISSVTGFSTASSFGPLHRGSWCTPPRLFLEDQFDVIPPEIGMLQNSGSKKTKEDEGARRRTGTVKISPWTLARLNAEEVSRAAAQAKKKSKILKPIARHDVPIGHDINIGGKLVPKADNNRRPDKRGRFPAELSLDPLTRLSASGTESNVSDTAIETSGNLAPLQFEARSAFQPSAAASTRNAASSPESSFDSPDLHPFRLSSCTADEMQGAIPHPAQSGIKFSRSTSDGYEASGGEDSDRIPSRIVHRSSNWANAILSSGQGGGPAADPNMPSSEG >ORGLA03G0076300.1 pep chromosome:AGI1.1:3:5328616:5329640:1 gene:ORGLA03G0076300 transcript:ORGLA03G0076300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELSLEHYRNNEIGLGLTGFGVFFSFLGIIFFFDKGLIAMGNILFLSGLGLTIGLKSTMQFFTKPKNYKGTISFGAGFFLVLIGWPFFGMLLEAYGFVVLFSGFWPTLVVFLQRIPIIGWIFQQPFVTSFLDRYRGKRVPV >ORGLA03G0076200.1 pep chromosome:AGI1.1:3:5318257:5318673:1 gene:ORGLA03G0076200 transcript:ORGLA03G0076200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASVPLPVAAALVRPFAPPAAAGVTEGSCYQATSVLLPGRPSPLHAASLCKGNKKISNKTDCQSWTRKTMVAPKIRQRK >ORGLA03G0076100.1 pep chromosome:AGI1.1:3:5300434:5300916:1 gene:ORGLA03G0076100 transcript:ORGLA03G0076100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDELHSAGAPAGVAAAEEGEGEAAQGFSFSIWPPTQRTRDAVVRRLVDTLGGDTILCKRYGAVPAADAEPAARGIEAEAFDAAAASGEAAATASVEEGIKALQLYSKEVSRRLLDFVKSRSAAAKATAAAAAAAAAPSEGEAPAAPSESEVVDPQPAE >ORGLA03G0076000.1 pep chromosome:AGI1.1:3:5294551:5298746:-1 gene:ORGLA03G0076000 transcript:ORGLA03G0076000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPISACRRIPNLAQIYLVGFYEEREFALYVSSISNELRVPVRYLREDKPHGSAGGLYSFRDYIMEDSPSHIVLLNCDVCSSFPLPDMLEAHKKYGGMGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSDLINCGVYIFTPNIFNAIEDVLKQKKDRANLRRVSSFEALHSATKALPADYVRLDQDILSPLAGKKELYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRHTSPHLLASGDGKRSATIIGDVYIHPSAKVHPTAKIGPNVSISANARIGAGARLIHCIILDDVEIMENAVVIHSIVGWKSTVGKWSRVQGEGDHNAKLGITILGEAVDVEDEVVVVNSIVLPNKTLNVSVQEEIIL >ORGLA03G0075900.1 pep chromosome:AGI1.1:3:5291383:5293700:1 gene:ORGLA03G0075900 transcript:ORGLA03G0075900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRTRSVARMEATAAAAAAAAEEEAGNPDRAEGAAVVAVAPEAAAEGPNEPNAGEASREPDAGQASREPDVAGPSRQPGAAGPSREPGAAGGPRQPGAAGGPWQLVPNAAGPAVAPYVEDIDRYLRSLEVRGEMAKCLDAVQFCTAEESRRPIVNYDQEIQGGHINMRGKLVNWMAELVYGFNLWDNILYLAVSYVDRFLSRNVVNRERLQLLGTSALFVASKYEDRCHPSARFFSSITADTYTTQQVVAMEANILSFLNFQMGSPTVITFLRRFLFSCRGSNRPINIRLELMCIYLAELSLLDDYNIRFLPSIVAAACLFVGKFTLNPNTRPWNLSVQRITGYKVSDIEDCIRSIHDLQAGRKWSNLRAIRSKYEDDAFERVSTIPSPNTIKPSFLRDLKYVNG >ORGLA03G0075800.1 pep chromosome:AGI1.1:3:5286565:5289825:1 gene:ORGLA03G0075800 transcript:ORGLA03G0075800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAEEEAGNPDGVEGAAVAAVAPEAAAEGPSEPNAGEASREPDAGQASREPGAAGPSREPDVAGPSREPDAAGPSREPGAAGGSREPGAAGGSRQPVPDAAQLAVVPYVEDIDRYLRSLEAEQTRRPMINYVQEIQGGIISMDVRGILVDWMADVAYVFNLQEETLHHAVSYVDRFLSKIAFPGDKLKLLGTTALFVASKYEEIHPPHVRNFSAVTVNTYTTQQVSKMELDILRFLNFDVGSPTVITFLRKFLTSCCGGNNSSNRKLELMCNYLAELSLLDDYYIRFLPSIVAAACLFVGKFTLNPNTRPWFGSVSTITPPENIKGGVEKYMVSRIYMCVFDLPMLFLMKTWSSSGVSNHTRLQLKQNMMELPIENPTFISAS >ORGLA03G0075700.1 pep chromosome:AGI1.1:3:5283404:5285005:1 gene:ORGLA03G0075700 transcript:ORGLA03G0075700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G02420) TAIR;Acc:AT1G02420] MPPKPERRLFQYVSKPRRPAREPAPAPEVFGGGGGGEEVVASDADADAVYRMVTAAPTPSAMESALSASGVAISAPLLDLVLRRFRFAHGDPLRALSLLSLALDRHGVAPSPFALDTALYVLGRARRFAHMWDLLRSSRRLVPDAVTPRTAMVVLGRVAKVCSVRETVDSFRRLSRMLRGRGDDQEGQLFNALLRTLCQEKSMSDARNVYHALKYEFKVNRQTFNILLSGWKSAEDAEAFVAEMRELGVEPDLVTYNSLIDCHCKNRGVENAYKLLDEMREKDISPDVITYTSLIGGLGLIGQPDKAKHLLKEMHELGCYPDVPAYNTAIRNFVIAKRLGDAFALMEEMASKGLMPNATTYNLFFRCYYWAYDIGSAWQLYERMRSEGCFPNTQSCMFIVRLCHRHGRVAQALELWSDMVNNGFGSFTLVSDVLFDLLCDEGKLDEAERCFHQMIELGQKPSNVAFRRIKILMQLANREESIARLTAQMAQFGRLAPEDCRRVDHTLQSTHQSSDRTDTDTIVKHSNTFESRR >ORGLA03G0075600.1 pep chromosome:AGI1.1:3:5270887:5274831:-1 gene:ORGLA03G0075600 transcript:ORGLA03G0075600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLAESLLPVGGGAATATATAHDEYDERAYDSDDKVSIAVSDSDSEDGGGGGGDAMRPAFSWRKLWRFTGPGFLMCIAFLDPGNLEGDLQAGAAAGYQLLWLLLWATVMGALVQLLSARLGVATGKHLAELCREEYPPWATRALWAMTELALVGADIQEVIGSAIAIKILSAGTVPLWGGVVITAFDCFIFLFLENYGVRKLEAFFGVLIAVMAVSFAIMFGETKPSGKELLIGLVVPKLSSRTIKQAVGIVGCIIMPHNVFLHSALVQSRKIDTNKKSRVQEAVFYYNIESILALIVSFFINICVTTVFAKGFYGSEQADGIGLENAGQYLQQKYGTAFFPILYIWAIGLLASGQSSTITGTYAGQFVMGGFLNLRLKKWLRAMITRSFAIIPTMIVALFFDTEDPTMDILNEALNVLQSIQIPFALIPLITLVSKEQVMGSFVVGPITKVISWIVTVFLMLINGYLILSFYATEVRGALVRSSLCAVLAVYLAFIVYLIVRNTSLYSRLRSAMTKST >ORGLA03G0075500.1 pep chromosome:AGI1.1:3:5261939:5265321:-1 gene:ORGLA03G0075500 transcript:ORGLA03G0075500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G21060) TAIR;Acc:AT3G21060] MNVPIVDPLQGDFPETIEEFLQYGSMKCIAFNRRGTLLAAGCANGTCVIWDFETRGIARELHDKDCTAPITSVAWSKYGHHLLASATDKSLTLWHVVNEKKIARITLQQTPLSVRLHPGGPSTPSICLACPLSSAPILVDLNTGSTTVLPAFLSDNGNLPAPNTRNKFSDGSPPFTPTAATFDKYGDLIYVGNSKGEILIIDSKSIKVHAVIPIPGGTVVKDIVFSRDGRYLLTNSNDRVIRVYDNLLPVKGSGEEIEKISSNNISYESHYEKLKANGASCLAISCELLDAIAKIPWKAPCFSGDSEWIVGASASKGEHRLCIWNRSGRLVKILEGPKEALIDIAWHPVDPTIVSVSVAGLAYIWAKEHVENWSAFAPDFVELEENEEYVEREDEFDLNAYVEKAEEQLVNEDEYVDIETYDKNSTFSDLDDSSSTTMELIYLAAIPIPDTPDEQPDKCLGSSSKLEDSNHSDSPSSLDAVQNGQAIPPASSPMELAVDNSTAEEPAEAANSKRRRRLSAKGLELQQAEKGKKPTTKNKSNGKSPGSNGKQLEPANGNSSAVDDEATEDDEI >ORGLA03G0075400.1 pep chromosome:AGI1.1:3:5256823:5258643:1 gene:ORGLA03G0075400 transcript:ORGLA03G0075400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTRSLQQSGSSKSKGKIMAKIVEEDEEGEEEEEEEEDSLSSGCHCFLCAIKEPDARLRRASLAAFFRELPYCEDDDAGAGAGAGVDGGRSCGEVVGAVWRAAMAAPDDPELPSLGAIRCMSLLLARALADVEWRRRGRNVYVPYYAAHVIGSYTIRSSAHAELAVAAGAVRPLLAFLGGAMTWVEQRAAARALGHLASYDATFPTVARHATEAVPLAVRAASTCVGNVYASFVALAPSKRPKYQRDLLTRGLDGGGGGVVADGEERKAEEWASQLQCWSLYFLSCLASRDVSSHATICHDPVFLRELCQMWGGLANGDSPAGVGLLRLLCRSAAGRAAIAACRDALSGLCDLARSSDDWQYMAIDCLLLLLDDRETRHAVADATAARLVDLAELRHLGPRRRLGNAITAALLLDDGDDDGDIVHGRELGMEAKEAIARLREVQVERKGREDAMSRDELLKTRIMAKEKKRRGNDMFWHGEVEKAIELYTEALELCPLSRRRERLVLHSNRAQCPARAARRRRGGGRRDARAVARAARSERARQEPVAPRAGVRHEGHGQGEPPGLPGLRRRVAQPEGRDGGGRGGGVARREPEAAVLRRADDQ >ORGLA03G0075300.1 pep chromosome:AGI1.1:3:5251224:5253054:1 gene:ORGLA03G0075300 transcript:ORGLA03G0075300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWPHPAQIVAAPPSAVIASLTHRTFVVVASLPRRPSVIPPLRAALSRSPRHPSPLEPIPPPVHRRTPHHSDQCRRRTPSSAHRPDRHSPSPHTLVLGQMGTTAVAVALIPPRPTRGPRHSQPRPRDPATKQWLEVAGELACHSSVMRLKLLGPSVLESGK >ORGLA03G0075200.1 pep chromosome:AGI1.1:3:5244392:5245857:1 gene:ORGLA03G0075200 transcript:ORGLA03G0075200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRRWLPCCCCCHGGGGGGGGGSVGDGLVWDVALKAHASGDYSVAVAQANEALEDQAQVFVSPAATLVGVYDGHGGPEAARFVNKRLFSLIQEFAAQSGGISAEVLEKAFGETEEEFVVSVQRSWPSQPRILSVGSCCLVGAIEDGTLYVANLGDSRAVLGRRAAAGAAHGRKGKNRVVPERLSRDHNVADEDVRRELKELHPDDSHIVLNTHGVWRIKGIIQVSRSIGDVYLKKPEICKSNPMLQQTICPFPLRRPVMSAVPTIKTRKLRPGDQFVIFASDGLWEQLTDEAAVAIVAGSPRRGVAMRLVRAAQLEAARKKDVKYERIRTIEKGQRRHFHDDITVVVLFLDKCRGKAGRGDEIDGTDGPVDVFSLSPDDREDPTRPVLR >ORGLA03G0075100.1 pep chromosome:AGI1.1:3:5233021:5237193:-1 gene:ORGLA03G0075100 transcript:ORGLA03G0075100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARVYADVNVHRPREYWDYEALAVEWGEQDDYEVVRKVGRGKYSEVFEGINVTNDERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLHNIVRDQQSKTPSLIFEYVNSTDFKVLYPTLTDYDIRFYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYFPEKEYNVRVASRYFKGPELLVDFQSYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDQLNAYLNKYRIALDPQLEALIGRHTRKPWSKFINPENRHLVSPEAIDFLDKLLRFDHHDRLTAREAMVGSCQAIHAHPYFEQVRAAEDCRMRT >ORGLA03G0075000.1 pep chromosome:AGI1.1:3:5230330:5231966:-1 gene:ORGLA03G0075000 transcript:ORGLA03G0075000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial ribosomal protein L51/S25/CI-B8 family protein [Source:Projected from Arabidopsis thaliana (AT3G59650) TAIR;Acc:AT3G59650] MALRGVWQLQKLVVNYCDWGGSSRGIRAFMEAHLPAFKEKNPHLEVVTELVRGQHPNLKGIYKNHNERVVCVRNLAPEDILLQATRLRNSLGRKVVKLRTRHVTKRPSVQGTWTTELKM >ORGLA03G0074900.1 pep chromosome:AGI1.1:3:5227141:5229434:-1 gene:ORGLA03G0074900 transcript:ORGLA03G0074900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFPAAEEVQGSADRMESVYRIWVQDRSGGDSEAAAAAAAAAAVGGGGLPAGELRRELHTALGTAKWQLDELERAIRSNDKVFSAGKDTKARHDDFVAAIGCRILEVENNLKESNVAEGRGALSWIDLDEDERNDLATFLSASSFQQRDKVVTIPSVGDIDVGNNAAMVKKDMYADSSKDSGSAELSSARVKEETHRGHRRAASAHADIGSWTMLCPNESESSADLPYDDKHQEPLLKIVKTCALTSALQSKPRTKKKGGSVKWAAVDQQDVEETIPLSSQMGQGSDRCFERSKSCVSTCDESTYNKKLYGCLGALHRRLQRSRYRIRYGRPVQLIVLAVAALLIFMCVFKKIW >ORGLA03G0074800.1 pep chromosome:AGI1.1:3:5223764:5226490:1 gene:ORGLA03G0074800 transcript:ORGLA03G0074800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVPQVVGAVSRSIAGRLLADIDLASSVGTNVEDVTDALTRLTSIRADLEASMGRLPQRRRPEEVTDWLSRVDGAEKRVAKLRREYQRRCCSCGGGGAFSLNLFASYAISRRACHERHRLAALLGECDRVRSLAAGAPRPSSGAMVVPSTVVGMEGYLEEALACLDDRDAGVVAICGMAGVGKSTLLRRINNVFVQDPDRRHEFDYVIWLDAPGDCAAVGKMQDAMAHRLGLCALPDGGAPDHRARPIFEVLRDSSFLLLLDGVTKPVDLVDIGVPHLVHDDRRRQKVAMTTRTRGVCGRMSSSRRIDMQCLDSDHSWRLFREIARDETINADPRIPDLAKEVAGRCGGLPLALTAIGGAMRCRRQPEEWVSTVTALRNLELAKIPGMDAGEKPGAMLRSLQESYGDLRHPVLQKCFLATSLWPEGHAIDKGELVECWIGLGLVGESLPMDEAVRTGLAVVNELEEANLLLPGDATGEVKLHGVVRGAALWIARDLGKAPNRWVVCTGGVSLRSRQKLVEFFERARDAERVSAMRSSVERLRAMPPPSSPCRSLSVLMLQHNAALRDIPGGFLLGVPALAYLDASFTGVREVAPEIGTLASLRYLNLSSTPLESVPPELGRLRQLRHLLLRHTARLSAFPAGVLRGLPSLDVLDVCPSRYTEWCGAGGGGGGASLDELRSSSAFVRSLGIAVATLAGLRALRGLDNVRTRRLTVTRVAATAPSVALRPSMLGLLEALHELTVAKCSGLQELEVVAGEEDNAWWRLPELRKLEIDELHELVAVRWTRTDVGAFLPALRWVKISHCNRLRNVSWAVQLPCLEQLELRHCSEMVHVVDIDGDDEEQRREHPETRTFRCLRRLLLVELPSMGSIGGGAALSFPRLETLEIAGCDSLGELPVELQKKLKEI >ORGLA03G0074700.1 pep chromosome:AGI1.1:3:5213505:5216471:-1 gene:ORGLA03G0074700 transcript:ORGLA03G0074700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPADQQVADSTSTCCAIHLWSELDDMLDVARNVRRLEETVGQLAAQRSSLHGAIVDARVVGVDDGEDGGAADRLRRLGCTEEAANWLGRARVAEKQGNAVAADYAALSMPRLRLVARYRIGKRASRALRQAQQLVQERGAICAARRGVGSFAATTHQSAPTPAAAAVGTEDYLKEALGYIADDAVGVIGVCGMGGVGKTTLLRAINNSFLPTARQPPASSKVFDHVVWAVASKECRIDRLQDDVAKKLGLPLASLPDEHSDADLEQRALPIAEHLKNTSFLMLLDDLWECFDLKLIGVPYPDGGAGDELPRKVVLTTRSEIVCGNMKADRVLNVECLKPDDAWTLFEMNATAAAVTSHPAIAGLAREVAGECRGLPLALITIGKALSTKTDPELWRHAIDKLRDAHLHEITGMEEENAGMLRVLKVSYDYLPTTTMQECFLTCCLWPEDYSIEREKLVECWLGLGLIAGSGSIDDDVETGARIIAALKDVRLLESGGDVVGDTRGVRMHDMIRDMAIWIASDCGATRNRWLVRAGVGIKTASKLNEQWRTSPAAAGASTERVSLMRNLIEELPARLPARRGVRALMLQMNTSLRAIPGSFLRCVPALTYLDLSDTIVMALPGEIGSLVGLRYLNVSGTFIGALPPELLHLTQLEHLLLSDTNMLDSIPRNVILGLQKLKILDVFASRYTRWRLNADDGDDDDDAATAYEASLDELEARNASIKFLGINVSSVAALRKLSGFTNVSTRRLCLKDMAGPASLTLLPSTLSDTLGGLDMLERLQHLAIRSCTGVKDIVIDAGSGSGSDSDDELRRSFRLPKLDRLRLLSVRHLETIRFRHTTAAAHVLPALRRINILNCFQLKNANWVLHLPALEHLELHYCHDMEAIVDGGGDTAAEDRRTPTTFPCLKTLAVHGMRSLACLCRGVPAISFPALEILEVGQCYALRRLDGVRPLKLREIQGSDEWWQQLEWEEDGIKDALFPYFKNHS >ORGLA03G0074600.1 pep chromosome:AGI1.1:3:5210797:5212507:1 gene:ORGLA03G0074600 transcript:ORGLA03G0074600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFTARRARAARLSDPDPDPDPPVDALRDPHGLADRRGRRRGCRPRRQLDAAGDVRQHLHTGAPPSRRRASYTDRVLSYIDNSNIGDSGTRRNRLDRLMFRTNERLPGAVLQAQARVLERLRGISIGSSVSRPSITLDEFSATDVFRIIDFGNRDAPYEANRSSSSLAHPSSESDEERSPIDTSSLKRSRGLSKAAFLRLQIEIFEASKDDNREASPECSICLDGFYDGDELIKLRCGHRFHSNCLEPWVRKCADCPYCRTNIQSRS >ORGLA03G0074500.1 pep chromosome:AGI1.1:3:5206620:5209139:-1 gene:ORGLA03G0074500 transcript:ORGLA03G0074500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEARFVSPAMKRTSDWILSQELPSDITIKVDDAAFNLHKLPLASRCGYIKKHVSGVGGNKATHLEIAGMPGGSKSFELVVKFCYGANFEITVDNVAMLRCAGEHLEMTEECRPGNLVGRTEAYLEEVALASLEGAVAVLRKAEELLPASEEKARLVARCIDAVASIVCGGDGQFSMSLGTPGGGGGGGYNGVGAAASREVDDWCADELTALRIDTFQRVMIAMKARGFKGIAMGTLIMLYAQKSLRRLDMHGRDRKKMGARQEHEKRVVLETIVSLLPRERNTMSVSFLSMLLRAAIHLDTTLACRLDLEKRMAAQLGQAVLDDLLIPSSSPDAAAATTAYDIDAVQRILAGYLDLDYTTDPPPRLDYTTDDDFSSAASPPHSDVAQVGRLMESYLAEIASDENLSVDKFTALAELIPERARFNEDGMYRAIDIYLKAHPSLAEGERKKVCRAMDCQKLSREACAHAAQNDRLPVQTVVQVLYHEQRRLRAPSQPPSAAPSYAGGESPALSYRPTPSFNGRHRPGVPDEVSRLQRENDELRMELLRMKMRLRDPSVAFSAGGVPPSGRPPLPKKPGGGGGGSSGGFMNSMSKKLGRLNPFLRSDVLGGGRVRTKPPKDRRHSIS >ORGLA03G0074400.1 pep chromosome:AGI1.1:3:5202802:5205256:1 gene:ORGLA03G0074400 transcript:ORGLA03G0074400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1P8P8] MFASRPAVHPVEAPPPPPPADPAEQPRGVLMKDLPGMPGTAGGLGLRLAQFAFAAVALAVMASTNDFPSVTSFCFLVAAAILQCLWSFSLAIVDIYALLVKRCLRNRRAVCLFAIGDGITAALTFSAACASSGITVLIDNDLDLCSENHCASFESATAMAFLSWFALSPSFLLNFWSMASG >ORGLA03G0074300.1 pep chromosome:AGI1.1:3:5198949:5199328:-1 gene:ORGLA03G0074300 transcript:ORGLA03G0074300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWWDRVVLPVRRAWLGVAARFGVRQTGLWRLRQEVSTCEYEDVRVMWEMLSRTSTAGAGAGRAAPPARRHSRFRQPRPWTESICLCAGF >ORGLA03G0074200.1 pep chromosome:AGI1.1:3:5190182:5192915:-1 gene:ORGLA03G0074200 transcript:ORGLA03G0074200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erv1/Alr family protein [Source:Projected from Arabidopsis thaliana (AT1G49880) TAIR;Acc:AT1G49880] MPPPAWGWGSNPLEPVVHTVAAFSRRLLIAPDAAPDEARLRPLLSLSLSPPPTPPSPPPPPPEVLKKDSKAAPLTKEEVGRATWMLLHTIAAQFPDEPTRQQRRDARELMAIISRLYPCKECAEHFKEVLKANPVQAGSQAEFSQWLCYVHNVVNRSLGKPIFPCQRVNARWGKLDCPERSCDLEGSNDIIPNR >ORGLA03G0074100.1 pep chromosome:AGI1.1:3:5176327:5177207:-1 gene:ORGLA03G0074100 transcript:ORGLA03G0074100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLRQKGGPDIASTDGGGYDREYVQEKTARLQAISYPVKCERETEAEGPDQRVYNYCSVRISGSLCKVRCERGGR >ORGLA03G0074000.1 pep chromosome:AGI1.1:3:5165638:5169487:-1 gene:ORGLA03G0074000 transcript:ORGLA03G0074000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSVSFQGESFFYQTSRAPRAASPSSPGGRRGPTPERRKSVSSVPEAENTRPQHRWPAAKPKASDPLARSLDCSLDRKDSILAAVHLLRRSMAFDSTTSLSPSDPAAAAAAAHDLSASSDTDSVSSGSNSGAGDPPRRGISVPARFWQETNSRLRRLPEPGLPLPSSSGRRSFSDSQMSPRLPGRSPSPSRGSRGMASPARGRSGEASPNGHTMQAPANAPSIISFAAEVRRAKKGENRIEEAHRLRLLDNRHLQWRCINARTDAALLVQSFNAEKTLHSAWKEISKLRDNVSSKRSKLQLLKQKLKLFAILRRQIYYLDEWSHIEKHHSSALSAAIEALKASTLRLPVVGGAKADAQGVKEAVNSAVDVMHTMASSMCTLLSKVEGTSSVVSELAKLATQEQMLLDQSRDLLSMVAAIHVKQCSLQAHMLQRKQKQSQTRV >ORGLA03G0073900.1 pep chromosome:AGI1.1:3:5157408:5158401:-1 gene:ORGLA03G0073900 transcript:ORGLA03G0073900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDEEEEEGVVIIIREYDPSRDRAGTEAVDRECDVGPTGGMSLHADLLGDPVARIRHSPDYLMLVAETTSGATGGRIIVGIIRGTVKSVATGKSCPGAPAVASVGYILGLRVAPSHRRMGLALRMVRRMEAWFERMGAEYAYMATDKSNEASLRLFTVRCGYSKFRTPSLLVHPVHAHRRRVPRRAALFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFFFFVKKKKKKRGGKFFSSRGGFFFPPFFITKR >ORGLA03G0073800.1 pep chromosome:AGI1.1:3:5149177:5152002:1 gene:ORGLA03G0073800 transcript:ORGLA03G0073800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSNPDTFQDDGNEVSIVATELVSDVTVRIGTTKFYLHKFPLLSKCARFQKMIPTTGDENIEIHIHDIPGGAKAFEICAKFCYGMIVTLNAYNVIAARCAAEYLEMHETVDKGNLIYKIEVFLSSSIFRSWKDSIIVLGTTKAHLPWSEDLKLVSHCIDSIASKASTDTSKVEWSYSYNRKKLPTENGLDLEWNGVKKQQFVPHDWWVEDLADLDIDSYKQVITAIKTKGMVPKDVIGEAIKAYTYKKLPSLSKVSMVHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGELCRKELMKRIGRQLDEASVSDLLIPTVDGETTVYDIDMILSIVEEFVRQDSKNAQKHNGGEVDSHVQAPSASMIKVAKVVDGYLAEVAKDPNTPILKFIHLAETMSINSRPVHDGLYRAIDMYLKEHPSLGKNEKKKLCSLMDCKKLSPDACAHAVQNERLPLRTVVQVLYHEQTRASAAVTIRADSICVGSYESSRSGATTNTEDEWDGVMAVEDLSLSTKTTTKLDGAANSHCSNGKATKGGASTPKKAAHRKTTTVPTGKGQSGERSSSDSSDSAILQKLELPKRTPSRSTKPAAV >ORGLA03G0073700.1 pep chromosome:AGI1.1:3:5136744:5141378:1 gene:ORGLA03G0073700 transcript:ORGLA03G0073700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:membrane-associated progesterone binding protein 4 [Source:Projected from Arabidopsis thaliana (AT4G14965) TAIR;Acc:AT4G14965] MVLGARLLLGLALLAALLAVVLQLYRLRKPVRSLLLLLPKFSTGLPRLWTKEELAVYNGTDESLPILLGILGSVFDVTKGKSNYGPGGGYHHFAGRDASRAFVSGNFTGDGLTDSLQGLSSMEVNSIVDWRKFYFERYIFAGKIVGRYYDDEGNPTKYLKGIEMKAKRGAQLLEKQKSEEAKIPSCNSKWSQQEGGEVWCETGYPRLVRRPGDIALTGQVSQRCACYKEEELDKAGLVVYEGQGGLGYRLICCLVFMILAPRVLERTAQELLKSEEVSHDRFRFGSREQEIN >ORGLA03G0073600.1 pep chromosome:AGI1.1:3:5127918:5135733:1 gene:ORGLA03G0073600 transcript:ORGLA03G0073600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) TAIR;Acc:AT3G28030] MGVHGLWELLAPVGRRVSVETLAGKRLAVDASIWMVQFMRAMRDDKGDMIRDAHLLGFLRRICKLLFLRARPVFVFDGATPALKRRTLAARRRHRDAAQAKVRKTAEKLLLSHLKARKLEELAAQIKSDRAKHDNKGKQVESSKMGEIEKINGEQKKNNDGENSGGIVAPIDQEKLDELLAASLAAEEEANLTGKGKQYTVSVPLQEAADISEDDDEDDGEMIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIDEVQRGAAGRGVGGVQTSKIASEANREFIFSSSFTGDKQTLAQRGGKEHIVDSIKSMREINPAVFKSNPTSSSSSIKPNNSEPLGSFGPDVETYRDERGRIRVSRVKAMGIRMTRDIQRNLDFIKEHEQVRNRGHDSVVEGLANNEEPPDFPEHLFEGNGLRSSLHLSEDYDETASDNHHTSSLVGSDKISEGDYHGSKETIEISFADDQTEVKDNDDQIFLHLASGASSNLFTTEQTDGSDCITKEGVLEGETPPILVDEKDHQASLMDNFCTDDEIEWEEGGCDVPGGPSSNENDQSKVPKGDLEEDALVQEAIRRSLEDFKKQEHENVTTEDLQASFEDKHLQSYDDVPKPAGAAGKTADKIGKEINSEENDIVHGSLVVDGRENENQTQPENSDGHADMKRAYLLDPLPPCNMTASTSAAKSPEGSEVQHHNSMLHSIRTPEWPKNDSDKVMTQYSLNSDNSKCKIDDSCTGETSRSLQNDLLMDELVPDTAVQKENMIQRTTDLSTSEINYTKLNDNVGIYSVSASNLEKELSLLRQEQEYLGNERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEMTNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYLMKDIESELGLTREQLIRMAMLLGSDYTEGISGIGIVNAIEVAHAFPEEDGLQKFREWVESPDPTLLGKLGMESGSSSKKKKSGRNHSDGKGNSLEPEYTKGSDDSQSSNETQRIKEIFMSKHRNVSKNWYIPSTFPSEAVINAYISPQVDDSTEPFSWGRPDSGLLRKLCWERFGWSKEKADELLIPVLREYNKHETQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKSFLETDELDHDSPSTSNTSKKKERTSSGRGRAKGQRTKDVGPGNTGNQDYDIADSLVDAGEHTTEKSTSSKKRTANSSGGSRGKGRRSMNAAHVIIGNGEDSDVSNLASDEDSHIRHTNDYESEGLTLRRSNRKRKQVTYAEDGQEADDNDVSIHQIDENQGQGSLEEDMCHMAGLDTQSNLLHQDTSELNIDQTHTDPSDMNEDPSGFELPEDCHTDTAPKDYLFTGGGFCMEEGDEQDTGVDQSGAEMEHETRDACEGIDEVSESQSGKSMSYSATGEGTENANTEARGASSSQGRNASRGSGAVPKLTKRRRKS >ORGLA03G0073500.1 pep chromosome:AGI1.1:3:5116714:5118080:-1 gene:ORGLA03G0073500 transcript:ORGLA03G0073500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEAMAQLLGVQYFGNDQEQQQPAAAAPPAMYWPAHDAADQYYGSAPYCYMQQQQHYGCYDGGAMVAGGDFFVPEEQLVADPSFMVDLNLEFEDQNGGDAGGAGSSAAAAAAATKMTPACKRKVEDHKDESSTDNVARKKARSTAATVVQKKGNKNAQSKKAQKGACSRSSNQKESNGGGDGGNVQSSSTNYLSDDDSLSLEMTSCSNVSSASKKSSLSSPATGHGGAKARAGRGAATDPQSLYARKRRERINERLKILQNLIPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDMWMFAPIAYNGVNVGLDLKISPPQQQ >ORGLA03G0073400.1 pep chromosome:AGI1.1:3:5115101:5116180:1 gene:ORGLA03G0073400 transcript:ORGLA03G0073400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRAREEAARGGSLKQARARSGRRGDRAHRAADLLAGRGHDEARRSRCTTARSGAAALELWLTVLKSQDRQEVDCGAGPCSALLPPACGSYAQAHEAGRKKFFISEKVHLRSLFLTSSFKTVPELKYQDTMYVTFGPKLVLLYDFGDVAVESVLGPRERHMSVINFLPPLSTLFSYQPKPLGQPTMGGEKGAGRRGQARRRRLPASVAPNSRECLCHVPDCAPNCNCNVFTCTHGGWGFCHVMLPKLLFPKLRAISTELLKSRFVQVSSSFVSPPRRRTHSSIASSSRSTPFTPCCGRVILNASALLPATRHRQASRRSPAFSHSLLAVTVRLLLGVAAQRPLLFSPPVVGCPRGLG >ORGLA03G0073300.1 pep chromosome:AGI1.1:3:5112679:5114210:-1 gene:ORGLA03G0073300 transcript:ORGLA03G0073300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKHSAEMSKHLDKQNQALMETYRAMSHELHKVQVEEETIMRKLYELMSAEGLLPKRKKESQAQKTGKSTQENKELEP >ORGLA03G0073200.1 pep chromosome:AGI1.1:3:5104742:5110186:-1 gene:ORGLA03G0073200 transcript:ORGLA03G0073200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue protein [Source:Projected from Arabidopsis thaliana (AT1G27752) TAIR;Acc:AT1G27752] MSSAPPPQQSKPSYNHRRHNNAAPRHHHGQQQQQPQPQPQQRYVPKPAAPKPSPPPTLTTALRSSASPSASGAGRVGGEADGFVAYLPHDEVVAAGLGGPDAQESQAVVDLLNDALAALLRAKPREFWRQVAQNTSLHEFLDSYLQFRHRWYDLPHRAPKGTVAGLVVGELELCRRVFMVLYRISSNKDPGAFRGESLSMKEHAALLQKKRLLDLPKLLDICAIYGHDNCKLTSSLVENAINVQPNILDGINIVLPQFLGIFHTMQERCMKSLQALTSSGPNDSGYTQLQKDFSEVLDFVNDAIVTLDAFVDAYQPAALLFCTSFETSYGVEELLNTLPRLYDSLLPSLLHGFQVMSSSQSNGETASDIILSDIVLGIRMLSRRTVSFGWRLLEFCYLNDQLVERDVEACTKMFPAKVEDPMIRGDIIIETLKDINTEATFSQDHPGKTFLQALEKEFKLMNRIGDIRKKGWIHMDDEQFQFIARLCGSTLTSWNSVPDLPVSSYGGELQQKNEDTAITESKITQIRDLFPDYGKGFLAACLEAYNQNPEEVIQRILDGTLHQDLLALDTSLEEMPQLKPAATVGKDKGKGILVETEPQIMNKPHKVNTEMHRYVEHSSSSSVPSASQGPSSSVPSVPQGRFTRKTNDDVPDSATLDSQKAKDAVRSAVLESQYEYDDEYDDSFDDLGFSVVESSYEETDGANDAESSSQGPRWSSQKKTQFYVKDGKNYSYKVAGSVAVSSAREAAVLRNVQKDTIHGLGRGGNVPLGVPNRQQHRDMEEEEGSNANNFGRGGSNIGRGGSYPRGDHGRRGGRGHGDPPPEGENPNGPPGFGRGGRRGGRNHGNQPEANENPNGQRGFGRGATRGGRNHDHPAEDHEDPDAAQGFARGGPAPRGGRGGRRGGGRDNHHRRDRAMKKHMQGLTGL >ORGLA03G0073100.1 pep chromosome:AGI1.1:3:5102919:5103336:-1 gene:ORGLA03G0073100 transcript:ORGLA03G0073100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWASPKTRGVGGKPYRNDSSHKDATRIKAGIPSDDEGDVDREDVPFSEKEEAAMNRIHARAMAKKAAASAAIAPAPAVVPAAIAPVPADVPAAIAPVPTDAPDAIAPGP >ORGLA03G0073000.1 pep chromosome:AGI1.1:3:5100436:5101960:1 gene:ORGLA03G0073000 transcript:ORGLA03G0073000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVKLMSSLFGSPRSESIIKKLNLRFCLPTDLETSLDYLFNIGELVCNAIDSGKDIALDFQGEVIWITPEGKKLRSALNKITKLFLHGIYVKFDLLWTLVLLESAPSVKVFGVKVWNHACDEGTENRKQLSERRNDLWDAAQLDGSIHYLQLERLEFGGFNQIIREHLDFIRAIIERAPNLKSVILEDRDPC >ORGLA03G0072900.1 pep chromosome:AGI1.1:3:5097551:5097962:-1 gene:ORGLA03G0072900 transcript:ORGLA03G0072900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSRSAESRGVQGKPHRKIIRDDKKKRIQAGIASDDEGDDEVVVFSEKEEAAMAKIFDKVKAKMPADTPAADDAPPPPAPATIGAALAPSTAPAPAASTTSPATP >ORGLA03G0072800.1 pep chromosome:AGI1.1:3:5079647:5079988:-1 gene:ORGLA03G0072800 transcript:ORGLA03G0072800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGWAREARAAEMEAGWAREARPMEGGRIGARGASVGGGQLGAERRGRRWRRRPRYEEELPVGAARFSAHEGWPAGDAGAGVPHIGKACAVVEHRCASRAFVGLLAVGHA >ORGLA03G0072700.1 pep chromosome:AGI1.1:3:5070947:5074638:1 gene:ORGLA03G0072700 transcript:ORGLA03G0072700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:I1P8N1] RAFRRLLPSGATDHDDADEPRNDSLPDHRRRRRLENSVGVRLGTPRRRPYEGDHSRCRGGRGCRRRAARLRLRVPDHRPRPPPRRLAEAARPRPCRRASQRRHLRRRLPLRRHGGAHHPRAPPRAHHRRSRGVHTYRKLSSERARRGVSRDEDADHPSPELRPLPPLRRAATLGSSDEDGYYTPRQLSGGSGGGGAAEAWSSASASSPPTTTTASRRSLPSMTSDFFPPVAAIAAPPAPPPARSRRTPPRTRFSTGSTPDTKQVTSPSPRPVQPSNAPPPPPPPPPPPPPPPPPKLNTAPKPPPPPPPPPSVPSNNNLPKPAEPPAVPTSRRRLLKPLPPEGPRIAMPMPITAATTVDNNGSTSMREGDNAAADDGGSGEPRPKLKPLHWDKVRATSDRAMVWDQLKSSSFQLDEDMIEALFMNNSTPAAPPREVGRKAAGVPSFRQEERVLDPKKAQNIAILLRALNVTREEVSDALLDGNAECLGSELLETLVKMAPTKEEELKLRDYSGDLSKLGSAERFLKAVLDIPFAFKRVDAMLYRANFETEINYLRNSFETLEAACEDLRGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKESAMISSSKDDRKHGLKVVSGLSSELGNVKKAATMDFDVLHGYVNKLETGLEKIKSVLQLEKKCTQGQRFFMSMQDFLKEAEREIERVRGEERRALGRVKDITEYFHGDTAKEEAHPLRIFMVVRDFLSTLDQVCREVGRMQQDRTVIGGSARSFRISATSSLPVLSLYGQRRENNSDDDSSSS >ORGLA03G0072600.1 pep chromosome:AGI1.1:3:5059755:5059970:1 gene:ORGLA03G0072600 transcript:ORGLA03G0072600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWRLGAGMARWRLGARATRRRLGARAGPHGEAEVGDGDGALDIGGGDGAVEIGGRDGTADVGGRGGAAR >ORGLA03G0072500.1 pep chromosome:AGI1.1:3:5054814:5056311:-1 gene:ORGLA03G0072500 transcript:ORGLA03G0072500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASASTSAPATPTSTLICREDGNDLFSADPADDDGGGGGSGGDWELSIADDDHVLLMDRDDEYLALMLSKERCAGGGGGGERGDEEEEEMVEEWMKNARAWCVGWIVKTNAGFRFSLKTAYVAVTYLDRFLARRCVDRDKEWALQLLSVACLSLAAKVEERRPPRLPEFKLDMYDCASLMRMELLVLTTLKWQMITETPFSYLNCFTAKFRHDERKAIVLRAIECIFASIKVISSVGYQPSTIALAAILIARNKETAPNLDELKSVVGSLWQQLDTGHVYSCYNKMMIQEDRSMQSTTEVASSGVSVAHIGGSEDSAMGGANNATTLEATPDKKRKRLHSPQRQ >ORGLA03G0072400.1 pep chromosome:AGI1.1:3:5046280:5052469:1 gene:ORGLA03G0072400 transcript:ORGLA03G0072400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:I1P8M8] MESYLKENFGGVKAKHSSDEALGRWRRLVGVVKNPKRRFRFTANLDKRSEAAAMKRSNQEKLRVAVLVSKAALQFIQGLAPASEYTVPDDVKAAGYGICAEELSSIVESHDIKKLKSHGGVEAIAAKLCTSPEDGLPKSRRRQAVREELFGINRFAETESRSFWVFVWEALQDMTLMILAACAFFSLVVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVSRNGYRQKLSIYDLLAGDIVHLSIGDQVPADGLFLSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVVTFAVLTEGLFRRKIMDASYLSWTGDDAMELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGNIKDVESASDTKSLFSELPEYAMTLLSQSIFNNTGGDVVFNKSGSREILGTPTETAILEFGLSLGGDFLAVRKASTLVKVEPFNSAKKRMGVVIQLPGGAMRAHSKGASEIILASCSKYLNDQGNVVPLDDATVAHLNATINSFANEALRTLCLAYVDVGDGFSANDQIPEDGYTCIGIVGIKDPVRPGVKESVAICRSAGIMVRMVTGDNINTAKAIARECGILTEGGIAIEGPDFRTKSAEELNELIPKIQVMARSSPLDKHTLVKHLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRTPVGRKGNFISNIMWRNILGQAFYQFIVIWYLQTEGKWLFGLKGENSDLVLNTLIFNCFVFCQVFNEVSSREMERINVFEGILDNNVFVAVLGSTVIFQFIIVQFLGDFANTTPLTLKQWFNCIFIGFIGMPIAAAVKLIPVDF >ORGLA03G0072300.1 pep chromosome:AGI1.1:3:5034185:5035220:1 gene:ORGLA03G0072300 transcript:ORGLA03G0072300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G03990) TAIR;Acc:AT3G03990] MLRSTHPPPSSPSSSSSGGGGGGGSSSEKTMVGGGGGGGGGSGSAAPSGAKLLQILNVRVVGSGERVVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPDHFDFRRYDNLDAYVDDLLAILDALRIPRCAFVGHSVSAMIGILASIRRPDLFAKLVLIGASPRFLNDSDYHGGFELEEIQQVFDAMGANYSAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCQTVFKTDLRGVLGMVRAPCVVVQTTRDVSVPASVAAYLKAHLGGRTTVEFLQTEGHLPHLSAPSLLAQVLRRALARY >ORGLA03G0072200.1 pep chromosome:AGI1.1:3:5014401:5018498:1 gene:ORGLA03G0072200 transcript:ORGLA03G0072200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHRYESCNSMGLLRLFVCCRRAMKNLIFNFGKEFPELKQYSAFSKIFGGSCLIWLLRSVQELVSLSHKIFEEHTDELKNTIFSLVDKTSEIFSTLTNMNSVFYLLGAKKQIISSSGESSTPKHDDRAFNILENSALEHVKIMAELLQKSTTGIPVTVKGSQCVIKLENCYDTVCWDRLLCTMSCIRGFLWGLISALEGTCKDYLSSPEERNVMFQYASRFSGCVAKFEAFVDICMHVLFMETKDCELADLISVHLPQELDCENNSLNITAIMDEWTRHQPEENGFHSDGVLNISTETRGFDLPKVQFVKGFLLENLLSGEGPSIAFTLRELYNASAAIVKLKGILSFPSEVCRQICSPFQKLPLGPMVATAYIALHKLADMSNWPDMFSLLWIDGILSYLEAVGNILALSEINMSKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNRSGHVVAKGIINRQNRLNSLKSRLRLSLGKYVNVSSNMHLNTAVQVIERALVGVNRFSHSIYEINTGNCDGGTVSSDVAAGIYCLYLVLETVPGNKRVFKRTVPGLIGALFNIVLHLESPFIFYTERMPVHYPYLHPDAGAIVLMCIEVITAFVGRHSFQIDSCHVSQCLHVPMTLFKGFKHLLSCRNMPHSCNQSEEQLAASNEYILDRQFSVDMYASCCKLLCTTIRHQQREVARCVAVLEDSVNILLSCLESPNPKMVSRAGYFSWNMEESMKCASFFRRIYEEMRQQRELLGKHSMYFLAGYISMYSGQGPFQTGITREIDEALRPGVYSLIDICEESDLQLLHTYLGEGPCRTTFANLVHDYKLHFQYQGKI >ORGLA03G0072100.1 pep chromosome:AGI1.1:3:5006406:5009085:-1 gene:ORGLA03G0072100 transcript:ORGLA03G0072100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEAQEASRRDGRERDEHDASRRERERDHTHGRRPHSSSRSRLDDPSPRRRREDRRHHESDRSHRHRSRAEEGAKAVDRDQKRDRPLQDAAQPDDLLRAETKPLDDARNGSPTRHERSPRGTKRFPESRDARRPRSFFQHDERGSAGQGGRRYYRQASDRGRQRDEKEHVGDREKNKDEGKAVQDEQQNDGESTWKHDGFFQLEEEAHPSKRRPPFNEMGIPLEGKESVTAVTEPDSRSQKHDQAGPTSAIGEERRNYHPRGFDRHGGPFGRPDGRGMRRGFSDHRNAGQRNGYDSWGRFAGRGRGRDRFNNPYDGRNSMHQAAGDQAEKWKHDLYDQTNRSPTPKTEEEQIAKIEALLAL >ORGLA03G0072000.1 pep chromosome:AGI1.1:3:5000735:5004719:-1 gene:ORGLA03G0072000 transcript:ORGLA03G0072000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19630) TAIR;Acc:AT3G19630] MASSSSSSSSSSSPPPPPRRSVFDAAYIRAEFAAAGISPHFIPLIWKYVLQNPRCGDLDAVPSLPAAAYALLRQKFQPTTSTLTTAAESKDRTTTKLLIRLKNGESVEAVIMRYDTRLGKYDGKPRPGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHASRYSQIRNVVFMGMGEPLNNYTALVEAIQVLIGSPFQLSPKRITVSTVGIIHSINKFNNDLPNINLAVSLHAPDQDIRCHIMPAARAFPLVKLMNALQSYQNESKQTIFIEYIMLDGVNDQEQHAHQLGKLLETFKAVVNLIPFNPIGSSNNFKTSSEHNVKKFQKILRGIYNIRTTIRQQMGQDIAGACGQLVVSLPDERSAGGATLLSDIEDIRI >ORGLA03G0071900.1 pep chromosome:AGI1.1:3:4996458:4999615:-1 gene:ORGLA03G0071900 transcript:ORGLA03G0071900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGPGLFSDIGKRAKDLLTKDYTYDQKLTVSTVSSSGVGLTSTAVKKGGLYTLDVSSVYKYKSTLVDVKVDTESNISTTLTVFDVLPSTKLATSVKLPDYNSGKVEMQYFHENASFATAVGMKPSPVVEFSGTAGAQGLAFGAEAGFDTATGKFTKYSAAIGVTKPDYHAAIVLADKGDTVKVSGVYHLDDKQKSSVVAELTRRLSTNENTLTVGGLYKVDPETAVKARLNNTGKLAALLQHEVKPKSVLTISGEFDTKALDRPPKFGLALALRP >ORGLA03G0071800.1 pep chromosome:AGI1.1:3:4990457:4990705:-1 gene:ORGLA03G0071800 transcript:ORGLA03G0071800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIMRILPPFHISGKSTLACALSRELHCSGHLTYVLDGDNLRHGLNRDLSFKAEDRAENIRRVGKFSKTTGPFVRNGFTTT >ORGLA03G0071700.1 pep chromosome:AGI1.1:3:4979219:4983666:-1 gene:ORGLA03G0071700 transcript:ORGLA03G0071700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRASAVFLLAWLVAGVVKAAEAASGMAQPLAYDYSSSSECLPEPMDAHYGGGIIRNGDFSAGLQGWSAFGYGSLAVGSSPAGNRYAVATNRTRPYQSVSQKVLLQNDTHYTLSAWLQVSDGIADVRAVVKTAGGDFIHSGGVEARSGCWSILKGGLTAAAAGQAELYFESNATVDIWVDNVSLQPFSREEWSAHHEAAIKKARKKTVRLQARDAAGNPVAGARMHIEHVRNGFPLGSAMSKEILTNPGYQRWFTSRFTVTTFENEMKWYSTEAIPGREDYSVPDAMLRFAKSHGIAVRGHNIFWDDPSTQMGWVKALSGEQLRRATEKRIKSVMSRYSGQVIAWDVVNENLHFDFFEGRFGWEASAAFYRKAHQMDGGALMSMNEFNTLEQPGDLTVLPGKYLRKLWQIKAFPGNGNAARMGIGLEGHFSAQPNIPYIRAALDTMAQANAPIWLTEIDVAPGPDQARHLEQILREVYAHPAVHGIILWTAWHPQGCYVMCLTDNNFKNLPAGDVVDKLIWEWKTRSHVGVADADGYYETELFHGDYKVTVTHPAANSTVAQSLSVDRESDNEFTIHV >ORGLA03G0071600.1 pep chromosome:AGI1.1:3:4975159:4978468:-1 gene:ORGLA03G0071600 transcript:ORGLA03G0071600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFTGKLVFFLAIILFEGCLVRSQSVPYDYSANIECVKEPEKPLYGGGILKETEAKGYASGKKLLSENSKSAAPVKGSALKVDLKKDHHYALSVWLQLSKGEGDIRAVLVTPDGKFNTAGMIAAKCGCWTMLKGGATSYDDGKGDIFFETNVTAEVMAEGMALQPFSFDEWKGHRAESVKKERMKKVKITVVGPDGKPVPEADVSLERVGKGFPLGNAMTKEILDMPEYEKWFAARFRYATLENEMKWYSTEFHQNEEDYKVSDKMVELAEKHNITLRGHNVFWDDQDKQMDWVEKLGVPELKEAMAKRLKDIVTRYAGKVIHWDVVNENLHFNFFEGKLGKDASAEIFRDVAKLDSKPILFMNEFNTIEEPNDAAPLPTKYVAKLKQIREFPGNADLKYGIGLESHFAAPNIPYMRGSIDTLAQAKVPIWLTEVDVKPCKNQVEYLDEVMREGFAHPAVKGIVLWGAWHAKGCYVMCFTDNSFKNLPVGDAIDKLLKEWTAGHTGKTDSKGVLEVEIFHGEYNATVKHKEFKENCMTLDLDSKAEAKIELRSNKVLTPWVELKYPNRHLGLHRSQESGCS >ORGLA03G0071500.1 pep chromosome:AGI1.1:3:4972691:4975030:1 gene:ORGLA03G0071500 transcript:ORGLA03G0071500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Histone acetylation protein /.../terPro:IPR019519); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G18410) TAIR;Acc:AT2G18410] MLHLAAAVASNAAAGKAQARGLVIVAFDRSPEVYLDFMRRRGLDPNALNRCVRILDCYSDPIGWNQKIRSQQQQESGADLCSANKENVTIFRNVKDLDKLMCSTIDLGRGFAGEGKIYFSIAVDSISSMLRRASVSSISSFLSNLRSHDQISSIFWLIHSDLHEPKFSRAFECLSTMVASLEPAVVDSVYEEEIPGNISFLEENYSKAKFYLRLKRRNGRVKHLYEELHVEGNDVRFVSASSVSTEVSQSLLPKVQFNLELSEKERSDKANVVLPFEHQAAF >ORGLA03G0071400.1 pep chromosome:AGI1.1:3:4969564:4971781:-1 gene:ORGLA03G0071400 transcript:ORGLA03G0071400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain [Source:Projected from Arabidopsis thaliana (AT5G60590) TAIR;Acc:AT5G60590] MKACARAAGERLPLVGAPARQPLARSFVKVNRLSSQHETKSVVSCSVRVSDDKTHRIEATAEHILPATNDHVMKAIDSINRGQVIAVPTDTIYGFACDACSAEAVNRIYEIKGRVQTRPLAICVADVPDISRFAVVDHLPHGLLDSLLPGPVTVVLKRGNNSILERSLNPGLESIGVRVPDFDFIRAISRGAGSALALTSANLSGRPSSVNVKDFEDLWPHCSYVFDGGILPSGRAGSTIVDLITPGVYKILRDGSSRQETTAVLGKFGFVEAW >ORGLA03G0071300.1 pep chromosome:AGI1.1:3:4965490:4968589:1 gene:ORGLA03G0071300 transcript:ORGLA03G0071300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDPMGKLLFLLAWITLLQGCCMVKSFSYDYSSSIECLPEPPEPQYGGGVVRNADFSVGLHGWSAFGYGSLAEGSSPAGNRYAVATNRTRPYQSVSQKVLLQNDTHYTLSAWLQVSDGVADVRVVVKAAGDFIHAGGVAAKSGCWSMLKGGLTTVSGGRAEIYFESNATADIWVDSVSLKPFTKEEWSNHRDASASTARRKTVRLQATDSAGNPLPGAAVSLENVRNGFPLGAAMSGEILRNPSYQRWFASRFTVTTFENEMKWYSTEPAPGREDYSVPDAMLEFARSHGIAVRGHNVFWDDPNQQPRWVQGLPYPQLLAAASRRIRSVVARYAGKLIAWDVVNENLHFSFFERRFGWDASTAFYAAARMLDTGSTLMFMNEYNTLEQPGDMAALPARYVQRLKQIIGGYPQNGAGMAIGLEGHFTAPVNIPYMRAALDTLAQAGVPVWLTEVDVGGGASQAYYLEEILREAYAHPAVQGVILWAAWRPQGCYVMCLTDNDFNNLPQGDVVDRLITEWSTAPRAGTTDAEGFIQAELAHGEYKVTVTHPSLNTSVSQSVKVEMGSGSHYFIQV >ORGLA03G0071200.1 pep chromosome:AGI1.1:3:4958357:4958772:1 gene:ORGLA03G0071200 transcript:ORGLA03G0071200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRRESCTHGLGEVLVCARAVSIDRSINLPACSTNLINTNSESLIMQAQAGMVEQPPQIRSVRHLGLRVNYTAMLSKLXNGIYCSFVKFDLSHKKCLSSDMDWLFTIGQEVFGALHPTAI >ORGLA03G0071100.1 pep chromosome:AGI1.1:3:4953201:4955597:1 gene:ORGLA03G0071100 transcript:ORGLA03G0071100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16880) TAIR;Acc:AT2G16880] MDTPPSAAAATATATAVASDSDCDSALVADVAEALVSASRLPEPPPIPALLALYLPRLAASHHPRVLSLAASHPGLASPDLLLAYRRHLSPPSCLPSLVPLLPVLPYRHLLPLLLSFVPLDPLRHLHRHLLAHLPTSPLADAALSAYARLRLPHLAAQLLHSLRRRRGVRPSLQAANAVLSALSRSPSTLPQASLDVFRSLIELRLHPNHYTFNLLVHTHCSKGTLADALATLSTMQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKRDGIAPTQPTYNTLVSAFARLGWIKQATKVVESMTAYGFEPDLRTYNVLAVGLCQAGKVDEAFRLKDEMERLGTALPDVVTYNTLVDACFKWRCSSDALRLLEEMRDKGVKPTLVTHNIVVKSLCKEGKLEEALGKLEKIAEEGLAPDVITYNTLIDAYCKAGNVAKAFTLMDEMVGKGLKMDTFTLNTVLYNLCKMKRYEDAEELLHSPPQRGFVPDEVSYGTVMAAYFKEYNPEPALRLWDQMIERKLIPSISTYNTLIKGLCRMERLKEAIDKLNELVEKGLVPDETTYNIIIHAYCKEGDLENAFRFHNKMVENSFKPDVVTCNTLMNGLCLHGKLDKALKLFESWVEKGKKVDVITYNTLIQSMCKVGDVDTALHFFDDMEVKGLQPDAFTYNVVLSALSEAGRSEEAHNMLHKLADSGKLSQSFACPLLKPSSADEADVKEHEGKPEAESSEKAQDNALETYMERLNGLCTGGQLKEAKAVLDEMMQKGMPVDSSTYITLMEGLIKRQKRQTHAAGQSTL >ORGLA03G0071000.1 pep chromosome:AGI1.1:3:4950660:4952703:1 gene:ORGLA03G0071000 transcript:ORGLA03G0071000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGRPTASGASSSSSSDDDGDAAWKAAIESIAAVGFGLPLSNGAAKATSGGGGEASHGVEQQPPQEGKAQAPGLKLYQIKVRNMLDNMLEKNLEIVKTTCSNLADPMETDGGIKLFKKAPPGIRMDAMDKYHVQLKRPRILPGTDIDEKSKKFRHMLKSVAVDGNDILVSAKKSSERSLARLEAREAAAKAAAKREEERVRELKKTRGEKWLPSIARQMKEEKAWEQRK >ORGLA03G0070900.1 pep chromosome:AGI1.1:3:4947200:4950341:-1 gene:ORGLA03G0070900 transcript:ORGLA03G0070900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 49 [Source:Projected from Arabidopsis thaliana (AT5G67530) TAIR;Acc:AT5G67530] MGKKQHSKDRMFITRTEWATEWGGAKQKEAGTPFKRLPFYCCALTFLPFEDPVCTADGSVFDLMSIIPYIKKFGKHPVTGTPLKQEDLMPLTFHKNSDGEFQCPVLNKVFTEFTHIVAVKTTGNVFCYEAIQELNIKPKNWRELLTDEPFTRNDLITIQNPNAVDSKILGEFDHVKKGLKLEDEELQRMKDDPTYNINISGDLKQMIKELGTEKGKLAFLHGGGGQKAQKERAAALAAILAKKEKDDSKSGKEPKPHQPFSIVDAASASVHGRSAAAAKAATAEKTAARIAMHMAGDRAPVNAKLVKSRYTTGAASRSFTSTAYDPVTKNELEYVKVEKNPKKKGYVQLHTTHGDLNLELHCDITPRTCENFLTHCENGYYNGLIFHRSIKNFMIQGGDPTGTGSGGESIWGKPFKDELNSKLIHSGRGVVSMANSGPHTNGSQFFILYKSAPHLNFKHTVFGMVVGGLTTLSAMEKVPVDDDDRPLEEIKILKVSVFVNPYTEPDEEEEEKAKEEEKKRDEDYDKVGSWYSNPGTGVAGSTSSGGGVGKYLKARTAGFADVVADDSNKKRKASVSNVEFKDFSGW >ORGLA03G0070800.1 pep chromosome:AGI1.1:3:4943703:4945872:1 gene:ORGLA03G0070800 transcript:ORGLA03G0070800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSIRAAAKAAMIGGYRSASAVRRAVLPASPAPQTAPSAAGEGRKAASTYAAIDDWVIPDREVFGPVPTHEEAMAATLDLKESFQFAKSAQLEPLPSGDLDVPTKVGQEGLVHSETPQDLVHSETQGLVDLGASQDLVHSETSQGLVHSESSQGLIHSKTSKHEDNHEISLVSSGAPGRVVQAFTMLQDSPEAQEVVASLASDQNVWNAVMRNEKVMKFYKTYATKLNEDEVEGSESDSVQNSSELGSAGEAFMCYVEKMKALVSEMMTNLSSIMQDLVATSDEGQSKGKLKTMILDSKKDFANAPSAFVLLAIASIMVVLLKRA >ORGLA03G0070700.1 pep chromosome:AGI1.1:3:4940986:4941198:1 gene:ORGLA03G0070700 transcript:ORGLA03G0070700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIQAPFVVQGKAASGYKRWVXANANACVSKYALVLWCRVGLAGTVCIRSQPGTAKRPRRSPFDEECEAAR >ORGLA03G0070600.1 pep chromosome:AGI1.1:3:4937154:4939469:-1 gene:ORGLA03G0070600 transcript:ORGLA03G0070600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGFSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRENMAIAKSELHDLLSKPSLTGIPLLVIGNKIDKPEAFPKQSFTDVMGLKTITDREVACFMISCKNSTNIDSVIDWLVKHSKKKN >ORGLA03G0070500.1 pep chromosome:AGI1.1:3:4933318:4936252:-1 gene:ORGLA03G0070500 transcript:ORGLA03G0070500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SURF1-like protein [Source:UniProtKB/TrEMBL;Acc:I1P8K9] RGGGLPNSFPLGWGGGGTAGFLPVLPFSPASQPLPPLLSGATPPPPRAGRRAGAWSKLFLFAPGAITFGLGSWQLFRRQEKIEMLDYRTRRLEMEPIAWNQMAPSDLSAGVDPATLEFRRIVCEGDFDEERSVFVGPRSRSISGVTENGYYVVTPLIPRSSEHGSSWPPILVNRGWVPRDWRDKNVQDHQGVREVPEYKEADKKTDGKGSWWKFWSNSKEPEQSCEIEKPVKPPVRVLGVIRGSEKPSIFVPANEPSVGQWFYVDVPMIARACGLPENTIYIEDINEDVSPTNPYPVPKDVSTLIHHSVMPHDHLKYTVTWYTLSAAVTFMAAKRIKAKKVKL >ORGLA03G0070400.1 pep chromosome:AGI1.1:3:4927137:4930226:-1 gene:ORGLA03G0070400 transcript:ORGLA03G0070400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:no pollen germination related 1 [Source:Projected from Arabidopsis thaliana (AT1G27460) TAIR;Acc:AT1G27460] MPAIMLCSCSGDQSKFEEMPRSPESLATRDYSATGSSSRIGNRESTPDDNQVSEVESDLRETLSLNYEEARALLGRLEHQRGNFDAALQVLQGIDIRSLMPRMTTAIADSVKPRGPPRSRKKTSQVNGMLMHMSMHSVSLLLEAILLKAKSLEGLGRVTDAAEECRTIIDIVESAWPYGVPEGTSEECKLIDIFHSALEYLPKLWMRSGCCEEAIIAYRRALAKPWNLDSQRSANLQKDLAVTLLYCGAQVKFTQEFDQHKPATPRNNMEEAILLLLILTKKLALQEIKWDPDLVNHLMFALSLSGHYEILASHLEMLLPGTYNRSERWYILALCYSAAGMDDSALNIIRNGFNVLERKGKPHIPSLLLGAKLCCKNPKRASEGIKFADKAMKSFRKHDFHFVSVVNHLLGVCYGPFARSSTSHAEKLRLQDEALRLLQDAAAMAKYSPEIMYSLAWENAMQRKLNAAVESATECVEMVMGSLVSAWKLLILVLSAQQNLKEAEAVANIAIDEAEKEDQMGILRLKAHIQASRGQFKSAVESFRSLLAIIQAKKEIWKQTPYDKVKSLQNLEMEAWLDLASIYTKLESWHDSNVCLDKAKSISSFSPKCCHVRGLILQAQSLHQEALTAFSLSLSIDPDYVPSMVCMAGILTILGGKSLSIARTFLRNALRLEPTSHQAWLRLGLVLKSEGSLLEAADCFQAAYELQELSPIQDFSEHLPIMLQ >ORGLA03G0070300.1 pep chromosome:AGI1.1:3:4924427:4926390:1 gene:ORGLA03G0070300 transcript:ORGLA03G0070300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:I1P8K7] MAVGKNKRISKGKKGSKKKTVDPFAKKDWYDIKAPSVFNVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKIRLRAEDVQGKNVLTNFWGMSFTTDKLRSLVKKWQTLIEAHVDVKTTDGYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQQIRRKMVEIMANQASSCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFVRKVKILKAPKFDLGKLMEVHGDYAKEDIGTKLDRPAEDEAMAGQEVAAAE >ORGLA03G0070200.1 pep chromosome:AGI1.1:3:4920840:4921623:1 gene:ORGLA03G0070200 transcript:ORGLA03G0070200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPRHKDTRRRRWMPTGRPAASTITTSTTNIISTRRSRRRRWMPTGRPLASTTTTSTTNTISTRRSRRRRWGTRGRTRTTLRHSRTDTRRRRCTRRRTRSRRRLRRTGTSAPRSARDASRPFVAAASWTYASDDEERRSNYRMQSPAKMACSEGIYTTTYVDGPFYVIAG >ORGLA03G0070100.1 pep chromosome:AGI1.1:3:4909639:4911206:1 gene:ORGLA03G0070100 transcript:ORGLA03G0070100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEVATVVIQHPGGGRTERAYWAMSAGAVMAANPGHYVAAVITSPPAAGASSATGAAAPVKHLKLLRPDDTLLLGRVYRLVSFEEVLREFASKRHVKLSRVTIKAKDDVEEETKPAKPRRRRGSGGIAPEEEYSRRSFAKVMRQSDEPEPVARASPSAAPKPESDIDDHADGEAAEPDCDLEALLPPHGVVFGRRVARQWRPALQSIAEG >ORGLA03G0070000.1 pep chromosome:AGI1.1:3:4890243:4907331:1 gene:ORGLA03G0070000 transcript:ORGLA03G0070000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARVYAVEWRLQKTVVALAFSEVANRFSRPAGEAGEEEVGWPATNDGRRGGGNDVRAGGTPPTPPPLLPPTTRAPDRGLDDDGSFLALPIAFPVSAIRLRLILPRQPSHVAGLRQLSRPADITPELHRFLDSRFCSQADLAVAANVMAEIRGRYAELKVSVSDHSVRLAAAAAAYSSSCSAAGTALSNVRGCLTALNASTSEPRVTEEVEVGSEEMLFEQLTSLAKEVAIVELVRDYATECDISFLGQRRRGEQGGRRRYPWQLVERRRRPTAWGETLLHPRCRRRRCCRRRRVARRRSPDLLPPCPVGQLAQTEEKNERKEVREEGKEKE >ORGLA03G0069900.1 pep chromosome:AGI1.1:3:4886643:4887882:1 gene:ORGLA03G0069900 transcript:ORGLA03G0069900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVTLEKTTTIRSEAVVAADAAVDRAATLKSPLLEGKKCGDGGVAKRCCERKYELVSYDALPAFLKHNEFIIDYYRSEWPIKQALLSAFAVHNETINVWTHLIGFFMFLALTVCAATMVPMESSATSMTMANNTGNPMVLMMMSYGSNGAAMAVQALRNVSVESELAAAALSAAGDQVARWPFYAYLCGAMFCLLMSSACHLLACHSEHASYVLLRLDYAGITGLIVTSFYPLVYYTFLCDPFFGTLYLGFITLFGAAAVAVSLMPVFEKPELRWARAGLFACMGMSGLVPIVHKMLVFGARPEAVLTTGYEMVMGAFYLAGVVVYATRVPERWMPGKFDLAGHSHQLFHVLVIAGAYAHYLAGVVYLSWRDGEAC >ORGLA03G0069800.1 pep chromosome:AGI1.1:3:4861643:4862572:-1 gene:ORGLA03G0069800 transcript:ORGLA03G0069800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGMEEGDAMAAASSGCSSGCQSGWTTYLDDHSSYSCRTARFHGKAQQPYYHCDYSEDAEEDDLSMISDASSGPRQQCSTGNDDGAAAAAAHANAARRRGRRMEPTARRQSKTAAGASLLEDTASSPAFFKYTNASAEGNGYGYGGVASPVMEMGNAADFSCAFSATTGFESPLNGIPLSGYLQMQYSTTHVKAIPARQARRGGVEKKRR >ORGLA03G0069700.1 pep chromosome:AGI1.1:3:4851031:4852200:1 gene:ORGLA03G0069700 transcript:ORGLA03G0069700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSSSSGSHRPPRPASSESALPPAAAAAEELSSYEAACRSDPELRTFDTTLQRRTSRAISTLAVGVEVRSLSLESLREVTGCLLDMNQEVVRVILDCKKDIWKSPELFDLVEDYFESSLHTLDFCTGLDKCLKRARDSQLLLHVALQRFDDEEDNDAAAAGQEDAAPSARYARTLHELRQFKAAGDPFTEEFFSAFQAVYRQQLTMLEKLQQRKHRLDKKVRAIKAWRRVSSIIFATTFAAVLICSVVAAAIAAPPVAAALAAAASIPVGSMGKWIDSLLKGYQDALRGQKEVVSAMQVGTFIAIKDLDSIRVLINRVELEISSMIDCVEFAERDEEAVKFGVEEIKKKLEVFMKSVEDLGEQADRCSRDIRRARTVVLQRIIRHPS >ORGLA03G0069600.1 pep chromosome:AGI1.1:3:4842667:4847055:1 gene:ORGLA03G0069600 transcript:ORGLA03G0069600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMALLLSSPPRPSLRRVTRWSAASLSPRASLPSPRRVGLAVAAASWDGAGRWRVETTTTRARAAARAGASGEGGDGEVEGGGGTGIAAAAAATVVLAVMNRVLYKLALVPMRNYPFFLAQATTFGYVIVYFSILFIRYHAGIVTKEMLALPKSRFMLIGLLEALGVASGMAAAAMLPGPSIPVLSQLILSVLILGRKYRANQIFGCLLVTAGVILAVASGANSGPFLSDVKLFWPAVLMASSACHAGASIIKEFVFIDGAKRLKGKRPDIFVVNSFGSGFQALFVFLLLPFLSNLKGIPLAELPAYINRGAACFLNIGGNLKDCHGAPLLPLLFIAMNMAFNISVLNLVKMSTALVASLTATLAVPLSIYVLSLPLPYIPGGTNLSTSFLVGAAILVLGLLLYNLPKKLAGRMKTD >ORGLA03G0069500.1 pep chromosome:AGI1.1:3:4836589:4842481:-1 gene:ORGLA03G0069500 transcript:ORGLA03G0069500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISESDELRAFEATGIYRLAESGAAFLDPVRILNASYRRFRLVPSAYYSRSFGTSRQGGEAETERTGEASPERKKRKRKRQRQPKPRELNEVERMAEARHQEARPLLSSAHKSLLKAKDLLEFLPRMIKEDVRVLDVESNLEKNLVELGSSWRAPLCEMTLCFQKSSGEDSEEDNYNQGYNLIVVDPPWENGCVRQKVAYPTLPNRHFLYLPVQELAHPAGALLVLWITNREKLWKFVEEELFPAWGVKDHTVFYWLKVKPDGSLIGNLDLLHHRPYECLLVGYINLFFFSQSLPMVEVDRNIMVSMAKAISRVTLFLTYTAFAILIGGVHLQNVRVEQQNGEAAASALCLYVWQRLCVTARDVGPKAPNPLIPRRAEAVAPAADRDVQPDATDLADEATTPAQRLASEMPGLRPESPAIPSPELRRVRTSFTGASSWVATTAMGKVVRSANAPVEPKAKVEDVEKQRRRGKGGCNGTVSSARCRSPPPSPVSPELGKTRCSWITVNSEPLYVAFHDEEWGVPVHDDQKLFELLTLSQALAEITWPIILNKRDEFREMFDGFNYASVSEFTDKKINLLSKSNGNMLLSEQKIRAVVTNAKQMHKVIQDFGSFSNYCWSFVKHKPVKSNFRYARQVPIKTPKSEAISKGLMRRGFQCVGPTTIYSFMQVSGIVNDHLSCCFRFQDCRDIKRNLRAEPGLIERRLNSPPSSEDSETSREA >ORGLA03G0069400.1 pep chromosome:AGI1.1:3:4818901:4819860:-1 gene:ORGLA03G0069400 transcript:ORGLA03G0069400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEDEKLLFPSFAFPAECFPEAATSGGEQKKARQRRRRKVKPEAAAALAGESGGDEQAKKRRLSDEQARFLEMSFKKERKLETPRKVQLAAELGLDAKQVAVWFQNRRARHKSKLMEEEFAKLRSAHDAVVLQNCHLETELLKLKERLADVEEEKAKLAAVAAATTGGGGGGGGGSSSPTSSSFSTVTYHPALAGQFGVEAAAEEADLTYMSEYAYNSYMLELAAAGYCGGVYDQFS >ORGLA03G0069300.1 pep chromosome:AGI1.1:3:4806947:4810037:1 gene:ORGLA03G0069300 transcript:ORGLA03G0069300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTEKGGDPELIRKSQRNRSASVELVDEVIALDDQWRQRQFELDKIRQELNKTSKEIGKLKAKKEDASALIQSTEEIKKRLAAKETEVQEAKGTLDAKLVTIGNIVHESVPVSDDEANNLIVRTWGEKRMEGNLKNHVDLCKMLDIVALEKGVDVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQLAQFDEELYKLTGDGEEKYLIATSEQPLCAYHLGDRIYPAELPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWEMHEEMIKNSEDFYKEIGLPYQLVSIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDFQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKENGVEVPKALQPYMGGIDFLPFKLDSKQVAQLQIKXDSQREMLSELDEESTKISCFQTLLDIIHTYSNDHDVTVLTLILVS >ORGLA03G0069200.1 pep chromosome:AGI1.1:3:4801290:4805837:1 gene:ORGLA03G0069200 transcript:ORGLA03G0069200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERPSRSDVDFADVFGGPPRRSSGHDSLRRSSMDSSFGSATKGRSGAEERPVFGDRTSSDRRRQLGQEFYKDIFAGSESMSPRRVGAAGDLDVFGAQASPGSTSRLHSSFSMKFNGGLDSSVPTSPSRHTSNKNDDGISYAYSVPTSPNSSMNSFLAQGAPQQDSTKNPFSWHRYPFLSRFRSNSGDKKDTSHYVSSMDSEYEGTPVSLESSIANNKFHFSFYKWGGKGAVLVLPTTAQENAGDIVGVRSFPQVIVQGMDLIDEEDSTSTATGASKSQTDYEDYKSGKDVSLGALLKTKDGALPLAFDDYVLGDKSEESGTKHNTNNAKNNVLGASPSSKSSRSPSGEKSRGSRVKGKVKDFMKIFSPESSPKSKRDWTSSGKNGSKSGPEDKFSISNSEVDDNVRTANMNKQNVFPPVPSPISEAQDRTEIPVFTVDNEMDSKADFGRKEVTPPSFDESSDAQTKCKVDEITDLAEGPVEDLEECVVEDVSEDFILRNNEEKEQIKISESKIWEWSKGKEGNIRSLLSTLQYVLWPESGWKPVPLVDIIEGAAVKKAYQKALLCLHPDKLQQRGAAMHQKYIAEKVFDILQEAWKEFNTVTFG >ORGLA03G0069100.1 pep chromosome:AGI1.1:3:4799342:4799611:-1 gene:ORGLA03G0069100 transcript:ORGLA03G0069100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICLQFPCLPSGLASEGNHHLYSVFLFLLPFIRKLLSPLRIGALMRMLYYFYVWTSEINVYDCIMHHSELSTTCFFCSVFLSSYHTGA >ORGLA03G0069000.1 pep chromosome:AGI1.1:3:4795113:4795349:1 gene:ORGLA03G0069000 transcript:ORGLA03G0069000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCCSLIEFLHAFEHHSRAVDSAVACSSRSRRTGSSSCGSPTAFCDHLPMAVVDAVVLLSVFAALGFLVVPYVKLRI >ORGLA03G0068900.1 pep chromosome:AGI1.1:3:4790630:4791484:-1 gene:ORGLA03G0068900 transcript:ORGLA03G0068900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLFHSCRSPSAADAVVTSTTTLLATDHPPFVPGSCPRRVPRRRPQQPPPGACAAGYAADDLTPARGTPAYRWLKSSQWHVIEAAGVTDDDHTPRLKIDARRRLRRSRRRLHRRADPVSGSSGDSGWFTSDEDSYANSCGVGVGGGEAETLVTSTTTESSSGASGNCGGSGEADGVVVAGSFAVVKRSDDPRADFRRSMAEMVVGRAIYDADGLERLLRCFLALNHQRHRRDIVAAFGDVWEAVFSNPTSSQRRIVTSDSAICKAAATVSNRRRKQTCIATD >ORGLA03G0068800.1 pep chromosome:AGI1.1:3:4771894:4774379:1 gene:ORGLA03G0068800 transcript:ORGLA03G0068800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETSSGTAERGAGAGAQQQPPPQPPAKKKRALPVDGDGDRSSVRSRGGADPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSGKEVRKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHTKTCGSREYRCDCGTLFSRRDSFITHRAFCDALAEESAKARAAPPPPADEDGTSASAGAPPPQAPLPPPAPVPAPAPPPPPAAAPAPAAQPEQRDRDAALDQFATPAPAPAPPPVTAPPPPPVAAPNDCVSSSSSGVAPTSQSLLSSMFAPPSVAQAPQYADPIGVGAGGHQERAVPAKPPALCLAPNASSSLFTAPVPADRQQFAPPPPPSPSPHMSATALLQKAAQMGATSSSSSFLRCLGLDMSSSSSAPPSSSGQQQQHHHHHHQETMQVPLPASSLPEWPPRLQPEPSPMLSSGLGLGLPYDATGGPVSLPELMMGQSTLFSAKPATLDFLGLGVSPTGASTSRGFPTFIQPIGGAVSLAGSATVAAETFGAAHGGQANPWERNPSSSPIL >ORGLA03G0068700.1 pep chromosome:AGI1.1:3:4757912:4762870:-1 gene:ORGLA03G0068700 transcript:ORGLA03G0068700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain protein [Source:Projected from Arabidopsis thaliana (AT5G42970) TAIR;Acc:AT5G42970] MDNALASAAAIADQRQKIEQYRHILSSVLSSSPPDISQAKRFLDHSNEPSIFAPLPVDSYGFCSGSGVTVGFGAAAVVSDEVPLVVSRQLLQTFAQELGRLEPEAQKEVAHYALTQIQPRVVSFEEQVVVIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDSVNAEAFINKASFLVTNSHQELLNLQYKVCYARILDLKRRFLEAALRYYDISQIEQRQIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVFLERILRKPEIEAFAEELRPHQKALLPDKSTVLDRAMIEHNLLSASKLYTNISFDELGALLGIDPRKAEKIASRMIYEDRMRGSIDQVEAVIHFEDDTEELQQWDQQIAGLCQALNDILDSMSSKGMAIPV >ORGLA03G0068600.1 pep chromosome:AGI1.1:3:4754726:4757184:1 gene:ORGLA03G0068600 transcript:ORGLA03G0068600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDTTPRHPHVARAPPIACLYIIDRRGLSASPRIAPHTAGRSIGQKKRAERWGRMAVAAATVRWLVLLLAVSAAATASREKWWHGAGGEASGGGHLVQKEWRRVVAASDAGLVTAVDVADAAGTAYRLHFITMSPGTLFLPVQLHADMVFYVHSGRGKVTYIEEGDSESSSLQVERGDVYNFDQGTILYIQSNACGTRERLQIYAIFTSDSINADDPRHPTSEVYSCVSDLLKGFEVEVLRPGFGVPREVVESIKSTKTPPAIIPYNPEEEDEDDSSNWTEEITDALWGVRDPHFLNKKKKDKQKDKHKGKDKKSKSKAFNFYSGKPDVENCYGWSRSMTNRDLETLRGSSIGMFMVNLTTGAMMGPHWNPRATEIAVVTQGAGMVQIVCPSIPSGESKKHHHDEEGGRGDHGHGGGGVRCKNSLFRVKEGDVLVVPRFHPMAQISFNNDSFVFVGFSTDMGHNHPQFLAGRHSVLQVIGKEILARSLGQDNSTNVGRLLSSQRESTIMACTSCAEELERKAEEEEEGGGGKGEKEREEEERRRREKEEEERRQEEERKRREEEEKERREREEEERRQREKEEKKRREEEERRRREEEEEERRRREEEEEEQEDGRGDEPKPRREEEEGDWGERQIRLPRSLKKRFIGIKGRLSSG >ORGLA03G0068500.1 pep chromosome:AGI1.1:3:4750555:4752216:1 gene:ORGLA03G0068500 transcript:ORGLA03G0068500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDDSSDVPAAEAPAKRAPLNKYALACAILASMNSILLGYDISVMSGAQKFMKKDLNISDAKVEVLAGIINIYSLVGSLAAGRTSDWIGRRYTMVLASVIFFAGALIMGLAPSYAIVMLGRFVAGVGVGYALMIAPVYTAEVAPTSARGLLTSFPEVFINAGVLLGYVSNFAFYRLPLHIGWRVMFLVGAVPPVFLAVGVLAMPESPRWLVMQGRIGDARRVLEKTSDSPAEAEERLADIKNAVGIPEGISDEDEVVAVVHKSRGSHGEGVWRDLLLRPTPAVRRILIACLGLQFFQQASGIDAVVLYSPRVFDNAGLHSDSDSIGASVAVGASKTLFILVATFLLDRVGRRPLLLTSAGGMVISLVTLASALHMIEHRPEGQATALVGLSIAMVLVFVASFSIGMGPIAWVYSSEIFPLRLRAQGCALGTAMNRVVSGAVSMSFISLYKAITFAGSFYLYAGIAAAGWVFMFFFLPETQGRSLEDTVKLFGGDGRDANGTVGREDGHGQNKSTELTTQQ >ORGLA03G0068400.1 pep chromosome:AGI1.1:3:4736837:4738489:1 gene:ORGLA03G0068400 transcript:ORGLA03G0068400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDDAVPVAVAPAKRPPINKYAFGCALLASMNSVLLGYDISVMSGAQIFMKEDLKITDTQIEILAGVINIYSLFGSLAAGMTSDWLGRRYTMVLAAAIFFTGALLMGLAPNYAFLMAGRFVAGIGVGYALMIAPVYTAEVAPTSARGFLTSFPEVFNNSGILLGYVSNFAFARLPVHLSWRAMFLVGAVPPIFLGIAVLAMPESPRWLVMRGRIEDARRVLLKTSDSPDEAEDRLLDIKKAVGIPEDASDGEDVVAIVRANKASQGEGVWKELLLNPTRPVRRMLVAGLGLMFIQQATGVDCVVMYSPRVFERAGIKSKTNSLGASMAVGVCKTFFIPIATLLLDRVGRRPLLLASGGGMAIFLFTLATSLLMMDRRPEGEAKALGAISIAAMLSFVASFASGLGPVAWVYTSEIYPVRLRAQAAAIGTGLNRLMSGATTMSFLSLSNAITIAGSFYLYASIAAAGWVFMYFFLPETKGKSLEDTVKLFGKDTDDDDDVDTSRHERKRSTELSAQH >ORGLA03G0068300.1 pep chromosome:AGI1.1:3:4724316:4727700:-1 gene:ORGLA03G0068300 transcript:ORGLA03G0068300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDIHFVLSQEIIILWFLCRAAFVANEIPLFMNQSPPHVQMSRPSVIIKLILGLLWFIVHLAISLFSLWFDLIYSIECYLISFGLIPKYRKFQLDRLKHLAVVVDSREAKNVAKINQLLCWLSNVGVKYVCLYDIDGVLKKTFAPAMNGSRYGNSGKYLDVGANTKALTCCHKEMTIECISGSDGKDGIAKAASLLCSTCVNGNRNTCGNGEIVFTEADMSGALKAIGCGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMHMGPLNSMKYGAIVKAFYKFSKKYQNFGK >ORGLA03G0068200.1 pep chromosome:AGI1.1:3:4720917:4723318:-1 gene:ORGLA03G0068200 transcript:ORGLA03G0068200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant-specific TFIIB-related protein [Source:Projected from Arabidopsis thaliana (AT4G36650) TAIR;Acc:AT4G36650] MSQPTQCPYCRASGPARCVTTQPPLSRAVSECSSCARLVLERHLHTHPFFPLLPSLHPLPLVTPDLADAAPSPSPSAASASGDDDDDDPFLPAGFVSAFSAFSLERHPVLARSASAFSGQLAELERALAVESAASSTPDPAGPMVSVDSLRAYVQIVDVASILRLDRDIADHAFELFKDCSSATCLRNRSVEALATAALVQAIREAQEPRTLQEISTASNLPQKEIGKYIKILGESLKLSQPLNSNSIAVHMPRFCSLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPNYTPATPPEKAFPMTTIYSSRSSSGKDLYQDKQLDSAKLKSSEAAEPDHMVIVKEEEDKKIGPFSRPSAKTETHDLNQAIWTPNVSSTPFSSSPKLDHDKTETSVRGINLNEASCTMDTDRPDMPVKSPFAERWLNESKVIPSPSRQPAPWQLKQGAPSAGSSYHSMPYGLDLLSRGKRNTGDGGDKEGR >ORGLA03G0068100.1 pep chromosome:AGI1.1:3:4716802:4720041:-1 gene:ORGLA03G0068100 transcript:ORGLA03G0068100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSASSTLPLLSLHRAAGNPNPAALSFPPPLRAPPLRSRAAAAAASAAAPPAETIPDMPEETEGTGIPMPSSIGDDGEQLLFGATAGKEIVTRPCSQLHSSLSDSSNVSQEKIVITNRYGEKIVGLLHEAGSNDIVVLCHGFRSSKESRTILCLSDALTSENISVFRFDFTGNGESEGTFQYGNYYKEVDDLRDVILHFKKHKRDTRGIAGHSKGGNVVILYSSIHRDVASIINMSGRFDLRRGIADRLGSDYMEKINRYGFIDVGVKTGRSIYRVTKESLMDRLKIDMKSACMSIDPKCRVLTIHGSDDDIVPSEDALEFDKYISNHELSIIEGADHRYSLHHLELATILAPKQKIRIKLRSYWVPLIEDSCKKIIEAAKTTNAKTMGPVPLPTKRRVYCVLNSPHVHKDSRFHFEIRTHQRLIDIMYPTAQTIDSLMQLQLPAGVDVEVKL >ORGLA03G0068000.1 pep chromosome:AGI1.1:3:4702505:4703452:-1 gene:ORGLA03G0068000 transcript:ORGLA03G0068000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVDKWPPAAYLCRLPEKFYCVLPDCTATDRPVVTASAAPAPAASGSSGDYVWDVLRAEAQDDADDEPLLRKFYHDLVLSRPSLESALASLLAAKLCIPGALPQDQLRDLLAGALAAHPEAGRAARADLVAARDRDPACAKMVHCFLYYKGFLALQAHRAAHALWSDNRRAPALLLQSRASEVFGVDIHPGARIGCGILLDHATGVVIGETAVVGYDVSILHGVTLGGTGKESGDRHPKVGDGVLIGAGASVLGNVHIGDGAKIGAGAVVLRDVADGTTAVGNPAKPIIGKKAAPQRRPEELPGVTMEQRWSD >ORGLA03G0067900.1 pep chromosome:AGI1.1:3:4687606:4688142:-1 gene:ORGLA03G0067900 transcript:ORGLA03G0067900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G48170) TAIR;Acc:AT5G48170] MGARPVPRREEVVVVTELELRMQLLGGGGNCYNINDNADLLAEILARLDGRSLAAAACVCRLWAAVARRDAVWEALCLRHVGPASGPTAGPATRAVVAALGGYRRLYRLCLGPALDRLGRGGGAIAHAHARARLSLSLSLSLFSIDCYERLGGGGGAGAGRQPQPSSLLFLCKPVDVS >ORGLA03G0067800.1 pep chromosome:AGI1.1:3:4671362:4677171:1 gene:ORGLA03G0067800 transcript:ORGLA03G0067800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1P8I2] MRQQQAGGVGDGVSPGNVPVCYYGPGGRVPSSLERRARAAEVLLRCAACGLAVLAAALLGADRQTRVFFSIQKVARYTDMQSLVLLVIANGMAACYSLIQCARCLVSIVRGGVLLSRPLAWAIFSCDQVMAYIVISAVAAAMEAALIGKYGQPEFQWMKTCHLYKRFCAQAGGGVACAIAASVNMVGVALISAFNLFRLYGNSNGGGKATTTTMAGGK >ORGLA03G0067700.1 pep chromosome:AGI1.1:3:4641806:4642222:-1 gene:ORGLA03G0067700 transcript:ORGLA03G0067700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGGSEASPSIIHTSSIALLQERFRNLQKVKEMREAGNKELNRVRPADAHDRAAAAGSASASALGLGLHHAAVNGTNEQPRWFLHPDLVRPPSRPLHHGSGVVQASPSTPATTSPWTTMQNSGYRGDVDVDTSLHL >ORGLA03G0067600.1 pep chromosome:AGI1.1:3:4638047:4639743:-1 gene:ORGLA03G0067600 transcript:ORGLA03G0067600.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNHGMHFRILAKALRMSGGDHIHASTVVGKLEGEREMTLGFVDLLRDDFIEKDRARGIFFTQDWVLCVSMPGVIPVASGGIHTRSWQ >ORGLA03G0067500.1 pep chromosome:AGI1.1:3:4632155:4635567:1 gene:ORGLA03G0067500 transcript:ORGLA03G0067500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSDGADNLDADMDNGAAQQQHDGYNVGAPPKKNLLAEFAGTVKETFFSDEPMRRYKDQPRSRKLWLALQHVFPVFEWGSQYTLAKFKGDLIAGLTLASLVIPQDIGYAKLANLPPEIGLHSSFVPPLIYALMGTSRELAMGPVAVISLLLGTLLQEEIDPKKNPLDYRRLAFTATFFAGVTQAALGFCRLGFIIAFLSHAAIIGFMAGAAITIALQQLKGFLGIANFTKKTDIISVMKSVWGNVHHGWNWQTILIGASFLAFLLVAKARRTRSSSGSQQLHHSFRXSFQLCSSTSLVLTNKASQSXKTSRKASIHLQLAXYFSLAHTCSKDSKLEXXLEXXALRKRLQLEEHLLDXTITRXMGTKKCWLXEPXMWSVQXRLAIXPQWCLHDQQSIAWAGGKTPMSNIVMSTVVLLALLWITPLFKYTPNATISSIIISAVLGLFDFESAYLIWKVDKLDFMACLGAFLGVIFSSVEYGLLIAVVISLIKVLLHVTRPRTALLGNLPRTIIYRNVEQYPEATKVPGMLIVRVDSAIYFTNSNYVKERMLRWLRDEEEHQKEQKLPKIEFLIVDLSPVNDIDTSGIHAFKELLRTLEKRQIQLIFANPGAAVIQKLRSAKFTELIGEDKICLTVGDAVKKFAPQLTENV >ORGLA03G0067400.1 pep chromosome:AGI1.1:3:4621267:4624981:1 gene:ORGLA03G0067400 transcript:ORGLA03G0067400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSDGGEAIDADIASRTSSHSHMDGGHHHHHHGHKVQFPPKKKLIDEFTDAVKETFFADDPLRQYKDQPMSKKVLISLQNFFPVLDWGRHYTFRKFRGDLVSGLTIASLCIPQDIGYAKLAGLLPNYGLYSSFVPPLIYAMMGSSRDIAIGPVAVVSLLLGTLLQNEFDPKKNQEEYTRLAFTATFFAGVTQAALGFLRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIANFTKKTDIISVMKSVWGNVHHGWNWQTILIGASFLAFLLVAKYIAKKNKKLFWVAAIAPLTSVIISTLFVYITRADKHGVVIVKYIKKGINPPSASLIYFSGPNLMKGFRIGVIAGMIGLTEAIAIGRTFAGLKDYKIDGNKEMVALGTMNIVGSMTSCYIATGSFSRSAVNYMAGCQTAVSNIVMSIVVLLTLELITPLFKYTPNAILSSIIISAVLGLVDYHTAYLIWKVDKLDFLACLGAFFGVIFSSVEYGLLIAVAISLAKILLQVTRPRTVLLGNLPRTTLYRNIDQYPEATLVPGVVIVRVDSAIYFTNSNYVKDRILRWLRDEEERQQEQKLQKTEFLIVELSPVIDIDTSGIHALEDLFRALEKRKIQLILANPGPAVILKLRSAKFTDLIGEDKIFLTVGDAVKKFAPKAVENV >ORGLA03G0067300.1 pep chromosome:AGI1.1:3:4593371:4598018:-1 gene:ORGLA03G0067300 transcript:ORGLA03G0067300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAAAVETPVTVSTFGVSRHPDTARLVLSSPKPPGVREEFVGAVRKAFRPRASGGGGAGRTPPARWGWALTALQTVFPVLQWGRTYNFKLFRSDVMAGLTLASLGIPQSIGYANLAKLDPQYGLYTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMVSKIVDPAVDPVNYRALVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGLSHFTNSTDVVSVIKAVCSALRDPWHPGNFLIGCSFLIFILATRFIGRRYKKLFWLSAISPLLSVILSTAAVYATRADKHGVKIIQRVHAGLNPSSASQIRLSGPYTVDCAKTAIICAVIALTEAIAVGRSFASIRGYKLDGNKEMIAMGCSNVAGSLSSCYVATGSFSRTAVNFSAGARSTVSNIVMSITVFIALELLMKSLYYTPIAVLASIILSALPGLIDIKEALSIWKVDKMDFLTCLGAFVGVLFGSVEIGLAVALAISFAKIIIQSIRPQVEVLGRLQGTNIFCSIRQYPVACRIPSVLTIRIDTSFLCFINSTFIKERIIEWIREEVETSDEKARERVQSVVLDMSNVVNIDTSGISALEEIHKELASLSIQMAIAGPGWQAIQKMKLAGVVDQVGGDWIFLTVGEAVEACVTMQKGTALEC >ORGLA03G0067200.1 pep chromosome:AGI1.1:3:4585692:4589800:-1 gene:ORGLA03G0067200 transcript:ORGLA03G0067200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQPFPQGVHPNTSEPAMEPMASEPSVPIGTAFADLLLQGPEPPSLWNDLTGMFRKAFRWRGADKRFTLSVYVISVLQGLFPILDWWKTYNLKFFRSDLMAGLTLASLSIPQSIGYATLAKLDPQYGLYTSVVPPLVYAVTGSSREIAIGPVAIVSLLLSSMIQKIVDPSVDPAFYRKMVFTVTFLTGVFQFAFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGLSHFTNRTDVVSVTKAVWVSVHETWHPENVFIGCSFFMFILAMRFIGRKYKKLFWVSAIAPVLSVALSTLFVYATRADKHGVKIIQKVNSGINASSVEQIDLKGGYAAECAKIALVCAVIALTEAVAVGRSFSAINGYRLDGNKEMVAMGFMNIAGSLSSCYVATGSFSRTAVNFAAGCKTTVSNIIMAATVMVALELLTKLLYYTPVSILASIILSALPGLINVQEVCFLWKVDKMDFLTCMGSFLGVLFGSVEIGLSVALLVSFAKIIIQSIWPQVEILGRLQGTEIFCNVKQYPVVRETPTVLTVRIETSFLCFVNSSSIKEKIMGWVTDEHEAFRSVVLDMSNVVNMDTSGLAALEELHKELACLGIQMAIAKPGWQVIHKMKLARLVDGIGEGWFFLTVGEAVEACLANKAGNALECC >ORGLA03G0067100.1 pep chromosome:AGI1.1:3:4580992:4584538:-1 gene:ORGLA03G0067100 transcript:ORGLA03G0067100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNase L inhibitor protein-related [Source:Projected from Arabidopsis thaliana (AT5G10070) TAIR;Acc:AT5G10070] MGYNRRRGRGSSSSSSSRRTKQEAAWDDGPGTSLPRQEDTEEEPKGPKIQLAMWDFGQCDVKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTQCVSKEDRHIVQRKGLAVVDCSWARLSDVPFVKLRCGAPRLLPWLVAANPVNYGRPCELSCVEALSAALIICGEEETAHLLLGKFKWGHSFLSVNRELLKAYSQCENGTEIINVQNSWLSSNSNVPKSPLNAAEKSHQSTEEGSDADSDDGLPPLEENLNHLNFNQDDESEEESESDE >ORGLA03G0067000.1 pep chromosome:AGI1.1:3:4575700:4580243:1 gene:ORGLA03G0067000 transcript:ORGLA03G0067000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGD2-like defense response protein 1 [Source:Projected from Arabidopsis thaliana (AT2G13810) TAIR;Acc:AT2G13810] MPVNMISKLLEKAVLPALDVAPPVKIGGPRRTSVLRNPNMEKLQKGYLFPEISIKREEHLKKYPDAKVISLGIGDTTEPIPSIVTSAMAEYALALSTPEGYQGYGPEQGHKNLRKEIADKVYPDMGIKESEVFISDGAQCDIARLQTLFGPNVTIAVQDPTFPGYVDNGVIMGQTGKADDGGRYAGIEYMRCAPENAFFPDLSRVRRTDVIFFCSPNNPTGHAASREQLRQLVELARRNGSIIVFDSAYSSYISSSSSSSTPRSIYEIPGAREVAIEVSSFSKFAGFTGVRLGWAVVPDELLYSDGVPVARDFDRVVCTCFNGASGIAQAGGVACLSTEEGRGAVARVVGVYRENARVLVETFRSLGKEVHGGGDAPYVWVRFPGRRSWDVFAEILEKTHVITVPGSGFGPGGEGFIRVSAFNSRDKVLEACQRLKSFLA >ORGLA03G0066900.1 pep chromosome:AGI1.1:3:4563366:4565132:1 gene:ORGLA03G0066900 transcript:ORGLA03G0066900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQLLAGVLLLQLAASAAGQQQCLSATFQNGQTFLKCNPLPVLGASLHWTHHAENGTADVAFRAPQQSSGWVAWGINTRGTTMPGSSVFIASQDGSGAVSVLMTVLENTSPSLTNGSLSFDVLSPPTADYTNGVYTIFATIALPNNSTTQNTVWQAGPGSTGNVGQHATSGPNVQSMLRLDFSSGQSTGTASNSRLHRRNIHGILNAVSWGILIPVGAMIARYLRVFEAADPAWFYLHITCQLSGYILGVAGWALGLKLGSESKGITYSAHRNIGIAIFCLATLQVFALLLRPDKKNKYRFYWNIYHHSVGYSAIVLAAVNIFKGLDILKPASGWKRSYIAILATLAGVALLLEAITWVIVLRRKKSDKSSSSPYGATNGNGRA >ORGLA03G0066800.1 pep chromosome:AGI1.1:3:4552093:4552674:1 gene:ORGLA03G0066800 transcript:ORGLA03G0066800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGRSAWLLAAAVLLYAAAAQPDCANATFQAGRTYRRCDTLPVLGASLHWTYHPANGTADVAFRAPQSSSGGWVAWGINTQGAGMVGSSVFIASQINATGAGAGGVSVVTTVLESFSPSLRNGTLRFDVPAPPAAEYSAGAYTIYATVALPGNSTTQNMVWQAGPVRGGAIAMHPTTGANLRSTKKHDFTS >ORGLA03G0066700.1 pep chromosome:AGI1.1:3:4548432:4550793:1 gene:ORGLA03G0066700 transcript:ORGLA03G0066700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRGSESDGDELGGGGAAEGTSPNDGGASPPPLAAAPAVCFIRSAGDFAGGAFIGSIVGYGQGLFTKKGFKGSFSTAGSSAKTFAVLSGVQSLVVCLLRRLRGKDDIVNAGIAGCCTGLALSFPGTPQALLQSCATFAAFSCIMEGLNKQQAAMAQTLGGSALTVSHQNGGVLPPFTLPPLLDASDALSSCCQSLVLKPKH >ORGLA03G0066600.1 pep chromosome:AGI1.1:3:4545732:4547776:1 gene:ORGLA03G0066600 transcript:ORGLA03G0066600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G04845) TAIR;Acc:AT2G04845] MESSSSAAAAVDGEDGGGSKRSWYAVGERAVLVPYLREHVPRYHGWMQDPALLEATASEPLSLDQEFEVHRSWTLDPLKHTFIVLDKDLIEGGFAVGDPHTEAMVGDVNIYMNDPDDLQLAEIEIMIAEHKSTFCYSRGKGLGQEAILIMMAFAVEKYGIHTFRAKINESNTASLKLFRKLGFKDASYSSVFKEVTLEAPATALPLVSPLTIRNW >ORGLA03G0066500.1 pep chromosome:AGI1.1:3:4541777:4543030:-1 gene:ORGLA03G0066500 transcript:ORGLA03G0066500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:Projected from Arabidopsis thaliana (AT2G04850) TAIR;Acc:AT2G04850] MRQQRCATLLASLLQLVAVAVLPAAAAAAAAAAAGGAAGRCTTSTPLKTYAKCIALPTQGATLAWTYDARNATLDAAFTGSFISPSGWVAWGVNKDAPAMTGARVLAAFSDPSTGALLALPFLLSPDVKLQASPLVSRPLDIPLLASSASLVGPARTVRDGATVTIAATIRLSPNRTKLHFVWNRGLYVQGYSPTIHPTDASDLASHATVDILTTATEASPTASATLQWTHGSLNALSWGFLLPVGAAVARYLRPCASTGPAWFYAHAAIQATGYALGAAGFALGLVMGSASPGVTYKLHRGLGIAAATAGSLQTLAMLFRPKTTNRYRKYWKSYHHLVGYGCVVVGVVNVFQGFEVMGLGASYWKLGYCMALATLAGGCVALEVNAWVVFCRRQQEEKLMRREVEDVVVKDRAAAF >ORGLA03G0066400.1 pep chromosome:AGI1.1:3:4533840:4535048:-1 gene:ORGLA03G0066400 transcript:ORGLA03G0066400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQTGPVSEPPMLNTEIITQKVTGFNTETTTKEKPIGYLDVFVHQARDIHNICIYHKQDVYAKLCLTSDPDVSCSTKVINGGGRNPVFDDGLRLDVRTVDASLKCEIWMLSRVRNYLEDQLLGFALVPLADIVMADGKLVQEFSMTSTDLLHTPAGFCSAVLVLCWMFPRCPPHSAPNKSTLELNGSGNDNSVPCELEKIEFPDLNVVNENQIMVSKYFEMETLSYEDSVKVDNPKLVQSDAAVPGTELFNKNLDEYREGSPQSCVSTTDYSTGTSVTPHSVSEPSDTILAASPTGSQREKSQDVTDGEADSSDVPLKGEVVKPVISINLNPGESVVQEDIVNMYMKSMQQFTESLAKMKLPLDVENSSPSTENNTDSITAEKPSPSKGSRVFYGSRAFF >ORGLA03G0066300.1 pep chromosome:AGI1.1:3:4526803:4527998:1 gene:ORGLA03G0066300 transcript:ORGLA03G0066300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAAQPGRVLRSSPAFEQMGIDGSWRCRSASGSLSLGLGELAAEKHVRYIVTVEKVGRLSLGCELLDAAADSDWMLFAAAAAVMQKDSFESLVMEHIRVNGAYWGLTTLDLLHKLHAVEADEFIGWIMSCYHPDSGSARRGGGGID >ORGLA03G0066200.1 pep chromosome:AGI1.1:3:4525456:4526382:1 gene:ORGLA03G0066200 transcript:ORGLA03G0066200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVAGNEQCYCPECHRTTVVVVDHATGDTICTECALVLEERYIDETSEWRTFSDAGSGEDRDPNRVGGCSDPFLSHAELGTVVAPAKRQAKDTASPPHVRVDSKSGQDSSLAVAFRAISDMADRLQLVATIRDRAKELFKKMEEAKLCARVRNRDAAYAACLHIACRNEGNPRTLKELASVMRDCQDKKEIGRMERIIRRHLGEEAGTAMEMGVVRAADYMSRFGSRLGMGKPEVREAQRAAQTLEDKLDVRRNPESIAAAIIYMVVQRAGAQTSARDVSKASGVAEATIKEACKELSQHEELLFSS >ORGLA03G0066100.1 pep chromosome:AGI1.1:3:4516622:4519160:1 gene:ORGLA03G0066100 transcript:ORGLA03G0066100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPNGPSSPVDMVVDYYLYDYEYAEPPRVTSLQNAVPQRTFSDFGDDVYFVADQRGYESVVHYLAGQYLNTDDSGNVADPRLQLNKVVREISYSSSGVTVKTEDGSVYQADYRHGLCQLGSPAERSYTVQATAACKFRVLCPARFSRIASDRCVLHVFDQKWKILAIYEFDMAVYTKIFVKFPKRFWPEGKGREFFLYASTRRGYYGIWQVEFEKQYPDSNVLLVTVTDKESRRIEQQSDNQTKAEIMEVLRNMFPDQDVPDATDILVPRWWSNRFYKGTFSNWPIGVNRYEYDQLRAPVERVYFTGEHTSEYYNGYVHGGYLAGIDSAEILIDCAQNQMCKYHVQGKYD >ORGLA03G0066000.1 pep chromosome:AGI1.1:3:4514893:4515641:-1 gene:ORGLA03G0066000 transcript:ORGLA03G0066000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRMAIPPSPPRRRRRITSSRRRPFTLPHLLLVTIPPSAPRDPSPPRRHGSCIFRYPSRRHWDSSPPQAIDPACDKLAGRLRLILNCCQAAAGPIFFHKLLAFLHLKMI >ORGLA03G0065900.1 pep chromosome:AGI1.1:3:4496119:4500445:-1 gene:ORGLA03G0065900 transcript:ORGLA03G0065900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:I1P8G3] MAEGETPPSSSAAAAAPVLAALASLQTYSSALSAFTSAWRALYSDATALDSTLASRLEGFSELDLLCSAMDGPGLRAYLTEHRDALQDSSLPALDAALLVAPDPGRLVLSAAAGFCRAPPTEGAAKVACRLLVDLLDRLRALGVKPSPEARDEARAIAADWKRSKRIGPQAVLKKETIAFLLLVGAFGLVDDVGGASEVLDLVMSVSGRERAVEAFVGLGLDLEKHMPVFIHTMIKKGKQLEAVKFIQALNLVEKYPLLPVLRSYISDAAKAGNMIRIRGDDSACQTEADAKERMLLGVLQKFIKDQKLEELPILEIVKQRLAHLEKKSVERKRAASAAIEAAHEVSKKIQKQEKQQQQVQSAMRSRVPGKAAQNSLSQNIHSVDSLSRPLMSSQSMGISGVLNLYQAASSQNIIPAISPSPLSQHPVGIKNQTLNTPPVQTRYGGLADYYGLSSGRPRPDSVSPGSSVTSAHTSSRSKLYSADPLAAVSRASDKKGSSYNYSLSSMSTYNPNP >ORGLA03G0065800.1 pep chromosome:AGI1.1:3:4491868:4494112:1 gene:ORGLA03G0065800 transcript:ORGLA03G0065800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKQPAASPPPLQQQRTAYPASFEAYFSAMGLGGASSLGQEPQTPRQAQLQQRYATPNSPLMLPQPPPSQGGGGGGQGGVHPLMQQHQMMLAQMRATQLQQQQQQQQTSSYCVGEQGSSTNGYFWPVGHGGVSPAEAAAHAQGSSDYAMLLAADRPMPLAADRILPSAADGSSSDYLSRFANGYANNATAAAPAAPRPPVAPRPCTLRANASQYQPIGASSRSAVASPSPPRTRRHPYPHPANNYNPSAAIADYQERLVVMNALRANPKDPLWRGVSRISQGRTPEEIRSDMLRGPMPLQLVFFQESAAHVIRLLDEGAETGVDQYRLSALAAIKSDVHRVMEDREGCQVLMALVRACAEQEDEIHAIIAAAAAASAPPVDGNGKHKTTQLLRVTGQDYGEASLRSLILAAARYPDLCKLLTDCLVCERVMDHAKGDRLLHDCFRAMNYEDSKILIKFACYHANKMLLASSGSRCLVECFMNARGEELEHLEQLILANATMIAKGHYSNYFMQKVLEHGSEALKRELVALLMADVVSLSRQQFGSYVVEACFLKGSSDLKRIVISTFVSLTNDQLADVVQCGYGNYVIQKLVEACKDDYPEETILLARRIERLPGEVLDRMSAKQVMKVVRRLFPRHRIY >ORGLA03G0065700.1 pep chromosome:AGI1.1:3:4488964:4491068:1 gene:ORGLA03G0065700 transcript:ORGLA03G0065700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:I1P8G1] MGLLFVQRLDGNGVFKCRRCRVDAASKDAIISKEFQGSSGRAYLFDHVVNICLGPNEDRYLITGLHTVNDIYCSCCQQILGWRYEKAYDQSQKYKEGKFILERARMWKDS >ORGLA03G0065600.1 pep chromosome:AGI1.1:3:4485089:4486696:1 gene:ORGLA03G0065600 transcript:ORGLA03G0065600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQASNRPYRYPDNSQIPYYSRSSMHVGQNGTYHVQQNHEDLYASSDDGSQNGNSKAQGLQAQYCTLDSSSGNFVYPAHSSTSSHISGSPISQQDSHSEHTSGSPASASCVTEVPGLRFTTIEEIENAMFGPEPDTVSSDCSLLTDSAFYQDNWREHLGINTGDLKQVIAACGKAVDENSWYRDLLISELRNMVSISGEPMQRLGAYMLEGLVARLSSTGHALYKSLKCKEPTSFELMSYMHLLYEICPFFKFGYMSANGAIAEAVKGENFVHIIDFQIAQGSQWATMIQALAARPGGPPYLRITGIDDSNSAHARGGGLDIVGRRLFNIAQSCGLPFEFNAVPAASHEVMLEHLDIRSGEVIVVNFAYQLHHTPDESVGIENHRDRILRMVKGLSPRVVTLVEQEANTNTAPFFNRYLETLDYYTAMFEALDVACPRDDKKRISTEQHCVARDIVNLIACEGAERVERHEPFGKWRARLSMAGFRPYPLSALVNNTIKKLLDSYHSYYKLEERDGALYLGWKNRKLVVSSAWR >ORGLA03G0065500.1 pep chromosome:AGI1.1:3:4475717:4477940:1 gene:ORGLA03G0065500 transcript:ORGLA03G0065500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISESDWILFSEEWNVAHGKGLCAEIVFSKSSQDNLQSSEAVPILVEDLDQSTNDLSNDLGGREPYVRTDPEVCEECIGEKESCALVEKLNYQNEDIQVYLVRGKEAPKSIREASAAVPVPDRRTSKRSRRTTSGNSISLKVSGSTTVYQLKLMIWESLGIVKENQELHKGSVEIEDDFATLADKCIFPGDVLWVKDSEIYENRDIADEISEQKVVVQTEEGFRGTLLTSSASAQLCQDISFSD >ORGLA03G0065400.1 pep chromosome:AGI1.1:3:4470865:4472421:1 gene:ORGLA03G0065400 transcript:ORGLA03G0065400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPNTRNKSKRPRADDCESPSAVFKKIHSTGAITKGDIKQLYMVWKPVCHGCHGNSKDSPNCFCGLIPAANGVRKSGLWQRTNEIIRALGPNPSTDLRDSTETPAGLTNLGATCYANSILQCLYMNTSFRLGIFSLEPDILKMHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLEGSLSHSKVPGARTIVQHLFRGSVSHVTRCSSCGRDSEASSKMEDFYELELNIKGLNNLEQSLDDYLSTEALDGENQYFCESCQKRVDATRCIKLRSLPPVVNFQLKRYVFLPKV >ORGLA03G0065300.1 pep chromosome:AGI1.1:3:4459877:4463331:-1 gene:ORGLA03G0065300 transcript:ORGLA03G0065300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFRVESPHVRYGAAEIESDYRYDTTELVHESHDGASRWVVRPKSVRYNFRTTTTVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYYGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPDDLVFGGWDISNMNLADAMTRAKVLDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRANNVIKGTKKEQMEQIIKDIREFKEKSKVDKVVVLWTANTERYSNVCVGLNDTMENLLASVDKNEAEISPSTLYAIACVMEGIPFINGSPQNTFVPGLIDLAIKNNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKSTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEEKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK >ORGLA03G0065200.1 pep chromosome:AGI1.1:3:4454642:4456169:-1 gene:ORGLA03G0065200 transcript:ORGLA03G0065200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRTRSTAMAAWSYSSLLLQLLLLSMVAVLDGAATTGGGGAGVPAPAADCTDALLSLAGCLSYVQEGSTVAKPDAPCCSGLKGVVKKEVACLCQAFQGSQNFGVTLNMTKALQLPAACKVKTPPFSKCHLSIPGVTGGAPAPAPFSGAPFFGGSSPSASPAGTGSDSAAATVRAPAPSPSAAVRPKETKAALFSAAVIAAATLLAHRA >ORGLA03G0065100.1 pep chromosome:AGI1.1:3:4449514:4453957:1 gene:ORGLA03G0065100 transcript:ORGLA03G0065100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRAPPDAPQRRPARRLLPVALPPSSASAPLQVWVRGIHACLISFGFGSRFDLVLVWFGVGLDAEGIEQVPGCPCFEDAGAVVVSGEAPEGPGVLCSEDGAELKLAEQGALDVRLGSPAVGIHEQQLLHRGTSGSDEAGAINEISPVEVSPSEASSNLDTAGAIGGSPLMLESLPETSDTRGCEQEVMPGVVVGSSNRDAGSEVGLESERGSDGRNGLGEGELVSSVDGGGAEKSSKVTGVLSEEGVDGMETALEPCVASDGSITQVEEGVDRMETSLDDSEASDGSTTQDFDTDVETESSGSSIEEQDTGYGVHIPHTEQAICEVARGNKSSEVKSSDRMSSVTLPTLILASGAAMLPHPSKVLTGGEDAYFIACDGWFGVADGVGQWSFEGINAGLYARELMDGCKKAVMESQGAPEMRTEEVLAKAADEARSPGSSTVLVAHFDGQVLHACNIGDSGFLVIRNGEIYKKSKPMTYGFNFPLQIEKGDDPFKLVQKYTIDLQEGDAIVTATDGLFDNVYEEEIAAVISKSLEAGLKPSEIAEFLVARAKEVGRSATCRSPFSDAALAVGYLGYSGGKLDDVTVVVSVVRKSEV >ORGLA03G0065000.1 pep chromosome:AGI1.1:3:4442380:4444448:-1 gene:ORGLA03G0065000 transcript:ORGLA03G0065000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAWVRHKPGMASVKHMPVLQDGPPPGGFAPVRYARRIPTKGPSAIAIFLTTFGAFAWGMYQVGQGNKVRRALKEEKIAARTALVPVLQAEEDERFVKEWTKSLMWEEIIMKDVPGWKVGQSVYNSGKWMPPATGELRRED >ORGLA03G0064900.1 pep chromosome:AGI1.1:3:4429032:4432209:-1 gene:ORGLA03G0064900 transcript:ORGLA03G0064900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF966) [Source:Projected from Arabidopsis thaliana (AT5G10150) TAIR;Acc:AT5G10150] MEATVEGRKVVGRAEASPERGRPAYAPPVRSAPARPMRKVQIIYYLCRNGQLEHPHFMELAQHPHQPLRLKDVMDRLTLLRGKGMPALFSWSCKRNYKNGYVWNDLSENDVIYPSDGVEYVLKGSEIFPGCSSDRFPHLRVTDRSPAKRLALPPSHKQYVDSYRDDAAEDPEDDELGYGYHRRAGGARLAAKPVSARTNRSRPVELPVEETSPPSSTSSDKPPAAPQLQPGGGRGSDETESNRAGSMLLQLIACGSAAGGGSAKCRTEPRRSCGLVSRLAAASRAGTEVDDDEEAGGGGELNRRFGHLAVEEKEYFSGSIVESGVRGTPLPSSSLKRSNSYNEERSSRLGVSEERSTTDEQMEGEEGMIRGRCIPGRKKQHK >ORGLA03G0064800.1 pep chromosome:AGI1.1:3:4425741:4428306:1 gene:ORGLA03G0064800 transcript:ORGLA03G0064800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1P8F2] MMMMNYRALWLWLSIAIVFSVQSCRALAAGEGSKEADKIAALPGQPPDVKLQQYSGYINVNETSGKSLFYYFVEASVDAAHKPLLLWLNGGPGCSSMGIGAFQEIGPFRVDTDGKTLCRNPHSWITAANLLFLESPVGVGFSYAAVKPQEVYSTIGDNMTAHDSHTFLLRWLDRFPEYKTRDLFIVGESYAGHYVPELAVTILDNNLLPHATPIKLKGIAIGNGILEFAAEQTQLYEYLWQHAFISDSAHALITQSCKYPDDHPSALCESARKAAYSRIGNIDIYNIYSSTCHEQKVRPSASKCMDLADPCSQYFVEAYMNQPQVQKTIHANTELKYPWTRCRVYNLDHFGDSPKSMLPYIKAVITGRIRIWIFSGDLDAMVPVTATRQSMERLQLRVAADWRPWSADGKDVAGYVIAYDGLVFATVRGSGHMAPIDQPERALVLVSSFIRGQPLPPPQPQHDA >ORGLA03G0064700.1 pep chromosome:AGI1.1:3:4416476:4425226:-1 gene:ORGLA03G0064700 transcript:ORGLA03G0064700.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGAGRRRSRAGAGRRRSRAPTRPWPSTTSAPGRIPLPPRRRRSPRLPMPPGTTSCRTRPRAWPLEAEGACCSSSTPTPTTATGSSPPPSSWSAPTATEXVKVLALTDHDTMAGIPEAVSAAHRFGMRIIPGVEISALYSPKEITGTGEHVHILAYYGMCGPSRFDDLERMLSNIRDGRYLRARNMLAKLDRLKVPIKWEHVTKIAGEGVAPGRLHIARAMVEMGHIENIRQAFNNYIGDDGPAYATGSEPFAETVVQLINRTGGISALAHPWALKNPDAVIQALKGAGLNALEVYRSDGKVDGFSELAEKYDLLKIGGSDFHGRGGKDESDIGTVKLAITTLCSFLKMARPIWCSAMKDILLKFAEEPSTTNLGKMVMFGELINFNGFSSTGSGMDIVNLCLSSWLSNNGMEEVELEEVRLKLAHCVGKR >ORGLA03G0064600.1 pep chromosome:AGI1.1:3:4410779:4411678:-1 gene:ORGLA03G0064600 transcript:ORGLA03G0064600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVDWCGRGSNLPAAMYDMVVDSKELMGALAPSMVSFSYPCSEQSASSLLAGANYLTPAQVLHVQAQLQRLRRPGAASGCLAAAPPLPMKRHGAVAVAAAAAAAARAPVKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDSAAFRLRGESARLNFPELRRGGAHLGPPLHAAVDAKLHAICHGMDLPQPQPQTQSNATTTTMSTTATNTPSPFFSSESPVVKSEPDCSASESSSSADGDVSSTGSSDVVPEMQLLDFSEAPWDESESFLLHKYPSLEIDWDAILS >ORGLA03G0064500.1 pep chromosome:AGI1.1:3:4405802:4406617:1 gene:ORGLA03G0064500 transcript:ORGLA03G0064500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRALPPPVPPASPDPAASSGAVSTAFGSPLDPVAARPHQPRDTYVVHVQKDQVYRVPPPENAYLAERYRAEGGGGGGGKGGACSPCALRTLGAVLAAALLLGAAAVLSTVVLRPDAPSFVVDNLSVHTNASQQHHVAYDFFLTAINPNKVTALWYGGRGTARLAHKGNALAKGGVGEPEDGGEDAMGFNVLLHGTQRDGRTPRAVEKALRGSKGAVTLDLAVEFAVQVHAGALGFERRTLAVSCHITAAGLRKDVHISSQTCKSRFGN >ORGLA03G0064400.1 pep chromosome:AGI1.1:3:4399283:4403141:-1 gene:ORGLA03G0064400 transcript:ORGLA03G0064400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCAKVVAAAAAEEREMELLLSEIPQVTAPHGQRGGRGGGGGGGGGAAQCYGLHGPARYHAHAGAPARYGGDPCFPLVLNRRDDGGGQQGGARGAIHVPLSGGFASSPASSTSAGSAPSPGSDRFVGRSPSPMVQATTDEDTERLASQLDGLLVGDAPAADALAAALMPPQGSPASAAKNVYLPDVSAVHGAYNNGYNFGAPGYSLHHEPGVLADQAMASGYVAPSQCFPVDVGLDGYGGFPPSLGTSVGSFMYTRTRNSSGIGWEQGLVHPDHARPVLLPGQSGAEHNWGYAGTGQISLDSRGRSLPKSPYEYSVAAARDIGYMKGGFNQMEPFCDGRKNVPFLNRAKERRFKQHVNNRSVELESPGMLMYENIVELESPRMLRYENMVGAKGYIYFMAKDQNGCRFLQQKFEEGKDQADLIFEGIIDHIPELMANSFANYLVQKLLDVCDEEQRLRIIAVLTEDPVKLLRVSLNSHGTRSIQKLIETVKTRKQIMLIISALQPGFIHLVNDPNGNHVIQKCLKNFDAEENKFIFEAAATHCVEMAINRHGCCVLQSCISNAYGEYQIKLIMQICADGLYLAQDQFGNYVIQYVLDLKIPFANAQLASLFQGNYVYLSKQKVGSNVVEKCLKVFPDDDKAAIIWELISASHFEQLLQDPYANYVIHTALVQTRGHLRSALVNAILPHEEAIRTNPCCKRISKVLSRR >ORGLA03G0064300.1 pep chromosome:AGI1.1:3:4394250:4396457:1 gene:ORGLA03G0064300 transcript:ORGLA03G0064300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDATYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVTDRQSFLSISKWIEEVNTQRGGDVIIVLVGNKTDLVDKRQISTDEGEAKAQEHGAMFMETSAKAGFNIKPLFRKIAASLPGMEALSSAKQEDMVDINLRPAASGQIPSGAEAQEEQKAGGCSC >ORGLA03G0064200.1 pep chromosome:AGI1.1:3:4382622:4383538:-1 gene:ORGLA03G0064200 transcript:ORGLA03G0064200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQSALLLPEASRRPPRPTCLPLVDSVASTATSKKRKRAGVDDVGEGESGEVGIELCFDAAPLPLEWQRCLDIKSGQIHYYNTRTHKRTSRDPRAEEPPAPAPESHHRRAAPAEEEEEEEAANYCAPPLGLDLELNLTFEPRRVPIQEAKKHRSSAVETTTKPAAAVAAEKLALELPAGGASREMVAAVCARCHMLVMMCREWPACPNCKFVHPTANQSSPPPPPPPEPAPLKLGLQLLCCKD >ORGLA03G0064100.1 pep chromosome:AGI1.1:3:4375283:4378073:-1 gene:ORGLA03G0064100 transcript:ORGLA03G0064100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G64970) TAIR;Acc:AT5G64970] MPWLEMWLPPAGSGGGGEGMAAGLFLDGEAAHGALLAAMPGISASFGVRQRRPGFVSLTMSVKGGRGFVSGPVGLLASGEEKGARAEEAEALVAGRRATEEEVVEASEGKVVEEVKEARAGAGAMNMTKHLWSGAVAAMVSRTVVAPLERLKLEYIVRAEQRNLFELIHAIATTQGLKGFWKGNFVNILRTAPFKAVNFYAYDTYRKQLLKWSSNDETTNFERFIAGAAAGVTATILCIPMDTIRTRMVAPGGEALGGVIGVARHMIQTEGFFSLYKGLVPSLISMAPSGAVFYGVYDILKMGYLHSPEGKRRVSTMKQQGQEANALDQLELGTVRTLLYGAIAGCCAEAATYPFEVVRRQLQMQVKATRMNAFATCLKIVDQGGVPALYAGLIPSLLQVLPSASISYFVYELMKIVLKVE >ORGLA03G0064000.1 pep chromosome:AGI1.1:3:4363665:4370923:-1 gene:ORGLA03G0064000 transcript:ORGLA03G0064000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRYAIAPQLDIEQILKEAQRRWLRPTEICEILKNYRSFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSIDVLHCYYAHGEENINFQRRSYWMLEEDYMHIVLVHYLEVKAGKLSSRSTGHDDVLQASHADSPLSQLPSQTTEGESSVSGQASEYDETESDIYSGGARYNSFSRMRQHENGGGSVIDDSIFSSYVPASSVGSYQGLQATAPNTGFYSHGQDNLPMVLNESDLGTAFNGPNSQIDLSLWIEAMKPDKGTHQIPLYQAPVPSEQSPFTGGPGIESFTFDEVYNNGLSIKDVDGDDTDGETPWQIPNASGTFATVDSFQQNDKTLEEAINYPLLKTQSSSLSDIIKDGFKKNDSFTRWMSKELAEVDDSQITSSSGVYWNSEEADNIIEASSSDQYTLGPVLAQDQLFTIVDFSPTWTYAGSKTRVFIKGNFLSSDEVKRLKWSCMFGEFEVPAEIIADDTLVCHSPSHKPGRVPFYVTCSNRLACSEVREFDFRPQYMDAPSPLGSTNKIYLQKRLDKLLSVEQDEIHTTLSNPTKEIIDLSKKISSLMMNNDDWSELLKLADDNEPATDDKQDQFLQNRIKEKLHIWLLHKVGDGGKGPSVLDEEGQGVLHLAAALGYDWAIRPTIAAGVNINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGAVTDPTPSFPSGSTPADLASANGHKGISGFLAESSLTSHLQTLNLKEAMRSSAGEISGLPGIVNVADRSASPLAVEGHQTGSMGDSLGAVRNAAQAAARIYQVFRMQSFQRKQAVQYEDENGAISDERAMSLLSAKPSKPAQLDPLHAAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIIWSVGIVEKVILRWRRRGAGLRGFRPTENAVTESTSSSSGNVTQNRPAENDYDFLQEGRKQTEERLQKALARVKSMVQYPDARDQYQRILTVVTKMQESQAMQEKMLEESTEMDEGLLMSEFKELWDDDMPTPGYF >ORGLA03G0063900.1 pep chromosome:AGI1.1:3:4358322:4361390:-1 gene:ORGLA03G0063900 transcript:ORGLA03G0063900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein (TAIR:AT5G51150.1); Has 323 Blast hits to 315 proteins in 124 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi /.../; Plants - 73; Viruses - 0; Other Eukaryotes - 45 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G34630) TAIR;Acc:AT1G34630] MAPTSPEELKCAANGGCAAASPGGTPRAGHYLPAVPAAVEGELRWLRRCVEAAAKGFAIGAGLKGGLALFSVLVRLRSRRRSPRSRKVGAMTNEEAVVLALKETVRYGLFLGTFAGSYVSVDECIAGIWGRKRTARWRSLLAGLIAGPSMLLTGPGTQHTSLAIYILMRAAVLASRCGIKSKRFGNICKPLTWSHGDIFLMCLSSAQILSAYILSQESLPSTYKAFLNKHGGKDLTILQGVKEVVNHTAFSNLASIEKYYKSVGVDLKLDPNMKVPCSIVHGNQSCAGHFITFLFQAYGRAVPVYVPVYLVPALVVHRQDLLKRPYPIIGKSLLGTARSSLFLSVYCASAWAWTCLLFRIFQSCNTPLVVLATFPTGLALLIEKKSRRIEIALYCFARAIESFFTCMTDAGLCPPILQIKRADVVVFSMATSIIMHCYAQEREVFRSKYLNVLDWVFGVPPPSDEESNKDFPSDDGTKKIC >ORGLA03G0063800.1 pep chromosome:AGI1.1:3:4352855:4356408:-1 gene:ORGLA03G0063800 transcript:ORGLA03G0063800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKSTGDVEDNMLTCLADLFSQISNQKKKTGVIAPKRFIQRLKKQNEIFRSYMHQDAHEFLNFLLNELVDILEKESKVVAEPCENSSLKKNSNGPINVQLNGTKKEPVPTLVHKCFQGILTNETRCLRCETVTDRDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPNILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLINTVDNSDLEYSLFAVLVHVGSGPNHGHYISLVKSHNHWLFFDDENVEMTDESMVQTFFGSAQEFSGNTDNGYILFYESLAEKS >ORGLA03G0063700.1 pep chromosome:AGI1.1:3:4337483:4340380:-1 gene:ORGLA03G0063700 transcript:ORGLA03G0063700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISCFSALLAGKRKLSKVTSKIGYGKKSGGNEFQKVKPVEFMEGTDTVDIGKGGGDIVLACDTKVVAFNAAELACEGRDKDDDMVSVKRDTSDVDLVAGGDADSSGYNSDAADKDASSAAAAPDASEPGVGLMVPAMASRLERSCSNIETARRGSKAFELPAKSLSYGDLMALPAGGSATATPVGAPDDSPAASVKTTCSADHVMLKKCSSSQVLPSRSRKLWWRLLLRSHRNLHRPAATVPAAVPSAEQRHDGYASDTLDAGAATADVKNKGIAVGHEPIPNQWMAFSSEATSLDRVSAWVNSLVDNPFKTNEECIVEHDDDDDDTARPHCTEIGEPSSFGGKFPAQARRRMAGEAIKANSIIQTLTTSSSVAHISGMGLTVIPVISPFSSLRAVNLSGNLIVQISSGSLPKGLHSLDLSRNKISVIEGLRELTRLRVLNLSYNKISRIGHGLSNCGAIRELYLAGNKISDVEGLHRLLKLAVVDLSFNKITTTKALGQLVANYSSLRALNLVGNPVQTNIGDDALRKAASGLLSRLEYLNKQPVRPQRAREAAKDSVAKAALGNGGWSSRRRPTPSSRRLSQSAGSSVKNRGRDNGSGSHRGSRSRSKSRPHQGFSLARK >ORGLA03G0063600.1 pep chromosome:AGI1.1:3:4333418:4335606:-1 gene:ORGLA03G0063600 transcript:ORGLA03G0063600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G60600) TAIR;Acc:AT1G60600] MPLAGIALAPLLVSHLAPPHHRRSSVASAAAAAARRPRAVQCSATATAASGEGAGDDVELSRGTLLWRAAKLPIYSVALVPLTVGSACAYHHVGSFFGKRYFVLLVASVLVITWLNLSNDVYDSDTGADKNKKESVVNIVGSRTMTQYAANISLLFGFMGLFWAFAQAGDARFILSVTCAIICGYVYQCPPFRLSYRGLGEPLCFAAFGPLATTAFYFSNSSRNISSGTALLPLSKTVIASSILVGLTTTLILFCSHFHQIDGDRAVGKMSPLVRIGTKTGSRLVTLGVVTLYVLLAAFGMSKSLPSACTVLCALTLPVGKWVVDYVLKNHEDNSKIFMAKYYCVRLHALFGMALASGLVLARNGTLV >ORGLA03G0063500.1 pep chromosome:AGI1.1:3:4330417:4331166:1 gene:ORGLA03G0063500 transcript:ORGLA03G0063500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDKSSLPPPPPPPPPASSASAAAASSALKALNKSSYKISKQTSSSSLASMKASQSPPPPPHPRRPSPPPLPPPPAPPSSAPAAVDHPPPQPPVYNIDKSDFRDVVQKLTGSPCHLLPPQAQPPAPAAAVSMPPPQPPPPTIMAPPPPPPPSAIPTRLHRIRPPPLAPPRPPPILPPAPPALSPLPPLPAVCMTAESPISAYMRRLRGMPSPIHVPTSPLGFGCLPSPRTPPSPGVPMPATSPRVRDP >ORGLA03G0063400.1 pep chromosome:AGI1.1:3:4328147:4329017:1 gene:ORGLA03G0063400 transcript:ORGLA03G0063400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAKLDSGYTLRMLEAKRAGGGSGTGGLAGSKWHFIKILQMKWHMIYLLMELTPCQVRNVKIHGYIEKDKIVKAGRYIIGLICNYRXINXXNL >ORGLA03G0063300.1 pep chromosome:AGI1.1:3:4325045:4325340:1 gene:ORGLA03G0063300 transcript:ORGLA03G0063300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGGRSPARLTPRPPRRARHHPQGASQAQWHVQRRAGARREARLRLARAREQAWVLLGRRRQREGAGWLQEPRQRRVN >ORGLA03G0063200.1 pep chromosome:AGI1.1:3:4318267:4320711:-1 gene:ORGLA03G0063200 transcript:ORGLA03G0063200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSLKPIRCKAAVCRAASEPLIVEEIVVDPPKAYEIRIKIICTSLCHTDVTLWHKVDPAFPRILGHEAYGVVESVGENVEGFAAGDTVVPTFMGQCDSCASCAAEWTNQCTAVPFTMGPGMRRDGTTRFWDGEGKPLSDTVAVTSFSQYTVVDVNQVVKVDPAVPPKIACLLGCCGGTGEPEIRRYIELAWGRSSMEVGQSATRFVGGCLRAGIRRIGGKEFGVTDFVNPSQLGDKSVSEVINAMTDGGADYSFECIGISSVMTEGRGKTIILGVEKDSQPLCLPSFEFLFGKCVMGSLFGGAKPKTDIPILAEKCMNKELELEKLVTHEVGLTEINTAFDLLLQGKSLRCIIWMDK >ORGLA03G0063100.1 pep chromosome:AGI1.1:3:4302406:4303843:-1 gene:ORGLA03G0063100 transcript:ORGLA03G0063100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYENCKVIDLGVTNCRVVESVGEHVEGFAAGDPVVPTFLGQCTECVDCASERSNVCSMYRFAVRPGMPRDGTARFRDRHGAPIHHFLGVSSFSEYTVVDANQVVRVDPAVPPATASLLSCGATTGVGAAWKLAKVEPGSSVAIFGLGAVGLAVAEGARICGASTIIGVDLNPEKHELGKKFGVTHFINPQELGDKPVSQAIIEMTDGGADYCFECIGLASVMSDAFRSSREGWGKTIILGVEMHGAPLSIPSLEILNGKCVMGSLFGGVKPKQDIPILADKYLNKELELDKFITHEVPLKDINTVFDLLLQGKSLRCTIWMDK >ORGLA03G0063000.1 pep chromosome:AGI1.1:3:4300412:4301845:-1 gene:ORGLA03G0063000 transcript:ORGLA03G0063000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLAHPRSPHHHGPRILAHIAVQRLKRCSIRTNKMQQSRQDVDEHVAELRGELRKAREERDRAHRVLEVSEWKALASANDRTTIETLEAELDASRESEKRMLESLAMQTKQLEMTKIELEEARLENASLRETIQRLEAIAVPVATTPRGRYDRDYQRVHGELRMALVAEDKNKKAMEELVLALKEVNGELHTTRQLLARSQHEAETARLESDRLHVSLKRKDDKLRALSEEVARLRADAEESFAAWRGKEAGFTSCMKSTESELAETRRENARLLESQRSGRDEIAKLRDILRQAVKDTKVVKEALEEARGENAALKEMLGDKDTAIKCTKQELECLRVTEAAARDSVKELQSLLVATSSSPTAAGMKLSASPSPTASGIKLDMEDSSSSHGSRELHGLIKCHSEARVKPPAGLTLPRRRSDNFEGSVYDIFGSMEDQKSELSAFSTMPRSLPARRRVMMRKVGSLFRFKSFSIK >ORGLA03G0062900.1 pep chromosome:AGI1.1:3:4295909:4296439:1 gene:ORGLA03G0062900 transcript:ORGLA03G0062900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSEWEDRWCRRHPEHRMSKGVCPYCLRDRLAHLSASSSATTTTRASSSAQSSGYSSGSPTRYAALSADVSSVHVVGGASSSFVNVAAFSQPLMPSSVSRKPAGGEQEEPGREASGKGKQQEVKRKKSGKKKKIGRFLSRLVGAEKRRQSGDADGGDLFHSKTMKEKTAHKWVFF >ORGLA03G0062800.1 pep chromosome:AGI1.1:3:4287801:4289023:1 gene:ORGLA03G0062800 transcript:ORGLA03G0062800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPTSSSRKSKKQGEDLAFSEGSLPAVTMEQKDEAEMEEVDDEEEEEVDEDIAGGHAAQSPSPSCGLGEKKRRLALEQVRALERSFDTDNKLDPDRKARIARDLGLQPRQVAVWFQNRRARWKTKQLERDFAALRARHDALRADCDALRRDKDALAAEIRELREKLPTKPADTAASVKVEAGNDAAAGAAAATVCKDGSSDDSDSSVVFNDEASPYSGAAFIGFGPSFLVDDASAAIVGCSSSLPALESKWHGPYSDDSCKGGVYGFTEEWLAACSGEMAGNDAAGFFSDEHASNLNFGWCASGNEGWE >ORGLA03G0062700.1 pep chromosome:AGI1.1:3:4273291:4274486:1 gene:ORGLA03G0062700 transcript:ORGLA03G0062700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPATATKLAVAVYVEEAAGAPVGAPVGAETEEGVTADGVTAEGALAAGASVGGAGGDAMGDGDAAVGGVATGAGAVAGDLAGGAGSGAILGAGTGAAPGACAAAVTARRATMAATTAKRAMVFRLGNRHRVAGREKRLFYQVIIQRLVPRWTVPCCADRWPALRAVTAGPANMCCAVLPPSAM >ORGLA03G0062600.1 pep chromosome:AGI1.1:3:4266909:4268170:1 gene:ORGLA03G0062600 transcript:ORGLA03G0062600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQGHFGYGCGRDSPAYGMPWCDVLAAAGGGGGFGEFYGVDEWDDQLQVASVDEWEVASKDNSDASTEGKAAATERAAPVAAGRRKRRRTKVVKNKEEIECQRMTHIAVERNRRRQMNEYLAVLRSLMPASYSQRGDQASIVGGAINYVKELEQLLQSLEVQKSLKNRSGAMDAAGDSPFAGFFSFPQYSTSPRTGCSAAASAGSSGSASSVVMDDTAGSAESGRQAAAIADIEVTMVEGHASLKVLARRRPKQLLKLVVGLQQLRIPPLHLNVTTVDAMVLYSFSLKVEDDSKLGSVEDIATAVHQILGSIQQQEAVLSIS >ORGLA03G0062500.1 pep chromosome:AGI1.1:3:4254850:4255911:-1 gene:ORGLA03G0062500 transcript:ORGLA03G0062500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRIALAVLVTLLLSAFRPCLAQQSNDDTSKHHRSATAGGFTPTTVVVLVALITAFFLLTVFSVLINRCAQARAPPRRAFRSTASHQPVGGAAAASRASRGLDKEVVEAFPTAVYGDVKARMAAKSGPLECAVCLAEFADSDELRVLPACCHVFHPDCIDPWLAAAVTCPLCRANLTAPPVSLAAAESSDLTAPEEAVQEEESEELDEASLMATFTPESVIDFGATHDHEFDRAGYPHYRRTQSAMDAAPDRHTLRLPEHVMKELAADRRHRRAASLAGYPDSVERTPRWLTSLWRSVSWQRQSRADWDTGEEHGGSKRVHPVAGAQDETPSGSGSDGSKENSDSDALNRV >ORGLA03G0062400.1 pep chromosome:AGI1.1:3:4248417:4253913:1 gene:ORGLA03G0062400 transcript:ORGLA03G0062400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1P8C8] MGDGRGDEEECRVALLNGGGAAKEGWQVVSGGDGKLRRRVWEESRKLWVIVAPAIFSRVVTYSMNVITQAFAGHLGDLELAAISIANTVVVGFNFGLMLGMASALETLCGQAFGAKKYHMMGVYMQRSWIVLLACAVLLLPMYIYAEDVLLLTGQPPELSAMAGRVSVWFIPLHLSFAFLFPLQRFLQCQMKNFASAAASGVALCVHVAISWLLVSRFRFGLVGIALTLNFSWWATAAMLFAYVACGGCPETWNGLSLEAFAGLWEFLLTEFVCLICSLENWYYRILILLTGNLKNAAIAVDALSICMTINAWELMIPLAFFAGTGVRVANELGAGNGKGARFATIVSSVTSLVIGLFFWVLIVGLHDKFALIFTSSDVVLDAVDNLSVLLAFTILLNSIQPVLSGVAVGSGWQSMVAYVNIGTYYLIGIPMGILLGWLFKLGVLGIWAGMIGGTAVQTLILAIITIRCDWDKEAMIASTRMDKWSQVR >ORGLA03G0062300.1 pep chromosome:AGI1.1:3:4240622:4241740:-1 gene:ORGLA03G0062300 transcript:ORGLA03G0062300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVACRQRRQCVAAGEVPAVAAGHIQREPRPPRRRRAAPPPRLLRARREPAVAVRHAPDLRLAAAAAAAVRVALPRPQAPGSANLLLPRRLVGAAAVLGGLYAVSCFVYALGSQALPLSTSSLLLATQLAFTAVFAFLFVGLRFTPFSANAVVLLTIGPAVLGVGPSSGKPAGESSRAYWTGFCEAIGAAALAGLVIPLVEVATARYGRRTGPAARVPPPYATVMQMQAVMGAAGTAVCVLGMAIKGDFQAVAREAAAFGLGAANYYLVLAWDAVSWQLLNLGIMGLITCASSLLAGIMIAVLLPLSQVLAVIFLHEKFDGTKGIALVLSLWGFASYLYGEKAQKKEEAQKMREREQEVALAQKTADVESAAP >ORGLA03G0062200.1 pep chromosome:AGI1.1:3:4237721:4239541:1 gene:ORGLA03G0062200 transcript:ORGLA03G0062200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAYGSREGLTARPAASSSSSEISLQIDPITADLDDHIVGLRGQVRKLRGVAQEIQTEAKYQNDFISQLQMTLTKAQAGVKNNMRRINKKIIQNGSNHLFHVILFALVCFFLVYLVSKFSRR >ORGLA03G0062100.1 pep chromosome:AGI1.1:3:4234117:4236094:1 gene:ORGLA03G0062100 transcript:ORGLA03G0062100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPAAAAAGGDHATATPAPATGAAAATASDYAHYPRLSPEDVAPPPPPPYHAAASSAPPYSGNPYVSSPAGGVAPASKNTMDTVKDVLGKMGKRFGEAARKTETLTGNFWQHLKTGPSITDAAMGRVSQITKVIAEGGYDKIFHQTFDVLPDEKLKKPYACYLSTSAGPVMGVLYLSNKKLAFCSDNPLAYKVGDKDEWSYYKVVIPHTQLRSVNPSTSRTNASEKYIQVVSVDNHEFWFMGFVYYDSAVKNLQEALQEAQNLRA >ORGLA03G0062000.1 pep chromosome:AGI1.1:3:4226255:4228275:-1 gene:ORGLA03G0062000 transcript:ORGLA03G0062000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDDDQPPPLPAQKRPRASPPPDQVLDNVLETVLQFLDSARDRCAASLVCRSWSRAESATRASVAVRNLLAASPARVARRFPAARRVLLKGRPRFADFNLLPPGWAGADFRPWAAAVAAAAFPALASLFLKRITVTDDDLDLVSRSLPASFRDLSLLLCDGFSSAGLASIASHCRGLRVLDVVDCEMNDDDDEVVDWVAAFPPGTTDLESLSFECYVRPVSFAALEALVARSPRLTRLGVNEHVSLGQLRRLMANTPRLTHLGTGAFRPGDGPEDVGLDIEQMASAFASAGRTNTLVSLSGFREFEPEYLPTIAAVSGNLTNLDFSYCPVTPDQFLPFIGQCHNLERLYVLDSVRDEGLQATARTCKKLQVLHVLPLNALEDADELVSEVGLTAIAEGCRGLRSTLYFCQSMTNAAVIAISQNCVDLKVFRLCIMGRHQPDHVTGEPMDEGFGAIVRNCSKLTRLSTSGHLTDRAFEYIGKYAKSLRTLSVAFAGDSNLALQHILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFLWMSGCNLTLQGCKEVARRLPRLVVELINSQPENERTDGVDILYMYRSLEGPREDVPPFVKIL >ORGLA03G0061900.1 pep chromosome:AGI1.1:3:4215226:4217118:1 gene:ORGLA03G0061900 transcript:ORGLA03G0061900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDKSAAGSSSSPPRPVAAATAAVCCMCGDRGLLPELFRCAACAVRSQHTYCTERYPKAESYGTCNWCLRADQGGGGGGGGAAAASSSMARPPTGARAAAGGDPAASSRSTAAAKVVAARGHFAADLSKPIKKPPPAPAPLPPPSPAQRRILLRRSASDLGGRVRRADHDAPPPLSPGVARGRPRVRRYKLLEEVITTS >ORGLA03G0061800.1 pep chromosome:AGI1.1:3:4211601:4211906:-1 gene:ORGLA03G0061800 transcript:ORGLA03G0061800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDRGCSLVAVVQHQRKHLPCVPLPLQLEKRSSTVLIDSPCNQKVWLVLPIQQAGTALPCTRPTGHASCRQRRRRTQELMFITELLAANLPGRRRRVQMT >ORGLA03G0061700.1 pep chromosome:AGI1.1:3:4203466:4210537:1 gene:ORGLA03G0061700 transcript:ORGLA03G0061700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G10940) TAIR;Acc:AT5G10940] MEMDHAAAEKARWRFSDGGVTDLLQARSLHGTADINKRVQFHSSLVQRLALEKEMEGHLGCVNAIAWNSKGSLLLSGSDDTRIGIWSYANRELLHDIDTGHSANIFCTKFVPETSDEVIVSGAGDAEVRVFNLSRLSGKRPVEISMEPSAVYQCHSRRIKKLAVEIGNPNIVWSASEDGTLRQHDFRECSSCPRARSTNQECRNVLLDLRWGAKKSLADIPRQPLALKSCDISTVRPHQLLVGGSDAFARLYDRRMLPPLSTCQTKKEPPPCIKMFCPMHLADTRKSNLHLTHVAFSPNGKEVLLSYSGEHVYLFDVDLENTSSVRYTADDVQEQLCLPPFNKEPAKLISKQQKFPVNRASRNVCRVDTFKKLMQVATKSLEMGTNLMLGVEACSTILQAVDHNIDDNMKHDCLCTRAGLYLKRRWKNDVYMAIRDCNSARCIDSRSFQAHLYMAEALLQLGRLKEASEYVDALNTIVPPYSQSVKQVENIKEQLFAAELEKNKKDQVGNTNTDARHGRLRSLSDLLFRSDASGSSSQEGREDSDYDDEMELDFETSASGDESRDSDPGFVRGSLSLRFHRRDSQTDEHSGENGSAESTQNGDSAYQPEVAVDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLAGDGAVVNCIQSHPYDCAVATSGIDNTIKLWTPDANATSMIAGPEIDVSSVIENNQRKLSRNREXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXS >ORGLA03G0061600.1 pep chromosome:AGI1.1:3:4193099:4201389:1 gene:ORGLA03G0061600 transcript:ORGLA03G0061600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPRGRGAAAAAAAAAAAAAAAQAAGREESFSLESSGLPAFAAIIRLTPDLVDEIRRAEESGSGARIKFNPNMYNSSENIIDVGGKEFKFTWASERGELCDIYEERQSGEDGNGLLLECGSAWRKVNVQRILDESAKNLVKMRSEEAERLSKSRKSIVLDPANPSVKSQAKSMAAAAVEGNMRRMNWKQKKEFYKKNPAAVIAPTKSVSKVKLSNSVPKANFSTSPALSPEQPGPSIPSVPTGSDVNNEVITPFDLNKDENSKVEKSTPNRVSQGTSRRASVVSASTDDNTNELRSLLISVLSENPKGMNLKGLEKAVADVFPNASKKIDSIIKNIAIFQAPGRYLLKPGLEAESSKRYAPCSGISIDDNTEEAGPSLKMDDPDIFERIDIGGSPVSAARDEKVNNDSDGKAGSSSESGSGSDSDSESSDSGSDSGSQSRSAASGSGSSSDSDSDASSSSKEGSDAFVDITSDDDKGGAHRKAADELKLSSSPRDLTALDGDDELIDIGTNLDYKSASPHIDLNNFNDNNNESAYTAVPTDSFDASHLEKPPEIPGSKNMVNTSIDPSRIDSKYAANEMSYEDLFGDPLAPSSENLPKEETSQSTKHHGSRRKSVSKDGSNHGQDRINEKGAKPKLKRCSANENSSVMSGSAKRAKADYLGTTSSLSEQRQTLPLDKHVNEKLSKETGNVSWDAHSDLHAHDSSPAVKVRHLASGNLQKINQSPNVLNQAMHSERTQDKVEKSISKKKADKVQKPWNSLDGNLGRVSHAEDPYANFDDSDDSATRKKARYGGTQVEDKMLSRSKDANIDVNSMVSAKSAKGNVGYDGVMPFPDSNESNGQPSNLQRNNAESSPHGKKVLQRELSDLELGEFREASLENETGRAKKQFERNSSSKSLDGKLANVDNSIPSMANRKGSLSSSQDQRKPSPREFGIGGNINQEGFPKKASGYDFDNNRPQQRGNVPQSQQLSKPDNPVPEVILHPDQPGEKPGKRETRVQVGMLDQVGPKKIGKTTPKLPQNGSRNAIGSRTRKSISPAENEERSRNNSLIENDASRKRRDSSSDEDNLFFSKYDKEEPELKGPIKDFSQYKDYVQEYNEKYKVYSYLNIQIEKTRSEFLKVQEDLNVAKERDKDLYYNIMERIRDMYHESGTRHKLMKKVFSLLHEELQTIKRRIKDFADSYSNE >ORGLA03G0061500.1 pep chromosome:AGI1.1:3:4187830:4190181:1 gene:ORGLA03G0061500 transcript:ORGLA03G0061500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3223) [Source:Projected from Arabidopsis thaliana (AT5G62440) TAIR;Acc:AT5G62440] MAEPEVVVAAGGEAMEAEAAEPAAANPSQKREREEGDDSAAGAAGEEAAEGAAAKKQKVEGEGEAKGAEDEAKGEEEEGAKEEEAKPVKLGPKEFATGVEMFDYFFALLHSWAPQLEINKYEHMVLEDLLKKGHAESDRKIGAGIEAFEIRNHPVFQSRCFFVRRVDGSSDDFSFRKCVDSILPLPEDMKIGNKSNGKKGGGHFKGGGGRGGGRGGGRGGGRGFRGRGRRGN >ORGLA03G0061400.1 pep chromosome:AGI1.1:3:4185786:4187115:-1 gene:ORGLA03G0061400 transcript:ORGLA03G0061400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASTTVPSIVVYVTVPNREAGKKLSQSIISEKLAACVNIVPGIESVYWWEGKVQTDAEELLIIKTRESLLDALTEHVKANHEYDVPEVIALPITGGNTKYLEWIKNSTKAN >ORGLA03G0061300.1 pep chromosome:AGI1.1:3:4183887:4185200:1 gene:ORGLA03G0061300 transcript:ORGLA03G0061300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT1G09750) TAIR;Acc:AT1G09750] MADTTTIPLLLLLLAATVAAAAELSVYHNVHPSSPSPLESIIALARDDDARLLFLSSKAATAGVSSAPVASGQAPPSYVVRAGLGSPSQQLLLALDTSADATWAHCSPCGTCPSSSLFAPANSSSYASLPCSSSWCPLFQGQACPAPQGGGDAAPPPAMLPTCAFSKPFADASFQAALASDTLRLGKDAIPNYTFGCVSSVTGPTTNMPRQGLLGLGRGPMALLSQAGSLYNGVFSYCLPSYRSYYFSGSLRLGAGGGQPRSVRYTPMLRNPHRSSLYYVNVTGLSVGRAWVKVPAGSFAFDAATGAGTVVDSGTVITRWTAPVYAALREEFRRQVAAPSGYTSLGAFDTCFNTDEVAAGGAPAVTVHMDGGVDLALPMENTLIHSSATPLACLAMAEAPQNVNSVVNVIANLQQQNIRVVFDVANSRVGFAKESCN >ORGLA03G0061200.1 pep chromosome:AGI1.1:3:4176392:4178968:1 gene:ORGLA03G0061200 transcript:ORGLA03G0061200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRFPLFGNSQQQQPDPNFQDNPTQPWYPQSVVGSSSHPSMPSSSNVGPHQRASDNSQSSSRAQPSPAEAAGIIARLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLRDELRKETVQLARENLEKEQRILELRNQCTIIRTTELAAAQDRLAELERQKDEIMRSYSPAALLDKLQKSMAKLDEESEELHQKFLEKDIDLPTFVQKYKKLRAAYHKQALLHLAGKTSLR >ORGLA03G0061100.1 pep chromosome:AGI1.1:3:4162974:4168737:-1 gene:ORGLA03G0061100 transcript:ORGLA03G0061100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGRGEGEGRAATGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFQFASTSMKQIIDRYNSHSKTLQRAEPSQLDLQGEDSSTCARLKEELAETSLRLRQMRGEELHRLNVEQLQELEKSLEFGLGSVLKTKSKKILDEIDGLERKRMQLIEENLRLKEQLQVSRMSRMEEMQPGPDSEIVYEEGQSSESVTNASYPRPPPDNDYSSDTSLRLGLSLFSSK >ORGLA03G0061000.1 pep chromosome:AGI1.1:3:4156609:4161675:1 gene:ORGLA03G0061000 transcript:ORGLA03G0061000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFFFPFFLPWIPMATAVNSRPPPPPPPPPPPHALPAVTTRRRGSSSSSPAAAKASVFLSAPRELLLVLCSLLVVAVLFVSSAAAAAAAAAEDGAEGSDGDLGGCLGFRDGCADRSALCFSPSAVESMLASEDDGVKEMDLVVSRDWGPPPPPSLGFRLPGHRGVVTCSSAADALITSRNGVGREDGGERWYNVASCQAPLVPDNWMRAMAGATPELDAADASTDGIFGSSSLDVEISPPVLDWGKSSLYVASMATLTVVNLNNDSALRVYEPFSTDPQFYVYGYDDLVLQPRENASVTFIFLPKLLGSSSAHLVLQTNFGGFIIQAKGMAVRSPYQLEPLAGMDVITGGRLERNLSIFNPFDDSLYVEEVAVWMSSFQGTKQSSHIVCQLGPFDESLEFTSLSSNWYTASSTEFGLPMIHIRPSEQWEVLPTQSSTVVELKLQPLSEGMVFGAICMKLRNCTSDRVDTVVIPMELEVRARTYYEPTNLVSVTFERVSSCAGVGSIISLSLRNEGTKLLRIVRVTEDNRDGSNNFEVKYLNGLILFPDTVTDIALIKYTSVPTDNSFDNCNIVVETNSSVGSSILIPCRDVISATLSYTASAVTESDGPFSEDELSANSRTGSLGSIVEVKGLQRMKPTITRAYKADDTVLRRWRSHGTKTGISVLTDQKMLFPIVQVGSQFSKWITVHNPSLEHASMQLVLNSEEIIGQCKTINDACEHTFSSRSPEVDSTETRFGFSLSGAAITEASVGPLESALLGPIIFRPSNQCMWSSMVLIRSNISGMEWLPLQAHGGRQSIVLLEESEPVWKLEFNLISDIQNKSALSKSEFTSPLCGQHLSKEIHVKNSGDLPLQVTKVKISGADCAVDGFSVDNCKGFSLAPSESIRMLVSFKADFSSAMVQRDLELAMTTGIFIIPMTANIPVCMLDQCRKSYLRSIHWKTLIFLFGTVSVFVLVFARGVPTSLSGGSQDCYIKIHDGKGTIDKPVKPSFLQCSSKTSRSTREHKRDKETHKYPADIHNSPKRTEDKNNADEQLNTTSTMSLPPSNTVEDKVSIEAPETSGNLTIRVARDKGKRRKRKVGGAGLAAKFEVSSSHSGNSTPSSPLSPSSTPKQSWSFSGTPSELKHSSKLENGSDIEARPPSARNNHEKSSWLQTAKEQPAPPPSVTSGNPSPSPSPSPAAPTNAWRSPLLSSPSPIAPRSRAPGSNLMKDKAVKRTEGAATTTTTRKKDFTYDIWGDHFSGHLLGKAREVAPPCYKMFAASEGASNSFFAREPQALVTKPPSPSPSSSSSSSPPVTRGRGSLPSDVASGYGIN >ORGLA03G0060900.1 pep chromosome:AGI1.1:3:4140023:4143912:1 gene:ORGLA03G0060900 transcript:ORGLA03G0060900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uroporphyrinogen-III synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G26540) TAIR;Acc:AT2G26540] MALSSSSHLLPFSRPPATFPRARHAGGGRGRAGATGRFMACSSPPPPDVVVTRERGKNAKLIAALEKHNVQSLELPLIKHVEGPDTDRLSAVLRDEKFDWITITSPEAAAVFLEGWKAAGNPKVRIAVVGAGTERVFDEVIQYNDGSLEVAFSPSKAMGKFLASELPRTTETTCKVLYPASAKAGHEIQNGLSNRGFEVTRLNTYTTVPVQDVDPLILKPALSAPVVAVASPSALRAWLNLASQVDNWGNAIACIGETTASAAKKFGLKSIYYPTTPGLDGWVESILEALRAHGQSKEAPGC >ORGLA03G0060800.1 pep chromosome:AGI1.1:3:4135926:4137296:-1 gene:ORGLA03G0060800 transcript:ORGLA03G0060800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITSTAMLAPVYGTPHPLAGAEVQLTVFDRAAFDLYVPSVLAYRAPAPSNEAIKEGLLRAVAAYPHLAGRLAVDRHGRRFLHVNDQGVLVVEATVDGADLDDVLANSGRAMATDVADLYPALPEDNVGAALLQVKLVRYRCGGLVVGSICHHHTADGHSMSAFFTAWATAVREGEGFTAPTPFLDRAATAVPRTPPAPAFDHRSIEFDGGEAAAAGGGRSSYAAVSLDKIKDLTVHFTAEFVGELKARAGGRCSTFQCLLAHVWKKITAARDLSPEEFTQVRVAVNCRGRANPPVPMDFFGNMVLWAFPRMRARELLRATYGAVVGAIRDAVARVDGEYIQSFVDFGGAAAAGGGGGGDLVATAAAAGTMLCPDLEVDSWLGFRFHQMDLGTGSPAAFLPPDLPVEGLMVFVPSRAAKGGVDVFMAVAEHHVEAFERIIYSLEEGHGHHVGPCHL >ORGLA03G0060700.1 pep chromosome:AGI1.1:3:4133233:4133610:1 gene:ORGLA03G0060700 transcript:ORGLA03G0060700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAWWPLLAAAIPAVVAGQAFRVKRRRDEEQRLKAARGREKSSDEVFVCERVCTSKRMLKKVGAFSKDPIPETCVTVCGVSELDACADACARTVCVNQHQVPNWNDVCLKRCQSECLKLSSTLM >ORGLA03G0060600.1 pep chromosome:AGI1.1:3:4126174:4132414:1 gene:ORGLA03G0060600 transcript:ORGLA03G0060600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34310) TAIR;Acc:AT4G34310] MLRRGLLVVNRLRNVHLQRLPRHRTHCSSGPASAPSTSTTSSSAPLPVAAPPPPHHLAPRGGGGGGGPRRRLTPLLALSTLSLVTAAGTIYHISAWDLEGTVERSRASAARVVERMQHTCAAGKVLCRSLMSVLSSANHEVRSGFELRVAALLADIAAASAARRAAIVSAGGGAVVDWLLESVVRRATQAEAARALAHLLADPWVAPAVLGRPRAVPCLLQFIFSCQPKRGKKNSEYSSFNVSDHSKGRSMLVAALMDIITSNCDNADYSSFQPLLPSDADTRDIAAAIEVIEQGGMHFDDHDDNNSDDGDSGLKGIGIKVLGGTTVLGFSREINSLEVGNSDDGIVGASNSRILMQETATDSPLVEKLSSAAAPGLWDDLQREHVAVPFATWALANWAIASDLNRSRIQELDSDGHTVTTALKAPERTVKWHGAMVARALLEDQSLTLAPSVPDWCSSLLLTASRAAENGDMALAQMSLSTFLLSMIRCNESKFVIRQKGLHLLRSIAKKIENENAQSRMKESLAVALSLLYAGEVPLSLEETQRWSGILLRWLFDKSVSETTNLTAIKILSCILEDYGPASVPISQGWLALVLSEILGDNKTQNLKGTTQPQPERVKNQVDHHNASSATQILNQLATAVVKLAIVQSHYDPASGDKVPLFDFLSLEPFATALKNLNKKNPPKFDAADSASATLKGIKALAELCSEDGACQKRIADLGVLSLLRRILLGDDYEKLAAIEAYDASRIREVQDKNVSASNDSSNDTTSDPSSVRVPPAAHIRRHAGRLVTILSLLPNSKKEIISDDVWCKWLEECASGRVPCNDLKLKSYCRLTLLNVFCSEDPNTGSTSDEYPDSKSEYKRKCPQFGDALFLLNPELPLEVHLDNNGHEISRKKFKDDCCTEEGGDSETGDAPSNTSKYTPPLMDVVFVHGLRGGPFNSWRIADDKSSTTKAGLVESIDEDAGKEGTCWPREWLSADFPQARFLTVKYKTNLTQWTGASLPLQEVSSMLLRKLIAAGIGSRPVVFVTHSMGGLVVKQMLYQAKLNNYDKFLNNTNGLVFYSCPHFGSRLADMPWRMGLVFRPAPSIGELRSGSPRLVELNDFVRQRHSKGLLNVLSFSETQVTPIVEGYGGWALRMEIVPIESAYPGYGELVVLASTDHINSCKPVNKNDPSYADTLAFLEKILKSRLKESES >ORGLA03G0060500.1 pep chromosome:AGI1.1:3:4120841:4124331:-1 gene:ORGLA03G0060500 transcript:ORGLA03G0060500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRARCSTSAPAAGERRALPVDPLSLPRLLVSAATTPGAAAPAVSTLHAAALKLGVLPSSLPASNALVSAYSLSGLLPCALRAFSLIPHPSTGSYTAILSALSRHGRPREALSLFSAAAVARPDAELLSCVVSCCRRASASLPARAAHAYGVKTAPLLAFYASAGPALVALYAMCGRVSAAKRIFDRMDGEDVVSWNAMIGGFAGAGMNGEAWDCFREMRARGVRGNARTAVAVLGACDLESGRQVHGHMVRNHGDSGSNAILWNALMNMYSRVGCVDNAEHVFFEIERKDVVSWNVMIGAFAKNGHGERALELVDAMLRCGMQPDSVTFTTLLMACCHCGLVDEGLALFERFVENSALIPTMEQCACIVDLLSRAGRFREAAGFIDQMPIRPNAVVWGALLSGSRMHHDVDHAQIAFEKLVQLEPDNPGNFVTMSNIYAKAGMVEDAKRVRMMIDREELVKPSGQSRVQAIHHDLSTVLQSAATLMHPLPTVHLVSTIGYGQGLFTKRGFKGLFSTQGPLPSFAVLSGVHCLVVCLLRRLRGKDDIVNAGIAGCCTGLALSFPVNCNTCLH >ORGLA03G0060400.1 pep chromosome:AGI1.1:3:4109217:4110122:1 gene:ORGLA03G0060400 transcript:ORGLA03G0060400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVDKWPAAYPCRLPDKFYCALPDCTTTDRPVAPAPAASGSSGDFVWDALRAEAQDDADDEPLLRKFYHDLVLSRPSLESALASLLAAKLCIPGALPQDQLRDLLAGALAAHPEAGRAARADLAAARDRDPACAKMVHCFLYYRGFLALQAHRAAHALWSDNRRAPALLLQSRASEVFGVDIHPGARIGGGILLDHATGVVIGETAVVGYGVSILHAVTLGGTGKESGDRHPKVGDGVLIGAGASVLGNVHIGDGAEIGAGAIVLRDVADGTTAKPIIGKKAEPQRELPGVTMEQRWSD >ORGLA03G0060300.1 pep chromosome:AGI1.1:3:4095171:4097194:-1 gene:ORGLA03G0060300 transcript:ORGLA03G0060300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex second largest subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G37560) TAIR;Acc:AT2G37560] MALRGGHAAAAAGVSSGSEDDNEEAGFSRSYFLAKEKEPSSGKKRARAAGKLSDLNLVDEQVLRASLAEIPPKHEREVEALTRSYKEQYRNWLFELRCGFGLLMYGFGSKKMLLEDFASTTLSDFTVIVVNGYLPSINLKQVIVTIAEIFWEQTKLKRKRQTATRSQLQPFASQSIDDIISFLNNQTSDNGDDNVCLLIHNIDGPALRDTESQQYLAQVSCCPQVHVVASVDHVNAPLLWDKKMVHTQFKWSWYHVPTFAPYKVEGVFYPLILASGGHAQTMKTALVVLQSLTPNAQSVFRVLAEYQLAHEKEEGMHFSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLVKIRKHSDGQDCLHIPLVSDALEKLLQELT >ORGLA03G0060200.1 pep chromosome:AGI1.1:3:4092512:4094587:1 gene:ORGLA03G0060200 transcript:ORGLA03G0060200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVTGATGYLGGRLCAALAAAGHAVRAFARRSSDASGLPASVELAYGDVTDEGSLATAFDGCDAVFHVAAAVEPWLPDPSVFTTRHQEKTFCSEYEKSKVLADRIALQAAAEGVPITILYPGVIYGPGKLTTGNIVSRILIERFNWRLPGYIGDGYDRESFCHVDDIVNGHIAAMEKGRPGERYLLTGENLSFKQIFDMAANITNTKAPLFHVPLWLIEIYGWISVFISHITGNLPLISYPTVRVLRHQWAYSCDKAKRELGYSPRNLTEGLSEMLLWLKDEKLIKF >ORGLA03G0060100.1 pep chromosome:AGI1.1:3:4090461:4092151:1 gene:ORGLA03G0060100 transcript:ORGLA03G0060100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAVTGATGYLGSRLCGALADAGHAVRAFALRSAGGGGDVEAGLLPASVELAYGDVADVESLAAAFDRCDAVFHVAAAVEAWLPDPSIFITVNVGGLENVLKAARRTPTVKKIVYTSSFFAIGPTDGYVADETQMHQGKTFCTEYEKSKVLADQIALQAAAEGMPITIVYPGFMYGPGKLTAGNLVSRILIERFNGRLPGYIGHGHDRDSFCHVDDVVAGHVAAMEKGREGERYLLTGENTSLVQIFDMAARITNTKAPRFHVPLWLLEIYGWISVLVSRITGKLPFISYPAVRVLRHQWAYSCEKAKKELGYSPRSLTEGLSETLLWLKDSEMIRF >ORGLA03G0060000.1 pep chromosome:AGI1.1:3:4086986:4088619:-1 gene:ORGLA03G0060000 transcript:ORGLA03G0060000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMEKGMTAYEAARERTVEENKRKMEALNLRHLSAAIAVAPKTPSPMKQKRRRIIEAAVVAPSPPRRSRRLANLPEVKYAEVAPDGAERMKRSPRKAIDSIYLATRGSISMEARLEAARKAEELESQLDPEFPSFVKPMLHSHVVRGFWLGLPRHFCETYLPKHDAIVTLLDEKDEQFDTNYLAYKNGLSGGWAGFALDHGLLDGDATVFQLVKPTTFKVHIIRATVDDGNEVTK >ORGLA03G0059900.1 pep chromosome:AGI1.1:3:4083538:4086365:1 gene:ORGLA03G0059900 transcript:ORGLA03G0059900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPIAFLFLLLLLLAASSHATASAVPQPRVISQTRRFYINCGSEKEQKIGSIKWIQDEGFIAVGNMSAVDKPNILPLLATVRYFPDATARKYCYQLPVVKGSRYLVRTTYFYGGFDGGDVPPVFDQIVDGTRWSAVNTTDNFRRGMSTYFEMVAEAQGKTMSVCLARRADTRSSPFISALELVSLDDSMYNTTDFDKYVMSTVARSRFGAKGEIVSYPDDQYNRYWAPFTDANPTVESHSAITPEEFWNVPPAKALRAGVTTSRGKKLSVQWPPVELPAATYYVALYFQDSRTASPYSWRVFDVAVNGKEFFRELNASAAGVMVYSTMMPLSGKMEIVLTPNETSPVGPLINAGEIYQIVPLGGRTATRDVVAMEELARSIKNPPPDWAGDPCLPRQNSWTGVICSEGSPVRVVSLDLKNHGLSGSLPDSIGNLTGMKNIYFGGNKLTGSIPDLSSMHILEELHFEGNQLSGPINPSLGTLTNLKELYLNNNNLTGQIPESLKNKPGLDMRTEGNKLN >ORGLA03G0059800.1 pep chromosome:AGI1.1:3:4077731:4082649:1 gene:ORGLA03G0059800 transcript:ORGLA03G0059800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant glycogenin-like starch initiation protein 3 [Source:Projected from Arabidopsis thaliana (AT4G33330) TAIR;Acc:AT4G33330] MGVTGGAGEAVKPSSSSSLSPVAGLRAAAIVKLNAAFLAFFFLAYMALLLHPKYSYLLDRGAASSLVRCTAFRDACTPATTSTAQLSRKLGGVAANKAVAAAAAERIVNAGRAPAMFDELRGRLRMGLVNIGRDELLALGVEGDAVGVDFERVSDMFRWSDLFPEWIDEEEDDEGPSCPELPMPDFSRYGDVDVVVASLPCNRSDAAWNRDVFRLQVHLVTAHMAARKGRRHHAGGGGRVRVVVRSECEPMMDLFRCDEAVGRDGEWWMYMVDVERLEEKLRLPVGSCNLALPLWGPGGIQEVFNVSELTAAAATAGRPRREAYATVLHSSDTYLCGAIVLAQSIRRAGSTRDLVLLHDHTVSKPALAALVAAGWTPRKIKRIRNPRAERGTYNEYNYSKFRLWQLTDYDRVVFVNADIFVLRDLDALFGFPQLTAVGNDGSLFNSGVMVIEPSQCTFQSLIRQRRTIRSYNGGDQGFLNEVFVWWHRLPRRVNYLKNFWANTTAERALKERLFRADPAEVWSIHYLGLKPWTCYRDYDCNWNIGDQRVYASDAAHARWWQVYDDMGEAMRSPCRLSERRKIEIAWDRHLAEEAGFSDHHWKINITDPRKWE >ORGLA03G0059700.1 pep chromosome:AGI1.1:3:4067813:4069953:-1 gene:ORGLA03G0059700 transcript:ORGLA03G0059700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGDGEFAFDLNEPPLEHGNVDFDYVQHLAVVLSYHFFGIILPGSELTFALLVKHLEHNASGGGSVERERARPSGSLVNSCEDGGQEWQICVPSYLYTALNVGLHWEMLDIILQNW >ORGLA03G0059600.1 pep chromosome:AGI1.1:3:4065879:4067476:1 gene:ORGLA03G0059600 transcript:ORGLA03G0059600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSVNRPIKAEPAAGGIAQGNRILDMMSSGWTDERHMHYISSMEASFVEQLYNHEQNDAGNGFKVLRGGVWEKLKFDRTSACSRIGRKYCLPASPWIQHFRPRECSSNARNDAAEALVGDHESGIQTIQGRTPLSHGREWEACKEEKAVGESTEVSDQNFADDEAEVDAESSKACKRRKLRSALTRNYQVVPSDKLFASTKADGRKDAPE >ORGLA03G0059500.1 pep chromosome:AGI1.1:3:4059163:4063231:1 gene:ORGLA03G0059500 transcript:ORGLA03G0059500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytoene desaturase 3 [Source:Projected from Arabidopsis thaliana (AT4G14210) TAIR;Acc:AT4G14210] MDTGCLSSMNITGTSQARSFAGQLPTHRCFASSSIQALKSSQHVSFGVKSLVLRNKGKRFRRRLGALQVVCQDFPRPPLENTINFLEAGQLSSFFRNSEQPTKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKIAAWKDEDGDWYETGLHIFFGAYPNIQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPLNGGILLAALMIDQXIMPWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKFGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHVRSLGGEVRLNSRIQKIELNPDGTVKHFALTDGTQITGDAYVFATPVDILKLLVPQEWKEISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYDPNRSMLELVFAPAEEWVGRSDTEIIEATMQELAKLFPXXXXXXXXXXXXXXXXXXXXXXSVYKTIPDCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSVVEDYKMLSRRSLKSLQSEVPVAS >ORGLA03G0059400.1 pep chromosome:AGI1.1:3:4052286:4057380:-1 gene:ORGLA03G0059400 transcript:ORGLA03G0059400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:autoinhibited H(+)-ATPase isoform 10 [Source:Projected from Arabidopsis thaliana (AT1G17260) TAIR;Acc:AT1G17260] MDEPGEPLLGLENFFDEDVDLENLPLEDVFEQLNTSQSGLSSADAAERLKLFGANRLEEKRENKIIKFLSFMWNPLSWVMEAAAVMALVLANGGSQGTDWEDFLGIVCLLIINSTISFIEENNAGDAAAALMARLALKTKVLRDEQWQELDASTLVPGDIISIRLGDIVPADARLLEGDPLKIDQSALTGESLPVTKRTGDIVFTGSTCKHGEIEAVVIATGIHSFFGKAAHLVDSTEVVGHFQKVLTSIGNFCICSIAIGAIVEVIIMFPIQHRSYRDGINNVLVLLIGGIPIAMPTVLSVTLAIGSHHLSQQGAITKRMTAIEEMAGMDVLCCDKTGTLTLNHLTVDKNLIEVFSREMDREMIILLAARASRVENQDAIDMAIINMLADPKEARSSITEVHFLPFNPVDKRTAITYVDSDGNWFRVSKGAPEQILSLCYNKDDISEKVQLIIDRFAERGLRSLAVAYQEVPEKSKHGHGGPWVFCGLLPLFDPPRHDSADTIRRALDLGVCVKMITGDHLAIAKETGRRLGMGTNMYPSASLFGRHGDGGGAAVPVEELVEKADGFAGVFPEHKYEIVRMIQGGGGHVCGMTGDGVNDAPALKKADIGIAVSDATDAARGAADIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVIGFVLLASIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPSPDSWKLNEIFAAGVVIGTYLALVTVLFYWTVTRTTFFESHFKVRSLKQNSDEISSAMYLQVSIISQALIFVTRSQGLSFLERPGALLICAFILAQLVATLIAVYATISFASISAIGWGWAGVIWLYSLVFYAPLDLIKIAVRYTLSGEAWNLLFDRKAAFASRRDYGGNERRPETRWPRSHHHHHQQRRALSDHLLSSGWRPTRIAERAKRRAEIARLGDAHMLRAHVQSVMRLKRVDSDVIRSAQTV >ORGLA03G0059300.1 pep chromosome:AGI1.1:3:4046553:4051761:1 gene:ORGLA03G0059300 transcript:ORGLA03G0059300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRADAPWLPLLLLCSSCCFCIWPQKQILVAADTDPNDVTVLNTLFTSLNSPGQLKGWQASGGDPCGQSWQGITCSGSSVTAIKLPSLGLSGNLAYNMNTMGSLIEIDMSQNNLGGGQQIQYNLPTNKLERLNLAGNQFTGNLPYSIFSMSNLKYLNLNHNQLQGNITDVFSSLYSLTTLDLSFNSLAGDLPQGFTSLSSLKKLYLQNNQFTGYINVLANLPLDDLNVANNHFTGWIPSQLKKINNLQTDGNSWSNGPAPPPPPYSAPPPPNRPNSPGQNNGGSSSGGSSGIGGGGVAGIIISLLVVGAVVAFFVIRRRKRRAALEEHFEQHQPFTSFPSNEVKDMKPIEESTTIDVESLPSPASFSLKPPPKIERHKSFDDDDLSNKPVLKKTNVAPIKATVYSVADLQMATESFSMDNLVGEGTFGRVYRAQFTGGKVLAVKKLDSTVMPFHSSDDFAELVSDISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDLLHLSDEYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNLLLDSEFNPHLSDAGLASFISDAEFQAAQQSAGCTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRRPFDSTRPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPMSEVVQALVRLVQRANMTKRMLDGDTSSRRTDDQEQDFI >ORGLA03G0059200.1 pep chromosome:AGI1.1:3:4034227:4041061:1 gene:ORGLA03G0059200 transcript:ORGLA03G0059200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEFSSPKCSSSSSSLPSLRLPSISVASPLQPRGPPPSAAGGSFTRRPTAASPPPPAGRASRFRQRHGRGRRRRRMMSTTKHFEAQSTLMGLICKTTRELHTCECDIASWTRTDLVEIGPFGVESYDRKANXKGGKSTSHXQWWXILFGCFXXLLQEGRHRXASHHPVHSTAEWCSXAHEQNHHLQGSLHAVQRSHEQAFLGRGCXHRLLLDQQVAFYPTXXENSHXVVLNRWRILLSQPHTLKLLFLETVRSGSQLCRRRCNLLRRMAHGSLCTCQNRRSLFVVNGSSREMRVYLLASLRGLRQGXXQKVSVKLLVLIIMMCSLQLXSIVQFAHSSVLLLCMILSLNSXMXRQHFYMESLRRRYTWTSQKGSXYLVRRIMFASXKDLCMVXNSLLVNGIKGLIHLCCHMALRGLNLIAVCILNLLMDHLYTCCYMLMICXLLPRARNKSLHXRNSXVVSLIXRILVLLRKFXVWKSLETEILVCYFLVSKATLRRFFSVLTCMMQSLLALLLHLILNYQLYNVLVLMRMXNTCLEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTRRNLSQGGVCXVVIQIHLHLIKASLFRVPLYIYLLXAAVRXCNITSDIVKIFCWLAPVVFFLSYFRRVFHVKSRVFYNXSIVFYRLFAYRFLTNFTIDQITQLNQQAHKKXLSFFFLNTRALTXSYTQAVVTRHGXXVAKASEARQNHGPYAADTLVHTLSLVDLFIPATAIANLKCEKDLFSSADTIFIEATITQEEECTQVSNKTTSSNPENMLLSSQGLPDNFTDEAIKDQYLCNSGLQAANRESKKKNSTFLTKFQNRIIASLASESSPCRNAFRRPLLSREIVVREYFKLARIIRRTAAACFSPSSDADEDYNYLPHMQLDKVTHAISREAFGPLYLVT >ORGLA03G0059100.1 pep chromosome:AGI1.1:3:4028672:4033441:-1 gene:ORGLA03G0059100 transcript:ORGLA03G0059100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVSVEHINPKVILGPSSIAECIVIRGEVAIHAQVSWFSPSMFWKSISIYSFSANARREINRYLTFFFQHLQQQLQTQPGSLPFDEIVYCNIGNPQSLGQKPITFFREVLALCNHPNLLEREEIKSLFSTDAIARAKKILSMIPGRATGAYSHSQGIKGLRDEIAAGIASRDGFPANADDIFLTNGASPGVHMMMQLLIRNNRDGIMCPIPQYSLYSASLALHGGALVPYYLDESSGWGLEVSKLKNQLEDARSKGITVRALVVINPGNPTGQILDEQQQYELVKFCKDEELVLLADEVYQENIYVTNKKINSFKKIARSMGYNGDDLQLVSLHSVSKGYYGECGKRGGYMEVTGFSTPVREQLYKIASVNLCSNITGQILASLIMDPPKAGDASYDLYEEEKDNILKSLSRRAKAMESAFNSIDGITCNKTEGAMYLFPRIYLPQKAIEAARAVNKAPDVFYALRLLDTTGIVVTPGSGFGQIIGCYLFTCNSEFLTTQVWSFVKGCRDMAREMHDPAAGGEDTFDDLPLQGIP >ORGLA03G0059000.1 pep chromosome:AGI1.1:3:4023721:4024359:-1 gene:ORGLA03G0059000 transcript:ORGLA03G0059000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRAPSLFHIEDGAVGVAASTKKPMPADSGELVGLRLIIQQSPRQRPPLSVLRRSAVRPSPAATAAASQDEAGAPAGRGFMGLGFLNCCYCCHKKLDADMDVFVYKGEHAFCSAECRSQQMAREERREIEMLVRRRRDAFHRRRASPPAKIGGHARLQIAAS >ORGLA03G0058900.1 pep chromosome:AGI1.1:3:4016227:4017207:-1 gene:ORGLA03G0058900 transcript:ORGLA03G0058900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPSAPAARRVTAGHVWPGKKKGARADDFEAAFRDFDNDSDDEEMMVEEAEEEEATSEHKPFVFRAKKARKAAAAAASSRRRKPAQYRGVRRRPWGKWAAEIRDPVKGIRVWLGTFTNAEAAALAYDDAARAIRGDRAKLNFPSATTPDTRKRGRATAAAAPAVKATPVINLVEEEEVAAAMASIKYEPETSESSESNALPDFSWQGMSASDEFAVAAAALDSDDDLAKKRPRTEPEDTTTTTDSGSGDDTDALFDALLFADQYNYFNGGAYESLDSLFSADAVQTTAAAAAANQGMGLWSFDDGCCLVDVEASLSF >ORGLA03G0058800.1 pep chromosome:AGI1.1:3:4011128:4012081:-1 gene:ORGLA03G0058800 transcript:ORGLA03G0058800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILANIIPATPPRRATAAHVWPGGDGEKRRKVGGGGCDDDFQAAFERFGREDSEMEEEEVEEVVVGKKAAVRRRRAAPAAGRRARPSKYWGVRRRPWGKWAAEIRDPVEGVRVWLGTFATAEAAAHAYDAAARDLRGATAKLNFPSSSSSTAATPRPRKCRPTTATATPKATTPNVVVVVNLVDEEAEASESSGASSSALPDFSWQGMSASSDDDAAAQQALLDAAGGAKKRPRSEPHVTSNDEVLPASFDSDNNTAAAGLLPLDDPFLFGDLNGGAFASLMDGLFAAGEANVAGESVGLWSFGDDCLNASYY >ORGLA03G0058700.1 pep chromosome:AGI1.1:3:4003270:4006873:-1 gene:ORGLA03G0058700 transcript:ORGLA03G0058700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPHPGRTLPAYHGDNRFLLGACFLSKLPMLRPMKLSLVCSANPNNHRSRSSDITRHQKGGSARRKSKPYQEKDDSENIDEFDTDIMSSKNGPPISLTSNSRPQATSVPGEREKEIVELFKRVQAQLRARGKGKEEKKPEQAKAQGERGSVDSLLNLLRKHSVDQRRKSGDEKEQSVDQTKRSNESGNKQNSSIFIKNDTQEEQKKPHPAAFKRPASNFRRRSPVPNVKFQPVTNVDAERVINNINDAVQEAKPTLENKAATDEPDSVSTFEPNSVIEPENLSLDDLDHISDDEPDASDTDEPSGEYDEPSLQIPSVPIIDESHDTTLKSSLGGPDLSTLKVTELRELAKSRGIKGYSKMKKNDLVELLSNMA >ORGLA03G0058600.1 pep chromosome:AGI1.1:3:4002373:4002874:-1 gene:ORGLA03G0058600 transcript:ORGLA03G0058600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTSLLCVHEKNKQKQPIMDFFGVQSFTVFVQSQGTDWEDFLGIVCLPIINSTISFIKENNAGDAAAALMSRLALKTKVLRDEQWQELDASTLVPGDIISIRFGDIVPADACLLEGDPLKMNCHTPFMRKMSITGMSD >ORGLA03G0058500.1 pep chromosome:AGI1.1:3:3993017:3994131:-1 gene:ORGLA03G0058500 transcript:ORGLA03G0058500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAEFIPAPSRAAAATKRVTASHLWPAGSKNAGGGKSKSKRQQRSFADVDDFEAAFEQFDDDSDFDDAEEEDEGHFVFASKSRVVAGHDGRAAARAASKKKRGRHFRGIRQRPWGKWAAEIRDPHKGTRVWLGTFNTPEEAARAYDVEARRLRGSKAKVNFPATPAIARPRRGNTRATAVPPPATAPAAAPPRGLKREFSPPAETALPFFTNGFVDLTTAAAPPPAMMMTSSFTDSVATSESGGSPAKKARSDDVDSSEGSVGGGSDTLGFTDELEFDPFMLFQLPYSDGYESIDSLFAAGDANSANTDMNAGVNLWSFDDFPIDGALF >ORGLA03G0058400.1 pep chromosome:AGI1.1:3:3987803:3989358:-1 gene:ORGLA03G0058400 transcript:ORGLA03G0058400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILADFTPARVPRRLTAAELLPVTPTPPAAERRTTRKRKSDVDFEAEFELFEDDDDDDEFELSDDGDESLAVSCVSSPKSKAVPSFSFSSDVSSSSRPRRRVAAAAAGRRKASKKSKYRGVRRRPSGRFAAEIRDPKKGRRVWLGTYGSAEEAAMAYDREARRIRGKGARLNFPRDGDGSPRRSNDRPCWTIDLNLPAAAVSGDDDDAMTVDAADADAGSAGRAAAYADQEALSAAKCKIKQCPRDEQMASATPELMEEDASSSRNMVPLSMALQLQYAAMIAECDREMEEIAAVERDLERRRRQVFERRGHLVRQASLLLD >ORGLA03G0058300.1 pep chromosome:AGI1.1:3:3983473:3986250:-1 gene:ORGLA03G0058300 transcript:ORGLA03G0058300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 3K [Source:Projected from Arabidopsis thaliana (AT4G33250) TAIR;Acc:AT4G33250] MASEQAAESYTVEELVAVNPYNPDILNDLEGFVNDQVSNQTYNLDANLSLLRLYQFEPERLSVQIVSRILIKALMAMPGPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETARFRQFWDEASKNRNILDVVPGFEQAIQSYAIHVLSLTYQKVPRPVLAEAINIEGLALDKFLEHHIANSGWVIEKGARSQLIVLPRNEFNHPELKKNTAETVPFEHVTRIFPVLS >ORGLA03G0058200.1 pep chromosome:AGI1.1:3:3980650:3981811:-1 gene:ORGLA03G0058200 transcript:ORGLA03G0058200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSLRTPRTSLSSRPALTASALSSSSRKYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEAPAQDFAAITDYTAPEQWSADQWTSDVAAPPAATVGDWGAAPAPVAAAEGWDQAGAPVATEAAVVPPVAPTGWDPAAQPAAQGWD >ORGLA03G0058100.1 pep chromosome:AGI1.1:3:3971921:3978981:1 gene:ORGLA03G0058100 transcript:ORGLA03G0058100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAGDKFLQKFRLYETRSKFYLIGRDKTRTLWRVLKIDRMEPTELEIEEDHTSYTENECQELLWRIHEGNRLTGGLKFVTKCYGIVGFIKFLGPYYMVLITRRRKVGTICGHEIYSVGKSELIAIPSPIVWPNVAYSRDENRYKRLLCSVDLSKDFFFSYSYNIMRSLQKNITDKNTGQVVYETMFVWNEFLSRAIRNHLKNTTWTVALIHGFFKQSKLSVAGKEFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTPDEIPHQISSVVQHRGSIPLIWFQETSRLNIRPDIILKPDVDYKTTRLHFENLALRYGNPIIILNLIKTREKKPRESLLRAEFAKAIHYINKGLPDDKRLKFLHMDLSKLSRRKGTNVLSLLNKVASDVLDLTDFLHCEITTSKYEDASSGQGAVANSGDIESIQDQNLCATKLVPLLLQKGVLRTNCIDCLDRTNVAQFAYGLAAVGRQLHVLQLNETPTIELHAPLADDLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYSNAYTDPEKQDSINVFLGHFQPQEGKPALWKLDSDQHYNIGRQGTLTEETGRSFIKRSLSDGNILCDNTGGPVSDCNVGKNNTSSDLLPMQPLEDIREPSDSAPEISIEPNPCSSTNYSTLSGRHSISEERQNYLRRLGYPELHSSNFLDLDLLSSSGNSCEEEIYERSSLINSPMDVVSIESTTSYSEQGHNDEQGRDDTDLSRSSSQMSDIRDYSDRFAHWVDGGGMLCY >ORGLA03G0058000.1 pep chromosome:AGI1.1:3:3941968:3945052:1 gene:ORGLA03G0058000 transcript:ORGLA03G0058000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1P884] MAAAQQQQQKEGGARRTREEVPAVGRVAIIGGGISGLAAAKQLAAHDPVVFEATPHIGGVWKHCAYRSTRLQTPRPDYEFSDMAWPNRDDPSFPTHVEIVDYLEDYADRFGLWRYIALRSKVVGVKFLGGPSAGFTELWSGSGEPLQGKPMWELAVSSTDDPDSDDVQLYKFEFVVMCTGKYGDVARMPVFPPGKGPEVFKGKVMHSLDYCKLNEQETVELMRGKKVVVVGYKKSAIDLALECAEANQGEGGQPCTMLVRTLHWVVPSYSIWGLPFFLFYSTRFSQLFYERPNQGIFRSLLCRLMTPLKAGVSKFIESYLSWKLPLSRYGLRPDHPFVEDYASCQMAILPDGFFDMADRDLIRFRRSAGGWCFSENGVVLDDGTHVDADLVFLATGFEGKDKLRSVLPEPFRGLVVNKSSMMPLYRGTIHPLIPNMAFVGYVESVSNLHTSELKCRWLAGLLGGRFALPAVEDMGEARQQQDGDDAADDAVLPPALHLHLQHPRQRRHVRRPRLPRPPQVQLPRRALRPPTTTRTTRKSSQSWPSILPVHRIKIPLIQSYKMI >ORGLA03G0057900.1 pep chromosome:AGI1.1:3:3929198:3931603:1 gene:ORGLA03G0057900 transcript:ORGLA03G0057900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF936) [Source:Projected from Arabidopsis thaliana (AT3G14170) TAIR;Acc:AT3G14170] MASLTPGVLLKVLKNINSDVKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPVLVGVRPVPGRNPCIGNPKDLMQMSTPTGISEALAHQRKATKSAELSESEKENSQRKVVIKEQKAVVASRYMLGISSNNSKITNLNSSIDSDKSNGGSSICSANQKSAPTKFKQESKPQERPNTPSRSPAKIVSAKQEINKDTRKTSASSPSQNGSAVVKKQMSKDSKKESASEKNSPPKLYKTSPPTPTPTPPPPAMTSPPKLNLAAKPNGTSGTVTSTPTVKRRVTETVSWDSLPTSLIKSVKVVARRKTIALVVAAEAQREATAAASLLKGLGIFAEIRKSAEEDPHAAITKFFQLNRLIIQQSVFWKDYSSEPVKESRPEKEKPSRKASASQNKAVAGSTAKNSDDAYTSEKIDWAREDGFKEISRSWIILKKESQSWFLSFLEDALEAGFKFEGQNKNTRERVRGHSKGGDGQIAVRLSQLKETSNWLDQLHSEVDKSQDALVETIEQLKQKVYTCLLGTVETAASALEAR >ORGLA03G0057800.1 pep chromosome:AGI1.1:3:3919758:3925043:1 gene:ORGLA03G0057800 transcript:ORGLA03G0057800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQSSVSWQPDTSWAQPHGLGAAVGPWAPARMGSAGRRGPALFRRTAREYYVSRRSARPRYRDVSSSAHRPVAAAAGGGGGRRLELQSVVTDASRAIVVVPNTSFASNDDSVVVADSAVYSAPGHDAGGGGRAMVRYSDTNAAAAASREVSFSRDNHDQLYVSAARRDPPSFGYDISVASFSGQSRYEDAVGDYDDDDDEIDVRVGKPVGVAGLFKYSTAMDIVLLVLGCVGAMINGGSLPWYSYLFGNFVNKIVNVDKTQMMKDVKQISVYMAFLAAVVVVGAYLEITCWRIIGERSALRMRREYLKAVLRQEIGFFDTEVSTGEVMHSISGDVAQIQEVMGEKMPGFVHHVFTFVFGYVVGFAKSWRIALAVFAVTPAMMACGMAYKAIYGGLTAKEEASYQRAGDVAQQAISSIRTVMSFVMEERLAGEYAEWLDKWAPIGVKMGFAKGAGMGVIYLVTYSQWALALWYGSRLVANGEIKGGDAIACFFGVMVGGRGLALTLSYMAQFAQGTVAAGRVFEVIDRVPEIDAYGAGGRALPAVKGLMEFRTWSSRTRRGRTPWWLYNLNLVIPAAKTLALVGVSGGRQVHHVPPHRALLRPDLRVDHVGRPXPRVAEPPVAPVADRARRAGARPLLHLHHRERHDGEGERHAPRRHLGVRHGQRPHLRPRPPRRLRHSGWGPWGPAVGGTEAADRAGARHHPRPAYPAAGRANQRAGHRVGGRGAAVHRPPRRRPHRRRHRAPPRHRPQRRHHRGARPRRRRRVRPPRRPHGPPRALLRAGQPRLRQRRRQARPRRRCSGVHQLHRRVGVRRVGVQVEVRLPDDSRRGGEEGFAGRQGEGLRDMEAAAAGRSIADFGVLDGHTRRCGVLGVPAAAGPGGGGVLRRRHGEDEAAGGVPGHGGGRPRRGLHPDHDGAAGAVRLGGRPAHHARPGPPLPRHHAAGARVVRXGGQRDGRPGDAARAGRRRVPLHVRRPLRRAAHGRRLGRRGARHLLRAGLAAHAGGHGVHAADARRQLPQPAHQRGRQVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNGVSTFGDVSKIFLILVLSSFSVGQLAGLAPDTSGAPAAIAGILTILKRRPAITGDSTKRRITIKDGKPIDVELRKVTFAYPSRPEVTVLSGFSLRVKAGTTVAVVGASGSGKSTVVWLVQRFYDPGDGKVVVGGVDARELDLKWLRGECAMVGQEPALFSGSIRDNIGFGNPKASWAEIEEAAKEANIHKFISALPQGYETQVGESGVQLSGGQKQRIAIARAIVKQARILLLDEASSALDLESERHVQEALRRASRRATAITVAHRLSTVRDADRIAVVSAGRVVEFGGHDALLAGHGDGLYAAMVKAETEAQAFK >ORGLA03G0057700.1 pep chromosome:AGI1.1:3:3913664:3917359:1 gene:ORGLA03G0057700 transcript:ORGLA03G0057700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEDGGDVHVGAGEGEDGGRVTVDELTRCLRCGISANATPHMRRGPEGRRTLCNACGIAWAKGKVRKVIDSDTPMDNAMFAQMVPELSMEFDDEDKAYEFYNRYAGHVGFSVRKSSSDKSAENITRSRTFVCSREGFRKDKKGAKEVKRPRPETRIGCPARMSIKITSDGKYRISEFVPDHNHQPAPPSTMHMLRSQRVLTELQTTEADSSEDSATPSRFSSCSLVKQAEVIRHTNFLPAEYRCSLRSKRKKNMQPGDAGVTVKYLQSMQLSNPSFFYAVQLDEDDKLTNIFWADSKSRTDFSYYSDVVCLDTTYKINEHSRPLTLFLGVNHHKQISIFGAALLYDESEESFKWLFDTFKIAANGKQPKTILTDWSMAATTASAITAAWPGTVHRLCPWQVYQNSVKHLNHTFQGSKTFAKDFGKCVYDYDDEENFLLGWNTMLEKYDLRNNEWIKKIFDDRDKWSPVYNRHVFTADIKSSLQSESVRNALKKSLSPQFDLLSFFKHYERMLDEYRYAELQADFHASQSFPRIPPSKMLRQAANMYTPVVFEIFRREFEMFVDSVIYSCGEDGNAFEYRVAVTDRPGEHYVRFDSGDLSVVCSCKKFEAMGIQCCHVLKVLDFRNIKELPQKYFMKRWKKDVKSASTGNQELLNGGVSQIPSSYLNVPVPFIDPQHVQSNNELNHDTSVSNSHQQALHGGAQGSQGYAPLAGIQQQQFIGNFRLNHETGFL >ORGLA03G0057600.1 pep chromosome:AGI1.1:3:3907299:3911850:1 gene:ORGLA03G0057600 transcript:ORGLA03G0057600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1P880] MAREQALLSTEIVNRGVEPSGPDAGSPTFSVRVRRRLPDFLQSVNLKYVRLGYHYLISHGVYLATIPVIVLVCGAEVGSLSRDELWRKVWGEATYDLATVLAFLAVLAFTISVYIMSRPRPVYLIDFACYKPADELKFCMDNCLQVSKAEFIDLARKSGKFDEDSLAFQSRLLAKSGIGDESYMPRCVFEPGTNCATMKEGRAEASAAMFAALDELFDKCRVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVIAVDLARDMLQASGAGLAVVVSTEAVSFTWYAGKRRSMLIPNAFFRAGCAAVLLSNRRRDFHRAKYQLEHIVRTHKGADDRSFRSVYQEEDEQRIKGLSISRDLVEVGGHALKTNITTLGPLVLPFSEQILFFAGVLFRHLFPSKTSAPPPPSADGDASAAAPYIPDFKRAFEHFCMHAASRDVLEHLQGNLGLRDGDLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRRPARSPWLDCVEQYPARMNA >ORGLA03G0057500.1 pep chromosome:AGI1.1:3:3901511:3902086:1 gene:ORGLA03G0057500 transcript:ORGLA03G0057500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRNVRQRSKKKRVHALEVATERWKVLTKVLAVVDALKKEEEHVTPLKRLEILRPQLGLTKPNKVAHFVSRSPQLFEVCRDSRGVMWAGLSPQAEALVEEEARLLEDHSRTAAEYVTRLLMMSVDRRLAIDKIAHFRRGFLMISRHDGAHVPRAVQGGQVGGWRLPGACLLEPKLGSHRAREKDSSIDW >ORGLA03G0057400.1 pep chromosome:AGI1.1:3:3891279:3891605:1 gene:ORGLA03G0057400 transcript:ORGLA03G0057400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFYGGRMVVFEDFPADKAAEVMRMASSGMAAAPAQREGAALADMPIMRKASLQRFFAKRKDRLAATTPYARPSPAETKASEPEKKTPTSWLDLAASAAARRDSLTIAL >ORGLA03G0057300.1 pep chromosome:AGI1.1:3:3882738:3883592:1 gene:ORGLA03G0057300 transcript:ORGLA03G0057300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSEQQLVANAAATTVAGNGSRFAVTCGLLRQYMKEHSGSNGGGGFLPAVTAMSLMTGGADAEEEAPEVRKTMELFPQQAGTLKDTQERKEITEKAQLTIFYGGSVVVFDDFPAEKAGELMKLAGSRDSTAAAAVSDAGAAAGQPCLPDMPIARKVSLQRFLEKRKNRIVVAEPLPESEKKEAESSKRAKKDDGGASWLQVNPTLSL >ORGLA03G0057200.1 pep chromosome:AGI1.1:3:3878349:3878879:-1 gene:ORGLA03G0057200 transcript:ORGLA03G0057200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDPMTRRFAVACGVLSQYVKANSSQPSTAAPVAQGVSGLMAAAAAAPVVQEPGCEVDGGGQQFTIFYAGKVVVIDRCTPAMAAELMRFASAAQGGGGAPEAPPALVDMPIARKASLKRFLAKRKATPASARSSYVVRAAAAEEEQQPAKKAKAAVERREDWLALGSLGHVHSR >ORGLA03G0057100.1 pep chromosome:AGI1.1:3:3869918:3873678:-1 gene:ORGLA03G0057100 transcript:ORGLA03G0057100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALEAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLLLRENPSIHIHEMKSVQLTGILKISGALTLLLKAAIQFIILIWYLCFKIPRPDVFIVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMKHELDQKWGINATVLYDQSPEFFHPASLTEKHELFSRLGNSICSAMGNDDCISVEKEVEDRKNTTVFTSWADGEIFLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEDDSMDEGKLWIDIKNGKQFVYPRLLFIITGKGPDRMKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIDELVKINNNGLLFSTSSELADELMMLFKGFPEECDDLKSLKVGALNTGSSSKWSTEWERYALPLVNQVIG >ORGLA03G0057000.1 pep chromosome:AGI1.1:3:3868424:3869490:1 gene:ORGLA03G0057000 transcript:ORGLA03G0057000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALNWVQTRLHGTRKQDHTAVSSRRAHTSGDLHRNGDELDGGWAAAMLSIGTLGGPKGRHGSGTPWTTAAAGADELDRLQEELRLLVRAQAVVTGGEDDDGGGGGGRQRRSLSRTSSSTNGREVVAKLKQRSIRKIMAAALGGLLHRPSCRETMPEATVSEIIWSLLHKNTHPEKPALPHTVMKGDPTVPTPQKDKQEGTKWIRTDSEYIVLDLEI >ORGLA03G0056900.1 pep chromosome:AGI1.1:3:3853212:3855361:-1 gene:ORGLA03G0056900 transcript:ORGLA03G0056900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:I1P873] MSRGTGAGYDRHITIFSPEGRLYQVEYAFKAVKSAGVTSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKYIGLLATGLTADARSLVYQARNEAAEFRFKWGYEMPVDVLAKWIADKAQVYTQHAYMRPLGVVAMVLGYDEEKNAQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKDDPQFSYEETVQIAISALQSVLQEDFKATEIEVGVVRKDDRVFRALTTEEIDQHLTAISERD >ORGLA03G0056800.1 pep chromosome:AGI1.1:3:3847979:3852489:1 gene:ORGLA03G0056800 transcript:ORGLA03G0056800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 7 [Source:Projected from Arabidopsis thaliana (AT2G26280) TAIR;Acc:AT2G26280] MSIEERTLSLINKTTALNPNAQEFVPSSLRSINDVSNRSDTSRISVSGSSKDTIADQQDPVIPSNPDEEAHRYWQEQLPDDITPDFKVLGQDEMPGPDNISLTGLSINDSIGASLFSPNQTSNLQHRASPFIRDTLSTRSKIEFPSPTYVEEQSRATIMSPTASAMSPTAAPWVKTVRNGVQYNANRRDASHYNGDSSIGAPVQNLTDAYFGSRRSFSSTMDIMSQLEQNKVDGRLNQNLRSLSFGHSSPPSPASYGQNGLANYNKEAFGLANNTYRSHSAVLADDILSPSAGREHISLDAPRGRYNTTNLPVSGLGSSRGSQFMASSFNGNHDIVSNNTLQNIAGVQTGPAWLEADTAANMFLESKDEAHDFASLRHALLEQQDRQVLLTGANPLAKELNIKELYNLQSRLAQEKARDVYRQRFQMPEFQGLVQEQNTPIDLCGLHVSEAIHVLNYELNNRRKIARSTGRRLQVIIISSTRTPARLTAAVEQYLLEHGIQYTQAQPGLFRVLLQ >ORGLA03G0056700.1 pep chromosome:AGI1.1:3:3845026:3845758:-1 gene:ORGLA03G0056700 transcript:ORGLA03G0056700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPHVKWRLLWRVCLSREGSRGPHLSMRWGPRGGAVPASSCALFEGARWRSEYFVANDSLVAWDFVVLDAISYQKSTEQPIRSLVTLAFADLLQHHGQSARKFTTRVWQQSEAESHLYELANICVATGNSDFYWLAFCSCLS >ORGLA03G0056600.1 pep chromosome:AGI1.1:3:3842523:3842948:-1 gene:ORGLA03G0056600 transcript:ORGLA03G0056600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAAGQEVNPGVVVAAVVEVEWAECACCGLREECTPTYAAGVRARYGGRWLCGLCGEAVGEELAAAGGGAGDDGSVVVEVEAAIARHAAFCRALGCRSPAAAERLIAAVRRLLRGAGEGGRKEKAKAVVVLEFHDA >ORGLA03G0056500.1 pep chromosome:AGI1.1:3:3839597:3839815:-1 gene:ORGLA03G0056500 transcript:ORGLA03G0056500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSLLASCQQAATLLAFWDTSGSFGTKGFKIFEGIQSYGKLSTKALXSKE >ORGLA03G0056400.1 pep chromosome:AGI1.1:3:3836799:3838718:-1 gene:ORGLA03G0056400 transcript:ORGLA03G0056400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSAGPHPRRIRPLAAASLVLFLFLLYSRSGGSLVRSPRVLSFDSHTGHRRFLSDADPISPASNLSEIGELNGEQPPVDPSVACAGVARHEGFGSQCEFLRAHPQCSSGGFVDYMGFFYCRCERFRVLGYAVLGVCLAALFYMLGNTAADYFCCSLEKMSALLRLPPTVAGVTLLPFGNGAPDVFASIAAFMGTGAGDVGLNSVLGGAVFVTCVVVGAVSLCVAEKNVQIDRRCFVRDVGFFLMTLVALSIILIVGKVTVWGAIMFVLIYVVYAFVVAANEVLRKHARRLKFDVVTPLLPVRGSIFAQGTEDDESVYSSLLEEESDGDVAQINTSLPQWMWASHVAIYSNHGIRGGSPDSSRPLWGWSDEEVDNSTVSFSKLFLFLELPLTIPRRLTIPIVEEDRWSKEYAVASAGLAPVLLAFLWSGQDGVSTKAHIAAYVIAGISGMALASLAFMFTDHERPPRRFLFPWVLGGFVMSIIWFYIIANELVALLVAFGVILGINPSILGLTVLAWGNSMGDLMSNVALAMNGGDGVQIAMSGCYAGPMFNTLAGLGISMLLGAWSTAPNSYVLPQDSSLIYTMSFLVGGLIWALVMLPRGGMQPNKILGVGLIALYSVFLFIRVSNAMGILPLPGLS >ORGLA03G0056300.1 pep chromosome:AGI1.1:3:3829768:3835500:1 gene:ORGLA03G0056300 transcript:ORGLA03G0056300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:I1P867] MELTGLTRAAAAATVTPPAPRRGWGELRFAPLLPGERHGRRKVVVAAISEEVPRLAASPSSGIKGGGAGERRPAPEKVALRAALTVRRKQKEDIKEAVAGHLDALWDMVGRNVVLELISTKIHPRTKKPMQSGRVSIKDWCQKRGAKGDHVVYTAEFTVDADFGEPGAIAVANRHNREFFLESIVVEGGGLPCGPVHFACNSWVQSTRELPTKRVFFSNKPYLPSETPPGLRELREKELKDLRGDGTGVRKLSDRIYDYATYNDLGNPDKGKEFIRPILGGEKIPYPRRCRTGRPPTDTNMLAESRVEKPHPIYVPRDEAFEELKQGAFSSGRLRAVLHTLIPSLIASISAETHNFQGFHHIDNLYKEGLRLKLGLQEHLFQKIPLVQKIQESSEGMLRYDTPSILSKDKFAWLRDDEFARQAVAGINPVNIERLQVFPPVSKLDPAIYGPPESSITETHIAGHLNGLTVQQAMDEAKLFIVDYHDAYLPFLDRINAIDGRKAYATRTIFFLTEAGTLKPIAIELSLPPAKPGEPRPSKVLTPPYDATSNWLWMLAKAHVSSNDAGVHQLVNHWLRTHATMEPFILAAHRHMSAMHPIFKLLHPHMRYTLEINALARQSLINADGVIESCFTPGPVSGEISAAYYRNHWRFDLEGLPSDLIRRGVAVEDATQPHGVRLLIEDYPYANDGLLLWSAIRSWVESYVQLYYPDAGTVQCDLELQGWYHESIHVGHGDLRHAPWWPPLSTPADLASILTTLVWLASAQHAALNFGQYPLGGYVPNRPPLIRRLLPDLERDAAEYAAFLADPHRFFLNAMPGVLEATKFMAVVDTLSTHSPDEEYLGEGRDEGGVPWTADEAAVAAHGMFAADVRRAEETIERRNADHGRKNRCGAGVLPYELLAPSSPPGVTCRGVPNSISI >ORGLA03G0056200.1 pep chromosome:AGI1.1:3:3827422:3827649:1 gene:ORGLA03G0056200 transcript:ORGLA03G0056200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSFNSKGLKTDELLPYGLGFSGGRLAFAAGEQSGQTSLVQPCNTQHVLYQIFFTVSKFCKNILLPSYRRSIFL >ORGLA03G0056100.1 pep chromosome:AGI1.1:3:3824256:3825080:-1 gene:ORGLA03G0056100 transcript:ORGLA03G0056100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYAALTPVVSKIFCSSSQAVLMVRRRPPTVNGGGFVVTDRDQRVVFSVDGCGIIGASGQLIVRDGDGTAILFIHKKGGVVQVLSINNWWKGYLMDYGEPSKLVFSLQDPKPVLCMNGDVRVAVEPKGRKRHWDYEVIGSFSQRACTVRSRAGHVVAQIGVKGMLAGKDFYHVVVQPGYDQAFVVGVIAILDNIHGESTKC >ORGLA03G0056000.1 pep chromosome:AGI1.1:3:3823004:3823405:1 gene:ORGLA03G0056000 transcript:ORGLA03G0056000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAACAAVAGATVACLPGAPAGAAFGLGLAGLLFLLPFSSLYVPMLRPPVDMSRPFLSFTIGTALALAAAYLALLLLAATDKMLGADAVTGFLWGADLTGAASLGWFVLTTKDTTRSYIIREERLEVVKIG >ORGLA03G0055900.1 pep chromosome:AGI1.1:3:3822098:3822634:-1 gene:ORGLA03G0055900 transcript:ORGLA03G0055900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNNKDDNDDDVEAGLTVAAAAATRSSPDQPSPWWDDEAIWLRWEELTSSEQIRKLRTTVTVLLCVSVVIGLCAAAAQAMFVPATTTALSSAGVGVLFFLAPFSLYMRLMLRPCLVEDMHRGTLACLVAAPVLLHAAAVAYLQLMGRGQVAFAALGVWLVDVAAAAALGWCFWNDRR >ORGLA03G0055800.1 pep chromosome:AGI1.1:3:3816003:3821356:1 gene:ORGLA03G0055800 transcript:ORGLA03G0055800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAWSSLFGCFTSHHDGGGKRKKGGGGGKKAKKKVAAASQKQRKLQSRLSFSDLSFGGMVSPEDLSLSLAGSNLHVFTIAELRAVTRDFSMTNFIGEGGFGPVYKGYVDDKLKPGLRAQPVAVKLLDLEGTQGHNEWLTEVIFLGQLRHPHLVKLIGYCYEDEHRLLVYEFMTRGSLEKHLFKKYAASLPWSTRLKIAIGAAKGLAFLHEAEKPVIYRDFKTSNILLDSDFKAKLSDFGLAKDGPEDDETHVSTRVMGTQGYAAPEYIMTGHLTAKSDVYGFGVVLLELLTGRKSVDKSRPAREQNLVEWARPYLTDARRLGRVMDRNLAGQYPAKAAQKAAALAHRCVSLNPKSRPHMSAVVEALEPLLALDDDCLVGTFVYVAPPDDVAANGDGSSERRAGRRRSDGAAAAAAADGVQRE >ORGLA03G0055700.1 pep chromosome:AGI1.1:3:3804998:3808077:1 gene:ORGLA03G0055700 transcript:ORGLA03G0055700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSASYHPPTLQLPSSAVGASRRCGSPDWVMLDETAYISDRRNKSTAESQTSEGQIFQVSFWLVDPPGLSYFTVHCPGLEEDGLDLSPCDYFVYRAAPWSPWLDLLPDPNVMSFNSEKFGLFPCRSGVSEHYNVAFLNIEWVPSDVACQFELYIFSSKNRKWDVKPVLQDLSRSENHKVALEHEIDKLINIGHDSLGLVDLWHGIILLEKMFDDYPVVRYMTFPKPVVYTTDAYGETVCGETAPECVRDVACCNGLIKFVDVEYCYTDETNGNGWKATTWNRLPSWKYWRKPFTVDKTDILVDPSYAAVLPELWDNNTKKMELKRLICSIPTLSMLDDDFLYMITKMNEEDKNAWIISVDMKHNTLQDVAPISAARFSILSSICHPCAISKYLKISWISAIAVGDANGYIYEGHFGLVKSQKWSRGRRRTAGPWRGGGGGGGASPGRLGHSGAAAAAHRWDGSATAGRRRRSTTTVGRLSTTAFPATRTPARAPRRAARTARRRRHRGRTCRFHRR >ORGLA03G0055600.1 pep chromosome:AGI1.1:3:3803850:3804527:1 gene:ORGLA03G0055600 transcript:ORGLA03G0055600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:germin-like protein 7 [Source:Projected from Arabidopsis thaliana (AT1G10460) TAIR;Acc:AT1G10460] MRAAVAHRILLSLALFAVLCRCDPDLLFDYCVADTAAATAAGAFHLNGLACIDPALARADHFATSALSRATNPAATLYGFNATLTSPAASLPGANAQGLAMARIDLAPGGMAPPHSHPRASEAALVLSGSVLVGFADTSYRLYTQLLRAGEAFVFPRAMVHFLYNMDTAAPAVVLSGLNSQSPGAQLVPFSAFRTEPRLPDEVLKKAFKITGQDVQRIQKHLGGL >ORGLA03G0055500.1 pep chromosome:AGI1.1:3:3797033:3803414:1 gene:ORGLA03G0055500 transcript:ORGLA03G0055500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin-tyrosine ligases;tubulin-tyrosine ligases [Source:Projected from Arabidopsis thaliana (AT1G77550) TAIR;Acc:AT1G77550] MSPAAASPDDRIRSYEDFARVHAYLLAASGIPPSLHQRLYRKLADEVFDGGEAFSVEPCEGGRQRRLVLAAEGTLGRESDVFLVDHAWSFRLSDALKQLREVPGLAERMAALMCVDLDERTELEEADEQDNGNGGSLESALEVVEKERTRIQEKGSDFAAWLELEELGIDDDMLIALDLSSKFPNMVALNLWGNKLQDPEKIMKGIGECRRLKALWLNENPALKEGVDKVILDGLPELEIYNSHFTRKAGEWALGFCGDIIGADNPCSSAESIPLENIVSLDLSDRCIHKLPVVFSPRKLSSLLSLNIRGNPLDQMSSDDLLKLISGFTQLQELEVDIPGSLGNSAISILECLPNLSLLNGINVASIIESGKHIIDSALKPRLPEWSPQESLPERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDDANFRIAPFLFMPDGKLASAISYTILWPVHDVHTGEECTRDFLFGVGEDKQRSARLTAWFRTPENYFIQEFRKYKEQLQSSSICPSRKVTSVTKSIRPSDGHALRVFTDIPQVEEFLTRPEFVLTSDPKEADIIWVSMQVDSELKNALGLTDQQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQPTYNLETHLSPLIGDYCVRKRDGMDNLWIMKPWNMARTIDTTVAGDLSAIIRLMETGPKICQKYIECPALFQGRKFDLRYIVFVRSICPLEIFLSDVFWVRLANNQYTLEKTSFFEYETHFTVMNYIGRMNHMNTPEFVKEFEKEHQVKWLEIHGRIRDMIRCVFESATAVHPEMQNPFSRAIYGVDVMLDNKFNPKILEVTYCPDCTRACKYDTQALVGSQGVIRGTEFFNTVFGCLFLDELKDVSPL >ORGLA03G0055400.1 pep chromosome:AGI1.1:3:3795510:3796355:-1 gene:ORGLA03G0055400 transcript:ORGLA03G0055400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAFSGMFSGRVDKEYFVYKAGSGTESPSLRRIPTHDPRYNRGEDIGIIRCGDHGQFFLAALLFTSCNIREFTLHLYSSASDQWTMKSVPLDPSCNLERVDSKYYPALPHKTIQLGGNLLGWVDLWKGILICDVLADHPVVRFIRLPELMPGNYCHDSPRMIRDVHCMGGVIKFIEMEHFLIPTVEPTQEPTQGRRRPGEEANILYDWDLEPPCKEDAPDPDIWLKSFVGWRTVIWDRMVYGNCWNKVCKASYDEIMVPDPSHYEMLSELGDGTVLETWY >ORGLA03G0055300.1 pep chromosome:AGI1.1:3:3792396:3792773:-1 gene:ORGLA03G0055300 transcript:ORGLA03G0055300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLVAEAAAHRCLAPPHTTTASHRRPVPAPTCEEPPRRRTLGSRRPRKRLPRCLGAPPPKTCAGSSPGTAAAAAASSCSSSDGRRQVPRRHAPPARMRLQPPVTSPPKPPPPLRKSGTNLVLC >ORGLA03G0055200.1 pep chromosome:AGI1.1:3:3784152:3788877:-1 gene:ORGLA03G0055200 transcript:ORGLA03G0055200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRHATATASALFALLPLSLLLFRLLVLLVRLRLAAFRDAALSLHFLARLRIRPVHLRLPGTDATTVRVWCPAAPSAKPPLLLLHGFGGDSKWTWARNLPALSRHFHVYAPDLLFFGAHSRSASPLRTVAFQARCAAEAMRLLGVDRYDVVGISYGGFVAYRLAAVEGRDRVPRVVVMTSGVAATPGEMREMAAREERAVEESLLPETADGLRRLVRRSMHRPPPWMPDFVLDDFIKLMCVVQRKERTELLHELLKNGAGIDPLPVLTQKTLILWGDKDQVFPLDLGHRLQRHLGDVSRLEIIKDAGHALQLEGADQVNRFIKSFLLDS >ORGLA03G0055100.1 pep chromosome:AGI1.1:3:3780468:3783318:-1 gene:ORGLA03G0055100 transcript:ORGLA03G0055100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSLVPLLDYFARREFAAAGLSPGAVTLPYPGDGGEATCTVHYWASTGEPRLPPLLLVHGFGPRATWQWRCQVGPLSRHFHLIVPDLIGFGGSSFGGDSAASPPSEATQAAALASLLDALPGMKGRRVAVAGTSYGGFVAYWLARTAGAGRVGPVVIASSDLLKTAADDRGFLKRAGDGWSGVEEVLLPAEPAAMRKLLEMASCRPPPPVLMPDFILRDFIQKLFTENREQLIQLFKGITVGTDKFPVTPISQEVLIVWGEQDQLFPVEKAYAVQSSLDGKARVEIISKTGHAPQLEDPTRFNKILLDFLLATHKPDPSSNGASQ >ORGLA03G0055000.1 pep chromosome:AGI1.1:3:3778212:3779397:-1 gene:ORGLA03G0055000 transcript:ORGLA03G0055000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVIPLMEYIARRAFLAAGLRPSTVTLPSTSGDGEARTIHYWAPPGEPRLPPLLLIHGFGPMATWQWRRQVGPFSRRFHVIVPDLLCFGASSSSSSPPPSESAQAAALLDALPALVGTAARVAVAGTSYGGFVAYAMARAAGPERVGPVAISNSDLLKTAEDDGAFLERAGGGWTHPADVLMPLDARGARRLMELTFYRKQAGAMLPDFVIRDIMKKLFSDKREEKIELMNATTVGTDAFQLTPLAQDVLLIWGDHDQIFPLDKAFAVKSCLGDHVRLEIIKKTGHVPQMEDPDRFNKIVLDFLLGSQGSPSNEH >ORGLA03G0054900.1 pep chromosome:AGI1.1:3:3776585:3777708:1 gene:ORGLA03G0054900 transcript:ORGLA03G0054900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YGGT family protein [Source:Projected from Arabidopsis thaliana (AT5G21920) TAIR;Acc:AT5G21920] MASRNADPPRHHPSTPPLLLAMRHLPFPGVHRPRALPAPDLAPLAARLEELAAAAAAHPLLKPLFAFHSHLAAFSQSRRRAMATMRRRRTTGECPLSGEHCFAAVLGDSVAGVVVSSGINNFLSLYNTVLVVRLVLTWFPNTPPAIVAPLSTICDPYLNIFRGIIPPLGGTLDLSPILAFLVLNALSSTAAALPAELPDPAPPTSRGATSSSSVLTANRRKWMRRIRPVKSQEGEEEM >ORGLA03G0054800.1 pep chromosome:AGI1.1:3:3767196:3773768:-1 gene:ORGLA03G0054800 transcript:ORGLA03G0054800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-type ATPase of Arabidopsis 2 [Source:Projected from Arabidopsis thaliana (AT5G21930) TAIR;Acc:AT5G21930] MAATASRSPLHVTAPVRGVNPLLLRRLRLGRGGGCGKASTAQRFCLVVLPRGPAVATPRSTADPSASASSAVDAAAAAGEGEGASDAATVLLDVSGMMCGGCAARVRTILAADERVETAAVNLLAESAAVRLRSPEPAAGKELAARLTECGFPSVARRGGAASGASDSARKWREMAARKAELLTRSRGRVAFAWTLVALCCGSHATHFLHSLGIHVGHGTFLDLLHNSYVKCGIAIAALFGPGRGFLSFSQNVFNVTPDILFDGLRAFKQGSPNMNSLVGFGSAAAFAISSVSLLNPELEWNSTFFDEPVMLLGFVLLGRSLEESARLKASSDMNELVSLLSPQSRLVVTSSSDDPSSDGVLNSDAITVEVPVDDVRVGDFILVLPGETIPVDGNVLGGSSFVDESMLTGESLPVPKEKGFPVFAGTVNWDGPLKIKATTTGPSSTIAKIVRMVEDAQAHEAPVQRLADSIAGPFVYTVMTLSAATFSFWYYIGTHIFPEVLLNDISGPDGDSLLLSLKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGLLIRGGDVLERLAGIDAIVLDKTGTLTKGRPVVTSIASLAYEEAEILRLAAAVEKTALHPIANAIMEEAELLKLDIPATSGQLTEPGFGCLAEVDGCLVAVGTLDWVHNRFETKASSTELTDLRNHLEFVSSSEASSNHSKSIAYVGREGEGIIGAIAVSDVLRDDAKATVDRLQQEEILTFLLSGDRKEAVESIGRTVGIRSENIKSSLTPHEKAGIITALQGEGRRVAMVGDGINDAPSLAAADVGVAMRTNSKESAASDAASVVLLGNRLSQVMDALSLSKATMAKVHQNLAWAVAYNIVAIPIAAGVLLPQFDFAMTPSLSGGLMALSSIFVVSNSLLLQLHGSFQSTEKQREDLNSRLN >ORGLA03G0054700.1 pep chromosome:AGI1.1:3:3764453:3766356:1 gene:ORGLA03G0054700 transcript:ORGLA03G0054700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha [Source:UniProtKB/TrEMBL;Acc:I1P851] MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKK >ORGLA03G0054600.1 pep chromosome:AGI1.1:3:3758572:3760879:1 gene:ORGLA03G0054600 transcript:ORGLA03G0054600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha [Source:UniProtKB/TrEMBL;Acc:I1P850] MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKKQVFCYWKLKA >ORGLA03G0054500.1 pep chromosome:AGI1.1:3:3752225:3753013:-1 gene:ORGLA03G0054500 transcript:ORGLA03G0054500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLITTSLCSFRSNSTAPRTPGMPQSFSSTTLSASIGVASGCNIGRGKGGCSSSKGVKDDELLVEDMEMAGEDELFFLNGVRVLGRASSSARQRPPWTCTSPLQQHGSTTMQALPYHTRTPLTNSRSSLSCRHRRSALWDADEMMTSRCGVRGGLLAGAFARESTRKEKRETCSCCSDSSPDDDLPSLYLSFPAHPYRSRGAMGLATARPWGLRPQHLPVAKRDLGLHRARQSPLPNVIAAVPRRLGFASAPLRCVGFVSC >ORGLA03G0054400.1 pep chromosome:AGI1.1:3:3748254:3750615:1 gene:ORGLA03G0054400 transcript:ORGLA03G0054400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha [Source:UniProtKB/TrEMBL;Acc:I1P848] MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRFIFVGLSEKLSTLVSLELWN >ORGLA03G0054300.1 pep chromosome:AGI1.1:3:3742633:3744769:1 gene:ORGLA03G0054300 transcript:ORGLA03G0054300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha [Source:UniProtKB/TrEMBL;Acc:I1P851] MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKK >ORGLA03G0054200.1 pep chromosome:AGI1.1:3:3737957:3740592:1 gene:ORGLA03G0054200 transcript:ORGLA03G0054200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 Ubiquitin ligase family protein [Source:Projected from Arabidopsis thaliana (AT1G54150) TAIR;Acc:AT1G54150] MSARDRETAVALARLAAALDGAVLGLGTAALAVASWVKYLAASGQLRRIASAPAAAIPDLRSLLAEYGGGGGDGDQPILAAVRGHVRAAPRGKYLVPPCSGEHCVVAKHTQLCLFNEWRGIFGWTFDLHALFFKSIKEQIITSFRWVPFVLVDPENMTGMVHVKLDRAMQPLPLTTVYHKLTPVDSTPYTLFQTIIGNGYPIALLDEEKILPVGKEITAIGYIRPHKASVEISSCPEIPFFLSDLTKDEMEAELSSRAKTLFWASVVLGTMSVCLLGFATYRSWKKIKERREARQAQEVFRQTTDEVTDDQSSDEEAGEMGDGQLCVICLRKRRKAAFIPCGHLVCCCKCALIVERQFDPLCPMCRQDIRYMIRIYDN >ORGLA03G0054100.1 pep chromosome:AGI1.1:3:3733749:3736665:1 gene:ORGLA03G0054100 transcript:ORGLA03G0054100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G06025) TAIR;Acc:AT2G06025] MATGSVVAPPPSLASGGRGLRRRGVLHRRLAASPMKDEPVASTNGGKDEMVTDSFSVARRASHPGLSSSLSNPMSEVTTPFHPAAPSDLRFNRLRPSVEESDCKYKRFFGCYVAREAIIDEEYWIAAWLRAENRYEDQSSDRYVESFKRKFASQEFHALKRRCSKLQGEKYICFVAVKNDDLKRTVLNSVVGTLDVCIRHPLHGETFPAEPGKTSFHCRIYQPDQPKFGYLTNVCVAKYARRQGIASNMLLLAIDAARLNGAEEVYIHVHKDNLPARRLYDQIGFRMVDFDGARQSSDLCLLSFSS >ORGLA03G0054000.1 pep chromosome:AGI1.1:3:3731568:3731888:-1 gene:ORGLA03G0054000 transcript:ORGLA03G0054000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSSTERLVCVVLAVLAVLSPLYIDRRRPAAWDSDDEEEGGGVSALLLPALLIVLILAINVTCFVDRRVVRFDPYWIHRVGGSSCGLMATLLLLGFVLKCKASL >ORGLA03G0053900.1 pep chromosome:AGI1.1:3:3725798:3729223:-1 gene:ORGLA03G0053900 transcript:ORGLA03G0053900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCNYFVYTAGPGRPWLDLLPDPNAMPFNSQQFGLFPCRSGVSEHYDVAFLNSEWVASDEACQFELCTFSSKTGRWSSKPVLLDLSPSEIHKVAIEHETDKLITIGHDSLGLVDLWRGIILLEKLFDDYPVMRYMTFPKPVVYTIDAYGATVCGEIAPECARDVACCDGLIKFVDIEYCYSDDVNGNGWKATIWNRMLSWKDWRKRFSVDKFDILVDPSYSTVLPDLWDDNTKMMQLKKLICTIPTLSMYDDDFVYMMSTMTEEDKNAWIISVDMKQNTLQAVAPISAERFSVLCSDCRPCAFSKYLKITSGVVIPNPVGEYTKRNHLQDRVLEALRTQDSLNELDDCSEFERSNFEEYRSLVQSSPVSSLHSNIQNVAGYYASNDIEKAASKAVNICLRASEDLNQVLQESTSYPSAHAEAIRSKINVVLRAIGSLVQTVPVQPRMTTVADSHGVSKIYSEEEKNNSHEP >ORGLA03G0053800.1 pep chromosome:AGI1.1:3:3721802:3724302:-1 gene:ORGLA03G0053800 transcript:ORGLA03G0053800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLLPTTSGGGHLCPSPPPSRRRQCCQVAAAAGGIGRRAVSLAGVASWLTATAAGRADASPFDKYVKKKKLEPLETYVPAVLLTQDQFRDLEKSLEFEKPRYDESRSLLRSGPASSLRINIRAVVAQYASSSGQGKAASDAVDECLRALEDLDSLLLQASRNNPSASVDVMRSKISVALGALDNLLQTVPSAVLDKGKAIADAYRTPTDDYEMGDATELDPRLKQLQDIL >ORGLA03G0053700.1 pep chromosome:AGI1.1:3:3709336:3713487:-1 gene:ORGLA03G0053700 transcript:ORGLA03G0053700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNNGWLGFSLSSSSARGYGDGCGEGNGGGDGDGSCSSPVAASPLVAMPLHSDGSVHYDAPDWRHAEAKDPKLEDFMSVSYSNKSSSNLYGGSSSSSSCGHADQIKYHHVHDVQAFSTPYFYGHGGSGVGIDINMNAPPAGCTGVLPDHRPPPPQQDHIFLPPHGQYFLGPPNPMAPAPMYNAGGGGGGVVDGSMSISGIKSWLRQAMYVPERSAAALSLSVPAVPPSEAPLPPAAMPVVRKPAQTFGQRTSQFRGVTRHRWTGRYEAHLWDNTCRKEGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTHINFPLSTYEKELEEMKHMTRQEFIAHLRRNSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISKYDVKRICSSTHLIGGDLACRRSPTRMLPPDAPAGAAGVDVVVAPGDHQQISAGGGGASDNSDTASDGHRGAHLLHGLQYAHAMKFEAGESSGGGGGDGATTNWMAAAAAAARPVAGIPTTVHHQLPVFALWND >ORGLA03G0053600.1 pep chromosome:AGI1.1:3:3705228:3707426:1 gene:ORGLA03G0053600 transcript:ORGLA03G0053600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFALLPRWLWRMIHHPSSVVDLLIDSVKHKFDYNVPWNTECGFIKDTYFAPNMALHERLNKFRQQQERCQTTLSSIAANQASTPRSNITRWVQPTNGPSTPAKPPQRKFSDDTERLQRINSVRKSPAAAQIKIVIELLEKTRQALTADQINEATYVHIHGNKEVFDRLKNNPKVHFDGNLFSYKSKYGVNGKDKLLSLIRKFPDGLAVAEIKDAYLAVLEDLKALKASGDVCLVASTTKSDEGVVYPEIDPMSKIKFDDDLKELARSILLPRDMLDIKELQKNGQPTRTNAAKRRADAQILLYPPKPNKSKKKPRGLTGRTKLTNAHLPELFMDLKT >ORGLA03G0053500.1 pep chromosome:AGI1.1:3:3695655:3696596:-1 gene:ORGLA03G0053500 transcript:ORGLA03G0053500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWAAPKVTAGSARRYVADQPSFSSTLLDAIYKSMDEQPGHGGGATGVEAVAAAAKKQHEAALHYGYYYKPSLAGSYRARAPGPHATTSSSSECSSYGGFSSSEAESSHHRRLRPIRTTVPGGAPAPAPEKKANKPGASIRAKLRDLRKPASPGARLAGFLNSIFAGKRAPATPPSATAGAESACSTASSYSRSCLSKTPSTRGQAKRTVRFLDSDTESLASSTVVDRRRVPVEAVQQMLLQRMEMESDEDDDDDDESSDASSDLFELENFAAIAPAGAAYRDELPVYETTRVALNRAIGHGYGHGRSARVV >ORGLA03G0053400.1 pep chromosome:AGI1.1:3:3681713:3685522:1 gene:ORGLA03G0053400 transcript:ORGLA03G0053400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase/cyanide hydratase and apolipoprotein N-acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G12040) TAIR;Acc:AT5G12040] MATAASFRPEAARSPPAVQPPALPLSKFKVALCQLSVTADKARNIARAREAIEAAAAGGAKLVLLPEIWNGPYSNDSFPEYAEDIEAGGDAAPSFSMMSEVARSLQITLVGGSISERSGNKLYNTCCVFGSDGELKGKHRKIHLFDIDIPGKITFKESKTLTAGQDLTVVDTDVGRIGIGICYDIRFQELAMLYAARGAHLLCYPGAFNMTTGPLHWELLQRARAADNQLFVATCAPARDTSAGYIAWGHSTLVGPFGEVIATAEHEETTIMAEIDYSLIDQRRQFLPLQYQRRGDLYQLVDVQRSGSDE >ORGLA03G0053300.1 pep chromosome:AGI1.1:3:3665214:3666996:1 gene:ORGLA03G0053300 transcript:ORGLA03G0053300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVVDMDEEGGAAAAADEIRRLPAEVNWEMLDKSRFFVLGAALFSGVSAALYPAVVVKTHLQVAPPPQAATATAAAILRRDGLRGFYRGFGASLAGTVPARALYMAALEATKSSVGSAAVRLGVSEPAATAAASAAGGVSAAIAAQVVWTPVDVISQRLMVQTSSTCRYRGGVDAFKKILLADGVRGLYRGFGLSIVTYAPSNAVWWASYAMAQRFIWRVVGAERSESYPSLMAVQGASAALAGGASALVTMPLDTVKTRIQVMETDGAAAARPTLKSTVRGLLKEGGWAACYRGLGPRWGSMSLSAATMVTTYEFLKRLSAKEGLWVLICGRILLPVSSICSLLELGAGARDNEWTALPIDQEHVPDSHSLETRKKEPSHPVTVNLCSEMVSEQALRLELGSSCTLGKIGHDGDGNIEFNTCKNHMGGADVR >ORGLA03G0053200.1 pep chromosome:AGI1.1:3:3652845:3654429:-1 gene:ORGLA03G0053200 transcript:ORGLA03G0053200.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFIILAENGTVMIQFGHKMPDYESSATQSTSGSPREVSGMSEGSLNEQNDQSGNLDGYTKSDEGKLMSALSLGKSETVYAHSEPDRSQPFGISYPYADSFYGGAVATYGTHAIMHPQIVGVMSSSRVPLPIEPATEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKNRKPYLHESRHQHAMKRARGTGGRFLNTKQQPEASDGGTPRLVSANGVVFSKHEHSLSSSDLHHRRAKEGA >ORGLA03G0053100.1 pep chromosome:AGI1.1:3:3649974:3650174:-1 gene:ORGLA03G0053100 transcript:ORGLA03G0053100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLMALAFSAAPLTLYVPPVRSLSLFVEAIEAVFRDCAPYSQGAIFRFRLGLSRILSGLARALR >ORGLA03G0053000.1 pep chromosome:AGI1.1:3:3640674:3647765:1 gene:ORGLA03G0053000 transcript:ORGLA03G0053000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;tRNA (5-methylaminomethyl-2-thiouridylate)-methyltransferases [Source:Projected from Arabidopsis thaliana (AT1G51310) TAIR;Acc:AT1G51310] MLRVVSTLPALRPLLAGSTLLLNARPLLRSRLTRRPFRAVSSSTASPSSSSSGARDFGGVDFGDERLLRCAAAGRAPLRVAVLVSGGVDSSVALRLLHAAGHRCTAFYLKIWFQEDFRNFWSECPWDDDLKYAQAVCDKIDVPLEVVHLSDEYWNHVVSHIINEYRSGRTPNPDVLCNTRIKFGAFLEAIENLGFDYIASGHYAHVVHPSPDDVEGPSVLQLSKDKVKDQTYFLSHLSQTQLRRLLFPLGCITKDEVRRLAAQMDLPNQDRKDSQGICFLGKVKFSEFVERQIGEMEGVLLEAETGDYLGTHRGFWFYTIGQRQGLRLPGGPWYVVEKDVQNNVVFVSRNYYSLDKRRRTFRVGSLNWFSNSGPTNNEQLKCKVRHSPEFHDCTVTQEQTSENGVILVVRLSEDDQGLAAGQFAAFYRDNLCLGSGIILDSWDEMNFPVCARALEIARMEDKSRLGKPVKIMNFEHIVKSEKEAIEVA >ORGLA03G0052900.1 pep chromosome:AGI1.1:3:3637493:3640453:-1 gene:ORGLA03G0052900 transcript:ORGLA03G0052900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate synthetase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:I1P833] MSLSTVNHAAAAAAAAAGSGKSFSAAAPAAPSVRLPRTRAPAAAAVSAAAVGADPAADRVSALSQVSGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFNEIDGLESNGVNCNGRILVSDRAHLLFDLHQAVDGLREAELANSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDVLFKDAASRFEGFEYSKSMLREEVERYKRFAERLEPFIADTVHVLNESIQQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRCIGDLIGVVKAYTTRVGSGPFPTELFGEEGDLLRKSGMEFGTTTGRPRRCGWLDIAALKYCCEINGFSSLNLTKLDVLSGLPEVKLGVSYNQPDGQKLQSFPGDLDTLEQVQVKYEVLPGWQSDISSVRSYSELPLAAQRYVERIEELVGVPVHYIGVGPGRDALIYK >ORGLA03G0052800.1 pep chromosome:AGI1.1:3:3629638:3633695:1 gene:ORGLA03G0052800 transcript:ORGLA03G0052800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:exostosin family protein [Source:Projected from Arabidopsis thaliana (AT3G57630) TAIR;Acc:AT3G57630] MRTMRSTKCPWAFLGVAGALVMLVAAVHVFMVPILPSSLDFFGAGHGIGKPRNVLPGVGVVDSRLSGQFPSDSYGAVTYRGAPWKAEIGRWLAGCDAGLSVVNITEFIGTKRCEQDCNGQGVCNYELGECRCFHGYAGKRCEEVQKVECNLPSSQEWPVGRWIVSICPAHCDTTRAMCFCGPGTKYPDRPVAEACGFKTILPKKPDDPKLTDWKTPDPDVFTTNKSKPGWCNVNPEDAYSSKVKFKEECDCKYDGLWGRFCETRVECSCINQCSGHGHCRGGFCQCDGGYFGIDCSMPSNYSVAYGMPSWLQPPMNLPDLKNISSSSINVKVVAKKKRPLIYVYDFPAEFDSHLLEGRHYKFQCVNRIYDDKNRTIWTQQLYGAQIALYESILASPHRTLNGDEADYFYVPALDSCLITRSDDAPHLQMPRDLRLRSYHTLEYYRMTYDHIAQRYPYWNRTSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNTKHKNSTTAYWADNWNYIPIDRRGNHPCFDPRKDLVLPAWKQPNPAAIWLKLWARTRNNRTTLFYFNGNLGPAYKDGRHEDTYSMGIRQKLAAEFGSTPDKQGKLGRQHTANVTVTYLRTEKYYEELASSIFCGVLPGDGWSGRMEDSMLQGCIPVIIQDGILLPYENMLNYNSFAVRIQEDDIPNLIRILRGINETQVEFMLRNVRQIWQRFFYRDSILLEAQRQKRLFSEEAPWSVEVSKLDKVDDVFATFIQVLHYKLYNDPWRQGVLQRKETGLPDICSKAS >ORGLA03G0052700.1 pep chromosome:AGI1.1:3:3625583:3627617:1 gene:ORGLA03G0052700 transcript:ORGLA03G0052700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEPKDIDWSRVVSRYVRDETYEGIEAPHWADLTDPEAGVAAVDDEAWFCRPDCRHPKTVEDFLKMSPSPKGKLLRSVSAMMMPFGERDTNLRDGNNNLKRRGAVAGSGIAAPFTPPKPKAAAKKRFQDDSENQDPALATPPPPPPAASRPPFGAARWAKNAKDAIKSSAEKRPGNAEKEALLSKNAAPRQLKSTLSARNLFSGKDILGQISDFYNELKRMAGGNGSRPGSEAMEELSSNPINEGDVAEKKVDCGCGTGDQVPSEEAIKEKSRQETAEKSPSTMKGKKMGLKVESAKPTRSSVLKEVKATPPTPQRFPSPSTNRVKNVKAGGMSMASSPLKKPLKEKGTPSKDLENSKDAKRQPFGVKDMNNTKSCDAEGSSSMFWFLKPCTFLVE >ORGLA03G0052600.1 pep chromosome:AGI1.1:3:3620752:3624718:1 gene:ORGLA03G0052600 transcript:ORGLA03G0052600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G19350) TAIR;Acc:AT5G19350] MAAAPYHQPTSLEEVRTLWIGDLQYWADENYLYNCFAHTGELQSVKIIRNKLTSLPEGYGFIEFISHEVAEKVLQTYNGTQMPGTEHTFRLNWASFSSGERRPDAGPDHSIFVGDLAPDVTDYLLQETFRVSYPSVKGAKVVTDPNTGRSKGYGFVKFADENEKNRAMTEMNGMYCSTRPMRISAAIPKKTTGSQLQYGAAKAMYPAAGYAVPQVQPVLPDSDPTNTTIFIGNLDQNVTEDELRQICFQFGELIYVKIPANKACGFVQYASRASAEEAVQRLHGTTIGQQVVRLSWGRSPFCMQDQSAVWSQQADPNQWASAYYGYGYDAYGYAQDPSYAYNSYAGYTQYPQQVEGATDMASAAGSHAPGMEKEEVYDPMNLPDVDKLNASYIAVHGRAMLGRPLWLRTSSLPQSA >ORGLA03G0052500.1 pep chromosome:AGI1.1:3:3613745:3617526:1 gene:ORGLA03G0052500 transcript:ORGLA03G0052500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63530) TAIR;Acc:AT3G63530] MNGSRQMELHYINTGFPYTITESFMDFFEGLTYAHADFAIADAFHDQANPYWAMMHTNSYKYGYSGAGNYYSYGHVYDMNDYMHRADGGRRIWDNATPVNNTESPNVVLQGGETPHANTSSTTEECIQQQVHQNSSSPQVIWQDNIDPDNMTYEELLDLGEAVGTQSRGLSQERISLLPVTKYKCGFFSRKKTRRERCVICQMEYRRGNLQMTLPCKHVYHASCVTRWLSINKVCPVCFAEVPGDEPKRQ >ORGLA03G0052400.1 pep chromosome:AGI1.1:3:3606789:3607595:-1 gene:ORGLA03G0052400 transcript:ORGLA03G0052400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSVRLPTHVDVHVQCEGDKVDLVEXRSPWTSILQMSQHMGELGSIGLETPRGVALLGXRPLLLRHMHAXGVAPDEVSMGVGFIDLDYNALDSGGPMQFLLEEMCHGNVDNAGASGIQGVARISKLDVTYNTMIDLYGKARKIKDASCRRRRLRRAIDLCNTVQTPFIDLRRAVLLQEQPSVPTL >ORGLA03G0052300.1 pep chromosome:AGI1.1:3:3601562:3605269:-1 gene:ORGLA03G0052300 transcript:ORGLA03G0052300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRIMNRESFNPPSLPNLAASRCWPESVILANRAYIADCKNPTTATAQSRDGHTVQISFCFAEAPVISYFCAHCYNPRVKVEFFTEPIIVAGEGAVVVLRVHLSSPLLSEFFIYRAGPGLPSLERVQDPTGYNSPIFYLSLLGIASCDNDDGGSCLLVGFRNRLTHYEVYVYTSNTKTWSTRRLDLPPGIRAIRPQKVINLGRGLLGWVDLWHGILIFNAHDEQPQIQYVPLPLPMPLNKESFRPSNRSESCPMLFRDVICSSSGEIKFVELEQRQRKIQPIPQELEDVRQESKDVCDRDVLDDSYLMSCAHMDDLPKEETPSFVGDGWRAVTWSRLISSNCWQKGYVIDSDDMQSTYEVLTSTQRDKGVEMLRFRDLFSVFPTLSLNGAGDLLYLKSTVKFKDLNGWVSSIDIGKKTIKVLKPYCNGRHIPFVQMFRSCVLCHHLTVLPGPENIGRFIETSSNENHPKTIAASIMPESNRLTGNKRSRSATRWSPALRGWPSARVAKPALSWRSCPPSLSPVSSTYGLRNTAGYHMAQTCCFENDPRATANTTPRHPENQFTQHGYEQRENDFILSAPNFVRWHRPPFSAGGTLPPPPPTQQPSAFGTLPLPHPSQQFSACGTMLPPSSSQPFCSSVRSLAMQTFATPGPILPGPPVTPMQWPVFVSPQHQLSACAVWPQAGTLQQELPPHIPFRAHQCP >ORGLA03G0052200.1 pep chromosome:AGI1.1:3:3600309:3600659:-1 gene:ORGLA03G0052200 transcript:ORGLA03G0052200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:I1P826] MKSLAAVLIVLAAAAVAASASESEFKQTPVADMPADPRGPLLGRFAVLVYSLNRNRRLTYAGVSLVDQHPDKGGVRYQMVVTAADAGGGAAAPYRAVVWGIPETHAWMLLEFNRIN >ORGLA03G0052100.1 pep chromosome:AGI1.1:3:3596194:3596559:-1 gene:ORGLA03G0052100 transcript:ORGLA03G0052100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:I1P825] MRTSSSLLVAAALVFAVVVVAETLPAAEATYRPIGNTSNLVVQQVGRFSVLVYDLSHRKSLVFVSVVSGETEAAVGGGTNYRLVILAETTPGGSKAKFQCVVWGVPGSRANTWKLLSFKAI >ORGLA03G0052000.1 pep chromosome:AGI1.1:3:3588399:3588767:-1 gene:ORGLA03G0052000 transcript:ORGLA03G0052000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:I1P824] MARSSPLFLLGAALAVVTAAAATEAAGWAPVADVQELVIQQVGRFAVLVYSLAHHTDLAYVGVARGETEAAAGGAGGTNYRLAVAVTKPYGSAAQYECLVWGVPGSRLDTWKLRRFRRIRLP >ORGLA03G0051900.1 pep chromosome:AGI1.1:3:3579017:3579415:-1 gene:ORGLA03G0051900 transcript:ORGLA03G0051900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:I1P823] MRPSSHSAVLLSAIFTAALLAVAAKADGGSVTAAASPSPPPAWTAVSNVNDRSIQQVGQSAVRIYGLSTNKTYLRYVNVVSGQTQPCNGGYNYRLVVTVAGPGATAARYDALMWGILGTTNWKLLSFTLAAN >ORGLA03G0051800.1 pep chromosome:AGI1.1:3:3559736:3560143:-1 gene:ORGLA03G0051800 transcript:ORGLA03G0051800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:I1P822] MRQSSCLSIIVVVISVTLVAITNNADGALASSLPPAPPAAAAGWTAVANVNDKSIQQAGQFGLWIYRQITRLYFLRYVSVVSGQTQPYNGGYNYRLVVTVYGGPNWKTTLYDADVWGIPGTTTHWWFRSFTPKRS >ORGLA03G0051700.1 pep chromosome:AGI1.1:3:3555045:3558695:-1 gene:ORGLA03G0051700 transcript:ORGLA03G0051700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCLWPCVTGGGAAADGAGSLFRSKARGPVEVVRHARELLAFLAENHDACGDKRDVKREHKMADLAKSIGEMKSILYGNGEADPVDEACSQLTKEFFKENTNSLHLLVVCLPYMDLETQKDVTQVTANLLRQKVDYRMVASDYLEENQDLLDVLMSGYDNMDIAIHYSAILRDCIRHQVAARYVLESQHRKKFLIIYIFQTSTXHLMPSRPFKELLTRHRSSAAEFFSIITIGFFRNLTQNCCHHPTISFEGKPLSYXETFFWISQTQQQWCAMLAQRITSXYXXTFXGSKAGPFKLRLFAFSSCSLAIRRSHLRSWVYWXQTRAKSSGSWQISQXKKRISSSRPTKPRLSPRYRPC >ORGLA03G0051600.1 pep chromosome:AGI1.1:3:3554256:3554492:1 gene:ORGLA03G0051600 transcript:ORGLA03G0051600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALLVTEEFERKLKRGAPGEEGAAAESRRNFGAVIKVCSSWVDAAAAGVTVNVALLNVDPKSGPAVAAMDGAFSA >ORGLA03G0051500.1 pep chromosome:AGI1.1:3:3547554:3551426:1 gene:ORGLA03G0051500 transcript:ORGLA03G0051500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUPPRESSOR OF AUXIN RESISTANCE 3 [Source:Projected from Arabidopsis thaliana (AT1G80680) TAIR;Acc:AT1G80680] MSSDPVFPVLRHGDYFTKPSIDELVEREAADPGYCSRVPDFVVGRVGYGRIHFPGDTDVRGMDLNGIVKFGRHSVEVYKDEASKPPLGQGLNKPAEVTLMLNLSVLPEPSALGELLKCQTRKQGARFVSFNHSSGRWKFEVDHFSRFGLVDEEEEDVVMDEVVVRQPIAEVRDPPANGHELELSRSLPAHLGLDPAKMHEMRMTMFSNEEGDEDMEDGFPSDQRYFSSEKMNVDSPNSSAKGLRLRSLSPLHGSSLKVSRRPGVIGRREPQALLEYSVNSSEHGPSSHGILMSGQNKGFPVRMTKVDGFKLPSDQETPVAGNVYSNCVVDAALFMGRSFRVGWGPNGILVHSGSLVNRPGTGLSSVIHIEKVAGDKVVRDEKNKIKEELTDLCFSDPLDLHRRLHHEYLETESDLFKLKLQKVVASRFVLPDICRSYIDIIERQLEVSDLSMSSRVLLMHQVTVWELIRVLFSERATGNQLEPTGDEDQEGMILDKKEGTVAIDLEALPLVRRADFSNWLQDSVCHRVQGEAGSLNDARYLEHIILLLTGRQLDTATEVAASRGDVRLAILLSQAGGSMLNRSDLSQQLDLWKTNGLDFDYIQEDRLKIYELLSGNVQGALVDSSIDWKRYLGLIMWYQLSPDASLDIIIHSYHQLLGEGKVPYPVPVYIDEGPLDESLQWSPGDRFDISFYLMLLHANQDEKFGMLKTMFSAFSSSYDPLDYHMIWHQCSILEAIGAFSSNDLHVLDLSFVYQLLCLGKCHWAIYVILHMPHLDDAPYIHEKLIREILSQYCESWSKDETQRVYIAELGIPVEWMHDALALYNEYYGDQQSALENYILCGNWKKAHTIFMTSIAHSLFLSSKHQEIWDITSSLEDHKSEIADWELSAGIYIDFFILRNSMQEESTMDDPDQLEKKNESCSAFFGRLNDSLIVWGSKLPVEARACFSKMAEELCELLMNSPGEGLTPDLYMGCFRTMLNAPVPDDHRSSYLQEAVSVFTDILCRD >ORGLA03G0051400.1 pep chromosome:AGI1.1:3:3542205:3545676:1 gene:ORGLA03G0051400 transcript:ORGLA03G0051400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alanine:glyoxylate aminotransferase 2 [Source:Projected from Arabidopsis thaliana (AT4G39660) TAIR;Acc:AT4G39660] MAASSSSSLLLRRGATGGAGRRWGPGEALRRLVSSSEAAPAEKVPARSPPVMPPFEHRPRPYAGWSGDEILAKRKQFLGSSVFYYYQKPLNIVEGKMQYLYDENGKRYLDCFGGIVTVSCGHCHPDIVNAVVEQTKLLQHTTTIYLNQPIVEFAEALASKMPGNLKVVYFVNSGTEANELAMLMARLYSGNLNMIALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFGSDAVAYAKEVEEQINYGTSGRVAGFIAETFQGVGGAVELAPGYLKLAYDTVRKAGGVCIADEVQSGFGRTGSHYWGFQTQDVIPDIVTMAKGIGNGLPLGAVVTTPEIANVLAQKIQFNTFGGNPVCSVGGLAVLKVLDKEKRQAHCADVGSHLVNRLKELQQKHEIIGDVRGRGLMLGVELVTDRKEKTPAKAETNLLFEKLKDLNILVGKGGLHGNVFRIKPPMCFTRDDADYLVDAMDYAMSGL >ORGLA03G0051300.1 pep chromosome:AGI1.1:3:3533458:3534013:1 gene:ORGLA03G0051300 transcript:ORGLA03G0051300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRGGGGGGGRITDEEINELISKLQALLPESSRSRGASRSSASKLLKETCSYIKSLHREVDDLSDRLSELMSTMDNNSPQAEIIRSLLR >ORGLA03G0051200.1 pep chromosome:AGI1.1:3:3522837:3524132:1 gene:ORGLA03G0051200 transcript:ORGLA03G0051200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEGNSCLISRSLPSSCEPETQWAYLSHEVLNGKRPAPEDAEAEDMDEVDCGGGKRSKPPSPQPHTPDISEGHGSSRHVAASGGGEEHGNGSSLIGAIGRDLTINCLLRLSRSDYGSVASLNKDFRSLVRNGEIYRLRRQSGVAEHWVYFSCNVLEWDAYDPYRERWIQVPKMPPDECFMCSDKESLAVGTELLVFAMAHIVFRYSILTNSWTRADPMISPRCLFGSTSVGAKAYVAGGTDSSGRILSSAEMYDSETHSWTPLPSMNRARKMCSGVFMDGKFYVVGGVASNNKVLTCGEEYDLKRRSWRVIENMSEGLNGVTGAPPLIAVVNNELYAADYSEKDVKKYDKQNNKWITLGKLPERSVSMNGWGLAFRACGDRLIVIGGPRTSIGGTIELNSWTPDERPPVWNLIARRPSGNFVYNCAVMGC >ORGLA03G0051100.1 pep chromosome:AGI1.1:3:3503072:3503731:-1 gene:ORGLA03G0051100 transcript:ORGLA03G0051100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRQSGSSRITDEQISDLVSKLQDLLPEARLRSNDRVPSSRVLQETCNYIRSLHQEVDDLSERLSELLATSDMSSAQAAIIRSLLM >ORGLA03G0051000.1 pep chromosome:AGI1.1:3:3498826:3499221:-1 gene:ORGLA03G0051000 transcript:ORGLA03G0051000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAIVDSPLLGPNVGAGLGQGAAMPAVHLDSGRLQQPPSGFRLHNVRNLPLQFTSTHLEGSRIQRGHRRFLPSRREVAAAIHVLVESCWNNNPLVPACVASSVRPSWFLTASPGIASLQVAGRASMLSS >ORGLA03G0050900.1 pep chromosome:AGI1.1:3:3496037:3496243:1 gene:ORGLA03G0050900 transcript:ORGLA03G0050900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGDTKDIGEDEDNSAGEGEEPLIMGWDGRRRLGGYGDWVAMFGGNRLGHMTVLGPRWHDRNGVMDI >ORGLA03G0050800.1 pep chromosome:AGI1.1:3:3486486:3492374:-1 gene:ORGLA03G0050800 transcript:ORGLA03G0050800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSGAGGGGGGGGGGLELSVGVGGGGGARGGGGGEAAAAVETAAPISLGRLILSGMVAGGVQYGWALQLSLLTPYVQTLGLSHALTSFMWLCGPIAGMVVQPCVGLYSDRCTSKWGRRRPYILTGCVLICLAVVVIGFSADIGYAMGDTKEDCSVYHGSRWHAAIVYVLGFWLLDFSNNTVQGPARALMADLSGRHGPGTANSIFCSWMAMGNILGYSSGSTNNWHKWFPFLKTRACCEACANLKGAFLVAVIFLSLCLVITLIFAKEVPFKGNAALPTKSNEPAEPEGTGPLAVLKGFRNLPTGMPSVLIVTGLTWLSWFPFILYDTDWMGREIYHGDPKGTDPQIEAFNQGVRAGAFGLLLNSIVLGFSSFLIEPMCRKVGPRVVWVTSNFLVCIAMAATALISFWSLKDFHGTVQKAITADKSIKAVCLVLFAFLGVPLAVLYSVPFAVTAQLAATRGGGQGLCTGVLNISIVIPQVVIALGAGPWDELFGKGNIPAFGLASGFALIGGVAGIFLLPKISKRQFRSVSMGGGH >ORGLA03G0050700.1 pep chromosome:AGI1.1:3:3482920:3483888:1 gene:ORGLA03G0050700 transcript:ORGLA03G0050700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSRQARHDLRHCPSPLALPRCQSFPARCAGDAGVHVVRLTSTTLGSLEVDKGAPRAAEAPPMRRMVPRTPTMTPPNEPEAIDAWALMAGLEEHSPLLVPPFARHSFSFPITAVPPELATASRKVTPLPLVEKKKASPVARPRKAVLYFTSLRGVRATHEDCCLARAILGGYGVRVDERDVSMHRGFRDELHGLLGLGRGAALAKCWAPAAAPALPSLFVDGELVGNADELKRLHEAGELAARLAGCESAAPGEAAGACEACADVRFVLCGACSGSCKVYVDDGDEDDENPLDGGGGGGFRRCTECNENGIVRCPVCCC >ORGLA03G0050600.1 pep chromosome:AGI1.1:3:3475333:3477269:-1 gene:ORGLA03G0050600 transcript:ORGLA03G0050600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGMASSPSSFFPPNFLLHMAQQQAAPPHDPQEHHHHHHHGHHGHHHEQQQQQQHHHHLGPPPPPPPHPHNPFLPSSAQCPSLQEFRGMAPMLGKRPMSYGDGGGGGDEVNGGGEDELSDDGSQAGEKKRRLNVEQVRTLEKNFELGNKLEPERKMQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDALKRQLDAVKAENDALLNHNKKLQAEGREAASELINLNKETEASCSNRSENSSEINLDISRTPPPDAAALDAAPTAHHHHHGGGGGGGGMIPFYTSIARPASGGGVDIDQLLHSSSGGAGGPKMEHHGGGGNVQAASVDTASFGNLLCGVDEPPPFWPWPDHQHFH >ORGLA03G0050500.1 pep chromosome:AGI1.1:3:3467370:3467927:-1 gene:ORGLA03G0050500 transcript:ORGLA03G0050500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G14345) TAIR;Acc:AT1G14345] MPPPSSLPSTAAAATASAAFLRPSASAHPASASAPPNAAACLRRSPRRRLVAARAAADGGGAETVFFDGGAHYGDLAANLALGLTLLWLPLTLAAVSRAFILRYRFTSRRVSVISGLSGADRTDFPYSSVTSVVVVPRFIGEWGDIVITLKDGTKVDLRSVPRFREVADYCRSMAAAEGSLAAST >ORGLA03G0050400.1 pep chromosome:AGI1.1:3:3458455:3461275:-1 gene:ORGLA03G0050400 transcript:ORGLA03G0050400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTTARVDHSMNNGAYPSKLTSKTSLSSVPSTLKSNSSRSTLTLPSMKDRSELPTPRTEGEILSSSNLKAFSFNDLRNATKNFRPDSLLGEGGFGHVYKGWIDEHTLAPSKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHKNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNSKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWAKPHLGDKRRLYRVMDTKLGGQYPKKGAHAIANIALQCICNDAKMRPRMSEVLEELEQLQDSKYNMASPQVDIRRTSNAVPKSPMRIQPSPRRSLGAAASPLPGYRTAKVH >ORGLA03G0050300.1 pep chromosome:AGI1.1:3:3454374:3457484:1 gene:ORGLA03G0050300 transcript:ORGLA03G0050300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 20 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: 3-dehydroquinate synthase, prokaryotic-typ /.../erPro:IPR002812); Has 390 Blast hits to 390 proteins in 131 species: Archae - 144; Bacteria - 105; Metazoa - 0; Fungi - 0; Plants - 54; Viruses - 0; Other Eukaryotes - 87 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G28760) TAIR;Acc:AT3G28760] MAVSSLAFARVLVPPSPRTALASACSHSIKMCASSASPSESKKTVWVWTTNRQVMTAAVERGWSTFLFGSKDLGKDWSSTARINPLFIDGLEIFDEKKQKIAVISEVSSPGELELIQPDNVEVENIVIDFRGGWQVIPAENIVAAFQGCRGTVLAVSTNSTEAQVFLEALEQGLDGVILKVEDMDDIIKLKDYFDRRNEAKSQLMLTKATVSKVEVVGMGDRVCVDLCSMMRPGEGLLVCVGSYARGMFLVHSECLETNYIASRPFRVNAGPAHAYVAVPGGRTSYLSELQSGREVIVVDQNGLWRTAIVGRVKIESRPLILVESKENGGDDTYSIFLQNAETVALITPEKGSSGRTAIPVTSLKVGDEVLVRKQGGARHTGIEIQEFIVEK >ORGLA03G0050200.1 pep chromosome:AGI1.1:3:3451326:3452535:-1 gene:ORGLA03G0050200 transcript:ORGLA03G0050200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAGGGATGESRESACRFSSEYNERGVLGEMSRPAPHLQCMCSGECLWILYLRSPQRLGSSVKLHLEVGIYCHQARKSLAVLLPGSSRNNKSY >ORGLA03G0050100.1 pep chromosome:AGI1.1:3:3447458:3447817:-1 gene:ORGLA03G0050100 transcript:ORGLA03G0050100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCCVFLRWPSASPSRIGYRSLDDGDDGPSPAAVTTTVVVGKERRVFSVDQLVLDTYPFRLLLETAVRKEESKAALFVDVDAILFEHILWLAGHHDRSSSSLLHLDLKEIIDFYSQDA >ORGLA03G0050000.1 pep chromosome:AGI1.1:3:3444577:3445583:1 gene:ORGLA03G0050000 transcript:ORGLA03G0050000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQIGSHAPLPKTAIASLLACCIARQKSDIKMLFKAQVQPSPLRAGNVRSNRITFTFLQVPSSFPRPHSRRPAVRWSTLYADEPAAEGTSLNVLIVMHPRRTCSWSHIVIPVALETDVHHGDDSKDRVGSTTVKCDPCIPPLLLSFPQDQ >ORGLA03G0049900.1 pep chromosome:AGI1.1:3:3439429:3441661:-1 gene:ORGLA03G0049900 transcript:ORGLA03G0049900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIKGGHGAAAAGGGNGWMTVPAFGDWDMKNGALPDYSMDFSKIREMRKQNKKELSRTSLGGDDDLLAQQKQQQPQPQQKPAKANLGRPADDHRHRQLHGRHGSPTGRRTFLSYFQCCIKA >ORGLA03G0049800.1 pep chromosome:AGI1.1:3:3435203:3436468:-1 gene:ORGLA03G0049800 transcript:ORGLA03G0049800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease [Source:Projected from Arabidopsis thaliana (AT3G47490) TAIR;Acc:AT3G47490] MVGRKPLRRRRHDAPPSPPSFGATPRPTSPRSSSASVAAVAEELDGLLLTAPRPLASSSEPRSFPYVVKQRCWEKAERVAGRDPERWRRDALGNVVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQATVNRSKGNKTELSKSELIQKSAYCRVSGRDMDLLELSAYGNVRRGPDSGGCRIQ >ORGLA03G0049700.1 pep chromosome:AGI1.1:3:3429462:3431729:1 gene:ORGLA03G0049700 transcript:ORGLA03G0049700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECKVGGGGGGGDCLIKLFGKTIPVPEPGACAAGDVDKDLQHSGSSTTEPKTQENTVQDSTSPPPQPEVVDTEDSSADKNSSENQQQQRDTANQKEKLKKPDKILPCPRCSSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKSKSVSAASHFLQRVRAALPGDPPLYAPVKTNGTILSFGSDLSTLDLTEQMKHLKDKFIPTTGIKNTDEMPVGLCAEGLSKTEESNQTNLKEKVSADRSPNVAQHPCMSGGAMWPFGVAPPPAYYTSSIAIPFYPAAAAAVAAYWGCMVPGAWNAPWPPQSQSQSVSSSSAASPVSTMTNCFRLGKHPRDGDEELDSKGNGKVWVPKTVRIDDVDEVARSSIWSLIGIKGDKVGADDGRGCKLAKVFESKDEAKTSTHTAISSLPFMQGNPAALTRSVTFQEGS >ORGLA03G0049600.1 pep chromosome:AGI1.1:3:3419603:3423865:1 gene:ORGLA03G0049600 transcript:ORGLA03G0049600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQWGRWRLAAAAAASSSGDQIAAAWAVVRARAVAPVLQFAVWACMAMSVMLVLEVAYMSLVSLVAVKLLRRVPERRYKWEPITTGSGGVGGGDGEDEEAATGGREAAAFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPAIKDLVELECKDWARKEINIKYEIRDNRKGYKAGALKKGMEHIYTQQCDFVAIFDADFQPESDFLLKTIPFLVHNPKIGLVQTRWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHSFFGFNGKIQYQNYLHELFMPGPNIADLPTGTAGVWRVSAINEAGGWKDRTTVEDMDLAVRASLKGWQFLLRVNSQVPSKPTDISSIDGLVVLPTSSEKWQRKLPKTRQAFFNDRMEHVTGLSCNNFFTRLYVIQGVSVWKKLHLLYSFFFVRRVVAPILTFLFYCVVIPLSVMVPEVSIPVWGMVYIPTAITIMNAIRNPGSIHLMPFWILFENVMAMHRMRAALTGLLETMNVNQWVVTEKVGDHVKDKLEVPLLEPLKPTDCVERIYIPELMVAFYLLVCASYDLVLGAKHYYLYIYLQAFAFIALGFGFAGTSTPCS >ORGLA03G0049500.1 pep chromosome:AGI1.1:3:3418296:3419219:-1 gene:ORGLA03G0049500 transcript:ORGLA03G0049500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT1G14205) TAIR;Acc:AT1G14205] MASLRAAPGLPFSPRPACCRPPSSPGVQFFTPASAGGAGGVGRRRSYPRIEATARHGARKENPKVRNRRLQKKFNGTATKPRLSVFCSNRQLYAMLVDDHNRKILFYGSTLQKAICGDPPCGAVEAAGRVGEELIRACKELDITEISSYDRNGFARGEKMMAFEVPVSQYGFLPR >ORGLA03G0049400.1 pep chromosome:AGI1.1:3:3408630:3411872:-1 gene:ORGLA03G0049400 transcript:ORGLA03G0049400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPRVWLLAGCGAVLLWAFVGQLVAVGRLLALFGLAGDAEPSPPPTALPPRRVYKSNGYLKVSCNGGLNQMRSEICDMVAVARLLNLTMVVPELDKRSFWADQSNFEDIFDVKHFIDTLRDEVHIVKQLPKRFGPEDSNNILNMPPVSWSDEKYYLHQILPLFSKYSVIHFNKTDARLANNGISTQLQLLRCRVNFHALKFTPQIEALGNKLVQKLQAKGSFVALHLRYEMDMLAFSGCNHGLSQEEAEELKRMRYAYPWWREKEIDSQAKRLQGLCPLTPEETSFILKALGFQKDTLIYIAAGEIYGGEKRLEPLQAAFPKLVRKETLLDLEALRQFQNHSSQMAALDFIVSTASDIFIPTYDGNMAKLVEGQRRFLGFRRSVLLDRQKLVGFIDLYNNKTISWNNFASSVQETHRNRVVQPSCRQKLENKPKEEDYFYANPHECLANSRFCSRTKDAISVR >ORGLA03G0049300.1 pep chromosome:AGI1.1:3:3404807:3407715:1 gene:ORGLA03G0049300 transcript:ORGLA03G0049300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose-5-phosphate-3-epimerase [Source:Projected from Arabidopsis thaliana (AT5G61410) TAIR;Acc:AT5G61410] MASPSSSSSLCSTFASPRAASLGRRLAFSSPRKAFRVRASSRVDKFSKNDIIVSPSILSANFSKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRKGRSGLTFFQIKSLGAKAGVVLNPATPLTAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKIAELRRLCAEKGVNPWIEVDGGVGPKNAYKVIEAGANAIVAGSAVFGAPDYAEAIKGIKTSQKPVAVPA >ORGLA03G0049200.1 pep chromosome:AGI1.1:3:3401878:3403668:1 gene:ORGLA03G0049200 transcript:ORGLA03G0049200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGWLRRAAAAAAAPRLPSGLPILPTPPPAPLTEAQSFVLPGIGAAVAGGMELMAVPKKKVSKYKKGLRNGPKALKPVPVIVRCRCCGRVKLPHFYCCSGEKGNPGDSSS >ORGLA03G0049100.1 pep chromosome:AGI1.1:3:3397242:3398852:-1 gene:ORGLA03G0049100 transcript:ORGLA03G0049100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 35 [Source:Projected from Arabidopsis thaliana (AT1G26760) TAIR;Acc:AT1G26760] MATPGLDDDSLQQLRSRATQLLLKENWTEYIAVCSLIIDAFDAAAACKDRRVLCSTLAHRADARARLGDAPGALADCDAALAADPAHPGALLSKGAVLRGLGRYARAAECFRAALAVSGTDEVREMVEQCKRLDAQVRSGAVDLSEWVLAGFSGKCPDLAEHVGAVEVLRSAHGGRGVLAVKNIEAGANLVISKAVAIGRGVIPDAADSGEKMVVWKDLVDKVLDAAEKCPRTASLIYTLSTGEEPEDELPIPDMAHFKQETEELDDGTAMAPKASLDVDKILKVLDVNCLTEDAAPSANLLGSNGVVNCGVGLWILPAFINHSCHPNARRTHVGDHAIVHASRDIKAGEEITFAYFDVLTPASKRREAARAWGFECQCDRCRFEASDAIVGQELTKLENELVNGRGGDMGALVVRLEERMRKSMVKERRKAFLRASFWSAYSALFDSDKLVRKWGRRVPGEAAVAESVAGAIGGNESVLRAMLRGADNGNGCGNRLEVEDKVVRIGRATYGRVVKRQAMRALFRLTLDADSNKSL >ORGLA03G0049000.1 pep chromosome:AGI1.1:3:3394215:3396144:-1 gene:ORGLA03G0049000 transcript:ORGLA03G0049000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 704, subfamily B, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G69500) TAIR;Acc:AT1G69500] MKSPMEEAHAMPVTSFFPVAGIHKLIAIFLVVLSWILVHKWSLRNQKGPRSWPIIGATVEQLKNYHRMHDWLVEYLSKDRTVTVDMPFTSYTYIADPVNVEHVLKTNFTNYPKGEVYRSYMDVLLGDGIFNADGEMWRKQRKTASFEFASKNLRDFSTVVFREYSLKLSSILSQACKAGRVVDMQELFMRMTLDSICKVGFGVEIGTLSPDLPENSFAQAFDAANIIVTLRFIDPLWRLKKFLHVGSEALLEQSMKLVDDFTYSVIRRRKAEILQARASGKQEKIKHDILSRFIELGEAGGDEGGGSFGDDKSLRDVVLNFVIAGRDTTATTLSWFTYMAMTHPAVADKLRRELAAFEAERALEEGVALADAAGEASFAARVAQFASLLSYDAVGKLVYLHACVTETLRLYPAVPQDPKGIVEDDVLPDGTKVRAGGMVTYVPYSMGRMEYNWGPDAASFRPERWLSGDGGAFRNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILFRFYTFDLVEDHPVKYRMMTILSMAHGLKVRVSTSV >ORGLA03G0048900.1 pep chromosome:AGI1.1:3:3391997:3393559:-1 gene:ORGLA03G0048900 transcript:ORGLA03G0048900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVMALRGFLSDPSELRELLFASAVAVRGAIAHAYLVFDQIPRPDRFMYNTLIRGAAHTAAPRDAVSLYTRMLRRGGGGGGVRPDKLTFPFVLRACTAMGAGDTGVQVHAHVVKAGCESDAFVKNALIGMHASCGNLGIAAALFDGRAREDAVAWSAMITGCARRGDIGAARDLFDECPVKDLVSWNVMITAYAKRGDMALARELFDQVPERDVVSWNAMISGYVRCGSHLHALELFEQMQLMGEKPDIVTMLSLLSACADSGDLDVGQRLHSSLSDMFSRNGFPVVLGNALIDMYAKCGSMKSAHEVFWSMRDKDVSTWNSIVGGLALHGHVLESIDMFEKMLKGKVRPDEITFVAVLIACSHGGMVDKGREFFNLMQHKYRVEPNIKHYGCMVDMLGRAGLLKEAFEFIDTMKCEPNSVIWRTLLSACRVHGEIELAKHANRQLLKARNDESGDYVLLSNIYASVGEWFGSEKMRKLMDDSGVNKEAGQTFVDGSVKDIIQSFGQSRSHSERKGFIG >ORGLA03G0048800.1 pep chromosome:AGI1.1:3:3386695:3388539:-1 gene:ORGLA03G0048800 transcript:ORGLA03G0048800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASALTNCWAISRSSQRGSKNNSSDGSFMVPARNHNRINRKHLSLREKDASTGWQITKAATENSTNAVHTPMKTKWWEKNMKSCNMKNIESQEDFDKQLLLASDKLTVVHFFSPSCGACKALHPKTSQKVCQLAGMHPELQFLMVNCNEQKEMCRRLNVHVLPMFRFYRGAEGRICSFSCTISTIYKIKDALKRHGVQLENLGPDKGLEKSELQNSDIDTSYNMDGGVGAVVPNNE >ORGLA03G0048700.1 pep chromosome:AGI1.1:3:3381958:3386390:1 gene:ORGLA03G0048700 transcript:ORGLA03G0048700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTLQLCSSIHLRRHLRQEPKIICHGYANGASELNSNARSLLKGEICYTGKKKESISVSSSNIAVSSQGIGFSLEQRTGEKCLANSHLDVKLCTGIVKLVIDKCSYIFKSKGGIFDGNCRFQDVLKLGFWLSPETLRPFWRASELKPDDFLNILIGFGPDAAEVKKAIFLWNLYRWASWQSKAFQHLPRSNEIMVSILANAHMLSQAESLLLLLDGNRVLADAGKLFSQVIQAYAEAGNLGKSISIYDCAQDRCLIPSGSCYQVLLHLLMERRKNDLVLRVYLDMLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRYLPDLLLSNRIIASLCANIGTDEAWLVFQRLEVLGFVPDATTFGIFIRYSCRELKLKAAFLYLSECFSRHINPKVCAYNAIIGGIFKEGLYRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLGLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSASPARAMDAINLIDEMADTPDKFDAQNLNLAIQTLSRNGRSACARLAFDRLLRDGFPASQDTYTYLMIGFCIERDIAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNVSTYQSALSSVARIDAERAMDLVQSVMNMESTDFSTCSSIVKNLLQSGKIGQVMSVFEETVLGKKFNATLLNSFLQAYYCVKNWRKADAVLCMMLKMQNSLSISSYRFLVRRMCEQSRISSALRLKELIQDRDKSTELILYNILIFYLFRRRHILQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDSCIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSISLLNTMLKKGKIPSEVSYDSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLCAMGRTCDAMNILEMLTTIGSSPSYHMYRVVFDNCCRSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTTGYEKPILSNLISSTQTPVELTELHEIKLMQLNVPFTSEGHDKDVLSIIVYVGGDNADIISLHQIRVLLLVVLAQTLLLAMKDTLELT >ORGLA03G0048600.1 pep chromosome:AGI1.1:3:3375457:3377237:-1 gene:ORGLA03G0048600 transcript:ORGLA03G0048600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSVSSSHHAIHPGSSRSMQCICTRVTPSRSVGEISRSRSRNDLPLGVSPSSRMLTTCTLKTPSYGNKSKSKEKINPRDMFTFSYRFNTDIPMTETPGASIDEYLQNRPRIVGAVFPDKRKRTKLSDQQFLSGDHGFISARMISFFYFCEDKREFFFFLQEEWSVQLLPIQFLFLSASPVIVMRFVSKSGGKEYPPHVPVKATSLLLMEVTDYKLDGLDSNAMPSHLALTVRGSLYPRPEGRKSLRGHVEMSVGFNLPPVLALVPEGVIRGVGETVLRQLALQMKQDFDNGLAADFKRYRREKLTEKKTTP >ORGLA03G0048500.1 pep chromosome:AGI1.1:3:3373223:3375002:1 gene:ORGLA03G0048500 transcript:ORGLA03G0048500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLPSLSPPPHQAPVPAATTALAGNLTSSLLSLPPPLPRLAVSHRRAVVAAASSRPPPPPSREGGDGGGEEEEEVERAMGMDGGIPGTSGEFLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREDSKPVYVLARRDNHLWTMKTRRSRSEVERELGMLLKGGGSGVGTKSKYSGSKFNMLVEDIREGILVFEDEDDAAKYCDILQGGGQGCEGIAEIEASSVFNICHKMKALAVLFRRGRTPPLPQSLERDLRARKRSLED >ORGLA03G0048400.1 pep chromosome:AGI1.1:3:3370457:3371896:-1 gene:ORGLA03G0048400 transcript:ORGLA03G0048400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSRSRRLAMTLLLLLFAVVAAAAVATKTEAGTEDAASKEDESWTGWAKEKITEGLGLKHHVADVDEEEDAARKAGHAAKSAQHTASEAGRQTSKKAGDAKEAAEATASGASSKAEQAKEKTKEAAKGAAGEASRRAEQAKHKTKEAAEAAGERGAEVHEQSKQGKAKVEETAKEKAGEGYDAAKDKAGKAQETLRQSTDAAKDKAGKAQETLRQSTDAARDKAGKAQETLRQSTDAAAEKAGAAKDSAWERTSSAKDAAAEKAGSAKDAAWEKTSSAKDAAAEKAGAAKDAAREKAEAAGEKARQSKEAAKGKAGAAKDAAWEKAEAAKDAAWETAEAAREKANEGYEKVKEKAKEKVEEVKERVTGADDAADHGKEKKHHHRHVDGKQKPRTVDEL >ORGLA03G0048300.1 pep chromosome:AGI1.1:3:3366602:3369954:1 gene:ORGLA03G0048300 transcript:ORGLA03G0048300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKGDEVLAEAQMPLGRWPILSYGVGHMLNDITSACWFTYLLLFLQEIGLAPRDAAIVMLSGQVADGLMTILAGEMIDRFGHFKLWHIGGSILVGISFSSVFGGCLLCTVLGTDSYLVRTIGYSFFAAVFNIGWAATQVSHMSMVNCMTLNSTSRVALASCRNAFTMVANLGLYAIALVVFALVSAKACSDIVLQYRWIAYVSIFVGCCFLVVFYAGTKEPTLQSGSDCKKSARISWGYWFKKALYYQVALLYMLARLITNVSQSLIAFYVTRDLKMNEYSKAIIPAIIFCCSFLVSVVLQEIKWNSRRLKSLLTIGAILWVIAGAAVFILPSQMHNLMYPLAMVIGAANALVMVTTIGLESALVGDDLNGCAFVYGSLSFLDKMSCGIALFVLESYEDSISCGETRGLYTVSRFGTGLIPSCFAVFALLVTSTLKLLDTNPRAAAAALEAPLLV >ORGLA03G0048200.1 pep chromosome:AGI1.1:3:3358007:3362279:1 gene:ORGLA03G0048200 transcript:ORGLA03G0048200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGGAPPRPAAGIRVRVPLVESVSCYCRVDGGLKTVVSARKFVPGAKLCMQPDIKPNKRKSRSSRKERCRTQAPLLPGLPDDLAITCLMRVPRLEHTNLRLVCKRWNRLLSGNYYYSLRKKLGMAEEWVFVFKRDRDRKISWHAFDPVHQVWKSLPPVPAEYSGAVGFGCAVLSGCYLYLFGGKDPVRGSMRRVVFYNARINKWLRAPDMLQKRHCFGSCVINNRLYVAGGECEGIQRTLRSAEFYDPNRNRWSYISEMSTGMVPFIGVVYDGKWFLKGLDSHRQVVSEVYMPTSNVWSVTADEMVTGWRNPSICFNGRLYSAECRDGCKLRVYDRDTRSWTRFMDSRRHLGNSRAFEAAALVSLNGKICIIRNNMSITLVDVSNTPTVIEINSAHMWDVFARKGQHRSFIANLWFTIAGRNFKTHIIHCQVLQV >ORGLA03G0048100.1 pep chromosome:AGI1.1:3:3351182:3355111:-1 gene:ORGLA03G0048100 transcript:ORGLA03G0048100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:I1P7Y5] MEPMSVDGGGGCGGLDTQIEQLMQCRPLAEQEVKALCEKAKEILMEESNVQVLVLRFCLFFFLVMQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRHPHRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCNSHTFIQFEPAPRRGEPDVTRRTPDYFL >ORGLA03G0048000.1 pep chromosome:AGI1.1:3:3347571:3350365:1 gene:ORGLA03G0048000 transcript:ORGLA03G0048000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:I1P7Y4] MGMSSCVNLSRVAVAAAGRRPGFAGELGGRRGHGRSVLPVVAALPVRRKGSGCGVACCVSSSSSSSVHGKNSAAAAEGHAGGIGIAEFLGGKNFLITGGTGFLAKVLIEKILRTNPDVGKIYVLIKAKDGDAALKRLHNEVVDTELFSRLQEIHGKDYHSFAARKLVPVVGDVREANVGIAPELAGVIADEVDIIVNSAANTTFDERYDVAMDINTVGPFRIMSFAQRFRRLKLFLQVSTAYVNGQRQGVVLEKPFRLGDTIAKELGSPDSSEHKNTMLDIEAEIKLAFDHRRHGDDSASFSEEMKELGLERAKLHGWQDTYVFTKAMGEMVINSMRGDIPVVTIRPSVIESTWRDPFPGWMEGNRMMDPVVLYYGKGQLSGFLADPEGVLDVVPADMVVNATLASMAKHGRGGAAAAEGMHVYHVASSTVNPLAFGDLSRFLFQHFTGSPYSDAAGRPIHVPPMRLFDTMEQFASYVETDALLRAARLAGAGAGAGDERVSQRLRELCAKSVEQTIYLGSIYQPYTFYGGGGGRFDNGNTEALIGEMSEEEKARFHFDVRSIEWTDYITKVHIPGLRKHVMKGRGVGGGSGASSSSNASLLAGASV >ORGLA03G0047900.1 pep chromosome:AGI1.1:3:3341920:3344832:1 gene:ORGLA03G0047900 transcript:ORGLA03G0047900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRRTMLLLICLCATFCLMTQLGAANVVLMGTNLTLSFDDVEASFAPGVKGSGFEGVVYTAEPLDACSPLTSKAEKGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLISMAGSSGGIHIYAVFISKASGEVLKKFSGHTDVEVWILPAFENSAWSIMAISFISLLAMSAVLATCFFVRRHHIRRDRPRIPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYNVGEKLRVLPCRHKFHAACVDLWLTTWRTFCPVCKRDASTGIPDPPASETTPLLSSAVRLPSQSSSFRSSVAASPPRPISRRPSSQSISRIYAASGTPNSPNPIRSFTNSTAMSISRSNVDLSNMSSRPRASHLASAHSLVGSHLSPPINIRYASPHMSHSGYASPSPHVSSSYVSNSGYGSSSYYLGSSSQHRSYLRRCGESGPSLSTMAPQSPQQSQLRHGGESDINLAGASSGQSFRQSYLRHCADSEVNLAGASSGQSFRQSYLRHCADSDASLSAMASAQSLPGC >ORGLA03G0047800.1 pep chromosome:AGI1.1:3:3332406:3336038:1 gene:ORGLA03G0047800 transcript:ORGLA03G0047800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRAALETLAEEPGGGEEEAARRKSSGLQAALHRVARRLLSGAGEDARPAADLRVLLSVLACPLSPVPILPRLPRHVASSAQYIIEQFRATTGCAKIEGAVKSMYAAGRVRLAMLQDPAGGGGGGGRGHEGSFVMWQLAPSMWIVEMAVAGQHVAAGSDGRVAWRRTPWVGAHAARGGSRPLRRALQGLDPVTIAAIFSTAEHAGEKLVDGEDCFVLRLDVGPSVLSSWSDGTAEVIRHGLTGFFSQRSGLLVRLEDSQLTRIQSPGAAAMYWETTISSSLADYRAVDGGGGVHVAHSGRSTAHLARFGVGVRAARVVTRMEESWTIDDVAFNVPGLGPDAFIPPEEVRRSRFYDAMAAGK >ORGLA03G0047700.1 pep chromosome:AGI1.1:3:3324572:3327470:-1 gene:ORGLA03G0047700 transcript:ORGLA03G0047700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPTEEDTDQMFVRSLSSDNLSTIETFESPPALLDSLSSQRPIIKESFNFKKSEGDPFHVETTVSLMSPKPGKEKSCTHKRTILPRYGSMEYLPPHSPVVGMISPKHQAAAVRVQKVYKSFRTRRQLADCAVLVEQRWWKLIDFALLKHNSVSFFEEEKPESALSRWSRARTKAAKVGKGLLKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYQHWLHCESKQPFFYWLDVGEGKDVSMEDHCPRWKLLQQCIRYLGPKEREFYEVVIENKKLLYKMSRKIVDTSEGPKNSKWIFVLSTTRVLYIGTKSKGTFQHSSFLAGGATSAAGRLVVDNGILKAVWPHSGHYRPTEANFREFMMYLKKRNVDLANIKLSPSEDEEDECLRSRSGRSQLEPTEPGKPEKEEDATADDNGTTTVAAQAAPPSTTGGEPATPVMKRSSSGNRLQRKRPPRLTLDKSRLAKGVAEQDAGSFGDRLDFCKVNLFRGGEEAEEAVVVPQEKILRRLNSRMTMNSYQLGKQLSLRWTTGAGPRIGCVRDYPPELQFRVMEQISLTPRGGAGPPRLGSTATPRQSPCAPLPSPAPLYAAARTPTSLLQHGAA >ORGLA03G0047600.1 pep chromosome:AGI1.1:3:3324139:3324336:1 gene:ORGLA03G0047600 transcript:ORGLA03G0047600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >ORGLA03G0047500.1 pep chromosome:AGI1.1:3:3319644:3321590:1 gene:ORGLA03G0047500 transcript:ORGLA03G0047500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAMVVAAMAVAAVARGDMSADRTECADQLVGLAPCLQYVQGEAKAPAPDCCGGLRQVLGKSPKCLCVLVKDKDDPNLGIKINATLALALPSACGATHANVSHCPQLLHIPPNSKDAAIFSPGGDKGSPAAPAKDNSTTTTDSRAVQAANGGSRSSAATAGAALTALLASYFLLLLPEFSAPSSF >ORGLA03G0047400.1 pep chromosome:AGI1.1:3:3311669:3316006:1 gene:ORGLA03G0047400 transcript:ORGLA03G0047400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLRVHLPSEIPIVGCEITPYVLLRRPDGGISTEDVHEAIPLDGHFMRYKWYRIQSDRRVAVCNVHPTEQATIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHRVLHERALSALNENGNEEEELFGRFGSGNAGIINASLSGSTSNIGQSSSVNNGPTPVYPTGTEKNSGETWFEVGRSRTYTPTADDIGHALRFECVAVDSETRSSVGAPTSIMTSRVIPAPTPTPRRLIPVNSADVMGHFDLDSRNSSFGTFTVLSYNILADTYATSDTYSYCPTWALSWPYRRQNLLREIIGYHADIICLQEVQSNHFEEFFAPELDKHGYQALFKKRTTEVYTGNLQSIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPAAQRKVALTRLIKDNIALIAVLEAKFGSHGADNPSKRQLLCVANTHINVHQDLKDVKLWQVNTLLKGLEKIAVSADIPMLVCGDFNATPGSTPHGLLAMGKVDLMHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGAGYDLEHQRRRMDPATNEPLFTNCTRDFTGTIDYIFYTADSLSVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRVRR >ORGLA03G0047300.1 pep chromosome:AGI1.1:3:3302054:3303381:-1 gene:ORGLA03G0047300 transcript:ORGLA03G0047300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADCYSIVMELIEHPQKYGLNRTAFVFWDAYHTSDAANQVIADRLYTDMLTARICKVCPTIYHPYTERKPLFYPTNYHPTPYKQIVR >ORGLA03G0047200.1 pep chromosome:AGI1.1:3:3297120:3297791:-1 gene:ORGLA03G0047200 transcript:ORGLA03G0047200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGAGGEPFPAVDKCDVDASYRRGGRRAVVVVSDLDGTLLCSRSAFPYYALVAFEAGGAASLALLLLLAPVAWLLRRAAASESAAVRVLVFAATAGARVSDVESAARAVLPRFYADDVHPAAWRVFATCGGGWRLVVTATPRVMAEPFLRDYLGADAVAGTELAAWRGRATGMVDARRGVLVGERKAEAVREMVGDGEMPDIGLGGRRSDYAFISLCKVT >ORGLA03G0047100.1 pep chromosome:AGI1.1:3:3282283:3287341:1 gene:ORGLA03G0047100 transcript:ORGLA03G0047100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLLAVLPLLLLFVAGDSYATAAGGGGGGGGRRFDASRAVDVSWRPRAFLYEGFLSDAECDHLISLAKQGKMEKSTVVDGESGESVTSKVRTSSGMFLDKKQDEVVARIEERIAAWTMLPTENGESMQMLRYGQGEKYEPHFDYIRGRQASARGGHRIATVLMYLSNVKMGGETVFPDAEARLSQPKDETWSDCAEQGFAVKPTKGSAVLFFSLYPNATFDPGSLHGSCPVIQGEKWSATKWIHVRSYDENGRRSSDKCEDEHALCSSWAAAGECAKNPGYMVGTSESPGFCRKSCNVCTS >ORGLA03G0047000.1 pep chromosome:AGI1.1:3:3277371:3280751:-1 gene:ORGLA03G0047000 transcript:ORGLA03G0047000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRPEAAAISENEIRITTQGLIRNYVTYATSLLQEKRVKEIVLKAMGQAISKTVAIAEIIKKRIPGLHQDTSISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSPKELNKSSAGYQAPLHAEPIKPQRYQQTQQYQQQQHQSRPSQVQTDSYGRGRGRGRGRGRGWGGRGGYGGGYGGYDNNQGGYGGYGHQGGYGHQGGYGNQGGYGHNQGGYGGYGYNQGGYGGYENGGWNYNRNRGGGGGGGRGRGNWGYGGPGGYERSGPAYERGGRGGGSPGGRGYARGRGRMGGGRGRGNQNY >ORGLA03G0046900.1 pep chromosome:AGI1.1:3:3271408:3273829:-1 gene:ORGLA03G0046900 transcript:ORGLA03G0046900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVVGVARPSSPPAAAHVAYKIPAGDGPYARAKHYQLVEKDLDASIAWFWKAINSGDKVDSALKDMAVVMKQRGYHADAIDAIKSLRHLCPKQSQDSLDNILLDLYKASGRTKEEIELLKQKLRKIYLGEAFHGKTTKRARSHGRKIHVSVKQETSRVLGNLAWAYMQQGNFMAAEVVYRKAQMVDPDANKACNLALCLIEQRRPADAEAVLAGVLAGRYHARDDDHGAPHTGGKILAKVEELMARITGEVDGRSNSSGGSSSDDDDRDVEDEMVELLDVVVRQWAAPYRRSNRRLPVFEEITPVCREQMAAC >ORGLA03G0046800.1 pep chromosome:AGI1.1:3:3267536:3269647:1 gene:ORGLA03G0046800 transcript:ORGLA03G0046800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQKRTTLVYSFVARGAVVLADHAEVSGNFASVAAQCLQKLPSTNNRHSYNCDGHTFNYHVHDGFTYCVVATESAGRQLPVGFIERVKEDFSKKYSGGKAKNATANSLKREYGPKLKEHMKYCDAHPEEIDKLAKVKAQVTEVKGVMMQNIEKVLDRGEKIELLVDKTEDLRSQAQDFRKAGTKIRRKMWWENMKMKLIVFGIVVALILVIILTVCRDLNCW >ORGLA03G0046700.1 pep chromosome:AGI1.1:3:3255760:3266299:-1 gene:ORGLA03G0046700 transcript:ORGLA03G0046700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKRTLNTAAAAAAANPTPPSPPAAGHPDAAAADGGAAVRAVCEKALAALQRGNHAKALRVVKDAVGKHGEGSPLLLRAQGTVLARYAAVLDEPVSRARHQRLALEAARKAVELAPDSIELAHFHAMLLYEIASDTTGYEAASSECNRGMAIQSPTDPAPHSLRLPAPDVEQVKAELSNLLQRSNMTSISLWVKNMNMGYTPEDKVRVFPIRRGSADDSSEVRLLPAAPAPRRPNEIKKANKTPEERRKEIEVRLAAMRLMEQQKHNATSASSSTSQSQSPGDEAPSSSCQSSVSGHRADRRKGGSRKAAASPVSGRMNQVREFWATVPMDRRLAFLSTSISELKSHYATAMHKEKDAASLVSDVLNEAIRFATRSGKWEFLVCGRCEEHFADAESHVHHAMEEHVGVLPPRLNDVVPEEIDDAWAEKLTGSTWRPVDATAALKILEEELADNVGSDRDKDSMSSDIWSTKDKSDTSDSSTSPHNEECESFGAVTREGDRKWPLSDDEERANILERIHSLFKILVKHKNLSLSHLNKVLHITMEELRKMHSASLLLNHSLDESPLCICFLDVSSLRKVLKFLQELMQASGLNDRNTDKDEEVADKDSFPKNRSNLEKVTLDSDSSLLILDGQAFEMISDRDNVVADPFLSWLYTGPSVEEQLLDWNHMLEVRSDQCTHILHELEKEFSALQNSYEQKHDQLSSEEGLLAVDSLLCEEQRRRDDVDPYPFQGYEELLKKRQEQLELNAEELFSGCRSELHAISTILREVKTAPFRYDETFSGMTSNHRDYDGTEEDEWGFYDFEHSNDSVVQLVVSRLKEHVAMELNKIDARIMRISAVIEQLKLKLGPASVLDYRTIILPLLKSFLRTHLEELVDKDARERSDAASEAFLAELALDAKRNANKVSDTKQSHDKSKDKKKVKDSRKSKDLKDLSWSDQYLVRPDSVDEETSEQSLSTSDNYLNDQEEFRNRLRLEAEERKLEETLEYQRWIEEEAKKKHLAEQHRRTSPGSDGSACLRTDVNLNGDQDKHHCAQNNSHTHLEGINFGDFRFSEVPLQEEHSILRSCDSDLLQTKEKNHNEVHNGLGYPGTHPIASSDVDLIKPTVKVNGVWKNVEYTKATLKANGVGKNAENTKVPTIPSTQKSRRSTSQAHKKYIQGVTGTFPVDDGDDDTRPSIRQSGSPVSRWSSSGKAVDTANHSYQDKKQNQLPLLSYSHRVHGAHSAGRENSSSEKVDSSAIPSTNLYIEDDKRFKADLERAVLQSLGTSNEKEVYGTGLKNAAGEYNCFLNVIIQSLWHLKRFRDGFLKTSSLHKHVEDPCAVCALYDIFTDLSKASEEQGEAVAPTSLRIALSKSYPNSKFFQEGQMNDASEVLGVIFECLHKSYTSRADCQVKSHEINYIGSWDCASSSCIAHCLFGMDILERMNCQSCRLESRRLKYTSFFHNINASSLRTAKDMFPDHSFDDLLKIVIMNDHLACDPEDGGCGKPNHIHHILSSPPHVFTVVLGWQNNKESVDDISGTLAGISTEVDISTFYRGLDQGSKHSLVSVVCYYGQHYHCFAFEDGQWVMYDDQTVKVVGNWDDVLVMCKKGHLQPQVLFFEAAK >ORGLA03G0046600.1 pep chromosome:AGI1.1:3:3241227:3246686:-1 gene:ORGLA03G0046600 transcript:ORGLA03G0046600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:I1P7X0] MGRGCLAALLGGAVAVAVLVAVVHCAVTYDKKAVLVDGQRRILFSGSIHYPRSTPEMWDGLIEKAKDGGLDVIQTYVFWNGHEPTPGNYNFEGRYDLVRFIKTVQKAGMFVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKNAMQGFTEKIVGMMKSENLFASQGGPIILSQIENEYGPEGKEFGAAGKAYINWAAKMAVGLDTGVPWVMCKEDDAPDPVINACNGFYCDTFSPNKPYKPTMWTEAWSGWFTEFGGTIRQRPVEDLAFGVARFVQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLAREPKFGHLKELHRAVKLCEQPLVSADPTVTTLGSMQEAHVFRSSSGCAAFLANYNSNSYAKVIFNNENYSLPPWSISILPDCKNVVFNTATVGVQTNQMQMWADGASSMMWEKYDEEVDSLAAAPLLTSTGLLEQLNVTRDTSDYLWYITSVEVDPSEKFLQGGTPLSLTVQSAGHALHVFINGQLQGSAYGTREDRKISYSGNANLRAGTNKVALLSVACGLPNVGVHYETWNTGVVGPVVIHGLDEGSRDLTWQTWSYQFQVGLKGEQMNLNSLEGSGSVEWMQGSLVAQNQQPLAWYRAYFDTPSGDEPLALDMGSMGKGQIWINGQSIGRYWTAYAEGDCKGCHYTGSYRAPKCQAGCGQPTQRWYHVPRSWLQPTRNLLVVFEELGGDSSKIALAKRTVSGVCADVSEYHPNIKNWQIESYGEPEFHTAKVHLKCAPGQTISAIKFASFGTPLGTCGTFQQGECHSINSNSVLEKKCIGLQRCVVAISPSNFGGDPCPEVMKRVAVEAVCSTAA >ORGLA03G0046500.1 pep chromosome:AGI1.1:3:3229401:3231479:-1 gene:ORGLA03G0046500 transcript:ORGLA03G0046500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFSSSTNQRDLTGGGGGDGGDMSFQHYPPPSNPYSDSSAGGLIPLPASIVSHSHIAHGGGDEPAAFREAATADGGEMGLQTQLLMAHGAAARGHQGGLSLSLGTQVPVSLYQYRPAGMAAASLLSPSQSSPMAGRSAQNSIYVQNSRFLRAARELLDEVVNVRDAIKRKGDKNQGKDSGECKGGDAAGDDKAGSNPQEQESNSAPELSPSERQDLQNKVTALMAMLDQVDRRYRHYHHQMQIVMSSFDAVAGGGAARPYTALALQTISRHFRSLRDAIGAQAQAARRGLGEQDASAQGGGGLSRLRYIDQQLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLSRGQVSNWFINARVRLWKPMIEEMYKEEFGAEMDSNSSSENGGGGGGKGKDEAISSEDRDEFQSPSSAAAARHAGVAGQLNNPFKSEAMGGAALDVGVGVVGLSSCLGGAMGTYATGLNLNHHVHHPGAGGTSLLHDALHHHHHGGGGDARFVSYGDMADLGGGGGYDGGSVSLTLGLQHCNNAGPVPAEQQGLLYGSAGDFDYINGSDDRQRFGPASQLLHDFVA >ORGLA03G0046400.1 pep chromosome:AGI1.1:3:3221237:3227362:1 gene:ORGLA03G0046400 transcript:ORGLA03G0046400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPAPTSSRAIGAPIQPTEPHAPLPNTGGEGAPPPARTMPPPSSQAATSTPPAAATPLQRPPAQATAQPSTQRYYVGVQRDKGTGKWAACVVDPSNPTKHRLVGAFPDEHAAALAHDRLDLAFRGGGHRGAGANFRPAFHAVELEFLRLCAATSSPGSHCGLVAGGDKYDEKYSEFLRKIYHGVMDNSPSYKKFFDVILDFFIARAREIGREALEDGGDMLVERFVAMHKNKAVTPRWRAWYRSDSRKVLQIPLSLRGGEGGEIDHSTQKEARMDSDSCKRRKHEGGHDSSSRVQSQSSILSRNRILCHQLLEQCDDLKYGSSTNDYKAISMKRLELISILQKLQEVPIQLPYASPLKSSETNRLVQDGRNSSCRNIIDLDSDNDEDYTFANVDNIGANTTVVLVDSDEGDSVASFVDEKSSDSKQNANYIEESVLPEQHAQQQEISMLDNENISSEAQAVKKGKDSMDINDVIYNKSGHEEIGEEEAQAENVQIKGNLKKEIISVASDELACEVMHSQSPTNGNFDQYDNSSPVDELEGLWMDMSLAMACSKTVGSDHNIVPSENSCEQAEDECQHDFLMKDDLGIVCRVCGLIQQRIVNIFEYQWKKRKQSYRARPSEHRNSSDADAIDKTSGAILEVVPDALCLHPQHSQHMKPHQVEGFNFLVKNLADENNPGGCILAHAPGSGKTFLIISFVHSFLAKYPAGRPLIILPKGILSTWRTEFLHWQVDDIPLYDFYSSKADKRSEQLKVLNLWEESRSILLLGYQQFACIVSDHTSDTEAIMCQEKLLKVPSLVILDEGHTPRNEETDLLTSLENIRTPRK >ORGLA03G0046300.1 pep chromosome:AGI1.1:3:3218202:3220148:-1 gene:ORGLA03G0046300 transcript:ORGLA03G0046300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01110) TAIR;Acc:AT5G01110] MAIPRRLAAAAAAETTKRSAAGLAAALGGSGGKPATADLAAAATAAAAAGRASECQSLLLRMSRRHGACRREIVSSLLGSSPTPQPRVFDLLIRTYTQSRKPREAFEAFRLILDHRVPIPAAASNALLAALSRAGWPHLAADAYRLVFSSNSEVNTYTLNIMVHNYCKALEFDKVDAVISEMEKRCVFPDVVTHNVMVDARFRAGDAEAAMALVDSMVSKGLKPGIVTYNSVLKGLCRSGMWDKAREVFKEMDDFGVAPDVRSFTILIGGFCRVGEIEEALKIYKEMQHRGIKPDLVSFSCLIGLFARRGKMDHAMAYLREMRCFGLVPDGVIYTMVIGGFCRAGLMSDALRVRDEMVGCGCLPDVVTYNTLLNGLCKERRLLDAEGLLNEMRERGVPPDLCTFTTLIHGYCIEGKLDKALQLFDTMLNQRLRPDIVTYNTLIDGMCRQGDLDKANDLWDDMHSREIFPNHVTYSILIDSHCEKGQVEDAFGFLDEMINKGILPNIMTYNSIIKGYCRSGNVSKGQKFLQNMMVNKVSPDLITYNTLIHGYIKEDKMHDAFKLLNMMEKEKVQPDVVTYNMLINGFSVHGNVQEAGWIFEKMCAKGIEPDRYTYMSMINGHVTAGNSKEAFQLHDEMLQRGFAPDDKF >ORGLA03G0046200.1 pep chromosome:AGI1.1:3:3205732:3215760:-1 gene:ORGLA03G0046200 transcript:ORGLA03G0046200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:I1P7W6] MHHGGGGGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRSRYNRVFEFDYSIGGRACHMLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVLKIILFVAEELYQAGFISYPRTETDNFSPNTDLHSIVHEQVAHPNWGTYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEIDIASEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQFVPTTLTLDSGMTRPPPLLAEADLLGCMDKAGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNSIETVRPCAACNESEMFLKQRPTGEFMVGCRGFPQCRNVVWLPRSLSGAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMELSRFGSHSQTATPGQVQCTSCREPCVLRTANTEANRGRKFYKCQNLACGFFAWEDDVENSAPRGRGGRGRGGRSGSRQSSASASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVYGSCFICGDPTHFANVCPNLGR >ORGLA03G0046100.1 pep chromosome:AGI1.1:3:3199306:3201661:-1 gene:ORGLA03G0046100 transcript:ORGLA03G0046100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKARARSPDFARQFWPVLCHAFSECSLIIMLFVTAVVSFTATRFARIWSLRPPCILCSRLDRLLHGNTWFSEDLICAAHKLEISQLEYCQSHNKLAHSDDLCERCLLSCAGLDETFKKTRHAHKLPELANGIVPDDVSTVKERSIDMTSVGHSSDEGSEDLSYGGYSKLNVRHDSESENRISDDDEDEDGNSMIHKATQRSRDFLFHDSQLQPMISDTNSLSMHPSENVVLAEPMNTAPVPVSTAAKTDNVATGTNLVSAAKSSEHIAQGSREISLSNVNVSGNNHDVQPKIVPEQVCAELPKEKTFLVGIEEVGDSAGISGSPDEEVAKGFVASANAGMSSVLDACINRKNSMKSASRRRSNLQSPRWSEIISAKDNSSRTNQEVKTFLSQMSSARGFDGPWSEVAASPRITQIDDKQYDATGSRQFLETNYSNMEPFDVHATSEDEGDTSLEGLKQKVELGKKKMSILYKEFEAERSASAVAASEAMAMINRLQEEKASMHMEALQYLRMMEEQADHDQEAIERLNDLLTEREKEMLDLEAELDNYRRLHEPFGCKFDFTDGDMASGVLDSSDFMRDTMFDFEDEKANILKSLSKLEETLGMSSTDRHNFGGTYDSLQNMSVLHPEHWSGEPVSSQQIDENQSVDSGSCSHLDDGRISSMTSVKHEISLLNTRFTALETDQKFLKQILSSLKCSDDGVQCVQEITAHLRELRRIMTEQRERAVL >ORGLA03G0046000.1 pep chromosome:AGI1.1:3:3195397:3197840:1 gene:ORGLA03G0046000 transcript:ORGLA03G0046000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G06730) TAIR;Acc:AT1G06730] MALQTLNPRHVLPLPLPRRRAPRPRVLHRPPPPRRRLEGAARPRAVAVAVNEARRRWPPAEGGGEEGKETDLATLGNLCVDVVLSVPQLPPAPREEREAYMERLAASPPDQKFWEAGGNCNLAFAAARLGLRCSTLGHVGEEIYGKFLLDVLEEEGISVVGMLDNSDSSACQNAYETLLCWVLVDPFQRHGFCSRADFSDEPAFSWIRKLPAETKTAIHHSKILFCNGYAFDELFPDVISSAIDCAIDAGTAVFFDPGPRGKSLLHGTLDEQRALEHSLRLSDVLLLTSDEAESLTNIRNPIQAGQELLKRGIRTKWVVIKMGSKGSIMVTKSAVSSAPSFKIDVVDTVGCGDSFTAAIAFGFLHNLPAVSTLTLANAVGAATATGCGAGRNVAHLDKVLQLLRESNINEDDTPWSELIEASSFCSEVSVLSKTAVNSFSDRLVHVPTCNVVSNLLSMLEAVSERSTVQA >ORGLA03G0045900.1 pep chromosome:AGI1.1:3:3192527:3192784:-1 gene:ORGLA03G0045900 transcript:ORGLA03G0045900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKLVMALVAAAVLMQCCGVLLAARPLEGDVAGGGGWRPMQTAGGGGGKMPVMQILQAVPIPPGNGCKQAPSPPGAPCFGSRR >ORGLA03G0045800.1 pep chromosome:AGI1.1:3:3189577:3189846:-1 gene:ORGLA03G0045800 transcript:ORGLA03G0045800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASAKLVRALVVAAVLMECCGVLLAARPLAAAGGGGGEEMFVVTPEILKTTGGGATTTPTGNTCKEGPTPPSSPSPPCIGSTPGRR >ORGLA03G0045700.1 pep chromosome:AGI1.1:3:3186699:3188851:-1 gene:ORGLA03G0045700 transcript:ORGLA03G0045700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRLPASTRPTTHCSATFSPSSISLEFHIPDDWICKKDEAVEHQEGMAEFLRHTKKAPATKEVLLSFPPSPEKSGIVVDGSFIFVFESRKLTSDGPAFHFLLKFTLQKQMEGKFADEAMKNNRCISSVTIFGACSLLYDHYP >ORGLA03G0045600.1 pep chromosome:AGI1.1:3:3182611:3182844:-1 gene:ORGLA03G0045600 transcript:ORGLA03G0045600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAVMVAAVLLQCCGVLLAARPLKGDVVAAAGSGGGGGGEMFVMQILKTSTPTTPVGNGCKQGETGGNGAPCHGSG >ORGLA03G0045500.1 pep chromosome:AGI1.1:3:3179042:3179296:-1 gene:ORGLA03G0045500 transcript:ORGLA03G0045500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAMVRVVVVAVLLMQCCNAIMAARLLEGDLFGSSWLQGEGGVAAGELILQVLKVVPSGPPGNDCHQGGAGSGGQCYQPPK >ORGLA03G0045400.1 pep chromosome:AGI1.1:3:3176749:3177015:-1 gene:ORGLA03G0045400 transcript:ORGLA03G0045400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSSSSATVRVVVVAVLLMQCCNAIMAARLLEGDLFGSSSWLHGQVGAAGELILQVLDNGGSPPGGNDCHQGPNPGGSGYGKCWTR >ORGLA03G0045300.1 pep chromosome:AGI1.1:3:3173357:3173578:-1 gene:ORGLA03G0045300 transcript:ORGLA03G0045300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVVLVSVLLMQCCNAIMAARLLEGDLGSWLQGQGGVAGELILQVLKGGSPPGGNGCHQGPGGGYGGNCYQP >ORGLA03G0045200.1 pep chromosome:AGI1.1:3:3170810:3171064:-1 gene:ORGLA03G0045200 transcript:ORGLA03G0045200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAMVRVVVVAVLLMQCCNAIMAARLLEGDLFGSSWLQGLQGGVATGELILQVLDKGTSDWGGNGCHQSPGGGSGASCHQP >ORGLA03G0045100.1 pep chromosome:AGI1.1:3:3168578:3168799:-1 gene:ORGLA03G0045100 transcript:ORGLA03G0045100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVVLVAVLLMQCCNAIMAARLLEGDLGSWLQGQGGVAGELILQVLKGGSPPVGNDCHQGPGGGSGATCYPP >ORGLA03G0045000.1 pep chromosome:AGI1.1:3:3160651:3163017:1 gene:ORGLA03G0045000 transcript:ORGLA03G0045000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:UniProtKB/TrEMBL;Acc:I1P7V4] MTAQPKKRSVIESGDGGLGLGLALFISNGEDLGPIIRHGFDSGKPEALMQNLRSIVKKKEVEIEELCRLHYEDFILAVDELRGVLVDADELKGMLTGENIHLQKVSSATLLNLDELFELYSIKKNIGEAITTLKICVKVISLCMACNGYIAEAKFHPALKTLDMIEKGYLKNIPLKLLKKVVAKHIPLIKMHIEKKVCSEFNDWLVHIRRMAKQIGQVSISQASMARQKDEEMRAQKREAEAHSDARSDEHVYTLDVENTDEESTLNFDLTPVYRAHHMHICLGIGEKFRDYYYKNRLMQLNLDMQISTSQPFLESHQPLLAQVAGFFIVEQRVLRSADGLLSESQVESTWETAIAKITSILEDQFARMSIASHLLLVKDYVTLLGTTVSKYGYQIAQLIQVIAKYKDKYHQLLLIESRKQIDDILANDSYEQMIIKKEYEYNMNVTAFHFEPDDVVPEFPYVAPFSSGVPDICRIVRSFIGDSVSYFSYSARTNIYEIVKGYLDRLLIEVLNDSLLNMVYARSLAMSQMMQLAGNISVLEQSCDMFLLFSAQLCGIPKRIAQRSHSGLTAKAVLKASQNAAYNSLINLANFKIDEFMVLLDDVNWIVEEAPDNPNEYMNEVLIYLETLVSTAQEILPLEALYKMVSGAMSHISDSIMTTLLNEGVKRFTVNAVMGLDIDLKLLEAFAEEKFYRTGLADLGKETTFADCLVEIRQLVNLLLSSQPENFMNPVIRGRNYGSLDYKKVAIVCDKYKDFADGLFGSLSNRNKQDARKRSMDVLKRRLKDFS >ORGLA03G0044900.1 pep chromosome:AGI1.1:3:3144938:3157832:-1 gene:ORGLA03G0044900 transcript:ORGLA03G0044900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWKGNYFPKEKRRHLYLVLDDWSRGYSIRKIDLSVGNDGDGEHSMPLAIFSFEAPRAGPKYFAGAFDSKILAMQLVDPQFSFNPMAGIPIYDVRMRSLMVGPRQRPDPVDPIYIPVGGRLFALSVGSFQLLYPSPDDESDDQEEEEDFVWRWHALPNPPFQHEHVTSYAVHRDRRTIFVSIGGESSATFSFDTAESVRDGCMWKNHGQWQLSFSGRAYFIAELDAWVGLSRKPGTTTSWRICSMDVISDDCENGQAVKYTREELATTVSDHELVTGVTLVSMGGGSKFCVMECCLDHSVSVPFIQLVTFSVMYGKNGELTTGNSRQFREYENIPQGVSSEMLENPVAFWM >ORGLA03G0044800.1 pep chromosome:AGI1.1:3:3139549:3143342:1 gene:ORGLA03G0044800 transcript:ORGLA03G0044800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATLPFSCSSTLQTLTRTIPLRLRLHRRRFLHHLPSLAALPRLPLPRPPLLPHARRHVSASAAPNGASSEGEYDYDLFTIGAGSGGVRASRFASTLYGARAAVCEMPFATVASDDLGGVGGTCVLRGCVPKKLLVYGSKYSHEFEESHGFGWVYETDPKHDWNTLIANKNTELQRLVGIYKNILNNSGVTLIEGRGKIVDPHTVSVDGKLYTARNILIAVGGRPSMPNIPGIEHVIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSEVHVFIRQKKVLRGFDEEVRDFIAEQMSLRGITFHTEQSPQAITKSNDGLLSLKTNKETIGGFSHVMFATGRKPNTKNLGLEEVGVKLDKNGAIMVDEYSRTSVDSIWAVGDVTDRVNLTPVALMEGGAFAKTVFGDEPTKPDYRAVPSAVFSQPPIGQVGLTEEQAIEEYGDVDIYTANFRPLRATLSGLPDRIFMKLIVCATTNKVLGVHMCGEDAPEIIQGVAIAVKAGLTKQDFDATIGIHPTSAEEFVTMRNATRKVRRSTTDEVESKDKVVTQN >ORGLA03G0044700.1 pep chromosome:AGI1.1:3:3136961:3138446:1 gene:ORGLA03G0044700 transcript:ORGLA03G0044700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEQPRGQNTRMRKMTASANGDTDETSGLTTPRSCEVPLCSSYENGITRIPEETRLRDPEAYHPKAVCIGPYFHSGRNSPSFRRMEQHKHWCVNRLLERSNHSLEPLVQAFLLRLSKTIKTKSFQQLYAEPVDMTEEGIGMMLLFDGCFILHFLLRHDPNKGAEHEYWTKLDAGLLDHEYETLQWERPWEWSLVAIDMLLLENQIPFVAVRILFDILKTEHDKAVDLTACARNMFNKYLPAGMRTSTRPIRCQDVRCLLQLLYRSLLPNPKLHSDLMKPPPKPPRTGIDPAKKLDTDGVRITRRQQWYWWPLSHFQEPFTFLDIVFSHGKVQIPQLEVSDASIQLLQNLIAFEKCYQGTTSHVANYAAFMDALNSDHHDTELLRKRSIFDVQFTPAQPELSLRRRCKQDVDPSSENYLSRMMVDVVLYKEARASRKKTQTPMSDTAFFAVLAVTAYVLLAFCWYIVS >ORGLA03G0044600.1 pep chromosome:AGI1.1:3:3129328:3136467:1 gene:ORGLA03G0044600 transcript:ORGLA03G0044600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLDAAAVSSPPRAPAARRCLRPALPLALRFHAFPGQVRVYRGGGIGVGVRSAGHLPSKRGLVRVFDSAMGMNEKVTNGNLEQPTTSTSGNNPSFPAEGNFNVVTVVSITFCVLHKIVIGQMQLMTKFLPWMSHNITSLPLACISDPMKKPVPLKLDVSFPQLPDIRWSISRLYYLFNSQLERNIALSIITLMITCFSLVVVGGFLFHKFRKNQQSLEECFWEAWACLISSSTHLRQKTRIERVLGFFLAIWGILFYSRLLSATTEQFRIQMHKVREGAQQQVIEDDHIIICGVNSHLPSILNQLNKFHESSIRLGTATARKQRILLLSDLPRKQIEKLGDSFAKDLNHIDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASIPTIVEASNSTTCDLLKSITGLNVQPVEMAASKLFVQCSRQKGLIKIYRHLLNYHKNVFNLFSFREVVGMKYVDVRRRIPDAVVCGIFRSGMMHFHPCEDEVLTETDKLLLIAPVSWRRRAQSTFSNSPNGAQNSSHYSESTEGQRSSSMALEVNETRLNSIRKRPSKTLSKSNDYTLGPREHVLIVGWRPKVTDMIREYDNYLGPGSVLEILSETPIKERSSIVNPLMQKQLKNIKVNHQVGCPMNYDTLKEAIIKFKKSRKHDQNVPFSVVVISDKDWLGGDTAQVDKQLAYTLLLAENICQKHDIKVEHLVSEIVDTGLGKQMSRIKPSLSFIGAEEVMSLVTAQVAGSSELNEVWKDILNAEGDEIYIKEIGFYMKEGEKISFSELAERAILRREVAVGYVKGKKQYINPTNKLELLSFEMTDQLIVISEFEGEQPVVRGGDTST >ORGLA03G0044500.1 pep chromosome:AGI1.1:3:3120415:3122886:1 gene:ORGLA03G0044500 transcript:ORGLA03G0044500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G23020) TAIR;Acc:AT3G23020] MMSPCDCFLHATAPPLNPPPSLAAAAPGARWRDSNLSFQSSAVSAPARNVETSPNLVVPCTVHTQAAERKKSGRWVKYGGSIPAMLEALERNEDIGEALRPWRDTMSNRERTIILKEQKDWRRAVEIFNWFRRRRRHEVNVIHYNVVLCAVGRARRWDLVARLWHEMHSGGVAPDNSTYGTLIDVHCKGGRERMALLWLGDMIKRGLLPDEITMSIVLQVHKKAGEYEKAELFFKRWSLESDVKMKGHPCYSLYTYNTLIDTYGKAGQLEKVSDTFNQMLREGVSPNVVTFNTMIHVWGKHRRMEQVASLMRTMEEFQCLPDTRTYNILISLYREINDIDVAEYYFRKMKAENLLPDVVSCRTLLYGYSIKGMVTEAQALLKEMYERNMVIDEYTQSAVTRMYVDAGMLEQAWRWFEKFNYQLNSECFSANIDAFGERGHILLAEKAFMCCIKRKMLSTCVCNVMIKAYGLVEKLDEACEIADGMERYGILPDYLTYSSLIHLMSTAKLPEKALYYLRKMQAAKLLIDCVPYSVVISCFAKNGNLHMVDCLFREMITSGIQADTYVYSILIDAYAEVGDVQKAEAYFGLLKKAGLCESATIYNSLIKLYTKVVYLAEAQKTYKLLKSLNADTNLYASNCMIDLYSDHCMVKEAREIFENLKVTGKANEFSHAMMVCLYKKIARFDEAHRISKEMQASGFLTQALSYNSVIQMYVSGGRMEDALKIFQKMLASNTPPNDATFKALKIILVKRGVSNNDIRRLELLRKNRIHDCLRHWYRMLCMAVRSGGSSSRRISDNSALRTHIFDIGNSKNGKRNTRKHVTS >ORGLA03G0044400.1 pep chromosome:AGI1.1:3:3100610:3100951:1 gene:ORGLA03G0044400 transcript:ORGLA03G0044400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISPDQQIPFIVQAYYTNTAKREYNYRPTVRNTTSVAAVEDQMLPLFLTVEQKRRAYSLNQIFVFFTCPALSG >ORGLA03G0044300.1 pep chromosome:AGI1.1:3:3096067:3097105:1 gene:ORGLA03G0044300 transcript:ORGLA03G0044300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAAAAGQIDPSPGAPAKSRLKRLFERQLLRVSPAERLPSVAGVGEKDESSEPSSVCLDGMVRSFLEDGVGVERPAGAARCCNCFHGGEASDDDDDGPAAAEAAATSDAAETIKGLVHCASLRERNLLADVSTLVERHRAAGARKRDLLRLLADSLRAAGHDAAVCISRWDKSSSHPKGEHAYLDVLLPPASDRAERERILVDVDFRSEFEVARPTKAYRAVLQRLPSVFVGKEDRLRLLVAAAADAARASLKKRGLHLPPWRKPEYMRAKWLSPYERDVPSPQQPDASAGELAVDGDGGGAWTQ >ORGLA03G0044200.1 pep chromosome:AGI1.1:3:3087161:3087734:1 gene:ORGLA03G0044200 transcript:ORGLA03G0044200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:I1P7U6] MAGKGGKGLLAAKTTAAKSADKDKDKKKAPVSRSSRAGLQFPVGRIHRQLKSRASAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTSKE >ORGLA03G0044100.1 pep chromosome:AGI1.1:3:3072477:3074977:1 gene:ORGLA03G0044100 transcript:ORGLA03G0044100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1P7U5] MQGQQQQNAGGGGGDNKSPCIVLDGPIIVGAGPSGLAVAATLRQHGVPFTVVERSGGVADLWTNRTYDRLRLHLPKVFCELPHVAFPPDFPTYPTKHDFLRYLHSYAARFAIAPLLRRTVTRAWYDHPASLWRVTTTTTSSSATSVITEYASPWLVVASGENAEVVVPKVKGRERFAGEALHSSEYRSGERFRGMRVLVVGCGNSGMEMCLDLCEHGAMPFMSVRSGVHVLPREMFGASTFGIAMKLLRWLPIKMVDRFLLLVARMVLGDTEKYGLKRPKLGPLEIKNITGKSPVLDVGAWSLIKSGNIKIVPEVESFSGNGARFVDGNEMAFDAVIFATGYRSNVPSWLQEDGELFTEEGKLRSSGSSSEWRWRGPNGLYCVGFSGRGLLGAGADALRAAADIAGRWQETQQAAANISSV >ORGLA03G0044000.1 pep chromosome:AGI1.1:3:3061179:3063203:1 gene:ORGLA03G0044000 transcript:ORGLA03G0044000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMMPGMVKEEWPPSSPEEGKAPRPMEGLHEVGPPPFLTKTFDLVADPATDGVVSWGRAGSSFVVWDPHVFAAVLLPRFFKHNNFSSFVRQLNTYFLVRTNYLNKRSHFYSLRFQGFRKIDPDRWEFANDGFLRGQRHLLKMIKRRRPLSYLPGSQQALGTCLEVGQFGLDEEIDRLKRDKNILLAEVVKLRHKQQSTKANMRAMEERLQHAEQKQVQMMGFLARAMQNPDFFHQLIHQQDKMKGLEDTFSKKRTRSIDIVPFLNPGEVSQGDQLESTLLFDPRPFAELNDEPAKSELENLALNIQGLGKGKQDVNRTRNQPRNQASNETELTDDFWEELLNEGARDDAGIPGMERRRPRYVDALAQKLGYLSNSSQK >ORGLA03G0043900.1 pep chromosome:AGI1.1:3:3057256:3059428:1 gene:ORGLA03G0043900 transcript:ORGLA03G0043900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase [Source:UniProtKB/TrEMBL;Acc:I1P7U3] MENQFQDGKEEVIEAWYMDDSEEDQRLPHHREPKEFIPLSKLSELGILSWRLNADDWENDENLKKIREARGYSYMDICDVCPEKLPNYEAKLKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRVAVKKGGMIVLPAGMYHRFTLDSDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVEKIINRGGTQAVEAR >ORGLA03G0043800.1 pep chromosome:AGI1.1:3:3041534:3041989:-1 gene:ORGLA03G0043800 transcript:ORGLA03G0043800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRGRRRRVPVAASPLLILLVFLLAASLGACSSARKRSGDGGGAVAEEVYYSSWGSAVAVAGRRRLVGPGSSPPTCRSRCGGCHPCRPVHVAIQPGRSFPLEYYPEAWRCKCGDKLFMP >ORGLA03G0043700.1 pep chromosome:AGI1.1:3:3035553:3036489:1 gene:ORGLA03G0043700 transcript:ORGLA03G0043700.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPLPILLLPLSLPFVFLSLCSSSGGRIWSDGGGEGRSGSGDGGTASNSSCTAASSSAGAATHPGFMYGRIPIALARQIRERYTRPGAIAESIDHKNKLATGGAARGGGGGGIFVGATTGGRVQCAAHGVGVDTDRMVADCGSYCMQGQELGARTAAGVSGARRSGGVRRRAAAAAPALAPPPPLRPSPPTPLQILSLRAETAKFLGRKRNREKDREGGAVGPTYRWASQICFCVND >ORGLA03G0043600.1 pep chromosome:AGI1.1:3:3032603:3034761:1 gene:ORGLA03G0043600 transcript:ORGLA03G0043600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARTRFAGGGGAAVVLLLIVASTVATRAAVADDFFSPLSPLLAPVIGSMCKTVACGKGNCTAASGFPGYRCECEPGWKQMHVGDQASFLPCVIPNCSIDRACSNTIAPAPAPLPSPKNFSLPTDPCQLAYCGSGGTCKNGTGLSYHCECSEGFSNLLNITTMPCFQNCSIGADCASIGLSPSSNSSSSPAPPGSAGISNNGNAPAYGITFFFPDVFYRTCSLFASWDYLEKVYHFY >ORGLA03G0043500.1 pep chromosome:AGI1.1:3:3028237:3029738:1 gene:ORGLA03G0043500 transcript:ORGLA03G0043500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLAGGKKGGKKGEAMAAALPGEAAKEKRWSFRRPVHGEKAAAEAAAAADGVVVGEAEAGFDLSASESEFDQKRHAMAVAVATAAAADAAVAAAHAAAAAVRLSSRKAHQLPASAVEEAAAVRIQATFRGYLARTALCALRGIVKLQALVRGQLVRKQATATLRCMQALLAAQSQLRAQAQRVRALHEHHRTPPRPRPPSPPQHPRHRRSYEMDRSCEENAKIVEVDSGAGEPARRGGEYGHHGRWSPAPSAMTEVMSPRAYSGHFEDMAFAATAHSSPHHASASSELLCCPSYMANTESSRAKARSQSAPRQRTDALERQPSRRKSGGGGGGAKMQRSSSSHAAAAQRGAQFPWPVIKLDTSSASLKDSECGSTSSVLTAATVYSRTRSLVGFELKNRFI >ORGLA03G0043400.1 pep chromosome:AGI1.1:3:3023861:3024397:-1 gene:ORGLA03G0043400 transcript:ORGLA03G0043400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPPGFLLSPHLPSLSCKVVVALEALAAHAAEVHVRRQDLVLLDPDHLVGAPRRDVLTVGVGALVDVAAIVLAVRVVAAAVVLGDGDELHDASVAVRQSAGRGLGGAAGRRYMVLG >ORGLA03G0043300.1 pep chromosome:AGI1.1:3:3007323:3012923:-1 gene:ORGLA03G0043300 transcript:ORGLA03G0043300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSAANGGGGGAGAARVPMPAAKPFLETLGGNMKETFLPDDPFRVVRRERGCGRRAAAALRYVFPFMEWAPSYTLGTLKSDLIAGITIASLAIPQGISYAKLANLPPVLGLYSSFVPPLVYAMMGSSRDLAVGTVAVASLLIGSMLSEEVSAAEDPALYLHVALTATFFAGVFQALLGVLRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGMFGLDHFTTATDLVSVMRSVFSQTHLWRWESVVMGCGFLFFLLITRFFSKRRTRFFWVSAAAPLASVIIGSLLVYVTHAENHGIQVIGYLKKGLNPPSATSLNFSSPYMMLALKTGIITGVIALAEGIAVGRSFAMFKNYHIDGNKEMIAFGTMNIVGSLTSCYLTTGPFSRSAVNYNAGCKTAMSNVIMSVAVMITLLFLTPLFHYTPLVVLSAIIMSAMLGLIDYPAAVHLWQVDKVDFCVCLGAYLGVVFGSVEIGLVVAVGISILRVLLFVARPRTTVLGNIPNTMIYRRMDQYTAAQRVPGVLVLRVDSPIYFTNASYLRERIARWIDDEEDQCKEKGEMQFQYVVLDMGAVGSIDTSGTSMLDELRKTLDRRGLQFSYLPLPFQIVLANPGSEIMKKLDSSKVLEAIGHEWIFPTVGEAVAECDFVMHSQKPGMVMGSGAPHENLV >ORGLA03G0043200.1 pep chromosome:AGI1.1:3:2994325:3006295:1 gene:ORGLA03G0043200 transcript:ORGLA03G0043200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILGPLPMAESSRQAAIDGCTWVNRVATSSAANCSSTTTTTVSTCPCCCNGGGPCEPFSARYTLHAADSGDHRERQMGGEMGSCITCCLALPPLATACPPLVTATLSVGHGISXMGGKLPTIGGXIWCAFQAPSTSFCMEAXYILLLLECILXRSGNFFCCYIXFATLLLNSEHQRHXDRHIVPLWQLTCSLVPMASLVRHDSNPTQYSWWWVSHISPKNSKWLQENVTDMDAMVKAMIKLINEDADSFARRAEMYYKKRPELMNLVEEFYRAYRALAERYDQATGALRQAHRTISEAFPNQMPSMSEDSPSSGQDVEPRTPEVLMPTRAPFDLDDLQDAAGVSPYLFTVKRNGTQPDDIGFSSSRKGLKQFSDLFAGSDSSQRVNFSDGKVRKGLNFESPDVKGKKDDSNDIMNLQHEVSKLLTERQSLEEQISSESQRANKVESEIHSLKDTISCLISEKDTTLLQYNESTRRLSVLECEISKAHMELKKLSDDMAMEVDKLKCAESQNSAMQSELETLDRKVRVQEQELEQSRKEIESFHFSLQDEMAKRKQAEDALCCLEKQYAQSQKEINRLTLDMEMANDRLNDFNLVKLNLENTVCELKKEVTSLEVKIQILVQELEQKREEADVMHAQLQDEHSNHMQKEAALHALDNLHSQSQEDFNLVKLNLENTVGELKKEVTSLELKIQIQAQELEQKREEADTMHAQLQDERSNHMQKEAALHALENLHSQSQEDFNLVKLNLENTVCELKKEVTSLERKIQIQVQELEQKREEADAMHAQLQDERSNHMQKEAALRTLENLHSQSQEEVKQMARDVEHSNKKLSDLENNNLKLHDLSQGLKKTVAELNSMKDSALLQQQKSSEKVSYLEAQVLVVRSEMEKMVQKTQMLDQELEHKNKEISELQSSLQEQVQKCILAETSLLRLEDLHTNSQEEAKTLAHDLERLSEQLTEVENDRLDLQNISRELKNTILEINSEKDLMLLQQQHSLERQSYLEAQLLDALSEVEENKKEVQLLEENLAHKNDELNGLQNNLEEEGHKRMHAEAALSMVENLHSKSQEEVGKLVMDLDKLENELSELQGRNSRMEELSCELQNTISLLNSEKDAALLQQQLSSERACDLMSQLSKIQLELEKAEEKMQTMEQKLADKSEMVDFLQLSLQDEGKKRVEVETALISSGNLYSQSQEDVNRLTLEIERLNEMLNDMENKSSEYKNTILLLNSEKDMSVIQYKQSSLRIYELESKLSGVQEELDNAEQKVQMLDKELKEKREVVETMQASLQDEAQKRMKGEATLLMMTNLHTQSQEEVNRLTPEIERLNRKLNEVENVSCELKNTILLLNSEKDTTALQHKQALVRVSDLESELSDVQAELVNAEKNVQILDKELKQKREEVDSLQASLNEEAQKRIEREVALLAMENLHSQSQEEVSGLVLKIETLHGKLNEMENSNGDLKNMICKHSEEIRVLGEQNLSAELTIKGLHDQLEKFTEMNIGLQNEVGIHVGEKEVLQQDLARQKEDKDILEKQLCSLEHEMKAVNKRVATQQHLIEELQSKNIELEEVRNAYDVEKTLLLEKLHVMEELSEEYSILKKSFSNAIVETEDLKEIVEELEASKNSLKYDVSLHAAEKDALALELETLDKRCADVLEEKSILETSFSNVNYELQELRVKYKDSEESSRSYIADNTALLAEKHKLLSQLESTAVSLKFLEDKHADLRDNHGSLLSEKDLLCNQVNDYEEMVSSLQDKIRHMDQMLEHEQQKCADASISTLILENSLVDARDKNLALFNECQKFIQATDSAEVLIAQLKEEARKEEEDKKALLNRNEKLRDGISEQIKVLNICKDLGPTDVVHDEIMLQTMSRETFNHVKHKEETEERNVFMDAELSVLGAILAQTVIGFRALHQQNCELVEEVESGAAELLFLQKENHKLIELNEQLEQRLQLGGNREEVLKIEILGLCKELSGLRQSYQTSQSEICNLTKKYDSLLQEYNVLVERYNALDDENAAVIAECIKLDLLSSFFHDLTVESASVLVSLNNDMAMLSSVRHELDHEVTMLNRRAKILEMDFQHLKCTLENLLEALGSRLVLSEFDSNTSKIICQELTIECKSSMTQLMQKDDKLRKVDEKVQFLQERNQELCRVLRDLEVAVEDAEGLKGDLEKKITTLTERGAIQDNETRLLREANNTLQVEVGIHKQKEESLMSTFETMRKKAEQHEREITLLVCDTITRSVNTMVLEEQVLEMMMEREVLETRLFTEKDMLMKEISSTDAYVDDLQKRVASMRGENAGLMAELAAYLPLVASLSDQIRALEELEDGTLLLSELNKEGKLEFVQKDRHVPESQDDSSGALKLQSLIARVEALHVVILDAKGRRDKEFTESAAQLEAANMEIQELKARKGSNAKEQCTEDDRQKYDADNSKGKHVQIMKDIELDQVSTCALYGTGATIYPLGGDANVELDDEMLQLWETAERDCKNQTAKSSSSEHDIQAVEEVKSGYPSFELARGRDLGIDRLEISAVSLEPQQLWSKNVLDKLASDAQRLSIVQASIEEIKQKMVGASKGKSTVSSEYSSIRAQLQEIDGSVLEQIDFNSNVTKKAENYPAFEVSAELEGYSSRRKISEQVQKGSEKVAKLELELQKIQYVLLKLEEEHEYKRVKAPEKRSRVLLRDYMTARKDKNDAGQKTKKKRIPFCGCVRIKSRTEP >ORGLA03G0043100.1 pep chromosome:AGI1.1:3:2972669:2976127:-1 gene:ORGLA03G0043100 transcript:ORGLA03G0043100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSNTTKKSKLSWSKSLVRKWFNIRSKAHDFHADDVAAIGRRGGDDEWRGSSFTRREPSTVKKSKTERSSRRSHERSRRGKIDLDAAEATVTLDYKIFVATWNVGGRSPPNTMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNGPARRWVSLVRRTLNNLPGTSGNGSFRTPSPAPDPVVEMDDDFEGLSSRQNNASFFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYEATCRWGGSSDDENNTGESPSTVYSPMSYGYGNPSSLEESHRPAGHTRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKDGDEMRRNSDVLEILRKTRFPMVYGQYERSPETILEHERIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGKIYFPPTYKYSNNSDKYAGDDMNQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFYXKYLRTLIGILXMFTPAAIDEVPRYGPCFTEP >ORGLA03G0043000.1 pep chromosome:AGI1.1:3:2959975:2963152:-1 gene:ORGLA03G0043000 transcript:ORGLA03G0043000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05460) TAIR;Acc:AT1G05460] MGTYVRNYSDDEFSVAGEKPDVEFMDYQNDGSIQDYSLEDAPVVVTVPFPFIDGKPKSVLVGETSADTISIENTSSEPVNLWSVRIFSSNPEDSYVLSMMKPPLNDTDEEAKRNFLGLTSVEDRTLQPGQTLTIWLSCTPKDIGLHTSIVHVDIGDEKIERVAFLLADDNVSQALFSDKPYSRKHTQKKKFECSSFVPGCRPTQQHSQGFKFKLPQFAIPADIRELIQSKQRPDVLSEELNMTNYAKFFSTLLVMEEINLEEEMRSYDMERILMRRRGLEFLSLEVPGLAEKRPSLVHGDFIFVRHAGSDARPYQGFIHKVEADEIFLKFDNQFHLAHRDRNQYDVSFTYNRLNMRRLYKAIHDAELLGPDILFPCRSSSGSVKKGPFKPLNPHINTEQADAVATILGCRGVAPYVIYGPPGTGKTMTLVESILQLYTAKRRANVLICAASNSAADHVLAKLLQASYLIRPSDIFRLNAASRQYEDVDPDFIRFCFFQDMVFKCPPLQALLRYKIVISTYMSSSMLQSEGIRRGHFTHIFLDEAGQASEPEAMVPLSGLCGRDTVVVLAGDPMQLGPVVYCKQAEKDGLGKSYLQRLLFEYEQYSTGDPNYVTKLVRNYRCHPAILELPSELFYGGELIACKEDEVSSIYDSIDLPNKSFPVLFVGIQGCDEREGNNPSWFNRIEASKVVNIIRNLTRGGDVREADIGVITPYRQQVVKIKKALETFEMPDLKVGSVEQFQGQEREIIIISTVRSTVKHNEFDKFFNLGFLSNHRRFNVAITRAKSLLIIVGNPHIITKDRHWDRLLRYCADNGSYQGCPLPPPEETQVSGFRDSQDEPARWGYNQQQESSANYSYKQDPHDSGSEHVNGLPSTENEVEWSEKTLNEEHQPCSSAAEADSPEFMLKQKAEEEEHVEQDGVQPEQCPAKDNKLQDAYAAKYSFPPEWCDVSNIPATGWDD >ORGLA03G0042900.1 pep chromosome:AGI1.1:3:2956593:2956877:-1 gene:ORGLA03G0042900 transcript:ORGLA03G0042900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESAECECCELREEYTRGYILGVKADFGGRWLCGLCSEAVRDEAAKLGRNRGGGGMEEALRDHMSFCGKCRKNPAFRVADGMRQMLLRRRSK >ORGLA03G0042800.1 pep chromosome:AGI1.1:3:2953378:2953938:1 gene:ORGLA03G0042800 transcript:ORGLA03G0042800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVISKQRSHQQQSSDRRRKPSSHFASPQSMRGFNAVNCRAFHSSVSIGILPSPPPPPARTYSSPEPKTPKSQPHHGKKRSRAISISPSTSPPSRPELWAGPAFSNSPPPSSLPIPKFSLHQKRSVSLELPPAGRSDDVVVPLHAKSAPSSPTAGSGVSFFSDSDTAIATENLRRILHLKIADH >ORGLA03G0042700.1 pep chromosome:AGI1.1:3:2945305:2951620:1 gene:ORGLA03G0042700 transcript:ORGLA03G0042700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFKSKIKWQHRSNDPASSQGQGLPQQTPPSPSPASSPSGGAPALSVSTVSSSSPSAAATPTGAAAAGAGGGGGGTGGEDYMLSEEEFQMQLAMALSASNSECVGDLDGEQIRKAKLISLGRGDRFAAVRDDEQTADALSRRYRDYNFLDYHEKVIDGFYDIFGPSMESSKQGKMPSLADLQTGIGDLGFEVIVINRAIDTTLQEMEQVAQCILLDFPVANIAALVQRIAELVTDHMGGPVKDANDMLTRWLEKSTELRTSLHTSLLPIGCIKIGLSRHRALLFKILADSVGIPCKLVKGSNYTGDDDDAINIIKMNEREFLVDLMAAPGTLIPSDVLSWKGNSLNSNARLTQNPLAGSSSTTDSNLSANALPPGHKGGQLPLFSSGDWISASQSGYEKDGATTSSQASSSGTTSVAAGSAFDSSWTLVSHGQSDDPSTSAGMSAQQKVILPGGEHPWNENINARNENIKLVSDLQGNSESINLFADLNPFGGREPKRTSVPLNGPDNRNNELQRRRENVVPSTRRPQQRLVMKNWSPYNDVSNNKQYNYVEDSFARRNIGDNAASSSQVPRPSAKNTNLNVGVRTDTPYMAAHNYDNSMAGSSAMKMTSTAGIGKVPDKVLYGDLDKGLTNSRLGDQPPIERHKWGNSVEGRIPTGTVHNQAKEHKENFDGKQDNKKLHPDPKKSPLDRFMDTSMPSRNPESVSPSFARSHKLDTMFDDVSECEIHWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLYKILHRPNCQIDEKRRIKMALDVAKGMNCLHISVPTIVHRDLKSPNLLVDNNWNVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDKRLDIPKEIDPLVARIIWECWQKDPNLRPSFAQLTSALKTVQRLVTPSHQESQSPPVPQEIWVNSSTP >ORGLA03G0042600.1 pep chromosome:AGI1.1:3:2943278:2943598:1 gene:ORGLA03G0042600 transcript:ORGLA03G0042600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCTNKKRDKNQAIVVQVPINRQVVFFFPYPMEGIGAVAASKKDQKSACSSTWMHWIIQLHKLSPVGLVDYTRNRRPDGENQSANSPLLHHEHEASHDPVADSFA >ORGLA03G0042500.1 pep chromosome:AGI1.1:3:2939880:2940368:-1 gene:ORGLA03G0042500 transcript:ORGLA03G0042500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHPSPATTAGGSCGGKKDLRRLPHVYSKVLELPFPADTDVAVFEGPDAFHFVVSAAAALAGEVRVRTVRIHPGVVRVVVQAGGGGVHDDGDDDDMELDKWRSRLPEASCPAMSVAGYVNGQLVVTVPKGRGGGEGDNDGGEEAWRCCNGGKISGRLVVVQ >ORGLA03G0042400.1 pep chromosome:AGI1.1:3:2937215:2937559:1 gene:ORGLA03G0042400 transcript:ORGLA03G0042400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFMDLRAFILRARVLKLYRQALRMTRRAPVHARDELRQTVRAEIEKNRRCDDKQKIKFLISEGLQRLKGLDEMLDMTGNS >ORGLA03G0042300.1 pep chromosome:AGI1.1:3:2930638:2932254:1 gene:ORGLA03G0042300 transcript:ORGLA03G0042300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAARRRLWRGLTTAAAASVGVEADTSALLARLVAEPEYRVKATMEEASGGSSAAAAFWEPLAAALLRASSPAKANLVLEWKLEKLIKEGIRDCEPYSVIIRFCRETKNAEFAMKVFEFVEELGIQLNTGIFNALINAFLSVGDLLAAMTLYEAMEDIEDCKPNSATYDAFISAFSRLGSGHAMMSWYLASKDAGFTPSIKAFEYLITGFVKLDRLDDAEVVFEEMIYFEIKPNFAILEAKLELLSRRKDPNRVKVFLELVSDGNQELSEATVESLTRLCLYEDKIGELDQLLSLVQGMHTSSLTKLHCGIIRFYANADRLSDMEHAIFQMLDNGMVFAHSEDVEAVICSYFRHKDFDRLDLFLNRIQSLYKLTRSTYDILISGYQRLNLHGRLDLAIKDMREAGFA >ORGLA03G0042200.1 pep chromosome:AGI1.1:3:2928696:2929697:-1 gene:ORGLA03G0042200 transcript:ORGLA03G0042200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPRRPSEKAAGGGGGEQGIRYGDVFPVTGSLAAKPIAPRDAATMQSAENLVLGKTVKGGPAAAMESAASRNEEMGVVGHDQATDAAAEQGVNVSDTLVPGGGRIVTEFVAGQAVGHYVEQDDGAAVVAGVVGAAPGAVRVEEPAKITIGEALEAAALAAGGTPVERSDAAAIQAAEAKATGTDTYMPGGLAAQAQSAAVANLWTARDADKTKLGDVLSNATAKLAADKEVESGDAARVAGAETRNKPGAAARPGGVAASMAAAARLNRGPTT >ORGLA03G0042100.1 pep chromosome:AGI1.1:3:2922680:2923324:-1 gene:ORGLA03G0042100 transcript:ORGLA03G0042100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCFSRLRRPASAGAAPAPMAQSDDASTSTSAGGGGGCTAETSPCSSSSSLRCKNSGGVGGEDDDDGVGGGGAVVAKNASALSDSGLSSAIASRRFFLSSPGRSNSIVDSSAHGAAVGVGAAGVAVPTYSPDPHADFLRSMEEMVAALRLDARRRGDRARLHELLLCYLALNDRRAHKYVVSAFTDLLLRITAAANLDDGEPPRHGRHLHESH >ORGLA03G0042000.1 pep chromosome:AGI1.1:3:2913781:2919804:1 gene:ORGLA03G0042000 transcript:ORGLA03G0042000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Domain of unknown function XS (InterPro:IPR005380); BEST Arabidopsis thaliana protein match is: XS domain-containing protein / XS zinc finger domain-containing protein-related (TAIR:AT5G23570.1); Has 565 Blast hits to 510 /.../ins in 121 species: Archae - 2; Bacteria - 90; Metazoa - 191; Fungi - 32; Plants - 51; Viruses - 4; Other Eukaryotes - 195 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G22430) TAIR;Acc:AT3G22430] MKNPNLPHPKHSSSSAAAAAMAPRKSRWGPPPPAGEKGAGAASTSARTPTPTPSTAGDPRRHPPPPGPGARNPAASSAAAFRPPPQPQQQPRVETPPPPSYGFHNLDRRTMLLADGSVRTYFALPPDYPFELTPLPQLPHLPRGAGHEVWPPHHPPPPPQQQQLQLAQHDAKRKHLAEHDEGFHSRHPKQPRFEAAPPQQQQLPPHAAVDRHALRRAFLKYAKMLNESAAQRRSYLEGGRVPCLACGRSSKDFADVHGLVMHAYNPPNVDSFIDHLGLHKALCVLMGWDYTKVPENSKAYQSLLPDLVQASREDLIIWPPTVIIHNTATGRKKDGRAEGLGNKEMDKKISELGFAGGKSKSLYGKEGHLGLTLIKFANSPAGLKEAERLADFLERQDHGRIGWLHARANQSVGSDNSPLLVETDNRTGEKRRILYGYLAISSDMDELDSDSRKRASLKSKREFDPSD >ORGLA03G0041900.1 pep chromosome:AGI1.1:3:2909614:2912497:1 gene:ORGLA03G0041900 transcript:ORGLA03G0041900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFKGNPYFNRVSSNATKSESPKMQSPSEKKEKDDSMLPSNAKEVEELRRESARNPLIAFTFEELKRITKNFRQDSLLGGGGFGRVYKGYITSDLREGLTIEEPLRVAVKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDDHRVLVYEFMPLGSVESHLFSRVMVPLPWFTRMKIALGAAKGLAFLHEAEKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPVREQTLADWAFPMLIQKKKVLGIVDPRLAEDYPVKAVQKTAMLAYHCLNRNPKARPLMRDIVATLEPLQQMEEDPSISLVSGTEV >ORGLA03G0041800.1 pep chromosome:AGI1.1:3:2895402:2905441:-1 gene:ORGLA03G0041800 transcript:ORGLA03G0041800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] MGCSGTYLLDVIQDILLKSYHTINQVHIVYLGHNDGLNASLTASLHLQLLSGVFTRSDEARDAILYSYSYGFSGFAAMLNSTQAAKLSEAEEVISIFRSKMLEIHTTRSWDFMGLSLHIQNEQSAGMQLKYGDDIIVGILDTGVWPESQSFRDDGHLGDIPSSWRGTCVEGEKFDPATACNRKLIGARYYLAGFESEVGPLNTSGGAEYRSPRDRVGHGTHTASTAVGAVSPDASYVGGLGRGVARGGAPWSRLAVYKVCWFKDLTGRCSDADILAAFDDALRDGVHVISASLGSTPPLMPLFMTSTEIGAFHAMQLGVPAVFSAGNDGPDAAMVQNVSPWVITVAASTIDRRFPTVITLGNNVSLVGESFNVNDMKMRLVESGSVFSDGSCSFDQLTNGSRAAASGRIVLCFSTTTASSGVAALAVYAAGGAGLIFAETISRRSTQDNFLPTVHVDLRQGTRILDYIRGSSRPPTARFSPSTTLVGKSPAPAVAYFSSRGPSSISPHILKVQPDVTAPGVNILAAWPPMSSPTVIPLDKRSVTWNFDSGTSMSCPHVSGIVAVVRAVHPTWSPAAIKSALMTTAYMYDDTSDVMLAGGTLKAADAFDVGAGHVDPLRALDPGLVYDAGARDHVLFLCGLGYTRYQIRQMVLPSPSLDTSCGGEGGGAAPPEYDLNYPAIVLPRLNATVTVKRTVTNMGPRRDAVYRAAVVSPHGARAAVWPPALAFSPYRDTASYYVTVAPAKLSRGRYDFGEIVWSDGYHRVRTPLVVRVTTMPDTDTGVDVDVAAARAMDTATATQLQDS >ORGLA03G0041700.1 pep chromosome:AGI1.1:3:2888473:2889235:1 gene:ORGLA03G0041700 transcript:ORGLA03G0041700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCAMPRVYRPDLGVLRPCNPILACGLVAGEVGRWTSSSSSERTTWRKPEVGWMKLNFDGSRNDATGAASIGGAFRDHEGAFVAGYAERMIVGGASSFTAELAALRRGLELAARYGWRRVWAEGDSRAVVDVVHGRAGVRSEEDRRQCGEIAALLPALDGVAVSHLCRDENKVAHGFAKLGHKARRRRVWHVVPPNEVLVFLQRDADRG >ORGLA03G0041600.1 pep chromosome:AGI1.1:3:2883179:2886705:-1 gene:ORGLA03G0041600 transcript:ORGLA03G0041600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPQVPAAAPAPAAAAAPPAPAPAAAATAAPVADQTTDLLQKLSLDSQPKAVDAATEPAGAKKQGPAASQPLSVAIPPERSITPVLQDFMDPNMFYLPAYYYGGYDGSVSEWDDYPRYVNPDGVEITPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGQHYQYPTSYYQPPTPVPSTTQGDLQPSANPDKPTAKADPAKTTTNGAPNGTVHSNSGTVPLGSSQQNSSLTPDGTYRAPLLGGVPSAGYLDSTYGYDSTGAHFAWYDGSAYTNGQQRTTTTNHMSSSTFSNGSSARTQNKGSTPQQMGMNNRRPTTTTGSAAPTYPNRMYPSTRSYSQYGNSYKTGLSYSTNGYGSNGYGSNGYDSRLYGRWGLSMDNRYKPRGRGNGYYGFGNESQDGTIELNRGPRSGRFKNQKLFGHTVTIAVKGQSLPTSDSKNATDVPDRTQFNRDDFPVQYDDAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQAKSSKCPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSLSLKWHIVKDVPNNILKHIILENNENKPVTNSRDTQEVNLDQGIQMLKIFKEHVSKTSILDDFAFYENRQKLMQEKRVKQQQIQKQVWDSRAPSSVTGEKQQDAATAKPKLPNGVNGELKVPAENGTAPVVTYAAKVAQTAATEKPALANGTVKAS >ORGLA03G0041500.1 pep chromosome:AGI1.1:3:2877053:2880237:-1 gene:ORGLA03G0041500 transcript:ORGLA03G0041500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G64770) TAIR;Acc:AT1G64770] MATSSLLPLHLPTRPSAVKASAAATAAAAPTPQSLEESFGRKGLRFAADPATGAPTAELSVRNGSSLQLRLADGLVTSYRPKVYWKDDGCREVLHTVAGAGAGGEVKGGVGLALSEVSSSGAAESLLVGSEWSVVDADSDSYDAVQVELGCTKGSGTLEVTYVVTLYPLSMATAVMVKNNGKKPVSLTSAMLSHIKFDKRRGTAVEGLRGCPYCSHPPPAAGFALLTPAEAMKREVGGWFGGGGGGEEPRQGVWTVEDNLYTILKKKVSRVYAAPPEERKKRIYSTAPSKFTTIDQNSGLGFRVVRMGYEDMYLCSPGEMYKKFGKDYFLCTGTASMLVPVVVNPGEEWRAAQVIEHDNL >ORGLA03G0041400.1 pep chromosome:AGI1.1:3:2872611:2876400:1 gene:ORGLA03G0041400 transcript:ORGLA03G0041400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53110) TAIR;Acc:AT3G53110] MADGGKPPTPEKKSWADVEEEEEAKAKAAAAAEAASSSSSNEPAVDAQAKQIEALSLSVPEEHGGSGGGGDDQGPPLLDDSDESQIQAVTSGGTVYESAAAFEDLKLTPELLKGLHDEMGFSRPSKIQAVTLPMILTPPYKDLIAQAHNGSGKTTCFVLGMLSRVDPNRKVTQAICICPTRELAQQNKSVLMRMGKFTGITCACAIPPAQKDYVPIAKMPKITDQVVIGTSGTLMKWINHKKILTNDIKILVFDEADHMLAEDGFRSDSERIMRDIQRSAGGCQVLLFSATFNERVKDFVTRVIKDGNQIFVKKEELTLEKVKQYKVQVPDERAKIAVIKDKIFEFGQKVGQVIIFVRTKQSTKDVHNALTLEDYVCSSIQGSLDQSEREKIIQEFKNGYTKVLISTDVLARGFDQAQKVNLVINYDMPIKFGTRDEPDYEVYLHRIGRAGRFGRKGAVFNLLCGETDNTVMRKIETYFQHNVPEVRNWQSEEDFERALKDAGLVE >ORGLA03G0041300.1 pep chromosome:AGI1.1:3:2868343:2869527:1 gene:ORGLA03G0041300 transcript:ORGLA03G0041300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKELDYFKEYAARLQSFRGDDDAAAAATLLEALYIVSMGTNDFLENYYAMARAQAAEYSTAVDLNSLPPMGCLPLERATGSGGACTDEKNTVVERFNAGLQDMIARLNDELGDGEMIVYGDVYRPVAASGVRGGERRQQHRAHAGQPAAAHLCHLLRHVRPRRARQPFLRRARGQKTVLENGHRRPRQGGSGGGGGNMRRRRRQARQATRRGQRQPAVGPLGREWRRPRLDAVRIFAVIELPRKRHVKCHVGATSAKTTIKPRTDLICTGFNS >ORGLA03G0041200.1 pep chromosome:AGI1.1:3:2864520:2865794:1 gene:ORGLA03G0041200 transcript:ORGLA03G0041200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoserine aminotransferase [Source:UniProtKB/TrEMBL;Acc:I1P7R6] MAAAAATTPNSLLLRRPAAPKPASAAASPLRLPTRAARISCAAVATPAQSSSSPAAAAADRGVYNFAAGPATLPLSVLQRAQAELVDYRGSGMSIMEMSHRGKEFDAAIKKAESDLRALLAVPDTHEVLFLQGGATSQFAAAPLNLCASLSDPADFVVSGSWSDKAFKEAKKFSAASVAWSGKDGKYTSLPPFDAIEQNPEARFLHICSNETIHGVEFKDYPEPKNKSGILVADMSSNFCSKPVDVSRFGLIYAGAQKNVGPSGVTIAIVRKDLVGGAQPITPVMLDYKTHADNASLYNTPPCFAIYICGLVFEDLLAQGGLAEVEKKNAHKAGILYDAIDASGGYYICPVEKSVRSLMNVPFTLAKGGDFEKQFIAEAAKEGMVQLKGHRSVGGVRASIYNAMPLAGVEKLVAFMKDFQARNP >ORGLA03G0041100.1 pep chromosome:AGI1.1:3:2858109:2863163:1 gene:ORGLA03G0041100 transcript:ORGLA03G0041100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFKGPGEKEKEEEAGDKAAAEEAGDGFQVVRGKKKKRPNGGSASGAGSGTMMAKDKAAAAAAAEPWTKAKVSFHDPNIPRPQDVYAIRVNNYNVPFDHVWLERTEDGSRPIHPLEKLPMEQFIDRNVPESEPVKPADLEDTPFTLVEDKNGLADLAKKLKSVNEFAVDLEHNQYRSFQGLTCLMQISTRTEDFVVDTLKLRIYIGLYLKEHFKDPTKRKVMHGADRDIMWLQRDFHIYVCNLFDTGQASRVLQMERNSLEHLLRHFCGVTANKEYQNADWRSRPLSDEMIKYAREDTHYLLYMYDLMRLRLQKESTSDNDLLLEVQKRSNEICLQLYEKELLTDTSYLHIYGLQEHDLDAKQLAVVYALHQWRDYIAREVDESTGYVLPNKALIEIAKKMPTDTAELKRMVKSKYPFVDENLDQVVGIIWNATESSYAFESRAEQLKKERLEQLTDRVHTISSPEMKTSMNLSSQIRSMDKEILSDNIHQQVAQATFQELKRPMALGAVGNSTSGGQRDFFGGFSNKSEKMEKAKSYPAFYYPQLPQYSPEVGYGFQSINRTMAGTALSPTGNKERDLQNPRRRQSFPPSGNISDTHQ >ORGLA03G0041000.1 pep chromosome:AGI1.1:3:2851784:2855598:1 gene:ORGLA03G0041000 transcript:ORGLA03G0041000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGGGGEPSPAPGVGGRKHLSSIANHVLRQCSLTLDRSVDELVADFELGLKTAAVDNYSRRLVEFCILQALQVVTSVDLGDKIHDGSLSRFTFDMMLAWETPTPSDQQVTMESIAKEREDKKEPLGANEAVMGDETSLFYSDIMPLLVNEEPTVGEDAYVWFGSVFPLACDVINAQFTFEALTATTANRLHYPAYDKFLKEMDKSSEFLQKVSTPTGTELAEDEFILHIEGTAGTQRVVRHIGTTSWPGRLTLTNKALYFEASGKISYEPAFKVELTGSEIGKQVKTTSTGPFGAPLFDKGIEFELPEPLVLEFPEMTSSTRRNMWLTLIREVIFLHRFISMYSIDSPIHKWEVHSRIILGVIRLHAAREMLRMSPPPPSSFLVFSLYDELPKGDFVLEQLANNLKETSTITPLSASHVFKGLSKSHRVALSAEIAKEHDKDSNSHEQPLASLENTIGQVRDEAREVTVANVAIEGMKEEGITDSLLVLVGLVSPMSKLFPVIQKIISWEKPLVTISVLAMMVLTIYREWVGFALAASLILAAGFMVWARQRKIGALCSEVIIDTSSDKTTMESLVEAQQSLKRVHEYIKTANVVILRVSSIALARSPKCGTLDNFLSLQHTETVIWMLTGLALALAVIPFKYVLIGVMAGGFMSNTRIARAMSNPQGSRRWREWWESIPAVPVRAVDGGGL >ORGLA03G0040900.1 pep chromosome:AGI1.1:3:2849770:2850620:-1 gene:ORGLA03G0040900 transcript:ORGLA03G0040900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATSLRNYTDFVPPHQLVEEAGKKVLQINLSAAGFKKEQLRVRIDNHGKLRISGERQVSGNRWSRFHKDFQVPDDCNAGDVRARFDSRDRVLHITMPKLSPAEEEPKAAAAATPADHGAAQAQQTAAPADQEKEDKEEEDDDGAANDGAAAGGTALVTGRRKTPWRVVLAVVLALVAAAGFYAKYRLMMDPSAADGGHGLIGFSDH >ORGLA03G0040800.1 pep chromosome:AGI1.1:3:2845849:2849040:1 gene:ORGLA03G0040800 transcript:ORGLA03G0040800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPESSSSSPVLLNIGGKRYATTVETLTQREPSSMLAAMFSGRHTLPRHPDTVRRVLTSGALFSGAVASEDEWWVQGMVFVDRDGKHFRHVLNWLRDGAVPDMSESEYQQLLREAEYYQLLGLADCINDRLGWKNDENFSEAELTRKDVIKCIQAPRVRFRGVNLSGLDLSKLDLSEVDFSYACIKNANFSSAYLRKAKFRLTEATCSSFQSANLHECELIGANLEGSVLDKANLQSANLQDACLKQCCFIETDLRSAHLQITHFLSVLLSVQLDFQGANLMGANLSGANLEGANLKGAKLAGTNLECANLQRAYLREVDLRETHLTGAKLGGANLLGAIR >ORGLA03G0040700.1 pep chromosome:AGI1.1:3:2834040:2839377:-1 gene:ORGLA03G0040700 transcript:ORGLA03G0040700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIMEKPMMDTGGGMIERTKSDQLAPPPPPSSAQSLSRTASAETVLSTADVTTTSLSRKSSFGKRSASGGAGAGGNSHGYSSSNNNNSHIRKSRSAQLKLEMEDLVSSGAALSRASSASLGFSFTFTGFTPPPQDTMSSAELHPFSDDDNTMDIEAGTPRKKLMTEPTLPIYLKFAEVKYKVAVKGTPREILSGISGSAAPGEVLALMGPSGSGKTTLLSILGGRVAGPGDVEGCVSYNDEPYCKSLNRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQEKEERTIDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIIQLLHDIAEDGKTVITTIHQPSSRLFHKFDKLILLGRGSLLYFGKASEAMPYFQSIGCTPLIAMNPAEFLLDLANGNTTDVSVPSELDDKVHMENQNLQTNTKNDYKPSAQDVHEYLVDAYENRVAYKEKKQLLDPLPISDDMKTTITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSVILGLLWWHSDPSTPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYKLSAYFLARTTSDLPLDLFLPVIFMVIVYFMAGLKATAAHFFLSMLTVFLSIIAAQGLGLAIGASLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYLSFNYHTYRLLLKVQYHPVPDILINAIPLDNGVTEVVALVAMIIGYRVLAYMSLRRTKTSAS >ORGLA03G0040600.1 pep chromosome:AGI1.1:3:2826839:2831572:1 gene:ORGLA03G0040600 transcript:ORGLA03G0040600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWENLGDVATVVQLTGLDAVRLISMIVKAASTARLHKRNCRRFAQHLKLIGGLLEQLRVSELKKYPETREPLEQLEDALRRAYLLVHSCQDRSYLYLLAMGWNIVYQFRKAQNEIDNYLRLVPLITLVDNARVRERMEYIERDQCEYSFDDEDKEVQDALLNPDPSTNPTVVLKKTLSCSYPNLPFNEALRKESEKLQVELQRSQSNMDMGQCEVIQHLLGVTKTVASSIPEKCATPKVSEKADSNHTKVSEDSAKTYHDDSPKKQKDACTAPRSSPPSSYGHDLVSSRGSYSDEWHADLLGCCSEPSLCLRTFFFPCGTFSKIASIAKNRPMSSSEACNDIMAYSLILSCCCYTCCVRRKLRQKLNIAGGCIDDFLSHLMCCCCALVQEWREVEIRGAYGERTKISPPSFQYMEH >ORGLA03G0040500.1 pep chromosome:AGI1.1:3:2820471:2821627:-1 gene:ORGLA03G0040500 transcript:ORGLA03G0040500.1 gene_biotype:protein_coding transcript_biotype:protein_coding SELRHQESGTIPVVIALLVRLSKERNTNEDRLSSLPDEILILILDKLDARTTITTTILSKRWLNLPRRSHTCYDFAVDDLLPPRYHRLKRLWMEAKAAYEAEKSPYVTTNRDAVNLSDFYAMKDKMDQWTRRIRPLTAALERYERWAMRHYVKRVDAFLLPPDGVHRTIQKLRLQTFGRKWNQFIQRWVTAAIARWGVEDFELNVEGRCIAYDFKILDGCQNLQLKRLVLFNCPPLGSFNSLTFQRLTRLSLCKTSYFGLANRILTHCVHLLDFSIRYCPGYWAGGLRINVPTSRLKNLLVDNCKFGENLSAFTALS >ORGLA03G0040400.1 pep chromosome:AGI1.1:3:2814991:2817893:-1 gene:ORGLA03G0040400 transcript:ORGLA03G0040400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISKSVAARIPLPGGGSPSRRLAVAGLGGGGGVEPWAGRLRAQPRLGPIAARPHDGVSAPPREAAPAAAVGPPWKLLWSLLPKASRAALFLLVALVTGTLQSSIPYPAHASVQSITKTGGLFTSEILSSGWAGFIAGCLHTLSGPDHLVALAPLSIGRSRLESGLVGALWGCGHDAGQVIFGLLFLLLKDRLHIEILRTWGTRVVGLTLLIIGGMGIREATEVQESSLALEGVDCNITSSEPLQTPAAPRKKKVGFATFATGVVHGLQPDALLMVLPALALPSRFAGAAFLGMFLMGTVFSMGSYTAFVGSCSEALKEKVPRITEKLTWAASLVAICMGIALLVGQFFGFSLY >ORGLA03G0040300.1 pep chromosome:AGI1.1:3:2813306:2813942:-1 gene:ORGLA03G0040300 transcript:ORGLA03G0040300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDIIAELRVLPAKILPKKKPKQFQKVEVKVRMDCEGCERKVRKAVEEMKGVSSVEVDAKQNKVTVTGYVEQEEVVGRLRRRAGKKAEPWPYVPYDVVPHPYAPGAYDKKAPPGYVRNALADPDAAPLARATEEEEKLASAFSDENPNSCAVM >ORGLA03G0040200.1 pep chromosome:AGI1.1:3:2806310:2811157:1 gene:ORGLA03G0040200 transcript:ORGLA03G0040200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNADQHAAANGGGGTTPAATDSPPAGKKAAAAAAWKRPGNGAAVPVVVAPGSPIMDADSWPALPGLASPPPTTLTPTPMPPKASPKVAPLPPPAEAVIPPISLGNSGAPDANPDHEAPVRNPPARRALVMPVGDGLDKRAPGSEPSPVYTPNARSNGGGDHHQNGRYGSHPHSRGSGYGGGGNRRGNGGGGGGGRRGQEHHGGFDGQRRGGGRRDGHGPGHQQRGHHPSYIRAPLAVVTAAPPPPPPFVNPATPQTPPYGAPIGFPEIAPHVYYFTSPPEGVQALPFVPHPASPPAMLIPQFDPLRAELLKQIEYYFSDDNLCKDIFLRRHMDDQGWVPLPLIAGFNQVKKLTNNVQFILETVLQSTVVEVQGDKLRKRERWEIWLLPKQGYSAGNSSGSLSPVTSNIDSLASQFQSVGLEGAGYHASQGMPGEALLTRSATSVSLGYQAPPLGGLYSNGSGPLFGQKSARSLLRSDTF >ORGLA03G0040100.1 pep chromosome:AGI1.1:3:2802688:2804151:1 gene:ORGLA03G0040100 transcript:ORGLA03G0040100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILLQLLAVVAFCIAPARSDWLPGTATFYGGADGSGTMGGACGYGNLYDQGYGINNAALSTPLFNDGASCGQCYLIICDYGKAPDWCKLGKAITVTGTNYCPPNYDLPYGGWCNATRPHFDMSQPAWENIGIYSAGIVPILYQQVKCWRYGGVRFTINGFNYFELVLVTNMAGSGSIVSMSVKGSCTGWIQMTRNWGANWQCLAGLAGQALSFNVTSTGGQTIVFDDAVPAGWSFGQTFSTYHQFDY >ORGLA03G0040000.1 pep chromosome:AGI1.1:3:2796684:2797656:1 gene:ORGLA03G0040000 transcript:ORGLA03G0040000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFLQLLAVVALCIAPARSDWLPGTATFYGGADGSGTMGGACGYGNLYDQGYGINNAALSTPLFNDGASCGQCYLIICDYSKAPDWCKLGKAITVTGTNYCPPNYDLPYGGWCNATRPHFDMSQPAWENIGIYSAGIIPILYQQVKCWRYGGVRFTINGFNYFELVLVTNMAGSGSIASMSVKGSCTGWIQMTRNWGANWQCLAGLAGQALSFNVTSTGGQTIVFDDAVPAGWSFGQTFSTYHQFDY >ORGLA03G0039900.1 pep chromosome:AGI1.1:3:2791466:2792520:1 gene:ORGLA03G0039900 transcript:ORGLA03G0039900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVLQLLAILALCIAPARSGWLQGTATFYGGADGSGTMGGACGYGNLYDQGYGINNAALSTPLFNNGASCGQCYLIICNYDKAPSGCRMGTAITVTGTNFCPPNYDLPYGGWCNTTRPHFDMSQPAWENIGIYSAGIVPILYQQVKCWRSGGVRFTITGLNYFELVLVTNMAGSGSIASMSVKGSSTGWIQMSRNWGANWQCLAGLAGQALSFTVTSTGGQTIVFDSVVPAGWSFGQTFSTYQQFDY >ORGLA03G0039800.1 pep chromosome:AGI1.1:3:2784567:2785568:-1 gene:ORGLA03G0039800 transcript:ORGLA03G0039800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKKKTPSILPLVVVIAAASLIAPTTAGWSSGTATFYGGSDASGTMGGACGYGNLYWSGYGTNTAALSSALFNDGASCGQCYQIACDHQAEPRWCLQGRTVTITGTNLCPPNYALSSNDGGWCNPPRTHFDMAEPAWLQIGIYKAGIVPVLYQRVPCVKQGGVRFTMGGFNYFELVLISNVAGSGSIQSVWVKGPNTDRMPLSRNWGANWQSHAGLVGQTLTFGVTSTGGQTLVFQNIVPAWWKFGQSFSSNLQFSY >ORGLA03G0039700.1 pep chromosome:AGI1.1:3:2778714:2780544:-1 gene:ORGLA03G0039700 transcript:ORGLA03G0039700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTILFATSLVITVLAAAGFAPAHGWNKGTATFYGGADASGTMGGACGYGNLYTAGYGTNTAALSSVLFNDGWSCGQCYLIMCDAAATPQWCRAGAAVTITATNLCPPNWALPSNSGGWCNPPRPHFDMAEPAWLQIGIYKAGIIPVLYQQVKCWRQGGVRFTMGGFNFFELVLVSNVAGSGSVRSVSVKGGSTGWITLNRNWGANWQCNSGLVGQALSFAVTSTGGQTLYIYNVVPSWWSFGMTFTSNQQFSY >ORGLA03G0039600.1 pep chromosome:AGI1.1:3:2774221:2775156:1 gene:ORGLA03G0039600 transcript:ORGLA03G0039600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAFFFHCVVAVAACLAATAAALSGTATFYGGSDASGTMGGACGYGNLYSTGYGTNTAALSSALFNDGAACGECYQITCDESSSKWCKAGTSVTITATNLCPPDYSKPSNDGGWCNPPRQHFDMAQPAWEQIGVYRGGIVPVNFQRVSCTRKGGVRFTINGNSYFELVLITNVGGPGSIKSVQIKGTKTGWVTMSRNWGANWQANNYLNNQAISFSVTSTAGKTLVFEDVAPSNWQFGQTFTSGVQFY >ORGLA03G0039500.1 pep chromosome:AGI1.1:3:2770359:2771509:1 gene:ORGLA03G0039500 transcript:ORGLA03G0039500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVVLVGRLVAFSASTGKPAAKKSLRMKGKSGPHEIRCVKRNFLRETLENELPEGTIRFSSKIVSTGEDSNVKLLHLADGSTIGADRMRRRELGGGEVAWPAKANPLRALGHQGPRRVPDGDAEESVAKMRSYVLAKAARIPAPLRFRSPLALVRGSISRGNVCVAGDAFHPTTPELGQGGCAALEDGVVLARCLSEAFLADGAEHDPGYEAITAALEKYAEERRWRGIRLITAAYVVGFIQQSTNPVIKFLREKFLSGLLAKTMIAMADYDCGKL >ORGLA03G0039400.1 pep chromosome:AGI1.1:3:2762505:2765283:1 gene:ORGLA03G0039400 transcript:ORGLA03G0039400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARHLLTLDEKNPRRIFEGEALLRRMNRYGLLADGQNKLDYVLALTVENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDGEEEDEE >ORGLA03G0039300.1 pep chromosome:AGI1.1:3:2760999:2762105:-1 gene:ORGLA03G0039300 transcript:ORGLA03G0039300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLPNRHLQLPNRHKHGCGGGAFLRLLLFSGADAHKVSCAREGEWRERGGGGCGGGVCGGAGGICGRAGASFLHFLGFVESLICGWGSSYHIQIEIKDYMKEYRSELCKRLLYHRFNDLHPKRRF >ORGLA03G0039200.1 pep chromosome:AGI1.1:3:2744037:2744501:1 gene:ORGLA03G0039200 transcript:ORGLA03G0039200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGPRWKKGKDGKDFLALAAANPMSVIVAELNASFISSKPVAILSGPGGGAILGVEPKQAVILNRAAFGHAVEIAAAQKHWFQLSPEEVFCLCHVLNCIRVESRDKKQMSDKQLWNHFRSMSESFPEMYKAYSHLRLSRPHGTNRLGVNGKV >ORGLA03G0039100.1 pep chromosome:AGI1.1:3:2741461:2743591:1 gene:ORGLA03G0039100 transcript:ORGLA03G0039100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGVEGIVIAGAGLAGLATALGLHRKGVRSLVLESSATLRASGFAFTTWTNAFRALDALGVGDKIREHHLLYERLLAFSASTGEPAAKLSLKMQGKSGPHEIRCVKRNFLLETLENELPEGTIRFSSKIVSIEEDGNVKLLHLSDGSTIRAKCITVLFLKVLIGCDGVNSVVAKWLGLPKPILSGRSATRGLAEYPAGHGFGPEILQFIGQGFRSGVLPCSDTSVYWNYTWYPSPDDGDAEESVAKMRSYVVAKLRAARIPAEALDVIERSEMSDVVSSPLRFRSPLALVRGSISRGNVCVAGDAFHPTTPELGQGGCAALEDGVVLARCLSEAFLADGAEHDPGYEAVTAALEKYAEERRWRGIRLITAAYVIGFIQQSTNPVIKFLREKFLSGLLAKTMIAMADYDCGKL >ORGLA03G0039000.1 pep chromosome:AGI1.1:3:2738462:2740744:1 gene:ORGLA03G0039000 transcript:ORGLA03G0039000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEQADGREIVIAGAGLAGLAVALGLHRKGLRSVVLESSPTLRTSGLAFITWTNAFRALDALGVGDKMRSQHQQIQRLNVMSSATGEIVQEIDLRAQGKRGTHEARCVSRTALLLALEEELPRGTIRYSSKIVSIEEDGNAKILHLSDGSTLRAKVLIGCDGINSVVARWLGLAKPSDSGRTATRGRAKYPDGHGFEPRFLQLVGQGFRAGMVPCNDTDVYWFFTWSPSPDDKDVDKSSAAMKQFVLTKLRSTNVPPQVLEAVERSEMNDVLAAPLRFRSPLSLPFASISKGNVCVAGDALHPTTPDLAQGACTALEDAVVLARCLGEALLLRTGDCAAEESHRVVEAALRRYADARRWRSAQLTGASYAVGFVQQSDHPAVGFLRDKLLSGVLAKTLLMMPDYDCGTLSSSGAC >ORGLA03G0038900.1 pep chromosome:AGI1.1:3:2735178:2736901:1 gene:ORGLA03G0038900 transcript:ORGLA03G0038900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKEAGEEAVVVVGAGIAGLAVALGLHRKGVKCSVLESSPELRASGFAFATWTNAWQALDNLGVGDKIRKLHLHLQELHVFSSSTGEITRRADLTVQGKRGPNELRCVRRDWLLRALEEELPKGTIRYSSKIVAIEEDGNAKIIHLADGAILRAKVLIGCDGVNSVVAKWLGLTKPSSSGRLATRGLAHYPDGHGLDPRFKMFVGHGFRAGVIPCNETDAYWFFTWSPSEHESNGVEESAEKMKQFVLTKLRSSKIPTEVLEVVERSNINDVVASPLRFRPPLSLLLASISKGNACVAGDALHPMTPDLGQGGCAALEDGVALARCLGDALLGGGGAAESERIEASLREYARIRRWRSVELVGTAYVVGIVQQSNNAVISFLRDKWLAGVLAGRLLKMADYDCGKL >ORGLA03G0038800.1 pep chromosome:AGI1.1:3:2729373:2730580:1 gene:ORGLA03G0038800 transcript:ORGLA03G0038800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATSLNEQGKRGPNEMLCVRRDWLLRALEEELPEGTIRYSSKIVEIEEDGDAKILHLADGAILRAKVVIGCDGVNSVVAKWLGLAKPSYSGRLATRGLACYPGGHGFDPKFKMFFGHGFRLGVIPCNDTDVYWFFTWSPSEHDDDALAKKKQFVLTKLRSAEIPAEVMEVVERSEAKDVLTAPLRFRPPLSLLLASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRRWRSAELIGTAYVVGFMQESSNAVISFLRDNWLAGALVRKLLKMADYDCGKL >ORGLA03G0038700.1 pep chromosome:AGI1.1:3:2706254:2714538:-1 gene:ORGLA03G0038700 transcript:ORGLA03G0038700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKTVEGSGAPSNGVAAPRSEEKERSSRRRSGGGGGGDEERDDGERRSKRSRSEENRDRDKDKDRDRHRDRDKDRDRHRSSRERRDRDRDRDRDEKDREKERDKDKERRSRDREKEKEKEKEREREREKDRERRSRSRSERRRDDEERDRERYRERDYRDRDVRRRKEEAGEPEADPERDQRTVFAYQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQQVMVKPSEAEKNLVQSNASSSGAASGGARKLYVGNLHSNITEDQLRQVFEPFGQVELVQLPLDPLTGLCKGFGFVQFARLEDAKAAQSLNGQLDIAGRVIKVSAVTDQAGVQVSGVTTGDLDDDEGGGLALNASSRALLMQKLDRSGVTTSLTAGIAGTGLNTSVGLPPASVLGAPPVAASVLPTVPGLGSVPGVSLPITTQAIETAPPSECLLLKNMFDPSVETDPDFDLDIKDDVQEECSKFGQVKHIFVDKNTSGFVYLRFDSITAAMSAQKALHGRWFAGKMITATFMSPQQYSTKFPS >ORGLA03G0038600.1 pep chromosome:AGI1.1:3:2697171:2705840:1 gene:ORGLA03G0038600 transcript:ORGLA03G0038600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein / kinesin motor family protein [Source:Projected from Arabidopsis thaliana (AT3G54870) TAIR;Acc:AT3G54870] MAANGRASVRPVERHGAPPRPAGRSRSVAPPSRRPSPSPSRTRPAAADNDGGSGTRSSAAPRTRESSEIALHHRCIGGFSLLVLFVGGRPVNDAFPLFEEPWKRVSGFSLFVDSCRVRVAVRLRPKNSEDLAHGADFDSCVELQPECKKLKLKKNNWSCESYRFDEVFSENASQKRVYESVLEGYNGTVMAYGQTGTGKTYTVGRLGNDDPSEGGIMVRALEHILSVMSLETDSVAISFLQLYLESVQDLLAPEKTNIPIVEDPKTGEVSLPGAAKVEIRDLQHVFQLLQIGEMNRHAANTKMNTESSRSHAILIIHIQRSSRIEDGSNTSLPNGTDNLFPDNLPLVLKSKLLIVDLAGSERIDKSGSEGHMIEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRILRDSFGGTARTSLIVTIGPSSRHFSETSSTIMFGQRAMKIVNTIRIKEEVDYESLYKKVEHEVDHLTSEMERQQKLKNSEKMQLEKKLKESEASLNDLKVTSNMQIENMAMEKRQLESTIKRLMLDLEKEKGKNNILSEQIIHLETSLDENKQKQLENISNTNILADTTKSHEKKIRELLKQLEDERSRSASMNDHLNVLQQQLSDAQNYFQENIACELEKQLSRTTEEFASQISSLEERIADLISEKELVYEELKSTQEKMQQEMRHRQGLEDEILRLKQSLADNCSEESKALCGMVRSGSGLGSVPFMSKSGKSRELLSSQRSNISKIFEEVGLPNVLALLKSDELEVQIHAVKVVANLAAEDVNQEKIVEEGGLDALLSLLETSENTTIHRVTAGAIANLAMNGSNQGLIMNKGGARLLANIASKTDDPQTLRMVAGALANLCGNEKLHVMLKQDGGIKALLGMFRTGHNEVIAQIARGMANFAKCESRVISQGHRKGRSLLIEEGVLNWMVANSSAFSASTRRHIELAFCHLAQNEDNARDIILTGGIKELLRISRESSRDDTRNLAKKALNSNPAFFKEIQ >ORGLA03G0038500.1 pep chromosome:AGI1.1:3:2690888:2695299:1 gene:ORGLA03G0038500 transcript:ORGLA03G0038500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYRTSQPVAPELPRIPEQDQQRDSLQKPVTTLEGLIADDPYHPSPEDEDTDNGAVDIGGDSADADSKNSVPTGKHTDVLDDEGWITIPNKELPDNWNDLSDMLQLQPLDRPFLFPGEQVHILACMSASKQETQVISPFRIAAVMSKNGNSLQYSTNKSSHATENGDSNVKNGENGSQVVEDDMQSVELNSEMSPMTQDDMQNVELDNEMSPSKQDDMQNVELNNEISPSKQDILETESLLRLEDHKQQIESMLQRFEMSNFFVRIAESDEPLWSNKKLAVSKVPEEQSYSDNQENNKGSRSNAYNTISDKGVFDGSTSGGIARGTARCYALQNGDIVVVLQVNVGVNKMEAPVLEVLQFEKSSSSNYITKNLVNGLSSGDEDPCQELLSWLLPLDRTLPPRSLSPPTLNPSASHKQSYSASGSQIFSLSHFRSYSMPSASSTQPPNIRPPPISESQEFVPEKPAKTPDIINDGQLSFRGVPLEPERYSVRCGLEGVYLPGKRWRRKVEIIQPIEVHSFAAKCTSENLLCVLIKNISPQHVKDIVVLVDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSFRDRRTNNDAPLTLSLPKMNGTATNVSLPKVGETIGSLMDQYAVLVSYRCNYTESKLFFKQATSWRPCVASDLMISVSSELSLRNPISSARVPQLPVQVLTLEATNMTSENLTVTVLAPEASGSSSVVSLNSAPTTPNSSYDNLNESVRRSGLGKHRAGFRRMNSVLAGSPKESDNGGNRISTSGGCTHLWLQSAVPLGCIPARSSTTVKLELLPLTDGIITLDTLQITIREKGLTYIPEHSLEIYASAANSTGSS >ORGLA03G0038400.1 pep chromosome:AGI1.1:3:2684767:2687794:1 gene:ORGLA03G0038400 transcript:ORGLA03G0038400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAAAAAAAAAVSFPPLLSRPAACVLLRCGRHRRLPPLLLRAASSSTSSAPDFNITFAEPTAPAPSKPKPSSPAPSAEQVVPWIVRGEDGKPSMRTAPPPDVLQAIALAEAEAKKAKKDPRRSHKGAAALATPVANAKVRERKAAPATAPPKFSKAARRFYNENIKEHEPQRLAKVLAAAGVASRRTCEELIFQGKVTVNGSVCTAPQTKVDISKDSIYVNGNRISKKLPPKLYFAVNKPKGYICSCGEPKSIISLFDDYLKGWNKIQPGVPKPRLFTVGRLDVATSGLIIITNDGEFAQKLSHPSSNIMKEYVVTIDGPVHRNHLIAISEGTKIDGVKCIPDLVEPLDVQSNTKRTRIRIAVHEGRNHEVRELVQNAGLEVCLYAQYFFSQVFPLCFIDHILTFPIVQYQIW >ORGLA03G0038300.1 pep chromosome:AGI1.1:3:2680821:2683370:-1 gene:ORGLA03G0038300 transcript:ORGLA03G0038300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAAASSSLPRSLASRRPLPSSPLRGGRPRSPRRCRFGSRSLHRLRALAGKDDPEDLYGPYPWDQSLDLTTGLDIQWVPEDRVTLFTSDGLVQIGGSLVPRRITPSEKRQRKVKGIQNIRRFQESSYMDPNQSLCLGALFNIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVVTAEKASKDGLQEKLTMSVAVPLLWGVPPASETLHVAVRSGGGIVEKIYWQWDLF >ORGLA03G0038200.1 pep chromosome:AGI1.1:3:2672869:2677503:-1 gene:ORGLA03G0038200 transcript:ORGLA03G0038200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT4G05160) TAIR;Acc:AT4G05160] MASASVPAAGYGADGVYRSLRPPAPVASDPGLSLTDLLLRRADACPSALALADAAAGGRALTFAELRSAVLSTAVALSSRAGVRPGDAVLLLAPNCVLYPVCFFAVTALGARLHHRQPGLHPAGDRQAGLRTRAAKLVITISALVPKIAGLRLPVILLDDDANAAAASLPPDATVTLYTNLVAGVKEADYRRPPIKQSDTAALLYSSGTTGDSKGVILTHRNFIAAARMVTSDQDERREGPNVFLCFLPMFHIFGLSVITYAQLHRGNAIIAMSRFDINSLVEAVQRHRVTHLFCVPPVIIALAKHGKAGKYDLSSLKFIGSGAAPLGKDVMEVVAKKFPDSEIVQGYGMTETCGIISLEYPEKGQAREFGSTGTLVSGVEAKIVDIKTLKHLPPNQVGEICVRGPNVMQGYFNNVQATEFTIKQGWLHTGDLGYFDGGGQLFVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAVVIPFPDAKAGEVPIAYVVRSPDSSLTEVDVQKFIEKQVAYYKRLKRVTFVGSVPKSASGKILRRQLIAQVRSSKL >ORGLA03G0038100.1 pep chromosome:AGI1.1:3:2668509:2670057:1 gene:ORGLA03G0038100 transcript:ORGLA03G0038100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1P7N5] MDTRLPLLLLLAGVVAAAAPLLATAQLSADFYSSTCPNVEKVVSTVIERKFKEDPTTSALLLRLLFHDCFANGCDASILIDPLSNQSAEKEAGPNISVKGYDIIDEIKTELEKECPQVVSCADIVALSTRDSVRLAGGPNYDVPTGRRDSLVSNREEGDSLPGPDIAVPKLMAQFSEKGFSADEMVVLLAGGHSIGKAKCFFIEVDAAPIDPTYRSNITAFCDGKDGDKGAVPLDPITPDVVDPNYFELVMDKKMPLTIDRLMGMDARTKPIVESMGKKTDQFDATFGKAMTKLSGMKVITGKDGEIRKSCSEFNNPVNTDDGPSVIRISSLNPEEMMGSFAPATGKSPSAASESRKVAPGGAMEERMAAGEAKTDASGGAMAERLAAGEAKTAAAASEDENQAAGDEAAAEEEGTKSNKKKAERVTAEVPADEAAPAAKKPRAMGGQSFSMAGAGAGGEPAKKSTAMKSQSFSMASQPQQ >ORGLA03G0038000.1 pep chromosome:AGI1.1:3:2660104:2664657:1 gene:ORGLA03G0038000 transcript:ORGLA03G0038000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVAHRPDDDGGRAASTFQRPPQPAGARPSLATPPPSGGAQSASTSGGSAGSPSSRSEQHVPAAAGMAAGAAAASTPISENTFLRLNDLDIHGDDAPSSQAPTSKKKKRGARAVGPDKGGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPNNSILPADPDNPNAQQYDEKNIRRRVYDALNVLMAMEIISKDKKEIQWKGLPRTSINDIEDLQTELVGLKSRIEKKNTYLQELQDQFVGMQKLIQRNEQLYGSGNIPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSFVLKAMSSCGEEQIDGIHDLISNGGESSSMPNIYRQQVQQPARSTNGTARLPSSPPIPGILKGRVKHEH >ORGLA03G0037900.1 pep chromosome:AGI1.1:3:2656116:2657694:-1 gene:ORGLA03G0037900 transcript:ORGLA03G0037900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKAAPKAGATADPVVLRMELHCAGCAQKVKKSIKHLAGVESVAADVATNTVVVAGTADAAALKARIEAKTKKPVEVVSAGGGGAAAKKPAAEPKAVKDDGGEKKDAQAKEEKGKKQPPEEKKPKEETVLLRIRLHCDGCADRIRRRIYKIKGVKEVVMDGNAKDEVKVSGTMDVPAMLTYLTEKLNRAVEAVAPGSKKDEKKKDKGGDADGGEKKKDAAGGDKKDKGKSIEIAGPSTAAAAASMAPAPAEASTYHVSPYGHGYFAYPQQQGPPPQLLPVLRRRQWRRRGLRQPQCRRRRRRRLRLLPPPPQRRPQLPAAAVVPAVPVPARHVAGAAAVQRREPQRLLGDVIAMSPATELRGQSDDMYIPTEDFRLRRSEASTKRVVMLSINFCPNLPLLL >ORGLA03G0037800.1 pep chromosome:AGI1.1:3:2647866:2650494:-1 gene:ORGLA03G0037800 transcript:ORGLA03G0037800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSVPARSTGGLNNISNDNSASDSKDLRAKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERYAALAPLYYRGAAGAVVVYDITSPESFSKAQYWVKELQKHGSPDIIMVLVGNKADLHENRHVSSQEAQEYAEKNNMVFIETSAKTADNINQVFEEIAKRLPRPTAS >ORGLA03G0037700.1 pep chromosome:AGI1.1:3:2643032:2646987:-1 gene:ORGLA03G0037700 transcript:ORGLA03G0037700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEPSSSSDPKGKKDFSTAILERKKSPNRLVVDEATNDDNSVIGMHPDTMEKLQLFRGDTVLLKGKKRKDTICIVLADDTCEEPKIRMNKVVRKNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLEHIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQLLSTIFQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDDQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIEMEKRRKDNPEAMEEDEVDDIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFADQPASGAGAAADPFASAAAAADDDDLYS >ORGLA03G0037600.1 pep chromosome:AGI1.1:3:2636189:2641853:1 gene:ORGLA03G0037600 transcript:ORGLA03G0037600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G21540) TAIR;Acc:AT3G21540] MVKAYLRYEPAISFGVVASPESNVAYDPSGRLLLAAALDRFAAWDLKRGLPSLSFATASPSPSLAVFCLATFPSAASASASSIASGHADGSIRLWDTETGSCEATLHGHRSAASALVFGPSGAIIASGSKDCDIILWDVVAQAGLYRLRGHRDQVTGLVFLDSGKKLVSCSKDKLIRVWDLDTQHCLQIVGGHRSEIWSIDVDPSERFLVSGSADQELRVFTVRKSAEEGEDWSKWDMLKLFGEIPRQSKERVATVKFNKNGNLVACQVAGKTVDIYRVLDETEAARKAKRRMHRKKEKALAKSMAAEGNGTVIDPLSAQDLQNPTPVVTDIFKLLHVLRVNKKICSVAFSPNNPPKGCLATLSLSLNNNMLETYSVDNENVSKMYSIEMHGHRSDIRSLALNSEDNLLMSTSHNAVKIWNPSTGDCLRTIDSDYGLCSAFVPGNRYALVGTKSGTLEIIDINNGSSIEVIEAHASSIRSIVLIPNEDGTAGARGFVTGSADHDVKFWEYQLMQKSDNDSKQLSVTNVKTLKMNDDVLAVTISPTGNHIAVALLDGAVKVFTMDSLKFALTLYGHKLPVLCMDISSDGVLIVTGSADKNLKIWGMDFGDCHKSIFAHTDSVMDVKFVSKTHYMFSVGKDRTVKYWDADKFELLLTLEGHHAEVWCLAISSRGDFIVTGSHDRSIRRWDRTEEQLFIEEEQEKRLEETFEADLDSAMDHRYGQKDGAPDEGSVGVPGRKTKETVTAADAIIDALDTAEEEVKRLDQHQEGQNNGNGTTFQPNVIMQGQSPSDYVLNVVSNVRPNDLEQALLSLPFSDALKIMSYLKEWSMVPLKVELVCRVCLVLLQTHHSQLTTTPSARSILTELKGILYSRVKECKDAIGFNLAAMDHIKELLAMRSDAPFRDARAKLMEIRQEQSRRSDRSDGAEKRKKKKRRTSGES >ORGLA03G0037500.1 pep chromosome:AGI1.1:3:2634484:2635167:-1 gene:ORGLA03G0037500 transcript:ORGLA03G0037500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPANATTFSGEVWAELRLADASDVPHIHALIHQMAEFELLTDLFAATHELLTSTLFPSPPRPPFTSFTALILDLSPSPLPASGPSTIASHRLDLSASPLADPEAAAFASPRGGGRVTAGFVICFPNYSTFLSKPGLYVEDIFVRAPWRRRGLGRMMLSAVAGKAAELGMGRVEWCVLDWNKNAIDFYEGMGAEVLPQWRICRLTGAALDKYKGNQEEGGGSKAAE >ORGLA03G0037400.1 pep chromosome:AGI1.1:3:2632345:2632653:-1 gene:ORGLA03G0037400 transcript:ORGLA03G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGAEAMTQKAPEKEAAAMACYRRTVGEEATFKERSKDLFRQFKDAPAGDHWVCLKNKVRAAGEYATLRTRQGITMFGEPNVGDLLGRTKDDDSKKTPSA >ORGLA03G0037300.1 pep chromosome:AGI1.1:3:2623644:2631638:1 gene:ORGLA03G0037300 transcript:ORGLA03G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C2H2 type) family protein / transcription factor jumonji (jmj) family protein [Source:Projected from Arabidopsis thaliana (AT5G04240) TAIR;Acc:AT5G04240] MPPQPPPAASASASAPDPAVPAWLRGLPRAPEYRPTESEFADPIAFLSRVEREAAAYGICKVIPPHPRPSRRFVFAHLNRSLVSSCDAPAPSPAAASDSSIPPSSSSSPPPASAAVFTTRHQELGNPRRGRPTPQVLKQVWQSGERYTLDQFESKSRAFSKTHLAGLHEPTALAVESLFWKASADRPIYIEYANDVPGSGFAAPVQLQRKKKQKRETAPMDEWEKSSGWRLSNSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHVEDHDLHSLNFLHTGAPKTWYAVPGDRAVELEEVIRVHGYGGNTDRIASLAVLGEKTTLMSPEVLIDNGVPCCRLVQYPGEFVVTFPRAYHVGFSHGFNCGEAANFATPQWLKFAKEAAVRRAVMNYLPMLSHQQLLYLLAVSFISRNPRELLSGIRTSRLRDRKKEDRELLVKQEFLQDMISENELICSFLGKSLVDKERYKLSCEKEICSNVLPCSPNDGSSGCPLIANRSSSPVENANLSHQDVKPIRSDISLMGKEFNGTLGKHIGTSCSCSSENTIHPYGDTETPEKKIPSDCPGSELSKQSGRGDVNVPDVEGSEETISWNTGCAFARPRIFCLQHALEIEELLASKGGVHALIICHADYVKLKALAISIAEEIEFQFDYKDVALANASKSNLHLINISIDDEGYEEEGTDWTSRMGLNLKHSSKIRKETSESQEQPPLSFWGLFSKPSPISVVSNLKWLCRKARTPYKVIGYASSPDVVATPDKVKPAVTKTQIDTSGNAHENIGSEQTLQQDCVLQESNDVADMCKRRKVNDQDGHSLINIPIAVAEYPMMHQVCERPVSVSACDDPICSFDSQDSPTTVAVSAGKPTREQCGAESTELSTVQQFLDNGLIAEGGSMNFISNHEHLESDNATSVCKDEQLQVQQDQLAMVLCNNPNTELVAGELHGGAASSTLENEDSCGNTSYCSDTVLKNSKPDTDDQPETCDRSVVLVTPKSSCDQMISSSDRSCSLTLDCPVSTDAAFSSEKLSMAHDLMGSELQAVHNSKAEVAASLTDVKGAKLNSIHTAQLPHESPSSDFIISEGAQSASATAIPRKNGTSMHTESNSIDILLGVLADESKVSSGKDEVGKASLTLMTLAGNDQSADDVTQDEVAEITDPSHGFCASDIVSRSIGSSNRTNIICYARRKHKRKSGSEFNINSPQSLGSFVRSPCESLRPRTRPAIVEDMTNETKTAEASTANKRKKAKVEAFQCDIEFCDMTFETKAELRAHQRNICTDESCGKRFSSHKYLKRHQCVHRDERPFKCPWDGCPMTFKWLWAQTEHIRVHTGERPYKCSAPDCGQSFRYVSDYSRHRKKFNHY >ORGLA03G0037200.1 pep chromosome:AGI1.1:3:2614755:2619392:-1 gene:ORGLA03G0037200 transcript:ORGLA03G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRSPTAASASASASSVAAAAGVGGVEPAVTLDQVPRWSDADQRLSPSSSPTAAGSDETPASSFLSFADPLIGDGAAAGAGGRGASRFPVDHEINSKICLWRGHPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECTTLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKGKITAVVFCTTTSSDTEIYKRLLPLYFPRDKKEEEIASLKLPADVGDENGETIIDERKIRIKPLPAGSAINKSATPAPVDIPLSDSGLTRSRNSFKLDSYLDPAFMSLIKDPDLRRKEQLEKSVQANKGFNWAKLVGFGDLGGPPLSAAEDYSLHSRHLAKANSLSLSEIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFILYVVKEFEPLIQKPYSIVYFHSAASLQVRPDLGFMKRLQQILGRKHQRNLHAIYVLHPTLGLRTAILALQLFVDGEVWKKVIYVDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGKGIIIDPRTKHVYQRPSG >ORGLA03G0037100.1 pep chromosome:AGI1.1:3:2602391:2604302:1 gene:ORGLA03G0037100 transcript:ORGLA03G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRAFFTALEVDTGAERCGDAANHLHCRSEEAVTGGDAKRLRKGSGDDDHHQYMDDDDDYGDDEPPSNPLDSYREDWVEIYGKTGSFEDETEILPMRHTDGPIWPESWPMNLLQVFSVKVVEVMGDLQWPLDVYGVVAVRDSLDRKRNILFCRERDDCQTLLQDSSSLVLTGPSRAVVVLDPVVFEVDLKVKGRVPASQDKVLSYHAFVYAYLTNNGFARREVESTEHSTLEFTFAHLAYAVEATII >ORGLA03G0037000.1 pep chromosome:AGI1.1:3:2597908:2599491:-1 gene:ORGLA03G0037000 transcript:ORGLA03G0037000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQLNVLSTLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDDSKDTPGALPPNVSAAVTGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVVCSVASGLSFGSSAKGVVSTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILFGAIVALAVSAGFRHAYPAPSYSDNHAASLVPQADYVWRIILMFGTVPAALTYYWRMKMPETARYTALIARNAKQAAADMSKVLHTQIEESADRAETVAVGGESWGLFSRQFLRRHGLHLLATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMNALEELYRIARAQALIALCGTIPGYWFTVAFIEIMGRFWIQIMGFAMMTAFMLGLAIPYHHWTTRGHHTGFIVMYGFTFFFANFGPNSTTFIVPAEIYPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDQHKPEPGYPRGIGIKNALFVLAGTNFLGTIMTLLVPESKGMSLEVISQEVADGDDEEAAYPK >ORGLA03G0036900.1 pep chromosome:AGI1.1:3:2594179:2595804:1 gene:ORGLA03G0036900 transcript:ORGLA03G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQDQQLQVLNALDAAKTQWYHFTAIIVAGMGFFTDAYDLFCISLVTKLLGRIYYTDPASPTPGSVEIEVEEEKLQDITRGRDYGLFSARFAKRHGAHLLGTAATWFLVDVAYYSQNLFQKDIFTSIHWIPKARTMSALEEVFRISRAQTLIALCGTVPGYWFTVFLIDIIGRFKIQLLGFAGMTAFMLGLAIPYHHWTMPGNQVIFVFLYGFTFFFANFGPNATAFIVPAEIFPARLRSTCHGISAASGKAGAIIGAFGFLYAAQPQDKAHVDAGYKPGIGVRNALFVLAGCNLVGFLMTWMLVPESKGKSLEEMSGEADDEEASANGGATAVNSSGVEMV >ORGLA03G0036800.1 pep chromosome:AGI1.1:3:2592756:2593168:1 gene:ORGLA03G0036800 transcript:ORGLA03G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTRDVSDSNAIDPVQDQRAGAVDPVVAIDPVSVEAAAIYHAIIIVDAGQTRCCQNLVKRATCYQNLNCNKSFLSETCLPSIYDNT >ORGLA03G0036700.1 pep chromosome:AGI1.1:3:2590334:2590759:1 gene:ORGLA03G0036700 transcript:ORGLA03G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKSKEGKSSSSYRGVRKRPWGKFAAEIRDPERGGARVWLGTFDTAEEAARAYDRAAFAMKGATAMLNFPGDHHHGAASRMTSTGSSSSSFTTPPPGNSSTAAGRGGSDRTTDKVELECLDDKVLEDLLAEANYRDKNY >ORGLA03G0036600.1 pep chromosome:AGI1.1:3:2583645:2583770:1 gene:ORGLA03G0036600 transcript:ORGLA03G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGEANFWSWRCRWSAEVVGAMSVDRMVAGEGGGSVVSEL >ORGLA03G0036500.1 pep chromosome:AGI1.1:3:2574701:2575603:-1 gene:ORGLA03G0036500 transcript:ORGLA03G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGMAGAPMASPPPYDNPTAGFPIAIVIAIGFMVTSLILASYYFLVVRCWLRGTGGGGAAGAGLLHRSRRESAAERVAAVFFTDYEAEVGGGLDPDVVAALPVVKYRRVASGKSASPQECAVCLSEFVRDERLKLLPSCSHAFHIDCIDTWLHHNVSCPLCRTVVTGGAIGLLVRDDQYDASSRDLAAGERRIDAAARMGHGISSCRFPKTGAEQEPIRRSFSMDCFLGDLGRKPPPPPLKDPAGSEAGPSHPDAAGSSSIVGTAGAGETSGRFRRLLSSFGLGRSSRSTVLPIHLDP >ORGLA03G0036400.1 pep chromosome:AGI1.1:3:2569264:2569908:-1 gene:ORGLA03G0036400 transcript:ORGLA03G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSRRRHAAAPAGEGGGGGVGARISVSECAVCLSEFVERERVRLLPNCSHAFHIDCIDTWLQGNARCPFCRSDVTLPFTPPAAAAPVRPTSATHPDDDEDAESARRHHHHHHHHHNHNHRPDDELINSIVIEVRGEHESWVSHRGGAAAAPPATKRTPQRRRKPESVGDEAIDTRKKYDEEFAVQPMRRSLSMDSSCHKQLYVSVQEFLTQQRQV >ORGLA03G0036300.1 pep chromosome:AGI1.1:3:2558059:2558751:-1 gene:ORGLA03G0036300 transcript:ORGLA03G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVSSNSTIRSICRSPFLSGKRCSASASKVALAIALLSSHWELDGDIHMLPNGTSMAASMLSEAHIEPSLSITN >ORGLA03G0036200.1 pep chromosome:AGI1.1:3:2552314:2555022:1 gene:ORGLA03G0036200 transcript:ORGLA03G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPKSLLLASLSKPFLRRNREPLPLLRPPPRPLPRVLPFAARTLAAPAAPPPEAAPAAQSDGFALLEAAQLREAADDHQEALALAIKALEPLQASHGGWSLPVARTLRLAGAAASRLGRLTDSLDSLNAAADIIDSLEAGDAEVAAVGAAVHEQLARTKTAMGRRWDAASDLMRAMELKAVFLEKGSLELGNAYKDVAEAYRGVLACDKALPLCLEALEIARNHFGGDSQEVAKVRQLLATIYAGSGRNEEALEQYEIVRMVYERLGLDVELSLAETDVAMVLVLLGRSEEAMDVLKRVINRAGKESEERALAFVAMANILCIQDRKADSKRCLEIAREILDTKISVSPLQVAQVYAEMSMLYETMIEFEVALCLMKKTLVFLDGVSEMQHIQGSISARMGWLLLKTERVDEAVPYLQSAIEKLKNCFGPLHFGLGFAYKHLGDAYLAMNQSESAIKYFTIAKDIINATYGPKHEDTIDTIQSIANAYGVMGSYKQAMDYQEQVIDAYESCGPGAFEELREAQRLRYQLKIKARGLPHACFPANSLPTKFQDRE >ORGLA03G0036100.1 pep chromosome:AGI1.1:3:2544247:2547712:-1 gene:ORGLA03G0036100 transcript:ORGLA03G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1P7L5] MALHVLASMADLWRRYAPHNLMILSQLCYTLMYFITEAAFNKGLNPFIYVTYRHLVVAVFLAPFAYYQEKKLRPRMTLMLFLEIFVLSLLGVSLTLNMYFASLMYTSPTFVTSVVNTVASITFVIAIVVRMEIVDVRSIRGLAKIAGTVVSFAGVTTMTLYKGTAISSPWKAPISIHGGGGGGVHESWLKGSFLAVASCICWSIWYILQASSLKRYPAQLSLTAWMCTVGGIQSAVFTAFMQHKPEDWRIGFGLKFWCIVYSGFACNGFTVFAQLWCTEKKGPVFVTMFNPLSTIMVAVLAYFMFGENLYVGSIIGGVVVILGLYMLLWGKDKDQEYNANKEQESDLDCEKQARITDFSAAQNDQEEPRRMKK >ORGLA03G0036000.1 pep chromosome:AGI1.1:3:2535110:2538234:-1 gene:ORGLA03G0036000 transcript:ORGLA03G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFAGRVLFASVFLLSAYQEFSEFGADGGPAAKALRPKYNVFTKNISAHLGVAVPHVELKHIVAATIGLKGLGGLLFILSSSFGAYLLLIYLAFITPVVYDFYNYDMEKSEFVQLFMKFTQNLALFGALLFFLGMKNSIPKRQAKKKAPKSKTN >ORGLA03G0035900.1 pep chromosome:AGI1.1:3:2522393:2523256:-1 gene:ORGLA03G0035900 transcript:ORGLA03G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGSPCGACKFLRRKCVRGCVFAPYFCHEQGAAHFAAIHKVFGASNVSKLLAHLPLADRPEAAVTISYEAQARLRDPIYGCVAHIFALQQQVMTLQAQLASLKAAAAQGIHHQDVGATTKGGYMSAAATAADDQLGYGGYDQWCGSNGGGAPAASQPGAYSSNGGAGHGHDSITALLAAGSDYMQHSLYHAFEHSEGAGAVDDGHAAAAAFEAAAESSSCGMAASFAADESVWRSSSSGYQDCEDLQSVAYAYLNRS >ORGLA03G0035800.1 pep chromosome:AGI1.1:3:2518826:2519460:1 gene:ORGLA03G0035800 transcript:ORGLA03G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVGGVPGSPCGACKFLRRKCAAECVFAPYFCAEDGAAQFAAIHKVFGASNAAKLLQQVAPGDRSEVAATVTYEAQARLRDPVYGCVAHIFALQQQLATLQVQVAQAKTQVAQTLAAAGMLTAGNPLLQHQQQQQQAWQIEHESTMTSTQSSGCYSAPRSDGSTSLQDMYCFGEQEEGSYSR >ORGLA03G0035700.1 pep chromosome:AGI1.1:3:2513464:2514060:-1 gene:ORGLA03G0035700 transcript:ORGLA03G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVMSSPGQQEAVVSPTAAPAAARPYYGCVFCKRGFTTAQALGGHMNIHRRHRHRAMPSRRPTATGTTSMVSRDDVDCYNQHRYLEYSPPPPTPVPPPVTSPPMSSSFAATSYAGGTATVAGVDGEAMRAAGSSDSHIRELSLLGGADSSTDRDHDLHLRLGRHGRGGDGSPRTPEGSPERKPDLDLELRLGRHPRH >ORGLA03G0035600.1 pep chromosome:AGI1.1:3:2509265:2511499:-1 gene:ORGLA03G0035600 transcript:ORGLA03G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRYAARLLASSTATAPSSLPPPPAASAAWCHAAADDCAICDLTRSASPQVVAPDAIKQKGHIAGRAPEPELRSDPRVGLAERTPVPEDQGRDIDDGPPAKRSLTFTDAAARLEGSGNVGAGVATEPADVGAGVATEPAARVGAPVANGAVSGQEDRAATCLADESAAELIATGVTSLVTGATAEPEVLKGASFANTNVTEPRVSERVLLVREAATEPEAEVSMREVTGGALPDGEGAAKLEITGGLSRESADKMEVTKGISLVTEASADSELAQRVPTEPGVELSLPEVTERVPVVTEDFTELGDTFSGLHITGFASLDNEGSVEQEVTGSGSLVNEATEMEVKEGTCIFTRVATELGDTGRVSACSGDGDIALDEPRPPDCVSEVANVNVGNAGEAVASKVQPFRDNAESVGGSINSTGNGHVSSKSPTADEAAPPGGCTDTPSVSCLSDIVARSIGKSGRTDIICYVRRRGKRKLEMVEVKEENVEMDDSAICDQYDDKVASERTGPCESVTSTAVSVEIKIADIKRELEDNSTASKGKKKRAKRFQCEIDYCRMTFKNRAELSVHKKNTCTVKSCGRHFRSHKYLRRHQSIHNDDMPYKCPWEGCSMAFKWSWDRGEHFQVHAGKRPYKCTTPGCSKIYKFVSDFTRHKRRCKPQR >ORGLA03G0035500.1 pep chromosome:AGI1.1:3:2503304:2506201:1 gene:ORGLA03G0035500 transcript:ORGLA03G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSRGGGGGAAPRPRRRSDPGDSRDLSVATTTESYNSERSVGSGGGRGTAFLDAFRSCFVSGEAQARSPETSSLSDDFHPSQQLSQSISSQGSSSGSNFEMRRSMKGLYNPMHRNSSGKEIPGSTQFSLPQIQKATKNFSPNLKIGQGGSGTVYKGQLNDGTLIAVKRAKKNVYDKHMGREFRNEIETLQCIEHLNLVRFHGYLEFGGEQLIIVEYVPNGNLREHLDCVNGKILEFSLRLDISIDVAHAVTYLHTYSDHPVIHRDIKSSNILLTNNCRAKVADFGFAKLAPTDASHVSTQVKGTAGYLDPEYLRTYQLNEKSDVYSFGVLLVELITGRRPIEPRRAIVERVTAKWAMEKFVEGNAIQTLDQNLEATDAINLAVEKTYELALQCLATTKRNRPSMRRCAEILWSIRKDFRELDIPTASLN >ORGLA03G0035400.1 pep chromosome:AGI1.1:3:2495094:2496425:-1 gene:ORGLA03G0035400 transcript:ORGLA03G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVEQPQPQPQREEEEEGQPGVGGGGGGVERCGRHPSQVFTGVCSTCLMERLSSVRNPAEEEEEEIVEVGTADAGEGSSADHGKLRKTLMLLFQLDDSCSGSAVGAAHPADAKDPQVADFEVDPGGGNRGAKWKGGAWLRSILPRKGMRWSRRKEPPRPPRPRPVDPDGAGDNAQVERKPSFRRSCEWMVCREPVRGSGSLEPPRHSWDGSMVGRALACSFACLEEPQPPPDGERRAQRSNAEEEAGESRAGVAESRNGDHLADAGGEGRHLSGRRNCSDTGPEMTMSGVGRRRSNRWSRVWDRSITSPLKEFVRKGEHVLERSLSESRKDTRRGRNGETGDMDCEIQPGRNGHGSVRASQGSFRSSQAVANGDVHNFRTDWLRNKECKIGRSRSVHYTSPGNLDNGMLRFYLTPMRSARTANRGRRRNSRLFSRGLFGFI >ORGLA03G0035300.1 pep chromosome:AGI1.1:3:2491571:2492490:-1 gene:ORGLA03G0035300 transcript:ORGLA03G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Mesoderm development candidate 2 ( /.../ro:IPR019330); Has 31 Blast hits to 31 proteins in 13 species: Archae - 0; Bacteria - 0; Metazoa - 5; Fungi - 0; Plants - 26; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G46000) TAIR;Acc:AT2G46000] MARTAWRCALVILAGVLLCAAVETAVAAKRVSIPDDLRDVVDDEEDDDWRHWGAAAPPRDDGPPPDLAGMDPAALQAELLRRHAGPSFGFVKLRLGVRRSQEEVMGIATRWTNVLRTGSVAAKFVAVDFGTLMFTMDRGQDILEVKEFILSQPEAYEFKIGNQAFRRPGDPPLDEVVEMLQKQKSTMLSQDPGSQQYKSKVEL >ORGLA03G0035200.1 pep chromosome:AGI1.1:3:2483703:2485935:-1 gene:ORGLA03G0035200 transcript:ORGLA03G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATFRCFGGCVKPDDQQVHEPKKVVAPSSSFDFREEYTSAFRTESYNDFWARVLDITLAHGAALVPRHGGGGGCAASKRLPSYRLFAEHLLEPDQRAVAAALASPRGSCLRPDVRGLLAAYYAETANASFLCSHLLKDIEHIRLRYRPLKHTLRKLASDVGVSGLADVSAALGQPFTALAASQGRLREVQAGSGDLLRGLDAGRKKARHRIRSVARLRRALSVSFVTAVAVVAVVGACIGVHILAAFAAFPMMSPAWLGERFFSGRAARRALVQLEAAAKGTYILNRDMETISRLVARVRDEGEHMVALLRLCVEHRPAAGAGGKGRLVQEVLRQLSKNEESFRQQLDELEEHLFLCFMTINKARIMVMNFMAAAAR >ORGLA03G0035100.1 pep chromosome:AGI1.1:3:2480612:2483161:1 gene:ORGLA03G0035100 transcript:ORGLA03G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein [Source:Projected from Arabidopsis thaliana (AT3G08980) TAIR;Acc:AT3G08980] MGTRNHLWLAAKRTLTWYLVGFTISDRYATVVSVTGDSMHPTFTAASSSLRGDVVLAERSCLQKYQFSHGDVVLFKCPSDHRELFVKRLIALPGEWMQLPGTPDIIKIPEGHCWVEGDNAACSWDSRSFGPIPLGLIKGRVTHVIWPPSKIGRVDTKMPENRISPL >ORGLA03G0035000.1 pep chromosome:AGI1.1:3:2476310:2478860:-1 gene:ORGLA03G0035000 transcript:ORGLA03G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPKIPRRSPDDSKDKDSDRNRGRDEKNDWDSSRTYGSETDCKEERCDTNKRKGSAMGEDVGDDSRSVDRSHETEVHVFNDKQDKAVEIKNILHDGVGQSDYGQRQLGLDNERRNGTVDKSRVDAHIDDKLGSGRDRNWTGKTQEPEGSVDYLRSCKWQDSKEASDSEWKNAQERQDGGGFHGRVGYRRDFRGRSESTRGSSTYGSRYDTSDSIEIRPNNSLDFGREGSVSGRYDVGVGAHRDVTYGTNGDKVTNSEPDQSGSASMISQFPQHGHKGDRPSRGGRGRPNGRDSQRVGVTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGHPLLPGVFVPPFPGGPLLWPGARGVDVNMLSVPPNLPIPPPVAGEHSFTPGMGAGPNIHLNQFGSGIGAPTNMSGLSFHQLGTQSREMVHGKPPVGGGWTPNRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVAKSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNGEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKSATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIADEPTDGIVSYVLFKDASCSSYMLVY >ORGLA03G0034900.1 pep chromosome:AGI1.1:3:2464995:2466494:-1 gene:ORGLA03G0034900 transcript:ORGLA03G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKGVFHMRFPNKMKLDELAEFDSIRVKGTSVHVKVKKWTQEAEAVGRLHEVWVTVEGVPDEMKDYDPLHEVGSNLGPVIEVDMVALKTKDVVRIRVGMMTLKSLPLTMTLVTPKLLVYKAHLKLEQIVELGWFRDCAQEKRAVEVAEQNEPSNIDNMQRNKKPRKEEATSSMGVLEKAGDKKKAIVVEEDSDNESAQAELVKLKQMEIDRELALRVQLEEQFKVKQIQLDKDVAGGMIEGGIREAQAGEGENEKTKKTQEGMADKSGTSQRVENDSEEVIYDEDEPRVQLVGSEENMESQESSDFAVAVGVVLSQTDEDMTEEKKKKSLRLMEKEDKKVADAAAERKEALNAFINKDCALEAVDTNLNLIKNLELARINLFLKEKLNTSQKGECENVGENLSDIDINIDEVLHSDDCMSDFDYAENMLRLSQSNLSGKKRRKNNRGNLEIFKVTPKCSGKNEKKKTKNKS >ORGLA03G0034800.1 pep chromosome:AGI1.1:3:2450055:2451934:-1 gene:ORGLA03G0034800 transcript:ORGLA03G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTSKVVLGCVAFGIFWVLAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWKYLSVEKDQEGGQPAGPEVVADDEVTSHRFTPARMSHVSSLNPDDMDCLSEPIIRSNSVRSTSANENLRSRSVNSEADIQLAIKSLRASSMSHEMVEVSTVTDRRDEGASSRKFTRTASQQRSVIIEDSPPSPASNGDKEKEDEVAEKRWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVTSY >ORGLA03G0034700.1 pep chromosome:AGI1.1:3:2443098:2445644:1 gene:ORGLA03G0034700 transcript:ORGLA03G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPLAAISESDCGRQYASNQINLPHRPYATTILSAVTTRISGSARLDCSDTGGSFNDGAASASESDLAPVEXILLSALRAQDTQRGQVRVLLALXCRHTPLGDHAIPXQAQTGSHLPLRPKRXWRRWRXHLCHGQGSKTRDRQPRANQRSIRGLHLCKNFVDCQLLPPPPYLRDYKHCERRHKINAYAVVDGGSQICISVEDVGTYCLDTVSHTWSQVDDWTLPFDGKLEYVPELKLWFGFSAGAQHFATSDLSSMDCQSQPQLVGPWKELEPPMEWRETYDSQLVNLGSGRFCIARFFQTKREGCYDEDDVYLWQDVTALTGVEVMYELSNKDWKVIEERIEKKLSSWKDGIIIRMVIFRATHWLRFWAQLQRCEDDGEFLKVACRKLESMVMQLFANYGWRFTNRLQ >ORGLA03G0034600.1 pep chromosome:AGI1.1:3:2440060:2441265:1 gene:ORGLA03G0034600 transcript:ORGLA03G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLGHSRRFLNLIVGMSRVKSLCCIDLMHQPLFNPTTPTTQPPNGNGSQSVPQTPAALMMEQLVVPASSFSFQASPSAPNYDQRKIECFPLTGRQDWGSSLFVIERFPKSEVSYQVEAFVYRHPSPARYSRTWHCELLPPPPYLHEPNKYNRRLEICSYAALGSSSICISVNGIGTYRLNIATQTWEEVGKWTLPFYGKVEYVLELNLWFGLSAESHHLAATDLSSLELDSQPQLLEGPWKELHLPEEWKECEDSQLVSLGFGKFCVARFLHPNDRIHKGELGDEELSSQNCITVLTGVEVVPRVPNANVNSNSSGSVSSNGISELRMIPHKSRCHTSNGTIVHTVF >ORGLA03G0034500.1 pep chromosome:AGI1.1:3:2433982:2434452:-1 gene:ORGLA03G0034500 transcript:ORGLA03G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEAKLDLILKKLTEMESKLVDLEKNSRADMLNLKTSVDSWKPQLEKKVTDLATTVGNLQLQFDQLQLLNKAEPKPDLTAQLGARNAAEIRVEVLGSHPQSPPPANGTNSNLFSTPAPYFHDPAMRTAFTGSAVGTSTGLPPMPCPQFDGDNPQM >ORGLA03G0034400.1 pep chromosome:AGI1.1:3:2424033:2429143:-1 gene:ORGLA03G0034400 transcript:ORGLA03G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCYDLTVDDFLPPRYHWVKRMWKEAKATFAAEKDALKLASKGPMDQRLADIYTTEDHNDRRIRIISVLEAILERYKRQAMRSYVKRVNAFLLPPDGVHRTIQKLRLHTFGRGWSVFIQRWIISTIGRWGVKDFELDVEEPGVSLNFRKILDGSQNVQLERLVLSNWYIYFLGVSEAHQTLLGLKNLLVDYCKFGKIYLDVLPCLETFVCRGQQPTKLYYGEVPQLRHVWIEPMALPSPLSHLRKLFIANVPMIWDIFWIVALLDAAPVLESCHVHIDNTSEKMASWVDVQAQERQYHCLNELVGWQIGFVKHVVKASPWLRRVHLLDGHVVEDDEQVQTLISAAAARSLGQPPTIRSDGFRGDKCTMLPPSQEAYDVCTVTGASSSKRGSAAYEAEKAAYLAARRSATEETKERMQQWTRRTRPLLESIMRRYERCAMRRYVKRVDAFLLPPPDDGVRRTIRKLRLQTRSGSGRWSECIQRWVTAAIGRWGAEDLELDVEEHRVDYDFRVLDECQSMRLKRLVLINCKPIGIFDCLMLRWLTKLELCKASYYGGASRILSNCVSLVDFSIRHCRSSQPILQFSVPDSGFKKLLVDNCEFVEIYLDSLPWLETFACRGVQPTEVYYGEVPRLRHVSLDYFKTKVEPSAVSNTTYRLSKFVMSMPSIESLVLQFKGPEVWIEPIALPSPLLHLKKLFIANVPMNWDIFWIVLLLDAAPALEPCHVHIDNGSVKMASWLEVQAQQHLYHRLKDLTIVGFSAVGWQIGFVKHVMKASPRLRRVHLIDGHVVEDDDEQVIGGLEVVPHQREWHEFERSEVLDDLRDGIYSPQLEIILD >ORGLA03G0034300.1 pep chromosome:AGI1.1:3:2406637:2420621:1 gene:ORGLA03G0034300 transcript:ORGLA03G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLSGLSELRAVCEKGLLLLAITIPEMELVLWPFILKLIIPKKYTGAVATICKCITELCRHKLSQTNPLYTEFNASNEIPNPEDLFARLLVLLHNPLARGQLATHILMVMCYLGQLFPRNLSLFLQDEVPKMKAYIGDPEDLKQDSTYQETWDDMIINFLAESLDVVNDSEWVISLGDAFARQYDLYATCDGHSALLHRCLGMLLQKVDDRIYVREKIDWMCAHSSMSIPINRLGLAQGIGLVAASHLDTVLEKLKNILENAGQSALQRFLSLFSLGAKVEDVDDTYAALALMYGYAARYAPSTVIEARINALVGTNMLGRFLHVQHPTAKQAVITAIDLLGQAVITAAEMGISFPLKRRDQLLEYVLTLMGRDQNDDLVDFSTELLHTQMQSLALSACTTLVSIEPRLPMETRNRVMKATLGFFALPTEPSNIVESLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYVSSSAEHQRRRGCAAVNEVLVKFRNLCSGGFGVLGSYPTFTLNKQIDQAAPRSLSSLPSAFVLPTRDSLSLGERIMAYLPRCADTDAEVRKVAIQILALFFNIALSLPKKKASVNDIDLESSYSALSSLEDIVSIIRREASIDQAEVFHRVVSSLCVLLSKDELVVLLHSCTLAACDKVKQSSDGSIQAIIMFIIRRGKELREADVLRTTQSLLSSAVSLTNKESRREVLNAISCLAENTNHTVVFNEVLFVAGRDICTKDIARIRGGWAIQDVFHAFSQHKVLAILFLEYILSILHKEPVATNDSEKGEITSESSADDCILQATMFALNAFLRGGGKIGKQAVEQSYPSVLSALILKLGSLHGLAELGRNELLRSLLIAFQSFCDCVGDIEMGKILARDGEQTEKEKWIDLVQEVACSSSVKRPKEVTSVLPTCSILSKALNKNQRAEREAAAAALSEYVRHSEKEPILLDQMVLELCQHVSDDSPTVRSLCLRGLVQIPESCIPKYIQQVLGVILALLEDTKESVQLTAVQCLLTVLNVSEQDAIDPVLINLLVRLRNLQVSMNTKMRSNAFAAYGALSAYGVGSQQFLEQIHATLPRLILHLHDDDLSVRLACRNTFQLLAPLMEVDGLSSLLSKKYFTSDRRSDYEDFIRDLTRQLCRLSPARVDSYFESAIQAFDAPWPVIKANAVCLVSCMLSFLDDQRFLAPYFSQVFAILVGRLSQSPDAVVRAASSSALGLLIKRSNVVKTLTPKFDRADSSQNFQHGDTRTKTSSEPQQETIDMPNDTQREQ >ORGLA03G0034200.1 pep chromosome:AGI1.1:3:2400376:2405012:1 gene:ORGLA03G0034200 transcript:ORGLA03G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEHALIRFLSWLSEAVQVLVTSLADESPVARDAALAALREIAPMNPLLVLDCCATVSRGGRRRFGNIAGVFLVMASAVKALDRSDAEREFLRKLAKIATAEIVSSKELNVDWQRAAASLLVAIGSHDPDLMMEELFLYFAGPTSALPAMLQILADFASAEALQFTPRLKDVLLRVLPILGSVRDAQRPVFANAFRCWCQAAWQYIGDAPSELPFDTDVMSFMNSVFELLLKVWTGSRDLKVRLSSVEALGEMVGLVTRSQLKSALPRIIPTMLDLCRKDQEIAFVASHSLHNLLNASLLSESGPPLLDFEELTVVLITLLPLASANTSKFEHSYVSKGLKLIGHTSC >ORGLA03G0034100.1 pep chromosome:AGI1.1:3:2396656:2398619:1 gene:ORGLA03G0034100 transcript:ORGLA03G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1997) [Source:Projected from Arabidopsis thaliana (AT5G04440) TAIR;Acc:AT5G04440] MAASLRSPPPFPAAAFRRRRAVVRASSSSSSSSSAVSSAPKARFVARRSESTFVQQLARPLAEYMSLPASQYSVLDAERIERVDESTFRCYVYRFRFFALEVCPVLLVRVDEEPNGCCISLLSCKLEGSPLVEAQNDKFSASMVNKVFCNSSMSDSTSQQLTSDTTIELLVTNFQVTIDIPFPFRALPVEAIESSGRQVLEQLLRVMLPRFLKQLVKDYQAWASGDSSRKPLGTGEI >ORGLA03G0034000.1 pep chromosome:AGI1.1:3:2391907:2395570:1 gene:ORGLA03G0034000 transcript:ORGLA03G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAAHLSPASSAVPSRNHGLLLHLRFLLSSSVSHLSARENSNPPSGCWFSCQKQAILPVPVSSRLPPPPSCYYSVLLEEHWSPRLPQSPLTTPGASYKNRHAKNLQNHTETSDLRGFPSESTKMPVMAPTASLLLSPRPLPASRRVPSLPALSASGRLRLRRARADTRLRVAAPPSVPGEADQAPGETEPSTSSADEKFVWRDHWYPVSLVEDLDPSVPTPFQLLNRDLVIWKDPKSGEWVALDDRCPHRLAPLSEGRIDETGCLQCSYHGWSFDGSGACTRIPQAAPEGPEAKAVRSPKACAIKFPTLVSQGLLFVWPDENGWEKATATKPPMLPKEFEDPAFSTVTIQRDLYYGYDTLMENVSDPSHIEFAHHKVTGRRDRARPLPFKMESSGAWGYSGSNSGNPRISATFVAPCYALNKIEIDTKLPIFGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFSMPGKAWWQLVPRWYEHWTSNLVYDGDMIVLQGQEKIFLSASKESSADINQQYTKITFTPTQADRFVLAFRAWLRKFGNSQPDWFGNPSQEVLPSTVLSKREMLDRYEQHTLKCSSCKGAYNAFQTLQKVFMGATVAFCATAGIPADVQFRLLLAAAALVSAAVAYAFYTLQKNFVFVDYVHAEID >ORGLA03G0033900.1 pep chromosome:AGI1.1:3:2387944:2388415:1 gene:ORGLA03G0033900 transcript:ORGLA03G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin-dependent kinase-subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G27960) TAIR;Acc:AT2G27960] MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNFQQQQEAAAAAAAQMLPK >ORGLA03G0033800.1 pep chromosome:AGI1.1:3:2378820:2380321:-1 gene:ORGLA03G0033800 transcript:ORGLA03G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRNIAVGSHQEVYHPGALKAALAEFISTLIFVFAGQGSGMAFSKLTGGGATTPAGLIAAAVAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLFRGLLYWIAQLLGSTVACFLLRFSTGGLATGTFGLTGVSVWEALVLEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWSWESQWVYWVGPLIGGGLAGVIYEVLFISHTHEQLPTTDY >ORGLA03G0033700.1 pep chromosome:AGI1.1:3:2369676:2374541:1 gene:ORGLA03G0033700 transcript:ORGLA03G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPASSYDCSFKILLIGDSAVGKSSLLVSFVSASHIDDEIAPTIGVDFKIKFLTVNGKKLKLTIWDTAGQERFRGITSSYYRGAHGIILVYDVTKRESFTNLADVWAKEIELHSTNKECIKMLVGNKVDKNEERMVTREEGLAFAQESGCLFLESSAKTRENVEKCFEELALKILEVPSLLEEGSSVVKRNSLKQKHENKAKNGGCCQ >ORGLA03G0033600.1 pep chromosome:AGI1.1:3:2364892:2367937:1 gene:ORGLA03G0033600 transcript:ORGLA03G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDLAVEQYGCVHYRRKCKIRAPCCGEIFDCRHCHNEAKDSLEVSISDRHEIPRHEIKLVICSLCNKEQDVQQDCSNCGACLGKYFCAKCNFYDDDVSKNQFHCDGCGICRTGGAENFFHCDKCGCCYSYVLKDSHHCVERAMHHNCPVCFEYLFDSTKDISALHCGHTIHLECLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPVIYQKKMIWILCNDCGTTSNVQFHILGHKCPGCSSYNTRQTRAAPAAACSRV >ORGLA03G0033500.1 pep chromosome:AGI1.1:3:2359574:2361885:-1 gene:ORGLA03G0033500 transcript:ORGLA03G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G09890) TAIR;Acc:AT3G09890] MAVPGRRDDLVDDDGIGEEYMGPSDDEEPQLPDELFRLADAAQSGNVAALRAALDNYSGNIDDPIEDGDTLLHIACLYGHLPCVQLLLERQASLECKDEEGAIPLHDACAGGFSDIVQYILNFAANIDGCVTRMLNTVDSEGDTPLHHAARGEHLGVVDLLLKAGACAKKENTYGQVPAEMADEGTEVRKLLIQEQVEASTHTSN >ORGLA03G0033400.1 pep chromosome:AGI1.1:3:2356698:2358813:-1 gene:ORGLA03G0033400 transcript:ORGLA03G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERDERVVSMDGTYDADEKPVLLVSRGDGVVRVHDLPSLKKRGDILCYDEVKTISIRSRGVVFTGDASGEVPSTGVMFAKLNADTSEIKLTEKQGDDTEDDKKKVNGSMKIPRVWLSLSDCWEKMKVSIFEFMIDTSGKKEAIYTVYSYIAIGIVLSLNCFWKVWREASNSDEKPSGFKAFFITIVCMVGKKLYDSLKTTK >ORGLA03G0033300.1 pep chromosome:AGI1.1:3:2349258:2352800:1 gene:ORGLA03G0033300 transcript:ORGLA03G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLNTVLQEWRRSQLHNYYAERGQWRPLRFSGSREGKRRALSLPNGSQGRSLRLRLCCAPPESKWWTTPLTPDDLIEPTGHGQEELEAIWNALVEEPLRPILTAFQEIKATNGNVFRCRCFHAGITSGLLVLVIRICQFHKLAPGLCVDFVLGYVCYRMSVLAEELKRNGKANNICARIQFVIMLLLFWANNPIKDSCFYFTQLIWYFALHVYSCAVFYEYIGVKHPARYLEAMFNTILTTNGGLMKVLKFSFWDKNEKHFPCLVI >ORGLA03G0033200.1 pep chromosome:AGI1.1:3:2347293:2348579:-1 gene:ORGLA03G0033200 transcript:ORGLA03G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQLLPLPPAAPPQPRRGKPGCWNTPPAPPKVCHYWKSGRCSRNPCRFLHTDAPDPAPPIAAVNTRSNTWVNPSCVAANSDGKGRAPPVQPAKRQVEAPPETPAKRRCGGGAWCVGDGFCGVVRLKGHAKAVTGFALPEGSDKLFSGSLDGTVRAWDCSTGQCVRVEEMQEGEVRKLIAMGPWVLAGVRGRCQAIHTGTRQELPLRGPASQITAMLAEDGGPPLRRRRRTGAVFMWRMNQEQQSFDEVAALTGHYKAVVSLAQGKGALYSGSTDGSIRVWDLDTHRCIYSFAGHSSAVTALLCWERFLLSSSDDGTVKVWQWKPDHDDLDLEVHYTHKEDERVVSMDGTYDADEKPVLLVSRGDGVVRVYDLPSLKKRGDIICDDEVRTISVRSRGVVFTGDASGEVRVVKWTSLSDAAESYLAMA >ORGLA03G0033100.1 pep chromosome:AGI1.1:3:2335389:2343915:-1 gene:ORGLA03G0033100 transcript:ORGLA03G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G20320) TAIR;Acc:AT2G20320] MELSGETASPAPEPEPPGQPEVSPRGAGMFEDAVEGSSTATESPVAVARPDGEATTESSSPSASRTLAGDEPAAAGAGEVEAYGGDESPSVSEPREESGSVDTGSAASPSVLRSEQRARGAEEQENSMAAQSGEGSPAREETKSRISSAPSSPVLSGTSTSSSSPLSQIKHQARHVRTGSFQRFRQQMQRAWKWGPIGSGGGGERSPREQLLRTTVNFEAMAHQKRQWYQIQSKSRDNKQYKEPTTLFEHFFVVGLHSYANVGVIEDAFAKKKAWESNVEHSEIVDLRKIQYHGPIPTMEPQILFKYPPGKKAEIREIDLPSFCFPEGVKARLIERTPSMSDLNEVVFGQEHLCRDDLSFIFSMKVSDNAPLYGVCLHVQEIVQRAPGILGMVSPLNRTSYKPSRFLVSAPRCYCLLTKVPFFELHYEMLNSIIAQERLDRITQFASEIALAEPIPCSMKEQSQVNGEDFESANELSYNDWTEYAVPVNSISGLISSSGLPSEGEIPSYLFRSWEPNSPESMSASETSDSSYIRELEKEGRHSFQQYEDCISENLGSRCDSFGRTSYTYENGHTSPDLLSTHSPISRRIVRAQSMESLHSSVKGVGSDEEEELNMKQEIVVDDEKVMGWAKVHNNEPLQIVCGYHALALPPRGGELVFHPLEHLQPVKYSRPGLSLLGLGDTISDNGLTSVEKTEVNARLAAAEEAIALSIWTTATICRALSLESVLELFAAALLEKQIVVICSNLGVLSAIVLSVMPMIRPFQWQSLLLPVLPRKLVGFLDAPVPFIAGVQHKPPDIKMKASSIVRINVDKDQVKACSLPQLPRFKELVSDLSPIHARLSCENALAKRHPIYKCNEVQAEAAWQFLNVMRSYLESLCSDLRSHTITNVQSNNDRVSLLLKDSFIDSFPSKDRPFVKLFVETQMFSVLSDSRLSTFENELT >ORGLA03G0033000.1 pep chromosome:AGI1.1:3:2325659:2326291:1 gene:ORGLA03G0033000 transcript:ORGLA03G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGRGAGRVVRELQRTVGPGHFVAVGGAASQGRDEIFMGGSGGTAPEHVVAESGEEHSRSRTGQIVVCLGWVLALRTTRAQKTNGTRSLAYPDEGGYSLPLLNQITISWIIIN >ORGLA03G0032900.1 pep chromosome:AGI1.1:3:2324154:2324907:1 gene:ORGLA03G0032900 transcript:ORGLA03G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKDAAALAGELTGDAGASLNGFFDHTGLEAAVVGEGQGEGEEEEELEWLSNKDAFPSVDTMAAEVESAAPGAPARAAVGPRTKGLRRRRRVTAPWSLAPLLSRPRQAAAADAGAPRRRCTHCAVDETPQWRLGPDGPRTLCNACGVRFKSGRLFPEYRPANSPTFSPLLHSNSHRRVMEMRLQSEEDASAASRVNAKARRAERAAARLAGKDKK >ORGLA03G0032800.1 pep chromosome:AGI1.1:3:2318124:2320083:1 gene:ORGLA03G0032800 transcript:ORGLA03G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding YAILILQVEWNFCQXSWWWSCSLXGLXDSLPGCQIWGSXPTGVRFKYFFIVNYTTNNMILTITRPTLNLPMLAMETIIGPTWQAYMIRSIPMDSLQLQLSE >ORGLA03G0032700.1 pep chromosome:AGI1.1:3:2313763:2316855:-1 gene:ORGLA03G0032700 transcript:ORGLA03G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSARHLFFTLSFSFLALLSCIAVCNAGDEAAALLAIKASLVDPLGELKGWSSAPHCTWKGVRCDARGAVTGLNLAAMNLSGAIPDDILGLAGLTSIVLQSNAFDGELPPVLVSIPTLRELDVSDNNFKGRFPAGLGACASLTHLNASGNNFAGPLPADIGNATALETLDFRGGFFSGGIPKTYGKLQKLKFLGLSGNNLNGALPAELFELSSLEQLIIGYNEFSGAIPAAIGNLAKLQYLDMAIGSLEGPIPPELGRLPYLNTVYLYKNNIGGQIPKELGNLSSLIMLDLSDNAITGTIPPELAQLTNLQLLNLMCNKIKGGIPAAIGELPKLEVLELWNNSLTGPLPPSLGKAQPLQWLDVSTNALSGPVPAGLCDSGNLTKLILFNNVFTGAIPAGLTTCSTLVRVRAHNNRLNGTVPLGLGRLPRLQRLELAGNELSGEIPDDLALSTSLSFIDLSHNQLRSALPSNILSIPALQTFAAADNELTGGVPDELADCPSLSALDLSNNRLSGAIPASLASCQRLVSLSLRNNRFTGQIPAAVAMMPTLSVLDLSNNFFSGEIPSNFGSSPALEMLNLAYNNLTGPVPATGLLRTINPDDLAGNPGLCGGVLPPCGASSLRSSSSESYDLRRSHMKHIAAGWAIGISAVIAACGAMFLGKQLYHRWYVHGGCCDDAAVEEEGSGSWPWRLTAFQRLSFTSAEVLACIKEANIVGMGGTGVVYRADMPRHHAVVAVKKLWRAAGCPEEATTVDGRTDVEAGGEFAAEVKLLGRLRHRNVVRMLGYVSNNLDTMVIYEYMVNGSLWDALHGQRKGKMLMDWVSRYNVAAGVAAGLAYLHHDCRPPVIHRDVKSSNVLLDANMDAKIADFGLARVMARAHETVSVVAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELLTGRRPIEPEYGESQDIVGWIRERLRSNTGVEELLDASVGGRVDHVREEMLLVLRVAVLCTVKSPKDRPTMRDVVTMLGEAKPRRKSSSATVAATVVDKDKPVFTTSSDSGYL >ORGLA03G0032600.1 pep chromosome:AGI1.1:3:2309963:2310876:1 gene:ORGLA03G0032600 transcript:ORGLA03G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCQHRRQDVATVGSLEVHRPEVGNVGATALSLSCSHHAFPAASRTQAKLRSITITSRSYNHRGIGGIRDGYKEWQGFGDEGSDGGCGCGYGAAGKAGVDIVIDVRQVLEYTGRDGRQAKEGFWVHEHGRAAVEYLDGGGEPSNGIGVGDGEKDGRARDKDEEQGETGRRRSELIDTRRPSEGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLIACRLLPLLTVRS >ORGLA03G0032500.1 pep chromosome:AGI1.1:3:2305270:2307084:1 gene:ORGLA03G0032500 transcript:ORGLA03G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT2G20370) TAIR;Acc:AT2G20370] MSAMRRRPVLPTHQDDMEKVGGKPPQSRLCFLATLCAMFWVLIFYFHFFVIANEPGSAGADTAAGAAASIARAELPLPEPERVSDPAVPLPPPALVSEPPPTTATVAKVEEEEKPTAVAHQEAAPRDYAFQRALKTAENKSDPCGGRYIYVHELPPRFNDDMLRECERLSLWTNMCKFMSNEGLGPPLGNEEGVFSNTGWYATNQFMVDVIFRNRMKQYECLTKDSSIAAAVFVPFYAGFDVARYLWGHNISTRDAASLDLIDWLRKRPEWNVMGGRDHFLVGGRIAWDFRRLTDKESDWGNKLLFMPAAKNMSMLVVESSPWNANDFAIPYPTYFHPAKDADVLLWQDRMRSLERPWLFSFAGAPRPDDPKSIRSQLIDQCRTSSVCKLLECDLGESKCHSPSAIMNMFQNSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPKNYTRYSVFIPEDGVRKGNVSIEDRLKSIHPDMVKKMREEVISLIPRVIYADPRSKLETLKDAFDVSVEAIINKVTQLRRDIIEDHEDKDFVEENSWKYDLLEEGQRTIGPHEWDPFFSKPKDKGGDSTNPSTNAAKNSWKNEQRGQN >ORGLA03G0032400.1 pep chromosome:AGI1.1:3:2300529:2301347:1 gene:ORGLA03G0032400 transcript:ORGLA03G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKEGEGLDLVAMEEELDLYSWEPVVTLAISLLDRAISYLGEDSSWAEGRNKNRFGGFSSQMFYYLQPARGRFQDFRLRLINWPKSYCCGYEEIWKFRIAVELLEDLIEEIEHRRLEEDGRIPALFMNANAKIAFKFATNDYFREEWDRLFQEFTTFRLEDLITDIEHLRLEESGKKISNKKFARSPDQYKQQARLFFLLERGVSRLRDQLPAVVEHVVSQDRELVDLEKEELHAELVGRDREKKQIVQWLVEQPAETLRXFFLSKKKKLXD >ORGLA03G0032300.1 pep chromosome:AGI1.1:3:2291604:2292147:1 gene:ORGLA03G0032300 transcript:ORGLA03G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREWRRQALDGGQLHRLLADDDQAFEQEDGGGRHTRQVLERCSVFMCGISQYVNLWGTMVGYTITATISMV >ORGLA03G0032200.1 pep chromosome:AGI1.1:3:2288533:2290086:1 gene:ORGLA03G0032200 transcript:ORGLA03G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTGAHGGKRLLPRLLFLAALSVTPWLLIFCLHFSVFDGAPPVSSPAARQSLVAVVSEGGEDAQRFLLEQEEQLRRLPSARDVTTTTAAAVAGDAHACEGRYVYIHDLPPRFNDDILRNCREWYQWINMCVYLSNGGLGEPVDNADGAFADEGWYATDHFGLDVIFHSRIKQYECLTDDSSRAAAVFVPFYAGFDVVQHLWGSNASVKDAASLELVDWLTRRPEWRSMGGRDHFVMSGRTAWDHQRQTDSDSEWGNKFLRLPAVQNMTVLFVEKTPWTEHDFAVPYPTYFHPAKDAEIFQWQQRMRGMKREWLFTFAGGTRPGDPNSIRHHLIRQCGASSLCNLIQCRKGEKKCLIPSTFMRVFQGTRFCLQPPGDTYTRRSAFDAMLAGCVPVFFHPASAYTQYKWHLPDVHETYSVFIAEEDIRSGNVSVEETLRRIPPDVAEKMTETVISLVPRLLYADPRSKLETVKDAVDLTVEAVIERVKKLRKEMHGAGASSRLSTALGANTNGGFQSS >ORGLA03G0032100.1 pep chromosome:AGI1.1:3:2283873:2285387:1 gene:ORGLA03G0032100 transcript:ORGLA03G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSVVISSWILIRQLIKSAPKGGGRQQRRAKGMEKVAVGLLPPLRFIAVLAVVSWTSFIYCHFSLLSGGLLLGHGGGDDGADPCRGRYIYVHDLPRRFNDDILRDCRKTRDHWPDMCGFVSNAGLGRPLVDRADGVLTGEARWYGTHQFALDAIFHNRMKQYECLTNQSAVADAVFVPFYAGFDFVRYHWGYDNATRDAASVDLTQWLMRRPEWRRLGGRDHFLVAGRTGWDFRRDTNINPNWGTNLLVMPGGRDMSVLVLESSLLNGSDYAVPYPTYFHPRSDADVFRWQDRVRGMQRRWLMAFVGAPRPDDPKNIRAQIIAQCNATSACSQLGCAFGSSQCHSPGNIMRLFQKATFCLQPPGDSYTRRSVFDSMVAGCIPVFFHNATAYLQYAWHLPREHAKYSVFISEHDVRAGNVSIEATLRAIPAATVERMREEVIRLIPSVIYADPRSKLETVRDAFDVAVEGIIDRIAMTRGGYARSWLRPKQSRQALDARRRRLS >ORGLA03G0032000.1 pep chromosome:AGI1.1:3:2262636:2264150:-1 gene:ORGLA03G0032000 transcript:ORGLA03G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPKEETTEEHKETDLTSNIAQITACTIQSLCKIGTHAASSGGSQVILLNISWKGIISLLQLGKGMVEEKVNVREIILIPISVAIESLRVATERWCVPLQEVLGTAEARRAFLPIKYFLTNAVRICSIYPSEAMAIYKSIIRCALLISHSSILFCRNPRLKAASELLFELLEPSSFLLLDTLMKSTEVSPESKCQLVQYFLEKVRTGNPEYTGEVDHTMNFATLGCIFSVDSDVDNINRALLPAEFTVFLHFLNASPWLREEVVIELCKKLHLFLNILTLEDVYSYVLGCQIPALSSDDDSPKVVWQPVYTSLIQALKTFMIAASSSSAAWSEFEVFLLENLFHPHFLCLEILTELWCFFMHYAEAETSTYLINQLFLLLKTLASPEEVLAPLSALRKLARASCNILSYASSATIDQIYTMLNDSSSKSSILYLALLMEGFPFDSLSRGVKEHAVKTLFTSFAGYLQNQNYFKNHGEINLPTSSSSGIIGFPVHALASAFQSW >ORGLA03G0031900.1 pep chromosome:AGI1.1:3:2260395:2261222:1 gene:ORGLA03G0031900 transcript:ORGLA03G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1P7H3] MSSFKSPAIPLAALVITVLHIAATHAQIPAATTTGAAVSATNPQNGGGGVSGGVGATAGGAHEPLELYMHDILGGSSPTARPITGLLGNIYNGQVPFARPIGFATPKNGVPIPNSNGAIPTYNGNNGIPLDTGLSGAGFLQPAKGASAAQVQLGPDGLSLGFGTITVIDDVLTSGPDLGAQPLGRAQGVYVASAADGSAQMMAFTAMMEGGEYGDTLNFFGVYKVGSAMCKLSITGGTGKFKGACGFAEVRPLIATGQHVTDGAETLLRISVHLA >ORGLA03G0031800.1 pep chromosome:AGI1.1:3:2243658:2259870:1 gene:ORGLA03G0031800 transcript:ORGLA03G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT5G18410) TAIR;Acc:AT5G18410] MAIPVEEAIAALSTFSLEDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQLNTLIQEGKEMASLLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTITHFWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSTQWQDTDTMREELDDLQIFLSTRWAILLNLHAEMFRTNTVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKESESLYKRVKMNRLLNIFKRHYLILNHMGTIRAEHDDFSIRFASAMNQMIILKSSDGADNDWSRDIKGNMYDIVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPSDSQHGSTTFFDYEKVVRWNYTGEERRALLELIGYIKSIGLMMQRCDTLVSEALWETIHMEVQDFVQDKLDTMLRTTFRKKKDLSRILSDMRTLSADWMANTSKGDPEHNSLETEEMRQSTFYPRPVAPTAAQIHCLQFLICELVSGGNMRKPGGLFGNSGSGIPVEDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVEHVTETQDAGLLESVLIPFDLYNDSAQHALTCLKQRFLYDEIEAEVDLSFDLLVEKLNEIIFTYYKSCAASTLLDSSFTYLCDDGEKYFVKPLRFDAIFKLRRVMVLGRTIDLRSLITQRMNKLFRENIDFLLERFESGDLCGVVELQQLLDILELTHQSISKFLELDSYSLMLSEMQENLSLVSYSSRISSQIWNEMQTDFLPNFILCNTTQRFVRSLKGTHHSSQRSSASTGKAYFYCGSHDLTMAYQGISGLYRDFFGIPHMFAVVKLLGSRSLPGIIRALLDHISSKITAMVPKITALQEALPKSIGLLSFDGGIAGCQKIVHEILTWEAKSEVKTEVLHDLKEIGSALYWMSLLDIVLRQIDTTQFMQSAPWLGLIPGNDGQVKHAYSDNTPFTTLLSAATNAVASSPACPNPSSFLVMAKQAEAASDLVEISTGLLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRVFSGLQYSYLEESINPSRKQEMLGDSVAWAGCTIMYLLGQQQHFELFDFSYQFLNVAEVENATVSLYQYSDRNKSPNFLQGYEGILEAMRKARRLNNHVFSMLRARCPLEDKIACAIKPSGAPLHRMKFTNTVSAFETLPQRAT >ORGLA03G0031700.1 pep chromosome:AGI1.1:3:2236551:2239824:1 gene:ORGLA03G0031700 transcript:ORGLA03G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLIDLSAGASARVATRDGSPVRETQSERKEYADLKTVTGSVRRSSSDRSCGSGTPMKVLIAQEMAKEGDTNQKTTSVVAKLMGLDDDAALPKPVQPSNNRRRFPDGHLSAMLARVNNQMSFDNHTGFVENMEYKDVYEVGYQPPSCEHLSNEFPQRRRPHEDHDKKRMDLVRQKFVEAKHLASHENLLQSKEFHEALEVLNSNKDLFLKFLEEPNSLFAKQSGEFHSAPTSPQRKRITVLKPTRSVELKGEKEIKRQQDQTVNGSRVERSNTHRRSHSGGGHAKAERLPQHTRIVILKPSTALTSMEHFQKNGHDNLDDSEAPVISRHLSDEINWSAQDMCHQHDESFRGCMQSNMFIRDRAYYGYAEEEGSSFSDSEIGSPTSRHSWEYIYRFSNPYFGSTLSHASCSPDSLVTREAKKHTSDRWPTVSSNEISQEKVLVRRSLSTLGEMLAMSDMKKKGAAEQVVTNTSNQLCSNEPRLAVPSKCSVDGDGESSLRKISRSKSVPVSSAAFDSLRLDDGCSNPEHEEPTSSKEEIKPKNGKSSLKGKISSFFLKRKKSGKDKLAPSPLGALGTRVPLASNASIVSSDVSQTEWTSLQDDVASENLEKFDIAPTVVPVNEPEATSSSKPPIITLEKALSFEIRNSHFDQPSPTSVLDASFEDASEKSPNSSEHAIIAKQEPLSRSLPIGSIARTLSWDDSSQEATLCSAKGDSHEQDQHEFVEKILSSVGFDNEKTSDILVRWHSHDFPLGTGVLDQFLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWGAYPCTDPQVNNARRVATGEVLVVDEVWRLVKGWLSDDDGEKHMVNAEDNAGLVADWVVGKEIQGRSWPEALRLEVDEISTEICGEVLDELVGEAFSELVGCH >ORGLA03G0031600.1 pep chromosome:AGI1.1:3:2230533:2233619:1 gene:ORGLA03G0031600 transcript:ORGLA03G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24010) TAIR;Acc:AT3G24010] MGFLEDFQASVEALPAMLQRNYSLMRELDKSLQGVQTGNEQRCQQEIEDIKHGLESGSITYDPAKLKFSDEAIEEQKHCVRIADEKVALASQTYDLVDAHIQQLDQFMRKLEELRQEKEAATTAAAAAAAAAAAAASVATGTPVAATVTASAGTSTADNTPKGGRSGERGRGGRKKTAKVPTEQPAPAIDLELPVDPNEPTYCLCNQVSYGEMVACDNNDCKIEWYHFGCVGVKEHPKGKWYCPSCIGFQKKRKGK >ORGLA03G0031500.1 pep chromosome:AGI1.1:3:2222997:2228235:-1 gene:ORGLA03G0031500 transcript:ORGLA03G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASLASKARQAGSSARQIGSRLALHRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRTVVIEQSFGAPKVTKDGVTVAKSIEFSNRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFAEGCKSVAAGMNAMDLRRGISMAVDEVVTNLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITITDGNTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELDDPLILIHDKKVSNLHAVVKVLELALKKQRPLLIVAEDVESEALGTLIINKLRAGIKVCAVKAPGFGESRKANLQDLAILTGGEVITEELGMNLENFEPQMLGTCKKVTVSKDDTVILDGAGDKKAIEERAEQLRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKDLDKLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGSVIIGKLLEQDNTDLGYDAAKGEYVDMVKSGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEEAAAAAPAMGGMGGMGF >ORGLA03G0031400.1 pep chromosome:AGI1.1:3:2218638:2222035:-1 gene:ORGLA03G0031400 transcript:ORGLA03G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAKPTPQLELFNSMTKKKELFEPLVEGKVRMYVCGVTPYDFSHIGHARAYVAFDVLYRYLKFLGYEVEYVRNFTDIDDKIIKRANEAGETVTSLSSRFINEFLLDMAQLQCLPPTCEPRVTDHIEHIIELITKIMENGKAYAMEGDVYFSVDTFPEYLSLSGRKLDHNLAGSRVAVDTRKRNPADFALWKAAKEGEPFWDSPWGRGRPGWHIECSAMSAHYLGHVFDIHGGGKDLIFPHHENELAQSRAAYPESEVKCWMHNGFVNKDDQKMSKSDKNFFTIRDIIDLYHPMALRFFLMRTHYRGDVNHSDKALEIASDRVYYIYQTLYDCEEVLSQYRGENISVLVPVEEQDMVNKHHSEFLESMADDLRTTDVLDGFTDLLKAINSNLNDFKKLQQKLEQQKKKQQQQKQQKQKQQQAQKQPEEYIQAMFALETEIKNKISILGLMPPSSLAEALKQLKDKALKRAGLTEELLQEQIEQRTAARKNKQFDVSDQIRKQLGSKGIALMDEPTGTVWRPCEPESE >ORGLA03G0031300.1 pep chromosome:AGI1.1:3:2209255:2211606:1 gene:ORGLA03G0031300 transcript:ORGLA03G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLFFVFFHLLFFCLFPVLGSAGAENLQSYIVQLHPHEATASSGDAGEAVFASKMHWHLSFLEKSVAWEREKRPSSRLLYSYRTVFDGFAAQLTEEEAAALRELPGVASVRADRRVELHTTYSYRFLGLDFCPTGAWARSGYGGGTIIGVLDTGVWPENPSFDDRGMPPVPARWQGVCQGGEHFNATNCNRKLIGARFYSKGHRANYPTNPSDAVSLMEYVSPRDAHGHGTHTASTAAGAAVAGASVLGVGAGDARGVAPAAHVAAYKVCWFNGCYSSDILAGMDDAVRDGVDVLSLSLGGFPIPLFEDSIAIGSFRATTRGVSVVCAAGNNGPSPSSVANEAPWVITVGAGTLDRRFPAYVRLGNGRILYGESMFPGKVDLKNGGKELELVYAASGTREEMYCIKGALSAATVAGKMVVCDRGITGRADKGEAVKQAGGAAMILANSEINQEEDSVDVHVLPSTLIGYREAVELKNYVSSTRRPVARIVFGGTRIGRARAPAVALFSARGPSLTNPSVLKPDVVAPGVNIIAAWPGNLGPSGLEGDARRSDFTVLSGTSMACPHVSGIAALIRSAHPSWSPAMVRSAIMTTADVTDRQGKPIMDGNGGKADAYAMGAGHVNPARAVDPGLVYDIDPADYVTHLCNLGYTHMEIFKITHAGVNCTAVLERNAGFSLNYPSISVAFKTNTTSAVLQRTVTNVGTPNSTYTAQVAAPHGVRVRVSPATLTFSEFGEKKSFRVAVAAPSPAPRDNAEGYLVWKQSGEQGKRRVRSPIAVTWVVE >ORGLA03G0031200.1 pep chromosome:AGI1.1:3:2202471:2206961:-1 gene:ORGLA03G0031200 transcript:ORGLA03G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26200) TAIR;Acc:AT2G26200] MAAGEQQPQQQQISASSTSSGRLVTPFWKEKYERDARRYWDIFYKRHEDRFFKDRHYLDKEWGKYFEVGCGAGNTIFPLISTYPDIFVHACDFSPRAVDLVKKHKDYRPDRVYAFACDITSEQLTENVQPSSVDVVTMIFMLSAVAPAKMPLVLQNVRNVLKNGGRVLFRDYAFGDLAQERLMSKGQQISENFYVRGDGTRAYYFSNEYLMDLFSTCGFTVEEICVHNKQVENRSLDLVMNRNWIQATFILGSAGLQGPNGQHDSHTCEDEKDKLTANASQEKSTSEEIDLSEDFNNMFGTSHNLDEAQVLRIKAKGHDFEIKMLTKEHQHTCKLTGLMLWESAQFMCSVLAENPSILAGKRVLELGCGSAGICSMVAATVAQFVVATDGDAESLDLLRENTASNLEPDLLKKILIRKLFWGDKDDLKAVRELSSDGTGFDCIIGTDVTYNPDAIFPLFKTARELISNKDSDAALILCYIQRRVDEDSILSTAMAQGFRLVDKWINGIHESNGIISSWFCGNDVCSSFRNATLSILYFQV >ORGLA03G0031100.1 pep chromosome:AGI1.1:3:2197850:2200196:-1 gene:ORGLA03G0031100 transcript:ORGLA03G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSCFGCGPSIAAEGEVRLPEPFQLPAPLPDWPQGGDFSKGTICIGELEVASITKFQSIWSCSGATFYEPQEIPDGFHCLGHYAQQNDRPLQGFLLVAREAASCQSINLKPALEKPLDYTLVWTSTDLNDDDNSDCGCFWSPSPPDGYEALGYVVTRGPKKPSLDAVRCVRGDLTDECENFKSITNMGGNCYIWNTRPCHRGMAGRGIPVGTFFCGTDTEESDIPCLKNFDSSLSSMPNLEQIKALIEHYGPTVFFHPQEIYLPSSVSWFFENGATLHKKGKEMGDVILASGSNLPAGGTNDGEYWIDIPDGDRNEYVKAGNLKSAELYVHIKPAHGGTFTDIAMWVFCPFNGPATIKVGFASFALQKVGRHTGDWEHFTLRISNFSGELSSIYFSQHSGGDWVDACDLEFISGNKAIVYSAKDGHASYAHPGCYLLGSEKAGVGVRNDAARSDILVDSSTRYKIISAGHLGDAVIEPCWLQYMREWGPTVEYNSRSEIDAVLSFLPFFLRFTAEAILNSLPVELYEEEGPTGPKEKNNWEGDERG >ORGLA03G0031000.1 pep chromosome:AGI1.1:3:2190759:2196414:1 gene:ORGLA03G0031000 transcript:ORGLA03G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT1G04120) TAIR;Acc:AT1G04120] MPHFPNLPLPEAAAAAAHAALLALALLLLLLRSARALASRCASCLKTAPRRAAAVDGGLAAASSVGAWYRAALACCGYALLAQVAALSYEVAVAGSHVAVEALLLPAVQALAWAALLALAMQARAVGWGRFPVLVRVWWVVSFVLCVGIAYDDTRHLMGDDDDDEVDYAHMVANFASAPALGFLCLVGVMGSTGVELEFTDDDSSVHEPLLLGGQRRDADEEPGCLRVTPYGDAGIVSLATLSWLSPLLSVGAQRPLELADIPLMAHKDRAKSCYKAMSSHYERQRMERPGSEPSLAWAILKSFWREAAINGAFAAVNTIVSYVGPYLISYFVDYLSGKIEFPHEGYILASVFFVAKLLETLTARQWYLGVDVMGIHVKSGLTAMVYRKGLRLSNSSRQSHTSGEIVNYMAVDVQRVGDYAWYFHDIWMLPLQIILALAILYKNVGIAMVSTLVATVLSIAASVPVAKLQEHYQDKLMASKDERMRKTSECLKNMRILKLQAWEDRYRLKLEEMRNVECKWLRWALYSQAAVTFVFWSSPIFVAVITFGTCILLGGELTAGGVLSALATFRILQEPLRNFPDLISMIAQTRVSLDRLSHFLQQEELPDDATITVPHGSTDKAININDATFSWNPSSPTPTLSGINLSVVRGMRVAVCGVIGSGKSSLLSSILGEIPKLCGQVRISGSAAYVPQTAWIQSGNIEENILFGSPMDKQRYKRVIEACSLKKDLQLLQYGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFREYILTALASKTVIYVTHQIEFLPAADLILVLKDGHITQAGKYDDLLQAGTDFNALVCAHKEAIETMEFSEDSDEDTVSSVPIKRLTPSVSNIDNLKNKVSNNEKPSSTRGIKEKKKKPEERKKKRSVQEEERERGRVSLQVYLSYMGEAYKGTLIPLIILAQTMFQVLQIASNWWMAWANPQTEGDAPKTDSVVLLVVYMSLAFGSSLFVFVRSLLVATFGLATAQKLFVKMLRCVFRAPMSFFDTTPSGRILNRVSVDQSVVDLDIAFRLGGFASTTIQLLGIVAVMSKVTWQVLILIVPMAVACMWMQRYYIASSRELTRILSVQKSPVIHLFSESIAGAATIRGFGQEKRFMKRNLYLLDCFARPLFSSLAAIEWLCLRMELLSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKLPSEAPLIIENSRPSSSWPENGNIELVDLKVRYKDDLPLVLHGISCIFPGGKKIGIVGRTGSGKSTLIQALFRLIEPTGGKVIIDDIDISRIGLHDLRSRLSIIPQDPTLFEGTIRMNLDPLEECTDQEIWEALEKCQLGEVIRSKDEKLDSPVLENGDNWSVGQRQLIALGRALLKQAKILVLDEATASVDTATDNLIQKIIRSEFKDCTVCTIAHRIPTVIDSDLVLVLSDGKIAEFDTPQRLLEDKSSMFMQLVSEYSTRSSCI >ORGLA03G0030900.1 pep chromosome:AGI1.1:3:2171020:2171973:-1 gene:ORGLA03G0030900 transcript:ORGLA03G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIRSLMLQQGWRKGPWTALEDRLLTEYVQQHGEGSWNSVAKLTGLRRSGKSCRLRWVNYLRPDLKRGKITPDEETVILQLHAMLGNRWSAIARCLPGRTDNEIKNYWRTHFKKARPSRRARAQLLHQYQLQQQQQHRQYLHSLNLLQQQQQQLQQQHQQQQQQMMLLQEQEQQSPQEEAADDSMVMMMMNDLQSKERCCTAVSVVPDDCVLPADDDAIWDSLWRLVDGDGSGGEGSSGGEYWATS >ORGLA03G0030800.1 pep chromosome:AGI1.1:3:2165883:2170167:1 gene:ORGLA03G0030800 transcript:ORGLA03G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWDRAKHALATRLCIRFPARQRAVEDAPAEDEAPPPPPPPAAAAAARAVPEEKLKSPSVSVRRLSSSGSWGKKKVCAICLGGIRTGGQALFTAECSHEFHFHCISSNVNHGNYVCPVCRAEWKELPFQGTQPADTAYGRARVSPVNWPQDEGQMSVVRRLSHGYSGNLQQQLAVFRTPEASIFNDDENIDPQSETVDDHNAVTNSVEIKTYSEFPAIQKSERRKVFAILIHLKAPKSLDSVSSRAPLDLVTVLDVSGSMSGIKLSLLKRAMSFVIQTLGPNDRLSVVAFSSTAQRLFPLRRMTLTGRQQALQAISSLVASGGTNIADALKKGAKVVKDRRRKNPVSSIILLSDGQDTHSFLSGEADINYSILVPPSILPGTSHHVQIHTFGFGTDHDSAAMHAIAETSNGTFSFIDAEGSIQDAFAQCMGGLLSVVVKDMRLCIECIDEGVSLTSIKSGSYASQVAGNERSGLVDIGDLYADEERGFLVTLHVPAAHGQTVLIKPKCTYLDAITMENVQLDGEEVIIQRPAYCVDCTMSPEVEREWHRVQATEDMSAARSAAEDGSFSQAVSILESRRRILELHAAHSSDSQFLALIKELREMQDRVESRQRYEESGRAYMLSGLSSHSWQRATARGDSTELTTLINTYQTPSMVDMLQRSQTILPSVVEMLNRSSTVATSKSFSSYLPTSRHIA >ORGLA03G0030700.1 pep chromosome:AGI1.1:3:2159253:2160245:1 gene:ORGLA03G0030700 transcript:ORGLA03G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKFPTNNFPXTLRLQVMVISEYVRNRYGRCYLLDRIIWLVCCGNIAEPIGNSLGQFNLVYGAKRGCIPTCVIKVYHAVRSFVLLNNDGEYRIMKGKTIRVPDEVKKAICQTLMANKTELTQGKPLPRTASMLQRYGRHPTAIETIVVWHVATCHLQKLVDESQRKSYEVATRLSKYCAYLLFYKPKLLGSVGNNSVRYTCKTLVQEAAAARGSGSGSGDDNMMMRKGEALADKLKARGRVDWTELAEFWSELLISLAPSGSVSAHEKGLGDGGEFITHLWALLYHAGIDDKFTWSTATGSTAGGDSGGTADNSTFQNGTAIVEPHTVSTA >ORGLA03G0030600.1 pep chromosome:AGI1.1:3:2156393:2157751:1 gene:ORGLA03G0030600 transcript:ORGLA03G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAEMAPPPALKYQRCNNVDGLVSVEALRIIVETKACFVAVALALAYFLTASRHRLWSSSHLIKGFLFAVTQPVTRFLVSMFAMLLSMPFRNDLYLLWGILLLAGYEGVYTISGYGVSARLSDLAVHEFTRCSNIVVLGLYVRYYSNASQFRYPLWALWALMVAKFLERIVRFKIANKRYGDGNISRVAHYMKHEHKLSESSNDQQSSSESSTDTEAAGEHEFSGSTSTDVEAGEQGFHMKNYNYLIVGDSKLDGEKNTTRGIYEPELKPVTHTVTVAKVWEYPGNLLGPDKKGRYKLKDVCLSFALCKLLRRKFAGVEATKSELRKARKLVFNGLITSDIDEERTFRVIRAELGFARDISFTKYPILFSCGFPVVSVVLFAATLGVSIWIIVSAILHYRVPRGSSANLVHGKNVDLSITFGIVSMVTAMDICEFSMHLSSNWTKVTCY >ORGLA03G0030500.1 pep chromosome:AGI1.1:3:2151886:2152998:1 gene:ORGLA03G0030500 transcript:ORGLA03G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSHDNWSAILAHIGKPEELDTSARNAGALTRRREIRDAATLLRLGLAYGPGGMSLREVTAWAQLHDVATLSDVALLKRLRNAADWFGILAAQTLAVRAAVTGCTSGKRLRLVDGTAISAPGGGSAEWRLHMGYDPHTCQFTDFELTDSRDAERLDRFAQTADEIRIADRGFGSRPECIRSLAFGEADYIVRVHWRGLRWLTAEGMRFDMMGFLRGLDCGKNGETTVMIGNSGNKKAGAPFPARLIAVSLPPEKALISKTRLLSENRRKGRVVQAETLEAAGHVLLLTSLPEDEYSAEQVADCYRLRWQIELAFKRLKSLLHLDALRAKEPELAKAWIFANLLAAFLIDDIIQPSLDFPPRSAGSEKKN >ORGLA03G0030400.1 pep chromosome:AGI1.1:3:2143839:2147940:1 gene:ORGLA03G0030400 transcript:ORGLA03G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPPLKYRHCSNVDGLVSVEALRIIVETKACFVAVALALAYFLTASRHRLWSSSHLIKGFLFAVTQPVTRFLVSMFAMLLSMPFRNDLYLLWGILLLAGYEGVYTISGYGVSARLSDLAVHEFTRCSNIVVLGLYVRYYSHASQFRYPLWALWALMVAKFLERIVLFKNGNRKYGDGNTSRVADYMKHEHELSNTDAEAGGFSMEDYKYLIVGDSKLETTIVDGMTYEPKLTPPIRQTQEADDTVVVVTVDKVWTCKGELLKTDNRGDKLKDICLSFALCKLLRRKFAGVNASENERSKAQKLVFDGLIPDPERTFRVVRAELGFARDMSFTKYPILFSYGFPVVSVVLFAATLGVSLWIISSAIHHYRIPRKSTPNLVNGKNVDLIITFVIVFMVTAMDICEFFMHLFSDWTKVMVVSEYVRKRYVRCCLLDRILWLVCHGKLAETIGSSLGQFDLLNGAKKGYIPERIVRLYHMVRSFILLTDDKDYRIKKGKNLRPVPESVEKALCETLLSNRKQLTQGLRLLTRSKLQAESEELLTYCQLAEIETIVVWHVATCKLEQQSPHEPVESYQVATALSKYCAYLVFYNPKLLPVGNTSVRHTCKTLVRHDSSCDRSCGGDDCMIRKGEALAAALLKGRELNKSSKEPGMWTELAEFWSELLISLAPFGSVGAHEKGLGDGGEFITHLWALLYHAGIDAKYSWSSASTAGGESGGRADVYPFQNGMDTVSHAVN >ORGLA03G0030300.1 pep chromosome:AGI1.1:3:2139205:2141379:1 gene:ORGLA03G0030300 transcript:ORGLA03G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSKQVGMDTDGGGAAAAAVDGQNLPVLVTNRKRELTLEGKALPVDCSGRRRIDLEKDLSMIGSPFTKHTSDKNGDNNEMRDVDERCTMDVDNTKMRDVVHERDARDVELGDMAAAKELEQGHMASVKEESELIKVVEVLHMVRCWEITEYNLKLGRYVPTRFCFRNIALFDLDKESKLGRGPPVKSLNCSEYTWMVHSMNISSIKVTESDVGYPISVFGTVLARDEYDFRCVYLFRRDRDDPQLITSLEDTLTLTGPNRALGALDRVYFEFHLKVRVDGDVDKVFCKGVREHHADACLIRPVTLWLRSCLSTVILVYSPVESAIEACVAVNIQGVVSNFNGKVTAWTTEDHENKIVLYDSKVAGTKTVLGVDGSVELTRRFVAVELEDILVLNICVFEGEDEVEFELYLGQNDEECTLEQGPYKLQVKISWTAAMKKRWRERSMKLGRKFVLV >ORGLA03G0030200.1 pep chromosome:AGI1.1:3:2135175:2135613:-1 gene:ORGLA03G0030200 transcript:ORGLA03G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTLCKMFVGGIGPYTGDEDLRRHFRQFGYVASVHMPIDRHTGRHHGFAFIQFTCLEHLINALAYRHTIHGHTLGTRLIEPRLSRGVTRYTTVIGNHIYRIGHNCTFC >ORGLA03G0030100.1 pep chromosome:AGI1.1:3:2131557:2134365:1 gene:ORGLA03G0030100 transcript:ORGLA03G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:I1P7F5] MMSLNLAHQTGAAAAVAPAAPRTAVVAAAAGTVSAPAVAPAAAPSLQLQTQTVDPAAPAQGPDLPMAFQALVESLPEEQHPDVGGEERRKVGVPVYVMMPLDTVRKDGNGLNRRKAVEASLKALKSAGAEGIMVDVWWGIAECEGPGRYNFTGYMELMEMAKKNGLKVQAVMSFHQCGGNVGDSVTIPLPKWVLEEMDKDQDLAYTDRSGRRNYEYLSLGADAMPVLKGRTPVQCYGDFMRAFRDHFAAFMGNTIVEIQVGMGPAGELRYPSYPESNGTWRFPGIGEFQCYDRVRDDVSAIDVQYMLSSLKAAAEAVGKPEWGNAGPGDSGGYNDWPEESPFFRREGGWNTPYGEFFMSWYSQMLLEHGERILSAASGVYTGTPGVKISVKVAGIHWHYGTRSHAAELTAGYYNTRHHDGYQPIARMLARHGAVLNFTCVEMRNHEQPQDAQCRPEELVQQVAAAARESGVGLAGENALPRYDETAHDQIVTTAAEKAEEERMVAFTYLRMGPDLFQPDNWRRFAAFVKRMTESGVRDVCREQVEREAQGVAHATGSLVHEAAVALSN >ORGLA03G0030000.1 pep chromosome:AGI1.1:3:2127558:2129113:1 gene:ORGLA03G0030000 transcript:ORGLA03G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRARTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCTEELRLRKIKNDQLKADAKARGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >ORGLA03G0029900.1 pep chromosome:AGI1.1:3:2120919:2126788:1 gene:ORGLA03G0029900 transcript:ORGLA03G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGAVVEISSDDEAIPVAAKRPNVPPVSSSHPLPEDCNGVEEGLGDPAALVEFVASMLDDKRSARDVAAADDGDDDDCVMLDVDPDKAVLVVNEQRPGQGGPEEELQIVSEKGEIACRDFPHPRHLCVSMPFTSSHADHCAMCHCYVCDSPAPCAFWGKGTEPTDHCHATDKNAKWTKMRQSLKRKNLPSSNRRGIKNHFQPISATASLQLQQYTGDRFSVPRLSPLSPVGFHVSRNVSQNQWMMKLIGVPPNVGQPVNLQEATFPRASIPRKRFRSDGSAPPVHLSTNANHLRHPAPNSVLVQPVSSAAFQTTQSQPASSAVSQNSVSAARPLRVQTTQSQPPSSAVSQNSVTAARPLRGYSPQNSFSAPVRVQSTSYHQVAPGISQGLQVQSTSYLQVDPGRAVSAELQLSQCSSLQTQGIQHQHDPSADIYQNIWKEALAKLASELGVSDYNIDPPGRLPSTPQPNQLHAQMRPGHQPTQATARQGVQANGGHVAAASQKRTSNGHHLPNHKQFNPGAN >ORGLA03G0029800.1 pep chromosome:AGI1.1:3:2115926:2117196:1 gene:ORGLA03G0029800 transcript:ORGLA03G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSASTPVLGALLPSGSHSPAVSSPAVHFFADSSPTVSYHPPTISCRLTPGGSDAHDRSLGGLRRACSDGNLAALGASGDDDHHHHLPPSGKCAPRSKPTTLETIQSFTQRGGASTDEEEEEDEDDGDHESAEQELSFGKFRFSGSSTFAQVEHPLFLARGLGIDRLGSGLLSADGGSGGIGGSDGGGGGGAGGSYLVTSDNGGNRSDIEMHYKKMIEEDPCNGLFLRNYAQFLYQIKGDSRKAEEYYSRAILADPNDGELLSEYAKLVWDVHRDEDRASSYFERAARASPQNSHVLAAHAAFLWDTDDGDGPEGSSSDALGYAGFAAAAAHSSLASATT >ORGLA03G0029700.1 pep chromosome:AGI1.1:3:2093418:2095402:-1 gene:ORGLA03G0029700 transcript:ORGLA03G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRALLLLLLHVAVVVVLRSLPVSSADLYALVYKGCSNQSFPGGAVPPTVAALSSSLSAQSASAKFYKTSSSSSASSTSVFGLFQCRGDLSGSDCAACVSRAMSSWSEVCGASVAARVQLTGCLALYEISGFPQVSGTQMLFKTCGTGGGGGTDFEMRRDTAFAQMEASVGGGNGGFYATSYQQVYAMAQCEGDLSSGDCGQCVTQAVQHVEVECGGAPSGQVYLEKCYISYSYYPHGIPHGGGIGGQQTAKTVAIVLGGAVGLGFVVICLLFARSLVKKKEDY >ORGLA03G0029600.1 pep chromosome:AGI1.1:3:2090340:2091956:1 gene:ORGLA03G0029600 transcript:ORGLA03G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGNMNLFDLFFVLQLLLSGVCVLVICYRYQRLKSMKKCSLGVVQWPIVGVLPAIVANMHRLLDGVTFLLATSQLNFQCRFWLAGFRFFVTCDPDNVRHIFTSNFDNYPKGDVFADMFDVLGGGIFNTDGERWRRQRNKAQMLMTTPRFRAFVARSSLDKVEKGLLPFLAHVADARKTCDLQDVFTRWSLDATCHLVFGVDPGCLDIGLPEVPFARAMDDVLRTIFLRHTMPVSFWKTMRWLGIGHEKRNAAARRTVESFVASAIAKHRADDESKSRQGGGGGGDKQSVADLLSSFLCDDEIAGSADADVYIRDMAMNLLVAGRDTTSSALSWFFYLLSTNPRVEQKLLQELAPIASRKPQLQQGRLFPGNGGMVTFDASEVRNLLYLHAALCEAMRLYPPVPLEHKAAVADDVLPSGHEVMAGDKVLVFYYSMGRMKRVWGKDCREFRPERWITEDGKLRYVPSNKFVAFNSGPRTCLGKEMALVQMKVTAAAMAWNFAVEVVPGHVVEPRLSVILHMKNGLLVRVKRREPVMNT >ORGLA03G0029500.1 pep chromosome:AGI1.1:3:2085528:2087664:-1 gene:ORGLA03G0029500 transcript:ORGLA03G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAKVKLKELESEEVESKALLEGSSKDFIITLPHLTLIHLENPFHYLLAFLTPPHPHDEDPAAAGLIANLSTGRVAWNNVGPGRAKLTDGPQLGLRRYTRPSSRSPGPIRESASYQVAACLCVIVVFALGRAESVSFRRLLVWG >ORGLA03G0029400.1 pep chromosome:AGI1.1:3:2078906:2080456:1 gene:ORGLA03G0029400 transcript:ORGLA03G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLLCFVEIFSILCCCIIYYYHLQTKKASASEPTEWPVLGHLFGMFANIHRFHDWATDILAGGWHNFEARAGLTGIRFFITCDPSNVRHIFTSNFANYPKGDEYAEIFDVLGNGIFNADGESWRSQRAKAQMLMAGARFRAFAARSSRDKAEKSLLPLLAHAADTGARCDLHDLFLRLTFDVTCTLVFGVDTGCLSAGLPVIPFARAMDDVLETLFLRHIIPMSCWKLMYRLEVGTERKMAVARRTIDRFVAETIAKRRADMIRQGTSNSDDLLSSFISHDDDDTSNGNDVVDETDEFLRDTTVNLLLAGRDTTGAALSWFFYILSKNPRVEQKLLEELAPIAAQKGGDGGGMVIFDASELKNLVYLQAALSECLRLYPSVPFEHKAVAADDVLPSGHEMKAGDKVLVFSYSMGRMEGVWGKDCTEFLPERWITSEGKLRYEPSYKFFSFNAGPRTCLGKELAFVQLKTVAAAVMWNFAVEVVPGHAVEPKLSIILHMKNGLAVTVKRRATMASC >ORGLA03G0029300.1 pep chromosome:AGI1.1:3:2071576:2073102:1 gene:ORGLA03G0029300 transcript:ORGLA03G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSFSFLELLLSFLCFGFFFYYHVKSKQKNPVIPLQWPLVGMLPALLANCNHLHEWITSVLTVTPLNFRFIGPPSSDMRLFITSDPANIRHVFNTNFSNYPKGREFQEIFDILGDGIFSADGESWRRQRTKAQLLMSSPRFRAFVARYSRDKVEKALLPLLAHVAGTGDACNLQDVFLRLTFDTTTTLVFGVDPGSVAIDLPEVPFARAMDDAMTVLLLRHIVPLSWWRLARRLGIGYERKMPVAWRTMDRFVADTIAKRRAEKARTGIDDSADLLSSYINDDEEDAGTVDAFLRDTTINLMLAGRDTTGSALSWFFYLLTKNPRVLHKLLQELDSVKSTTTADGMVIFDPDETGRLVYLHAALCESLRLYPPVPMEHKGPAAADVLPSGHEVRPGDKIVVSLYAMGRMESVWGRDCMEFRPERWISDHGKLRYVPSYKFMSFNSGPRTCLGKDMAFVQLKTAAAAVMKNFEIEAVAGHVVEPKLSIILHMKNGFMVRVKRRLAVC >ORGLA03G0029200.1 pep chromosome:AGI1.1:3:2054819:2056342:1 gene:ORGLA03G0029200 transcript:ORGLA03G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASILQLALCFLCFSIFSYNHIKSKRKNTTIPVCWPLIGMLPDLLANRHRIHDWITSLLTASQLNFRFTGPPSSNMRLFITCDPANVRHVFTSNFSNYPKGPDFTEIFDIFGDGIFNVDGDSWRRQRAKAQLLTCRPRFRAFVSRCSRAKVEKALLPLLAHFAATGESCNLQDVFMRLTFDTTTMVVSGADTGCLAISLPEVAFARAMDDATRVLLVRHIVPLSWWKLARRLGIGYERTMAEALRACDHFVNETIEKRRAEKAKGEADGSADLLSSYINDDDEEENASSSFLRDTTMNLISAGRDASAMALSWFFYLLTKNPCVVSKILEELDSVNGITTPDGMVTFDPDELRPLVYLHAALSETLRLYPPVPLEHKGVLAADALPSGHDVRPGDKIVVSLFAMARMEAVWGSDCREFRPERWISKDGKLRYVPSYKFMTFSSGPRTCLGKDMAFVQLKAVAAAVVKNFEIEAMPGHVVEPALSIVLHMKNGLMVRVKRRHVLNN >ORGLA03G0029100.1 pep chromosome:AGI1.1:3:2050280:2052012:1 gene:ORGLA03G0029100 transcript:ORGLA03G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSILQLTLCFLCFSVFYYYHIKSKRKNPAIPVCWPLVGMLPDLLVNRHQLHDWITSFLTASQLNFRFIGPTMSSNMRFFFTCDPANVRHIFTSNFANYPKGPDFAEIFDDTLGDGIFNVDGDSWRRQRAKTQLLMYNHRFQSFVSRCSSDKVENALLPLLSHFAGTGERCNLQDVFMRLTFDMSTMLASGEDPGCLAISLPMPKVPFVRAVDYTTRVLLVRHIIPLSLWKLARRLGVGFERKMAEALRTINQFIYETIVKRRAKKANEGIEDSEDLLSSYLKDDDENADTFLRDTTMTLIAAGRDTIGSALSWFFYLLTKNPHVASKILEELDSVERATTTPDGMVTFDPDELKSLVYLHAAVCESLRLYPPVPLDHKGVVAADVMPSGHKVRPGDKIVVSIYAMGRTESVWGSDCMEFRPERWISDDGKLRYVPSYKFTPFITGPRTCLGKDMALVQLKVVAATVVKNFEIEAVPGHIVEPKLSMVLHMKNGLMVRVKRSLLGPSFSSCLDLIGCTRALYLYLQKLLVRCNG >ORGLA03G0029000.1 pep chromosome:AGI1.1:3:2039645:2043272:-1 gene:ORGLA03G0029000 transcript:ORGLA03G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEADMTIREMMMFEAHTNSGPMFSDQLCIEGLSSPIAAQILDFCDDGLGDDLFAAVATTSEQFAASSEDGSSSSTATPPLCSNSNDITAVADTAFSPLLSFDSTLSAFLEQEQNPDQDTKLLPSIDETFTAPAYYPAATEANIEQFSQIMVPEHTDAPMPPMQTNRTANALLPLASGYDDECFTAALAGGYMGLDGTLYDQTGVMIPNCNVETPQVGFFNHNSTSNNGMVMDLNNFGEYQRMMEGEGLTRTYSDTDSMHGAFNNAAEMQMGENTQHMVTGCNDSPLTLPSTEGSSLEDTPYKGVRLTAEQRKEKISRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELCEATRSSSQDFEQYEHVVGMKGEDMLDSSNILAHLSGMNPYGYKYNSTVESWI >ORGLA03G0028900.1 pep chromosome:AGI1.1:3:2034887:2035651:1 gene:ORGLA03G0028900 transcript:ORGLA03G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHALAAAATSALGVAVGVRLLLVLSRSRALKPLAAATSAAAAALKTPRVLAAASSPLAAVLAASKAASKSYKAARTLGPAARLPSLPSDKRLKAAFAAASLLRLAAAAPPPVASPTGVAALAILKSGYKLSKNSAKVIEGFLGLQVHKGIRNGVDALGVVVKVAVIASEVAVWVGGRCWGGRRGRSVRFLCSTRPSGLLLVRYSKSEAQVVLFDHGLGIAAMDGDEKQMEEQEGSDLLCLAVPVPEATNY >ORGLA03G0028800.1 pep chromosome:AGI1.1:3:2026227:2028082:-1 gene:ORGLA03G0028800 transcript:ORGLA03G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVYLERRNGFDEDSTSPEFSGRSAIDHQQLSIATPEVESDLGQTIYKQPLPSDEKKSRSCQSCHKSPCSCRSEVFHSDLYPTLPAKMMILEFLIRSLRHPRRTHNVSDLDDMISNGASTGSVVLGPSEKMMLDSLHSLVDAKTRPKSPSFFHSGTKMRKARSKSHIITQSEILKLISPETWEISSPGVSPLKKSTAELSMHEKMVSSDTPSMSSNQPVLSSCPSSLSAGLLQCIWKDGLPHFELSLDNPMAVYTANPTKAHDNDKPLDYVYLFHSGEQGRKDWLGNSSSVSRLVGKMKVSSSLVLNPDKSTSMETEFVLYGSPDDYLRQMQSSYGVTKGKGLAKRVADIMKPSNLNSSPKHVWKFGKSSSQQIDEMTEIPEGEQCSAKESVLKNLVADDLPTNQEIAAIVVRKQRRERRKSPVLGGWGLKFLEKAGATHPGSTEDGDVQNKKNNAGSVSAIFPRGYHGGAASKNGSPASLIGRWRSGGRCDCGGWDIGCPIRVLQNDGCGTSPQAESQSQDRKSVELSVKGAKKGPMFRLVNITDDLHIIYFDSSLSPLQCFSAGIAIIHSQAPHLYPKL >ORGLA03G0028700.1 pep chromosome:AGI1.1:3:2022890:2025378:1 gene:ORGLA03G0028700 transcript:ORGLA03G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >ORGLA03G0028600.1 pep chromosome:AGI1.1:3:2020109:2021044:1 gene:ORGLA03G0028600 transcript:ORGLA03G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAAGAGAARPCLSSSPAPPVSSPGAIPLLRHTPIPHHRRRPLAALRTRIMLLRPLRAAASPGPAASSRPARDRVIDFGKHKGQMLGTLPPSYLRWVVAELDYGDTAAWASLAREVLDDPVYVDRVEWEHAHRFLRGDTDYDGDGEDGPLHEMADRFGWDLSDEEGWSRLDFRLLGTSYGGRIPRKGGRRQTTTSFLFDIGAETDGPRGKRDERRERMRLRRETQVRGAKLDMLGVNAGVKDGGVLGTPRKARTRTTAKTDILGLGRRRSRGGEVLGEKVLPGSGGQGGNPFPGRQAFLDKVRKLKDDS >ORGLA03G0028500.1 pep chromosome:AGI1.1:3:2012172:2016555:-1 gene:ORGLA03G0028500 transcript:ORGLA03G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTESLPLPAMENGEGKGGGEYTQDGSVDLRGNPVLRSKRGGWTACSFIVVYELFERMAYYGIASNLVIYLTDKLHQGTVEASNNVTNWSGAVFIMPLLGAYAADAYLGRYWTFVAGSAIYFLGMCLLTLAVTIPSLKPPPCAGGVCPPASALQLGVYFGGLYIIAFGNGGTKPNISTIGADQFDEFDPREKMHKMSFFNWWMFTIFVGILFSSTVLVYLQDNVSWSVGYGIPTLGLLVSISIFLAGTPLYRHKVPQGSPFTRMGKVVAAAVWKWRVAVPADAKELHELELEEYTRKRKFRMDSTNAMRFLNKAAVKEDGSPAARWSLCTVTQVEETKQIVKVIPLLATMFVPCTLIAQTNTLFVKQGRTMDRHIGRHFQIPPASLGAFVTLSMLVAVVVYDRLFVPAIRKYTKNPRGITLLKRMGVGLLLQVVAMATASLMESRRLGYARRHGLDAAAAEVPVPLTIFELLPQYVLMGVADAFLVVGKIEFFYDQAPESMKSLGTAMSLTAYGVGNVLSSFLLSLVSRVTRERGDAWVTNNLNASHLDYYYGFLTVLGAINAVVFVALSSRYRYKVESTETIDIAMDVKGDTAKKIQN >ORGLA03G0028400.1 pep chromosome:AGI1.1:3:2005855:2009328:-1 gene:ORGLA03G0028400 transcript:ORGLA03G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQHHHRSRSASSSSFARSSDTAATDADARSLAADATVDCPFGHVNGLTRADLREAAYEVFFMSCRAAGGGRLNYFPAGESGGGDVSPTIGAGPRGGTGMSVVNSRVKRALGLKARRSSQPTTARVSSMNASSAPGSPGRAMWAMSQPSTPVSPGKGRRPMTSAEIMRQQMRVTEQNDARLRKTLMRTLIGQVGRKAETIILPLELLRQVKLTDFADSGEHHQWQRRQLKLLEAGLIAHPSLPHDRLNAVVLRFREVMQAADARAIDTGKTSDAMQALCNAVHALAWRSAPGSKAAGGDACHWADGYPLNVLLYVSLLQAIFDLKEETVVLDEVDELLELMRRTWPTLGINKMLHNVCFAWVLFQQYVVTGQIEPDLAGAALAMLTEVAADAKQESRDPVYARVLSSILATIHDWSEKRMLGYHEWFGNGNCGAGGAMAMEGALSLALATTQIISDNAIFTSISTAETEHEDCSVGSFAGDRVDYYVRCSTRSAFTKVSFLRVWPRHGERLVLICRGNVCQILENGLGQGDSLIIDRHDDEDPGDILARLAGDTEHIALSERDAFGPVLRRWHPFPGAVTAVTLHGCFGVVLKQYLGKATGLSNELVHVLHAAGRLEKALVQMVVEDVADSDDGGKSVVREVVPYDVESIVFGFLRTWVEERLKICRECMLRAKETESWMPRSKNEPYAQSAVELMKLAKATVDEFFGIPVAVRDDLVQDLADGMEAIFLEYISFLTSCGSKQSYLPSLPPLTRCNQDSKIIRLWKKAATPCRAPVSSPRAHGHHQGQGGMASGGQNPRPSTSRGTQRLYVRLNTLHFILSHVHALDKSLSFFSRGRCSSSPSSAATARLLAPCSHFDRARAAAQSAVGHVAEVAAYRLIFLDSHHSFYDGLYVGGVADARIRPALRTLKQNLSLLLSVLVDRAQPVAVREVMKASFQAFLLVLVAGGGDRSFTKEDHGMVEEDFRSLKRAFCTRGEGVVTEEVVDGEAEAAESVVALMGQTPEQLVEELSIACELNGTASSAGQRMPLPETTWRWSRTDPDTILRVLCHRDDEVASHYLKRAFQLPKRR >ORGLA03G0028300.1 pep chromosome:AGI1.1:3:2003638:2005212:1 gene:ORGLA03G0028300 transcript:ORGLA03G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLGCFGGAKERRRRRKRSPAHSPSGRARATPRLSPKKAAAAAALDGDVVSAAAPLLATLLELRDSTDNLCLTVIKKKVTFDPNVTTYEAAAIPEADEEEEDEAEPAAGDDKDEARWMLAPDCAKSEAFPLNHRYSNCAYGDNDNEYDEDEDEEEEEDEDEEEEEDGLDECAIDDEDDDGLLGIGRGEDEACESLFLLPMSKTKESSSGQEAAAGVTSPEAPPLAPQQTRDANPVLSSVENLARWKDAKARAGAATATAAPNASDKENRTTANLLPEPAIPAKKKEWQVVCSDYSPSTPSKQEVSVDASLSTWLGSSGTPESNSVRSFSPISREDRPILGALTMEDIKISSANSSPRRSRSPSPSPDDMPILGTVGAYWNCSSKAGDPVTRGGFMRTRTRFGQNFAG >ORGLA03G0028200.1 pep chromosome:AGI1.1:3:1999259:2002721:1 gene:ORGLA03G0028200 transcript:ORGLA03G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSFRSVSCNGSGKNLASPSPAAGSRAPAAAPAGSKGDAVVSVERRALLSPHPAGGTARKGMRGSKRRVQWKDRHGKKLIEVREFQPSDTDDSDDEYLDTCICSIM >ORGLA03G0028100.1 pep chromosome:AGI1.1:3:1995101:1996669:1 gene:ORGLA03G0028100 transcript:ORGLA03G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAMAFVPPRDLVVVLPFLALLLPLYIYLRYSRSAKANPSLPTEWPLVGMLPSLVANIHNLFDYATALLAASGNSFEARGPPMSGLRFFVTCDPDNVRHIFINNFANYPKGVEFASFFDVMGDSFFNADGESWRRQRARVQHVMSNPRLLASMAACCRGKVEKGLLPILDRMASAGAPFDLQRLLTRFAFDVTAMAVFGVDTCRLSIDMPPLDVANAMDAVMEVGFFRHTVPMSCWKLMRSLRIGPERKLAAAQRLLRRFVAEMIEKRRVAGGACKATDDEQGGVPPPADIVSSYINDPEYVDEDGNPREFMYATFINYMVAGRDTVGTALSWLFFNLTEHPRVVARIREELEPIASSKAGGGGMVVFDPEETKPLVYLQAALFESMRLYPPGPIERKATLADDVLPSGHTVRAGDNILIPVYSMGRMASVWGKDSGEYRPERWVTEDGKLRHVPAHRFMPFNAGPRLCLGKDISVLQMKSVAAAVAWNFDLEVVAGHAVEPKVSIVMQIKNGLMVKVKKR >ORGLA03G0028000.1 pep chromosome:AGI1.1:3:1983361:1990623:-1 gene:ORGLA03G0028000 transcript:ORGLA03G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVQNEKDSGRITSDGTAARTRPLSIQDIMSRREKKTASEAKKTKEGLEENSNGKSNHLESGRGSKSRKDVREMPLEGSKKDNSDKPGEGSKKDEMRHTPREERRKDNMRDMQREVSKKDNLKHRPKDISKIDNLKVKVKVPSKDDQRDAPKKSSKKERSSTRDDSHLVDKDKGNHNSHKLSTYTSGRVGKSRGGNHGEITARDGDATIQESQKRPGKRWIDEPVGNDRIKERSERLTDGKRKSRGFDDEKSSQVDRPTLKKQDAVRLQDPRHFDRKDGRKENAKLHHEEPRSKRRRSTSRDHYQERHDRSVSPSSREQRHSYRGHGHDYYPPYHSMDKSRRKHFETDRHRTSWNDGYSSGSYRRYESRLGGYSPRKRKTAPKDEQTTIKTASLVIRSPEKKSATWDQLPVATDLSNFVTTLQSTVGLKDSTVPVNFTTSKQDPNTTIGTVLTGSNLAVDSVQLTQATRPLRRLHIENLPSLATEDMLIGCLNEFLLSSSASHIQRSKQPCLSCVINKDKRQAFVEFLTPEDATAALSFDGRSFGGSSLKIRRPKEYVEMAHVAPKKPSEEIKLISDVVADSPHKIFIAGISGVISSEMLMEIVSSFGPLAAYRFLFNEDLGGACAFLEYIDHSITSKACAGLNGMKLGGGILTAVNVFPNSTEQAFNEASPFYGIPDSAKSLLEEPTKVLQLKNVFDQEEYLLLSKSELEEILEDVRVECARFGAVKSINVVKYPASSDNTTGDTITECEDGSTKIEPKEYGGSVSCTETGAECSVLNQSTDVPDPSICEVQDPVELDTDSIPKGRDHKNLDTRGECDAPTAGDENTDQGVEADQTDSTDAQDDACGTIERGHADADPASLETSCSTVPGDGADKSGRENEQQGGAGVSESNTEKAPAVDARDNALASNTSALEAGCILVEFLRKEAACTAAHSLHGRRFGSRIVSAGYAPHDLYLQKYPR >ORGLA03G0027900.1 pep chromosome:AGI1.1:3:1978297:1981437:1 gene:ORGLA03G0027900 transcript:ORGLA03G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSTSTILILKKLTFPSRREVRLTEARALLGKVENQYGHAEEALRVFSGINMPALIPKVKMSIIRKVDLQKAQLHSSSPSLPFHAAILLLEIIYFKATALRNLGKIEEATKECSSILDVVESALPEGLPDIFGDDCNLKPTLCRAVELLPELYKLGGFHFEAISSYRRALWSNWNLDEKTIGRIQKEFAVLLLYSGCETCSPNLLSQLDGSFVPRNNLEEAILLLMLLLRKFNLKRLERDPTVMHHLTFALSMSGQLKPLAIQFEELLPGVLHNREWSYNVALCYLAEEDDLIALNLLKRILVSGEDSNNLKELLLVSKICCENSVQGEEGTLYARRALTNLHGGCDQIEVTADLLLGISLSNQARFATTNTKRASQQREALEVLGISEKKMHGIDFRVLYNLSLENAKQRKLDTAARYAKKLLKLEAGSELKTWLLMARIMSAQRRFEDAESIVNAALDQTGKWFQGDLLQIKAKMQAAQGKFKKAVETYTQLLAVIQLRTKSFNAGISVLKGSKDDRSLEIETWYDLVLLYIRMSQWRDAELSISKIKAISPYSALAFHATGKLHEAKGFLKEALREYSTALDLEPRHVPSLISTAIVLRRLGERPLPAVRCFLTDALQLDRTNHIAWLNLGLLYEDEGSSSALEAAECFQTAALLEETNPVEPFR >ORGLA03G0027800.1 pep chromosome:AGI1.1:3:1968425:1971025:1 gene:ORGLA03G0027800 transcript:ORGLA03G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKGKVSGEVAVMEVGGALLGVRTRSRTLALQRTTSSQKPPEKGEGDPGAGAGAGAEYLELRSRRLEKPPPHTPPAKEKETARRASAAAAAAVRMPAAPQAAEEFEAEVEVSFGDNVLDLDGDAMERSTRETTPCSLIRSSEMISTPGSTTKTNTSMSSRRRMETSVCRYVPSSLEMEEFFAAAEQQQHQAFRERYNFCPVNDCPLPGRYEWTRLDC >ORGLA03G0027700.1 pep chromosome:AGI1.1:3:1955250:1957109:-1 gene:ORGLA03G0027700 transcript:ORGLA03G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCAFLSAAAAANATSFSSSPESRRCRSVHRVPSRPRPPLAPPARVMGKGNSKRKAANTRLWMRLDRRGGCEMILCDKSFVARRSGLPARDLRVLGPLLSRSPSILAREKAMVINLEFVRAMVTADEVLVLEPLAQEVLPFVEKLRKHFPLKSLDVDDVSTHMHTENQDGELAQDVSCYEVEGANHELPFEFQVLDFALEAVCLSYNSTISDLNRSAIAVLDDLMKSVSTRNLERVRSLKSSLTRLLASVQKVRDEVEHILDDNEAMAHLCTARKTKGQKDLLNTILFPETRLCRTHSSIENSTGIRTCVPSDSDAHILDMLLEAYFKQLDGIRNRIFLVRQYIVDTEDYISIQLDNKRNELLGLQLTLIIASFGIAINTFIAAAFAMNIPHHGYHFVIGVPFGQFVGATSFLCMSIVILLFTYAWRNRLLCT >ORGLA03G0027600.1 pep chromosome:AGI1.1:3:1953268:1954417:1 gene:ORGLA03G0027600 transcript:ORGLA03G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVTMASYAAVLRPHASSTRTPRGPRRPAGAVPPTPRRAALRATTRSPPAVAATPPPRERVQQQQQPGDGQTTTRLYSLAPCPLLLAALLPGAEPVRAVFEPFVELVKTWGLPGWLVHWGHPGNMAVVLFAMGGYGTYLGFRIKLSDDPEEKAKAKDLHPKLLAGMFFFFAAGATGGVTALLTSDKPIFESPHAVTGIIGLALLTIQSILPTLFEGNPSLRNAHGLLGSGIMTLFLIHAAFGLQLGLSF >ORGLA03G0027500.1 pep chromosome:AGI1.1:3:1949579:1952321:1 gene:ORGLA03G0027500 transcript:ORGLA03G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGPAPFLNIGKRAKDLLYKDYNFDQKFSLTTTSNSGLGLTATGVKIDELFISDIQTQHKSGKTTVDVKIDSESRVSTTVTVDEALTGLKTSFSFRVPDQKSGKLDLQYLHDRFALNSTIGLTSTPLIELAATIGTNELSAGAEVGFDSTSASVTKYNSGICYNKHDFSAAVLLADKGETLKASYIHTFNETNGATVAAEVTHKLKTKENYFTIGSSHAIDSSTLLKTRFSNGGKVGVLCQHEWRPKSTVSISAEYDPKVVSSPSRFGMAIALKP >ORGLA03G0027400.1 pep chromosome:AGI1.1:3:1944813:1947191:1 gene:ORGLA03G0027400 transcript:ORGLA03G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTAPPPDAGGGEPEAWYGSIQYLVNISAVGAASCVLLFLLVKLRFDHRRIPGPSALAAKLLAVYHATAPQIALHCGADAAQFLLFERASFLVLAAVAAAAVAAALPLNLLAGDAAIADQFAATTISHIPKSSPLLWLHLLLTAAVVAIAHLGISRMEDALRITRFRDGNGNPSDPNSSSVAVFTIMIQGIPKTLAADKTPLKDYFEHKYPGKVYLVIVPFDLCTLEYLAEEWGKVRNRISWLEARMDARNLFDEFAQGGRHSEEHWIVRRCKELWVMAAERFGFTDEEMLRRLQTKKLVLGSKLSDYKDGRAPGAGIAFVVFKDVYTANKAVRDFRMERKKTPIGRFFPVMELQLERSRWTVERAPPASDIYWNHLGLSKTSLGLRRIAVNTCLILMLLFFSSPLAIISGMQSAARIINVEAMDNAKSWLVWLQSSSWFWTIIFQFLPNVLIFVSMYIIIPSVLSYFSKFECHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESWILSMGRCYLDSVDCKQIEQYLSPSFLSRSSLSSLAFLITCTFLGISFDLLAPIPWIKHIMKKFRKNDMVQLVPEENEDYQLMHDGEETNNLRAPLMSEREDSGILNGIEEHDLSLYPINRSFHMPKQTFDFAQYYAFDITIFALTMIYSLFAPLTVPVGAVYFGYRYLVDKYNFLFIYRVRGFPAGNDGKLMDMVICIMQFCVIFFLVAMLLFFAVQGDPMKLQAICTLGLLVFYKLLPSRSDRFQPSLLEGMQTVNSFVDGPTDYEVFSQPDLDWSLYQS >ORGLA03G0027300.1 pep chromosome:AGI1.1:3:1939669:1942859:-1 gene:ORGLA03G0027300 transcript:ORGLA03G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATALSLSGGGGGGGGGRYPATVARRCCCAVPRSRRGPAPRRRLGLAASRADDSSPAPFEMTLEGALKLLGVAEGASFDDIMRAKNAVLASCKDDQDAVAQVEAAYDMLLMQSLSQRRAGKVVDNSIRYADVKPVKSAGSGTVPQWMQATMKNAPVTFEAPSSSSLGIQSCVYGALMVFTYASGSATSLPSTYTSPDVPGFILATGFGASLYFLTKKNMNLGKAALITVGGLAVGATVGSAVENWLQVDVVPFLGVHSPAVVVSEFILFSQLLVSLFVR >ORGLA03G0027200.1 pep chromosome:AGI1.1:3:1936013:1938303:1 gene:ORGLA03G0027200 transcript:ORGLA03G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVMDYFRSCWGPRSPAGHRVRGSDVAGRQDGLLWYKDAGQLVTGEFSMAVVQANNLLEDQSQVESGALSMAEPGPQGTFIGVYDGHGGPETARFINDHMFHHLRRFATEHKCMSTDVIRKSFQATEEGFLSLVSKQWSLKPQIAAVGSCCLVGVICSGTLYVANLGDSRAVLGRFVKSTGEVVATQLSSEHNACYEEVRQELQASHPDDPQIVVLKHNVWRVKGLIQISRSIGDVYLKRPEYNREPLHSKFRLRETFKRPILSSEPAIAVHQIQPNDHFVIFASDGLWEHLSNQEAVDLVQNNPRNGIARRLVKVAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNAISKANWSRGPSVSLRGGGVTLPANSLAPFSAPTVLSSTY >ORGLA03G0027100.1 pep chromosome:AGI1.1:3:1907388:1913796:-1 gene:ORGLA03G0027100 transcript:ORGLA03G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitate hydratase [Source:UniProtKB/TrEMBL;Acc:I1P7C5] MPPLTSALLSRSSSTRIPAAAAAAAAISNPAGAAASSSSPSPPPPSSRPRPASPFASGLAGRIFGGRRAAARSSSSAAAVFERRFASAAAKNSYDEILTGLAKPGGGAEFGKYYSLPALSDPRIERLPYSIRILLESAIRNCDEFQVTGKDVEKILDWENSAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMSKLGSDPNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFHRNKERFGFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLTGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGGGMSELSLADRATIANMSPEYGATMGFFPVDGKTLDYLKLTGRSDDTVAMIESYLRANKMFVDYNQPEAERVYSSYLELNLEEVEPCLSGPKRPHDRVTLKNMKSDWLSCLDNDVGFKGFAVPKESQGKVAEFSFHGTPAKLKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLDKSGLQKYLDQLGFHIVGYGCTTCIGNSGELDETVSAAISDNDIVAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVNIDFEKEPIGISKDGKEVYFRDIWPSTEEIAEVVKSSVLPDMFKSTYEAITKGNPMWNELSVSASTLYPWDPTSTYIHEPPYFKDMTMSPPGPRPVKDAYCLLNFGDSITTDHISPAGSIHPDSPAARYLKERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHIPSGEKLSVFDAATKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIIPLCFKSGEDADTLGLTGHERFTVHLPANVSDIKPGQDVTVTTDNGKSFTCTLRFDTEVELAYYDNGGILPYVIRKIAEQ >ORGLA03G0027000.1 pep chromosome:AGI1.1:3:1899348:1902254:-1 gene:ORGLA03G0027000 transcript:ORGLA03G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGQEDDDVDHYEVLCLPSGEEGAGLSLEQIEKAYRTQSRLRHPDKRPDDPNATADFQRLASSYNFLRDESLRRQFDARLRGRREAAARAAASGVKRRKAVSDLEERERAAATGQAVDAAEAARREDKRKAADVKRELEEFFAAKQSASSSTPPASAPGAPQDAPKTDKGKILKVSWEGGADYYNAAKLEEIFKQFGGVEDVVIKTRKSRSRGSAIVVMASKEAALSALQNHSVYNVFSVPLIVAPVQESGGVPTRSTHTPETRPSNLGGTGFNDLEASVFRKLQEAQKRKQNG >ORGLA03G0026900.1 pep chromosome:AGI1.1:3:1896312:1898657:-1 gene:ORGLA03G0026900 transcript:ORGLA03G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLVLAARPARATTPTACASQNLIPATSKEPPPRLPPKRGSSKSLVVSLAAEGRMDEAVEALAAVRGPDAFLHNVMIRGFADAGLPAGALAAYRGMLEDGARPDRFTFPVAVKCCARLGGLDEGRAAHGMVIKLGLEHDVYTCNSLVAFYAKLGLVEDAERVFDGMPVRDIVTWNTMVDGYVSNGLGSLALACFQEMHDALEVQHDSVGIIAALAACCLEFSSMQGKEIHGYVIRHGLEQDIKVGTSLLDMYCKCGEVAYARSVFATMPLRTVVTWNCMIGGYALNERPDEAFDCFMQMRAEGLQVEVVTAINLLAACAQTESSLYGRSVHGYVVRRQFLPHVVLETALLEMYGKVGKVESSEKIFGKIANKTLVSWNNMIAAYMYKEMYTEAITLFLELLNQPLYPDYFTMSTVVPAFVLLGSLRHCRQIHSYIIGLGYAENTLIMNAVLHMYARSGDVVASREIFDKMVSKDVISWNTMIMGYAIHGQGKTALEMFDEMKYNGLQPNESTFVSVLTACSVSGLVDEGWMHFNLMLQEYGMIPQIEHYGCMTDLLGREGDLREVLQFIESMPIDPTSRVWGSLLTASRNQNDIDIAEYAAERIFQLEHDNTGCYIVLSSMYADAGRWEDVERVRLLMKEKGLRRTEPISLVELHSTACSFANGDMSHSQSRTIHEVSDILSRKIEETDDTRNQSYPVPVATRTTTMPNKHSVRLAVVFGLISSEIGSPILVKKNVRICNHCHHALKLISRYSGRRIVVGDSKIYHEFSDGSCCCGDYW >ORGLA03G0026800.1 pep chromosome:AGI1.1:3:1895295:1895774:1 gene:ORGLA03G0026800 transcript:ORGLA03G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARASSSLLRGVAVLRRRPPVRSIHEGPDTIDELLDRHLAKKSPSSSSGALDGDAADAEARRRLTSSRREALGLYRDILRVTRLFEWPDDRGVPWREVLRANARREFEEARGERDPEVVARLLIGGRDAVQQALDRLAEASRRMVEAEEAKRRGGA >ORGLA03G0026700.1 pep chromosome:AGI1.1:3:1894527:1894943:1 gene:ORGLA03G0026700 transcript:ORGLA03G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAAATYRCAECGADLNLTAAQLYPEGVYFEAGNKGTLSFSWVDESRLRFAPEDKIRPFFETLNYWGIQRKRTRISCDACGRLLGYVYDDGPPAMDGTGQFGMGPSQVIPRRPRYRIKTKAVTVANPPATAPYR >ORGLA03G0026600.1 pep chromosome:AGI1.1:3:1892186:1893766:-1 gene:ORGLA03G0026600 transcript:ORGLA03G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQMHVLSALDSAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLIGRVYYTADGASKPGSLPPNVSAAVNGVAFVGTLTGQLFFGWLGDRVGRKSVYGMTLLLMIICSVASGLSFGDTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILAGGAVAIGITAIFRSRFPAPPFAADPAASTPPQADYVWRLILMFGALPAALTFYWRMRMPETARYTAIVAKNAERAAADMSKVLQVKITAEQAEMASPVDKPFTSKPFGLFSGEFARRHGFHLLGTTSTWLLLDIAYYSQNLFQKDIFSAIGWIPEAKTMSALDELYHIARAQTLIALCGTVPGYWFTVALIDVVGRFKIQAAGFFMMTAFMLALAVPYDHWTAAGNQIGFVVLYALTFFFSNFGPNATTFIVPAEIYPARLRATCHGISAASGKVGAIVGSFGFLYLAQSPDPAKAAAHGYPPGIGVRNSLFALAGCSLLGFLLTFLVPEPKGKSLEEMSRENEVGQP >ORGLA03G0026500.1 pep chromosome:AGI1.1:3:1888708:1889075:-1 gene:ORGLA03G0026500 transcript:ORGLA03G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSTSADSAPDLRRLCLRPRAPSTPPPTVGSADSAASTTAHTSTGPSLQAPDGSGAPGRIRALTARSGGDECDCDVSCDSVLCPNDCECDYFCAL >ORGLA03G0026400.1 pep chromosome:AGI1.1:3:1882867:1883958:1 gene:ORGLA03G0026400 transcript:ORGLA03G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase [Source:UniProtKB/TrEMBL;Acc:I1P7B8] MEAATMAWTAAAVGMALVYWFVWVMGAAEVKGKRAVDLKMGSITNDKVKDKYTQYWSFFRRPKETATTEASAEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHREATRVHEERVADLLQAKPGHRLLDVGCGVGGPMRAIAAHSGSNVVGITINEYQVNRARAHNRKAGLDSRCEVVCGNFLSMPFSDASFDGAYSIEATCHAPRLQDVYGEVFRVLKPGGLYVSYEWVTTSLYRADNPEHVEAIHGIERGDALPGLRRQDEIASIAKEVGFEVLKELDLALPPALPWWTRLKMGRIAYWRNSLVVRVLTMLRIAPKGVCEVHEMLYETAQHLTRGGETGIFTPMHMVLLRKPVESK >ORGLA03G0026300.1 pep chromosome:AGI1.1:3:1876987:1877271:1 gene:ORGLA03G0026300 transcript:ORGLA03G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDAARVKLGGGAMMTAEGVGGAAADCHRSAPPISRAATPPDSRLRSPPPTRLHWFAPPGVDPPIRQGWAAVPAMAAPPHPLPSLGRPTTIS >ORGLA03G0026200.1 pep chromosome:AGI1.1:3:1870122:1876075:-1 gene:ORGLA03G0026200 transcript:ORGLA03G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWARLLFSSPLLSSRLSPLPLSNDSVLLIDSVGHDNRTATGHSVCHQKRFKEILELVSPCAKCLAAVGRITYLQVVNNSDQDYSHLPELDLSVMLNQGTLHQSQDQLLINHTSPVRSPSDCGVRYHRCLWSRGLRTMVETSTDLEGTLDQVSGIFSLWVDRAKWTVQGIPTRPRGNNYRKGSYSAVEDPIVAAGNTAVFEIGEKVTWVTEQPLMDILATWHLLCNVKY >ORGLA03G0026100.1 pep chromosome:AGI1.1:3:1863152:1865072:-1 gene:ORGLA03G0026100 transcript:ORGLA03G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEESFLDELMSLRRDGSAPWQAPPYPGGGGGGGMMMSDLLFYGGDGGSAEARGGMDASPFQELASMAAPPPQHPHEEFNFDCLSEVCNPYRSCGAQLVPSEAASQTQTQLTPLRDAMVAEEETSGDKALLHGGGGSSSPTFMFGGGAGESSEMMAGIRGVGGGVHPRSKLHGTPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELTERIKTLEEEIGATPEELDLLNTMKDSSSGNNNEMLVRNSTKFDVENRGSGNTRIEICCPANPGVLLSTVSALEVLGLEIEQCVVSCFSDFGMQASCLQAGTGCIYMIFIIHDIGVIAIHAYALRSRNYSRSSTIYEFIFISSGSTSFLNTPESKLKICSSIVSFAFVNRYGSRPCDLSNIGFELNAKVPIVCTLA >ORGLA03G0026000.1 pep chromosome:AGI1.1:3:1856378:1859110:-1 gene:ORGLA03G0026000 transcript:ORGLA03G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat protein [Source:Projected from Arabidopsis thaliana (AT5G66055) TAIR;Acc:AT5G66055] MPPSLSLHHHLLLFPFPSHVQTLNPLSASPRLHPHSKSLLPRRRPLVTSPTSFAVAAVDEFDEDFAIGDCVVFEDDAFEEPDVDLPSPAPSTTSRPRRKPAAEAGGGGGSSLVPERWRDAAEEINLTKKEKRRIAHGLRFGSRLERRAPPAVAAPDEYRAYREGRLDAELGRVARDYAEPIERSPVPDRVEAPPPPEPGARVAPRNPRLGLGVRSLDDITELFNSTEYVPGEMEDGNNPKSRRKLFTDEEKVLLNKRVPDLEAATSSKWLPLHTIAASGDFYLLDNLLKHNINVNALDKDGLPAIHKAILSKKHAIINYLLRNSANPFIHDKDGATLMHYAVQTACSQTIKTLLLYNVDINRPDDYGWTPLHLAVQTQRTDIVKLLLIKGADRTLKTQCRNFRWMDLPLWSYASGWVIMQGRMNLSNYSRAFVYQSNMTQFSTWMVYDTVKFSKVY >ORGLA03G0025900.1 pep chromosome:AGI1.1:3:1840972:1843502:-1 gene:ORGLA03G0025900 transcript:ORGLA03G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G17020) TAIR;Acc:AT2G17020] MCPPPPDPISSPPPPAMDSALPSAVLATILSRLDVRSLVAASAACRCLRSCASHALSFLPSFHLSEVALTHELLRPLMPPNPSLRSIRLDCARLEDAAIDCLARPDLHELMLLNCDNISGRLLCELGATCQELRVLSLNALAERRGLPISFSDLQQLLNGCSQLESLRLALDFSMFDDPNFSHVWASASEALTSLEIGYIPMTMLLELLTVAMESQRCMHHVKEPVFFPSLQKLCLTVDFITDHLIGSLSTALPSLTHLDLQDAPIIEPTTSSDLTNAGLQQINPNGKLKHISLMRSQEFLFTSFRRVNDLGILLMAEKCSSLESVCLGGFSRVTDTGFRAIIHSCSGLHKLRVSHGSQFTDLVFHDIIATSLCLTHVSLRWCNLLTDVGIERLSFNKDLNVLDLRDCRSLGDEAVRSLSCLPKLQILFLDGSDISDQALKYLGLGTCPLASLSLRGCRKLTNDCIPLLFAGSVKQSLQVLDLSRIPGITDDGIMLLARSRTPIIELRMRENPKIGDAAVMALASMLVDGGTHGSSLQLLDLYDCGAITPLAIRWFKKPYFPRLRWLGVMGSLNRVMVDALVRSRPFLHMACRGEELGTFNWDRSSDWYRHDDDDLDELEQWILNGEPVSDTETITEE >ORGLA03G0025800.1 pep chromosome:AGI1.1:3:1838658:1839632:-1 gene:ORGLA03G0025800 transcript:ORGLA03G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTVYGPMISPAVARVAACLLEKDVPFQVEPVDMSKGEHKSPSFLKLQPFGQVPAFKDSLTTVFESRAICRYICDQYADSGNKTLMGRKEDGAVGRAAIEKWIEAEGQSFNPPSLAMAFQLAFAPFMGRATDMAVVEQNEAKLVKVLDVYEQWLGENQYFAGDEFSLADLVHMPNTDLLVCKTNKAGLFTERKNLARWWDEVSARSSWKKVVELQNVPRPS >ORGLA03G0025700.1 pep chromosome:AGI1.1:3:1836306:1837142:-1 gene:ORGLA03G0025700 transcript:ORGLA03G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARVLTCLFEKDLEFELVRIDTFKREHKLPEFIKLRDPNGQVTFKHGDKIIVDSRAICRYICTQFPEGNKTLYGTGSLERASIEQWLQAEAQNFSPPSSALVFHLAFAPHLNIPQDHAVIAENEKKLQQVLNVYDEILSKNEYLAGDEFTLADLSHLPNSHYIVSSERGRKLFTGRRNVARWYHDISSRETWKQVVKCIRVMA >ORGLA03G0025600.1 pep chromosome:AGI1.1:3:1832303:1833187:-1 gene:ORGLA03G0025600 transcript:ORGLA03G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARVLTCLFEKNLEFELIRIDTFKKQHKLPEFIKLRDPTGQVTFKHGDKTLVDSRAICRYLSTQFPDDGNRTIYGTGSLERASIEQWLQAEAQSFDAPSSELVFHLAFAPQLNIPADEARIAENERKLQQMLNVYDEILAKNKYLAGDEFTLADLSHLPNSHYIVNARSPRGKKLFTSKKHVARWYEEISNRASWKQVVKMQSEHPGAFE >ORGLA03G0025500.1 pep chromosome:AGI1.1:3:1822206:1823320:-1 gene:ORGLA03G0025500 transcript:ORGLA03G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASVKVFGSPTSAEVARVLMCLFEKDVEFQLVRVDAYRGTQRMPQYLKLQPLGEALTFEDDNLTLSESRGILRHIAHKYARQGNPDLIGTGALERASIEQWLQTEAQSFDVPSAEMVYSLAFLPPNMPKQNDNGNGNGNGYGNSNGREVQVANASSKRVVAGATDGKTAASGANGNKQQQKEEEMRKVFEKSKKDLEKLLDIYEQRLEEAAYLAGDKFTIADLSHLPNADRLASDPRSRRMFEARKNVSRWWNNISSRESWEYVXSLQRPPSAAHAGNAQQQQQQQSPSAGNNYQHQQGQGQGQQHYRNEQVENYNN >ORGLA03G0025400.1 pep chromosome:AGI1.1:3:1820391:1821390:1 gene:ORGLA03G0025400 transcript:ORGLA03G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARGLSCLMSPLSGKRHAGDARRSSAACICCIGPHHKPSSAVGGGGGCVPCLAPHADHSVRAPLTSCCGSGGGGGDNNLRGRSSTTTSARTPRTPKTPCTPTARRLCGVRSRTPRRGQVGCFQSSAPAAARTPRTPTTQRACYVRGTGTAQGNAKLGRRRRWLRSTGQTPRRTARAGGDVGNGGDVKVYDTGLVEAAAEESVTKEEETSSNDEYALLCRQGFPREDVAAVTIQAYFRGHLARRAFKALKSLVRLQAVARGAYVRRQAEVAIHCMQAMVRLQMRVRARQVLTKPKEGQLLPS >ORGLA03G0025300.1 pep chromosome:AGI1.1:3:1812413:1812832:-1 gene:ORGLA03G0025300 transcript:ORGLA03G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSTPLLPPPPLSLLLPSFSFSLSFLLIVARRAALAKDDDGGGVAPAASAKQRAAPWRGGAVEGRRGGATARRRRHGGAAAQRGGAGGRAAPAWMGGGAKRRRRGAGVHLTRERGGESGGTHDLARLFWLAKFGRREE >ORGLA03G0025200.1 pep chromosome:AGI1.1:3:1804693:1806546:-1 gene:ORGLA03G0025200 transcript:ORGLA03G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFVYLAIFACLAWAGTALLYWAHPGGPAWGKYWRARGQSPRCSIPGPKGLPVVGSLGLMSGLAHCSLAAEAARRPGAKRLMALSLGPVRAVVTSHPDVAKEILDNPAFADRPLNHAAYGLMFHRSIGFAEHGPYWRALRRVAAGHLFGPRQVDAFAPYRARVAGGVVAALRGAGGEAAVQVRGVLRRASLYYIMRFVFGKEYDVSRGAPESGEEVEELLEMVHEGYDLLGKENWCDYFPGLAAVDPQGVGARCAELMPRVNRFVRGIIQEHRGKAIAGGEARDFVDILLSLQESEGLADADIAAVLWEMIFRGTDAMAVLMEWTLARVVLHPDVQANVHRELDAVVGRSNTVAESAVPSLPYLQALLKEALRMHPPGPLLSWRHRAISDTYVDGHLVPAGTTAMVNQWAMSRDADVWDGPLEFQPERFLPGGKAHGVSVLGADGRLVPFGSGRRSCPGKSLAMTTVTAWMATLLHEFEWTPASGAVDLSEVLRLSCEMAVPLEVRVSARRNV >ORGLA03G0025100.1 pep chromosome:AGI1.1:3:1799691:1803695:1 gene:ORGLA03G0025100 transcript:ORGLA03G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMLATLPDVTAVLHSPSASPPSGLRAPAAVGMGMARTRFLAPRAAASAASAVSAKPAAVAPLYADRTVVRIGLPSKGRMSEQTLSLLKSCQLSVRHLNPRQYTADIPQVPNLEVWFQRPKDIVRKLQSGDLDLGIVGFDIVSEYGQGSDDLVVVHDALEFGHCRLSLAVPKEGIFENINTLEDLANMPEWTQERPLRVVTGFGYLGEKFMRENGFNHVSFLAGDGALESYPAMGMADVIVDLVSSGTTLRENNLKEIDGGVVLESQATLVACRRSLHKRNGVLEITHEMLERLEAHLTATGEIMVTANMRGNSAEEVAERVLSQTSLCGLQGPTISPVYRSRDGKVAVEYYAINVVVPQKSLYKSIQQLRSIGGSGVLVTKLTYIFDEETPRWRKLLLELGL >ORGLA03G0025000.1 pep chromosome:AGI1.1:3:1788382:1792238:-1 gene:ORGLA03G0025000 transcript:ORGLA03G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGCLVLEKVEDHGGEAAGRGRGRLAQGGGGGGGCGSCAGEWRSRSETMFPIYVMGSSRASSAAAARGIVDAAGDPIWEAVKSEAKSEAEKEPILSSFLYASVLSHDCLERALSFVLANRLEDPTLLATQLIDIFNDVMMNNKDIRRSIRLDAQAFKDRDPACAQYSWALLYLKGYHSVQSYRIAHVLWNQGRKVLALALQSRISEVFAVDIHPAARIGEGILLDHGTGLVIGETAIVGNWVSLMQGVTLGGTGKENGDRHPKIGQGALLGAGATILGNINVGEGAMIAAGSLVLKDVPPHSMAVGNPAKVVGYKDKEDPSLTMKHDARRDYFEHVAVSFSDDKANGSVVK >ORGLA03G0024900.1 pep chromosome:AGI1.1:3:1780638:1785875:-1 gene:ORGLA03G0024900 transcript:ORGLA03G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTVRCAANYAPLTPLSFIERAAAVYGDRAAVVCGERRHTWREARRRCVRVAAALAARFGVARGDVVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAAMISTLLSHSGAKVFFVESHLLDVGRAALRRLAGSTSAASLPVLLTISDDGAGARDSGCVDYEDLVRDAPSEFDIRWPVDEMDPITVNYTSGTTSRPKGVVYNHRGAYLNTIATVLAYDITAMPTYLWTVPMFHCNGWNLPWGVAMQGGTNICLRHFTAKVIFDSIARHGVTHMGGAPTVLNMIANAPAADRRALPGPVRVMTGGAAPPPRVLLAVEELGFVLYHIYGLTETYGPATVCTWMPEWDALPAEERARLKARQGFHHIAVQDVAVKNSATMENVPYDGQTVGEVMFRGNTVMSGYYKDIGATKESMAGGWLHSGDLAVRHPDGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDYWGETPCAFVKLKDGANATEGEIISFCRERLPHYMAPKTVVFDDLPKTSTGKTQKFVLREKARAMGSLTKSANSKL >ORGLA03G0024800.1 pep chromosome:AGI1.1:3:1776698:1778441:-1 gene:ORGLA03G0024800 transcript:ORGLA03G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCMLSDANYAPLTPVSFLERAAVVYGDRTAVVSGGREYSWRETRERCLAGASVLARLGVGRRDVVAVIAANIPAMYELHFSVPMAGGVLCTLNTRHDAAMVSVLLRHSEAKVFLVESQFLAVAHDALRLLADAKAKFPLVIAISDTGDSSSSDGGGLEYEALLRDAPRGFEIRWPADERDPISLNYTSGTTSRPKGVIYSHRGAYLNSLAALLCNDMTSMPVYLWTVPMFHCNGWCMAWATAAQGGTNICVRNVVPKVIFEQIVRHGVTNMGGAPTVLNMIVNAPASERRPLPRRVLISTGGAPPPPQVLAKMEELGFNVQHGYGLTETYGPATRCVWRPEWDALPLAERARIKALQGVQHQMLQDVDIKDPVTMASVPSDGRAVGEVMLRGNTVMSGYYKDAAATEEAMRGGWLRTGDLGVRHPDGYIQLKDRAKDIIISGGENISSIEVESVLFGHHAVLDVAVVARPDDHWGETACAFVTLKDGASATAHEIIAFCRARLPRYMAPRTVVFGDLPKTSTGKTQKFLLREKARAMGSLPMQSKSKL >ORGLA03G0024700.1 pep chromosome:AGI1.1:3:1773447:1775803:1 gene:ORGLA03G0024700 transcript:ORGLA03G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTAALATPAAAALLLLVLLAAPASAANFTCAVASGTTCKSAILYTSPNATTYGDLVARFNTTTLPDLLGANGLPDGTLSSAPVAANSTVKIPFRCRCNGDVGQSDRLPIYVVQPQDGLDAIARNVFNAFVTYQEIAAANNIPDPDKINVSQTLWIPLPCSCDKEEGSNVMHLAYSVGKGENTSAIAAKYGVTESTLLTRNKIDDPTKLQMGQILDVPLPVCRSSISDTSADHNLMLLPDGTYGFTAGNCIRCSCSSTTYQLNCTAVQNKGCPSVPLCNGTLKLGETNGTGCGSTTCAYSGYSNSSSLIIQTSLATNQTTACQKGGSGRSQFARSMWSMSVISFHMVLIIICFL >ORGLA03G0024600.1 pep chromosome:AGI1.1:3:1768056:1772586:1 gene:ORGLA03G0024600 transcript:ORGLA03G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRGLVARPFDLTARGAAHWPCPAPRRRAIRCCCRAQQEPRRRLSKAAAAAPERTEEWRIDGNKPAAAARGRRRASLTAMPSLPFPSPRYSSRRQWKQQNFYPRCTPRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKESGTNEDGSTWYRESGDDRGDNGYRCRWARMGGQSHDGTTEWKETWWEKSDWTGYKELGAEKSGKNGEGDSWWEKWKEVLYQDEWSNLARIERSAEKQAKSGAENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGFVLKWTDKWAETDLGTKWGDKWEEKFFAGIGSRQGETWHVSPGGDRWSRTWGEEHFGNGKVHKYGKSTTGERWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPVERPPGVYPTIDFSASSPAPPSDDPPGIPPPPLE >ORGLA03G0024500.1 pep chromosome:AGI1.1:3:1767476:1767773:-1 gene:ORGLA03G0024500 transcript:ORGLA03G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRKEKNMGLGKKTKDAGGSRLSVGVKIGSNQEGTPRCGPTARMPPCAKLVVIV >ORGLA03G0024400.1 pep chromosome:AGI1.1:3:1765330:1765677:1 gene:ORGLA03G0024400 transcript:ORGLA03G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activator-related [Source:Projected from Arabidopsis thaliana (AT5G42290) TAIR;Acc:AT5G42290] MEKLVPNTKKAMEDKEEKPKVPSSDPDLVDLVAGEQPQLQREHQPPNISEMKPLTREAYGGGMYANEGRRDPTRPRASATQSADGPEEAAVRPKHAPPPSTGDRDLDITGQSYIQ >ORGLA03G0024300.1 pep chromosome:AGI1.1:3:1757002:1758546:-1 gene:ORGLA03G0024300 transcript:ORGLA03G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVAGPSMEVEQDLPGFRFHPTEEELLDFYLSRVVLGKKLHFNIIGTLNIYRHDPWDLPGMAKIGEREWYFFVPRDRKAGNGGRPNRTTERGFWKATGSDRAIRSSGDPKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDYGAARAAAPPPKEDMVLCKIYRKATPLKELEQRASAMEEMQRGSSHGDYTATRASLVHDASASTGDDYFSSDDVHDSGFLIQSSSSSAAPSGSSSKNGGAGAPREAKKEEADVTVTVASATSLQLPAVSQLPSLQLPAMDWLQDPFLTQLRSPWQDQHCLSPYAHLLYY >ORGLA03G0024200.1 pep chromosome:AGI1.1:3:1754927:1755955:1 gene:ORGLA03G0024200 transcript:ORGLA03G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLALAGATLLIAAAGGASGQQAGVGSIITRAMFESMLSHRGDQGCQGAFYTYDAFIKAAGDFPRFGTTGNDETRRRELAAFFGQTSHETTGGWATAPDGPFAWGYCRVNEITPSDPPYYGRGPIQLTHKYNYQLAGDALGLDLVNNPDLVSSDPVVAFRTAIWFWMTAQSPKPSCHDVITNQWTPSGDDRSSGRLPGYGMATNIINGGEECGKGYSTDNAKDRVGYYKRYCDMFRVGYGDNIACRDQKPYGGG >ORGLA03G0024100.1 pep chromosome:AGI1.1:3:1748021:1752460:1 gene:ORGLA03G0024100 transcript:ORGLA03G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSSLQAGTHPEKPPGMAVPPQRPSFSLNQHQAPGSAAAQGVGRGEVPAFAEFSLAELRAATGGFAAENIVSESGEKAPNFVYRGRLQRTRRAIAVKKFPKMAWPDPKQFEEEAKGVGKLRHRRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVAHHIAEALDYCSSNERPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKRIPPSHALDMIRGKNIQVLLDSHLEGKYSTEEATALVDLASQCLQYEPRDRPNTGKLVSILDPLQTKLEVPSYEMLGIPKHEEEAPPAPAPAPAPQPQHPLSPMGEACSRMDMTAIHQILVATHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFRDKDFKTAIECYTQFVDVGTMVSPTVYARRSLCHLMSDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDAMDMLNEASQLEEKRQERLWSKDASAQSPLRLKGLC >ORGLA03G0024000.1 pep chromosome:AGI1.1:3:1740699:1740923:-1 gene:ORGLA03G0024000 transcript:ORGLA03G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASIAWQRCVVYGLRRLDDVPDNSDEMACDVAGAGDALRAPSSDAPAGILLEQPADEFEEDGNVDESERTSVM >ORGLA03G0023900.1 pep chromosome:AGI1.1:3:1723654:1723878:-1 gene:ORGLA03G0023900 transcript:ORGLA03G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASIAWQRCVVYGLRRLDDVPDNSDEMACDVAGAGDALRAPSSDAPAGILLEQPADEFEEDGNVDESERTSVM >ORGLA03G0023800.1 pep chromosome:AGI1.1:3:1719692:1720681:1 gene:ORGLA03G0023800 transcript:ORGLA03G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFRRRLTALTIPKASSYLRRTRHKKLSYSRARSASLPGRFHPVVTGLHESASALLGWTDEAPAQAGTQWIGEGVGHLVRLLAGLTELLHHPQAQDPLRPPRKAAPWTERLLDDLLLLADAHGCFREALLALKQLLAEAHAALRRRDATRLAAALRARRRSDRDLSRLASTLRDLSYRSSSAAATSDSGEAALAEAVGAATCAAAAASASFFAGLASASASSASRSLASPTAASPAKVAVAPVWWVADLLRWRRRTVSVAACESGAGAKETPLDECIDEEEEERKAAMDRLLRLEECVVAAEDGCEQVYRALVNARVSLLNVLTPCF >ORGLA03G0023700.1 pep chromosome:AGI1.1:3:1712750:1714487:-1 gene:ORGLA03G0023700 transcript:ORGLA03G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:I1P791] MAGSFITGALMLILGYAYPAYDCYKTVELNKPEIEKLRFWCQYWILLAVLTVFDRVGDNFVSWLPMYSEAKLAFVVFLWYPKTLGTAYVYESFFKPWIAKYEADIDHNLLELRTRACDMAVLYFQKVSNYGQTRLYEILQYVASQSQTQTSRPQARQQQQRPPPAQTRQVNPAPQPVPAPSVPPLPPQPTQAPSAPPRNQTQDTTPVPVPPPGAESLAQPQAHAGPPQANASDGPQNTEAMQIDPSGPSTSNARQSSIPDEDTLIQEAIRMTRGRLRRRTAGSGPPPS >ORGLA03G0023600.1 pep chromosome:AGI1.1:3:1707150:1708571:1 gene:ORGLA03G0023600 transcript:ORGLA03G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVRCCFGSSSLSHHARLLLVIVALLAPRLASGCDRCVRRSRAAYYTSSLTLTAGSCGYGTAAATFNGGGFLAAAGPALYRGGVGCGACYQVRCKDKKLCSNAGARVVVTDRARTNRTGLVLSSPAFAAMARPGMAASLTELAAVDVEYKRVPCEYRHRSLSVRVDERSRGPNELTISFLYQGGQTDIVAVDVAQVGSSSWKFMTREHGPSWSMPNAPPGPLQMRLVVTGGYDGKWVWADREVLPRRWRAGEVYDTGVQITDIAQEGCFPCDTHEWK >ORGLA03G0023500.1 pep chromosome:AGI1.1:3:1702990:1703396:-1 gene:ORGLA03G0023500 transcript:ORGLA03G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSVAMRGYRNGARGAVASSAAVSAAFHPVRAAHLAGGFASDSGRQAAGIQNTYYVASSDVRRESETAVSSAFLPSFEA >ORGLA03G0023400.1 pep chromosome:AGI1.1:3:1698148:1701500:1 gene:ORGLA03G0023400 transcript:ORGLA03G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPEMEVDARSGYCAATRTFRSRRADVPLPADPEVDVVSFLASRRHSGVVALVDAATGRRITFTELWRAVAGAASALAAHPVSLRKGHVALILSPNSVHFPVAALAAMSLGAVLTTANPLNTPAEIAKQVADARPVLAFTTRELLPKLPRAHDLRVVLLESARLPGDSSDPRIVATIEEISATTPDPARRKDRVTQDDPATLLYSSGTTGPSKGVVATHRSLISMVQIIMTRFRLEGSDKTETFLCTVPMFHVYGLVAFATGLLGCGATVVVLSKYELPEMLRSINAYGVTYLPLVPPILVAMVAHPKPLPLGQMRKVLSGGAPLGKELIEGFREKYPQVEILQGYGLTESTAIGASTDSAEESRRYGTAGLLSPNTEAKIVDPDSGEALPVNRTGELWIRGPYVMKGYFKNAEATQSTLTPDGWLKTGDLCYIDEDGYLFVVDRLKELIKYKGYQVPPAELEALLLTHPEVTDVAVIPFPDREVGQFPMAYIVRKKGSNLSEREVMEFVAKQVAPYKKVRKVAFVTDIPKNASGKILRKDLIKLATSKL >ORGLA03G0023300.1 pep chromosome:AGI1.1:3:1693480:1694646:-1 gene:ORGLA03G0023300 transcript:ORGLA03G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast signal recognition particle component (CAO) [Source:Projected from Arabidopsis thaliana (AT2G47450) TAIR;Acc:AT2G47450] MEAVLRHPSLSRLKPPNPNAQRTPALSITVPFRLRLPHRRLTAAAVFQDQTNPRNPASKGGDDDEAYGEVDRIVSSRTIKNPVFAEDGSATTVTATEYLVEWKDGHEPSWIPAEAIAADVVAEYETPWWTAAKKADAAEITALLADETLRRDPDAEDAQGRTAMHFAAGLGSEECVRALAEAGADVGRPERAGGGLTPLHIAVGYGRPAAVRALLELGAEPEAPDGQGRTPLELVQDVLAKTPKGNPATFERRLALEAAAKELEKAVYEWGEVEKVVDGRGEGKWREYLVEWRDGGDREWVRAAWVAEDLVKDFDAGLEYAVAEAVVDKREAAEGEGKWEYLVKWVDIEEATWEPAENVDAELLQEFEQRQSGVAAGGDAPPPPPVAG >ORGLA03G0023200.1 pep chromosome:AGI1.1:3:1691248:1692299:1 gene:ORGLA03G0023200 transcript:ORGLA03G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNMGVNTKAEAARERRSAAEADRRDRDARAKEEAYWQAADGPKSRSARRREEDAEKRAEAAARRAENRRLAELEQQQLAAAARRPDRKAARVGGPVVPKVTEAELLRRREEERIRLEREAEAAKKRQARTAEEEEYERVVLVANTNRDDSIIEARSVEDAIVKMSIAAEPALPPDRHPERRLKVSYKAFEEAELAKLKEEKPGLTLHQYKDMIWKLWKKSPDNPLNQVQP >ORGLA03G0023100.1 pep chromosome:AGI1.1:3:1686060:1688608:1 gene:ORGLA03G0023100 transcript:ORGLA03G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase (quinone)s [Source:Projected from Arabidopsis thaliana (AT4G35760) TAIR;Acc:AT4G35760] MATISATLSISFLPSSIRFAVATTSTCTTSSSSWRIKRPARFRCCAEPSSPEQENPSTPAPPPVKPPASPSSLWGISTSTWSAGVAGLGFLETAYLSYIKLTGSEAFCPVSGGGCGDVLQSDYSVVFGIPLPLLGLVAYGLVLTLSLQENGKKFLPGIDDLDIRLTLLLISTSMATASSYFLYILNTRFIGTSCSYCLLSAFLSFTLFSIRVKDLGLERVQKFVGLQLSVAIIVALALTNSYSSATTQLNGTDDFVLERYDTEITTESTPFAIALAKHLHSVGAKMYGAFWCSHCNEQKQIFGREATKILDYVECFPNGAGKGKKMAPECAAAGIEGFPTWIINGKVLGGDQELPVLAEESGFTVEGTEQS >ORGLA03G0023000.1 pep chromosome:AGI1.1:3:1680738:1685818:-1 gene:ORGLA03G0023000 transcript:ORGLA03G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide adenylyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G17580) TAIR;Acc:AT2G17580] MTTPRRRADPSPPPARRLLHRLRSAASGLQTLAYSSKKEGGGGDMGPRNGGSSSNRRPGFVDSSSWRYFDSRVVGITRGDIPRHAWTVLHMLKRKGFAAYLVGGCVRDLLLKRAPKDFDVITTASLQQIKKMVFQRCIIIGKRFPICQVNMYGTKIEVSSFSTNANHVKGSKNIGCPEEFKRYDEGDILLWQNSMKRDFTINSLFFNPFNFKIYDYVNGVRDISKNKVSTVIPARVSFKEDPARILRGLRIAARLGFEFSSETSAAIRELSLSITDIDKARLMMELNYLLSYGAAASSLRLLRKYGLLDFLLPFQAAYMSDQMKDKSNDTDLMLMKLLANLDKLLSADRPCPSCLWLALLTFHSALVNSPHDAQVIRAFAALMYFGSWEGAVNFLNQDIGAPAPFIPETLGPSRSKLENLMEQTSHLASLVKSSVDMLTSIDALQQSLAKYSKASQVSGLVLVSSRERERVLRIFEGLDTDLTSYEGTRGMQEIDYKLLKDGHPGEVRFVLGQVIMDTMSEELPRVSTEYDQLNMEASEDDLADGSRPSLSTLFSSKS >ORGLA03G0022900.1 pep chromosome:AGI1.1:3:1673430:1677745:-1 gene:ORGLA03G0022900 transcript:ORGLA03G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGEEAAAAAAAATLHIRCTNGSKLAVRADLGLSVGAFKAIVAESCDVPAPQQRLIYKGRILKDEQTLASYGVETDHTIHMVRGAAPPPASTAPPAANNVTPAINATTASNSPAVGFGGLLHGLGGSGSANSGGLGSFGSGLPELSQMQQQLSENPTLMREIMNMPLMQNILNSPDLIRNIIMNNPQMREIVDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGEGDRSSNPFSALLGNHGSNQARDPAANSPTTTSESTTGSPAPNTNPLPNPWSTTAGAAQGATRPSPVTNARSATAGGLGGLSSTDLGGMLGGGSDTSFLSQVLQNPTMMQMMQNIMSNPQSMNQLLNINPNVRNMMESNTQLREMFQNPEFVRQLTSPETLQQLISFQQSLMSQLGQQQAGPERTQSGAGAGNTNLNNLMSMFSGLGAGGGLGVPSAPNVPPEELYATQLAQLQEMGFFDTQENIRALIATAGNVHAAVERLLGNIG >ORGLA03G0022800.1 pep chromosome:AGI1.1:3:1669474:1672124:-1 gene:ORGLA03G0022800 transcript:ORGLA03G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase [Source:UniProtKB/TrEMBL;Acc:I1P782] MDPYKCAQHRPSSSFNGPLWSTNSGAPVWNNNNSLTVGSRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDITHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAIKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHSLKPNPKSHVQENWRILDFFSHHPESLHMFTFLFDDIGIPADYRHMDGSGVNTYTLVNRAGKSHYVKFHWKPTCGVKSLLDDEAVTVGGTNHSHATQDLYDSIAAGNFPEWKLFIQTIDPDHEDRFDFDPLDVTKTWPEDIVPLQPVGRMVLNRNIDNFFSENEQLAFCPGIIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLLLPPNAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRYDPAKHAPRYPIPSATLTGRREKVVIAKENNFKQPGERYRSWDPARQDRFIKRWIDALSDPRLTHEIRSIWLSYWSQVADRSLGQKLASRLSAKPSM >ORGLA03G0022700.1 pep chromosome:AGI1.1:3:1659141:1662663:-1 gene:ORGLA03G0022700 transcript:ORGLA03G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKPSPPPPPRSDEEEDGLMGCGMGGTGNIAGGDLDLMEEFLLATPGLDLSEFWHPGAASPFSPLFDIGSSVTTLTTPAPAAGEDDRDEAEMPSRGGGGLEVSPAHRGWTFQTAPQEVAVEPTVKERLRRALERIASQSQSQAQRGDGELLVQVWVPTRIGDRQVLTTCGQPFWLDRRNQRLASYRTVSMKYQFSADESARADLGLPGRVFVGRVPEWTPDVRYFSTEEYPRVQHAQYFDIRGSVALPVFEPRSRACLGVVELVMTTQKVNYSAEIENICNALKEVDLRSSDVSSDPRSKVVDASYRAIIPEIMDVLRAVCDTHNLPLAQTWIPCICQAKRGSRHSDESYKHCVSTVDEACYVRDRSVLGFHQACSEHHLFRGEGVVGRAFGTNEPCFSPDITTYSKTQYPLSHHAKLFGLRAAVAIRLRSVKTGSLDFVLEFFLPMKCINTEEQRAMLNSLSNTIQQVCYTLRVVKPKELVNDGPLEISQPTRPEFYAKSVHENLDELCSGINVPGRTTSLEASEEVSSWIASLVDAQNKGGKGEIDVDLPFGFSKQDDEGFSVTAGWHTSPVMAPDGSMFSGFKCHEDYDVKENTCSSDPSNSNSDKAVEKRRTKTEKTVSLQDLRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGPEGTVQLSSLYENFTKTTWSERELQGDVHFPASEQNFQLEPSVPDRPCEGRFTSHTSGSNSISPSCSQSSNSSLGCSSVPKTQQQHGSAPQLAVKEEISMDENQCSTLIKSASHAEAELQMFVEERPTMLFRSQSQVLLSEHKPIENLSNMQKARSDSLKIKAIYGEERCIFRLQPSWGFQRLKEEIVKRFGISQDTHVDLKYLDDESEWVLLTCDADLLECIDVYKSSSNQTVRILVNPSIQPLLNASFGQTGLS >ORGLA03G0022600.1 pep chromosome:AGI1.1:3:1655284:1657547:1 gene:ORGLA03G0022600 transcript:ORGLA03G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRLCCHDAADDEKPAAAAVSGGGGGGREEYRRWPIAAESGGGETGRVLDTPRLRVFTLAELRAVTRGFKPEMVLGEGGFGTVYKGWADERTLNPAKSSAGVVVAVKKLNPESVQGLHEWQSEVNFLGRLVHPNLVKLLGYCKEERELLLVYEFMPKGSLENHLFRRGAFDPLSWSLRLKIAIGAARGLAFLHSSERQIIYRDFKASNILLDVDYTPKLSDFGLAKNGPVAGRSHVTTRIIGTYGYAAPEYVATGHLYVKSDVYCFGVVLLELLTGLRAHDLNRPSHQQNLVEWARPYIAGGKKLAGLMDPRLAGDYPAKAAARAAKLADKCLCGDPKSRPSMDDVVVALQEIESVGTARPPAAKLPPRAPPPAAHRSPHRNPYSKPR >ORGLA03G0022500.1 pep chromosome:AGI1.1:3:1648488:1652294:1 gene:ORGLA03G0022500 transcript:ORGLA03G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGCFSPEVDDDLKPSKPNYHSSDESSGADARRKVAPLATDGGNGYAHSFTFKDLSVATGYFNEANFIGEGGFGKVYKGKINGQMVAVKQLTRDGVQGRNEFLVEVLMLTVLNHPHLVSLVGFCAQGDERLLVYEYMPFGSLESHLFDVPLGKQPLDWNTRMRIAVGVAEGLSYLHNVADPPIIYRDMKAANILLDEDYRPKLSDFGLAKVGPVGDRTHVSTRVMGTYGYCAPDYVVSGKLTMKSDIYSFGVLLLELITGRRIYDASRPKPEQSLLTWSRPFLHDKRKFYRLADPALHGCYPTSALNQLVVISIMCLQDQPHVRPIISDVVIGLNHVASQPYVPERSSVSLSSPARCGSPQYAGTPSRRRGGRRVAQYA >ORGLA03G0022400.1 pep chromosome:AGI1.1:3:1636087:1640003:1 gene:ORGLA03G0022400 transcript:ORGLA03G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTIREKLLNDSYTTLEQFENDVFLLTSNAMSYNSDDTVYYRQARSIEALAKKDFENLRQASEPEEEQQPKTVPRRGRPPKYAKKIEKTENDVSPDLSNAKTKSADHAETIRKRLTGDRTRNANITTRDSPFLQHNTPGSFAGKRTDRFGDYSGPSKYGKKTTPTISDDERRSTYDQQYFHSSPLFSALDGERKVLVPVGLQQQHAYARSLARFAAKFGPVGWDIAAKRIRRLLPSGTNFGPGWVVDGEPPENSQWPRVPMLSDPSIQSTGVPASNVISKNDESNQKSGLTSNEDSGEEHLARTEPVASTSACVNTNSVSATKLATKCENGANVSCDGVGSTGQTPPLQQHSHSREIHSNMNGFTAVPNTISQYAGQGFLGQMQLTHAQVLGMFSGVNGRTNGFIHGHPLVANSIKAPQNGDVGKVATNPSPDAGHDSEAALSQTMTSSAPSLSAGVQPSGSMPSGKLANPKKHPDLALQL >ORGLA03G0022300.1 pep chromosome:AGI1.1:3:1629215:1631369:-1 gene:ORGLA03G0022300 transcript:ORGLA03G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:Projected from Arabidopsis thaliana (AT5G66005) TAIR;Acc:AT5G66005] MAAAPSRCLLVTGPPGVGKTTLVTRVFETLRESHPHLNIRGFYTREVRESGERVGFEVVTLDGRTGPLASSKVSSRESVRWPTVGRYKVDIASLESLALPELQVKDDTDLFIIDEVGKMELFSSAFFPAVMRVIESNIPVLATIPVPRLGRDIPGVARLRNHPGAVIYTLNTGNRDAMREGVYNHLSSLLQKR >ORGLA03G0022200.1 pep chromosome:AGI1.1:3:1620572:1624252:-1 gene:ORGLA03G0022200 transcript:ORGLA03G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYLDFSHASTSRKWSHKRQGEGTVIHGFEAPRNSMEFTLEAPQSYGVFQEDVPYSCNMRQQYPKAGLNHSSSPIKRIIHEDVSFRTNEVQKRPSVIARLMGMDSPPMSTTAGELAAGHTEEKRQDMITSTRPMPRRDPSEMVSTKHVSFVQHKGSMKHSPKQAEVCAYDDSMELFGQLSKAISSSEWAKPQPREHPQEEELQKFKKDFEAWQASRMWEQSRALELESHLDDDDDDDVRCTDIVPYRFQHRGKDNAGKKHTHSNGDAHWRRSKESGAGTGTSISGSRTFSLTSADASSTRLPLSRFYYEEERLLSPKKIVILKPCPEMSTDDIEESSLGSPEMVKKENNMEAFLEEVKKRLKVELEGRMASDDRAADRWAAAGDIPADPKQIARSIANQIRETVTKDLHPALLRSESTRSYRSDVPLNGQSQMDYICRDARKHLSDRLKNVLRREPETEPPALSHRRRTASASFNEELRPKPRHEVARKGKIRSKEEKKHAIESDVRSFRRGHHKASPTPAIDSDPVSPRNLIRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGSRPPPPSSEERKGRKDTFNIKGRVSNLRQNLGLRAKLFGKKLHSADESPFPDDLPPIGTLVTHPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAARDMSSSASEPEHPSSEQAQTDQELAETSPIQDDDDDDTDEIDNPIKAYIRAILVIAGLYGQRRSSDQLFSDREVKPIPAWVFEEVESSSSSAPATTDCDAAATGVDHRLLFDLINESLPRVVQASTTLCAFSRWYGAAPRRSPGGKRLLDGLWNTVQAWLAPPPPTDSPNSVDELIGRDLSMSPWNGPFREDVGAAGAEMEAEILDELVDETLWDVLLNVGD >ORGLA03G0022100.1 pep chromosome:AGI1.1:3:1616283:1617098:-1 gene:ORGLA03G0022100 transcript:ORGLA03G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPTRYISLHDVVAFDFVDGDVPFDDLVDGEGLCCPDDPFEEVMRCLSAVDDPFLAAFKLDCSPPTPAADADVDSRSEEHMHADVGGGLDLQRAVGGGDEKAGTPSTIDDVPWLQASAVARKPRRAPAAVRKRVWSLVSPQLATAAAAAVDNSRDEVSSGGGGGGEGGEHCSRPAKRRRKCGEEKRCGHCQTTETPQWRVGPDGPSTLCNACGIRYRMDHLLPEYRPSTSPGFGSDGYSNRHSKVVKLREKKRKKAMLAATATALTSGPV >ORGLA03G0022000.1 pep chromosome:AGI1.1:3:1603551:1610731:-1 gene:ORGLA03G0022000 transcript:ORGLA03G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:Projected from Arabidopsis thaliana (AT1G20760) TAIR;Acc:AT1G20760] MAGMEAFEAYFRRADLNQDGRISGQEAVAFFQGVNLPQQVLAQVWMHADKNKTGFLGRPEFFNALRLVTVAQSGRQLTPDIVQSALYGPAAARIPAPKIAGGGQAPPQMAAAGAPRPQVNAAVSPAPGQAGAPQPQMNVAGAPRPQGSGMMPGSAQIGGSQQVNAGAVPRPQGVNSMMPAASQGGALQPTQFATQRGMQSQPPSMGFNQQPPPSSTGFMRPTQPGAPAASLQGQAPGINQVPLGGGSMGAPAGWRGGNVGSVGGLPQATPGATAPQQATPGGFGLTLSSTMGMAPGQQAQGTPPSSMPPQSNSAVSAQDSKALVLSGNGSASGLGASNDIFSALTQPKSNVSTLSFPTSMAPNSSSFMSTPSGSQNLSNLAQFGSQQGIPTVSSGGSQPQQTHSITKPSVPAPTVSGVSAGVSNSASQWPKVTQSDIQKYTKVFGDVDRDRDGKITGAEARTLFLSWRLPREILKQVWDLSDQDNDGMLSLREFCIALYLMERHRAGTPLPPALPDSLKYDEVLLRATGLPSTAYNGPSWQQNQGLPHKGPGAAGMPATGVRQPLPPHLQAQMDGANRPGQPRPHMPGMDNHAAPQANKDDGSGANSAVQEDAPKKVEVEKQVLDSREKLEYYRTKMQDLVLYKSRCDNRLNEITERASSDKREVESLAKKYEEKYKQVAELASKLAVEEHAFRDVQERKVELHDALVKMVQGGSVDGLLQVRADRIQYQLEEMEKAFSERCKHFGLQFKPSASVELPFGWEPGKQEGAIEWDEDWDKFEDEGFGFVKDNGTIVENPVASENVKVPSLWNDMDESPVASSNGHIKAERHYRAGDHAAESDLGYDFGEESVRSPSSAGRSASGSPFVSLNFAMHDSSPSKKETYSDHGGSESIFGDKYADETSWNFDDQDTESVWGSNAMNNESDHHGSAHNSFFGSDDFGVNPVRVGSPSGASTYGKKKSSFFDDSVPSSPAYTSGFSPKFSESRDDSSSYNFGRFDSFRSQESGFFPQESRFSRFDSINNSKGENVTGFDSPKSSTNFGRFDSFDDADPFGSSGPFKASGSRSPPKF >ORGLA03G0021900.1 pep chromosome:AGI1.1:3:1599633:1602420:1 gene:ORGLA03G0021900 transcript:ORGLA03G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQRLLRASASGGAAAAAAAARRRMSTAVAPEQTPAAAAFPFAAAAGRARQRVAEERNVQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRDELASSGPLSVQLAEIVNQGKLVSDEIIINLLSKRLKKGEEQGESGFILDGFPRTVKQAEILDGVTDIDMVVNLKLREDVLVEKCLGRRICGQCGKNFNLACIDVKGENGLPPIYMAPLLPPNNCMSKLITRADDTEEVVRNRLQIYNDMSQPVEGFYRQQGKLLEFDLPGGIPESWPKLLHVLNLEDQEEMKLATA >ORGLA03G0021800.1 pep chromosome:AGI1.1:3:1597592:1597917:1 gene:ORGLA03G0021800 transcript:ORGLA03G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRKVFSAMLLMVLLLAATGEMGGPVMVAEARTCESQSHRFKGPCARKANCASVCNTEGFPDGYCHGVRRRCMCTKPCP >ORGLA03G0021700.1 pep chromosome:AGI1.1:3:1589171:1591041:1 gene:ORGLA03G0021700 transcript:ORGLA03G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLPKRPANYVPLSPVGFLPRANAVYGDRTSVIYGRVRFTWSQTYARCRRLASSLLSLGVRNHDVVSVLAPNVPALYEMHFAVPMAGAVLNTINTRLDARAVAGILRHSEAKVFFVDYQYVRLASDALQLVADEGRHVPLVAVIDDIDVPTGVRLGELEYEGLVARGDPAAELPSLADEWDAVTLNYTSGTTSAPKGVVYSHRGAYLSTMSLLMSWVVGDEPVYLWTLPMFHCNGWTFTWGMAARGGVNVCIRDARAADIYRAIARHGVTHLCCAPVVFNILLEGGEAAAKQLAAPVHVLTGGAPPPAALLERVERIGFRVTHAYGLTEATGPALACEWRAQWDRLPLPERARLKSRQGVSVLSLADADVKDAKTMASVPRDGKTVGEIVLRGSSIMKGYLNNPEANSDAFKGEWFLTGDVGVVHADGYIEIKDRSKDVIISGGENICSKEVEEVLFQHPAVADAAVVAMPHPHWGETPCAFVVARDKAAGVCEDDVVAFCRKHMARFMVPKKVVVYDAIPRNGNGKVEKNLLREAAKKLAPAAVPAQKTKVKTTTTTVGGRRGEHPVAHVMAVSRL >ORGLA03G0021600.1 pep chromosome:AGI1.1:3:1571939:1572271:-1 gene:ORGLA03G0021600 transcript:ORGLA03G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAGVQLGTSKPQIATQAEMSEARLPLPYRDQCAHLLIPLNKCRVAEYYLPWKCEPERHAYEKCQYELVMERMIQMQKIREAQEAKSKGAATIGVPLIPSTAKLS >ORGLA03G0021500.1 pep chromosome:AGI1.1:3:1566746:1567270:-1 gene:ORGLA03G0021500 transcript:ORGLA03G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNGVGCRGNSPHYGRWPQHDFTSLKKLRPQSVTSRIQPGSDVIVCAEMDEQWGYVGAKSRQRWLFYAYDSLRKTVVAHVFGERTMATLGRLMSLLSPFDVVIWMTDGWPLYESRLKGKLHVISKRYTQRIERHNLNLRQHLARLGRKSLSFSKSVELHDKVIGHYLNIKHYQ >ORGLA03G0021400.1 pep chromosome:AGI1.1:3:1554934:1559728:-1 gene:ORGLA03G0021400 transcript:ORGLA03G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRERNGGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQDKATPKRVLQLMGVGGLTISHVKSHLQMYRNMRNDLGMQGIQVQQVDQEHTYGGGVEVWTDMQQCDHDECDVPCCSCHSPKPRKEPLLHLQLKSSGMNIDEPGTRARIAAPNVRSCRDDAAASATETRRRRGGRPEEVDDDASASASPRISQLQLLRLRGHGIRESDMSPSTSLQCYYVRSQRTTTMPPGAAAAAAGGDGGSQCYAAPRYSSSSKLKFLGFVVTSGPPPPPPPPPRLPPPAACCCGSDDNIPFQVGTFAPHRVAPPTASCGGGGGGRAEARPSSSYRSVWFEPAAAAAASNNGVAPDGEHDDGCSLSLSLALDTGCSGAGAGAGGSLVSSTTSSSSGSRISLDLSLSTLDS >ORGLA03G0021300.1 pep chromosome:AGI1.1:3:1550756:1553520:-1 gene:ORGLA03G0021300 transcript:ORGLA03G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1P767] MATADEVGGGGAGVGAGDQVRRAKTAALFLAAVALPCLVLYRAAVSPAGLFLRPAALPAPPRGDVDPVNSEDARLERVLRAAAMANNTVILTTLNSAWAEPGSVVDVFLESFRIGDDTRWLLDHLVMVSLDLTAHRRCLQIHRHCFALTTDDGFDFSGEKNFMTDGYLKMMWRRIDFLGHVLAKGYSFIFTDTDIVWFRNPLPHLHHDGDFQIACDHFTGDPDDLSNSPNGGFAYVRSTSATAAFYRYWYAARERHPGLHDQDVLNLIKRDAYVARLGVRIRFLSTDLFAGLCEHGRNLSTVCTMHANCCVGLRRKVDDLGLMLQDWRRFMATPGSDRHSVTWSVPRNCSLEPTLAVVCTMDEMVDSNK >ORGLA03G0021200.1 pep chromosome:AGI1.1:3:1550053:1550528:1 gene:ORGLA03G0021200 transcript:ORGLA03G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SWTSLLPLSPVLASSIPAARLFCGSLQSLYYGFNRPWHQHQQRYVMTMQLCELHELECHCSGFRTTKWTLFFVGNLGVCRCKIHVSEKCRYKMYL >ORGLA03G0021100.1 pep chromosome:AGI1.1:3:1545011:1547849:1 gene:ORGLA03G0021100 transcript:ORGLA03G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1P765] MATHAALAASRIPATARLHSKAASKQNVRLQRVDFADFSGLRPGSCSISHAAREASFSDVLGSQLVARATGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHERENSPLEVVVVNDSGGVRNASHLLKYDSMLGTFKADVKIVDDQTISVDGKLIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVLGVNEGDYSHEVANIISNASCTTNCLAPFVKILDEEFGIVKGTMTTTHSYTGDQTQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPQLKGKLNGIALRVPTPNVSVVDLVINTVKTGITADDVNAAFRKAAAGPLSGILDVCDVPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGAAVQGSGDPLEDFCKDNPETDECKVYEN >ORGLA03G0021000.1 pep chromosome:AGI1.1:3:1536170:1544092:-1 gene:ORGLA03G0021000 transcript:ORGLA03G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribonuclease II family protein [Source:Projected from Arabidopsis thaliana (AT2G17510) TAIR;Acc:AT2G17510] MLQSKTFVKKTRAGRVQKVVREHYLRDDISCGAASCSTCGAVEHPLSADAAAILVVDTNVVLHQIDLLENPAIDDVVLLSVVLDEVKNKNLAVFNRIKALCSNKARRFFVFTNEHHRDTYVKEMVGESPNDRNDRAIRVATRWYQSHLGENVKVLLITNDRDNKRKAIEEGINAETVESYVRSLAQPGLLDLVVVSTSGDVTMEDVEDLRPSKKKVIYSEHKPMSEITAGLRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIVIHGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDEDDEEDVHLAPNSADDAPRKANPTQSTAASSADSVSSRPVGRIVGIIKRNWNSYCGSLEPMPMPAGSGGVAHALFVSKDRRIPKIRIQTRQLGNLLDKRIIVAVDSWDVLSRYPSGHYVRTIGDIGDKDTETEVVLIENDINTRPFSTQVLACLPPLPWTLSPEDLANPNRQDLRHVRVFSVDPPGCRDIDDALHCTPLPNGNFEVGVHIADVTNFVHPGTPLDEEASQRGTSVYLVGQRIDMLPKPLTEDVCSLRADVERLAFSVIWEMTPHADIISTRYTKSVIKSCAAMSYVEAQARMDDSRLVDPLTVDLRNLNSLAKIMRHRRCERGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANISVAEKILKHFPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDVSSSKALAESLDNAKNDDPYFNKLIRILATRCMTQAVYFCSGDLTFSEYYHYGLAASLYTHFTSPIRRYADVIVHRLLAAALEIAKLPPLFQDGPQLTGVADNLNYRHRNAQMASRASVELHTLIYFRTRPMDTEARIVKIKANGFIVFVPKFGIEGPIYLTPKGDKGGDWVVDEVHQRVTKPGTNVSYAVLQTVMIHMEVVEPQPHRPKLQLTLI >ORGLA03G0020900.1 pep chromosome:AGI1.1:3:1527931:1531243:-1 gene:ORGLA03G0020900 transcript:ORGLA03G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:I1P763] MAGGGGGRALPETPTWAVAVVCAVIVLVSVAMEHGLHKLGHWFHKREKKAMGEALEKIKAELMLLGFISLLLTVAQTPISKICIPESAANIMLPCKAGQDIVKGLKGKKDHRRRLLWYTGEEESHRRSLAGAAGEDYCAQSGKVALMSSGGMHQLHIFIFVLAVFHVTYCVITMALGRLKMKKWKKWELETNSLEYQFANDPSRFRFTHQTSFVKRHLGLSSTPGLRWIVAFFRQFFGSVTKVDYLTMRQGFINAHLSQNSKFDFHKYIKRSLEDDFKVVVGISLPLWFVAILVLFLDIQGFGTLIWISFVPLVILMLVGTKLEMVIMEMAQEIQDRATVIKGAPVVEPSNKYFWFNRPDWVLFFIHLILFQNAFQMAHFVWTLATPGLKKCFHENMGLSIMKVVVGIFIQFLCSYSTFPLYALVTQMGSNMKKTIFEEQTMKALMNWRKTAREKKKLRDADEFLAQMSGDTTPSRGASATPSRGSSPVHLLHKQRVRSEDPPSAPASPGFAGEARDMYPVPVAPVVRPHGFNRMDPDKRRAASSSAIQVDIADSDFSFSVQR >ORGLA03G0020800.1 pep chromosome:AGI1.1:3:1525072:1527367:1 gene:ORGLA03G0020800 transcript:ORGLA03G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: GPI anchor biosynthetic process; LOCATED IN: integral to membrane, endoplasmic reticulum membrane; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN /.../I biosynthesis protein Pig-F (InterPro:IPR009580); Has 280 Blast hits to 280 proteins in 133 species: Archae - 0; Bacteria - 0; Metazoa - 113; Fungi - 111; Plants - 44; Viruses - 0; Other Eukaryotes - 12 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G16040) TAIR;Acc:AT1G16040] MGDEATQVSASSAVAVHALCFAGIVAAHQLSGRGMLVSNPAYALRLLVVFEAPLVIAVFSLLRRNPKRCSFLKAAARGLLGLPIGAFLNAFGAIVLGAPIGIKYWAATTYWSLLMSLFTFVPAACVFGASKVNWQAVLSHSIYCGSTDSVDYMISAPAHGAVIGAWLGAWPMPLDWERPWQEWPISVTYGSVAGHLIGMAISLALVVTHKRRGRAKAD >ORGLA03G0020700.1 pep chromosome:AGI1.1:3:1514667:1520613:1 gene:ORGLA03G0020700 transcript:ORGLA03G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLGAWKNTRQQYSPSSPISLGSSVFSESSPELSTTIADGSTANAVLAAIVASIGNLLQGWDNAAIAGAIMYIKNEFNLQNDPMMEGLILAMSLIGATIITALSGMITNSIGKRPLLSVAAILYSISALIMFQASNEYMLLLARLIYGFGSGLVVTYAPLYISETAPTNMRGLLNTLPQFNGSLGMLLSYIMVFLMSLTLNPNWRIMLGSLSIPSFVFLLLCIFYLPESPVFLVSKGKIEEAKNVMKRLRGTNEVSSEIAFLIQGLTVDQDNYIEDYMIGHNNDEFDDQSISNTETTKLYGHEGVTWFARPFKGKNVVESDHSPIPNLLDPIVTLFDSIHGNILNTPEFTSSGNMPNDIEQPKTDLESQEDLDTDYEDDLGHPLLFHQGSYMEGIDDGCVNGGWHMAWKFVQRENEFGQTQDDFQQIFLQGDILQAGRVSHATALVSTPSFHHSIGPAMVHPSKFNLSTEGQSWSDLLQPGVKQGLIVGVTIQILQQLAGISGILYYTPQILEQAGAGILLKWFNVSSSSSSILTSAITTFMMLPSIGIAMKCMDRYGRRSLLLYTIPMLIVSLIILIVVNVMNLEAIFGAILSTFGVIIYVCCFVMGFGPIPNVLCSELFPPSCRNRCMSICTLTFWIVSIIVTYAFPIMLSSIGLIGVCGIYAVVCIVSFIFVLIKVPETKGMPLAVIANSLAVGARLSVKRNENI >ORGLA03G0020600.1 pep chromosome:AGI1.1:3:1512877:1514239:-1 gene:ORGLA03G0020600 transcript:ORGLA03G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHLLSGQRLLLPIPCGACYRSPPSPAIKPSPLGLRPFTKSSSSLPLHLHAVSPATVATDGSSTSAQPDSWAEFASRVSGEWDGFGAEFTAAGDAVELPEYVVPEAYRDWGVQVFDWQTQCPTLADPAAPCDLHYRLVRLLPTVGCEADAATVHTSHQRHASSASAFAYSAAGSYVAAWPRGPAPVLEVEHCVVHPDNREVRVRLVQTVALAKEARLRGVKVFSEQWYGPYRNGDQLGGCALREAAFAAGEKLAASDVTGQWQSDAAFAARFSGELDPETGKFAGLTPDEPGERLSRDDGDGIVTLPKQLWSSFKENGEDEFVCEVGWVLGHGSAITSRCVLSRDGDVKEIVVSRESRVSEGT >ORGLA03G0020500.1 pep chromosome:AGI1.1:3:1508561:1512041:1 gene:ORGLA03G0020500 transcript:ORGLA03G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCQNGTLGSDYHNRFPREHAVGYVQGDSYLDLKKFDDTWPEVNNFKPTAASILRRGLDPTSINVLGRKTADLREHYIIGRKLGQGQFGTTYLCTEINTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCAGGELFDRIQEKGHYSERKAAELIRIIVSIVAMCHSLGVMHRDLKPENFLLLDKDDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYVAPEVLHKRYGPESDVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFQSDPWPKISDSAKDLIRKMLSHCPSERLKAHEVLRHPWICENGVATDQALDPSVISRLKQFSAMNKLKKLALRVIAERLSEEEIAGLREMFKAVDTKNRGVITFGELREGLRRFGAEFKDTEIGDIMEAAHNDNNVTIHYEEFIAATLPLNKIEREEHLLAAFTYFDKDGSGYITVDKLQRACGEHNMEDSLLEEIISEVDQNNDGQIDYAEFVAMMQGSNVGLGWQTMESSLNVHSLCWLFFQLPVLRNESRHVCVTLISMLAFL >ORGLA03G0020400.1 pep chromosome:AGI1.1:3:1495173:1498600:-1 gene:ORGLA03G0020400 transcript:ORGLA03G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit [Source:Projected from Arabidopsis thaliana (AT2G42120) TAIR;Acc:AT2G42120] MERKQAEYSNLDERYAIQGEKYQGQQYSHIYFTRLHHMRNLLHALVPSWKPHLPVTTVLGLEEGKDCIIVGTLYKHMKLKPSILDEYSKERSAIPLVKPHNFMHPDDHLILEDESGRVTLAGAIPPAAYVTGVVIALHGKETSAGNFLVEDILEAGIPPQITLPSINEDKYVVFVSGLSIGSEKFNPLQFQLLIDHITGHLGDENEQSIASNIVRVVVAGNSVHISPRFFNGQAVASKDQSRIAEPIKELDIMLTQLVASLPVDMMPGSNDPANFSLPQQPLHRCLFAGAATYNTFSSCSNPHQFELDSVRFIGTSGQNIDDLYKYSDAKDKLEFVERTLRWRHLAPTAPNSLGCYPYTDKDPFLVESCPHVYFVGNQDKYETQLLQGPEKQKVRLICIPRFCDSGVAVMLNLRNLECSTLSFSTSFDA >ORGLA03G0020300.1 pep chromosome:AGI1.1:3:1492417:1493913:-1 gene:ORGLA03G0020300 transcript:ORGLA03G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFPHLASTLPLLRAVRTPRRLPPAVSAVPPRAARVVLRGFRLPDPAARKFLCFEDSIGLQTEHQKPDSTSTGAKQNSSSDDNSSSTDGPPVLTILAGIIVFLLVLWVIGSLFTWIAGLVFGAAKS >ORGLA03G0020200.1 pep chromosome:AGI1.1:3:1490729:1491297:-1 gene:ORGLA03G0020200 transcript:ORGLA03G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAQGGGGAVSVQHVARASSDELLRKFADPDAHAKQITPPRRSLALRRKRSSRRVASGLSARDLESGAELAAPKRRRSIGGSTEWKAGLLLPTTSTASARKGSAASHSRRGGAARLDDAAGIGLLLAALERTWRKTVAGASKMFVERHRTNHVLLISDMV >ORGLA03G0020100.1 pep chromosome:AGI1.1:3:1465911:1484434:-1 gene:ORGLA03G0020100 transcript:ORGLA03G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRTLSRGGPMQPPGQRRILRTQTAVNLGEQIFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENEPTLRGRARKSDAREIQAFYQHYYKKYIQALQNVSDQVDRAQLTKAYQTANVLFEVLKAVTQQHSVEVDHEILEAADKVKEKTKIYLPFNILPLDPDSGNQAVMKFPETDNVSNQREHLILLLANVHIRRNPKTDPQSKLDDNALNEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFEMYGMLVGNVSALTGEYVKPAYGGEKEAFLKKVVTPIYLTIAKEAERSKREKGNHSEWRNYDDLNEYFWSAECFRLGWPMRADADFFCQHLNSPDQRNETTRTEKQKGKVNFVELRSFWHIFRSFDRMWSFFILALQVMVILAWNGGSLGNIFDPVVFKKILSIFITSAILNLGQATLDIIFNWKARRTMEFAVKLRYVLKFTLAALWVVLLPVTYAYTWENPTGIIKAIKGWFGNGQNHPSLFVLAVVIYLSPSLLAAILFLLPFLRRILESSDYKFVRFVMWWSQPRLFVGRGMHESAFSLFMYTMFWIALLLIKFAFSYYVEIKPLVEPTKDIMKLPIHTFQWHEFFPKANGNIGVVIALWAPIILVYFMDTQIWYTIFSTLLGGIYGAFQRLGEIRTLGMLRSRFGSIPLAFNACLIPAEESDAKRKKGLKSYLHSRFERKHTDKEKIAARFAQMWNEIITSFREEDLINNKEKELLLVPYVADQALEIMQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRLENDYYFKCAIEECYASFKNIIKDLVQGEPEKRVINTIFAEVEKYIADDKVITDLNMHALPDLYNKFVELVKYLEKNDKNDRDAVIKIFQDMLEVVTRDIMEDQLSSILESSHGGSYQRPEGTTTWDQEYQLFQPAGAIKFPLQFTDAWIEKIKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMLSFSALTPYYNEPVLFSIKELQEENEDGVSTLFYLQKIYPDEWKNFQQRVEWDEELKENEDKNEELRLWASYRGQTLARTVRGMMYYRKALVLEAFLDMAKHEDLMEGYKAVESTDEQWKLQRSLFAQCEAVADMKFTYVVSCQQYGNDKRAALPNAQDILQLMRTYPSLRVAYIDQVEDRVEEKKMEPAYYSTLVKVALTKDSESTDPVQNLDQVIYRIKLPGPAMLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTEHGVRRPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRIFHLTRGGVSKASRSINLSEDIFAGYNSTLRGGNITHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLLTQRRYIHNHPLQVALASQSLVQLGFLMALPMMMEIGLEKGFGQALSEFIMMNLQLAAVFFTFSLGTKTHYYGRMLLHGGAQYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLIIYQLFGQSYRSTIAYIFVTFSMWFLVLTWLFAPFLFNPSGFEWTKIVDDWSDWNKWISNRGGIGVSPDKSWESWWEIELEHLKYSGTIGLFVEIILSLRFFIYQYGLVYHLNITGDKSILVYLISWLVILVVLLVMKTVSVGRRRFSADFQLFFRLIKFMIFVSFIAILIVLIAILHMTLRDIFVCFLAFLPSGWGILLIAQACKPLARRAGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERERSSRNKD >ORGLA03G0020000.1 pep chromosome:AGI1.1:3:1462836:1464041:1 gene:ORGLA03G0020000 transcript:ORGLA03G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQRLVAAVVVLVACLALPAARGLNITAMLNGYPDYKMFNKYLSETKVCDEINARESITLLVLGDGPMSTLVLDADQSLAGIKNALRLHAILDYFDPKKIRGLPDADTMTDTLYQAGGDAAGKMGNVKISTLDTGKIAFASANPGGKYEATMVKAIKQMPYKLSIMEISAPIEFDGLFDTPSATNLTRLLEKAGCKRFASLITSTGVLKTFEDAMDKGLTLFAPNDDAFDAKGAPDVKKMPSADLVTLLKYHALPSYNPKPTLKTVSRAMRTLASTASGKYNITVDTRGDAVTLNTGVDKSRVAATVIDDTPVCVLTVDNLLMPVELFGDAPAAAPSPDDAAPAPSPSVADASPPAPPPADAPSKPADHKEMKASSAVALRSVVLGALATAVCSFVLL >ORGLA03G0019900.1 pep chromosome:AGI1.1:3:1451689:1454585:-1 gene:ORGLA03G0019900 transcript:ORGLA03G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAKLLLVLSVFITVAYMMTWSFVPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYLGLSLELGSFLAGVMISTTDFAHHTLEQVEPIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKSVVVTVVVKAFGYSIRTAFVVGLSLAQIGEFAFVLLSRASHLHLVGGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPSESSMQNEDKATMLEAYNRSL >ORGLA03G0019800.1 pep chromosome:AGI1.1:3:1445377:1448140:1 gene:ORGLA03G0019800 transcript:ORGLA03G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTRSLAAAAAVLVVVVVLHWVGVVDAATAAERGILLEFKAAVTDPNGALASWTAGGDPCVDFAGVTCDPSSRAVQRLRVHGAGIAGKLTPSLARLASLESVSLFGNGLSGGIPSSFSALGPTLHKLNLSRNALSGEIPPFLGAFPWLRLLDLSYNAFSGEIPASLFDPCLRLRYVSLAHNALTGPVPTAITNCSRLAGFDFSYNRLSGELPDQLCAPPEISYISVRSNSLSGAIAGKLNACRSIDLLDVGSNHFAGPAPFGLLGLVNITYFNVSSNAFDGEIPNIATCGTKFSYFDASGNRLTGPVPESVANCRSLRVLDLGTNALAGDIPPSIGKLRSLSVLRLAGNAGIAGSIPAELGGIEMLVTLDLAGLALIGDIPVSLSQCQFLLELNLSGNQLQGVIPDTLNNLTYLKLLDLHRNHLVGGIPVTLAQLTNLDLLDLSENQLTGPIPSELGNLSNLTHFNVSYNGLSGMIPASPVLQSFGSSAFMGNPLLCGPPLNNLCGASRRAKRLAVSVIVVIVAAALILIGVCIVCAMNIKAYMRRSKEEQEGKEEDEVLESESTPMLASPGRQGSNAIIGKLVLFSKSLPSRYEDWEAGTKALLDKDCLVGGGSVGTVYKATFENGLSIAVKKLETLGRVRSQDEFEQEMGQLGNLSHPNLVAFQGYYWSSSTQLILSEFMVNGSLYDHLHGSPHTFSGSSSGVGLSWEQRFKVALGTARALAYLHHDCRPQVLHLNIKSSNIMLDKDFEAKLSDYGFGKLLPILGSYELSRLHAAIGYIAPELASPSLRYSDKSDVFSFGVVLLEIVTGRKPVESPGVATAVVLRDYVRAILEDGTVSDCFDRSMKGFVEAELVQVLKLGLVCTSNTPSARPNMAEVVQYLESVRTNS >ORGLA03G0019700.1 pep chromosome:AGI1.1:3:1437495:1442206:-1 gene:ORGLA03G0019700 transcript:ORGLA03G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G45460) TAIR;Acc:AT2G45460] MAALDPPSELLVTPKTARKDEAASCNAATPPKPSPVSPEEMRAVARKFAEQPVQNPDDGVWAVLTAISKNARLRPEGMNILLSADEHYIGRAVQESSFKISSLQISGKHCKIYRDTVLGELNRNEPVPVFLKDSSSNGTFINWTRLKKTSPPTKLNHGDIISFVSAPHDNTSFAFVYREVNAVSRAENEVTILKRKSEDIHSERKRLKGLGIGSSDGPVSLDDVRRLEKSNAELREQLEEHVVTIETLRTQIKISEAQHEKELKELKEITSSTYVDQAKSLQQTLEDKQKQIDSLSTSNTELQNSIKDLDERLSAYKQSRAEADEIIQSQKSNICELEAQLSEERDLRREERDKAAEDLKSALHKVNAEAQEEIKRQAEAHLRQQREQKEVISKLQESEKEIRLLVETLRSKLEDTRENLVTSEKKARELEAQLQDEQLVSANNQKKSDKLEMDLRKVKKELEHEKAAREEAWAKVSALELEIAATIRDLSIEKQRYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYENTIMGDDLNKVPLATVTADDARTRVNYSKNTMEASGASTENTQASEQSSSDDSKETEQQDDFTRVEGANTQEVECNSPEMATERFRSDSHGDLAATAPELEPTDTEQVPETESQAGNVGCGDHNSALQRFSEMGGDTMQLDDEVQPQENDESILICKDRGQPQGNEEASLTLKDGIGHYSEEKLEVNCSERKHEDTQTRTIGTADLLASEVAGSWAVETGPSVNGENESPRSLGETTDHAGEQDENVRGSSAADALVNSDGQAAGSQSNIDHVISKITDHHRVLNAMIEIVDPDFRKQLPGSGVGKDDLMSDAETEEGSEANDTDSDSEEAMVEDSVG >ORGLA03G0019600.1 pep chromosome:AGI1.1:3:1434589:1436982:1 gene:ORGLA03G0019600 transcript:ORGLA03G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGIGDALMQPQHVQVMSSSLPMVASTFVAEPAAAAANKPRAAGLPPTPPQVFAAQRAAAAAGGDVCMEESAQGGGGGLPPRKAHRRSSSDVPFGYLAGQHQLLPPKVEAGWGHLGAGAGGAAAADDLFNAYLNLEGLDGLNSSDDRHDEGDSRGSSIKTNGADSSENESEECADDTRGGIRLWSADGGERREGVKRNAAGEPATAPLARHARSLSMDSLIGKFNFTAGTAAAAGNGVALGPNRFSLEFGSGEFTPSEMKKIMADEKLAEMALADPKRVKRVLANRQSAARSKERKMRYIAELEQKVQILQSEATNLSAQLTMMQRDSAGLATQNNELKFRLHAMEQQAQLRDALNEALTTEVQRLKLATAELGDSCSSSSLAQQIQLNAQNQMFQLQQQQATQIPFYQLQQSQQNGAAKNNESKE >ORGLA03G0019500.1 pep chromosome:AGI1.1:3:1412000:1414353:-1 gene:ORGLA03G0019500 transcript:ORGLA03G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQEHCGIGYDEQSEWYFFSYKDRKYPTGTRTNRATMAGFWKATGRDKAVHDKSRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENAPPQEEGWVVCRAFKKRTAYPARSMVETWDYSLHERNIMSAAAAAAFADPSAAYAQMRRQHRSGRFKQEAELDGAATALLHYSSHLAELPQLESPSAAAAPLQPNPSQLATAGEDDDCKGDNGGRRAKKARAAGDKVATTTDWRALDKFVASQLSPGECGSMEATAEAAAAAVAGVSSPLDHGDDDMAALLFLNSDERDEVDRWTGLLGSGAGASGVDGDLGICVFDK >ORGLA03G0019400.1 pep chromosome:AGI1.1:3:1408102:1409745:-1 gene:ORGLA03G0019400 transcript:ORGLA03G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGYGWALAAGLNAALAAISAKFFAPPLLKYSMVILFNVTMWGCYVNSLKALSSLQATVTNFAANFISSGLAGYFLFEEPLPSKWFVGASLIIVGVFILSKSSIEKKLNSD >ORGLA03G0019300.1 pep chromosome:AGI1.1:3:1403870:1405685:-1 gene:ORGLA03G0019300 transcript:ORGLA03G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHERKPVGEAVDVEAGGGGGRQRAAAAAGERMRLTWSCLAVAAGVAATGVAGAAVLVWWAVAFHPAHEQLWMVPVGLVLLGTPLVAWLSLFASVQILMMCVRITLFWLSFLPPLSWDEDSGGSVRSGPDSTRILHASCMQKPPCELRVPTYGYLWAPNGKPAKPLLCFEFRRRRRCGSGALTLTIAVAIQLDYITGGGMACPGTVPFGGASTRKAAVR >ORGLA03G0019200.1 pep chromosome:AGI1.1:3:1398159:1401907:-1 gene:ORGLA03G0019200 transcript:ORGLA03G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 9 [Source:Projected from Arabidopsis thaliana (AT1G01140) TAIR;Acc:AT1G01140] MAEAEAEAAGAGAGAGPARRTTRVGRYELGKTIGEGSFAKVKVARDTRTGDTLAIKVLDRNHVLRHKMVEQIKREISTMKLIKHPNVVQLHEVMASKSKIYMVLEYVDGGELFDKIVNSGRLGEDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGALKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFDDPNLMTLYKLICKAKVSCPHWFSSGAKKFIKRILDPNPCTRITIAQILEDDWFKKDYKPPLFEQGEDVSLDDVDAAFDCSEENLVAEKREKPESMNAFALISRSQGFNLGNLFEKEMMGMVKRETSFTSQCTPQEIMSKIEEACGPLGFNVRKQNYKMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNFYNNFSSELKDIVWKSESDAKAAKKR >ORGLA03G0019100.1 pep chromosome:AGI1.1:3:1377328:1377783:-1 gene:ORGLA03G0019100 transcript:ORGLA03G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPAGRSGGTDSAGSFPRPDPAVAGGGSDGDDGAGGFPRPDPAAATASRGGSDGDDGGGGCHSYDILGKLPSSPGRDGRRRPRGNRCRCRSELDSPPPSKLLPTH >ORGLA03G0019000.1 pep chromosome:AGI1.1:3:1354248:1355212:-1 gene:ORGLA03G0019000 transcript:ORGLA03G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding FMLEWYIELRKDKPSTFKWKKQHHQQCAIALKDKFGIRVTKSQVHRHLRLCKEKWSWICAALGKSGYGFDAASCKFNIDPSEKDSNKLGTTKYNYLTKPIKFFHLFEELFVGCSKADGSLAIDQFNANGSSDSDGSGSIKELEEYIFALEDGGHDSDTIARNSPTTDGTYSGHKRRSVKSPTKKTLKHKTSHKEEEQDELADSILKLANKLASVEQSIVGDPNASIWRRIEDLTIPASDKIELATFLAKPGQEIFRSYLRVASDASFQAWVIDYFERKCACNGGNGCTM >ORGLA03G0018900.1 pep chromosome:AGI1.1:3:1343547:1344068:1 gene:ORGLA03G0018900 transcript:ORGLA03G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHRCPLRRAMEESPPPPPPCCDGGRKDHGPWXGPRPRQPLESVIEVSHIDAVLERAAEEDLLLGGGDGADDDVLSAALWFQADLAIEGRLVAGALMAEADGADGRQPWVPQWRLQHACVIRSSCVPNGSINGLFL >ORGLA03G0018800.1 pep chromosome:AGI1.1:3:1339791:1340140:-1 gene:ORGLA03G0018800 transcript:ORGLA03G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRDIRDLLHSIGKDITKYSLPEVIDIGERCNDVMTEIIEELNVPVDQDHLDIYTSLNDEQRAGFDEIIDHVTNKKSQVFFY >ORGLA03G0018700.1 pep chromosome:AGI1.1:3:1338474:1338683:-1 gene:ORGLA03G0018700 transcript:ORGLA03G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKSQGQTIPNVGIYLPEPVFSHGQLYVALSRGVSRLTTRILAKPKKEVDSTGKSTRNIVYKDVLDW >ORGLA03G0018600.1 pep chromosome:AGI1.1:3:1318260:1318576:-1 gene:ORGLA03G0018600 transcript:ORGLA03G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCRGTRLSPAAHRLLLFGLNNALFFNKGGPCCAQRHGAQIRNRRRSLALGKIAQLADYSELKEHANSRMMPSDSSLYLCDQV >ORGLA03G0018500.1 pep chromosome:AGI1.1:3:1302330:1303225:1 gene:ORGLA03G0018500 transcript:ORGLA03G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLDLHDNKDKQKAMKVVSTLAGIDAISMDMASRKMTVIGTVDPVNVVSKLRKASWAAYIESLGPAKEPEKKKEGGGDGKKDGGDGKKEGGGDGKKEGGDGKKEGDGKKDDGDGKKGEAAAAAAKKEEGGGEKKFAAVAPMPMPMHQLPPPYMFNAGYMNQYRPPPPPPPAYPYAPPQYYYARDMSMEENPNSCAIC >ORGLA03G0018400.1 pep chromosome:AGI1.1:3:1298041:1298724:-1 gene:ORGLA03G0018400 transcript:ORGLA03G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLPSKKKKKGSSAAAARGFMCGCGGAKSVSVVSRLSAAGNISPATTTPLTTTSAKTTRVTTPAPTTVHDADGTPSVGTLLLQLRELERGVRALGVREDRGIRPATPPPRHRRSASDASSGSRRRRGRLEEESVAVVTESDDPLGDFRRSMAQMIVENEITATPELRELLHRFLSLNSSRHHHLILRAFADVCEELFAGAGQHNSHHHHHHRPRRRPTKPLPYS >ORGLA03G0018300.1 pep chromosome:AGI1.1:3:1292729:1296912:-1 gene:ORGLA03G0018300 transcript:ORGLA03G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNTMPLTFSPPRLLHRHRRREAKPQRRSATGVSLLPRRGAASAPRRLYCAPSGGGEVSAPPADEQQEQAAKEEEEEYTLLAITGSDCNEVIMIIDSPATRYLLLDANRNVHSVLPKTGVWTNSYWDEFVSLPAVVPRGPVALLGLGAGTAAHLMLKFYPWLQLVGWEIDPKIIELSRDYFGLSDLEKATESGGSLSVRIGDALSPSATIEGGFAGIVVDLFADGKIIPQLQEVETWLEIAKKLMPDGRIMVNCGGADAAVSLADDMGLSSWVQNPTIKALCAAFPGQAYASDATLKCSRNRSASCPVAFTDKYCSLVAFTDRCMDGTSPLMRALKKATMLYCCLCD >ORGLA03G0018200.1 pep chromosome:AGI1.1:3:1287171:1291759:-1 gene:ORGLA03G0018200 transcript:ORGLA03G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01510) TAIR;Acc:AT1G01510] MARSAASGGGGGGQPLVVSLNCLDDPSLEQEGLAGVAGVEHVPLSAVASGRVEAAAAVLLPSLAFLPRAAQRRLRPWQLLLCLGSPDRAADAALAAELGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRHASSAPAAVAAGWLGSVQPMCRGMRRCRGLVLGIIGRSAAARCLATRSLAFRMDVLYFDPRHSANGKAKRPSIVFPSAARRMDTLNDLLAASDLVSLHCTLTNDTMHILNADCLQHVKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAITILQSFFFDGAVPSSAISDEDEEISEAGNEDDQLEEKVSSSQVFDSEQQTDESQLKMEYEKRRAISQHKEPQASARSQHIVPRSEGRRSRSGKKGKKRPARRRSQQKTDELSAVESGSNYSSRRDDDTAMSGRDQVLSSSSRFASPEDSKYKQKSPAESPMEITSETKLPTVLGRKYPDTLKDGFVVALRTKDNSGFHVARQRLAGGGGWILDIVSNATNRDPAAQFLVTFKNKDTMGLRSFVAGGKLLQINRRMEFVFASHTFDVWESWMLEGSLLEGCKLINCRNSSAVLDVCIEILAAASEEDGVTRWLD >ORGLA03G0018100.1 pep chromosome:AGI1.1:3:1279263:1283722:-1 gene:ORGLA03G0018100 transcript:ORGLA03G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tryptophan biosynthesis 1 [Source:Projected from Arabidopsis thaliana (AT5G17990) TAIR;Acc:AT5G17990] MAAASLKLSSSAKPLAPAPGSHLLPLRAHHARPLPARLPPPPRVAVQHTAAPRSDASPRTASFDKVLEALIGGDHFSEEEAEATLRLLLEEENEARIAAFLVLLRAKGETYEEIVGLAKAMIGCCVRVDGLDDAVDIVGTGGDGADTVNISTGSTILAAAAGAKVAKQGSRASSSACGSADVLEALGVNIELGPEGIKRCVNEVGVGFMMSANYHPAMKIVKPVRKKLKIKTVFNILGPLLNPARVPYAVIGVYHENIVTKMAKAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPRKIEKMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGERGSIADALVLNAAASLLVSGKVNSLHDGVALAQETQRSGEAINTLESWIKISNSCRD >ORGLA03G0018000.1 pep chromosome:AGI1.1:3:1277239:1278663:1 gene:ORGLA03G0018000 transcript:ORGLA03G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASSELQQQPAAAAAAAGMWVPGMSPQAVDAGLSARAQEIARRREEMLGMLHDLPESEYELSLTDLVEKAGGVGVAPPSPSPSEGKAEPGPARTASGRQSEQQQQAAAAAGRPERRGSARRWDSAGGSSFRSSSDGVLLNFYMPRSFTRSFTAPRTTGPGRPPSVSGGRTASVCSDRNKRERDGETVRCWSLLWDRRWRKSSRGDHGNESAIRAAILKAAKHNASSPKKI >ORGLA03G0017900.1 pep chromosome:AGI1.1:3:1270760:1275723:1 gene:ORGLA03G0017900 transcript:ORGLA03G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein with a domain of unknown function (DUF21) [Source:Projected from Arabidopsis thaliana (AT5G52790) TAIR;Acc:AT5G52790] MSQHAVCCGAMFWVYLMSCVGLVMFAGLMSGLTLGLMSLSLVDLEVLAKAGTPTDKDNAARILPVVKNQHLLLCTLLIGNSLAMEALPIFLDSLVPSFGAILISVTLILAFGEIMPQAICTRYGLSVGAKAAPVVRVLLIVFFPVAYPISKLLDWVLGKGHVALMRRAELKTLVDMHGNEAGKGGELTHDETTIITGALEMTQKTAKDAMTPISETFSLDINAKLDLHTMGMIMTKGHSRVPIYSGTPNNIIGLILVKNLITCQPEDEVPIRNVTIRKIPRVSDDLPLYDILNEFQKGHSHMAVVVRRIKEPGASIEKTYSDRSDYKTNSDRSDYKINHRDAHADGLSPSRVSIAGSRRSNIEKNGEVRLYKKSEKKRDNILDFNSGPLPSYSLDQEAVGIITMEDVMEQLLQEDILDETDEYVDVHNKIKINMLPLGKSLSPTISPSTGPHSQGLRRTPMTSPLSSYHHGGSILRSPASNNPQSPGTLPTIISPERSPASQAPSRSSPNSTQVSRNS >ORGLA03G0017800.1 pep chromosome:AGI1.1:3:1258076:1261095:-1 gene:ORGLA03G0017800 transcript:ORGLA03G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Ubiquitin ligase, Det1/DDB1-complexing (In /.../:IPR018276); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G41560) TAIR;Acc:AT5G41560] WRAPWAAGRPTTRKTSARSSPPTPPRSPWSNVVPATYIATHRTDPPPGQVITTDPKNILLRHFYQKSEEKLRPKRAAPDNLTPQNNGKQPRGPLSDGGGSQATASGRS >ORGLA03G0017700.1 pep chromosome:AGI1.1:3:1251864:1255369:1 gene:ORGLA03G0017700 transcript:ORGLA03G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRRLLAEAPPHQPHPSRHQQGAPSSVWSAGYLNGWLSQRTAVFGLRLWVLIGIAVGAAIVLVLVLVFVCLSRRRRRRDDLASNLYPADTKILKQHLQQPTPPKDIQEIVRRQQRQQQTPTPTPPQPPPPAAQHGVQLAKAETPPPPQRTQPPVLPAGSTRSTAASGMSATTSGGSERDGATPRSTASGSAGPEVSHLGWGHWFTLRELEEATDGLAEENVIGEGGYGIVYKGTLQNSAMVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWEVRMNIILGTAKGLAYLHEGLEPKVVHRDIKSSNILLDQQWNAKVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRAPGEVNLVEWLKTMVAERKAEEVVDPKLPEKPSPKALKRALLVALRCVDPDGHKRPKMGHVIHMLEMDDLLCRDDKKPGRDAPQTSDRHSSRDGGNFSKRENQRYR >ORGLA03G0017600.1 pep chromosome:AGI1.1:3:1243630:1246304:1 gene:ORGLA03G0017600 transcript:ORGLA03G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G46090) TAIR;Acc:AT2G46090] MVTPATPRPSRILPRASHSHSQPAAVGLASDRAAAATVSSRRRRNFVFVVNPSGANGRTGNQWKQLLPHLRTRFADQCDICECITSAPFDAIDITREAVKDGADAVIAVGGDGTLHEVVNGFFCKGSPVHALDQGPDHSTALGLIPLGTGSDFARTFGWTNDPHEAIDRIVRGVKSKLDIGMMEGPDGNPHYFVNVADIHLSAKAGYFSSMYKRFGNVCYVFGALRAFWGHSNRDLRIKVNGGEWKTIRKVTALCIGNAKYFGGGMKITPTADPFGGDLEVVILQDFKWHDFLLKLHRLYGGTHLSVTGVSSIRVQSIEVAEKEVSADIFVQSDGEHFGFLPTKFSVLPGAVDFFC >ORGLA03G0017500.1 pep chromosome:AGI1.1:3:1239619:1242814:1 gene:ORGLA03G0017500 transcript:ORGLA03G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G63980) TAIR;Acc:AT1G63980] MAAPEAPSCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTLGVGVDSPHNKWVYDTTQFDNILKKLKVQSATPAIKEFEDDVSNSPDSTPKEAKPTNNEVTKVTRPQGRYKKRERGKSVSGYSAKDLEGILVRKSDDNCKVDQEVEPTCCDEPDPIICQDTVSQADDVNWWGHKFGFKSGGFLGAKSRKNKSSATKGTSNVCQTFGEEDQENLYNLVQDKATSGKQGLGIKGLPIKVAGHRWKGNKTSFGDSDEENSTQSNELSELEEDGDEEESANDNELIDANVNTVKEMCVDVKHKHKTKVKKLCKTILRQAPAQSMKLKELKVAVEAHSESMFSNFSCRREALLFLKRKLQGSRKFNIDGKRVQLVS >ORGLA03G0017400.1 pep chromosome:AGI1.1:3:1231067:1233462:-1 gene:ORGLA03G0017400 transcript:ORGLA03G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLVAARAPLLSPAAVAAAHRSPPALLRLAFAPLPARRLAVPLRVAVGEPEPEEDARRAVAERAARKQSERRTYLVAAVMSSLGITSMAAAAVYYRFAWQMEVGNTPSPNSRSHLTITQFGNSFAAALKFLRVLDQGSGEIPVTEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIINAVPAMSLLAYGFFTRGLVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHQIHHMDKFEGVPYGLFLGPKELEEVGGIEELEKEIKRRIKRKETSDAIQ >ORGLA03G0017300.1 pep chromosome:AGI1.1:3:1227711:1229074:-1 gene:ORGLA03G0017300 transcript:ORGLA03G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L5P family protein [Source:Projected from Arabidopsis thaliana (AT4G01310) TAIR;Acc:AT4G01310] MAATAVTLPSSPAPFPVTTTASSSRNVRLLLRSPPPRRALRVAASAAADAPPKPAPPPTSPSGIVLVDPTEAQKVHRLKAVYDQKVVPLITEEFGYTNVHQVPKVEKIVVNCGLGAEAGNSKGLESAMKDLAMITGQWPVKTKAKKSVASFKIREGNTIGIAVTLRGRVMFNFLDRLINLGLPRTMDFLGVNPNSFDGHGNFTIGLRDQGVFPEIPYEVGGKKNGMDVCIVTTAKTDNEALRLLTLLGMPFAEHIKSSVVIRKKRLKRHHFMSKGRGRR >ORGLA03G0017200.1 pep chromosome:AGI1.1:3:1224447:1227380:1 gene:ORGLA03G0017200 transcript:ORGLA03G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTILQVGWLQVVCTVALVALCACGVAASPSSPAGAGCRKHVARITEYGGVGDGRRSNTAAFAKAVADLSLRAGDGGAALVVPKGKWLTGPFNLTSHFTLFLDHGAEILASQNLEDWPLIAPLPSYGRGRDEPGPRYSNFIAGSNLTDVIITGRNGTINGQGQVWWDKFHAKELTYTRGYLLELLYSNNIIISNVTFVDSPSWNLHPTYCTNVTISGITILAPLNSPNTDGIDPDSSSHVKIEDSYIVSGDDCIAVKSGWDQYGIKFNMPSQHILIRRLTCISPTSAMIALGSEMSGGIRDVRAVDNVAIDTESAVRIKSGVGRGGYVKDVFVRGLSLHTMKWVFWMTGNYGQHPDNSSDPNALPEVTGINYSDVFAENVTMAGRMEGIPNDPYTGICMSNVTAQLAPDAKKLQWNCTDVKGVASDVSPVPCPELGAAGKPCAFPEEELVIGPPELPTCSY >ORGLA03G0017100.1 pep chromosome:AGI1.1:3:1221964:1224249:1 gene:ORGLA03G0017100 transcript:ORGLA03G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDANRRTGVAFLLRCRWREWPPQPSVSRIAFAASRAAENGDVADSSGDGACPHLPNSHVERRERALSIFLLAIMPYPNREWQDIISHKESNQPLVHSGTVRVALHSSPSSRPAAQDLHARVVFTLQGKTHHELHSKPSLGQNESTALTAMQCTTSNGNGHWQKARCLGRLKAPWTQHTQAVIATSIGSASLA >ORGLA03G0017000.1 pep chromosome:AGI1.1:3:1217881:1218955:1 gene:ORGLA03G0017000 transcript:ORGLA03G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTEPLTLPCLAHRLPLRLHRRGALHFLRLFPRVFDLRAPLPLSLSLTAPTAELLAVATFAAYPTAVAAAATGSAPGPNSKAKQYATAYGSDQLVATRENRFVSLEIYYVHGTRPVLLEQTWEDGSARAILLAEKSKH >ORGLA03G0016900.1 pep chromosome:AGI1.1:3:1213518:1214380:1 gene:ORGLA03G0016900 transcript:ORGLA03G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSLQHLGDSFSYRWLKHAAQAPSFKRLVDDDVGGSSRYFIDMDPADLFSMRWTAPGTDFDFDFDLPGGDDDAASPIPLLVSASQIFHDGRLLPHELDDDGRFGAQEDGDAARVAHLLSEPRLSASSPLFHSAQSTPASLSSSSSARSGASKNASAPPLLAAGRRGGGSSPWKILLRYLRFLMPLYRKVRALPPLRAPRTRVSPASPASARARASTSSIDWCHGIADTAVHDAILYCKKSSGQNI >ORGLA03G0016800.1 pep chromosome:AGI1.1:3:1209972:1210898:-1 gene:ORGLA03G0016800 transcript:ORGLA03G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASNGAFFPWKKQGHQIKLRATKGKRTLVMQLGKQAWISRAIIKIQFKDQEPGPGKTSSETLKHGTIVSVNNQQDGSNDIRTY >ORGLA03G0016700.1 pep chromosome:AGI1.1:3:1204229:1205629:1 gene:ORGLA03G0016700 transcript:ORGLA03G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLAVPLLLCLLASASAARFAPADNHLLACGATAPAVLPDGRRFVPDSGCASTRLRSPAPTLPSAASSAAPQPTPLHAAARVFSCRASYDLAVRRRGYHVLRLHFYPFEPALASARFHVGAAGFLLLHNFSASAPVVKEFILPVHSDVLVLTFVPESGSNAFVNAIELVSAPDELVGDIGTLVTSSGTDQTNGLSSQVYEMLYRINVAGRKVTPFNDTLWRTWVNDERFLVSTESSNSGVWSFGGRIAYPKGSRLMTREVAPDNVYNSARSVSSQGKVTWGFPVSASSRYLVRMHFCDIVSKALNELYFDIYVNGQLAVKDFDISGATGFLAYPYYIDFVVDVEDEGALKLAIGGSKNSRSDEVSGILNAVEIMRMNRTNGGIDGDFAVSLGMEYVASKGIGEFARSLLCGFIFAGLLLVLLMLVVRLRTELRNNGTTWSWQPNDSGDGKLARAYQLVSTKTDY >ORGLA03G0016600.1 pep chromosome:AGI1.1:3:1200301:1202847:1 gene:ORGLA03G0016600 transcript:ORGLA03G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIVILLLLPLLPSTALAAFPYFLACGAASNVSFPGDSPARTFVPDAPFLSSAGRVPAVTSTGSNTIPPLYAAARAAGSGFSYSFADPDTATANVSRVLRLHFFPFTSSSSVNLSSASFSVSVRDAYTLLSSFSPPRDGVVKEYFVPGDGSGEFRVKFTPDAGSTAFVSAIELFPAPPELLWRRPVKPVGALVDSGDVNAWPQQALETVYRLNVGGSKVTPANDTLWRTWLPDDPYFSSPRGLSEVNLTSTRIIYGTSIGYTREVAPDSVYKTQRAMNMTSQQLFLTPGPFNLTWTFALPPPAPGSDSDYLVRLHWCDYSVVTSTVGAGIVFDVYVAQRLASKDLDRNAADAAEQPNEAFYLDYAATAPTTGNLTISIGKSDKSDAGGMLNGLEIMKLRRADNLNSAGSHGRRKKILIVTLSAALGVAVLACALLCLLAVLRRRRQAPTPAPEEKESTQLPWSQHTQDGSSWVDMSNASGAGMTGGLHRMSMQLNISLADITAATENFNERNLIGVGGFGNVYSGVLRDGTRVAVKRAMRASKQGLPEFQTEIEVLSRIRHRHLVSLIGYCNEQSEMILVYEYMEKGTLRSHLYGSEEPALSWKQRLEICIGAARGLHYLHTGYSENIIHRDVKSTNILLGDAFIAKVADFGLSRIGPSFGETHVSTAVKGSFGYLDPEYFKTQQLTDRSDVYSFGVVLFEVLCARPVIDQSLERDEINLAEWAVSLQQKGELAKITDPRIAGQVNDNSLRKFAETAEKCLADYGLDRPSMGDVLWNLEYCLQLQETHVNRDAFEDSGAVATQFPADVVVPRWVPSSTSFLMDDSVTDSGIANSKAFSQLSSGDGR >ORGLA03G0016500.1 pep chromosome:AGI1.1:3:1196293:1198006:1 gene:ORGLA03G0016500 transcript:ORGLA03G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAGKAPKCKASFFLCSLLLYFLLPVLALYVVALAVSPFYSGSSCPEESLASGDVAHLAAAGDAGNRRNDSSPPSDDAAPTGLGHIVFGIAASSELWKSRREYIRTWWRPEQMRGFVWLDKPVYEFYSRNASTGLPGIKISGNTTKFPYTHGRGSRSALRITRIVSESFRLGLPGARWFVMGDDDTVFFPDNLVDVLSRYDHTQPYYIGNPSESHIQNLIFSYGMAFGGGGFAISRALAAQLAHMQDGCIDRYPALYGSDDRIHACMAELGVPLTRHLGFHQCDLWGDVLGLLGAHPVVPLVTLHHLDFLQPVFPTTRSRTAALRRLFEGPARLDSAGVAQQSVCYDGDKQWTVSVSWGFAVVVTRGVLSPREMEMPMRTFLNWYRRADYTAYAFNTRPVARQPCQTPQVYYMRQSRLDRRRNTTVTEYERRRVAPVKCGWRILDPAALLDRVIVLKKPDPNLWKRSPRRNCCRVMSSPKQGKDRKMTINVGVCRGGEFARIQVAREAS >ORGLA03G0016400.1 pep chromosome:AGI1.1:3:1188410:1193148:1 gene:ORGLA03G0016400 transcript:ORGLA03G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPNLGFADYFSAADASASSVTTLMPAMDEAAPELFGLQAGMELLGVRGLGMSMMPGAAGKVAALVADAGDDGGGGSTMRFLSEQHQQPSQAPLSLSLCRPDGVLHLGGAARPQHQLAPAAPWMTHHDASSSAPQVHGAWHLRSSRFLLPAQQLLQEFCSLPVDSTKRGNGAKAATQQEDGRGDGSSSSSASWNPSPQIQAMEALELQRLKDKLYIMLEEVDRRYRRYCEQMRAVAGGFEAVAGERAAGAYTAVAARTISRHFRSLRDGIVAQLQAARKALGEKDVSAAGTTRGQTPRLRVIDQCIRHHKSLQGVAAMDSHPWRPQRGLPDRAVTILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYVEEMKDQDGGDGSGGQGSLNPKPTFSHASEARGGQQLVVGDGDGGEQKPTRAQLRHDAGSLASVVNVDVAAGAAGVARLHQAENFGIMDHLDFDAYDDSHQQQQHGGFGGVSLTLGLQQHGSHGGGGVNIAFGAPGSAHGGAGFLYPGEQMAPDAVHPGHGHHVIGGQFGVAMDGDAASHAQERYRSLSAGFHLLRDLAG >ORGLA03G0016300.1 pep chromosome:AGI1.1:3:1176132:1176972:1 gene:ORGLA03G0016300 transcript:ORGLA03G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKTKLQLPLVHKERLWARPWRWAKTVFFLVSMLASLLLVCAPPLLIVVLDLLLPPALLSNFHRAANHPASLIDQARGFHFRSSLVDLPAVSAARSLLILCAYTACGGGAAYLWVAVACSVGSVCYVVAKAAVVFGAAPDGAVLGLQGKGQLVAVEAMFLMSLALAAAHIAMAYRASCRERRRLLVYRIDVEAVSDRFPFFARIVSIVSFTESMSRPHKTEKQTIPPSCSL >ORGLA03G0016200.1 pep chromosome:AGI1.1:3:1170945:1171364:1 gene:ORGLA03G0016200 transcript:ORGLA03G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMGGDDATRLAQPGCEVIGELEISPPTLSLILLLPTTAPPLSLVHREALHVTENRGIRAGKDGVGTDLVDHVSTASFVITVVGSPSPLRPSLACRHRCSSQKKRERGEKREREKGERDEEERMWAHISVGSTNVFFV >ORGLA03G0016100.1 pep chromosome:AGI1.1:3:1166734:1169792:-1 gene:ORGLA03G0016100 transcript:ORGLA03G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQANLDKMQLRQSYRNLWHSDLTSTIQADFPYCCLALWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGKCGESRCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQIACIFSIVAAIVGSEELSEASQILSCLSDMVYCSVCACMQQTQHKIEMDKRDGKFGPQPMAVPPMQQMSRIDQPIPPPVGYTPQQPAYGQPYGGYPPAPPAQGYPPAAYPPAGYPQGGAYPPPGSYPPPGSYPPQGSYPPPQGYYGK >ORGLA03G0016000.1 pep chromosome:AGI1.1:3:1160832:1165245:1 gene:ORGLA03G0016000 transcript:ORGLA03G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED-like homeobox of Arabidopsis thaliana 7 [Source:Projected from Arabidopsis thaliana (AT1G62990) TAIR;Acc:AT1G62990] MQGGDHGGMEMGVGSFTGGGGGGECSSSSATAAAAAAAAAAAAAAEAEERQLLKGEIAVHPLCEQLVAAHVGCLRVATPIDHLPLIDAQLAQSSGLLHSYAAHHRPFLSPHDKQELDSFLAQYMMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTGATLEEGTGATMSEDEDETAPMLEGPMDMGSDGHDLMGFGPLMPTDSERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTTILKQWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRKR >ORGLA03G0015900.1 pep chromosome:AGI1.1:3:1148037:1151156:1 gene:ORGLA03G0015900 transcript:ORGLA03G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHHHLPPPPPRSPMENSASSKPPTPASTPSSRLAAAPSSRVSSAAPHPSPSSSAPTPASRTVYSDRFIPSRAGSNLALFDLAPSPSHHDAAAAAASPGAPPPSGSTPASSPYCALLRAALFGPTTPDRVASSASACSSSSSAGASPVGSPATGNIFRFKAEVPRNAKRALFSDGDDEGVLFPGVFTTRGTGPRKIPRSPYKVLDAPALQDDFYLNLVDWSSHNILAVGLGNCVYLWNACSSKVTKLCDLGVDDNVCSVGWAQRGTHLAVGTNQGKVQVWDATRCKRIRTMESHRMRVGALAWNSSLLSSGSRDKSILHHDIRAQDDYISRLAGHKSEVCGLKWSYDNRQLASGGNDNRLYVWNQHSAHPVLKYTEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNMHLNCVDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSSIGATSFVRSYIR >ORGLA03G0015800.1 pep chromosome:AGI1.1:3:1143202:1144648:1 gene:ORGLA03G0015800 transcript:ORGLA03G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEQTPRTKPSTGNSKKRKKPRKDKWGQPIIDAGDRPAVEPEPEPEPEPVPAPAAAAAAEEEEEEAGIYETGKVVASGLPYTTTEAEIRELFERFGPLRSLQLSRFPDSGNFRGLAFVSFESNEVAMKSLELDGFKIGNRFMRVERCRLAAGSKRKRTVEFQTDPKKADGCLSAYVGNLKWDVTETDLRDFFKSLKISSIRFAINKRTGDSRGFCHVDFEDDESLEKAVGMNQSELRGRPIKISYAVSNRG >ORGLA03G0015700.1 pep chromosome:AGI1.1:3:1138825:1141709:1 gene:ORGLA03G0015700 transcript:ORGLA03G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETLADGTVNLMIWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVRLQAKQYPPIV >ORGLA03G0015600.1 pep chromosome:AGI1.1:3:1127080:1127901:1 gene:ORGLA03G0015600 transcript:ORGLA03G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSPIHSTGSSGCSFQRTRAAASSAPWLRCACGKAAAVNKSNTPRNPGRRWIQCGKEPKCCSLWIWEDLLNEYVEEMVAYSHAGEDDGLRDMLRQLAEEHKEERSRMQGLVEANHRQMQSIYQQLNDSKKKCEQLKKMLKEEKCSRSRQLYVMLFLLAIIMYFYDKSGSSRYKLILCVCSKS >ORGLA03G0015500.1 pep chromosome:AGI1.1:3:1118773:1118994:1 gene:ORGLA03G0015500 transcript:ORGLA03G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVDGDSIWHTMELLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA03G0015400.1 pep chromosome:AGI1.1:3:1089881:1116848:-1 gene:ORGLA03G0015400 transcript:ORGLA03G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASASTQKTIERYRTYTKENIGNKTVQQDIEQVKADADGLAKKLEALETYKRKLLGEKLDECSIEELHSLEVKLERSLISIRGRKTKLLEEQVAKLREKEMKLRKDNEELREKCKNQPPLSAPLTVRAEDENPDRNINTTNDNMNMDVETELSIGLPGRSRSSGGAAEDSQAMPHS >ORGLA03G0015300.1 pep chromosome:AGI1.1:3:1071065:1076416:-1 gene:ORGLA03G0015300 transcript:ORGLA03G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLISTADHDTLPGNYVRPEAQRPRLADVLSDASIPVVDLANPDRAKLVSQVGAACRSHGFFQVLNHGVPVELTLSVLAVAHDFFRLPAEEKAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCYPLHRYLPDWPSNPPSFREIISTYCKEVRELGFRLYGAISESLGLEQDYVKKVLGEQEQHMAVNFYPKCPEPELTFGLPAHTDPNALTILLMDQQVAGLQVLKEGRWIAVNPQPNALVINIGDQLQQALSNGRYKSVWHRAVVNSDKARMSVASFLCPCNDVLIGPAQKLITDGSPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRTTPTDTS >ORGLA03G0015200.1 pep chromosome:AGI1.1:3:1067905:1069749:-1 gene:ORGLA03G0015200 transcript:ORGLA03G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLSLHGIPSPTATKLSSSFLGAPASFLRPTPPPLAAPSRRALAVRAMAPPKPGGKPKKVVGLIKLALEAGKATPAPPVGPALGAKGVNIMSFCKEYNAKTAEKAGYIIPVEITVFDDKSFTFILKTPPASVLLLKAAGIEKGSKEPQREKVGKVTADQVRTIAQEKLPDLNCKSIDSAMRIIAGTAANMGIEVDPPILEKKEKVLL >ORGLA03G0015100.1 pep chromosome:AGI1.1:3:1065172:1065602:-1 gene:ORGLA03G0015100 transcript:ORGLA03G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPWPCAATSIYRAYKDRIACREHLVASLMQCKQAQGEKVANEQQLHTTLHFYTLPLATVEVFLEQ >ORGLA03G0015000.1 pep chromosome:AGI1.1:3:1062236:1063224:1 gene:ORGLA03G0015000 transcript:ORGLA03G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWAAAAAADQAAASAGAGQMPFLALLQGAMEADGGGGGDGRKRHAAAAAFASCCPCPPVADLDLLESCVTQAAAPPVTAPATRAERRRKRPRPRPRAAPPPEKRKKPEEAENQRMTHIAVERNRRRLMNDHLASLRSLIPSNYIPRGDQATVVGGAIDYVKQLEQQLVALQAAAAERSGVGVVATAATAASDGVFVSPQYTSYSEARGGSGVDVEATAAVGGHVRVRVAGRRWTGRLVRAVAAMEDLRLTVLHLAVTSVGHDAVVYCFNLKVKTTTTLHHLLLHVPSFF >ORGLA03G0014900.1 pep chromosome:AGI1.1:3:1047186:1052982:1 gene:ORGLA03G0014900 transcript:ORGLA03G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61960) TAIR;Acc:AT3G61960] TTMAAAPARSAGAAAEKRMVDPPRVVGEYKLLEEIGVGSFAKVYLATHLRTGDVVAVKEIDPRRIDERVRGGILEEKAILSTLSHPNILRLIDTIQEENLYLILEYCNGGDLEGYRTKGGEDARLPDATARDFMRQLAEGLKMLRGRSIVHRDLKPQNLLLSTNGDAITLKIGDFGFARSLVQENLAATMCGSPSYMAPEIMRCEDYDAKADLWSVGVILFQLVTGKLPFYGANLFKLRQNIHESNGVKFPKEIKDDLHPDFIDLCRGLLRLDPKKRISFEEFFNHKFLSTTGSTLYSGGSIQRKREISSEPNHPADLLRDTCQIISSDVLKDKSESVDSRNLQAFDSWEWIEREYVLVQANSTSTEILSSLEKSMKDGTGAKPASYDRSTVKRSAWNQNRNSVSRGVAIKSNGCTPLSTSHESTAAENLLNPPYCYTRLQLLNQYIVVLTELAEEKLFKGLDLEALSVELIILAIWNEALNACSLSTDATHDGFFLTQAHVNFLPKNDHRPSRNVVQGLDFTRLVSVCSWAESGFIKAYDRAEKISHRLRDNNDNTEMPDAVEIIFQTALIYGTTGAAKEVLGYQNRSVALYSKAIILLTFVLQEATNLPLNPPFSLSSSDQQRIHRYIANLRSHLCSAQLTGQQERYVQN >ORGLA03G0014800.1 pep chromosome:AGI1.1:3:1031605:1040439:-1 gene:ORGLA03G0014800 transcript:ORGLA03G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) TAIR;Acc:AT1G01040] MAGGGVGGGAGEHAAAAYWYDACEDGASLLCGIDFAASADFDPGLIPAMDTGADDGFVAEIDRILESINAESSPAPPPPPPPPLPEPVPVAPPELPIQEKQLQVASAPVANNAVAVVGVVQRSKGVVARKEPRRESHGCAANGGGGGEWRDGKRPRLASGGVGGPRQEWRRRPMLPPPPSRGWDDRRGRRDFDRVRKHEHHRREARGFWERDRGGKMVFRPGTWEQESDREAKRARTQDGGSMEKKAEADRMGAAQLEKPVAEEHARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIKSVCDKMLKENKKMLAVFLVPKVPLVYQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPAVFGMTASPVNLKGVTSQEDCAIKIRNLESKLDSVVCTIKDRKELEKHVPMPLEVVVQYDKAATLWSLHEQIKQMESMVEEAALSSSKRTKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQVDVKFQESYLKKVVDLLHCQLTEGAAMKSETSDVEMQNTEKHNTNDLEEGELPDSHGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVLAELPSLSFIRCASLIGHNNNQEMRACQMQDTISKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNISHETFLRNARNSEETLRKEAMERTDLSHLDGTSVLSPVDTSPGSMYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILHPEFIMQKYEKPGGSVEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDTLLPDRGSGEGEKTEQNDEGEPLPGTARHREFYPEGVADILRGEWILSGRDGYQNSQFIKLYMYSVNCVNVGTSKDPFVTQLSNFAIIFGNELDAEVLSTTMDLFVARTMITKASLVFRGRIEITESQLVLLKSFHVRLMSIVLDVDVDPSTTPWDPAKAYLFVPVGAEKCTDPLREIDWTLVNNIVNTDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGTAFGQKAHPTYGIRGAIAEFDIVKASGLVPARDRGHFSDYQNQGKLFMADSCWNAKDLAGMVVTAAHSGKRFYVDCICYNMNAENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYRKQPLIRARGVSYCKNLLSPRFEHSDAREGDFSENLDKTYYVYLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAVQLKDIIDYPVPATKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKTLQSYIQADRFAPSRWAAPGVLPVFDEESREYEPSIFDEESTGCELQKESYDDYADNMQEDGEIEGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMKWIGIHAELDPEEIPPPKPYDIPESIMRSINFDTLKGVLGIEFQNKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVQEELLKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGYDTSVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRAGNIATVEVFVDGVQIGVAQNPQKKMAQKLAARNALVVLKEKETATKKEDERDGEKKNGAQMFTRQTLNDICLRRQWPMPQYRCVNEGGPAHAKRFVYSVRVNTSDRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRDFPDKPDGKQP >ORGLA03G0014700.1 pep chromosome:AGI1.1:3:1030013:1031014:1 gene:ORGLA03G0014700 transcript:ORGLA03G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQTAPVATAEAELESSAAPPVKPDEAAAKAQPEDDAPVVEDAKDDDDDEEDDDDDEDEDEDGEQGAIGNEGSKQSRSEKKSRKAMMKLGMKPVTGVSRITIKRAKNILFVVSKPDVFKSPTSETYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKVMSKPDAAAAAPADEEEEVDETGIEPRDIDLVMTQASVSRAKAVKALKAHDGDIVSAIMELTA >ORGLA03G0014600.1 pep chromosome:AGI1.1:3:1018582:1020045:-1 gene:ORGLA03G0014600 transcript:ORGLA03G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1P700] MELVVAVAGAVVVALSLCIGGVQGQLQVGFYDQSCPQAEVIVRDEVGKAVSANVGLAAGLVRMHFHDCFVKGCDASVLLDSTANSTAEKDAIPNKSLRGFEVVDSAKRRLESACKGVVSCADILAFAARDSVVLAGGTPYRVPAGRRDGNTSVASDAMANLPRPTSDVAQLTQSFATHGLSQDDMVILSGAHTIGVAHCSSFSSRLYGYNSSTGQDPALNAAMASRLSRSCPQGSANTVAMDDGSENTFDTSYYQNLLAGRGVLASDQTLTADNATAALVAQNAYNMYLFATKFGQAMVKMGAIQVLTGSDGQIRTNCRVAN >ORGLA03G0014500.1 pep chromosome:AGI1.1:3:1012580:1015996:-1 gene:ORGLA03G0014500 transcript:ORGLA03G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1P6Z9] MEARGSRGMRLWLLSAAVMAMAMATRSQAQLQVGYYDTLCPAAEIIVQEEVSKAVSGNPGMAAGLVRLHFHDCFVRGCDASVLLDSTQGNRAEKDAPPNTSLRGFEVIDSAKSRLETACFGVVSCADVLAFAARDALALVVGGNAYQVPGGRRDGNVSVAQETNGNLPPPSANVAQLNQMFGAKGLTQAEMVALSGAHTIGVSHCSSFSNRLYSSGPNAGQDPSMDPSYVAALTTQCPQQQGQPAAGMVPMDAVTPNAFDTNYYAAIVANRGLLSSDQALLADQTTAAQVVGYTNNPDSFQTDFAAAMVKMGSIGVLTGNAGTIRTNCRVAS >ORGLA03G0014400.1 pep chromosome:AGI1.1:3:1007973:1008406:-1 gene:ORGLA03G0014400 transcript:ORGLA03G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQITTVHGEIGDKERWGMDGPESIASRLGGGRSGEVDGGVAANQGFDVPILELAPIRLRIGAPNWFWIGI >ORGLA03G0014300.1 pep chromosome:AGI1.1:3:993482:994408:1 gene:ORGLA03G0014300 transcript:ORGLA03G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFITPIVRPASAAAGGGEVQESGGRSLAAVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAASNEKGLLLSFEDRTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEAARGRLFIDWRRRPDVVAALQPPTHRFAHHLPSSIPFAPWAHHHGHGAAAAAAGARFLLPPSSTPIYDHHRRHAHAVGYDAYAAATSRQVLFYRPLPPQQQHHPAVVLESVPVRMTAGHAEPPSAPSKRVRLFGVNLDCANSEQDHAGVVGKTAPPPLPSPPSSSSSSSGKARCSLNLDL >ORGLA03G0014200.1 pep chromosome:AGI1.1:3:978044:981559:1 gene:ORGLA03G0014200 transcript:ORGLA03G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVIAETGYAGTANTDGFLFSDSALHNVYPEDQFSSIKQLHPWIPSTTGRSSWLEEKIPVIHQRTSAAASSDIGSSVLHKPSLFPSIIDCFDKEPVPIHQSDGRYSYDSHLSHLTSCSTSLNYGLSMPSVAASPVLCGMKRIDPSPSDPVLKGRFLQYANPCRFNIGHFDSVQDEQKDHAGFQTAYRHCSDWNRCTNDTGIVGNYLANSSGETCNVGENSITGRFSQEILCSEVPMSRVQEPLSHHHSLVQEDLNAFCENITYRCNYPAELIKSMYNLSVALISSCNGDYELDESYQELIQSAIQNLSSLSPKRSKNLSIEENKSGNDKDAHVLAYKNLWIEAEASMCKLKYELQLARMELALKYHSQQSGAPPTIPLDVQDSSLSKSKSLLCDEVLDDPSKQQNHVKENTICSATLLPEEGNTGDGQSPKVNRSIANEVEAGVFTQLRVLRSRGDSICSFGEGSDEEQQETSNNKKTNGFDNTAAVSMDTLKSGDDSMNSVVVEPIKERVESSKTDVDTAAPFYSFVKRLSGSSSSSDVDFDKFLSSIKKQTDVTVMARHKDFVCDKGNIRSLDDTTNQCQAASNTKQLEDDALRFFQSLKIPEGIPEDHSDDGSSDSDYYQTEHYPLRVEPGRLLFIHKVLGSGKEW >ORGLA03G0014100.1 pep chromosome:AGI1.1:3:975279:975599:-1 gene:ORGLA03G0014100 transcript:ORGLA03G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARGEPLPPPFRLYISPSRPATDLPGCASLGNTRGRCRRGRSSTGASAPLLSLLSVCSAGREEEAEEGRKEEEEREKKKKRQRTDRWAPVQYYFIISLFNSNSIF >ORGLA03G0014000.1 pep chromosome:AGI1.1:3:965419:966945:-1 gene:ORGLA03G0014000 transcript:ORGLA03G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSSALSSFLYCCFSPTGGHRHGHRAGAYYYSSHPTSTNTYYYEGGLAGRRMGRSRPLSLQTVELKVRMCCSGCERVVKHALMKLRGVDSVEVELEMEKVTVTGYVERQRVLKEVRRAGKKAEFWPNPDLPLYFTSAKDYFHDEESFRPSYNYYRHGYNGDKHGHLPEPHRGADPVSNLFNDDDVNACSIM >ORGLA03G0013900.1 pep chromosome:AGI1.1:3:959257:962619:-1 gene:ORGLA03G0013900 transcript:ORGLA03G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAAAVAPDWWNDVNNSPMWQDRSFHALATLYGAVSFVALVQLIRIECRVPEYGWTTQKVFHFMNFIVNGVRSIVFVLRRDVQLVQPEIFQHVLIDFPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPAFYTINGVVYAIQDFQIILWMALWWKPVRAMVILSKMFFAATSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLNEVGYVTTICFSGFLIRCVMSILQMCLNAFDKEADLDVLNHPILNFFYYLLVEIVPSALVLFILRKLPPKRGITQYHPIH >ORGLA03G0013800.1 pep chromosome:AGI1.1:3:948722:952224:-1 gene:ORGLA03G0013800 transcript:ORGLA03G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHGPPLQRQSTKIFPSFLFLLQSGGFSPAKLRAMLLGLEKNQHNGEDTSPEANDSGELDDQRSMECSTSTEMSSNSGHRSRNRAQDDDSFDSESSSSGPPTVKRPAAVTALLPPFSRPTPSKWDDAEKWISSPTANRGGRVGSAAGAAPKKSALAFPEHVSRPPAVAKVVAEVPINTGTLVKNSVALAQPISFNPAQSASIVDEPAPAVRSVSMRDMGTEMTPIASQEPSRTGTPIIASSPTSSRTPTPQRNAEINIGEFGPNKMEMSEEELQMNTRKEIMDLGQRLGKTTIAAWASKEEKSTTSFANVITDKAVEIDREARAADWEEAEKAKYLARFQREEVKIQAWENHQKAKIEAEMKRMEAKIEIKRAREQDRLSSKLAAARHKAEARREAAESRKNQEAARTEEQAAQIRKTGHIPSSISCWCWCL >ORGLA03G0013700.1 pep chromosome:AGI1.1:3:943779:946316:-1 gene:ORGLA03G0013700 transcript:ORGLA03G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLESEGGSQKSNNKPKYSKFTQQELPACKPLLTPGIVVATFLLIGVIFVPIGLASLSASQEIVELVDRYDTNCVSTPDKVGFIQNTDTDKTCTRTLTVPKHMKSPIQIYYQIGDFYQNHRRYVKSRSDKQLRYKNAVHLTKDCDPEGNTVDGAPIVPCGLIAWSLFNDTYTISVNKKAIEVNKKDIAWKSDKTDKFGSDIYPSNFQKGSLIGGAKLNESIPLSEQEDLIVWMRTAALPTFRKLYGRIETDIMANDQLTVVIQNNYNTYSFGGSKALVLSTTSWIGGKNNFIGVAYLTIGGLCIFLAVGFVVLLYMVKPRTLGDPSYLSWNRDTPDHPN >ORGLA03G0013600.1 pep chromosome:AGI1.1:3:940243:941140:1 gene:ORGLA03G0013600 transcript:ORGLA03G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKCSSCLQAVVLWQLLLPWTHIAVAAGAKSSSPAGKPKAAAPPVVAGPVSKVEDATRFQIYYGQSFKVVKNNWNGKSYLLMQNTSKMATKTKYCTGRIKSFVIPLANYSVDTTASPVSFFEVSNAGQIKYCSMRNLCNRR >ORGLA03G0013500.1 pep chromosome:AGI1.1:3:934717:938669:1 gene:ORGLA03G0013500 transcript:ORGLA03G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELIIYYLKRKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETDTGLQDAYALCRVFKKTAPGPKIIEHYGVVHHHVEQPQWMTSSIDRSPTLDVSCDGRGDDFESSSFSFPTETPMDSMHGGFGMQMSAPHEDGKWMQFLSEDAFNATNPFLTNPVSANFSCLPSKVDVALECARLQHRLTLPPLEVEDFPQDVSLDTKTGILRSNPNEVDILQEFLSVATASQELINGSTSSYPEMWLGASTSSASYVNELSSLVEMGGVGTSNHHESARQVEIADMEVFKDDKKRVENLRGVKLVNNDLGEIVVEGDESNPTEDIIAQYPIKVTADNSGEAGHRMTDPTDVGGIDTAPIFSQSQPDDFAAGFDDVNPNASFDLYEKVDVNHRLFVSRVAAAKTFFHRIEPSKKVSFHSNPAATAVSKATEKFHFPVTTKVSGRVSIFSKFKALIRDKFLMMRPSHSYQRLGSKETTVNELLQIVSLLLAPKQINGCPTEQELVKKKAKEVMKPGWGREGSNKLWLPLSKGKGISSMFLSGKWTFLTSALAISTPAECDH >ORGLA03G0013400.1 pep chromosome:AGI1.1:3:927953:931651:1 gene:ORGLA03G0013400 transcript:ORGLA03G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRVTLFNSCSQLCFATYHHFDNCPEPNLSISGCLIQHENISQLSTGWWVGMTVSTTRYEAGKQNCSSLNWKLIEVNDNLCCVFWLSNAILPLSNAVRVSPQTWLIRFSVVFRFLSLSGYKKILVEAYLNSNYFRIQVEVLCLPLAEATDLSVDDNVGTQDLIPTPPSMF >ORGLA03G0013300.1 pep chromosome:AGI1.1:3:926129:926440:1 gene:ORGLA03G0013300 transcript:ORGLA03G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:I1QBF3] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ORGLA03G0013200.1 pep chromosome:AGI1.1:3:923364:923871:-1 gene:ORGLA03G0013200 transcript:ORGLA03G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGTERQMRWEGEQKLEPLSPPAPARPRACTHASPACTRSARMRSEAQIDRFSRAWLGSPICTRGARLGWPCRQPNTKGLHPWMRARCDAGNQTHPKSIQCQVTDLRQDLLTSTSQNISGRGHQDKIHCADSACTVSF >ORGLA03G0013100.1 pep chromosome:AGI1.1:3:921385:922659:1 gene:ORGLA03G0013100 transcript:ORGLA03G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLPPLHRAAAVFLRLRLASPRATFSSKPANPRTSSVASAAAPAAAAVSDAIVRLVAAGGRSLEADLDRLDPALSHPIVSATLRALTDRGLPAARFFDWLALRRGFSPSAHAHNLLVENAGSLADYRDMSRAMASMSTRRVPLTERAFAFLNTSQGSARDTAIAILATLDEVGGPCRASGVFSLVKELASIGEFDAAMSVIQETARGARYYNALIAVKCKTGDFHGAREVFDEMRRSGFGPNSNSWNYLLGCLLKNGRVAEACELVEAMERSEHNDIPNSLTYEILAYHACKAGRMDSAMRILDQMFLEKLTPRVTIHTAFIKGYLYAGRIDDACRYVSAMSTRDRHSVNRNYSLLAKLLCKAGMIVDAGRILYELMEKEALLPDHSAYIRVIKDLHKIGKGDLAAELKLILQKLSVHAESAG >ORGLA03G0013000.1 pep chromosome:AGI1.1:3:894450:920528:1 gene:ORGLA03G0013000 transcript:ORGLA03G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucan synthase-like 10 [Source:Projected from Arabidopsis thaliana (AT3G07160) TAIR;Acc:AT3G07160] MARAAANWERLVRAALRGERLAGAFGLPVTGIAGNVPSSLGNNVHIDEVLRAADEIQDEDPTVARILCEHAYTLAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKREGGAIDRSQDVAKLQEFYKLYREKHKVDELCEDEMKLRESAVFSGNLGELERKTLKRKKVLATLKVLWSVIEEITREISPEDAEKLISEEMKRVMQKDAERTEDVVAYNIIPLDALSTTNDIVNFPEVRAAISALQYHRELPRLPATFSVPDARNSDMLDLLHCVFGFQKDNVTNQREHVVHLLANEQSRLGKLPGNEPKIDEGAVHVVFSKSLDNYIKWCNYLPLPPVWNNTESLTKEKKLLYVCLYYLIWGEAANVRFLPEGLCYIFHHLARELEEIIRRQTAEPAESCISNGGVSFLDQVISPMYEIIAAEAANNDNGRAPHSAWRNYDDFNEFFWSPKCFQLGWPWKISNPFFSKPSRKEKGLVGRNHHYGKTSFVEHRTFLHLYHSFHRLWIFLVMMFQGLMIIAFKDRKFDKKTVLTLLSLGPTYVIMKFIESILDILMMYGAYSTSRRSAITRVLWRFCWFTAASLVICYLYIKAFQDGTNSATFKIYVFVIGAYVGAKIIIGLLMSVPCCHGLTDYCYRWSVVRLGKWMHQENNYVGRGMHERPSDYIKYVAFWLAILGAKFSFTYFLQIEPLVKPTMEIINFKRLEYAWHDFVSKNNHNALTILSLWAPVVSIYLLDIHVFYTVMSAICGFLLGARDRLGEIRSVEAVHRFFEKFPEAFMDKLHVAVPKRKQLLSSSQHPELNKFDASKFAPFWNEIVRNMREEDYINNTELDLLLMPKNNGSLPIVQWPLFLLASKVFLAKDIAIDCKDSQEELWLRISKDEYMQYAVVECYHSIYYILTSILDKEGRLWVERIYVGIRESISKRNIQSDLHFSRLPNVIAKLVAVAGILKETESADLRKGAINAIQDLYEVVHHEVLSVDMSGNIDEWEQIKQARAEGRLFNNLKWPTDSGLKDLIKRLYSLLTIKESAANVPKNLEARRRLEFFTNSLFMQMPVARPVSEMLSFSVFTPYYSETVLYSKDELQKRNEDGISTLFYLQKIYPDEWKNFLARINRDENTTDSELFSSPNDMMELRLWASYRGQTLARTVRGMMYYRKALMLQSYLEKLQSEDIESAVATTGLGLADIHFELSPEARAQADLKFTYVVTCQIYGVQKAERKPEAADIALLMQRNEALRVAYVDIVESVKNGKPSTEYYSKLVKADIHGKDKEIYSIKLPGNFKLGEGKPENQNHAIIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFYQNHGKHKPSILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRLGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTIGFYFCTMLTVWTVYIFLYGKTYLALSGVGESIQNRADILQNTALNAALNTQFLFQIGVFTAIPMILGFILEFGVLTAFVSFITMQFQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKGLEVALLLVIFLAYGFNNGGAVGYILLSISSWFMAVSWLFAPYIFNPSGFEWQKVVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELAHIHNVGGRILETVLSLRFFIFQYGVVYHMDASESSKALLIYWISWAVLGGLFVLLLVFGLNPKAMVHFQLFLRLIKSIALLMVLAGLVVAVVFTSLSVKDVFAAILAFVPTGWGVLSIAVAWKPIVKKLGLWKTVRSLARLYDAGTGMIIFVPIAIFSWFPFISTFQTRLLFNQAFSRGLEISLILAGNNPNAGV >ORGLA03G0012900.1 pep chromosome:AGI1.1:3:878731:880983:-1 gene:ORGLA03G0012900 transcript:ORGLA03G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQRILLAVVVSMVVSSAMLAVVSCARERKNYVVHLDPREDGGVADSVELWHRSFLPEAAGDDGPRIIYSYSHVLSGFAAQLTDDEAEAMRKKEGCIRLYPEEFLPLATTHSPGFLGLHLGNDGFWSRSGFGRGVVIGLLDTGILPSHPSFGDAGMPPPPKKWKGTCEFKAISGGGCNNKIIGARAFGSAAVNATAPPVDDAGHGTHTASTAAGNFVENADVRGNAHGTASGMAPHAHLAIYKVCTRSRCSIMDIIAGLDAAVKDGVDVLSFSIGASPGAPFNYDLVAIATFKAMEHGIFVSSAAGNDGPVAATVGNGAPWMLTVAAGTMDRAIRTTVTLGNGQVFDGESLYQPRNNTAGRQLPLVFPGLNGDSDSRDCSTLVEEEVSGKVVLCESRSIVEHVEQGQTVSAYGGAGMILMNKPVEGYTTFADAHVLPASHVSYAAGSKILSYIKSTPKPTASVTFKGTVMGSSPAPSVAFFSSRGPNKASPGVLKPDITGPGMNILAAWAPGEMHTEFADGVSLSFFMESGTSMSTPHLSGIAAIIKSLHPTWSPSAIKSAIMTSSDVADHAGVPIKDEQYRSASFYTMGAGYVNPSRAVDPGLVYDLHTNDYIAYLCGLGIGDDGVKEITHRRVSCAKLKAITEAELNYPSLVVKLLSQPITVHRIVTNVGKANSVYTAVVDMPKNVAVTVHPPLLRFSRAYEKQSFTVTVRWAGQPAVAGVEGNLKWVSDEHVVRSPIVIPPAKAVV >ORGLA03G0012800.1 pep chromosome:AGI1.1:3:872193:876101:-1 gene:ORGLA03G0012800 transcript:ORGLA03G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:I1P6Y2] MQYDKWALESTYRSDGICPWAHGPFILPSISGEAAINREERRTCDVHEAHATRSSIDQGKTARTVDAKSSNWSGMDVVWPHGHGVWGSSSASRRSAMLHGSLDIWIHEARNLPNMDIVSKTVVDILGTKKKKKAANGAMTSDPYVTVQLASATVARTYVVNDDENPVWAQHFLVPVAHEAPAVHFLVKDSDVFGAELIGEVVVPAEQLEAGEHVEGVYPVLDPAAGKPCAPGAVLRLSVQYIPVARLTMYHHGVTPGPDFAGVPNTYFPLRRGGRVTLYQDAHVPEGSLPEIRLGNGALYRQGQCWHDVYDAISQARRLIYITGWSVFHTIQLVRDGGAGGGAGVSLGDLLKRKSQEGVRVLLLVWDDPTSRNVLGIQMEGYMGTRDEETRRFFKHSSVQILLCPRSAGKRHSWVKQQETGTIFTHHQKTVILDADAGNHKRKIVAFVGGLDLCGGRYDTPTHPLFRSLQTLHKDDYYNPNFAVLDAQGPREPWHDLHSKIDGPAAYDVLTNFEERWLKASKRSGVKKLSKANNDTLLWIGRIPDIASIDDEVYSSDNDPERWDVQIFRSIDSNSVKGFPKNPREATSKNLVCGKNVLIDMSVQTAYVNAIRGAQHFIYIENQYFLGSSFNWDSHKDVGANNLIPIEIALKIANKIYANERFSAYIVIPMWPEGNPTGAPTQRILYWQKKTMQMMYEVIHKALKEVGLDNTYEPQDYLNFFCLGNREAGGSPSTCSGSSSANNPQDQAKKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAVGAYQPQYTWANMLSAPRGQIYGYRMSLWAEHIGAVEESFSCPESLECTRQVRHIGEQNWRQFASSEVSEMRGHLVKYPVSVARDGKVKPLPGCAAFPDLGGNICGTFLPIQENLTI >ORGLA03G0012700.1 pep chromosome:AGI1.1:3:869331:871195:-1 gene:ORGLA03G0012700 transcript:ORGLA03G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51880) TAIR;Acc:AT5G51880] MPPKWKRAAAMETAGGDAAPPPQQQRRNKSSAANKGKKGGASGGGGGGRWPPVKPKKDLQVNRLKGTHLLTVPNFFTSAEAKAFVDIAENIGFTHHGSLGPLKGEAYRDNDRISVTDPLLAQTIWESGINKIFEDISISGKVATSLNPNIRLYRYTEGQRFGRHIDESVDLGDGSRTLYTLLIYLSGKGSAKESSGQALVGGETVFYDHRGGVVAEVAPVQGLALLHLHGAKCMLHEARVVKKNIKYVLRSDVVFA >ORGLA03G0012600.1 pep chromosome:AGI1.1:3:866002:868291:1 gene:ORGLA03G0012600 transcript:ORGLA03G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00231) TAIR;Acc:AT4G00231] MWEAEEIEDEETLEALLEASRSAQGRAALSDALADTLHFLPASTHRLLLLRLRLLRNLLAGDDLNQGTFVLLSGPAAVVSSALSSPSDSPDVARAGLQALGNAALAGEHHRAAVWDALFPGSLLELARVREKGVLDPLCMVIDTCCSGEGGRGRLEELCHEELGLPILVEIVTTAWQVGHDEEWLEWLLFKICVEEQKFETLFVALCSRNDAEHSDGDECKTEFNAKHAYLLGKLLKCLANRPKEVSVSISFALDIFNAQKHAAEIVDFTCRVNSPLPTGHPAIDVLGYSLVLLKDICAWESPPSDTQAPVDSLMQTGLVKHLLTYLRELEPPSMIRKSMARGQGDHQPALGTAKVCPYIGYRRDVVAVIANCLHRSKKVQDEVRHLDGIILLLQQCVVDEENPYLREWGLFAVKNLLEGNEENQKEVSGLKMQEAVITPEIADIGLRVEIDKETGHPKLVNN >ORGLA03G0012500.1 pep chromosome:AGI1.1:3:859870:864277:1 gene:ORGLA03G0012500 transcript:ORGLA03G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxymethylglutaryl-CoA synthase / HMG-CoA synthase / 3-hydroxy-3-methylglutaryl coenzyme A synthase [Source:Projected from Arabidopsis thaliana (AT4G11820) TAIR;Acc:AT4G11820] MAAERKDVGILAMDIYFPPTCVLQDELENHDGVSKGKYTIGLGQDSMAFCTEVEDVISMSLTVVKSLLENYKIDPKCIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPVSFESKYRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNVFCKKYEKLEGKQFSIHDADYFVFHSPYNKLVQKSFARLYYNDFLRKCSTVEDGSREKLEPYSGLSSEESYQSRELEKASQQVAKHLYDSKVQPTTLIPKQVGNMYTASLYAALASVMHNKNETLAGQRIVMFSYGSGLTSTMFSFKINEGQHPFILSNIAGILDVSKKLESRHVVAPEKFVAALKLMEHRYGAKDFTTSQDTSLLAPGTYYLTHVDSMYRRFYAVKGQAVTEVSNGH >ORGLA03G0012400.1 pep chromosome:AGI1.1:3:852963:855092:1 gene:ORGLA03G0012400 transcript:ORGLA03G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGHRHGSVPPVVLLLLVATVLGSLCLSLTASPEAQKRYNFRFVRHARDAPLVSYYNYIVVGGGTAGCPLAATLSERSRVLLLERGGLPYGNRNVSSEYHFADALADTSPRSPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASSGYVRAAGWDPRLVNASYRWVERELVFRPDVPRWQCALREGLLQAGVTPDNGYTLEHVQGTKIGGTIFDRAGRRHTAADFLRRAYPRRLTVFLRATVSQILFRRTEGTATPVAYGVVFTDPAGVRHHVYLRGGAKSEVIVTAGTLGSPQLLMLSGVGPRGELEKHGILPVLDQPRVGQGVADNPMNSVFVPSPVPVALSLVQIVGVSRFGTFIEGVSGSQFGIPLHGRAASRRARSFGMFSPMTGQLGTVPPKERTPEAMRRAAEAMRRLDRRAFRGGFILEKILGPMSTGHVALRSADPDANPAVTFNYFRDPRDVERCVRGIETIERVVRSRAFARFTYANVTAMEAAVLGRRAGHLPVNLLPRRATDTRPLQQYCRETVMTIWHYHGGCHVGAVVDQDYRVLGVRGLRVVDSSTFKYSPGTNPQATVMMLGRYMGLKIQKERWTRNDETH >ORGLA03G0012300.1 pep chromosome:AGI1.1:3:849006:851328:1 gene:ORGLA03G0012300 transcript:ORGLA03G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCHSAHTRTFGKIGRRNAAKVLCSTQMPPSQSTIKVVIIGATKEIGRTAIAAVSKARGMELAGAIDSQCIGLDAGEISGMEEALEIPVLNDLTMVLGSIAQVLASSLYYKMLISTCTVVLLYTSRVCYLKLMFFQTRATGVVVDFSEPSTVYDNVKQAAAFGLNSVVYVPKIELDTVTELSAFCEKASMGCLVAPTLSIGSVLLQQAAIQASFHYNNVEIVESRPNPSDLPSQDAIQIANNITDLGQIYNREDMDSDNPARGQILGEDGVRVHSMVLPGLASSTSIHFSGPGEIYTLRHDVTNVQCLMPGLILAIRKVIRLKNLIYGLEKFL >ORGLA03G0012200.1 pep chromosome:AGI1.1:3:846946:847314:1 gene:ORGLA03G0012200 transcript:ORGLA03G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRQQHKGSWSWTSRVAAAADAASWCLALSLVALLLVCSLGPGGAAGGEQRGGVAAVVRGAALSARACEEIYVVAEGETLHSISDKCGDPYILEQNPHVHDPDDVFPGLVIKITPSKPR >ORGLA03G0012100.1 pep chromosome:AGI1.1:3:842264:845354:1 gene:ORGLA03G0012100 transcript:ORGLA03G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVSASSDLIRFLFLGDSGVLILQTFACFCGCVCGGGGAQYEKEEKIGEGTYGVVYRARDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMHHGNIVRLHDVIHSEKRIYLVFEYLDLDLKKFMDSCPEFAKNPTLIKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRQYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSSLPDYKSAFPKWQAQDLATIVPTLDPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMVQ >ORGLA03G0012000.1 pep chromosome:AGI1.1:3:835666:838635:1 gene:ORGLA03G0012000 transcript:ORGLA03G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEEQGRRLFGVSLTDRPRWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSFGWYFTFVQGFVYLGLIRLQGFTVKQMVNPWRTYVRLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFHEYISAVMLVIGLILFTLADAQSSPNFSMIGVAMVSGALVMDAFLGNLQEAIFKMNPDTTQMEMLFCSTVVGLPFLVVPMVLTGELMRAWTACSQHMYVYAVLVFEAMATFVGQVSVLSLIALFGAATTAMVTTARKAVTLLLSYLIFTKPLTEQHVTGLLLISMGIVLKLLPENKENVPRRQVRKTVQHWDDKQREIREVEEEKAPLV >ORGLA03G0011900.1 pep chromosome:AGI1.1:3:830111:833722:1 gene:ORGLA03G0011900 transcript:ORGLA03G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPARRMTPLTLRDFLEQSSSEGFRAYPRFPVADEGVAGGDLAPPVRLLIEAGLRRSPSRLPSFYNFFHKSPGTLAKISRLSRSLSRRFRDGLWRRRGEDDGEEDDDIAVDEMDSLGLPSPVVSSCSSSECEYMAESEAELATTEEEKCASASSASEYEKTSQSSTGSVAFHGAADAGGDGHKEDVGDEPVGRKLEMEDKQQLSPVSVLDFPFDDDDGEEGSDAGMCSPSFQQCLAELQRSKAELLHKIRRLEGLTQVVVPVDLEAQFTESDSSERTHLNANSTSSSDDTATTAPTTPRQCTDDQDVVNHGEEEEEEHSLLARLLESVVVTDEVSEWLLLDFFAEGVDRLRSSASSCPLNDCEEAALLRAAGDWARGAGQRWGVGDVVFSGWAAVADMERSRRWMCVAEEERDVGAEVDGLVMDALVDELVADLALGGATTVGVEECTCRR >ORGLA03G0011800.1 pep chromosome:AGI1.1:3:822969:823769:1 gene:ORGLA03G0011800 transcript:ORGLA03G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFLCMLFTAFFSFSCVVAHSVCFTVCVRDGSRRELGHAAIDREAGARGHKAPCVPRHPAPQRQVGLGDPRAGQVQQDLARHVPDARDGRRGVRRRGIGAARRRRRAQLPRHGHVAPGARLRLPRRHPRSGRGRRRDDRLRSPWQPARRRREHVSRGAGAGGRRRRWGGRSEAGRGRGRRLRDAAAAGEHGRGLDDEPAEAEPLDGWRRRRVAGGRRGRGRHEPVEPFLKWAYGCIAFAGGHHVFSMCSYEFCAYVQYVLGTYAR >ORGLA03G0011700.1 pep chromosome:AGI1.1:3:818802:822303:1 gene:ORGLA03G0011700 transcript:ORGLA03G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61080) TAIR;Acc:AT3G61080] MANVVLLSAASPSTSSAAPRLRHVARRRPSRRSACPRSAASRLSIMAALGEDPIRQWILTEGKATKITGVSSIGGGCINSAQCYKTNAGSFFVKTNGRIGPSMFEGEALGLKAMYDTNSIRVPLPYKVGSLPTGGSFIIMEFIEFGCSRGDQSALGRKLAEMHKAAKSDKGYGFYVDNTIGSTPQINTWTADWIEFYSKHRLGFQLELITQRFGDSAIYDKGQRLIENMHPLFEGAVMEPCLLHGDLWSGNISSDTNGEPVILDPACYYGHNEAEFGMSWCAGFGGEFYSSYFEVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKA >ORGLA03G0011600.1 pep chromosome:AGI1.1:3:817247:817795:1 gene:ORGLA03G0011600 transcript:ORGLA03G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGSARKARAAEMEAGVAREARPMEGGRIGARGASGGGGRVGAERRGRRWRRRPRCEEELPVGVARSSAHEGWPAGDAGVGVPHVDKACVVMEHRCVSRGFDLVCVPLLV >ORGLA03G0011500.1 pep chromosome:AGI1.1:3:814808:815746:1 gene:ORGLA03G0011500 transcript:ORGLA03G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESECVAVAEPPHVHLHLHPDGTEPLAHIAVDYCPEACHHASEDGEIHVTYDDRGGARWRSRCRFLPGGAVAATIRAPAGDTAGLNYNLYLSSLEGSRDMDEIDFEFLGHDKCAVQTNFHVAGGGGREQIHVLPFDSSDGFHHYAIAWGADAIEWRIDGELIRREERVAGEPWPEKPMFLYASVWDASHINDGKWTGTYHGRDAPYVCSYRDIRVPLALSLEDEEDPYKCACVGDASAAIAAADAAEQVDAGDAPAAAAAADAAEEVDAGDAPAATAATDVAEQVDAGDVPASAAAADAVKEVDAGAGKD >ORGLA03G0011400.1 pep chromosome:AGI1.1:3:811134:812883:1 gene:ORGLA03G0011400 transcript:ORGLA03G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein [Source:Projected from Arabidopsis thaliana (AT4G20960) TAIR;Acc:AT4G20960] MRPYRNHLAFYHTNGSLDWGSLLCATDMASSLVSRTHLTPRPAAAASTASPCSARFAVRRRRGLVGGVRCQAQASDMDGHYMRRCVELARKAAGHTSPNPMVGCVVVRDGRVVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALINAKVKDVVVGMTDPNPIVASKGIERLRSAGIDVRLCVDEEASCRKLNEAYIHRMLTGKAFATLRTTLSMNGVVINQIGSGADQPEGYYSQLLKEYDGVIISSNFAKTNTLPVSREAGAKQPLYIIIAQGENSQLNIPFLKEERAAEAVVLSDSPITVESAGVDVLVLDQMNLDAILQLLAQRGLCSVLVDFREAGGGIASLLNNFQDDKLVQKVVVELLPVWAVSKGPSDLAFGGSQSFPLKDVEHSEVNGCVLLQGYV >ORGLA03G0011300.1 pep chromosome:AGI1.1:3:808941:810287:-1 gene:ORGLA03G0011300 transcript:ORGLA03G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDATRAELGLVVLYLNKAEARDKICRAIQYGSKFISNGQPGTAQDVDRSTTLARKVFRLLKWVNDLHGLISPPAKGTPLTLVLLGKSKNALLSTFLFLDQFVWLGRTGIYKNKERTDRIVRISLYCWMASSVCAGLVELGELKRLSKSMRKLARELRDTDKYENDQYKSKMKQSDERLLALVKAAMDVVVAVGLLQLSPKKINPRVTGAFGFVTSLISCYQQLPSRAPAIKVKA >ORGLA03G0011200.1 pep chromosome:AGI1.1:3:806348:808039:1 gene:ORGLA03G0011200 transcript:ORGLA03G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWKHPAAAGDGEVVETVVELLARVRGMVPPALGAAGAAEGFPGRWKAIAAKLEGLPACLSDLSSHPCFAKNALCRELLQSVAATLAEAAELAARCREPPAAGKLQMQSAIDALAGKLDLNLRDCALLVKTGVLSDAFTPPPPTDEATSTATAAQADVRELLARLQIGHTEAKSRAVDGLLEALNKDEKSVLSVLGRANVAALVQLLTAPATKVREKAATVICQLAESGGCEGLLVSEGALPPLIRLAESGSLLGREKAVITLQRLSMSSDTARAIAGHGGARPLIEMCQTGDSISQSAAAGALKNLSAVPEVRQALADEGIVRVMVGLLDCGTVLGSKEHAADCLQNLTSSSDSFRRAVVSDGGLRSLLVYLDGPLPQESAVSALRNLVSAVSPDSLVSLGVLPRLAHVLRVGSTGAQQAAAAAICRISTTTDMKRVVGEHGCVPLLVRMLDAKSNGAREVAAQAMASLVGYPPNAREVRRDGKSVPCLVQLLDPSPANTAKKYAIACLLSLAAAKRCKKLMISHGAIGYLKKLSDMDVAGAKKLLERLERGKLRSLFSRD >ORGLA03G0011100.1 pep chromosome:AGI1.1:3:800944:803262:-1 gene:ORGLA03G0011100 transcript:ORGLA03G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLNLRFPVISVFRTKAWLLYATLFIPEHPERLAGEMQQQRSSAQRRCRHLRSQSSCSEGKIWWYIEKVVIIGATREIGRTAIVAVSKARGMELAGAIDSQCVGLDAGEINGMEEALEIPVLNDLTMVLGSIAQSRATGVVVDFSEPSTVYDNVKQVSYSPTIWGKKLSYIVKWDCEAAAFGLNSVVYVPNIELDTVTELSAFCEKASMVAWTCHHKMQYRLQIIYQILVRYTTGKISDNPARGQILGEDGVRVHSMVLPGLASSTSINFSGPGEIYTLRHDVTNVQCLMPGLNLAIRKVVRLKNLIYGLEKFL >ORGLA03G0011000.1 pep chromosome:AGI1.1:3:796834:799505:1 gene:ORGLA03G0011000 transcript:ORGLA03G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVEMEVDDPRGWDFVDWVGPDASASIFRSLDDPADIVRAAAVSRSWRRFVVENEFSKSICLRICPEIANFTSAEEVSRSPPQPPHAESSHGVQRKALERDYRIYSYLSGALVSNSPSMDCILQCIGASSTDNFPDETIENTLIPHDRVKHRPSYWSSGGHDDPDTPETLTYRLNCDMCIVDEIKLQPFKAYFQYGHPIYSSKAVRFRMGHSKLPHGSDSFVTVEDENLMAIADENYVWTYTSPEFPMLQENVLQSFKLPRPVLCIGGIVKVELLGRVQKQEADDRYYICICHAQVRGRSLSPVFMVDTSDPAGYSVLKYLPDAKILRSEDAMLDDGSESLEWHSLVARYRRMRHLAIMNVLLGPEEFMDEDDIIGGVLMDEDDLGGMLEDDPFV >ORGLA03G0010900.1 pep chromosome:AGI1.1:3:793877:795375:1 gene:ORGLA03G0010900 transcript:ORGLA03G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSDLAAGMEAVGKVAGGAGLGELPELCAAEVLLHLDAPEICRLARLNRAFRGAAAADFVWEAKLPENYGYLLDFVDGAMEGRGGGRQRSLMGKKEVYARLAKAVPFDGGKKELWLEKSKGGVCIALSSKSLVITGIDDRRYWSHMPTTESRFHSVAYLQQIWWFEVVGELEFCFPAGTYSLYFRLHLGKASTRFGRRVCSSEQIHGWDKKPVRFQLSTSDGQHALSQCYLDEPGSWILYHVGDFVASTTEQPIKLKFSLAQIDCTHTKGGLCVDSVLIYPKGFQQEKVISSQK >ORGLA03G0010800.1 pep chromosome:AGI1.1:3:790607:790879:1 gene:ORGLA03G0010800 transcript:ORGLA03G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVRGEVVSSKPVALTKAARVFSLFAASDDSGLPSDGGALLLCAAEAAMELHVYRRYGLASGQSEEKRPKKRKRKNDACSASGVASRDV >ORGLA03G0010700.1 pep chromosome:AGI1.1:3:786635:790281:-1 gene:ORGLA03G0010700 transcript:ORGLA03G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome, regulatory subunit Rpn7;Proteasome component (PCI) domain [Source:Projected from Arabidopsis thaliana (AT3G61140) TAIR;Acc:AT3G61140] MDVEGEVPAAAAEAVANGLGGAEPSPAPVSAEQLDVEAYAAQYTGRTRLARLLFIAERCGVEAVELEALRMAYDEIKRGEDTMFHREVTNKINGRLGPKYALDQAWTDSVNRRAEQRKEKLESELNGYRTNLIKESIRMGYNDIGDFFYAHGHLSDAFKSYIRTRDYCTTSKHIVQMCMNVILVSIELGQFPHVSNYVSKAEQTPDTLDPIIVAKLRAAAGLAYLATKKYKLAARKNLLLNFSPLKFVETGHELGNTYSEVIAPQDVAVYGALCALASFDRSDLKSKVIDNSNFRNFLELVPEVRELVNDFYSSRYGSCLEHLEKLKTNLLLDIHLHDHVETLYMDIRHKAIIQYTLPFISVDLNTMAAAFMTSVSMLEKELAALITENKIQARIDSHNKILYARHADQRNATFQRVLQTGNEFERDVKSLLLRANLIKHDFNQRAGQRKM >ORGLA03G0010600.1 pep chromosome:AGI1.1:3:783546:785700:1 gene:ORGLA03G0010600 transcript:ORGLA03G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:I1P6W0] MATSHADVEKGARRKEVGKVPSPLYPQHEGEREWVPWIVPSFLVANIVVFVLTMYANNCPLHTPPRSGKCIARFLGRFSFQPLHENPLLGPSSATLQKMGALVWDKVVHEHQGYRLITSIWLHAGVLHLVANMLSLIFIGLRLEQQFGYVRIGAIYLLSGLGGSVLSSLFIRNHISVGASGALFGLLGAMLSELLTNWTIYTNKVAAVITLLFVIAVNLALGILPHVNNFAHIGGFLTGFLLGFVLLMRPHFGWMERYSLPSGSPCSSKKYLVYQWILLAIATALVIVGFAVGMTMLFRGENANDSCHWCHYLSCVPTSRWTCSN >ORGLA03G0010500.1 pep chromosome:AGI1.1:3:773936:779066:1 gene:ORGLA03G0010500 transcript:ORGLA03G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTSMPQVWDEEGVAKGSVVTPAPATALLGSLAGWMSRAVEPPAPRPCGTEGGPPVTATRLRLRDGRHLAYCESGVPKEEARFKVVFSHGFTGSREDSVRASQCDFQEVAEELGVYMVGFDRAGYGESDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPERIAGAAMMAPVVNYWWPGFPTDLAAEVYNKQEVGDQWALRVSHHAPSILHWWMEQSWLPTSTVVAGTTPLPNKRDAEIRKNMKADGSFQKKMDLATQQGIHESYYRDMMVMFGKWEFDPMSLPKPPCPVHIWQGDEDGLVPVVLQRYLVSRLSWANYHELPGTGHFLSAVPGLGDTVLRTIFG >ORGLA03G0010400.1 pep chromosome:AGI1.1:3:763459:766999:1 gene:ORGLA03G0010400 transcript:ORGLA03G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSARRSLASGLSRHLSRRLHPSVSHLLPSHHDDHSENPSPPAQPPPLPSALRSPSRSQALGLPLPFGLLHASRRSLSTSPRSTDELDASAEVLSDAASSVSVPADVLADAAASVPVSAPAPFPGEVAAAAADSFAPVAALQHLIDGVHSLTGLNWWACIALTSLLIRTLTVPLLLNQMKATVKLNAMRPEIEAINLEMRTISSTRVAGNEKSSTRVTDEGSMSTDPQSMLEGKRKLGELFLRHGVTPLTPLKGLFIQAPIFMSFFFAISNMVEKVPSFKGGGIYWFTDLTTPDELLILPMLTSLTFLVTVELNMQDGMEGNPMLKTMKNFSRVMAVLTIPFTMSFPKAIFFYWVTSNLFSLGYGFVLRKPAVRSFLDLPPIETQFAPAQQPTFNLFGASKSVPAAGSSIAESDRSSSVLSQRFSDLENRAKSRRESQD >ORGLA03G0010300.1 pep chromosome:AGI1.1:3:745011:745535:-1 gene:ORGLA03G0010300 transcript:ORGLA03G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLHHTGNQQATQQGKAGPGKGNEVSFSILTHGIHLKFVRLYHRHHLASLKLKVSWVLDASSISFLCSSIHVEVIVRVEHRLSVRLWSAGMLLVFLRFDDDFHGNHWLSPVKPPTYLRLNSKAQLVPNPWRQLEGSLAGQMGSTFEEALGCNRRGNAADLRRLYSLLLLYQMI >ORGLA03G0010200.1 pep chromosome:AGI1.1:3:742696:744455:-1 gene:ORGLA03G0010200 transcript:ORGLA03G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAKYLLGSPGANGFGSKSTAEDVCPDLGCITAIITGATSGIGAETARVLAKRGARVVIPARNVKAAEDMRARIRGECPGADVLVLPLDLSSLASVRAFADRFLFLGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAAATGVQGRIVNVSSSVHSWFAGDWAEYLDLVTRRKIAYDATQAYAVSKLANVLHTKELAVRLKEMGANVTVNCVHPGIVRTRLNRDRDGLVTDLVFLLLSKLLKTIPQAAATTCYVAAHPRLAGVSGRYFADCNEALPSPAATNRHEAERLWQISESMLLCTNKHSKDASP >ORGLA03G0010100.1 pep chromosome:AGI1.1:3:738727:738966:1 gene:ORGLA03G0010100 transcript:ORGLA03G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRVPDLPKGSDKQRFGRSRDTNRSGFLNAHALEPRNRSTTSNRVSDVRIKLHMLFVNRGRKKSQYSTNKSEINGQCK >ORGLA03G0010000.1 pep chromosome:AGI1.1:3:736501:738113:1 gene:ORGLA03G0010000 transcript:ORGLA03G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxamine 5'-phosphate oxidase family protein [Source:Projected from Arabidopsis thaliana (AT2G46580) TAIR;Acc:AT2G46580] MAGGGAAASALSTPWRSLLQRALDGNAHLKHSTFFQLATVGGGGRPANRTVVFRGFQEQSDKIQINTDARSNKIGEIRNCSFGEICWYFTDTWEQFRISGFIDVIDGSNPEPVKLQLRERAWFGSSVKSRLQYLGPCPGLPIPDDDLIKDAHLDPSAGPVDAFCLLVLDPEKVDYLNLKSNQRLMFTRNQKGDGTNSWMAEKISP >ORGLA03G0009900.1 pep chromosome:AGI1.1:3:728698:732523:1 gene:ORGLA03G0009900 transcript:ORGLA03G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMARPRFEEDDEDEFFDSREVMSPASVSSPASSGRYDGPMLEVWATDPCSVHERRQRFIKSLGLSDSSPSAGGGGDRPDEEPCSRSSAAEEILPCSPTVELVSAVPSFACRGEEPGASGGGGGAEVLDCVFKNLDDGTVFVVDEMGKDGSFRSLRDRRSNRTVTAAEFERTYGSSPFICELMRRVDDSDESSAVEKALVRGRRRRRRFGWLRRLGIRGCVVDVEEDDETNSTSSSSCRSCSGKVDRVKVRHYKKRSKELSAVYRGQDIKAHEGAIVTMKFSSDGQYLATGGEDGVVRVWRVVEGERPNELDFAEDDPSCVFFTVNENSELAPVNSSEGSKSKHYKNSKVSTDPACVVIPHRTFALSQEPVHEFYGHDDAILDLSWSKNRDLLSSSMDKTVRLWQVGCNSCLKVFSHTNYVTCVQFHPTSDNYFISGCIDGLVRIWDVRRCQVVDWADTKEIITAVCYRPDGKAAVVGTITGNCRHYDASENHLELESQVALNGRKKSPLKRIIGFQYCPSDPKKLMVTSGDSQVRILDGLHVISNYKGLRSSSQVAASFTPDGDHIISASDDSSIYMWNYANQIAPVTNHVKTVWSNEHFSCHDVGIAIPWNASQTRNSISLACSITSSRQEVSDEFHNEHDSSSCSHPEDSPDGDSLYQLPSGNFTLSSAFFAESAPRGSATWPEEQLPSNSTTQSTLRKSQYKFLKASCQNAATHAWGQVIVAAGWDGYIRSFQNYGLPVQV >ORGLA03G0009800.1 pep chromosome:AGI1.1:3:718810:720347:-1 gene:ORGLA03G0009800 transcript:ORGLA03G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53170) TAIR;Acc:AT3G53170] MARGGRRHKPPSSAPEAEQPEALSRILRTEAAVSGVSRKAAAASRQQSTRLWPRAVLEALDSAVASCRWESALEIFELLRKQQWYKPRSQTYARLLMMLGKCRQPGAATALFKVMLSERLKPTVDVYTALVGAYGYSGLLDQALATVEQMKGVADCKPDEYTFSVLINCCSKLRRFDRIPAILDEMSYLGLQCNAVIHNAIIDGYGKAGMLEEMENALTSMLEDGDSVPDIYTMNSIIWAYGNHGNRIHEMERWYSEFQLMGVEPETQTFNIMIKSYGNAKMHDKMMSVLKYMKKHFFSPTVVTFNIIIESFGRAGNIEKMEYYFRLMKIQGVKPNPITYCSLVNGYSKAGFLDKVPGIIRQTENTDVVLDTPFFNCVIDAYAKSGDIKIMEEMLQLMKEKKCKPDKVTYTTMIQAYNVHGMDEAANLLKMEVGMVDGKLLESVSEVDKK >ORGLA03G0009700.1 pep chromosome:AGI1.1:3:715574:717225:-1 gene:ORGLA03G0009700 transcript:ORGLA03G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRPASAAGQGESSSSSGAMAVDAAGGVEKPRFEALMPSEMSGGRPQFRKVPVPQHRFAPLKKAWMDIYTPVYEHMKIDIRMNLKQARRVELKTRQDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY >ORGLA03G0009600.1 pep chromosome:AGI1.1:3:710108:714692:-1 gene:ORGLA03G0009600 transcript:ORGLA03G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-fucokinase/GDP-L-fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) TAIR;Acc:AT1G01220] MEPAERHRRRRRRAHTADEAAAVLRKAWCRLRLSARDPSRVPPWDAVVLTAASPEQAALYHRQLARARRLGRFPASTAALAVPDPDAARIGSGAATLHAVASLVRHLIAQASKEEIAELLPEASDSSADDIPLSSVVRFMANKHILLLHAGGDSKRVPWANPMGKAFLPLPYLAGDNPDGPVPLLFDHILAISSSARQAFKNQGGIFIMTGDVLPCFDASNLVLPDDAACIVTVPTTLDVAANHGVVVAAKDGTDGENYSLCLVDNLLQKPTVHELVEGQAIRDDGRALLDTGIISARGKAWQELVRLAYSSSHVMIKELITGRKEMSLYEDLVAAWVPSRHEWLRTRPFGMELIAALGKHRMFSFCSYDFSFLHFGTSAEVLDHLAGSYSGLVGRRHMSSIPETTACDIAATAVILSSKISAGVSVGEDSLVYDSSLSGRIRIGSQCIVVGVNIHELHGNRSQIISTSSYFTLPDRHCLWEVPLVNSVERVMVYCGLHDNPKVSMKKDGTFCGKPWRNVLEHLKIQDTDLWSSTNEDNCLWNAKLFPVMSLPETLKVGMWLMGSTCDLDGKVASLWKESRRISLEELHRSIDYHQLCVNSSKHQADLATNIAKACMTYGLLGRNLFQLCEEMLQKENSCVEVCNELLSLCPSHGDQYSGVLPQSRRYQVKMDLLTASGDLSTAAIVEDKVWASIASETASAIKYGSKEPSSDSKCSSNGNLHPKKAIVELPVRVDFVGGWSDTPPWSLERPGCVLNMAIRLEGNLPVGAMIETTMDHLGVLIEDDAGRNVCIDDLSSITSPFKENDSFRLVKSALIVTGVLNHERLSKLGLNIRTWANVPRGSGLGTSSILAAAVVKGLFQLIEGDESDATVARAVLVVEQVMGTGGGWQDQIGGLYPGIKCTQSFPGQPLRLHVVPLLASPQLIQELQQRLLVVFTGQVRLAHRVLQKVVTRYLRRDSLLISSIKRLAELAKIGREALMNGEIDELGGIMSEAWRLHQELDPFCSNKLVDELFTFADPYCCGYKLVGAGGGGFALMLGKNLNSAKELRQALENSATFDVKVYNWNVAMTP >ORGLA03G0009500.1 pep chromosome:AGI1.1:3:708328:709160:1 gene:ORGLA03G0009500 transcript:ORGLA03G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:early nodulin-like protein 8 [Source:Projected from Arabidopsis thaliana (AT1G64640) TAIR;Acc:AT1G64640] MRGASALASLVAAAAVALLLLIDGCGGAMYKVGDLDAWGIPPPSKPDVYSRWAKSIHFALGDSIWFLYPPSQDSVVQVTPVAFAACQASDPVLKLDDGNSVFNLTTPGRVYYISAAPGHCRKGQRLAVDVPMANGTYLPPTANDLAAFAPMPAEAPAGFESAALGPAGARQSAAPRAAAAGGAGSVLLAALAFAVFLL >ORGLA03G0009400.1 pep chromosome:AGI1.1:3:706057:706641:-1 gene:ORGLA03G0009400 transcript:ORGLA03G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRLFSSGSGTRDDRTDASGRRLYNPYQDLNIPYKQLYDLPTSPEFLFQEESLAQRRSWGENLTYYTGIGYLSGAVAGAAVGLRDAARNAEPGDTAKIRANRVLNSCGSNGRRMGNTLGVIGLLYAGIESGMVAVRDRDDWINSVTAGLGTGALFRAANGPRSAAVAGAIGGVLAGAAMAGKQAAKRYVPAL >ORGLA03G0009300.1 pep chromosome:AGI1.1:3:700347:703637:1 gene:ORGLA03G0009300 transcript:ORGLA03G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYLVFGGLAAVVAGLELGKSAKDRVTTSQAFNSFKNNYVLVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFDSQWLSITFSKAIFLGNGLVAIVSGLFANLLADNLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSESKDLMSQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIAARLLARKLKVEGYMQIVFTISAFTLFLPVVTNILVPTSSVKGGSISFGGTLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMAAILQRRLMVVSDLHKSSTKAQEMVDEDEPLNP >ORGLA03G0009200.1 pep chromosome:AGI1.1:3:688427:689992:-1 gene:ORGLA03G0009200 transcript:ORGLA03G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G46620) TAIR;Acc:AT2G46620] MLLGAMSGGGVVVAVAYAALAVVALRMALSYKSALYAVRRLWRWADEWAQAYQYHEVPRFACDGGGAENPLFRKAAQYVAALPSLEDADAASVLSSASRTNGGFSLQLGPGHTARDAFLGARLAWTNRGDVLVLRVRRHDRTRVLRPYLQHVESVADEMELRRRELRLFANTGVDGSTGTPRWASAPFTHPATLDTVAMDPDLKARVRADLENFLKGRAYYHRLGRVWRRSYLLYGPPGTGKSTFAAAMARFLGYDIYDVDLSRAGSDDLRALLLHTTPRSLILVEDLDRFLQGGGAGDAEARAARVLSFMDGVASCCGEERVMVFTMRGGKEGVDAAVVRPGRLDVHIHFTLCDFEAFKALASNYLGLKDHKLYPQVEESFHGGARLSPAELGEIMLANRSSPSRALRNVITKLQLVSGAAAAPRPPHRRNTSWSGAGGPWEEQAARASADAADGGEEAITATAACGVFAKDAPMREFKKLYGLIKIRSRKEGSGGFMPLHGGEAPSPANGRGREHDKER >ORGLA03G0009100.1 pep chromosome:AGI1.1:3:675508:684108:1 gene:ORGLA03G0009100 transcript:ORGLA03G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGSVRRTAASSSPAAAAVPTAFTASPGDYRLLEEVGYGANAVVYRAVFLPSNRTVAVKCLDLDRVNSNLDDIRKEAQTMSLIDHPNVIRAYCSFVVDHNLWVIMPFMSEGSCLHLMKVAYPDGFEEPVIASILKETLKALEYLHRQGHIHRDVKAGNILMDSPGIVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGAGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLIHDDDPPEIKEDVDNDRINEADKEPFSGNHFGQPKILSGKHFSEQTCVTAVSPGGNMHETSRGLVSEPGDADSERKVDGYRKQGSENESLPSTSKHDSEGQNSSSEVKQKERTCSGPIPCSGVHNKSITESSRIFDREAAVKLASDKQKSCTKRTTNLSGPLALPTRASANSLSAPIRSSGGYVGSLGDKSKRSVVEIKGRFSVTSENVDLAKVQEVPTSGISCKLQEGSSLRKSASVGHWPVDAKPMSNSHQRKELCNGSVSASVLIPHLRNLVQQTTFQQDLITNLLSSLQQNEKADATQYRLGNMDGDTEVETSISEGERSLLVKIFELQSRMISLTDELITTKLQHVQLQEELKILYCHEEIIDTREVDNA >ORGLA03G0009000.1 pep chromosome:AGI1.1:3:664835:667230:-1 gene:ORGLA03G0009000 transcript:ORGLA03G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT2G40780) TAIR;Acc:AT2G40780] MKAGRKNLRRACGEGAVTLGEGESIMQVLALRGSNVIEVMDAKGVKSLALFPAKFQKSFWIKNGNFVVVDASGRDQALESGSKIACVVSQVLFHDQVRAMEKSEEWPAIFKSTSKEGSEAGTQEGTRPQIEEEPDSDEDDDLPPLEANTNRQRPFELYADSESDSDS >ORGLA03G0008900.1 pep chromosome:AGI1.1:3:662866:663549:1 gene:ORGLA03G0008900 transcript:ORGLA03G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTRARARLGVRAFYLRLSSPAPAELTLVYLPAIGGAALELGGRALPPAAPAEVPLRRVGAGGTDAAAYASADRVCVAEGARFEVYAAGKDLVADGVFSRRRGGGGGWRVECRRAAAAAAAVAEVVVLEEGGALMRATAKASARGLGCGGTRLEGIPEEGWGCDCECGTCGDDEWEVVGDDGELDTPEMEAETLTWALEMGAWAVCVGVGLLATARRFRRKRAFW >ORGLA03G0008800.1 pep chromosome:AGI1.1:3:657458:660567:1 gene:ORGLA03G0008800 transcript:ORGLA03G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:I1P6U2] MPTDNQADVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFKIAEERKETVTYSISVSVLEVYNEQIRDLLASSPSSKKLEIKQASEGSHHVPGIVEAKVENIKEVWDVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVRAENLMNGECTRSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKNSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSNNDVSETLSSLNFASRVRRIELGPAKKQVDTAELQKVKQMLERAKQDIRLKDDSLRKLEDNCQNLENKAKGKEQFYKNLQEKVKELESQLDSKMHSQITSEKQQNELFGKLKEKEEMCTTLQQKIAEESEHKLRLQQQSESEIKELELKLKEQEHHRSVAESKIKELELKLKEQEHHRSVAESKAMEIGQELLETQRTEAMLQIKPRDLENNLQERTTLQDTNMILDSTNCMRVASTPGEAKAHLLTREEAMSEKEQHILRSSDSMNKKVTNNSSIVGAPEVVNEKKRKGDARNSSIGGELENQPVGSQNASRKRSLQGEPRLKRKSTEPLKNPGRVTATSKTAAATHKTGPVTRATRQQPAVNKTRGWVR >ORGLA03G0008700.1 pep chromosome:AGI1.1:3:650301:650894:1 gene:ORGLA03G0008700 transcript:ORGLA03G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRSPGAGSLRFLGLLKQPESGPDGAAAPFELDESDVVWPAGGVGDDGYCCPAPPHPEGPPRAPRRAHTVPQSFGLSSLLANGGRGGGSDDGRQDGVAVPVRAAAAPGGGAAAPRRSAPVRVPMWPGKGAAANNVVGGEESDDNEDDEMVPPHVVAARRHARSSSVLEGAGRTLKGRDLRRVRNAVLRQTGFLDL >ORGLA03G0008600.1 pep chromosome:AGI1.1:3:640679:645866:1 gene:ORGLA03G0008600 transcript:ORGLA03G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G41950) TAIR;Acc:AT5G41950] MEDSARPTPADSGELPNGAGMAEDAVVAPDAGEAPEEGEESGIANDSETNAALGAEGEPSRPLTMRELLGELKDSGEPSSGRSTLSEGNGIGSAGAERASQDSLQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYVSAIERNPEDPDAYYNWALVLQESADNVDPDSSSSKDSLLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAIMNYDKAVQLNWNSPQALNNWGLGLQELSAIVPARDKQTIIKTAISKFRYAIQLQFDFHRAIYNLGTVLYGLAEDTMRSAGPDVSPNDLYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKAGYLTAPPADNTIAPHKHWERSEFVLNHEGLQQVDASESPSSKPLGHMGRSKKYIKVAVEDIVSVSACSDLTLPPGAGLCIETIHGPLFLVADTWESLDGWLDAIRLVYTIFARGKSDVLAGIITG >ORGLA03G0008500.1 pep chromosome:AGI1.1:3:636218:640255:-1 gene:ORGLA03G0008500 transcript:ORGLA03G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLRAVRRRDLASPLGTLTANVQSKCAASVCSRWAGFARTFSAKATGNEVIGIDLGTTNSCVSVMEGKNPKVIENSEGTRTTPSVVAFNQKGELLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWLETTDGKQYSPSQIGAFVLTKMKETAESYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSEFKRTEGIDLSKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADSSGAKHLNITLTRSKFESLVNSLIERTRDPCKSCLKDAGITTKDVDEVLLVGGMTRVPKVQEVVSEIFGKAPSKGVNPDEAVAMGAAIQGGILRGDVKDLLLLDVTPLSLGIETLGGIFTRLINRNTTVPTKKSQVFSTAADNQTQVGIKVLQGEREMAADNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKEQQITIRSSGGLSEAEIQKMVHEAELHSQKDQERKALIDIRNTADTTIYSIEKSLGEYRDKIPAEVASEIETAIADLRNEMASDDIEKIKSKIEAANKAVSKIGQHMSGGGSGGSQAGSQGGGDQAPEAEYEEVKK >ORGLA03G0008400.1 pep chromosome:AGI1.1:3:631327:631756:-1 gene:ORGLA03G0008400 transcript:ORGLA03G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRYTKKSSLMIPLLLIILVVAAASTTVARSAWVGDYASNHGCGETAAAELCDPRDPAANRACDDACHYNGCRGGHCILLYRGHLDGGDGGGGGRRGIGRGCHCR >ORGLA03G0008300.1 pep chromosome:AGI1.1:3:621490:624462:-1 gene:ORGLA03G0008300 transcript:ORGLA03G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSAAGSEGAPSPISSRPPPPEQAAAAAAAEEQLNGSSLEHDGVLGGEEGDRGGSSAGNRWPRQETLALLKIRSEMDAAFREAALKGPLWEEVSRKLAEMGYNRSAKKCREKFENVDKYYKRTKDGRAGRGDGKTYRFFTELEALHGAAAATARPPPVSLAPAPVAVAPPATPAGLSALRVHAAPPPPVKQHAAPPPPVMDAAACVMTMDDVSFSSGSDTEETAEEGGKRKRRGGGGIGGGGGGGKAMRMFEGLMRQVMERQEAMQQRLLEAIERRDQERMIREEAWRRQEVARLAREQDALAQERAIAASRDAAVISFIQRVTGQSITAPPPPPLQPTPVASAAPPPPPQHHHQQTPPPIQIQPHHIMPMTPQPQLQPPQPQSKEANTVVRAAPPTQEQHDTAASGGGGASSSRWPKAEVHALIQLRTEMETRYQDSGPKGPLWEDISAGMRRLGYSRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYYHQLDALYRTKAANAAAAASASPAPATTTVLAPVPLSQTPPHVDHGGSNGNGNGWASANNGGGGSSSGGMQTKASNNGTATAGGLPVVSVAGGNGNGVAATTDNKGSKQVPVAKETAGQRQPQPLAMNHNYGNDRMADDMDSDSMDDDDDDDEFDDDDDIGGGKMQVQYEIQRPQLQNQNVVVGRPNASGGGAPTTPAGPPPPAATSGTSFLACVQ >ORGLA03G0008200.1 pep chromosome:AGI1.1:3:610173:612565:1 gene:ORGLA03G0008200 transcript:ORGLA03G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSQNRQARSSKRRRRTAADAAAATGDPSPWESLHEDLLGLIAWRVLAGDDDLLDYVRFRAVCPHWRSSTACPRGRGIVDRRFHPRRWMLLPEGHGLYPGHGKLRGFVRFFNLSTGAFVRVHLPLFRDHCVLDSVDGILLLQRDHDTAIRLLHPFTGDILDFPPLETLRRYVSSKLVGDKWNYLRRIGAASINVSADQVVSLMMWSPGMVQVAFATSGEQQWRASSWYFKQIFSPLAFQGKMYMVRHHLTYGEPEILQIDPPQLEGTEFWLPPPTLIAKCPANTANTSGSWFSYHLVECDSEVLVIALSTGIHRKISVYRLADFMLGRRTLRVTCIGGNALFIGKRNLCVSSKAFPTVVGDTIVFHHYQQGYLAQYHLSSGTLLPASDGTIAEYAIPSPCSIIYHIYTCCFREQWNKGHIRFQGLLTINWRVKRKWRSGVA >ORGLA03G0008100.1 pep chromosome:AGI1.1:3:606247:609139:1 gene:ORGLA03G0008100 transcript:ORGLA03G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase [Source:UniProtKB/TrEMBL;Acc:I1P6T5] MSSICAMRSLLAASTFLRSGASPLRPLSRPLPSRLNLSRFGAVRPVSAAADKSRGGGGSAMEAQPSYPGEIHVIVGPMFAGKTTALLRRVQVEAGTGSRNVALIKSDKDNRYGLDSVVTHDGTKMPCWALPELSSFQDKLGTEAYNKVDVIGIDEAQFFDDLHDFCCKAADRDGKIVVVAGLDGDYKRNKFGSVLDIIPLADSVTKLTARCELCGRRAFFTLRKTRETKTELIGGADVYMPVCRQHYLDGQIVIEATRIVLDLEKSKVIHALK >ORGLA03G0008000.1 pep chromosome:AGI1.1:3:602488:603606:-1 gene:ORGLA03G0008000 transcript:ORGLA03G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRHRHRLLCCGGGVATAPGRFAGDAIADHQQAAAAGAKNAAATSSARQLSWAQVEAMTRGFTSAVVGEGGFSTVYLGRVAGSLAAVKVHRSSERLQRAFRQELDALLRVRHPHIVRLLAFCDQRDEGVLVLEFAPNGNLHEQLHGAGAGGAMPWARRVAVALQVARALEYLHDRCEPQVVHGDVKSSNVLLDAAMGARLCDFGSARAGFSAAVHCPRPRPSSLAVLGSPGYVDPHYLRSGVVTKKSDVYSFGVLLLELLTGTQAFCDGMLLTAAVAPKLKGAAAGDVEKLVDERLGCQYNAAEAATVAALAAACVGDNPSLRPSMADVVRTLEKPAQKAGR >ORGLA03G0007900.1 pep chromosome:AGI1.1:3:595591:597228:-1 gene:ORGLA03G0007900 transcript:ORGLA03G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGVAEYLLLDPWLVLWLVLASMAFALLHLRRRARPPLPPGPRPLPIIGNMLMMDQLTHRGLAAMAARYGGLLHLRLGRVHMVVVSSPEHAREVLQVQDGDFSNRPASIAIAYLTYGRADMAFSHYGHFWRQVRKLSAVRLFSRRRAQSWRAVRDESAKLVGAMARSASAGAGEAVDLGELIFGLTKDVIFRAAFGTRDGGGHGELEVLLQEFSRLFGAFNVGDFIPWLAWLDPHGINRRLRAARAALDGVIDRIIDEHVSNPAGDEDADMVDDMLAFLDEAGRDQTGGGGGELQGTLRLTRDNVKAIIMDFVFGGTETVASAIEWAMAELLHSPGDLRRLQAELADVVGLGRGVEEGDLEKLPFLRCVAMETLRLHPPIPLLLHEAAADCVVGGYSVPRGARVVVNVWSVGRDPGAWKGDAGAFRPARFMAGGEAAGMDLRGGCFELLPFGSGRRACPAIVLGMYELELVVARLVHAFGWAPPGGVAPEELDMADGFGLTAPRAARLRAVPTPRLTCPM >ORGLA03G0007800.1 pep chromosome:AGI1.1:3:591035:594929:-1 gene:ORGLA03G0007800 transcript:ORGLA03G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTPTQRYAAGALLALALRQAQIHQSVLLGAHDDDDDDDEEQGRTSTSSGGGGGGSSSSSNSGGGADADLWTHDSHGLLRPVFRFLEIDPKAWSGLEETAASSEAKHHIGAFLRIIFEEDGESSSDRSVQELALAKGVDAMVMSLGNDSEVGNTIKEGDGDQDALPSSSGTDKSPGESSHDDLLGINKLTLDDIPANNHRKMALLFALLSACVADKPVSQEEEDRKSTRFRKGYDARHRVALRLLSTWLDVKWIKMEAIEVMVACSAMAAAKEQEQSQESASPKSKWEKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPVIGASGFAAMATAAGSVAGSVAVAASFGAAGAGLTGSKMARRIGSVKEFEFKPIGENHNQGRLAVGILISGFAFDEDDFCRPWEGWQDNLERYILQWESKHIIAVSTAIQDWLTSSNIFSFAHLHYQQKLLIYTAIYDSGLAMELMKQGAMRTVLSGLLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKMLAEVLLKGLQGNRPVTLIGFSLGARVIFKCLQELALSSDNEGLVERVVLLGAPVSVKGERWEAARKMVAGRFVNVYSTDDWILGVTFRASLLTQGLAGIQAIDVPGVENVDVTELVDGHSSYLSAAQQILEHLELNTYYPVFVPLSAANEETDGTVA >ORGLA03G0007700.1 pep chromosome:AGI1.1:3:587252:589934:1 gene:ORGLA03G0007700 transcript:ORGLA03G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTSSSAHSNVESHHDHYPSWSQVQHDPKNSLQAGSGKDLNSRYDIAQKLPSQRITRDVPSPVFAWSPSESRTASSQHEGSCLSSTTNLCTHDELHSSIASAKAKSFAPATPVEDRGSSSPSGMLSLSERAPICSPQSAPSASASDTCKMEENMNQQKTLEADISNTSVNQSPESKILPESSPDNQDAEHEYRSPPPISESKELSPQSRTTPGSSPDNQDTEREYPSPPPISGSKEISPQSRTILESSPDNQDNGHEYPSPPPIPESIELSPHSKALPESSPDNQDIEPECSSPPQIPESKELSRQSKILPESSPGKQDIEPECPSPPQIPESKELSQQSKILPESSPDNHDIKCEYSSPTPIPESKELSLQSKILPESSSDNQDIKCEDPSPTPISKSKEVSPQSKILSESYLDNQDVERECPSSILITESKELAVDLPGSISLAPEKTASTDVGENSSLAFIFPKSTLAGDDALKSVFDMAKAHLECEDSKVKEELYVESTVVIRDDMVVNPASGVESIDMSENLLESLMEQSCGTFYMDGTTALEGFLSGSTKEEPQCSSPIALSTCSSPIALSPWGEHGYYQGDSVGSSLWGVQDDDPIGNIWPLSSQAPALQYSSAGSTAHFIDEATVTHGNNGVVLSSTPGEEVGLPNSGVCTDWGLVEQVNPEANDASVSMIDKNSGLVDSQPSANDGSDVGTARNTNHNTNLSLNHETAVPLSRSSGEASRKHGFITDLNVATSEEALGNTKNWNPSAGNANRGSQRNHHRDRYSQISESWLLSSNYSRSRSDGFGTGGSSRSTPRGQTQRGICKFHENGYCRKGASCNYLHP >ORGLA03G0007600.1 pep chromosome:AGI1.1:3:568465:578458:1 gene:ORGLA03G0007600 transcript:ORGLA03G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCHNKEQERLRVDKELGNIRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMETVSLISAPKYPEKQVGYIVTSCLLNENNDFLRMVINTVRNDIIGRNETYQCLALTMVGNIGGKEFSESLAPDVQKLLISSSCRPVVRKKAALCLLRLYRKNPDVVNIDGWSDRMAQLLDERDLGVLTSVMSLFVSLVSNNAEAYWNCLPKCVRILERMARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPGARRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMSRMLLVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKEIVEELLQYLNTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQPYAAAKAREYLDKPALHETMVKVSAYLLGEYGHLLARRPGCSPKELFAIINDKLPTVSTSTVAILLSTYAKILMHTQPPDVGLQQQILTIFKKYESYIDVEIQQRAVEYFELSRKGAALADVLAEMPKFPERESALLKKAEDAEVDTAEQSAIKLRSQQQTSSAIVVADQPPVNGSVPASNHLTLVKMPSQNITEESNVTYEEPPVEIPKENGAPVEVESKVENIPETNIESKVEPPAAHPASQADLLADLLGPLAIEGPPAAVEQNPVQGLETNQSPVGDLALATLEDQSNSVQPIVNVEEKFHILCSKDSGVLYEDPHIQIGLKAEWRAHHGRLVLFLGNKNTAPLLSVQALILPPSHLKTELSSVPDTVPPRAQVQIPLEVVNLRASRDVAVLDFSYTFGTSLVNVKLRLPVVLNKFLQPITLSPEEFFPQWKALNVQNLKVQEVVKGVKPLPLPEIASLFMSLHLAVAPGLDNNLNNLVACTTFFSETTRAMLCLVRIETDPQDRTQLRLTVASGDQYLTFELKEYIKEHLIDIPRVQAASPPVPVQPQLPPAAVPATYNDPGAMLAGLL >ORGLA03G0007500.1 pep chromosome:AGI1.1:3:559276:565616:1 gene:ORGLA03G0007500 transcript:ORGLA03G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G01860) TAIR;Acc:AT4G01860] MAAGDHLRPGSYLGDVSALSFLPSSPRPLLLAGTGSELLVYDVDAARLVASFQVFDGVRVHGIQPRCPDGPSPGEVTVVVFGERRVKIFRIRADFEDGEACGVRLELEQRLPGFDHWVLDACFLEADGLLAIGLSDNSVALWDLSQRVLHARVKSPEKCLLYSMRMWGNSLESLLVASGTILNEILIWKIVPRALEKSLLCSYKSNTLGVEDYENMHISDKQYITIHLGRLKEHEGSIFRIAWSSDGSKFMSVSDDRSARIWMLSSQSQNFVNKADGQNDVQIIPKLTLFGHSARIWDCYVSNSLVITVGEDCSCCIWAMDGKLIKKFREHIGRGIWRCLYDPSTLLLATAGFDSAIKVHHLYNSSCHDKMEDKVVSDDVNYDSEVFSISSPTVSGQYGPMDSKSEYVRYLHFVKENDLYVATNNGYLHHAELSNSNNVRWTKVTQVAEVAPIICMDVMVMHSDISLNRDDIIALGDGRGNVTVVHLTASDLGPKVNSSFTWPAEKDRQLLGVYWCKSLECRHIFTADPRGVLKLWDIRNALFSNTLDITTSQKVPLIAVFESSFGARIMCLDVFPQDEVLVAGDKKGNITAFHFPKVLVEHESCGTQQNMPSCDRFKGAHGISSVTSVRIITSTSDHLQIHTTGGDGCICFFKYDRNVQKIEFFGMRQVKELGTVQSIFPPHASEKQLLSTYAIGFTSADFIIWDLENETKLLQISCGGWRRPYSYYLGMVPEYQNCFAFVKDHNIHIHRHWAPCQDKKLLPQVFHMQFHGREVHSLCFIDPAGYSNPEKSSNLYIATGCEDGTMRLTGNSINSAGKWCSSKLLGEHVGGSAVRATCFVQKGYTSLDKSCNIIPNGNSDDTLVKNKDNISLLISVGSKQVLTTWVLQPKVAENRHICSSGLDVDSKQSLKGDSAMTFQWLSTHMPPKLTNRLKTGNVKNNNEEGDSSMMQPNQFIVDQLENDWRYLSVTAFLLEHPSTNSTVCFVVAACSDATVVLRALLLPSRLWFDVALLAPQGSPVLVLKHIIAAASVNCKDTADHGDTYIVVSGSTDGSITFWDLTDTIHGFMQLVSETQPHMVIDCQKRPRTGRGSQGGRRRWRTLPGRSLKKINEETSIPDGSIPNTPNATENTSETSNVEETDATNQNYAFSNLQSCNIPEVTPMHRFSGVHQSGVNCLHVSEMRACSYSTPGMSYCVISGGDDQAVHCFSFTLGSLQDCAINTSLDSPDNGAVKIICQHRVPSAHSSAVKGIWTDGIWAFSTGLDQRVRCWKMESSGKFTEYSHAIISVPEPETLDVVHDRAERKYWVAVAGRGMQMVEFLSAENDEEEISK >ORGLA03G0007400.1 pep chromosome:AGI1.1:3:551954:558330:1 gene:ORGLA03G0007400 transcript:ORGLA03G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPAGFRWSKCKRERGKKAQGKGKGKGGSXAPGTSSFRWSXKKXEEAKEESCXGXESXGFHXSRHSSWAEEISCISNGQAVXPSCCXEIMVCLVGIVRIFWGRSCGSYFEVCTRYYVLPPPNVTGALHIGHALTVAIEDAIIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMREKKLTRHDIGREEFVSEVLKWKDEYGGTILNQLRRLGASLDWSRECFTMDKPRSKAVTEAFVRLYKQGLIYSFFPLLWMICFYTLEGLSPRELGLHSSNSNFXRRGXLSRYXRRNHVKGSWLXYNCTIRRVDLFCISTXGRIGXDHCRNNKNRNNAWXYSNFCSPXGXXIQASAWRYAIHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRRSLEFINIFTDDGKINNYGGAQFEGMPRFTARVAVIEALKAKGLYKETKKNEMCLGVCSRTNDVVEPMIKPQWFVNCNTMAKAGIDAVRSKRIEIIPQQYEQDWYRWLANIHDWCVSRQLWWGHRVPAWYVVLEDDQENILGSDNDRWVVARNESEANLEAHQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADVEAFYPGSVLETGHDILFFWVARMVMMGMQLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVIDPVDVINGIPLEGLLKRLEEGYLDPNELNIASDGKKKDFPDGIAECGTDALRFALVSYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGNHYTPPATISVTTMPPICKWILSVLNKAIGKTVTSLEAYKSFDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQELESARAASRDALRVCLDTGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMVSEYPSLVKEWADDKLENQIDIALDTVNKLRSLKPPTDTNERRPAFALCXGQEIAAIVQCYQSLVVTLSSISSLKILTESDETPPDCATAVVNKVYLYTSNSKELSMQKLSLKSXGKREKKFKSCNMPYHRKWKLPVIKRRLHIMSRRRT >ORGLA03G0007300.1 pep chromosome:AGI1.1:3:547588:551313:-1 gene:ORGLA03G0007300 transcript:ORGLA03G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAAAPPEWVVLDRLPLTSIDLPKDGISLSLVATPRISQLLVSPSLGLASGSVLAADPSGILLLSSSDPFLADSKSYVLWDAVYKISFPVPATPTETGAATGLIVVPGGSDHIYIMVAELSIRGSDVILRCFSTDPAKWIQKILQQPPQFKLLWCWCSDYALSHQGRLWWVDLLQGLIACDPFSDNPELHFVPLPSCCRNPNVQQSCRMGLSDNRRVGLSRGKLRLVVLSHASNSKSRIRLWTLADSEAGHWTLDFDLSSPVFDDIWTDLCDWKIAFFHPSKPHVVYFSQKQQLVAVDLQMIKVSEEDGVEPCSSSSRHVLAWELSPSLRTTLSVAGPSLAQDTNSTSHFDSVANSFHEAYSSALLDMEFHQLAITALASLNKEKKRTEENKFKLSERLLLQTFLDQTETSLKKYAHLNFYVDTGSEKVLVFAEFHTDAVGDNEPAEWGLSSCKLLRKNYQGGLYGEDADRRLSMRANKRKKSIYCFACAAEMLHPINGFDGGYAGMSVTRGVGAGDSQAGGGERRRSERREVRGKSVTPAHPLTEPEEXKQSKTEQGGKKKYAFSFRKHNMFR >ORGLA03G0007200.1 pep chromosome:AGI1.1:3:545389:546607:-1 gene:ORGLA03G0007200 transcript:ORGLA03G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYLAEDRDPVRCWVVAAAVAFVTLIVLGVGSVDDTPVELPKKLYIGLPSAKTIQLPDGRHLAYKEQGVTADRARFSLIAPHSFLSSRLARIPGIKPSQEFGARLVIIN >ORGLA03G0007100.1 pep chromosome:AGI1.1:3:544346:544786:-1 gene:ORGLA03G0007100 transcript:ORGLA03G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYIILCSYEVCIYEMWDTILFVAGYRIIYLPNGSQLYSNLKFTVNFEYHVVLRICIGSQCVIIILPKGDICRIVDIQKV >ORGLA03G0007000.1 pep chromosome:AGI1.1:3:539907:542477:1 gene:ORGLA03G0007000 transcript:ORGLA03G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAKARPTPTAAAKSAVAGGGEVSTETPRRSARLQQAAKKKRSRDASLPPAPAPARHRQAGKVLCAPEISDKKTVEGTINDAEIESIVLKLWNFTEEERVPYYNRLNKKRANMALAWYNENNPEDCYEFTSVLLHDVCNFCDGGVCHVHINFKARNVTTNSEDLFFAELALINNVFDQYSGYTTTACCIIDGNCLGGLRNVLLNGCFLREERYDEKNCYACDEKIKHPTGSTYKGGHYAEDYLVQGIL >ORGLA03G0006900.1 pep chromosome:AGI1.1:3:503081:504134:-1 gene:ORGLA03G0006900 transcript:ORGLA03G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKVVLKVPTMTDDKTKQKAIEAVADIYGIDSIAADLKDNKMTIIGDMDTVAIAKKLRKIGKIDIVSVGPAKEEKKPEKKEEKKEEKKEEKKEEKKEEKKDKK >ORGLA03G0006800.1 pep chromosome:AGI1.1:3:498473:498772:-1 gene:ORGLA03G0006800 transcript:ORGLA03G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMRKVLVLVLAVAMVASGGGGVVGVAGAGCNAGQLTVCAGAIAGGARPTAACCSSLRAQQGCFCQFAKDPRYGRYVNSPNARKAVSSCGIALPTCH >ORGLA03G0006700.1 pep chromosome:AGI1.1:3:493334:495294:-1 gene:ORGLA03G0006700 transcript:ORGLA03G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKKVEVTKDIAEEKAVVPLPTPPATEHDDSKAIVLVKEAEATGGSAERDAYLAKIVSEKRLVLINAWEESEKARAENRAAKKLSYITSWENAKKAEMEAELKRIEQELEKKKAAYEEKLKNKLALLHKTAEEKRALTTAKRGEELIMAEEMAAKYRAKGEAPTKLFGLLKA >ORGLA03G0006600.1 pep chromosome:AGI1.1:3:486999:491568:-1 gene:ORGLA03G0006600 transcript:ORGLA03G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase [Source:UniProtKB/TrEMBL;Acc:I1P6S0] MPHRPHLAQLRRLLLLPCSSVPVPVPARRLSSPRAMASSSVSAAAAAAQAGGAVAAAEYEDVMGRLSSLITQKVRAHSGNRGNQWDLMAHYLQILELEEPIARMKVIHVAGTKGKGSTCTFTEAILRSCGFSTGLFTSPHLMDVRERFRLNGVDISEEKFLKYFWWCWNKLKEKTDDDIPMPTYFRFLALLAFKIFSAEQVDVAVLEVGLGGKFDATNVVEAPVVCGIASLGYDHMEILGNTLGEIAGEKAGIFKKGVPAYTAPQPEEAMIALKQRASELGVSLQVAHPLEPHQLKDQHLGLRGEHQYVNAGLAVALASTWLEKQGHVERIPLNRTDPLPDQFISGLSNAYLQGRAQIITDSQVNSGEEDKDCSLVFYLDGAHSPESMEICARWFSHVTKEDRTVPSSMVQSQSCGNSQKILLFNCMSVRDPMRLLPHLLDTLTQNGVHFDMALFVPNQSQYNKLGTNSSAPAEPEQIDLSWQLSLQRVWQKLLHGDKGMNNTNSSENSLVFESLPLAMEWLRTNARQNRSTSFQVLVTGSLHLVGDVLRLVKK >ORGLA03G0006500.1 pep chromosome:AGI1.1:3:485754:486044:-1 gene:ORGLA03G0006500 transcript:ORGLA03G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSWSVRLTVLTLTLLGAELAGIRHGRRIIPSLEGDSPSPSPASKGYGLLTEEMAAPPGHYYSSRRMMQEEEEEDHGVSASKRVVPEGPNPLHN >ORGLA03G0006400.1 pep chromosome:AGI1.1:3:482911:485133:1 gene:ORGLA03G0006400 transcript:ORGLA03G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress responsive alpha-beta barrel domain protein [Source:Projected from Arabidopsis thaliana (AT2G32500) TAIR;Acc:AT2G32500] MLMPASAQIPHHTIRPVASYLVLHRRRRHKSDRRFRLQGPTRRVVFCTRSVLGSSNGAPSGGLVKKRKIVEHIILLRAKPNISDAEEKDMLDYLYTSQYQMRGILAVSLGRIEDPNTENFTHAVLMRFQQKDDIAKFHSSPYYYKILDEHVKPVSYGSVSVDFESEVEDDIIPLFRRGEDFNYGVEFMLLISFLESASGDSVEDALASLQRFISQCSSFIVQATLGCCLNHMDSGYSHAAVIRFPSFDDFKIFREGMEYKDMWASTFQPIVEKSLELHFTVDPVGNQLM >ORGLA03G0006300.1 pep chromosome:AGI1.1:3:479447:482267:1 gene:ORGLA03G0006300 transcript:ORGLA03G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQDWASDSDNDKFEWDTDGEAETSSAPALRNIDAPGPSTRLPQDANGKANGSGALVAEFMGMGFPKEMILKAIKEIGNDNNNLVLLFASGCILNLLFQLLSFLSGDTDTEQLLELLLTYQAIGGDASVGNCSASACAPQTLEVDEEEDDTNWDEYDTAGNCDRTPHSDGSGDEDFFQEMSEKDEKMKSLVNMGFPEDEAKMAIDRCGLDAPVAVLVDSIYASQEAGNGYSANLSDYEDTEFSSFGGRKKTRFVDGSKKRKRYGSGPSGNQVPFDGSHEEPMPLPNPMVGFSLPNERLRSVHRNLPDQALGPPFFYYENVALAPKGVWTTISRFLYDIQPEFVDSKYFCAAARKRGYIHNLPIENRSPVLPMPPKTISEAFPNTKRWWPSWDPRRQFNCLQTCMASAKLTERIRCALGRFSDVPTPQVQKYVLDECRKWNLVWVGKNKVAPLEPDEMEFLLGYPRNHTRGVSRTERYRALGNSFQVDTVAYHLSVLRDLFPNGMNVLSLFSGIGGAEVALHRLGIRMKTVISVEKSEVNRTILKSWWDQTQTGTLIEIADVRHLTTERIETFIRRFGGFDLVIGGSPCNNLAGSNRHHRDGLEGEHSALFYDYIRILEHVKATMSAV >ORGLA03G0006200.1 pep chromosome:AGI1.1:3:468784:471122:1 gene:ORGLA03G0006200 transcript:ORGLA03G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSAPAPDAHRRGVFLLSVGAGGGGAGTAVVEVEVEHPHSLSLSPPPMSSCGRYILHRVCRFDTLAGVAIKYGVEVADVKRVNGLTTDLQMFAHKTLRIPLPGRHPPAATATATATATTPSHPPPSSSPAATHRPREWATRRPPKNSALDPLLKPPRSTVAPSMDLLQNYYGLARPPKGDPENEGTEMATYSIGQHTKARSLSTGFSLVNGEVDDAEKPIRRRQKSDAEFSTREGNSGGVLMKAGPGLALRPKSGSRPEINNSQQDLVATAVPSYGDGLQAVRKSSSTPEFQDSDNSIASVWLKSKWNLKPDAFTLPLPILLLDSIPKPIFDTFPKQIAAWRNKAARD >ORGLA03G0006100.1 pep chromosome:AGI1.1:3:459118:461620:1 gene:ORGLA03G0006100 transcript:ORGLA03G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMETEKQQQQTRCPPWLQAAIADIEQRVRALAVSVPEDAAATATDHSFAERAENYYHKRPQLLALLTDLHHRYLYLADRYSQSLLAANKPFHAAASSSDCGSSDVDDRSSDAGSSLSFQPPPATSSSVRDAVDAELVVAELVAAWIDREILADEAERRKAESARKIELQGSLVEVLESERLVLLGENARVGFRASAAEEEAAAAAAELGYMRRRAAEMARLVVKLREDHRVCMLGRKIEALQSQVYGLELRNRECYEAMAAWEAERKVGLAEIERLRADNKRLAAEAAMAAAARRKRKGGNGSGWLWWARVRMAAEWTPCAPAVRKVGEQIKHGGGRKDVKYNAGGCFCL >ORGLA03G0006000.1 pep chromosome:AGI1.1:3:450150:456710:1 gene:ORGLA03G0006000 transcript:ORGLA03G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear matrix protein-related [Source:Projected from Arabidopsis thaliana (AT5G09860) TAIR;Acc:AT5G09860] MAEPTPPPSNAGLRILLSKDRPPASSSSAFAAATSAAVSSHTDRDRIIGVFRDALSRTESPEAFALQAVQDAIKPQKQTVLVLEENQSLENALRKLLQELASSAVQSGKRIMQYGDNEENNCPITRLLDIVLYLCERGHVEGGMVFQLLEDLTEMSTIKDCKDVFGYIESKQDVLGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNETKYEKDATDGISVDFNFYNTLWSLQEHFSNPALTAANLTKWQKFVSNLTVVLSTFEAQPLSDDDGKLNNLDQEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLIFFDFLKAPGKTDKEGPTGSMKEEIDSCEERVKKLLEIIPPKGKEFLQSIEHILEREKNWVWWKRDGCLAFEKQPFEKKPGQAGVRKRKPRWRLGNKELAQLWKWAEQNPNALTDSERICMPSVTEYWKPLAEDMDPSAGIEDEYHHKNNRVYCWKGLRFSARQDLEGFSRFCDYGIEGVVPQELLPPEVRSKFYSKPGDKAKRPKREDPKGTSAQPKEQQVVSATPETDGGGSGAEPEEGAVPMDSDNAAIDEGRKQSPEEVSGPESGQCEAEADGEDNMKNEASKDGPSA >ORGLA03G0005900.1 pep chromosome:AGI1.1:3:446746:447264:1 gene:ORGLA03G0005900 transcript:ORGLA03G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLLLLAVVAAVFLLSPAAAGRPCGHAQTLLISFSSVSRPNPDPNNPTPLTTTVVTVLRVRRLGPHHPLQIPRPDPLPAASDAASSVQERAKDILVVVSGLLFGFGCGALTAATMYLVWSLLASTCAPSYDEVYGEDDDDELSDSESPKKAGYVIIHDAEQYVGGKN >ORGLA03G0005800.1 pep chromosome:AGI1.1:3:409169:412849:-1 gene:ORGLA03G0005800 transcript:ORGLA03G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTKRGGDDLARSLHKNRKTCNGGRTDSLASGCLHGDPNEDVWTELNDVVKSNLSKSVASLSLRNGDTVLFACTGVAVDRQGRLTKFLTSASLVRALNDTNKNHGDLELEVCHEGNEVCQGYLTDFDLDHNLAIVEIWTDFDVHVGLVQHAVEILAHCKVIAIGREITGKLMARRVQLIDDLSVSEDSEDLDCKIKEAWEGAPLFSFDGNFVGMNLFLAMGRAFFLPWGTIQKHWASLQNKTGLPESNSLKVYRFGTSSTAEKSNSHPEVNNDILDPEQIHIDSMGYPKFPTHMFADGMILVNTFEETFGDLRGEGVWSKLSKKSCSNIDRSVVALASFSGERRFFACTGFFVEWNGSTVILTSASLVRSSGDENKIVDNLRIEVLLPNKQRVEGMLQHCNLHYNVALVSVDYRALRPAHGQLDWWGKSSKVVAVGRCFKSGALMTASGTVTPWTGTLDCDFLERSSCKITKAGIGGPAVTLDGDCIGMNFYDTRIGTPFLSWEVIVDILASFEEKRWPVPMPYWCHPDCVKDESEDVEDESEDDGELEFSPTFGCKLKYNYINGEKSVFF >ORGLA03G0005700.1 pep chromosome:AGI1.1:3:402905:405496:-1 gene:ORGLA03G0005700 transcript:ORGLA03G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVSRAAFASVLLAPRAVGVAARCASSSSSAASPSVAAATYDHASFIKEVAATDPPEHLNSLLNVLQARGEKLVSPGAKRGLIPLVVPLAESPAGNLTSLLRWPTAPSGMEMPVVEVRNHGLWLLAKNVNQYIHRVLVEADINGYADDLWSAVGDSGKKLYTKGDFKESQMADLDAYLLKKVGLFPDVIERKTSRHFEKGDYVSALITGEFYTRDQFPGFGRPFVFNAEILKRVGRTTEAKDSARVALKSPWWTLGCSYEEAAELAGWEDEQIEFIREKVSEEGKREDLKKGKAPEQVVLDEAAFLMDLASVDSNWDDVVDRIAGCYREAGLDDIAKFIAYRE >ORGLA03G0005600.1 pep chromosome:AGI1.1:3:399011:399867:-1 gene:ORGLA03G0005600 transcript:ORGLA03G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKALIRDNSMLLDQIYDKLRTGQLACAPSMFDKVKTNVDAILAKMRAMGVNTDEYKIDLEALEEIKQGFHPSSDKXRDRLPAGSNSSDDKRARS >ORGLA03G0005500.1 pep chromosome:AGI1.1:3:396269:397700:-1 gene:ORGLA03G0005500 transcript:ORGLA03G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit beta [Source:UniProtKB/TrEMBL;Acc:I1P6Q9] MNVDKLKKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRVGVNNIPGIEEVNIFKDDVVIQFQNPKVQASIGANTWVVSGTPQTKKLQDLLPTIINQLGPDNLDNLRRLAEQFQKQVPSAEAGASAGNAQDDDDDVPELVPGETFEEAAEEKEPEEKKEAEAEEKKESS >ORGLA03G0005400.1 pep chromosome:AGI1.1:3:395056:395490:-1 gene:ORGLA03G0005400 transcript:ORGLA03G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFSTHLMRRIQRGPVRGISLKLQEEERERRMDFVPDRSALEVDDIRVDKETLDMLASLGMADLPGVVRQPDASTSAPQHYGAARLPYARRDRA >ORGLA03G0005300.1 pep chromosome:AGI1.1:3:386893:393611:1 gene:ORGLA03G0005300 transcript:ORGLA03G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRGSSSDGGGYDKVSGMDSGKYVRYTPEQVEALERVYADCPKPTSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQNTPLANDTSCESNVTTPQNPLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVVEILKDRPSWFRDCRNLEVFTMIPAGNGGTVELVYTQLYAPTTLVPARDFWTIRYTTTMEDGSLVVCERSLSGSGGGPSAASAQQYVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQGLSRGFNDAISGFNDDGWSIMGGDGVEDVVIACNSTKKIRSSSNAGIAFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASTLKTSACSLPGLRPMRFSGSQIIIPLAHTVENEEILEVVRLEGQPLTHDEALLSRNIHLLQLCTGIDEKSVGSSFQLVFAPIDDFPDETPLISSGFRVIPLDMKTDGASSGRTLDLASSLEVGSATAQASGDASADDCNLRSVLTIAFQFPYELHLQDSVAAMARQYVRSIVSAVQRVSMAISPSQTGLNAGQRIISGFPEAATLARWVCQSYHYHLGVELLSQSDGDAEQLLKMLWHYQDAILCCSFKEKPVFTFANKAGLDMLETSLVALQDLTLDRIFDEPGKEALFSNIPKLMEQGHVYLPSGVCMSGMGRHVSFDQAVAWKVLAEDSNVHCLAFCFVNWSFV >ORGLA03G0005200.1 pep chromosome:AGI1.1:3:374601:378943:1 gene:ORGLA03G0005200 transcript:ORGLA03G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:I1P6Q6] RQQQQQQQQESRFKRTCVFCGSSQGNKTTYRDAAVDLAKELVARGIDLVYGGGSIGLMGLVSQAVYDGGRHVIGVIPKTLMTPEIIGETVGEVRPVSDMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDQAVEEGFISPSARRIIVSAPTAQELMDKLEEYVPYHDRVASGLNWETGHLGF >ORGLA03G0005100.1 pep chromosome:AGI1.1:3:359405:360505:1 gene:ORGLA03G0005100 transcript:ORGLA03G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLISDDSMMMGGEVRAIESRLPPGFRFHPSDEELVGYYLRNKQQQQQTAATSMLVEVDLHACEPWDLPEVAKVGSDEWYFFSWRERKYATGWRRNRASKQGYWKATGKDKPILHPTVAGARKTLVFYSGRAPNGRKTAWVMHEFRLLHHHHHHPNPNIQNMQQQEGDDWVLCRVFRKGNNSNGQPLATSSPPAHHLVESLISSPAPTIMSDHDRLFTIQLPHHQHCDEQYFSLDDDEQHQQQLLDLSVLQAPTSFESEQAPGHGGMEINIAEMESFDTTCAALQDASDYCMQLY >ORGLA03G0005000.1 pep chromosome:AGI1.1:3:355885:356563:1 gene:ORGLA03G0005000 transcript:ORGLA03G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSCXRLRSEGFCSARGCLVVLETSFIMLLKLLTFDRLRAAGVAFKPSSLSNGRSKHTVENFVSYSSTVAEAKTMETHAQASPPAAADKFAS >ORGLA03G0004900.1 pep chromosome:AGI1.1:3:348744:352695:1 gene:ORGLA03G0004900 transcript:ORGLA03G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPSMSGPEFSYAFAIVADPICTLMREGDSVGTLAAAKERRSQRESRTQLGESRQGELGEGEEERAMAAAAAALPTAAAFPHLSSDXSPPPISPPPLPTRAAAVALGGKRPDGAVREGGEDWGGHVRGGVQGAGQGHQRDDRAQEDPAXAGGXGCPLHRHPRDLAPQGDASPQHRQVTRCYPQXEAHRSCLXVSGSGPEEVHGLLSRVCKKPHFNXVISLSDTPRRCLLSFSXSSSSRFETSEFIDRSAYXYTEACRLWFSQGIWNSCPHVYSXGCNLVVXSSRDPSWIKAVFYTSXYVVSWLYLCRNGEPETTVPWXFXDXXIIXDIQRVLGTPNEQSWPGVSSLPDYKSAFPKWQAQALATIVPTLDPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMER >ORGLA03G0004800.1 pep chromosome:AGI1.1:3:347011:348081:-1 gene:ORGLA03G0004800 transcript:ORGLA03G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGTKRMRTAAAAAAAAMSNGGEGEREGEEEMASQGSAGGGAAASSGVAVTITTAPMTETEDDMAVAEEEEVAAASAETEEHVQRILLAIDAFTRQQVSEMLEAGRALFKNLAADFEDRLCSIHKERVERWEEEIRELRARDAANEQARSLLHNAQLHLLATVRHDHT >ORGLA03G0004700.1 pep chromosome:AGI1.1:3:343752:346655:1 gene:ORGLA03G0004700 transcript:ORGLA03G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT3G06980) TAIR;Acc:AT3G06980] MEVAGAQAGILPLLLRHPASLRGSLSLSCGGARRSWAAAAATAEGGGGEEGRGYERVPMDTPGAYRLVDRATGRSVIVWGGTDDVSMPSPAVLSTTTRVPDRPKENGRSTSIGNFGRLKAQKVKVLARRSAHLKREDSGRISTSRFSESPSDESDEDGAYFERDRARNTRQNSRSRDDKTRGAHSLNSVLRQYRGADDLDFPGSEATSGSKRWGNISDVTFGRQNQRQKGPLDSGFFSRRSFKEIGCSDEILGALRSFGFPRPSHIQAMAYRPVLEGKSCIIGDQSGSGKTLAYLCPVVQNLRKEEVEGLHRSSPRNPRVVVLTPTAELASQVLKNCRSISKSGVPFRSMVATGGFRQKTQLESLDQELDVLIATPGRFLYLLQEGFVQLNNLRCVVLDEVDILYGEESFEQVLHQLITVAPLTTQYLFVTATLPLDIYNKVVETFPDCELIMGPGVHRTSSRLEEILVDCSGDDNEEKNPETAFSNKKSALVKIIEESPVRKTIIFCNKIETCRKVENALRRVDRKASQIKVLPFHAALDQQQRIANIKEFLNKQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNTKGHPLHDVPCV >ORGLA03G0004600.1 pep chromosome:AGI1.1:3:337850:339537:-1 gene:ORGLA03G0004600 transcript:ORGLA03G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAAVGRGLTWAEAAWFRYSASIPDYCLYCHNVPILLLVYTLAPLPLALLELRRHLPLPHKLQPGVRHPPAAFLRCYAATARVLLLAVGPVQLASFPAVRAVGIRTGLPLPSAGETAAQVAVYLLVEDYLGYWIHRLLHTPWAYHHIHRVHHEFTAPMGYAAPYAHWAEILILGFPAFAGPAIVPCHMTTFWLWFVLRHLEAIHIHSGFKLPFDPTKYIPLYGGVEYHDYHHFVGGHSQSNFSSVFTFCDYIYGTDRGYRYHKASLSKLKEMAGNHVEKGDENGFANGKQD >ORGLA03G0004500.1 pep chromosome:AGI1.1:3:335586:337107:1 gene:ORGLA03G0004500 transcript:ORGLA03G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKSLLKPKPTPQQQLREWQRRLRNEGRNIDRQIRDVQREEKKVEKSIREAAKRNDIGSAKALAKEVVRSRKAVNRLYENKAQLNSISMHLGEIVATARTVGHLSKSTEVMKLVNNLMKAPEVAATMQEFSKEMTKAGVMEEMVNDAVDSALDNEDIEEEIEEEVDKVLSAIAGETASELPDAVRKEKEKMKQPSTSEPAERTAIAEAVDDDDELEQIRERLAKVRS >ORGLA03G0004400.1 pep chromosome:AGI1.1:3:330764:331789:-1 gene:ORGLA03G0004400 transcript:ORGLA03G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1P6P8] MEQKPPAVAANNNQLLLMMIMVVVACSNYMISGAGAQPSPGYYPSKTIRSMAFGEGYDNLWGGQHQTLSADQTALTVWMDRSSGSGFKSKRSYRNGYFGASIKVPSGYTAGVNTAFYLSNNELYPGQHDEIDIELLGTVPGEPWTLQTNVYVHGTGDGAIIGREMRFHLWFDPTADFHHYAILWNPDHIVFLVDDVPVRRYPRAAGNTFPDRQMWAYGSIWDASDWATDGGRYKSDYRYQPFVSRYRDLKIAGCEAAAPASCQPVPASPSGATGELSAQQKAAMRWAQQRSMVYYYCQDYSRNHANYPEC >ORGLA03G0004300.1 pep chromosome:AGI1.1:3:326251:330205:1 gene:ORGLA03G0004300 transcript:ORGLA03G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRGRRLPPPHPPLIQEILDDDLFDVEVNYSDEEEEDEEEESDEEESESEDNEPEELVPGQESIGEGCGPAIQARVSGAAAEKNACPVCMEAWASQGAHRICCIPCGHIYGRSCLERWLRHKGNTSATCPQCGKRFRPKDITNLYAPEVAVPNNDLEKEVLYLRGKAESLGETVMKHEKLIEEMNERLVELTSAQKRQILSEQRLMNVGSSKRQKEFFMDGLRVMAIDAFNQTILASGKAPGIGQEHVLYKFSMVSHHEARNIQLPLDTKTVRDICILPSGSAIFTSLGRKLSSFSMTADRVVLQCDLPCPGWSCSADESSRQICAGLQNGNLIIFDIRQTSRPLHSMVGLSTHPVHTLHTVIDNNGSRKFLSASSIGPCMWDTDGIQGRPKLLLGTDNQRVCISLACAPSSSDLLVASFRPKVETSEDATASQVYLSQTPTPSGGGKLGYHSFIRRAGNSSSFTEDRTCSTLVSEIRMSKSAIIPYGNNQHLFAYGDESLRGVRTWRLPSFEMHSDLSSLQQPVLDLRYAESSSGGRYLGYLSTEKLQVFRIR >ORGLA03G0004200.1 pep chromosome:AGI1.1:3:318732:320258:-1 gene:ORGLA03G0004200 transcript:ORGLA03G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVSYVSAAQLVPMLRDPRIAVVDVRDEERIYDAHIAGSHHYASDSFGERLPELAQATKGKETLVFHCALSKVRGPSCAQMYLDYLSEADEDSDVKNIMVLERGFNGWELSGRPVCRCKDAPCKGVCS >ORGLA03G0004100.1 pep chromosome:AGI1.1:3:314822:317069:1 gene:ORGLA03G0004100 transcript:ORGLA03G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMRGDPKQRRASASAPHGGAAHHVADKLRRHSTFLLLLLLLWFALSLYLFLSATPPPPRPAFLPSTSTPRPALRIYVYDLPARFNRHWVAADARCATHLFAAEVALHEALLAYAGRAARPDDATLFFVPVYVSCNFSTDNGFPSLSHARALLADAVDLVRAQMPYWNRSAGADHVFVASHDFGACFHPMEDIAIADGIPEFLKRSILLQTFGVQGTHVCQEADHVVIPPHVPPEVALELPEPEKAQRDIFAFFRGKMEVHPKNISGRFYSKKVRTELLQKYGRNRKFYLKRKRYGNYRLEMARSLFCLCPLGWAPWSPRLVESVLLGCIPVIIADDIRLPFPSVLQWLDISLQVAEKDVASLEMVLDHVVATNLTVIQKNLWDPVKRKALVFNRPMEEGDATWQVLRELEILLDRSERRHVESWKR >ORGLA03G0004000.1 pep chromosome:AGI1.1:3:307513:312059:-1 gene:ORGLA03G0004000 transcript:ORGLA03G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase (DsPTP1) family protein [Source:Projected from Arabidopsis thaliana (AT3G52180) TAIR;Acc:AT3G52180] MNCLQNLLKEPPIVGSRSMRRPSPLNLTMVRGGSRRSNTVKTASGASTSSAESGAVEAGTEKSDTYSTNMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRDIGVKTVFCLQQDPDLEYFGVDICAIQEYCLQCKDIEHCRAEIRDFDAFDLRLRLPAVISKLHKLVNHNGGVTYIHCTAGLGRAPAVTLAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGLSKNSITLKWESDSCSSVEISGLDVGWGQFAFVLLTQIIPLTYNKEKRAWYLERELPEGRYEYKYIVDGKWVCNDNEKKTKANADGHVNNYVQVSRDGTSDEERELRERLTGQNPDLTKEERLMIREYLEQYVER >ORGLA03G0003900.1 pep chromosome:AGI1.1:3:305918:306238:1 gene:ORGLA03G0003900 transcript:ORGLA03G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPEFYRPSPPAFSPSCAAGTSTTEVDEYSCCRTPTPGIREPATCPPAPRKPRPVACRKLLFDPAQQQGKGKAISLRLDELERLFRPITNNANLHLQTNKPTHT >ORGLA03G0003800.1 pep chromosome:AGI1.1:3:302209:303108:1 gene:ORGLA03G0003800 transcript:ORGLA03G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; CONTAINS InterPro DOMAIN/s: Domain of unknown function DUF1990 (InterPro:IPR018960); Has 259 Blast hits to 259 proteins in 120 species: Archa /.../ Bacteria - 197; Metazoa - 0; Fungi - 0; Plants - 57; Viruses - 0; Other Eukaryotes - 5 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G17695) TAIR;Acc:AT2G17695] MAWGGLFLSFSRPSQDQQKSCLSAAGGFNYDAPLHGASRPKSVAKLTAGDTEASDKALVERGFFVNRSRVLVGSGTTTFNHAKSALLSWKHLALGWANVEPDTPVKAGTRFCICYKELIPWVMLPLQIAYVTDGNGGNSSGHGKGCVFAYGSGTLQGHLLAGEERFSVQLDEDDQVWYEVMSFSKPAHILSSLCYPYVQLRQKHFAHQSGQALLRHVASRSRDTR >ORGLA03G0003700.1 pep chromosome:AGI1.1:3:277336:284281:1 gene:ORGLA03G0003700 transcript:ORGLA03G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPVSNTMEPDLRAKDHRACSASATDTSSRIIAQWAARRRQLACDDQVIDRRDRDSELLALARLHAVSMLDASFLRAHDDAGGGGRRARSPERALVRRIAREWTASSRTSPRGGGAGGEELLGETERQRVRAVRERVRMASQGQGHGGAHTPRLMRGRGRHGQDVVTRMAMERQRELQGLSDHRAVSAFAHRARIQSFLRGRSFHSGSPMHDERPLSMAARELGQLRQSHPVSRFREEVRSRTEVTTNGPATNHTGPMDTIVDLHLHENDHRQENATHNEIQTHQSMENESVDIQRSITTSNDDVVQSDFGQEQLHRYEDYPDSGSSEEASEQSDSSSPSDNSNQQEEETYEQQTNLLWSRETSSSEDGDHEWNVMNSQEAEAQWRSGPSFSSNRNINRFSPPDDDVYGVELRELLSRRSVSNLLRSGFRESLDQLIQSYVRRQEEHDDPLDWDYQRQGTATGLHSDDQGEDRIDEATNQTVSDTRDHQPSILPQQRHWQMELPHHHHNWSQQAMRHSEVDWDAIHVLRDDLTGLQRGMTSMQQMLEACMEMQMELQRSIKQEVSAALNRSLAVPAGEEGMLEDGSEWKLARKGTCCICCDRQIDSLLYRCGHMCTCSKCASELLHGVGKCPLCRAPIVEGLLTWASLSRFXLPDAPGLLAAVEEIELQGFRLGALFCLCGLGVYPFGCNSVQRYSATKTDKNKSSDLIIQPQINDVTEESNERPTDSSEINPTVGVFGEHYLFWTQHICQLSSHRDGTIYNNQLYWKNNYDIDVTNREETRDHVDSMLNYVFNRSRDDPIAVHQGSLIEMTGPKRGIALIPECLFEFDMRIKTGEKEEDDLQLIDGMIELDEMRMPETPYTTRINGDSGSVDLCLANVFNGVEATVEVVISELMVNGFDLSISCVVSSSRYEYDESKEFQIFGGSIGEACGLRRFVLAVYLDTVMQLKLKFWLPAVCTPKGI >ORGLA03G0003600.1 pep chromosome:AGI1.1:3:265505:271666:1 gene:ORGLA03G0003600 transcript:ORGLA03G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRSSDRVGQRFDFRFSNFRAVQVPTVSDRLFLSIVSVDTGKTVAKSGKAAARSGICQWPDSILESIWFSQDEVSKEFDECQYKIVVSVGSIKSGVLGEIFLNLTNFLNLVDPTAISLPLKRCNSGTVLQLKVQYLGAKSKSSGVRSWKDLSPRLDDRSPTNDDIDSKSDGSDSVANRSVRSSSGNPLGGTTQDELGNREMSFSASGSHRSSNSGDSTADRTNLSPRDSSNGGMHVGRQDSASSYVSASRGDDGFRSNNSSFSSRASGPNVLQGNTPKSFGNGFGQLSLGTSDSSKELLEAAEETIEELRDEAKMWERHSRKLKADLEMLKKECSEKSKQQTELEAELSAAHAERDSYRQEIEELKSSMKEVTMRQKVGGTSKYGDWIDLQKELEDDVKFLKESNANLSIQLKNTQEANIELVSILQELEETIEEQKAEISKISKVKNVTDADALKKGPLVKQDTEWAKQLSIKEDEITMLREKLNHVLNIENLGSDAVYLELEKENELLRVKIQELEKDCSELTDENLELIYKLKEVGGATKGQGPCIPNDSNLQIEELKSQICQLEEELRSKELLHTGSFADASISSSKVLQEKCADLELKLLNFRSQTYELEEKFQKSQEELEQRNLELSELRQKLDSSHSMAGEGVQTSGARGYQFRNGMDSEPETDVLKAKIQLQQQENDDLRCSKVEMESVISKIQAEKSQLEERLEASRKESSISSKCLDEVRQDILVLSSSIDSHVSANKVLERKVTELESCKADLELHISDLEQENIELSERISGLEAQLTYMTNEKESSELQIHDSKSLIVNLKDKVERQQAEMETQRLEFKQKQQEAQRKLSEAQDDSEVLRRSNSKLQSTVESLIEECSSLQNQIAELKRQKLELHGHLTQQEQELDNSKKRNLDFCKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHTEQEEKINRAHFMLNKIEKEKTLEVENLEREVMSLTAQASSTQEERENATVEAIREVSVLRAEKVKLEASLQDVSAQLRHYESQLEDLRKESKSKIKGLVDSLNASKQSEEMLAADAEHMKKLMEDAKSNEDKLRKSSGELELKLKASDYEKQQMIEEISGLKLQVQKIMSLQDEVLKLKSSLDEAKFERGKLEELHRSVTEECEELKAQKAMLTDKMSNMQETLDNGEEEKRSRIAMQAKLVRLESDLSAVEASHVHEAELKNELNRIKRSNSEYQRKIQSLEQENEDLTSQLEQMAHIKEEDLGKQDIGGSPVDEESGIHLKIQVLEAKLAEALEENKMYRAQQKSPMPDGQCAAGNGNESSNERVLQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKATKKGGGRWF >ORGLA03G0003500.1 pep chromosome:AGI1.1:3:256216:257729:-1 gene:ORGLA03G0003500 transcript:ORGLA03G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLASAPKVALGSIAFAVFWMMAVFPSVPFLPIGRTAGSLLSAVLMVIFHVISPDDAYASIDLPILGLLFATMVVGSYLRNAGMFKHLGRLLAWKSQGGRDLMCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALASSANIGSAATPIGNPQNLVIAFNSKITFPKFLMGILPAMLVGMAVNMVMLLCMYWRELGGGAELSVDGKQMEAVEEGRSPASAKSTPQLNGNGNTMMSLEMSENITTKHPWFMQCTEARRKLFLKSFAYVVTVGMVVAYMVGLNMSWTAITTALALVVVDFRDAEPCLDTVSYSLLVFFSGMFITVSGFNKTGLPGAIWDFMAPYSKVNSVGGISVLSVIILLLSNLASNVPTVLLMGDEVAKAAALISPAAVTTSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAYDLTFWQHIVFGVPSTLIVTAVGIPLIGKI >ORGLA03G0003400.1 pep chromosome:AGI1.1:3:246930:250041:1 gene:ORGLA03G0003400 transcript:ORGLA03G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVFGRRRWRRRRCCGGSARGRKEAARRLRLHGPNPNVSLYKHTHATWLALEDSMLRKFLMKLFSLWEWNNIFPKYRNMICVILNSMSWVTVSSTVVSLTIASAGQRSSMMSITIYLLATSLTACLTVKLVVEYAKAPLEAKAYAPRAKVLRDGMWINVHAVNLVPGDIIFLKVGDIVPANARVLRFEKINTMTCWAKRSVDCVHGFLIYYAWTVSCGQGTAVVIATGRDIPRSTLRLYPQRYTRPGQLKEGIMLVGCFCFSLVLFGTIAEVILRLLFQKHSSGAMLQGGCFMALIGVVPMAMPVVLYLALAFGSLRLCLLGVASRGTVALEDLASMDVMLFNMTGTITCNKPSFARDKIELFAKGVNEDQAIVLASRASRSQHELYIEPIDPAILSLLDDPEQARAGVQVIEHHAHFFVSLKLMFLATYIDENGSKCCVFKGDPANASHLYNIHTTEKRVAHQCGCSKAVKERISMIMDNLAVDGYQAIAVGHQLGNLTLQTHVGNLLAYYLSKRTLDMI >ORGLA03G0003300.1 pep chromosome:AGI1.1:3:241235:242290:-1 gene:ORGLA03G0003300 transcript:ORGLA03G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFIHFIGSGGGKASKNKKKNKRRKDHSKNPPKANPEPVNKEEATRGVPFNAGTGNISRTPCQSSDVQDDVEYPFEDADLDDGLDPAMQEELDREVEDFARRLNSVWPERMHLGQERRIESHMIGGNGSLQRFSGFNHR >ORGLA03G0003200.1 pep chromosome:AGI1.1:3:237683:238486:-1 gene:ORGLA03G0003200 transcript:ORGLA03G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLACVVVTAMVSAVSCGPPKVPPGPNITTSYGDKWLEAKATWYGAPKGAGPKDNGGACGYKDVDKAPFLGMNSCGNDPIFKDGKGCGSCFEIKCSKPEACSDKPALIHVTDMNDEPIAAYHFDLSGLAFGAMAKDGKDEELRKAGIIDTQFRRVKCKYPADTKITFHIEKASNPNYLALLVKYVAGDGDVVEVEIKEKGSEEWKALKESWGAIWRIDTPKPLKGPFSVRVTTEGGEKIIAEDAIPDGWKADSVYKSNVQAK >ORGLA03G0003100.1 pep chromosome:AGI1.1:3:235364:235654:1 gene:ORGLA03G0003100 transcript:ORGLA03G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLWVAAALLLSAPRLLSLSPSLPPVAHGLLLSAEAGRNLLSVVATSGLLLFAAGRREPGAGSGCRDDGGVRIGRRDNGGGRRGGSDDFSTVAGG >ORGLA03G0003000.1 pep chromosome:AGI1.1:3:225676:226479:-1 gene:ORGLA03G0003000 transcript:ORGLA03G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCLLLACVVAAVMVSAVSCGPPKVPPGPNITAAYGKQWLEARGTWYGKPKGAGPNDNGGACGYKDVDKAPFLGMNSCGNDPIFKDGKGCGSCFEVKCSKPEACSDKPVIIHITDMNTEPIAAYHFDLSGHAFGAMAKEGKDEELRKAGIIDMQFRRVRCKYPGETKVTFHVEKGSNPNYFAVLVKYVGGDGDVVKVELKEKGSEEWKPLNESWGAIWRIDTPKPLKGPFSLRVTTESDQKLVANDVIPDNWKADALYKSEIQVD >ORGLA03G0002900.1 pep chromosome:AGI1.1:3:220573:221376:1 gene:ORGLA03G0002900 transcript:ORGLA03G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLACVVVTAMVSAVSCGPPKVPPGPNITTSYGDKWLEAKATWYGAPKGAGPKDNGGACGYKDVDKAPFLGMNSCGNDPIFKDGKGCGSCFEIKCSKPEACSDKPALIHVTDMNDEPIAAYHFDLSGLAFGAMAKDGKDEELRKAGIIDTQFRRVKCKYPADTKITFHIEKASNPNYLALLVKYVAGDGDVVEVEIKEKGSEEWKALKESWGAIWRIDTPKPLKGPFSVRVTTEGGEKIIAEDAIPDGWKADSVYKSNVQAK >ORGLA03G0002800.1 pep chromosome:AGI1.1:3:209608:213829:-1 gene:ORGLA03G0002800 transcript:ORGLA03G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:I1P6N2] MELHLTSRGALPLSPPLAGQRRPHLSLSTPSLPIKNHTYSVPPPFSKAHCAIGCEASLATNYMETSAVADLDWENLGFGLVQTDFMYIAKCGPDGNFSKGEMVPFGPIELSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEENAIRMRNGAERMCMPAPTLEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFMIFVSPVGNYFKEGLAPINLIIEENFHRAAPGGTGGVKTIGNYASVLKAQRIAKQKGYSDVLYLDAVHKKYLEEVSSCNIFIVKGNVISTPAIKGTILPGITRKSILEVAQRKGFMVEERLVSVDELLEADEVFCTGTAVVVSPVGSITYLGQRVEYGNQGVGVVCQQLYTSLTSLQMGHVDDCMGWTVELNQ >ORGLA03G0002700.1 pep chromosome:AGI1.1:3:204554:208686:-1 gene:ORGLA03G0002700 transcript:ORGLA03G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKMNKACDIASISVLPPRRTGGSSGASASGSVAVAVASQPRSQPLSQSQQSFSQGASASLLHSQSQFSQVSLDDNLLTLLPSPTRDQRFGLHDDSSKRMSSLPASSASCAREESQLQLAKVPSNPMHRWNPSIADTRSGQVTNEDVERKFQHLASSVHKMGMVVDSVQSDVMQLNRAMKEASLDSGSIRQKIAVLESSLQQILKGQDDLKALFGSSTKHNPDQTSVLNSLGSKLNEISSTLATLQTQMQARQLQGDQTTVLNSNASKSNEISSTLATLQTQMQADIRQLRCDVFRVFTKEMEGVVRAIRSVNSRPAAMQMMADQSYQVPVSNGWTQINQTPIAAGRSPMNRAPVAAGRSRMNQLPETKVLSAHLVYPAKVTDLKPKVEQGKVKAAPQKPFASSYYRVAPKQEEVAIRKVNIQVPAKKAPVSIIIESDDDSEGRASCVILKTETGSKEWKVTKQGTEEGLEILRRARKRRRREMQSIVLAS >ORGLA03G0002600.1 pep chromosome:AGI1.1:3:196472:200637:-1 gene:ORGLA03G0002600 transcript:ORGLA03G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSQRLARRQENIGCMWGLIGMLYFRRDAKFLLDRKQGSRRHTFGGLAGRRHSRKKSRDFEEIDEYGEDNIEECDTRKQTVKRLMEDELGKVKQVKKIPNEEVQRILADLGHDVCLEKSSMQSTKQNRAKSHSTSTAMASPSGLLDPSGSKSMKQAEEDDLELSLADFVGELYGYRDDCKNKSELCPELKSHIHTKLSELKSVPCQRAYEESPDWGQREHFYEKYICDSRSYQSNKLVDAPDMLSPEKELFLKTLQKPSPHTLEKENTQNNQNRQVVTKLEPRKILEKGENTKNSKQHEVAIKTHSKEGRNIFFWRKDKSIIKGTSEGTNSSKMVNKIVILKPNPRGIDTTVATASTCLDQQSCTIQSPKYSATESSKFSIKEVRRRFKIVTGDTRRGRPSVYEDDLQRDSQWINDSVFKVRTDSKQSDKDNLRPSTNGKQKQRNDGLGEINGDIITSKDTSIFYEEAKKHLTGILEYNSHTTKPPTVHTSKSLIGMLSLPQRNASSPRSSPRLKGCIDLSPEEINISAIQQDERTEYTKERNLSDEDSGSVACGNSEVLDGKADQDRYSMKQETAQDGDIMHIEEIDKPACSETICSEGTTLKEQCTCNSPLELIEGAEPGREHAGMLLSYPENLVESLEHQEPKTPRSSASLELISQISSERNHEKQEQPSPVSVLDPFFHEDVDSSDRETMIKRELYQDMIRPHIPDVISDQWVFWEDEDARLSYIKAMLELSELCTYQNLEVWYLEDELISPCLVEELHQGNQTDDLKLPFDCICEAITIIQETYFRNPPCLSFLMHKIQPPPMGENLIQEINKHIERHLHNQFPRTLNQLVNIDLEDGTWMNLQSDSEEIIVDTWEFMLDELLEEVANDLLI >ORGLA03G0002500.1 pep chromosome:AGI1.1:3:174151:176473:-1 gene:ORGLA03G0002500 transcript:ORGLA03G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METASRRRARGCFPFPFGKKLVSSTIPVDQPLDAQQLQMHREERDRRHRSMLPGRCERLAKERKFVLECLRHYNSMHPDDEYEPAPGKLTQYHKRDNHVVWTHGNFVARRKRSGCFSILPAPRTIFFFELIASEGFEGIVTCTPLDEPVTEAYSIMGFPLWWSTRRSGRFDCQCKTCYRHFDLPHPFIRKTFACGHDKVERVCEMCYFRSHVLHPFPGEFTYGYREYKYRHRCY >ORGLA03G0002400.1 pep chromosome:AGI1.1:3:170165:172600:-1 gene:ORGLA03G0002400 transcript:ORGLA03G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRPIPSIHRQPAKPSNHVARCFPDPPKGPSAPGPASSTSTTNTNTNPLLSLLSAVPDWADAVSERRIRDRRPLYTHADWREHRSSRRHLRHLLSSLTSRVILSLAPPVSAFTAFAAAIATYNTLLPAYALTASSLPYQLTAPALALLLVFRTEASYARFDEGRKAWMRVIAAAADLAGMAMRHHNPPATRALLNYILAFPLALKCHIICHSDIKRDLQGLLSEDDLNVVLRSKHRPRCIIEFISQSLYMLDFDENKRNIMESKLSCFLEGISVCEQLIGIPIPLSYTRLTSRFLVLWHLTLPVILWDECKWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDELCKQLHDSTQDVMSVQNLVHNRLAAKTKDQDSGSMRCSDNGWPSSKSEQAKID >ORGLA03G0002300.1 pep chromosome:AGI1.1:3:162316:165012:-1 gene:ORGLA03G0002300 transcript:ORGLA03G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDVASKCDSRSRSGAAPEIMRSPRRTIGENGYRDTRHHQLKCSDGNLGELRVESIPNFHCKSLPTRSRKTNAEDSIVGKRGSMYQSSSEISMIRKLHEGRRKKIDSALDGDAFLSFEIVDSSSQPSTSGAYLFSHQNRRSEAKSSVESRRIHRASRDFLDLSFRELPDENCRLDRPRMDCTLLKNDAGDGFLEISLEEEMTKGPCRNAAPQLIGGESSKGTEANCLQNKISVCPSENNCSQRERNSTNSLPESMSAKVSISDGTRPSESVRHGIENSTKVRSSPFKKILDPIMKSKSIRSPSLVEDSNHVTMPVNRKNCVSRKSLLSDLSRSEQSQSINCQLNGERQHTTNALSPAHLQAVLKLDTKNGIPVFEFCVEGPEESISARSWKTGNDLNWIYTLHSGGKRASATGRASKDGRWCSPPIVGQMQASSYLCSEVGKDGVLTNSVITEFVLYDIAHARRSFSAEEKTQCTETTQSTLSSAVEKSASGDCPQRINLMDQQNSARNNSDVSTSCPWSEEDLYPHLEIAATVIQVPFNRSKSKELKSGLSPGTVKVITPSGLHGLPNDDETSPSPLLDRWRYGGGCDCGGWDMACPIAVLGNAYDNNWANSVTKKGENPMELFVLYKNEQVVIFFEQGGKEELPALSIKGNEKGQLLVHFHARLSAMQAFSTCISLLHCSEASTAVSIEKGKHKLYSSSLKMLLEEEVSQLIEAVTAEEKRKTKKRSEKPPRPVVLDPPFSPMGRV >ORGLA03G0002200.1 pep chromosome:AGI1.1:3:158249:160506:-1 gene:ORGLA03G0002200 transcript:ORGLA03G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESTAYRNLRGPSPFVLAMKTRLAPPPQLSDPPPPPLPAVPPSLLPPLLPPPEKRRRGRPRNCDRLTAPPGFFAPLPPPPPPQPQPPTLPAPHGQGQFGGLQPHLLQIDAGEEIIPKITALSKSNGRVICVLSVLGAVQEATLLLSSGVTSYHKGPLEIIRLFGSILTPNDQGCLRVTLASGDSSVIGGVITGPLKAATPVQVVVASFYSDVYWPNRTPKIIAPYPNSQSTIRNGSTLSSEHVNPGYVSYTAVDQHESSEVDVKPSLGMFNLASLDRHESSEVDVKPSLGMLNLASL >ORGLA03G0002100.1 pep chromosome:AGI1.1:3:153600:155019:1 gene:ORGLA03G0002100 transcript:ORGLA03G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:I1P6M5] MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGRYDGDSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGHIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEGEYEDEEEEADLQD >ORGLA03G0002000.1 pep chromosome:AGI1.1:3:143111:144067:-1 gene:ORGLA03G0002000 transcript:ORGLA03G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERAGAPLQSESPHRQLLQERLRLRLRHAAHLHRHEPRRRQRRPPRRRRRLVAPHPHGGAHALLDLWLDPEPLDLGRHVGVRAHGVQRLQQGHGGAQCLQQVRRERQLAAVTAAVHGDNQLGAKAPDQVKHGRHCGGVDRADGKVDGNGVGGGGNGKKGGEVGGVEVEGGEAEGDGEVGGEGGEGVVDELQLERVMGGGGGGDVEGGDLEGDAGVAVGEVMHEGGPLLADTDVEVEEVDAGGGGCELVENGLDAGEVGEVEQRGEVGEGLVGGELEEEVVRVRLVEGRGRCRGGGVVVVGDEGSAQGVLEIQGGAE >ORGLA03G0001900.1 pep chromosome:AGI1.1:3:127230:127826:1 gene:ORGLA03G0001900 transcript:ORGLA03G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAGVIATLLALNLLFFTFSDACGCQCGSCPSPGGGGGGGGGGGGGGRGGGGGSGGGSGGGSGGGGSGGGGSGGGGGGGSGGGGSGGGGSGGGGSGGGGGGGSGGGGGGGRCPIDTLKLGVCANVLNGLINVQLGTPPRQPCCSLIQGLADLEAAVCLCTALRANILGINLNLPINLSLLVNYCGRSVPSGFQCSN >ORGLA03G0001800.1 pep chromosome:AGI1.1:3:118508:118930:1 gene:ORGLA03G0001800 transcript:ORGLA03G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGKNKVQVCAVFVVALNMVISMQMGAVQACEPYCPTPTPPVTPPPSPPSGGGNKCPIDALKLGVCANVLNLLKLKVGVPASEECCPLLGGLVDLDAAVCLCTAIKANVLGININVPVDLVLLLNYCHKTCPSDFSCPLI >ORGLA03G0001700.1 pep chromosome:AGI1.1:3:115810:116226:1 gene:ORGLA03G0001700 transcript:ORGLA03G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LTP1 [Source:UniProtKB/TrEMBL;Acc:I1P6M1] MAGKKVQVCALFLALNVLFTMQMGAVVQACEPYCPTPTPPVTPPPSPPSGGGNKCPIDALKLSVCANVLNLLKLKIGVPESEQCCPLLGGLVDLDAAVCLCTAIKANILGINLNIPVDLSLLLNYCHKTCPSDFTCPL >ORGLA03G0001600.1 pep chromosome:AGI1.1:3:109900:112757:1 gene:ORGLA03G0001600 transcript:ORGLA03G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARVVEEDPDVVEMMKRRDDEEEEVVDEAWDYKGRGAIRGSTGGWRSAAMILCVELNERLTTLGVAVNLVTYLTGTMHLGSAASANAVTNFLGTSFMLCLLGGFLADTYLGRYLTIAIFTAVQAAGMAVLTISTAAPGLRPPPCSSGGSGCAAANGTQLGVLYLGLYMTALGTGGLKSSVSGFGSDQFDESDGGERGSMARFFSWFFFFISMGSLLAVTALVYVQDKVGRRWGYGICVVAILTGLLFFLAGTTTYRFKKLLGSPLTQIAAVTAAAWTNRNLPLPSDPSMLNHDDSAHTTAKPNQKLPHSKQFRFLDHAAIVETTTAEAKKRKRWAACCTVTEVEEVKQLVRMLPTWATTILFWTVYAQMTTFSVSQAAVMDRRLSTSFVIPAGSLTVFFVAAILLTVPLYDRLLAPLVRRLTANPRYDLSPLHRIFVGLLLAALAMVAAALTERARRDAAVTLSVFYLLPQFLLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFFSTALVTVVHKVTAESRRPWLADNLDEGRLDNFYWLLGGISVVNLAVFVAAAARGYVYREKRLADAGIIHI >ORGLA03G0001500.1 pep chromosome:AGI1.1:3:103863:104852:1 gene:ORGLA03G0001500 transcript:ORGLA03G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSRISFISLSPHFFSPGRCSGRSPAAVFGFDVFSAPVSRPLAEYAGRRHTGRRVHEHRAANAACTTVETSHNASTPTSCATLDACPSAHHRPLIAGKQRIRSPPPIRPPLPAFGPELQPPLHPETTPPSRRSASWVMARSPPLRWRPRKQARKITSEERSKADKRNRSINAMLLLSLSILLLLLELSRSKKRQHGGVVLGTAAAATHLTFVAAAAAHLAAHDAERGQGAAAGRW >ORGLA03G0001400.1 pep chromosome:AGI1.1:3:77702:79275:1 gene:ORGLA03G0001400 transcript:ORGLA03G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSRRRSFWSVGVAAALLCLLAAHGCSAKHHKPKPTPGGISGNASSSSSNSSTPSIPPPVAPTPTAPTPPIPSPGTGSSNGSSGGGGGGWLNARATWYGAPNGAGPDDNGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCVGHPACSGLPETVIITDMNYYPVSLYHFDLSGTAFGAMAKDNRNDELRHAGIIDIQFRRVPCQYPGLTVTFHVEQGSNPVYMAILVEYENGDGDVVQVDLMESHYSTGGVDGTPTGVWTPMRESWGSIWRLDTNHPLQGPFSLRITNESGKTLIADQVIPADWQPNTVYSSIVQFD >ORGLA03G0001300.1 pep chromosome:AGI1.1:3:72433:72696:1 gene:ORGLA03G0001300 transcript:ORGLA03G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGWGESGSGDPPRLQGDSSSRSKAKSWTTSLQPGSASEHVAASSAAAVETGLEFSKVDGEAHAPAPLHLARHQQLFCVHQAMMR >ORGLA03G0001200.1 pep chromosome:AGI1.1:3:68522:69352:-1 gene:ORGLA03G0001200 transcript:ORGLA03G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGDVGVVVYYLLLVLVVMQGCKGSSAVQGEGRWYNESEAIGGAAAWGNAKATWYGQPNGAGAADNGGACGFKKVNQYPFMGMTSCGNQPLYKGGKGCGSCYRVRCNRNPACSGNAQTVAITDMNYFPLSQYHFDLSGIAFGRLAKPGRADDLRRAGIIDVQFARVPCEFPGLKVGFHVEEGSSPVYLAVLVEYENGDGDVAQVDLKEAGAGGGRWTPMRESWGSVWRLDSNHRLRAPFSIRIRSDSGKTLVAPDVIPLNWTPNTFYRSFVQYSS >ORGLA03G0001100.1 pep chromosome:AGI1.1:3:59555:64225:-1 gene:ORGLA03G0001100 transcript:ORGLA03G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAASGADPPPFLQTRMVDMPSSSSSSSSSLSQSQSQSHSYSQMQTHKEKEKEKEEEQEEEKPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKRDDNSKMEKKVAESENSPATVKSKTEKQQENELHEKRMDDSQECMSEEGRISEGLSCAKSHVISSSDPACSKEKNPRAEGEGHKDLDLQKNNATKKDKPAAEPIIVPIVLRMSDFDHKALLEEWIATRASRDNCLPQCELPIVQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >ORGLA03G0001000.1 pep chromosome:AGI1.1:3:41627:59303:1 gene:ORGLA03G0001000 transcript:ORGLA03G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDGQSWTLKPTTTLIMGRRDYLLSYYNRVQPYNLITTLHHSLLTTLLIIVSNPKTYYYKYKLVDSGLDGCILLLQVCLDQVLLKPHQLDKSKHDLLSAVFRYCMDKTYFTTCFCEALGRMPATHGDGFLKTLSNVLELSPAERVGIGLALSDSEDSGLKLKGQQFSIAQIEGLCSNLLQSPSNDQIHDIVIFLHQTDGLSKHMDTFNTIISLFKTKETPFFAPTPFNKCDIQSRHLDMCFGSMDYDSELLLSEIEKEITMADIVTELGYGCTVDTTHCKEILSIFEPLDDVAVSKLVGAVIGTHNVLVEAHNTYAMFVSAISNMNDSPQLTTWNTDVLVDSINELAPSTNWVHVMENLDHEGFNVPDEAAFCLLMSIYAHACKEPFPLHAVCGSLWTNTEGQISFLKHAVSVPTDTFTFSHCSRKLAFPDLACPIQDNHAWFCLDLVVVLCQLAEVGHTVSVQSMLEYPLQHCPELLIVGLGHVNTAYNLLQYEVQSRVFPAILKDATKSNVVNYLWQINPSLTLRGFVDAHSDPDCLLRIVDVCRDLKILSAVLDSTPYAFSIKLAVAASRIDHSHLEKWLTAKLRVCKDDFLQDCVSFLKETMSNASCALEGTIQESQAVVMNIYREYCPHFIKILQYQSGHLLSNQKLDELRKSYISYELRNHDSVVRGIPTSDNVEMEADAYFHQMFSGQISIAAIVQMLSRFKNSPEKREQLIFKCMISNMFEEYKFLPKYPDKQLKLSALLFGSLIKHRLVTHLELGIALHAVLDALHKSVDSKMFMFGTTALEQFMDRLIEWPDYCNHILQISHLCGAHTEMVSAIERALARISSSQNELSVNISVSSEQHVTGLAPIEPIEASDQPSSLPSPHQLSSVPSTMHATVFSHPQSSCSGLPRQPSNSTGFGTPLNIGTLVAAAEQRYTSIESPPSEVQDKIMFMINNISISNMEAKAKECIEVLPECYYPWFAQSMVMKRASIEPNFHDLYLKFFVKVNSRFLNKEVLKATYENCKILLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQALLAKEIDPKVLIVEAYEKGLMIAVIPFTSKILEPCRSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFEIEVLFKNLDVDLKDVNPTSLLKDRVCEVEGNPDFSNKDVAASQTQISSGISRSTNHVELQSVISSTSHAVSLPNYATPHLPSNSMVEDDNVAFMIPKHVSSHTLTQVSPSETALASQSPFSLTQLVKLIPHDEIRCKISSKLGSLGRQLQYSKIMDTALDKAIKEILCPVVEKSVGTAIQNTKKLILKDYALESDNNTIKSSVHSIARTIAGNLAYANCKEPLCVALTDHLQSQIQTLTSNNKTIKQLIDVLINDNLDLGCRIIKSVAMCKAIEMIDEEITESFPLQKKQREAAGSAYCDAFTHAQGRFAHEPEALRPKHEHLSVAQQVYEDYVHVWQSHSQHVDASCFGQSGKATCSSNFIVPRAYSPNSASATSSDCTAAQTAPFIYKLTELLSEELIAEPSSVCPAQVGLCDSSALHGGPSGVTSTFPPENNFHVERLFNDWCHTCDHPSSADVAYGRFVMHLQQIGVLMGDDITERFFHIFTELAVKHSLVPNQIVATGGVSQKSSQQLKISYFPIDSFSKLVAMVLKYSSAETGPNKCSLLPKILLVAVRIIQRDSEEKKASFNPRPYFRLFISLLYDLISSDLHSDGANFQVLIAFANAFHALQPLRIPSWSFAWLELVSHRTFMPNLLMCDSRKGWPFFQRLILDLFKFMEPYLRNVELGEPMCLMYKGTMRVLLILLHDFPEFLCNYHFSFCDMIPSSCIQMRNVILDAHPQDMRVVDPASPNLKIDLLPEISMAPQIMSDVEGALKSKLMKTEVDEYFKKSEGSLFLSDLKQKLLLPQNETSVAGTRYNVPLINSLVLYVGIQGLQQQQTESSASGPAIHTAHMDIFRTLMADLDTEGRYLVLNAIANQLRYPNIHTHCFYFIILHLFSEATQEIIQDQIMRVILERLVVRRPHPWGLQMTLVELIKNPRYKLWSRPFIRCGPQIDKVLIEFVDMLDDPRLTSLYSSFRSIN >ORGLA03G0000900.1 pep chromosome:AGI1.1:3:37469:37954:1 gene:ORGLA03G0000900 transcript:ORGLA03G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEEKDRRLLLFACRNCEHQVHTLIISIRLWRRRNLKKQQQQEVSESKCVYRNEVAHAAGERTQVLQDVASDPTLPRTKTVRCAACGHGEAVFFQATARGEEGMTLFFVCCNLTCAHRWRE >ORGLA03G0000800.1 pep chromosome:AGI1.1:3:33912:36772:1 gene:ORGLA03G0000800 transcript:ORGLA03G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVARRPLSAAVPVGNLLGIHLFQCPDTVGIVARLSECIASRGGNIHSVDVFVPDDKPVFYSRSEFTYNPMLWPRDVLRTDFLNLSQHFSAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDINCVISNHDRLKDNHVRRFLERHGIPYHYLPTSPGNKREQEILELVQGTDFVVLARYMQILSEGFLKAYGKDIINIHHGLLPSFKGGNPSRQQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >ORGLA03G0000700.1 pep chromosome:AGI1.1:3:28698:32368:1 gene:ORGLA03G0000700 transcript:ORGLA03G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylserine decarboxylase 1 [Source:Projected from Arabidopsis thaliana (AT4G16700) TAIR;Acc:AT4G16700] MRRFRVWPPSPSPWPLLASRPCPHSHHHRSPFHASANSGARQGNFILPGATAATLVMFGILHARRMYEDQKVVERKEKGIEPEFSPDFKASFLRLLPLRSMSRLWGSLMEVELPVFMRPAIYKAWARAFHSNLQEAAMPLEEYPSLQAFFIRSLKEGSRPIDADPNCLVSPVDGKVLRLGELRGPGTMIEQVKGFSYSAASLLGASSSLHGAEEEDFSREHTEQSNPADSNAKSWWRVSVAKPKLWDQTLLSPKKGIFYCVIYLHPGDYHRVHSPVDWNIIKRRHFSGHLFPQNERAVRTIRNLYVENERVVLEGQWKEGFVAIAAIGATNVGSIKLYIEPELRTNRAGSKILNSQPEPPDDRVYEPVGTGVMVKKGEEIAGFKMGSTVVMVFEAPVVSKARWREDGSGTVTSDFDFCIKAGDRIRVGEAIGRWTSRE >ORGLA03G0000600.1 pep chromosome:AGI1.1:3:24453:26445:1 gene:ORGLA03G0000600 transcript:ORGLA03G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATLSHPSRYVKLSREHDAPAPAEDIRPGELNQPVHVPQSAPLDQLEGRRCSECGQVLPESYEPPADEPWTTGIFACTDDPQTCRTGLFCPCVLFGRNIEALREDIPWTTPCVCHAVFVEGGIALAILTAIFHGVDPRTSFLIGEGLVFSWWLCGTYTGIFRQELQRKYHLKQQNSPCDPCMVHCCLHWCANCQEHRERTGRLAENSAVPMTVVNPPAVQEMSMTESRGPVSPGMENGAPSNSKGEHEEPKSDHDDVEVIPL >ORGLA03G0000500.1 pep chromosome:AGI1.1:3:14740:21417:-1 gene:ORGLA03G0000500 transcript:ORGLA03G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G44980) TAIR;Acc:AT2G44980] MSCDCCFFLCRTDHGQMGLGKTLQAISLLSYLKIHSISPGPFLVLCPLSVTDGWLSEFNKFCPSLRVIQYVGDKLHRGDLRRMMFQDVQKSSSSSHSTELPFDVMMTTYDIALMDQEFLSQIPWHYVVIDEAQRLKNPSSVLYNVLEQRFIMPRRLLLTGTPIQNNLSELWALMHFCMPSIFGTLDQFLSTFKQSGDSLTGGKTNKTDKQFKILKHVLRAFMLRRTKALLIQSGILALPSLTELTVMVPLTPLQKKLYLSVLRKELQTLLTFTGGLSRHQSLQNIVIQLRKASSHPYLFSGIEPEPYVEGEHLVQASGKLVMLDLILKKLHEVGHRVLLFAQMTQTLDILQDFLELRQYTYERLDGSVRAEERFAAIKSFSSQPTKGVVRDDNQSGAFVFMISTRAGGVGLNLIGADTVIFYEQDWNPQADKQALQRAHRIGQLNNVLSINLVSQRTIEEVIMRRAERKLKLSHSVIGEEDATYGKGKYVENEASDMRSIIFGLHLFDTSDTTAETMNDDTASETIKEETMLKLKSMSEKVVLMRSHEPSEKDERAFEINPNMTDNSGAVVTRVSDSVNVDPDFNEAAYLSWLEKFKESSHSKENTRAELERQRIAPEEKFLKREAIKKKVEEKRLAKWESLGYQTLKIKDPDILPNQNIPDSGSVQLVYGDCTNPSVVCPAKPAIIFSCVDNSGTWGHGGMFDALANLSTCIPDAYNRASEFDDLHLADLHLIQLDEAKCNRSLDAPLWVALAVVQSSNPRRKVPRSEISITDLELCLSRVAFSAAQHSASIHMPRIGLQGGGGGGSQRSEWYTIERLLRKYSSLHGVDIFVYYYRRGNKH >ORGLA03G0000400.1 pep chromosome:AGI1.1:3:12392:14329:-1 gene:ORGLA03G0000400 transcript:ORGLA03G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTYGPVLLCGLCLCHSPSSSSPLLSLTRTRRLLSPSPSASLTTTTVRCSKGEMAVVKCIRVHELGGPEVLRWEQVEVGEPKEGEIRIKNTAIGVNFIDVYYRQGVYSAPLPFVPGREAVGVVTAVGPGLTGRKVGDVVAYAGNPMGSYAQEQIIPASVAVPLPPSIDHNTAAAIMLKGMTAHVLLRRVYKVQSGDCVLVHAAAGGVGSLLCQWANALGATVIGTVSNEEKAAQAAEDGCHHVIIYTKEDVVTRVKEFTAGKGVNVVYDSVGKDTYKGSVECLAWRGMLVSFGQSSGRPDPIPLSDLASKSLLVTRPSLMHYTATRDELLESAGEVFANVGSGVLRIRVNHTYPLSQAARAHADLQARKTTGSILLIPDDA >ORGLA03G0000300.1 pep chromosome:AGI1.1:3:10262:11918:-1 gene:ORGLA03G0000300 transcript:ORGLA03G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMQEEQRVVVMRHGDRVDHADPLWAANNPRPWDPPLTDAGLLRASTVASRILADGFHIHRVLVSPFIRCLQTAAQAIAALSPLPRINIKVSIEYGLSEMMNTQAMGILVSQIAPSIDRWFPDMSQLEAALPAATIDHSAEPLYQEVPKWGESVWEARSRYASVIKALADKYPDENLLLVTHGEGVGASVSFFEPGVEIYEVEYCAYSVLGRQQHKVGIEQGSEEEGLKNLRVLSTSGPTGIHYYYTTPAPAPAPSEVPDR >ORGLA03G0000200.1 pep chromosome:AGI1.1:3:5620:8074:-1 gene:ORGLA03G0000200 transcript:ORGLA03G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVEGGGSGYSGRVTPFVVLSCIVAGSGGILFGYDLGISGGVTSMEPFLKKFFPDVYHQMKGDKKKVSNYCRFDSELLTVFTSSLYIAGLVATLVASSVTRRFGRRASILIGGSVFVAGSVFGGAAVNIYMLILNRVLLGIGLGFTNQSIPLYLSEMAPPQHRGAINNGFELCISIGILIANLINYGVDKIEGGWGWRISLSMAAVPAAFLTVGALFLPETPSFVIQRSGDVDSARALLQRLRGTAAVHKELEDLVMASEVSKTIRHPLRNMLRRRYRPQLVIAVLVPLFNQVTGINVINFYAPVMFRTIGLRESASLMSAVVTRVCATAANVVAMAVVDRLGRRRLLLVGGVQMLVSQVMVGAILAGKFREHGEEMEKEYAYLVLSVMCVFVAGFAWSWGPLTYLVPAEICPLEVRSAGQSIVIAVIFLLTFLIGQTFLAMLCHLKFATFFLFAACLCVMTLFVFFFLPETKQLPMEQMDQLWRTHWFWKRIVGDSPQQQVVELHHHHQRSSSE >ORGLA03G0000100.1 pep chromosome:AGI1.1:3:2:3442:-1 gene:ORGLA03G0000100 transcript:ORGLA03G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPLPLLSLGHVNVSEMMAPPLTVGLALTDSKSSTYALQWALSRFRFTKDDDAPIFLLIHVLTKLLAVPTPMGNHIPIDKVRTDVADAYFKDVHHQAQQMLLLYKNMCHQNKVKAQVLLIKGNDVSQTVSTVVSDYQIKILIVGVTARTRKPFGNRTSSKICKNVPSFCTAYLVSKDGLSSLYSSALESDLASSSKSDDLSDEMSSSSVTSNNSSDQSPFDSPRLLGSNLPSENPKNSSPAHRNRSLTLYDYLNGSTSIYPDKDRRVNSSTGTESSKPSELKGSNVVLRQESLLQGMLSDNKDHISTELEKLRLELRLIQGKHKLVQDESDDASRQASKVDLICYQRMAELAAKRMEEEAQLREIQSRLDKANDNVEKQKAHRYAAEQALNHVQDLVRGEVMQKNMLQVKASRDADKKLRLEKLFVLQGNSYSTFTWEEIDNATSSFADNLKIGSGANGTVYKGYLNHSAVAIKVLHSDDNSSNKHFRQELEVLGKIHHPHLVMLLGACVERGCLVYEYMENGSLEERLRCKSGTAALPWCDRLRIAWEVASALVFLHSSKPNPIIHRDLKPENILLDGNLVSKVGDVGLSTLVSSGSGGSSSTMYKKTALAGTLFYIDPEYQRSGQVSVKSDTYALGMVMLQLLTARAPIGLAEVVERAVEDGKLRDILDENAGWTWAMEEAQEMADLALRCLEMRGKDRPDLKTRVAVDLDRLKRRALPTQPPPEHFICPILKVPVSVFLLPWQA >ORGLA02G0338400.1 pep chromosome:AGI1.1:2:29138475:29139470:1 gene:ORGLA02G0338400 transcript:ORGLA02G0338400.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXIDKRQAKKVRKTSADLLLLDRIGYQDESQDDGGSTWTAPMVTSRGVRFQLSLRPKEPPDVSRMLFKAVVPADILTSYDPNNTANPFIXPSARFVLIVISSNDKAILLQALCAGAGLERGRSEEGWHVFFLSTSTNIWRRKLVCLAPDHELRDYHLEVSSILTYRGRFWWVDLRRGLLSCSCDSLLLEDDIEATTQQPLDLDFTPLPNVTMEEAKEARLSEYPLQRDRCVGVSSNGLRYVEVRAHRHRRPSKSPVVPPPLCDDCQAGSITS >ORGLA02G0338300.1 pep chromosome:AGI1.1:2:29135446:29135730:1 gene:ORGLA02G0338300 transcript:ORGLA02G0338300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGIGHLVWVWSVVFHSIRSTNQPMGSRGCFCKRPAAMGNLLLLLPHPAKAKLRPPTAAAKRGTLFFCSFSTDGPSSTMTVSITGATGFVGRRLVQ >ORGLA02G0338200.1 pep chromosome:AGI1.1:2:29129915:29130744:-1 gene:ORGLA02G0338200 transcript:ORGLA02G0338200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferredoxin-related [Source:Projected from Arabidopsis thaliana (AT1G02180) TAIR;Acc:AT1G02180] MREIWSSFGLWMLLLMLEAVSPAKIHGNPANDLVALVNANRTATKLPHLRTSAGLGCMALQYISDCIGIGIGCAGDNTVACQPPEAHITEVYAANCGVELPTVDVITGRLLGCHRQRSDAEAALEAVLSGSGNSTAARAVIRGKEHTQVGAGFDRAHRRGPFFWCLLFSSGSANSTFLLEAAGKGVHQSHGCFSVPDNTSFSLSCSSAAAAAVPLLFFILLLLPVLQVYY >ORGLA02G0338100.1 pep chromosome:AGI1.1:2:29114487:29118699:1 gene:ORGLA02G0338100 transcript:ORGLA02G0338100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAC-like 3 [Source:Projected from Arabidopsis thaliana (AT4G35020) TAIR;Acc:AT4G35020] MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVSISTAQGEELRKLIGAAAYIECSSKTQQNIKAVFDAAIKVVLQPPKQKKKKKKAQKGCAIL >ORGLA02G0338000.1 pep chromosome:AGI1.1:2:29109156:29110317:1 gene:ORGLA02G0338000 transcript:ORGLA02G0338000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42180) TAIR;Acc:AT5G42180] MASAMASSQSHLDLVQLLIVVVMTMTMLVGGGEALSLDYYAKSCPKAEAAVAAAVKQAMAKDRTVPAGLLRLHFHDCFVRGCDGSVLLDSSGNMSAEKDGPPNASLHAFYVIDNAKAAVEALCPGVVSCADILALAARDAVAMSGGPSWQVPVGRRDGRVSLASETTTALPGPTASFDQLKQAFHGRGMSTKDLVVLSGGHTLGFAHCSSFQNRIQPQGVDPALHPSFAATLRRSCPPNNTARSAGSSLDPTSSAFDNFYYRMLLSGRGLLSSDEALLTHPKTRAQVTLYAASQPAFFRDFVDSMLRMSSLNNVAGEVRANCRRVN >ORGLA02G0337900.1 pep chromosome:AGI1.1:2:29095182:29095928:-1 gene:ORGLA02G0337900 transcript:ORGLA02G0337900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLGGVGSPQLSLSSCSSFLSISSAGTSAADGAPHLSLGVGGAEELDLLLQVGIGGGGGGGGDEEEEERKTIRMMKNRESALRSRARKRAYVQELEKEVRRLVNENLKLKRHCKQLKTEMAALIQQPTNKQSSHRRSSST >ORGLA02G0337800.1 pep chromosome:AGI1.1:2:29088493:29091123:1 gene:ORGLA02G0337800 transcript:ORGLA02G0337800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGAKCSDEVNDGTYFMAGVMAVTGLMATVLALSGIFHCALRRVGQPSIISHILAGVVVGPTVLGRAVDLRPLGMQDAGSALSDTIYFVRIIFMFFIGLEMDLRYLRHHLRRSLAIACGGSGLCLLLAALAGPFFYGLLHPGQGPFKPEKLYASTALFMLVLTTTASPVLIRIVTELKLTGSEAGQLAIGAAFANDMASLSVFSIMVVGTTAYGPDGQPTPSFPDMSIVMSMAFTACLAVLAAARAARLLNRLKRGRRYVSKYELCAILLLIIALSLLEQVFGYSASMTAFLIGLAMPRDGPTARTLVDRLTYPVHQLVMPLCFGAIGARLDFAAVGSFTAMQFAVAVAFTTLLGAVGKVGGTVLAGRMLGISARESLVLGFLLNVKGYCDILAINFGNQAGIWGQTAQVVLLLSSILNTFMAGPAAAAIVRQQRAASRYRSRCLQDLKVDHELRVLVCVHGAGGVHTMLTLAELSKGTAPLAVYLLHLVELMAARKYAITHLYHDADADDDEWGYAREIEQVAAAVNTFTYDAGVPVRQMTAISSLGSMDADVRNGVEDSRASLVIVPFHKEQRYDGRMVCRREGRRQLNQRILQRLPCTVGVLVERRLGGGGDKGAEDVVKNQVVAVFLGGPDDREAVAYATRLAAHPWVSVTVVRFLPARQDDITIGIDEQLLATTKSHGGEGAMEVAVEDDEAMADEEFMADVYARLVLAGQVEYTERYVSNGAEMVNSLSAMVGTYSLFVVGKGGGGSAAAAMTSGMGGLLEDECPELGPVGEVLASDDFTACGSVLVLQQHSAHVHHRMRRWNPNIHITTTPSSSDHHSHPP >ORGLA02G0337700.1 pep chromosome:AGI1.1:2:29081697:29086215:-1 gene:ORGLA02G0337700 transcript:ORGLA02G0337700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLLLTSRGTHSPAPRPQTRRASQLLVSFPSCRSRFVGLRLARAAADSQGPNGAAPGSGSGEDGEGKAANGADTKLPKNRRDILLEYVKNVQPEFMELFIKRAPPQVVDAMRQTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQIALPEPKEENDSADYAPGTQKKVTGEVIRWNKTTGPEKIDAVKYIELLEAEIDELSRQVARKSSQGSNELLEYLKTLEPQNLKELASSAGEDVVFAMNAFITRLLAVSDPAQMKTTVSETSANQLANLMFWLMIVGYSMRNIEVRFDMERVLGAAPKIGELPPGENI >ORGLA02G0337600.1 pep chromosome:AGI1.1:2:29078229:29079437:-1 gene:ORGLA02G0337600 transcript:ORGLA02G0337600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSPSPSPASGAQYAHQFLNTALSQRGPSALPYAEDVKWLIRNHLVALADAFPSLHPKAALFTHNDGRAAHLLQADGTIPIHHAGASYNLPAVLWLPEPYPRSPPLVFLSPTRDMVIKPHHPLVDRSGLVANAPYLRSWVFPSSNLVDLVRSLSHLFGLDPPLFTRSPNPPPPSPSPPIPATPLPRVHPSSSSSPSPSPYRFPASPQLAARPPPTEDPAEVYKRNAIAKLVDMAYADAATLRPVREAEVDTLFAMQATLRSRGEVVSDGVRKMGEEKEALERRLQDVMMATDLMEAWVMENAKGAAGDTEADEAIETADVLSKQMLECTAADLALEDTIYALDKAIQEGSVPFDGYLRSVRALAREQFFQRVLSTKVNKAQQQAQVARMAARAPQYAS >ORGLA02G0337500.1 pep chromosome:AGI1.1:2:29064375:29070805:1 gene:ORGLA02G0337500 transcript:ORGLA02G0337500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1P5W9] MATAGVVVEEAVRRYAGGKPAALLPALLGLLSRQQPSSGWARALASQLHADVAKRPLSAAASNSLLCYYLRSSRLDLALHHLRCRSTPRDSLTYNTLLNHLPASSSSSTTFRLFRFAMRHAHAAFHPNVASLLSLLRASSSYSDHFLHMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSGYADQALRLFREMLADGALQPDGVVAVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMLSGLVHAGSYPEALHLLALQIGVVGDETTLAVLLQLCKKKRLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRLFQGMRERNVITWSTVIAACAHNGWPHAAMACFVAMLETGERPNSITVLSLVEACGSCAEMWASRRAHGVAVRSGLGFELAVGNALVHMYGKCGELGASARVFDTMPVKDVFTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPRVEHVSCVVDMLARAGDLDGAAEIVRRSSGGGSPAAWSALLSACRRRGDGGGGEVGRSAAARVLELEPGKSAGYLMSMGMGLGKGWAAGMRWAMREKGVKVESGHSVVQHAGGSERDLRPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALVLAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAKLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPVFFIGLGNLSAVVLLPLLIYVFRLGITGAAISTVASQYVDQLVIDRAFIHGPCPFCPLMNGLFRELLFSILFRGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSAQAMIASSYAILDYKRVQKIAMFALQFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMASGILR >ORGLA02G0337400.1 pep chromosome:AGI1.1:2:29062870:29063979:1 gene:ORGLA02G0337400 transcript:ORGLA02G0337400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGMSCFSWNPSLRCWHGSNDGGSPCSQAAQAASAGAGGAKKFTLAQLSAATDGFHESNVVGEGGFGRVYRGRLEEGGQGLVAVKQLCHGGAQGTREFLVECMMLMMLHHPNLVSLVGYCADAGERLLVYEFLPRGSLDAHLFGRRPQEPPLALGWAARVRIAVGAARGLRYLHEVVTPPVIYRDLKASNILLDDDLNPRLSDFGLAKLGPVGDDTHVSTRVMGTYGYCAPDYAMSGKLNVKSDVYSFGVVLLELITGRRAFDAASSDSESEDHQRFLLLRDWARPYLAGDRKRCFALADPAFQGRYPRRAFYQLAVVASLCLRDNPNLRPSMTDVTRALDHVASQSQPWEDKQRATTTTPPPPNSQP >ORGLA02G0337300.1 pep chromosome:AGI1.1:2:29053603:29058994:-1 gene:ORGLA02G0337300 transcript:ORGLA02G0337300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCDLRILLLAAAAAFIYIQVRLFSTQSHYADRLAQAEKSENQCTSQLRSLIDQVSSQQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVQTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQHLDLEPVRTERPGELIAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVYDPKALYRSDFFPGLGWMLTKPTWIELSPKWPKAYWDDWVRLKEVHRDRQFIRPEVCRTYNFGEHGSSMGQFFRQYLEPIKLNDAHIKWNSEDLSYLKEDKFLIQFGKDVASATPLHGSDAALKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRV >ORGLA02G0337200.1 pep chromosome:AGI1.1:2:29047874:29052989:1 gene:ORGLA02G0337200 transcript:ORGLA02G0337200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G12100) TAIR;Acc:AT3G12100] MDEPPKGWNPNYGVVGSGDRRLAFSRQLSSSMPRLARSDSSISMPPPPLAPTGAITFRWLATRPMRRLALLIALNVAYSATELAIGLLTARVGLVSDAFHLTFGCGILTFSLFAMAASRTKPDHLYTYGYKRLEVLAAFTNALFLLFLSFSLAVEALHAFMQDESEHKHYLIVSAVTNLLVNLLGVWFFRSYARVNIVYRKAEDMNYHSVCLHVLADSVRSAGLILASWFLSLGVENAEVLCLGIVSVAVFMLVLPLFKATGNILLQIAPGNVPPSALTKCFRQISACEDVSEVCQGRFWELVPGHAVGSLDIRVKNGGDCQSVLDYVHGLYQDLGIQDLTIQTDE >ORGLA02G0337100.1 pep chromosome:AGI1.1:2:29045907:29046959:1 gene:ORGLA02G0337100 transcript:ORGLA02G0337100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQMMGRSFPMWAAADDPSAQPPPFLPPPNRGWKRKNPSTAAGGYQPPALGDLQVQNRAKARRWFKNQSGGGGGGGPRKHFFPRPKAAAPRNTTSFIIRAKRAGGIASLVSPSPVTPAVLPTPRLSPSREGLSDMAQAQWGVDGYGSMKGLIRLRTSPHPANPSDDDDDANSSGSDVEEHVEVERRLDHDLSRFEMVYPARGDGVFFEEEDDYEYDQEAHVARLEEENLTLKERLFLMEQELGDMRRRLEALESRFAGTDGMPIENSDAVAVDHDKEMAHPILVQRDDLRTEIAADAAGSDKASAQDNAEEVADAAVSEKIVQDNADVVVAGSERTGEEIGVDASEMD >ORGLA02G0337000.1 pep chromosome:AGI1.1:2:29039165:29044942:1 gene:ORGLA02G0337000 transcript:ORGLA02G0337000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMDMPSAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKSIQKYFLFLGFLVDEWKHFLQRVDCNTEEELRETEQLEDELRLWASYRGQTLTRTVRGMMYYRQALVLQAFLDMARDEDLREGFRAADLLNDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDHRAQDILRLMTTYPSLRVAYIDEVEEPSKDRNKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMMTVWTVYVFLYGRLYLVLSGLDEALATGKRFIHNEPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGTTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEKEQEPIKYSGKRGIVLEIVLALRFFIYQYGLVYHLNITKHTKSVLVYCLSWVVIFVILLVMKVIPSIHIFTVSVGRRKFSADFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVAQAIKPVIVRIGLWGSIKALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >ORGLA02G0336900.1 pep chromosome:AGI1.1:2:29026879:29028468:-1 gene:ORGLA02G0336900 transcript:ORGLA02G0336900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRLRGGGSRPQSKSQEGLRIHAKEKLPIASNALLQAHGEIHAATGAPTYLALLFRNFYPRLSANLGLGLAIHFRNNQPLPLAWDNFSYTLRASKAIIPFPSNALLGINLKGRLLADKYFNPTTRTAAVELAWTILDLKRGQDVRLKLGYQLLHKMPYFQLRENNWTFNAYMDGKWDVRFDL >ORGLA02G0336800.1 pep chromosome:AGI1.1:2:29024046:29024597:-1 gene:ORGLA02G0336800 transcript:ORGLA02G0336800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVHSAESPVTPAAAISIDSDMVVILASLLCALICVAGLALVARCACRRRGAATTTTTTTTTPAATSPAPKGLKKKAIDALPTVSFALKQQQQAECAICLAEFAAGEELRLLPHCGHAFHVSCIDTWLGTHATCPSCRATVGTSTLFLPLPGRCRRCGEVDLPTLHDFSTATATAHHNTPP >ORGLA02G0336700.1 pep chromosome:AGI1.1:2:29019677:29021635:1 gene:ORGLA02G0336700 transcript:ORGLA02G0336700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVVAAAAAAVGNMLQGWDNATIAGALLYMRRDLPALQAHPALQGLVVATSLIGATIVTTFSGPLSDSRGRRPMLIASALLYSLAGLLMLWSPNVPILLLARLVDGFAIGLAVTLVPVYISETAPPDTRGLLNTLPQLTGSTGMFLSYCMVFLITLAPIPNWRLMLGVLLLPALLYLLLTIFFLPESPRWLVSKGRMKEARTVLQMLRGRQDVSAEMALLVEGLTTGRDTAIEEYVVGPTDEAKVTLYGGMSSGLAPGSMFGSAVTLASRQGSMLDHLKDPVVALLDSLHDMNPPAGGTTDVPNLGSMIGVHDRPPIDWDEENSGDDDGDIAAPLLTMEGEAATSTVGIGGGWQLAWKWTEGVAADGTRQSTVKRMYLHEEQAEGVHAAALVSQSALCTKQEAEAEVEGGWREVLEPGGVRHALVCGVAIQILQQFSGISGVLLYTPQILEQAGVGVLLSRLGLRDDSASILISGVTTLLMLPSIGVAMRLMDVSGRRSLLLWTIPLLVASLAVLVAASVAPMAAAAHAAVCTGSVVVYLCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLAFWLADIAVTYTLPVMLASLGLAGLFAIYAAVCCVALVFVALRVPETKGLPLEVIIDFFNVGAKGTLPNLHDDDDHY >ORGLA02G0336600.1 pep chromosome:AGI1.1:2:29015426:29017229:1 gene:ORGLA02G0336600 transcript:ORGLA02G0336600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYYSCGASSTSSPTSPSLVDYYYCYHRYPSSCSSTSTATSSGGRMPIRSHQQRLSSPTAVLGHETPALREVYTVGRKLGQGQFGTTYLCTQVSTGAEYACKSIAKRKLLSPEDVEDVRREIQIMHHLAGHGSVVTIQGAYEDNLYVHIVMELCEGGELFDRIVERGYFSERKAAEITRVIVGVVEACHSLGVMHRDLKPENFLLKESSSSSSLKAIDFGLSVFFKPGQVFSDVVGSPYYVAPEVLCKHYGPEADVWTAGVIVYILLSGVPPFWAETQQGIFDAVLRGSLDFDSDPWPTISDSAKDLIRRMLRSPPRERLTAHQVLCHPWVCDDGVAPDRPLAPAVLSRLKQFSAMNRLKKMALRVIARNLSEEELAGLKEMFKAMDTDASGAITFDELKEGLRRYGSNLREAEIRDLMDAADVDKSGTIDYDEFIAATVHLNKLEREEHLLAAFAYFDRDGSGYITVDELEHACRDHNMADVGIDDIIREVDQDNDGRIDYGEFVAMMKKGAIDIIGNGRLTIGRPTTATSDDPSPTISSSSR >ORGLA02G0336500.1 pep chromosome:AGI1.1:2:29012486:29013622:1 gene:ORGLA02G0336500 transcript:ORGLA02G0336500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAPSPAPCFPSTRHTLPGLVSVRVSRRVKVAVAVADPAPAFNSVTAFAPATVANLGPGFDFLGCAVADASLSLGDTVTATLDPSLPPGTVAIASVTSPSRPTLADRLSRDPLRNCAGVAAIAALRALDVKSHAVSIHLTKGLPLGSGLGSSAASAAAAAKAVDALFGSLLHQDDLVLAGLESEKAVSGFHADNIAPAILGGFVLVRSYDPFHLIPLSSPPALRLHFVLVTPDFEAPTSKMRAALPKQVAVHQHVRNSSQAAALVAAVLQGDATLIGSAMSSDGIVEPTRAPLIPGMAAVKAAALEAGALGCTISGAGPTAVAVIDGEEKGEEVGRRMVEAFANAGNLKATATVAQLDRVGARVISTSTLE >ORGLA02G0336400.1 pep chromosome:AGI1.1:2:29011383:29012336:-1 gene:ORGLA02G0336400 transcript:ORGLA02G0336400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSPLLALVFLAAGVLSSATSPPPPPCSRSCAALNCDSVGIRYGKYCGVGWSGCDGEEPCDDLDACCRDHDHCVGKNGLMSVKCHEKFKNCMRKVKKAGKIGFSRKCPYEMAMATMTSGMDMAIMLSQLGTQKLEL >ORGLA02G0336300.1 pep chromosome:AGI1.1:2:29001785:29008334:-1 gene:ORGLA02G0336300 transcript:ORGLA02G0336300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRPTHRHHTEAPDPGGRGRGRGRGRGRAARYAQPQPQPQQQQQQQQQQGRGCRARGASPPPPPQQQQQQPRSTPTRATTVTVASSSSTTATASSSPLAPELRQAIMEAPRPSELAQPSPTPPQEQPVDAATTTPHHIPSSSKSIRFPLRPGKGTIGTRCMVKANHFFAHLPNKDLHHYDVSITPEVTSRIVNRAVIKELVNLYKASYLGGRLPAYDGRKSLYTAGPLPFTSQEFQITLLDDDDGSGSERRQRTFRVVIKFAARADLHRLELFLAGRHAEAPQEALQVLDIVLRELPSARYAPFGRSFFSPYLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIGKSLSLSLSHMSATAFIEPLPVIDFVAQLLNSDIHSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTIQPTRELTFPVDEGGTVKSVVQYFQETYGFAIQHTYLPCLTVQRLNYLPMEVCKIVEGQRYSKRLNQNQIRALLEETCQHPRDRERDIIKMVKHNAYQDDPYAKEFGIKISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGKVRSWMCVNFARNVQESVVRGFCHELALMCQASGMDFAPEPILPPLNARPDQVERALKARYHDAMNVLGPQRRELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIEDLYKIWQDPQRGTVSGGMIRELLISFKRSTGEKPQRIIFYRDGVSEGQFYQVLLYELNAIRKACASLEANYQPKVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIKGTSRPAHYHVLWDENNFTADALQILTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSSSVISGPGVRGPLSGSSTSRTRAPGGAAVKPLPALKDSVKRVMFYC >ORGLA02G0336200.1 pep chromosome:AGI1.1:2:28995610:29001063:1 gene:ORGLA02G0336200 transcript:ORGLA02G0336200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:I1P5V6] MAVGLRRSDSIADMMPEALRQSRYQMKRCFQRYVSQGKRLMKRQQLLDELDKSVDDKADKDQLLQGFLGYVISSTQEAAVLPPFVAFAVRMNPGIWEFVKVHSANLSVEQMTPSDYLKNKEALVDDKWGAYDDDSQLEVDFGALDLSTPHLTLPSSIGKGAHLVSRFMSSKLTDNKKPLLDYLLALSHRGDKLMINDTLDTVDKLQTALLLAEVYVAGLHPDTHYSEFEQKFQEWGLEKGWGDTAETCKETLSSLSEVLQAPDPINMEKFFSTVPCVFTVVIFSIHGYFGQEKVLGMPDTGGQVVYILDQVRALEDELLQRIKQQGLNATPKILVLTRLIPEAKGTKCNVELEPIENTKHSNILRVPFKTEDGKVLPQWVSRFDIYPYLERYAQDSSVKILEILEGKPDLVIGNYTDGNLVASLLTSKLGVTQGTIAHALEKTKYEDSDIKWRELDHKYHFSCQFTADMIAMNTSDFIIASTYQEIAGSKEKPGQYESHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPFTQKQKRLTDLHPQIEELLYSKEDNNEHIGHLADTSKPIIFSMARLDKIKNITGLVEWYGQNKRLRDLVNLVIVGGLLDPSQSKDREEIEEINKMHSLINKYQLVGQIRWIKGQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDEVSGFHINPLNGKEASEKIADFFQKCKEDLIYWSKMSTAGLQRIYECYTWQIYATKVLNMASIYGFWRTLDKEERQAKQHYLHMFYNLQFRKLAKNVPTLGEQPAQPTESAEPNRIIPRPKERQVCPFLRNLLKKETGNN >ORGLA02G0336100.1 pep chromosome:AGI1.1:2:28992347:28993922:-1 gene:ORGLA02G0336100 transcript:ORGLA02G0336100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEDASSTPLPGGADDYIRDSIHDSLGLPVSDRSLRLKLLASEDHRRRLQDHVFALEEDLRAAARRIEQLKAESAMNAAGLRRCIEEKETMVSAYNDLSSHSAKLERECTLYERDLERAMESCDDLAKENDEMRARLNDHTTLSALTTQVEALHKDKENLRINLNKAEEEVKLLFEENKILDEENKKLLALLEKERQHRSERKHSSSASTTKHKRKSSSLKDTSPVGRTIDFNGADSSSRHPLSPLPPNSPDYRAHKK >ORGLA02G0336000.1 pep chromosome:AGI1.1:2:28987927:28991634:-1 gene:ORGLA02G0336000 transcript:ORGLA02G0336000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02710) TAIR;Acc:AT3G02710] MDAAYKRKRPDADDDGAAGAVDLSSLEGADDVEVLDLRAAKRLLLAFERRLRDNLEARMKHPDDPARFADSEIALHAETDRLRLLAGAPDLFSDLVPLGLASSLSSLLTHDNADLAAAAASLLADLTDSDDPDDLAGLHSLADALVEANALDLLVHNLSRFSEADPDEAEAVHHTLSVVENLLELRPQLADIVCDRTKLLRWLLARLKAREFDANKQYASEILAILLQDSPANQKRLGNINGVDGLLQAVAMYKSRDPKTSDEEEMLENLFDCLCCVLMPMENKERFVKAEGVELMIIIMKQKKLAYSSAIRTLDFAMTRFPPACERFVDVLGLKTAFAAFMGKIPVNKKNKKESYQEALEERVISLIASLFGGITKGSRRIRLLGKFVENECEKIDRLMEFYTRYSERVKAETERLDSLDLDDLEMDEDERYNRKLEAGLYTLQLIALILGHIWHSGNSQMRTRIELLLRQNKLTKDDVKDILEEYHDNIGDLDGPEEKERAQGRTKEIIASLSL >ORGLA02G0335900.1 pep chromosome:AGI1.1:2:28984681:28985298:-1 gene:ORGLA02G0335900 transcript:ORGLA02G0335900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPMGPTTRGRGWDGTKRRKKKLGEGGEERMMVGVGIVGVAGALPLVLRRTRTCTPPPPMAMTPLHHTCSFFFRPQPSPSLSHSPCAAADDDDFFTVDYDPEEEEEEDEEGSPWEGAVVYRRDASVHHLEYATTLERLGLGDLSSTHSRARAATMGILILSSTNLTGTKDDDTPVLVSLDVARRRGRLRLDGIVRTVITLGCYG >ORGLA02G0335800.1 pep chromosome:AGI1.1:2:28979903:28983031:1 gene:ORGLA02G0335800 transcript:ORGLA02G0335800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVRPPVDDEEARRRRSTDCIYFLASPLTCKKGSECEYRHSDAARMNPRDCWYWFNGNCANPKCSFRHPPLDGLVGALTTPRTSQQSAPQVSVPAQAPVPNPASGTAKQGVPCYYFQKGMCVKGDRCAFLHLPQATGSPAPQHTTKVFAPASVPHPQLKNSWTKPNSSAQQNAPPAIFDKPKDSAHNGKTAQKQNLTNRAGHSSGIIHDKKGSYMPLGVTKNYRPPPSTGDDLAENGVEMGEFVREPSAGSDVLTGGADDNAEQSLREDRGAYRRTNGEQHIGMLRQTHDSYGFERSHRGSAEKLLSESRFSQREPMPLTADSSDLRQRLLKQRRLNNPRSGQVSDRHNVYPEDERHDRHRRRGEEQASHDGVSSSRLRGRIRLPAETTFDRLGLQPEKERDRGPRARLSPPSQTDLRGKLHDRLKAKPNEDVSGNVQSSLSKANEDAESLNFAGPKSLAELKAKKVAGSLMKSSRSLTGPVRMTSEIVTIKDSSDPVLFDGPKPLNAILKRKREADSGNATDFGSKREEHSGGDEEGSQNDFRNIEDDIVGMNTEGNGEEAFQPEDDVVYGDSLSPADDIAAEAADDASRELEEQQDVETAEEYDYEMDDVNAAEENDYQEYEDEDDDLEDDDDFARKVGVMIT >ORGLA02G0335700.1 pep chromosome:AGI1.1:2:28966672:28978638:1 gene:ORGLA02G0335700 transcript:ORGLA02G0335700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15680) TAIR;Acc:AT5G15680] MGAGGAAKQIVDSLLARFLPLARRRIETAQAQDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLEALLRWRESESPKGAHDASTFQKKKLWIGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNSRRNDAPLSRSDSLSIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKHHWPPLGVEPALSLWYDAVARIRGALMYWMDKQSKHIAVGFPLVTLLLCLGDSHTFNTHFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNVYADYQPRNHVWDCLDSVTSQLLTVLKKGLLTQDAQHDKLVEFCVTLAESNLDFAMNHMILELLKPDSLSEAKVVGLRALLEIVVSPSNKQIGLDVFQEYGIGHYIPKVKSAIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRNDKMTEIIPQHGISIDPGVREEAVQVLNRIVRCLPNRRFAVLKGMANFILKLPDEFPLLIQTSLGRLVELMRLWRVCLSEELLAKDMQNVKRSSLGGDALQRSPFHRSRDVSEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNELRDYSANEWGDSKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLKREMDPVPLDVTLQSILESADKSRWARYLSEIVKYAAELCPSSVQDARQGLWGLEVVRRLEQITPVELGGKAQQSQDTETKLDQWLIYAMFACSCPPDSREEFALRAAREIFHIVFPSLRHGSESYALAATAALGHSHLEVCEIMFGELTSFLEDVSSETEAKPKWKPLYTIFHKKNPRSRREDLRTHVANIHRMIAEKIWPGMLSRKPVLRLHFLKFIDETCRQILPPSDNFQDLQPLRYALASVLRYLAPEFIDAKSERFDSRLRKRLFDLLLSWSDDSGSTWGQEGNSDYRREIERYKASQHNRSRESLDKLAFDREMAEQLEAINWASMNAIASLLYGPCFDDNARKLSGRVISWINSLFMELAPRAPFGHSPVDPRTPSYSKHTDGGRFGGRDKQKTSHLRVLLAKTALKNILQTNLDLFPACIDQCYSPDSPISDGYFSVLAEVYMRQEIPKCEIQRILSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDADGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDDEEPVRPGKVDTSANVVLEFSQGPSTSQVATIVDSQPHMSPLLVRGSLDGAIRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTTGRSGQLLPALMNMSGPLIGVRSSAGNLRSRHVSRDSGDYYLDTPNSNDDILHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKQHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLMRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDYVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDINGYTSDLHRLESRTTSERLLSVTETGKVPDFEGVQPLVLKGLMSSVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTKDASSLGSSSPIQEQNQKAYYVASNISVWCHVKSLDDLAEVFRAYSFGEIISLEDLFARASPPICSEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESALCAEALNVLEALLRSCSGVTGGQGDDIGFGENGHGMGEKVHQSMLLPQSSFKARSGPLQYAAAGSGFGTLMGQGGGSAADTGVATRDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >ORGLA02G0335600.1 pep chromosome:AGI1.1:2:28957863:28958549:-1 gene:ORGLA02G0335600 transcript:ORGLA02G0335600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSRTSSKGKPLHWTSCMKIAEDVAAGLVHLHQSPPAGIVHGNLKPSNVLLGPDFESCLTDYGLVPTLLPSHADLASSASVLYRAPETRTAHAFTPASDVYSFGVLLLELLTGKAPFQDLMEMHSDDIPSWVRAVREEETESGGESASAGGTEEKLGALISIAAACVVADPARRPTTAEVLRMVREARAEAMSSSNSSDRSPARWSDAVQVQMGMGVPRDQGELGGLT >ORGLA02G0335500.1 pep chromosome:AGI1.1:2:28954370:28955214:-1 gene:ORGLA02G0335500 transcript:ORGLA02G0335500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLPRTGSFSGVWWKLGDDADEQRRLADEEAAVKASTQRRHATARVIRRTIAFTSFALEAAGLFVYGLWTARIKTAANKTKKKKQLLLGVLLSVPAFAALLFAAIARFHKFIDAKDQQKLDRLRAERNKAKMGHSRGSHHNMQKLLLTHNTQESDSDSCAEAAATKTASHSRLSFHVGDDD >ORGLA02G0335400.1 pep chromosome:AGI1.1:2:28952115:28953776:-1 gene:ORGLA02G0335400 transcript:ORGLA02G0335400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEQQQRTSTRWKGRRKTLVLVVEEEKRMDCITTYLLFNINIKDMFTHDDEWTVLRPLPRPMAQMDTLRRLALEYLNFAVVASNTIVGVSNRKRTVLLDLECDATADVLSPGPELPEEIIGGTEGDWELPIDGRGVFVPELGLCPRLRCLCAFDLPTATAPPVVRYVWPETFSEELNAMGVRAGNPWQLGLPGTMGIQHDHRRVPTRFALLLIAVQLQRDDKEEFCLVSRKLRCYDLPANAKKCLPAAYLATVRLLVTVGAEGGEITVVPTKILLPPQPFFLPPPSQEKTRKSWGLKEKKNHQEKSRSKIVWAAARLVVVTDAAAVAGDTSRRREPAAAAKNPDLLPLLLAAAACTVGEEARRRWLAPRSPGNLPPDDRQRI >ORGLA02G0335300.1 pep chromosome:AGI1.1:2:28945415:28951190:-1 gene:ORGLA02G0335300 transcript:ORGLA02G0335300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDPSVGFFSGVWSRLRAAWRRTGAAHHPAGPGDDDDGQNEETVVRSRLVRRAAAARRLGHKLAFLSFNLEVLVFVYAFWRARRRNLSWRQPIQALPVLVIPALATLIYAAFIRFTRRLDLKDHRRLKRIQEQKQANDGEPRKPNQNDLISAKKQNCGDVDDASNSLPTTDSNSTFLPPTHSENRTSKPKKRRQPSISSRGDGEADISWGHSKDFQPMPLDGLRKRRFSSEKTYTTTSIATESIEEDTQNAMPSSSCSKEFPELSDSSISQSNNIKPICGSSAPLIRYPGILPRDGNEEVPTASTHLDQQGGAHDSIEDTVFSPLNYRNHSGPAIFVKLTEPPTIHHEAPVGCGEDKVFDRLLDIVNTNFSSCKENLICPVNSYDSSFDRGDSCLTEHGIPSLMTVFREMPVKVSEESSPSQPEKLESYPVSINEAPASPSDYIVAYGSLNDVSQDPSDPVLSALENFEKVPPEGGKEDSLLEPHKLAELQTDTVAPEIVPTSHAIDDNEVIINPDEVTNALACIYTDANIIAAVADIDTGISPRLNLPASQESHCEEFEDPEVRFSSSAELVMKGDEDTWEKEPCGFNGQEGNYVFICSEEEALLGPLAVSTTEQYMTTSGFPLCCQDANMMEVPRIVAVNPELNNPTSGELLTDSDEVSKEELSYDLHLKEPNSLPFDLEKEDFMDPPVVDISEHSLATSDFFLCNGVETTITEAHEAVKKSLSESQDDGPFNPIKAAVDPSCDDSNTQDMINNVMPAHFVPDINMRENFQGEINNDVFYSSSAAEDNAPSFVQGRLSESEDGTTSAFVDTPIFLDEVTRAENWTNNTGSSQCISDRREIRSFHDGKQVPSETIQGLTLGVEGSFVSPEESINSEKYSLYSRSSSCVSEVNMMHATGGGALAEPGNNQIFNLDDKNTMMFQSVNSTENYGNNSRSVEFIPETNMIETLEVAGESIDGLLHEVSSNVVNAFVTPDIGNDMGKSDDYLDLLSSSSVHTVQNCKAENNPYKTTSLLFSADVNLTGCLGSGQQGNQQGEETALCSENLYMALQDANSEDHFTNLGSQDIPGASISYILQVEEKSDRLHDGIFSIEGTLLSLDDGNIADSNNSSFVLHNTQINKNLLGLQKGSFKLQDEHTMTFISRNKVDIVEKSTCYVAGESMVADLQDTNKTLSEPRDGNISSFSGACNILDESKGSTNHPYYSRSMPSGPECSLIETPEAARGESVETNDENCFSFEETLTPEISSNSPRSASYNCTQEAVRSSGKGSTDPRMVDVHNFDMIPAGEERENESLNEIAYNSEQTAIIMEDVKYTESFLNKPGSLPYAPNDNCAVATENFDKGFSEPQYQDGPEVAVCLAGMPLFVDNGTEAEKSHDNTSSSHSELNITEAIQELSIDVGNKVSPKGSELPDWHFMDKEAKDSRLDDVKEDLEDLDKDHENSPINPPEAAGITPSLKLYAKDASWRDSSMGVSNDFEVAQAAGLRQRKQVFTISSGTGSSTMSELTDTQYTELVDDVIDSLNAPLPSSAVTNIKSRPNGMAAYILEQSATEQPRKNI >ORGLA02G0335200.1 pep chromosome:AGI1.1:2:28941351:28942149:-1 gene:ORGLA02G0335200 transcript:ORGLA02G0335200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKTVPEPEPHVLAVDDSIVDRTVISRLLRSSKYRVTTVDSGKRALEVLSLDRNVHMIITDYCMPEMTGFDLLKRVKESAELKEIPVVLMSSENSPTRIRRCLEEGAEDFLIKPVRPSDVSRLCNRVIMK >ORGLA02G0335100.1 pep chromosome:AGI1.1:2:28935474:28940296:-1 gene:ORGLA02G0335100 transcript:ORGLA02G0335100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRGGVGVGVGGGSGIGVAMVFLIVSASSLLIRLPLVARARLPNPTSSSYTSRSRSRALLLLPASSPLRAYCPASRRPSPATCSAAYASSSMATDDNPLLADFDFPPFDRVEPTHVRPAVRTLLARLEGELADLEKGVQPTWGKLVEPLERIVDSLEVVWGTVDHLKAVKDSSDLRAAVEDVQPDKVKFQLRLGQSKPIYQAFNAIRNSSDWETLSEARKRIVEAQIKEAVLSGVALEDEQREKFNQIEQELEKLTQKFSENVLDATKKFEKLITDKNEIDGLPATALGLAAQTAASKGHENASAENGPWIITLDAPSYIAVMQHARNRALREEVYRAYLTRASGGDLDNTNIISQILKLRLEKAKLLGYKNYAEVSMAQKMATVDRVEELLEKLRAASWDHAVKDMEDLKAFAKESASPEANDLAHWDLSFWSERLRESKYDINEEDLRPYFALPKVMDGLFSLANRLFGVSVEPADGLAPVWNSDVKFYCVKDSSNSPVAYFYFDPYSRPSEKRGGAWMNVVFSRSRVLARNGSPVRLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGFVSGIRGVEWDAVELPSQFMENWCYHKNTLLSIAKHYETGELLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHTTYDPNGSLSIYDVDRRVAERTQVLAPLPEDKFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDVGLDNEKAIEETGRRFRETVLALGGGKSPLEVFVSFRGREPSPEALLRHNGLLPVAAL >ORGLA02G0335000.1 pep chromosome:AGI1.1:2:28933446:28934942:1 gene:ORGLA02G0335000 transcript:ORGLA02G0335000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPAAALHLLLSRPWLTAAQLRQAHAHLVVSSLLADRFFPNSLLRSLLPPSHSPLRALRLFPRLRRIIATNPFFPNAYTFSFLLTASASLAPLPHAASPRVLVESLHALAILVACDAHAYVSNCLIHAYASCALLCSARRVFDVNVSCRDVCSWTSLLTAYGRAARLQHARALFDAMPHKTTIAWAAILSAYVGAGSFAEAVDVFQEMLRARVRPNRAVILSVLAACGALGALEQGRWVHAHLVAAHGAMAKDGMVATALVDMYAKCGSLETARQVFSGMAERDVFAYTAMVSGLSDHGRCVEAIELFGRMQEEGVRPNEVTFICVLSACGRAGLVGRAREILGSMSAVHGMEAGVEHYGSMVDVLGRAGMVEEALEVVRRMPMRPDSYVLGALLNACVARGDMEGGEEVAAMMAELGLDDRSGVQVQLSNLYAGRGKWEEVVGVRRGMEERKVVKVPGCSMVEVDGVAREFVAGDRSHEAWIIDVAEQLERMLAHH >ORGLA02G0334900.1 pep chromosome:AGI1.1:2:28931800:28933125:-1 gene:ORGLA02G0334900 transcript:ORGLA02G0334900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGHGMGNPVNVGIAVQADWENREFISNISLNVRRLFDFLLRFEATTKSKLASLNEKLDILERKLEVLEVQVSSATTNPSVFN >ORGLA02G0334800.1 pep chromosome:AGI1.1:2:28928963:28930009:-1 gene:ORGLA02G0334800 transcript:ORGLA02G0334800.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSWSPQAASLLFSCFFCFFYSNLPSSCSDLLNFPLLSSFVFKAVNDCRPKVGSSNILLRSSQHIFLFQSCSSMNESINGKMNELFAVAGRAGVAMMNMVSSSSIQPGQIHSIWQRRQGGESSGRYVVMSSGSVRKSSSSRRRVVAVIRAVGDGAGESTSGKDDEEEEKRRREELERLVGGPEDATFSGADLAALIRSKYGRSYDVTLIKKEFMGRNLLAMNVMWKYREQRSFPLTEEEYLLRLDDVAASLRCWGAVAHVRSSLAKLKDRPRIGKAVSIFIDMPTDDSGARSNEWIYK >ORGLA02G0334700.1 pep chromosome:AGI1.1:2:28927065:28928336:-1 gene:ORGLA02G0334700 transcript:ORGLA02G0334700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRRSLAAGPAPALLLRRQLLLRFLSTQTQSQTQTPADLATLKNSIRSAAHTPEALADLFISGLSHPAFLADRPIFTLSVHRLASAGRRDLVASILSSSLTSLPAPHPSEGFLIRLISLYSAAGMPDHSLSTFRIVTPPSDRALSALLSAYHDNRLYDRAIQAFRTLPAELGIKPSVVSHNVLLKSFVASGDLASARALFDEMPSKADVEPDIVSCNEILKGYLNAADYAAFDQFLKDNTTAAGGKRRLKPNVSTYNLRMASLCSKGRSFEAAELLDAMEAKGVPPNRGSFNTVIQGLCKEGEVGAAVAIFKRMPEVPRPNGKGVLPNSETYIMLLEGLVNKGVFAPALEVFKECLQNKWAPPFQAVQGLIKGLLKSRKAKHAKEVAMAMRKVVKGDAKEEWKKVEAEFSFEPTDKKA >ORGLA02G0334600.1 pep chromosome:AGI1.1:2:28924899:28925264:1 gene:ORGLA02G0334600 transcript:ORGLA02G0334600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVDSMEAEYDALLDAVAAFIAEPVRTTGAIQDLKNRIDAFYASCDRADDLVRAAADRVAFNATGNAHVPPPPAAAPPSPGTGTTRIDALLRAVEGIAHHDHPPLQAKAKAAAGDQHHN >ORGLA02G0334500.1 pep chromosome:AGI1.1:2:28919986:28923612:-1 gene:ORGLA02G0334500 transcript:ORGLA02G0334500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19895) TAIR;Acc:AT3G19895] MAASSPPPAAASSSGRLARVRLHDLAPYDGAATPAYGRAVHALSASLTRHGAALLDLPDAHAAILRCALQSARAFFRANDQPAFYLYRAGGSASRTSDDGGGDLVPACMDDAFRCFGEAARAALSAIARHLRLRTNVFDHLLDDTPLPVNEVSSSELLVAYSNQHLHTDHASSTACLGSSVPQVDRGFLVLIASDHPGIEVCDPNGQWYLADGISGPGDLLLLTGRALSHVTAGLRPTSCYRITNETRASLTFRLMPHANAILDCSPIAAAGHCVPQMYQPISASQFMDDLCAEERAVSNHSEAPSESQGSFISEPSLRSVLSDPLSGAFLEDAMVLLCGHSFGGLMLKKVIEMARCTICNGEVDPATLFPNLGIFLTTNFLPRNWHYICLTCSLTAVSILDIIALRAVATVVKMEDDRRLFHNAALRKRRKEVTERMDVLKSTGGSRGNGELVLDAENPTSPRGVQYPFVVGERVLIMGNRRTPDKFVGKEAVITSQCLNGWYLVKAVDSGESIRLQYRSLKKVSELQLQSEMRLQPLTFLHNKYPSSGS >ORGLA02G0334400.1 pep chromosome:AGI1.1:2:28917154:28919478:-1 gene:ORGLA02G0334400 transcript:ORGLA02G0334400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLFAAAPRAVASFRASSASSPALDSGRRPQNVPGDFFVDHRCIDCQTCRWMAPEVFKRVDGKAAVAAQPISDDHRTKALQALLSCPTASIHTEKPAKDILQVQNMFPLPIDTHLLPGVYHCGYHSEDSFGATSYLITHPDGNILVDSPRYTTKLANNIEELGGARYMFLTHRDDVADHRKWAERLKCERIIHSGDVERATVDVERKLTGNGPWNIGADFELIHTPGHTQGSVCLFYKPVKALFTGDHVAKSEESDDLYLFLMYSRQPVSLQLDSMRKLLKLDFEWFLPGHGYRIHYKDVHAKDSAIESLIAITTQARGIL >ORGLA02G0334300.1 pep chromosome:AGI1.1:2:28912956:28915474:-1 gene:ORGLA02G0334300 transcript:ORGLA02G0334300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMMSLRFAPPASVAAPPPRRPRAVASSASSPALQRRRPQNVPGDFFVDHRCIDCQTCRWMAPEVFKRVDGKAAVAAQPISDEHRTKALQALLSCPTSSIHTEKPAKDILQVQNMFPLPIDNHLLPGVYLCGYHSQDSYGATSYLLTHPDGNILVDSPRYTTKLAENIEKLGGARYMFLTHMDDVADHRKWAERLKCERIIHSGDVEDVTADVEWKLTGNGPWDIGADFELIHTPGHTEGSVCLFYKPVKALFTGDHVAKSEESDDLDLFLMYSKQSVGLQLDSMRKLLKLDFEWFLPGHGYRIHYKDVHAKDSAIESLIANYTS >ORGLA02G0334200.1 pep chromosome:AGI1.1:2:28908786:28912285:1 gene:ORGLA02G0334200 transcript:ORGLA02G0334200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPPPPASLSPQEWEQLIDDFPTPRRHRWLHLPLLDLALSSLPRRDLPSHLRPLLLSFLDDHLLPPSPTHLPLLLSSLLSFPSDHPLRDHLLLTVTSAFASALSPPVSTDHADPLSALVNALLASANRPNHAPDRAARALACDALRALDAALPGLLADVLGHVYALAAAERSPAAQSYLLLLASAARHVVRLGRLPSTTSILAVSGPPTPFFVPAHLLAPAPDPANPVPPPSEVNLRDIRKVLALIMDRPQVLTPASAMEMAAILAEVSAAVVGWAPAIAAHVKVQFGGMAHSSSLMLLHSLLTLFIQFPDAFGAEDERKMARRLALAACEAHRPLTARLLALHWLLGSGKFRYAVPGLAKWFYPGVFDPLAVKAKKLDCLALVASGVDADKIEGGRDVDQTIGLVDDGLACVSAFRWLPGWSTETCVAFRALHVVLVAAAPHSTDGSGCSGAGELLNSTIFHHLQAMLVDMTSEHRGLVPVIADFINRLLACNTHRWAGEQLLQTLDENLLPRLEPGYQLASYYPLFEKIAENETVPQLRLIELLTKQMASLAKKHDPETELKTWSQGSKVVGICRVMMKHHHSSRIFFPLSCLLVLTIKSYPDLEVRDHARTCLRMLSCIPGKKLRHLMGIGEQPAGVTPSHPGPLFDVPSPRPAQDLKSMPDLASYIHLERVVPLVVKQSWALTLPNFSIQSRASGQILSIQDVSSTPPEQEKTPQPTIERIAYTQEALRVMDSKGAETLEILRRHFACIPDYLHSVGLKIKIPCTFRFDSEPFNHAWGSDSAVPGSEGVDGLPALYAATINFSSSAQFGKIPSCHVPFLLGEPPGSGMDIMPLDNGHRLESSYCASVVIELEPREPSPGLIDVVITANTENCQVISGSIQPITVGIEDMFLKASVPPDILKEDAAEYYQDLFHALWEACNSCSNTGRETFPLTGGKGSAAINGTRSVKLLEVTPKVLIRAIERYLAPFVVSVAGDSLITILRGNAIIKNVVWEESDSAPIVGADALVPYSVDTNLSLQRIDEDEFEVGAETYAHLSKRDMGIVRVLIFLPPRYHLLFSMEVGYASTLVRIRTDHWPCLAYVDEYLEALL >ORGLA02G0334100.1 pep chromosome:AGI1.1:2:28901991:28906568:-1 gene:ORGLA02G0334100 transcript:ORGLA02G0334100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSAGTPLPPPSLAAASTSSCFLPSLLPIRRRRWPTPKAAATAAFPPRRPAPLSANNLPPHTPGVSETTSTSTSSTTFAPGTFRGAGGEDPLVSKLRTQLGVIHPLPAPPVNRSVLGLFALFFFVGAAFDKLWTLRKRRRAEREVKVNGTWPQVPTSSFSLFLEEKDLQRKESVEWVNMVLGKLWKVYRPGIENWIVGLLQPVIDNLHKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGLRYTGGARMALALSLKFSAVPVVVPVWVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSLFRLFNLMAIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQQGRSMGPVAGDVASDVIQNVASGILQDVASDVIQDGNKDFVGELSVTLVDARKLSFVLFGKTDPYVVMILGDQEIKSKKNSQTTVIGQPGEPIWNQDFHMLVANPRKQKLCIQVKDSVGLTDVTIGTGEVELGSLKDTVPTDKIVTLYGGWGLLGKRSKGEVLLRLTYKAYVEDEEDEGVKNEFAAGYVSDEDVLDYVQDSTSKQSDMDGKERETFMDLLAALLVSEEFQGIVSSSEPGSLRDSEQAAKSRDGENAAAAADTGTVSNSSTDTALVWLAAITSVMVLVSSNLGGSGYFNP >ORGLA02G0334000.1 pep chromosome:AGI1.1:2:28898769:28901098:-1 gene:ORGLA02G0334000 transcript:ORGLA02G0334000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFSQPDAFSPSQFTSSQNAAADSTTPSKSRGASSTMPLTVKQISEAQQSGTTGEKGAPFVVDGVETANVRLVGLVSGKTERNTDVSFTIDDGTGRLDFIRWVNDGADSAETAAVQNGMYVSVIGSLKGLQERKRATAFAIRPVTDYNEVTLHFIQCVRMHLENTKSQIGSPAKTYSAMGSSSSNGFSEMTTPTSVKSNPAPVLSVTNGSKTDLNTEVLNVFREPANVESEHGVHIDEIVKRFRLPEAKIKVAIDYLADIGHIYSTIDESHYKSAFNE >ORGLA02G0333900.1 pep chromosome:AGI1.1:2:28883760:28890505:1 gene:ORGLA02G0333900 transcript:ORGLA02G0333900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEVEAAAIDGSGEEAKRKSGKQRGSGAKGRRRNGDRAFRPPAMRPEEEGRGVATRPGALRERKPPPNAFNAPDDDEDVEKTDQLLEPLNKPKRRDAGKKRGPRKKKVDQENIKTHRHNANAVKGKMLVNDKVSKTEKKRKRGDTGAAENNGKGKKMLTGENALMCHQCQRNDKGRVVWCKTCNNKRFCVPCINQWYPDFPENEFAAKCPYCRKNCNCKACLRMRGVEEQPPRKEISKENQIRYACHVLRLLHTWLIELRQEQMAEKELEAKIQGVSVDQIKVEQAVCDLDERVYCNRCSTSIVDFHRSCKHCFYDLCLTCCQELRKGEIPGGEEVEILDPEERDKDYAFGKILSDGENQRDSLKCRSDTQNSESNKGMASDENQKKALLLWKANSNGSIPCPRKEKEDCSFSSLDLKCLFPEKLLPELEDRAEKVFWSETFAKELGRTSELCPCFDHSGKIRSDSKKLRQAANREDSSDNYLYCPVATDIQDADLLHFQMHWAKGEPVVVSDTLKLTSGLSWEPMVMWRAVRERTKGKAEDEQFAVRAVDCLDWCEVEINIHMFFMGYTRGRTHPRTYWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPGGVLKPDLGPKSYIAYGFSEELGRGDSVTKLHCDMSDAVNILTHTAEVPCETYDAVRIKNTQKKMKMQDDMEIYGMIESGSELKPSACPVELGNKAVGEAPKASCSKENVHTLKDKSNGLDINASPPDDAGGDARDEALSYESVVHSDVAQCPNHNHETNNSDDARNGAQRCQKKAKGRPPKTGSGVSEHQESGGALWDIFRREDSEKLQDFLRKHAPEFRHIHCNPVKQVIHPIHDQAFYLTVEHKRKLKEEYGVEPWTFEQKLGEAVLIPAGCPHQVRNLKSCIKVALDFVSPENVGECVRLTKEFRRLPSSHRAKEDKLEIKKMAFHALNEVLNFLDPPSSEGSKEAAEEKPRRGRGRPRKH >ORGLA02G0333800.1 pep chromosome:AGI1.1:2:28881566:28881787:-1 gene:ORGLA02G0333800 transcript:ORGLA02G0333800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLQYTATRSQRAATACFFLTGVALILAAARLSYANIEPQRAKAADRRRVLEDFIRRKRNHALDLEDPPPKP >ORGLA02G0333700.1 pep chromosome:AGI1.1:2:28870886:28871596:-1 gene:ORGLA02G0333700 transcript:ORGLA02G0333700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPDGGGGGGGAPDKQLVPASNANGTALAVRKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTSSPSSLRSNSTSNSNDLLLPRAAPFILGKRLRAADDHTTSPAPAPDATAPTQAFWALPARADFGQLWSFAAAPEMMVAAAAAPAMPGEASAARVGNYLPMAQGNLNLLASFSGGPGGAGATAATGRPEEESAR >ORGLA02G0333600.1 pep chromosome:AGI1.1:2:28861215:28861520:1 gene:ORGLA02G0333600 transcript:ORGLA02G0333600.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISRRYSSRNDFPDDDFDILQWWSVNSCKYPILSRMALDLLAVPASSVASESAFSTGSRIISDYRSRLASGTVEALVCLQDWMRADGMKPTLVVHCYLYYGP >ORGLA02G0333500.1 pep chromosome:AGI1.1:2:28860741:28860956:1 gene:ORGLA02G0333500 transcript:ORGLA02G0333500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIRKEAEWLIENLKRFPVESISTSMKIRQFALDFVWEKIGEYASYKNKESSESEMASESDADVTESNDNDYM >ORGLA02G0333400.1 pep chromosome:AGI1.1:2:28857474:28858718:1 gene:ORGLA02G0333400 transcript:ORGLA02G0333400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVDAVVAAARPFLRGDLSQVDPELPSLVSVLCDAGAGECYHKHGIFLAHLIDVYRILRLWGAPDAVARCGLYHSAYSNSYVNLAIFQPDVARDHVRGIIGAAAERLVHLFCVVPRHQLMHDDLHLRYTDAELTAHLAASQASLDAARKSGGGGGDPGEAWRAKLASVVPPEGVVARHIRTGEAVALSRRVLGVFIVMTIADFSDQYTDYQDKLFDNEDGRLEYRGDNWRALWPGSGKPGLWMSAMSRLAAVYRLIATDEEIRELTAGERSVVKREDAELELVIPPVFERCSKVLEAAEQKEGRDLYWEAALLNSGHDEEAEAAAEEGVRLVVEWGSSWDKRMSWEGWVSWGRVLRDGAHRRQWPRTAWGIINLGLVK >ORGLA02G0333300.1 pep chromosome:AGI1.1:2:28847509:28849891:1 gene:ORGLA02G0333300 transcript:ORGLA02G0333300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPHLAGALDAARPFLRGEEEQVDPALPKLAAVLRAAGAGECWHKHGTFLAHLLDVHRILRLWGAPDAVARCGLYHSAYSNSYVNLAIFEPDVGRDHVRPIVGAAAERLVHLFCVVPRHQLIHDDLLFHYADQDLVADLAASEASLQDARRGLFHHDGEAWRLKIQRLLPPHGITVKHIRTGEDVALSRRIAATFLLMTMADFSDQLFDWQDRLFGNTNGRLEFSGNTWTSLWPGTGKPGLWTTSISRMGALYSLIVRDEEIYIAQRKHSNNGQEDDRDEDIELVIPPVFNGCTQVLTADDQKAARDLYWDAVCSGGEDETDWRKVEEILRRCIGRNPFVGEPHLVLAQVLLNMEMYEEAEEQIEAGVKLLLEWGSSWDKRMPWEAWVSWGRAMLIKAKDKDWPHTSFGILSLGLVK >ORGLA02G0333200.1 pep chromosome:AGI1.1:2:28841302:28844195:-1 gene:ORGLA02G0333200 transcript:ORGLA02G0333200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFNSKPNDTGAIRRRPGSIGEVAVFVPGLRVPESSDELPLQPLGDGLPRRLTERLAALRNRIIVMAAHEALYMTKPTWRITITQHGGSKSADLLQALEDYLPTLLGLVKDGSELEDKVQFAWMNQEDDAEDTSMPSAWYEVLSVLHLMALLRLSQANSLLVPKTSIEGYHAKVSEENKRASVEIFLKAAGYLECAIQHVLPKISPEKRWKGLPVDLAEGILKAICMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWQQAHESISDLPLLDGWAEKHRLFVTWKHIEAKAAAYYYHGLIIDEGNSEKSHRTAVAALQSAEELLKESKTACEAFHAASPVSRSPPLWGSMRYLQEKIHKESSCKVRINKDLYNKDNIIIHDHADSAPPLPDFAVALKPDEYRLPPPLTDTN >ORGLA02G0333100.1 pep chromosome:AGI1.1:2:28839076:28840516:-1 gene:ORGLA02G0333100 transcript:ORGLA02G0333100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I [Source:UniProtKB/TrEMBL;Acc:I1P5S5] MQIRHALVHLITLGMVISSALMIWKGLIIMTGSESPLVVVLSESMEPGFERGDILFLQMSKHPIRTGDIVVFNDGREIPIVHRVIEVHERRDNAQVDFLTKGDNNPMDDRILYTHGQLWLQQHHIMGRAIGYLPKAGWVTLVMTEKPVIKVCTFTLIILLVYIAISIY >ORGLA02G0333000.1 pep chromosome:AGI1.1:2:28836252:28838197:-1 gene:ORGLA02G0333000 transcript:ORGLA02G0333000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G38730) TAIR;Acc:AT5G38730] MAPPPAGVEAVCAAVIKSTLTQPHNHHHLLAASPSLLAAVLHRLSPLPSTALAFFRSLPPPHPLDASLALLRLLAPHPRHHPTARSLLRDLSLRHPLSSPLLLPSLLADPHLPSWLLLLLSQSARPHDALRVFDHMRAREVVPDAHASSALITALAKSRMTATARKVFDQMTRAGVAMNTHVYNAMLHVCLKAGDAALAESLMTRMDAAGVPLDRFSFNTVIALYCRKGMQYEAMCVRERMENQGVKADVVTWNSLIHGLCKECRVKEASQLLREMAMAGVAPDHVTYTTLVDGYCRAGDLEEAVKLRGEMEAMGMLPGVATYNAILRKLCEDGKMKEVNVLLNEMDERKVQADHVTCNTLINAYCKRGDMTSALKVKRRMMESGLQLDQFTYKALVHGFCKAKELDEAKEALFEMMGAGFSPNYSVLSWIVDGLCNKNNAVAVLAIPDELMKRGFPPDKAVYRSLIRRLCKKGFIDLAGNVFNEMQGKGLEADCLVYATLACAYLTAGKPVAALDILNEMAKKQLYITPQIYNCMCTSYADEKGSLNMLWVHAIERGLITKSVYKVMHQERMKSSNPAV >ORGLA02G0332900.1 pep chromosome:AGI1.1:2:28831049:28833425:-1 gene:ORGLA02G0332900 transcript:ORGLA02G0332900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3531) [Source:Projected from Arabidopsis thaliana (AT5G08400) TAIR;Acc:AT5G08400] MMPPPVQTLRLLLLTPFPPPPRLRFHRLTATGRSDNAAAASGTTARERRLAKVREERRRRQHELDNTYPGWARVLENACRDDDELRAILGDSIGNPELMKQRIQERVRKKGRAQFNKSKTGSIVAFKVSFRDFNPLNSFIWFELFGEPTDRDVDLLGGVIQAWYVMGRLGAYNSSNLQLANSMLDYDPSYDSDQASGVMPSSFHDISDVEFQDNWGRVWVDLGTSDCLGLDVLLNCLTQLSSEHLGIKQVVFGGKKMGDWEEGMKNSDYGYRHFKI >ORGLA02G0332800.1 pep chromosome:AGI1.1:2:28827960:28830433:1 gene:ORGLA02G0332800 transcript:ORGLA02G0332800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAIKTDGSSKVRLFLKKLAKKASTKVPLEGKTSSGSSTQGERKILDKLRSYQGTPFIEGLMGSQDDKSNSNSSQDTVKPSPTSPALGQIGQPSAFPDVNADDRIADEGTLCWNLLSSRLFFDAKMSDEIHKAIKARIQRTLSSMRTPPYVGDITLADFSLGKLPPYVHAMRVLPLDLNELWAFEVDFEYSSGILLHIETRLEVQEPELQKDIMKSNFGTDSNGEVESDILESIEQYGNQFRDSQNSVSSVEEKGEPDGSQPKSTGWTSAYISGWKNIMHSIADHVSQVPLSLAIKISSVRGVLRVHVKPPPSDQLWYGFTSMPDLEWDIESSIGDRKITNSHIGSLIGNRFKASLRDSLVLPNCESISIPFMLAEKDDWVPLKDAPFIWLNREPTETRSHAAAVTPTRPDEVILKDDASNKTVAPSLPNSSARSEETLKTAASIDEPTQVPVAAADASHEPRKSPLAPAGEASSPSSPDTIDELRKPLLITEKIQEEDSESKVESPSPLYTSLRGIVPAGEQSGDESKRKGGRRARMMDFGKKMGDKLEEKRRHIEEKGRNIVEKMRENARTNSFDRSMTSSSHSNSQSQ >ORGLA02G0332700.1 pep chromosome:AGI1.1:2:28822819:28824427:1 gene:ORGLA02G0332700 transcript:ORGLA02G0332700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKSNTDNNLEVFLQAATPCLRWRSASMECFQDPSKVWQLDKKKDEVDYFALEDLWEHYAESSAYGLAVPVRLESGNTITQHFVPYLSAIQIYTSTKSLLAFSRGSAGSESDSWSDDSTGDKLSKSWDAAMSDDDDSSHDSSESVSAKQGAGCLNFQYNEWSSPYERVPLADKVAELAQHYPCLTSLNSAQLSPSSWMSVAWYPIYHIPARGNLKGLSTCFLTYHSLSSVFQDNVEEGRSVVGVSPFGLATYRAEGKLWTSSRSSDLFWAASSWLKQLRAYHPDFIFFTSHCRQSAF >ORGLA02G0332600.1 pep chromosome:AGI1.1:2:28815997:28820712:1 gene:ORGLA02G0332600 transcript:ORGLA02G0332600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEVDAAPDLPNGSSATATTDKKKSRESERRRRRRKQKKNKAASNAADADAAGDAGADDDAAEEKPDVKPQVEVEVEYVPEQADLDDGLLADFKSIFDKFTFKDSSADAEDDEKKDEAGTDAAKKAAGSDSDDDEQGTQQKKEGGLSNKQKKLQRRMKIAELKQICNRPDVVEVWDATASDPSLLVYLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGMLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGYRPGEWGKPPVDEHGRPLYGDVFGVLQQDEPNYDEEPVDRSKHWGDLEEEEEEEEEEEEEEEEEPMEDEDMEDGMQSVDTISSTPTGVETPDVIDLRKLQRKEPEKQTEKQLYQILEQKEERIAPGAIYGSSHTYAIGAQDKAGPKRVDLLKNQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENASKRKRKQEKDGKSKKKDFKF >ORGLA02G0332500.1 pep chromosome:AGI1.1:2:28811149:28815197:1 gene:ORGLA02G0332500 transcript:ORGLA02G0332500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAGGGGLTAIRLPYRHLRDAEMELVSLNGGTPRGGSPKDPDATHQQGTPAARTTTTRKLVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDKCRSKYGRRRPFILAGCLMICFAVTLIGFSADLGYILGDTTEHCSTYKGSRFRAAIIFVLGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCTWMAVGNVLGFSSGASGNWHKWFPFLMTRACCEACSNLKAAFLVAVVFLLFCMSVTLYFAEEIPLEPTDAQRLSDSAPLLNGSRDDNNASNEPRNGALPNGHTDGSNVPANSNAEDSNSNRENVEVFNDGPGAVLVNILTSMRHLPPGMYSVLLVMALTWLSWFPFFLFDTDWMGREVYHGDPNGNLSERKAYDNGVREGAFGLLLNSVVLGIGSFLVDPLCRLMGARLVWAISNFTVFICMLATAILSWISFDLYSSKLHHIIGANKTVKNSALIVFSLLGLPLSITYSVPFSVTAELTAGTGGGQGLATGVLNLAIVVPQIVVSLGAGPWDALFGGGNVPAFALASVFSLGAGVLAVLKLPKLSNSYRSAGFHGFG >ORGLA02G0332400.1 pep chromosome:AGI1.1:2:28806684:28806953:1 gene:ORGLA02G0332400 transcript:ORGLA02G0332400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSKQHLVPCILLLLLVMSHLPISSLGSRRAFREEAVSGFRSHEIAPTMAPSQEKEAGVVAGADSICGEKYAVSRRMVPQGPNPLHN >ORGLA02G0332300.1 pep chromosome:AGI1.1:2:28802547:28804595:1 gene:ORGLA02G0332300 transcript:ORGLA02G0332300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00560) TAIR;Acc:AT4G00560] MERKSVLVVGGSGYLGQHLLAALAAGGEVDVAFTHHRDTAPQPLLHALPGLRAFRVDLRSGDGLRAVSDSFGQPHVIVNCAAISVPRQCETDPAAAMATNVPSSLVTWLLSFGNDNTLLIHLSTDQVYEGVKSFYKEDDETLPVNMYGKSKVAAEKFIIEQCSNYAILRSSIIYGPQTISPVAKSLPIQWMDNVLSQGQQVQFFNDEFRCPVYVKDMVDVVLSLTKSWLADGKAVQVLLNVGGPDRVSRLQMAESVADVRGYSHSIIKSVSASSVDRGVASPPDISMDITKLTQMLGIKPISFQDGVRATLAAEAST >ORGLA02G0332200.1 pep chromosome:AGI1.1:2:28797268:28802303:-1 gene:ORGLA02G0332200 transcript:ORGLA02G0332200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRTFPGGLSKWQHKRMHEKLARHKERGLLRHEKQLYLARLRSEIRASRLPAAGASPPDDGEGPTSSRAHIRALADRFLLPGAEDLWNEDDGPIRRADRPRPPRRIVSVGGNGGDRRKLDSTKQELPRGGKEPRLAAFNPRRDFQTAAPWWWQWSSSSAIPSRTKEASFCFFGPKRSYSVMPLFQAHQESSDASMMPLIARGLASARTAPSQLNGERFYSFAAGRFGRKLRPDSSDEDDEDISTAKKDMRFARFGASSEEESGDDELEARSAIRKKWSSAALRNCDKKKERRALKSYEEENNDLSGSFRELREEIKNREVLGAERRRYESRGESLFTNKRFDECGISPLTVKALTDAGYVQTTFVQETALPMCLEGKDVLVKAKTGTGKSAAFLLPAIESVLNAMKSHTNHRVSPIFSLVLCPTRELAIQLTAEANVLLKYHQGIGVQSLIGGTRFKLDQRRLESDPCQILVATPGRLLDHIENKSSFSVRLMGLKLLVLDEADHLLDLGFRTDIEKIVDSLPRQRQTLLFSATIPKEVRRVSQLVLKRDHVFVDTVGLGAVETPTKVEQLYLVMPHELHFHMVYRLLREHIDQEVDYKVIVFCTTAMVTEFMYIMLRDLKLNVREIHSRKPQLYRTRISEEFRDSSRLILVTSDVSTRGVNYPGVTLVIQVGVPSDREHYIHRLGRTGREGKSGKGILLLAPWEEYFLNEIHDLPVQKSQTPNIDEEMKRKVDGSIKIVDMSIKEAAYHAWLGYYNSIGDVGRDKTMLVDLANRFCKSIGLEKPPALYRKTALKMGLKDVPGIRIRK >ORGLA02G0332100.1 pep chromosome:AGI1.1:2:28795053:28796772:-1 gene:ORGLA02G0332100 transcript:ORGLA02G0332100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNRNKSAAHNNHLLVVDRFTKLPDDVLLNILERLNTPDAVRTCLLSKRTIHLRHLLSNLNISLHSFLPHYYGYYATSKDAIQIQMNAAVSDATDSILNFRNQEIPLRQLSITFYLKYYDCLAIGKAVARAMATHSHNLDSAEFIILTGKRALYCSIDDLRHNGKLLMTFFGACTDAFAGLTRLHLRNLRLAETDIPNIIATCKRLEYLKLSACQIEDSVLQLQLEHPHLVELDISTANLDLVELNSLPNLKRLVFSVWVCPQEPLSFGNVPLLSSLSLTNVAMRWHKVIRLSQFLANITFIKDLHLNFLSEKIWVHPECPELLAPVLQNLQVLNLDELPEECDIAWTSFFLEAAPSLKEMCITVWDHWCEIETDKVEREEQGYCDKTNLEWESSAPDGFRHYNLTKFTIYGFQPNENFLGYIRHIMEAAVNLEDISLYDRKVLECCEDLDPKIKVAPSRYPQTIVEQELLRKQITEGLVMASPHVIHFRS >ORGLA02G0332000.1 pep chromosome:AGI1.1:2:28791686:28792999:-1 gene:ORGLA02G0332000 transcript:ORGLA02G0332000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAVADATDNVLNFRAKGIPLRQLSICFYLKYYDCLTIGKAVARAMATHKLDSAEFRILTDYKLHYYTFYGLRNNGKRLMIFFGACTDAFAGLTRLYLQNLRLAETDIPNIIATCKRLESLRMFMCQTEGTVLQLQVEHQRLVELDICHGCLKLVKLNSLPKLERLVFYSWRHPQEPLYFGNVPQLSSLSLTNVGLRWHNLIRLSQFLSNVTSIRDLHLNLESERDIAWTRFFLEAAPFLKELCITVWDHWCNIVTDKVEREEEGYCDKTNVQWESSSPDGFRHCNLVKLTIYGFQPDDNFLGYIRHIMESAVNIEEISLYDRKVEDCCEELDPKIKVAPSKYPQTVEEQELLRKQITEGLVMASPHVINFRS >ORGLA02G0331900.1 pep chromosome:AGI1.1:2:28785339:28786418:-1 gene:ORGLA02G0331900 transcript:ORGLA02G0331900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSCHNRNKKSAAHNNPQLVVDRFTKLPDDLLLNILDRVNTPDAVRTCLLSKRTIHLSHMLSRFQISVDSFVPDCGYATLKDTIPMNAAVADATDSILNFRRQDIPLCHLSVCFYLKYYDCLTIGKAVARAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQLMRFFGACTDAFAGLTRLYLRNLKLGETDIPNIIATCKLLEYLRLSFCETEDSVLQLQVEHPRLVELDIYHASLELVELNYLPNLKHLDFSLWVCPHEPLSFGNVPLLSSLSLTNVAMRYQEVIRLSHFLANVPNISRPVPQLRK >ORGLA02G0331800.1 pep chromosome:AGI1.1:2:28781209:28783701:1 gene:ORGLA02G0331800 transcript:ORGLA02G0331800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit F [Source:UniProtKB/TrEMBL;Acc:I1PIW3] MAGRPSIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTTREDIAIVLISQYVANMIRFLVDSYNRPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >ORGLA02G0331700.1 pep chromosome:AGI1.1:2:28779654:28780658:-1 gene:ORGLA02G0331700 transcript:ORGLA02G0331700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSPAAVHTPRPASIRSTRASVGSSSTPRPPIPAAAANSKGVAKCLAFHDGDFTFPDDLAPLLDLPDPADSSSTTTTSALISAAPDPDDAITASADSALTEVVTAPAETTAMVDEEEEEEEPLPDQISLALAELRGGRGLSPRSKRLVAALVEAAAAELRPTATTLRLRRAAFWVKVRVWILAATVATVFAIDVALAVALVSRCGNDLYDALPPT >ORGLA02G0331600.1 pep chromosome:AGI1.1:2:28777537:28778624:1 gene:ORGLA02G0331600 transcript:ORGLA02G0331600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQEAKRADVAAAPATATGGEVVKPAAGDAGAVAKMNGPSAPAGKAATPTGSVDRDAILANVELERKLSMIKAWEESEKSKAENKAQKKMSSILSWENTRKAAIEAKLRTQEEKLERKKAEYAEKMRNQVAAIHKAAEEKRATVEATRHEEIIKYEEMAAKHRSKGTTPTKFLSCFGS >ORGLA02G0331500.1 pep chromosome:AGI1.1:2:28775033:28776920:-1 gene:ORGLA02G0331500 transcript:ORGLA02G0331500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLAKRKKQHEFDLQSTTDRSYIRLLPCRFSSHLLVDFTNQASDLGLWWLSCMCVCAGEKEAKEKLAKKLQAKKSKMKIDGDVKRKGGKFKVGKKKVKTKLSALTKAKAAQAMEVDK >ORGLA02G0331400.1 pep chromosome:AGI1.1:2:28768941:28772088:-1 gene:ORGLA02G0331400 transcript:ORGLA02G0331400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRESTVASGSNFSSFYVQHRGIGVPGGSGHPAGLHGPPPGGYRQHLDAVSAGYPFQPPHIGGSHIAQGYHHVDASAPVAQHGSGGGGGGMDIGMGVEMSADAKGDQGSGAGQDEPVKKKRGRPRKYKPDGAVTLGLSPSSSTPHSSTSAMGTMVTTPGSGFGSGAGSGGSGSGALTEKRGRGRPPGSGKMQQLASLGKWFLGSVGTGFTPHVIIISPGEDVAARIMSFSQQGPRAVCIISATGAVSTATLHQDSNSGGVVTYEGRFEILCLSGSYLVIEEGGSRTRSGGLCIALCGPDHRVIGGSVGGVLTAAGTVQVIVGSFMYGGTKKNKAKAEQETENNEEPIGGEEETPTMALPDHNMPHHTMGGWSAGLMRQMDSRTPNIDINSIRE >ORGLA02G0331300.1 pep chromosome:AGI1.1:2:28762666:28764258:1 gene:ORGLA02G0331300 transcript:ORGLA02G0331300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 715, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G52400) TAIR;Acc:AT5G52400] MELVVQALAAAAALLAVFFLSTLYLSPAATARRLRNAGFRGPTPSFPLGNLREIASSLASNNDTDESNTKGGDIHAAVFPYFARWRRAFGKVFVYWLGTEPFLYVADPEFLKAATAGALGKLWGKPDVFRRDRMPMFGRGLVMAEGDEWARHRHIIAPAFSATNLNDMIGVMEETTAKMLGEWGDMVAAGQSCVDVEKGVVRNAAEIIARASFGISADDDDATGARVFHKLQAMQAMLFRSTRLVGVPLAGLLHIRATYEAWKLGREIDALLLDIIESRRRRGGGGGKKKKKTTSNDLLSLLLAGSEASAGAERKLTTRELVDECKTFFFGGHETTALALSWTLLMLAAHPEWQAAVREEVVEVAGRSGPLDAAALGKLTKMGCVLSEVLRLYPPSPNVQRQALQDVVVVAGDGEKKVVIPKGTNMWIDVVAMHRDGELWGEEASEFRPERFMREGVQGGCRHRMGYVPFGFGGRICVGRNLTAMELRVVLAMVLRRFAVEVAPEYRHAPRIMLSLRPSHGIQLRLTPLC >ORGLA02G0331200.1 pep chromosome:AGI1.1:2:28750393:28754390:-1 gene:ORGLA02G0331200 transcript:ORGLA02G0331200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14770) TAIR;Acc:AT5G14770] MAPPPESETLRLHASFLCSLAIALLRAGRLSAASHLLSSLPSPPAPLLRRLIPALATSGLAAAAIRFRPADPASLNALLYSHCRLRLLRPAIALLRSSRPTTVAYNILLAALSDHAHAPAVLAEMCKRGVPFDGVTVNTLLAGLCRNGQVDAAAALADRGGGIHALDVIGWNTLIAGYCRVGDTPAALSVADRMTAQGLPMDVVGYNTLVAGFCRAGQVDAARGVLDMMKEAGVDPNVATYTPFIVYYCRTKGVEEAFDLYEGMVRNGVLLDVVTLSALVAGLCRDGRFSEAYALFREMDKVGAAPNHVTYCTLIDSLAKAGRGKELLSLLGEMVSRGVVMDLVTYTALMDWLGKQGKTDEVKDTLRFALSDNLSPNGVTYTVLIDALCKAHNVDEAEQMLLEMEEKSISPNVVTFSSVINGFVKRGLLDKATEYKRMMKERGINPNVVTYGTLIDGFFKFQGQDAALEVYHDMLCEGVKVNKFIVDSLVNGLRQNGKIEEAMALFKDASGSGLSLDHVNYTTLIDGLVKAGDMPTAFKFGQELMDRNMLPDAVVYNVFINCLCMLGKFKEAKSFLTEMRNMGLKPDQSTYNTMIVSHCRKGETAKALKLLHEMKMSSIKPNLITYNTLVAGLFGTGAVEKAKYLLNEMVSAGFSPSSLTHRRVLQACSQSRRLDVILDIHEWMMNAGLHADITVYNTLLQVLCYHGMTRKATVVLEEMLGSGIAPDTITFNALILGHCKSSHLDNAFATYAQMLHQNISPNIATFNTLLGGLESVGRIGEAGTVLIEMEKSGLEPNNLTYDILVTGHGKQSNKVEAMRLYCEMVGKGFVPKVSTYNALISDFTKAGMMTQAKELFKDMQKRGVHPTSCTYDILVSGWYDLAREQKSQNTYFTEIYCFSASRNQSKIAISRVNLALQWRPLHTCVAWPGPQDYQWDWWSPDNS >ORGLA02G0331100.1 pep chromosome:AGI1.1:2:28740725:28741708:-1 gene:ORGLA02G0331100 transcript:ORGLA02G0331100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEDKHAGFVKRKRTKRPRHHAAPASSSESTTTEEEDMAHCLILLAQGAAVVDSKPSTPAPPPPPPAQPPVLAAPAPAPPPPQPPVVVVKSERYTSRKYTEAATTADGVRAGFYVYECKTCNKCFPTFQALGGHRASHKKPRLPGADDDNVNNVTNTNAIVVKSKPPLTTTTTPSAPSPPPPQADAVVVPDVTTVLSLNNVAAAGSIINKLRVHECSICGAEFGSGQALGGHMRRHRPLHAPPERAATTAATTAATATAPDTKKEGSTGINLELDLNLPAPSDEESVSPPPPPPPPVLLALGGQFNDGKKPILQLTASAALVGCHY >ORGLA02G0331000.1 pep chromosome:AGI1.1:2:28730469:28734866:1 gene:ORGLA02G0331000 transcript:ORGLA02G0331000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPQAGPSPAVLFLSRTPPPPASSSPSPPPPAATPPSHDGVVAVGFVGGGGTARLADRTLDAHVFSPGGSARTLAGGVRYHRDGEKRVVFLHLAPSPPTPLEGAGDLRELLFMFSVCHVIIFLQEGFRFDTQILKKFRLLQSSKHAIAPFVKSLVAPAVPSKVARSNTPTKPTHRASSISPPARRGGRHPSAISLMSGTGSHPCMLPGLCIPVVLFVFEDDITDAPGAPTSPDDTNDTSSSNQASNTDGLPKPNMTSKGSSSVVMLARPAIRSDGTFSKKLHSSVEGQIRFLLKKCRTLVGLEPGHIVSRGVSNVSHLPLFSLDTSRVVALLDRSISKKREPLDIIAGLFEDSLTSKSSLDVSSLENNCHPATHEDVQFIKDFIFRQSDGLRGRGGHSSNTTAGPVSGVGMVAAAAAAAAASAASGKQMSAPDLPTFDTWLSISSSILSALFSGEDGLSSSQNMKASPTHTSSFPKNDQLPSAGSNAIQTALSCLEGNKGLNVKFSSSWCQRILPAAKEVYLKDLPAFYPTSMHEVQLQKALRSFHSMVKGPAVQVFSKKLKDECQAIWESGRQQCDAVSLTGRPCKHQRHGKSSPSDAALQHSSGYVFLHACACGRSRRLRDDPFDFEAANVTFNCFSNCEDLLPTLVLPRETNAGAFPVSSWRLVRLGGARYYKPTKGLLQAGFCSKEKYLLRWTISLGKGQGKHGTHATNKPFSTASNADPQAPPIVAGEVKSAVTQVTAEIKSMKLENSRKQPEVESMNNSSINFGKGLPNFTMKKPFAEVVAGHTARDSEFPALQQKRPLKPGNWKDERQVSGADQTNGRGHPALSQGPIADNESEKVSRDKSNGSAGGKPFLQIGSNIVPMVVGKETKEVNQSIQQFMVYVGFEHECSYGHRFLLSEKHLKEIDSSYLQFERSNLNNEAESKHGSQKLPQNASRLAATMDVTSGGKLNRPMDSSGRNSQQQLLKPRVDAETLQPSHWLSDPQNERKGELSLQYVTLDDGGEAFSLLNRNLPIYMHCPHCKSSDRKGNQDAKVAAAVSQLQRIFIVTPDFPVLLASCPVVQFEASCLPSNASDHDQQGSFSLGCRVVLPPESFLTMRLPFVYGVETRDGNTAPLKYLEEQPELTAWLVGGTALQIVSVGHTNEKEAPL >ORGLA02G0330900.1 pep chromosome:AGI1.1:2:28727754:28729769:-1 gene:ORGLA02G0330900 transcript:ORGLA02G0330900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucan endotransglucosylase/hydrolase 32 [Source:Projected from Arabidopsis thaliana (AT2G36870) TAIR;Acc:AT2G36870] MAIIGRRQQQGVAAAAATLVALMAVVVAAAAEAQPSPGVYPSRMFRAREFGRDFRSLWGAEHQQQEAAAPETGVTVWLDRRSGSGFKSRRAYRSGYFGAWVRLQRGYTAGVITAFYLSNGEAHPGWHDEVDMEFLGTTPGKPYTLQTNVFSLGSGDPPRSLGREIKFHLWFDPTADFHHYAILWTSDHIIFLVDDVPIRRYGRRSAGGAAGFPARPMWVYGSIWDASSWATEDGRYRADYRYQPFVARFSAFLLRGCSPHAPRTCAAPVAGDLTAAQLAAMRWAQRFHMVYNYCYDPKRDHSLTPECRTHLHPSSSSSNSSSSSYHG >ORGLA02G0330800.1 pep chromosome:AGI1.1:2:28722994:28725738:-1 gene:ORGLA02G0330800 transcript:ORGLA02G0330800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52210) TAIR;Acc:AT3G52210] MAVTPHHRLYEFAKTALIKIFAFPYATVCDLYCDGGVDTDKWGDAQIGHYIGIDASASGVNDARELWESRKKLFTSEFIELDPSADDFEAQMQEKGIQADIVCCMQHLQLCFESEEHAQKLLNNVSSLLKPGGYFVGIIPDSSTIWTKYQKNVEASHNKGLKTVPNSIRSENYVITFEVEEEKFPFFGKKYQLKFANESMFENHCLVHFPSFMRLAREAGLEYVEIQNLTEFYDDNRTQFAPLLGGYGSSLVDPRGKLVARSFDILGLYSTFVFQKPDPDAMPPIVTPELHDPENDQEEEWLWTQQASMDDGRVSRTDILPPADNEKGILGPGPADMRL >ORGLA02G0330700.1 pep chromosome:AGI1.1:2:28716419:28720684:-1 gene:ORGLA02G0330700 transcript:ORGLA02G0330700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mono-/di-acylglycerol lipase, N-terminal;Lipase, class 3 [Source:Projected from Arabidopsis thaliana (AT3G14075) TAIR;Acc:AT3G14075] MATATMATAAGAAALLYYTLNRRLQVEKLNQEGDCGNERDAATRGALSTTSRSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKSQGNVPVASIYAGDDSVELNGAPVIADLKHLLNLLTLCWHFSKKPFPLFLEATGYSSEDVLMQEPKAGILKPAFTIILDRDKQCILLLIRGTHSIRDTLTAATGAVVPFHHTIVQEGGVSDLVLGYAHFGMVAAARWIAKLAAPCLAQALHTHPDYKIKIVGHSLGGGTAALLTYVLREQQEFASTTCVSFAPAACMTWDLAESGVHFITTVINGADLVPTFSAASVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSRLPSIANAKARVAGAGAILRPVSTGTQVVMRRARSVAQAAWTRPALQLSSWTCIGPRRRTNTVSTSTVTSEEIRATTNDGSESTSLLTETTEIVKTETMQFASSEEVQSSSEVSDAVGMMDEKVDSDGEDIIDHHVDEERMTDVELWQQLENELYRRSEDDEIVEDMTESAITEEVGGAAEDVLSETNDKEVHRFYPPGKIMHILTSTIEETVSAEESSVPHEDDTTGDSDTRIGIFLTPRSLYGKLRLSKMMINDHYMPIYRRNIEQLIAELEKDSSFPVSDCLDSEVP >ORGLA02G0330600.1 pep chromosome:AGI1.1:2:28710083:28715145:1 gene:ORGLA02G0330600 transcript:ORGLA02G0330600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQPTLCQRSCDACKHPNLVSSRLEELRRVPTCRYNKISPVFKSLANPKHMETEFWNHEDDASISVEDISDSDGKEVVSNIAISKLPSKAGLDAKFKALERAENAYYQAKGQTKQQGGKLVDKKSISQTLRDASQKRLLNGLGQAKLRLGNLFDEEPSAAHLEVECFKKYEKVGKTFYNSQIAATVRWLSSSSLNQIQDRLHALADQITDHGAAASSPSIVPESPPASPDVICKIPGEATSNEAKDIPLKNNTEEFVTTEHSDEIAKVAVLSENMELPKIPSFREFMSQKGRDRATSSSKVESLPSGVRRKVGIEKQGTTGPSKKMKS >ORGLA02G0330500.1 pep chromosome:AGI1.1:2:28708155:28708562:-1 gene:ORGLA02G0330500 transcript:ORGLA02G0330500.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKIYRLFGWEYRRPERAPPACPFKPAAKNNEGASESKPLVEPQSASTTTTTAEDKKED >ORGLA02G0330400.1 pep chromosome:AGI1.1:2:28704985:28707791:1 gene:ORGLA02G0330400 transcript:ORGLA02G0330400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G53140) TAIR;Acc:AT3G53140] MGGGGDGELSPAEARLAMMELANMISVPMALTAVIRLGVPAKLWAGGANAPLAAADLLPAGHPDPSVLERLLRLLASRGVFSEHTGSSSPSPRRFSLTAVGRTLVPGGGGSPSGSGASYADYVLQHHQDALVRAWPLLHEAVLDPSGPEPFARANAGVPAYAYYGKDREANEVMLRAMTGVSEPFMEALLEGYGDGGFEGVSTLVDVGGSSGACLEMIMRRVRTIRDGVNFDLPDVVAAAPPIPGVRHVGGDMFKSIPSGDAIFMKWVLTTWTNEECTAILSNCHKALPGGGKVIACEPVVPDTTDGSTRTRALLENDIFVMATYRTQGRERSEEEFRHLGLAAGFASFRAIYLDPFYAVLEYTK >ORGLA02G0330300.1 pep chromosome:AGI1.1:2:28697503:28702845:1 gene:ORGLA02G0330300 transcript:ORGLA02G0330300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYHHRMGAAADFRRDLEDLVCDHLGGCFSPTSSSSSCSAAGGGVAAGHQPDEEPESSAARRRRRESRLLSRWVARQAEEVLSSMEREVERRNREAELLALARLHPVSTLDPSSFLLSSPTAPPPRPQAPSPTAPPSLLQMWRELEHRRSDADQPFDREPSPDTADRERVRQIARRLTANTDVPTAAAAAATTGEWLGETERQRVRLVREWVQMASQPRDSRVASRRDDTAAGERERRGEPPRLRGRQARLDVITRMARERQRELQGISGYHIVSEFPHRSRNRIQGLLRGRFLRNVVLPVEEEERPPSVAARELGQLRQSHRVSTLRSESAVSSEDVSRFDSSVAESVGVLGSDEPQQGAEVRALTGTENTTQIMLEDVGLQEADAENAAIESPSVALDNMVEMHETQVDNRLQDEAGRDARFWQPSLDDSLDRWPNETAEDAERNWEDNAEELHSETMEDDAREHDHLQDEHDEWHDDESHGTENWQDDFQDSPLDMGPIPRTENRFIPPDDDNVYSMELRELLSRRSVSNLLSNGFGESLERLIRSYVQRRGRGPLNWNLDAAIPAVNSPNENQEQERNAETRQFQAPVNRPALVIPPPPLPPRQPLWHRELRHNNWSTRHREWDAINDLKADMGRLQQGMSSIQRMLEACMDMQLELQRSVRQEVSAALNRFAGPEGYPTDLSDDGSKWDQVRKGTCCVCCDAQIDSLLYRCGHMCTCSKCANELIRSGGKCPLCRAPIAEVVRAYSVM >ORGLA02G0330200.1 pep chromosome:AGI1.1:2:28689683:28691247:1 gene:ORGLA02G0330200 transcript:ORGLA02G0330200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETELCSSRVLSLPRYDSGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGNEDDDDIDGNNSFCSSSDMGDKDMDYLAIIEYHKPTKPRVRHTRPWSSCTKSSNRGNFHPSSILQTRVNLTRLGTPTLWRYWKHFNLVSMNPDPSKEQLFHGVQQHFQSQVQQLDELQVILVFIQAAKRLKTLYHS >ORGLA02G0330100.1 pep chromosome:AGI1.1:2:28683970:28685069:1 gene:ORGLA02G0330100 transcript:ORGLA02G0330100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYTERQPIGTAAQGAEEKDYREPPAAPVFEAEELTSWSFYRAGIAEFVATFLFLYISILTVMGVNKSASKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMAMQCLGAICGAGVVKGFQRGLYMGSGGGANAVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVYNRAHAWHDHWIFWVGPFIGAALAAIYHVVVIRAIPFKSRD >ORGLA02G0330000.1 pep chromosome:AGI1.1:2:28675626:28680814:1 gene:ORGLA02G0330000 transcript:ORGLA02G0330000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSPEMAAALLLVMAALAGVAAGGDIVHQDDEAPKIPGCSNDFVLVKVQTWVNNREDGEFVGVGARFGPTIESKEKHANRTGLLLADPIDCCDPPTQKVAGDVLLVQRGNCKFTKKAKNAEAAGASAIIIINHVHELYKMVCDRNETDLDINIPAVLLPKDAGNDLQKLLTRGKVSVQLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREAVIEQEKLLKDGHESSLNLEAGGSSGMVDINMTSAILFVVIASCFLIMLYKLMSHWFVELLVVIFCIGGVEGLQTCLVALLSRWFKPAAESFVKVPFFGAVSYLTIAVCPFCIVFAVIWAVYRRMTYAWIGQDILGIALIVTVIQIVRIPNLKVGSVLLSCSFLYDIFWVFISKMWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGFSIIGFGDILLPGLLIAFALRYDWAAKKTLQSGYFLWSMVAYGSGLMITYVALNLMDGHGQPALLYIVPFTLGTFIALGRKRGELRNLWTRGQPERVCTHMHMQPSPKDTNCDAVSS >ORGLA02G0329900.1 pep chromosome:AGI1.1:2:28668983:28674384:1 gene:ORGLA02G0329900 transcript:ORGLA02G0329900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGAGGAIGGSGREAAAEEEDGGEQWLEEEAEAEAVYCAVGKEAVKEWKANLMWVLAAFPWRRRRSRIVLIHVHRPPSRVNMMGAWVPVSQLAEEEVNAYRQLEEERISKVLDDLLDICKSQKVNASKIIFSCDDIARGLLQLVDDHGITDLVMGAASDKAYSRKMRAPRSKKARKVQLKASPSCKIWFVCKGNLICTREVNEGLNRTGSSTTSTSPRSSTSDYSRSRSSPRVHSLSSEQFGMQDPAEPTTSSVDQTPIREDNAMDRGTEGFNHEAVAVASSSTVPVSENVEAVQRSAAAVVQSLQEIEEDSPTPSGHGSEDAGDVSDAYDKFKDAVIEAENLRHEAYEETRRRQKVERDLADATRIANEAESSQQREARHRKEVEERLARERAAMEQDRRELDDILEQTREVDARAAELELQITSSERMMRDLEAKLSESYDLLHQLRRERRRDDVPAEAMAGSEAGDQRLTFLRLGLPELEEATNHFDESVRIGGGDGSRGSVYRGDLRSMAVAVKMIGRDVAVDEVGFCREVEAVGRARHPNLVTLVGACPEARAVVHEFVPGGSLEDRLAGAAPALPWHELCGVAHRACSALAFLHSTQPRATVHGDVRPANILLGEECCSSKLAGLGMRRLVRSSGGVALSRPAVGYVDPRHLATGEMTPERDVYALGVVLLRLVTGKPPLMAKQEAREAAGGSKAWHEVFDASSGGWPLEVAREVALVGLKCCDVEEEPAGARRPGELLEEACGVLEAAMSAAPGRSWSSVSSSSDGGEGGAPSYFVCPILKEVMRDPQIAGDGFSYEAEAIREWLRSGRDTSPMTNLKLPRRELVPNHPLRDAIHHWRLRRAMRTNFTTGLDSYYY >ORGLA02G0329800.1 pep chromosome:AGI1.1:2:28659879:28665457:-1 gene:ORGLA02G0329800 transcript:ORGLA02G0329800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04420) TAIR;Acc:AT5G04420] MGEGINGDAGDLAAAPYDQWLPFSPAGGSPRPSARYKHAAEVVREKLYVVGGSRNGRYLSDIQVFDFRTLKWSALSAARDSSQLNIENNTTDPSFPALAGHSLVNWKKYIVVVAGNTRTSTSNKVSVCLINVETNSWSSVDTYGKVPISRGGQSVSLVGSRLIMFGGEDNKRRLLNDLHILDLETMMWEEVKTGKGGPAPRYDHSAAVYADQYLLIFGGSSHSTCFSDIYLLDLQTMEWSQPDTQGAHINPRSGHAGTMIDENWYIVGGGDNASGSTDTIMMNASKFVWSVVTSVPARDPLACEGLTLCSTIVDGEKFLVAFGGYNGQYNNEIFVMKLKPRNLVQPRLLQSPAAAAAAASVTAAYAVITDEKTRDIVATDDLDVKRVQPSGSSKQITTELDALNGEKGKLESRLAEVRDENSKLKDRLDMVKLSHGELTKELKSVQHQLAAEGSRCQKLESQIAAAHKRLESTDSLENELEVLRQQISQVEQTMTTAQRQKSGGVWKWVAGSAEISDDE >ORGLA02G0329700.1 pep chromosome:AGI1.1:2:28657368:28659094:1 gene:ORGLA02G0329700 transcript:ORGLA02G0329700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILESEYSAAAAAGDAASFVLSRLPHPDTTASAAAAFVVDVAGAGGGRTTTLSFVALRRAALSLASGLRFGLGLRRGDAVLVLSPNSLLLPPIVLGVLAAGGVVVAADPGSTAEEVATVARSSGAVVVVAAPEVAEKVAGAGVPLLLTSRSMDPRALSAEELMDDGDPTALASPEASAAAARPRPSDVAFVFYSSATTKTAATMTHADLIAAVSGASLPEEGRVCLASLPICSVHGLPLLALALPAAGVTTVLLAASPSSDPTAAREAAAAHGATDVVATPDVAAALAAPLTMLSSLRRVTVVPALATTEARQAFRRWLPWVELTEMSGSPEKMMASASEQVQVAPDAASAAVIAHIFASLRYINNVFIPSPKPMNTFYFCNTDYRKVPLLKKIQKTVLGDIISKSTANKILREHPEIISKL >ORGLA02G0329600.1 pep chromosome:AGI1.1:2:28653985:28656024:-1 gene:ORGLA02G0329600 transcript:ORGLA02G0329600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L9 [Source:Projected from Arabidopsis thaliana (AT3G44890) TAIR;Acc:AT3G44890] MASTLAWSSAASSSAPSSRLPPRRSPSLVVVAQGKVKKYRQVILTDDIAEVGKKGDTLKVRAGFYRNFLLPKGKATLLTPEVLKEMQVEQERIDAEKKRVKEEAQQLARVFETIGAFKVPRKGGKGKQIFGSVTAQDLVDIIKSQLNRDVDKRLVTVPEIREIGEYIAEIKLHPEVTAQVRLTVYAK >ORGLA02G0329500.1 pep chromosome:AGI1.1:2:28641111:28645227:-1 gene:ORGLA02G0329500 transcript:ORGLA02G0329500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidyl inositol monophosphate 5 kinase [Source:Projected from Arabidopsis thaliana (AT3G09920) TAIR;Acc:AT3G09920] MTAPAVLPNELEGISRSQRVELFRDASCNIEKEVLSSLANGQDSHASGTNPGFRVGEIRLSNGDIYFGTLLGNTPEGSGRYVWSDGCTYDGEWRRGMRHGQGKTMWPSGATYEGEYSGGYIYGEGTYTGSDNIVYKGRWKLNRKHGLGCQTYPNGDMFDGSWIQGEIEGHGKYTWANGNTYVGNMKNGKMSGKGTLTWKNGDSYEGNWLDGMMHGYGIYTWNECGYYVGTWTKGLKDGKGTFYPKGCRVPVNDELYINNLRNRGVLPDIRRQNHGSRILHSSSVDMGNMKVGLTRESSGPSSRRNSSEQPHSKNVSLERRWSLEVAIEKFIGHDATGSSGLERSESINDSDLPMLEREYMQGVLISEVVLDRSFSDSSKKAKRRQKKIVRETKKPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQKREVRASDFGPRASFWMTFPKEGSRLTPSHPAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNSALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPKYYHHVRTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLKQIETDSEFLRTQRIMDYSLLLGVHYRAPQHLRTRASYHRSMAADRLTVLSEEDAQEDDAFNYPEGLVLVQRGGDENSVVVGPHIRGSRLRSTAAGFAEVDLLLPGTARLQIQLGVNMPARAEQNPKEEESKSFREVYDVVLYLGIIDILQEYNMSKKIEHAVKSMQYDSISISAVDPQFYSERFLKFIKTVFPENS >ORGLA02G0329400.1 pep chromosome:AGI1.1:2:28636119:28639988:1 gene:ORGLA02G0329400 transcript:ORGLA02G0329400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSPPDSSSAAAVPLAPGFRFHPTDEELVSYYLRRRILGRRLRIDAIAEVDLYRLEPWDLPSLSRIRSRDAQWYFFARLDRKVTGAGAGGRGGPGNRTNRATPRGYWKTTGKDRDVHHRGKLVGMKKTLVFHSGRAPKGQRTNWVMHEYRLLDADGTQDLHVVCRIFQKNGSGPQNGAQYGAPYLEEDWEEEDDAIENMPASGAFAEMAAVTDTADEESTEEDGNFSLKTNDEPLQTQEYQPEITPVRAQGSNEETNGGGYSCDVFSLDEILQEPENVCKNEEQNAIDDKFTIGELSGYPRQDDGYVGENGPVNWIDPSNGDNTNWPLRAYSTQNHVNGTLSADGFFDTVNGTNSYSGQQQVCPSDNQNLYLQDDGLTSSHQVGDNMPFYDASSNHKWVDGKDDYLNLNDLLYPPAENQPLFDAGDDLMAYFDATEDDFKFDIMGTEDSNSQLPDMSNFVQKDDNNNKFTLDGISNTALYGASSSGSHGNMYPDTAVPDMPMDDTVDKSFGKRLASMLGSIPAPPAMASEFPPSTGKSVVPLSAVNPSSSIRVTAGIIQLGGITFTGSTERLQKNGDFNLLLSFTVEGDVSTKSIGFEPDTQMSTTPMVLRSGMYLFFVSAMILMLSYKVGLCIYSR >ORGLA02G0329300.1 pep chromosome:AGI1.1:2:28630264:28634501:1 gene:ORGLA02G0329300 transcript:ORGLA02G0329300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding to TOMV RNA 1L (long form) [Source:Projected from Arabidopsis thaliana (AT5G04430) TAIR;Acc:AT5G04430] GRPPPTPRPPLPPPPPPQQQASSEVTSKYKKYKVLLIHLEWKDDKEKPTHLRFLVSNTAAGCIIGKGGSTINEFQSQSGARIQLSRSHEFFPGTNDRIIMVSGLFDEVIKAMELILEKLLAEGEESNEAEARPKVRLVVPNSSCGGIIGKGGSTIKSFIEDSHAGIKISPQDNNFVGLHDRLVTVTGPLDHQMRAIYLILSKLSEDVHYPPNLSSPFPYAGLGFPSYPGVPVGYMIPQVPYNNAVNYGPNGYGGRYQNNKPSTPMRSPANNDAQDSLTIGIADEHIGAVVGRAGRNITEIIQASGARIKISDRGDFIAGTSERKVTITGTSEAIQAAESMIMQRVTASSER >ORGLA02G0329200.1 pep chromosome:AGI1.1:2:28626425:28628804:1 gene:ORGLA02G0329200 transcript:ORGLA02G0329200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:I1P5N6] MSWCTIESDPGVFTELIQEMQVKGVQVEELYSLDVDSISELRPVYGLIFLFKWMAGEKDERPVVKDPNPNLFFASQVIPNACATQAILSILMNRPEIDIGPELSNLKEFTGAFAPDMKGLAINNSDSIRTAHNSFARPEPFVSDEQRAAGKDDEVYHFISYLPFEGVLYELDGLKEGPISLGQCSGGPDDLDWLRMVQPVIQKRIECYSQSEIRFNLMAIIKNRKDVYTAELKELEKRRDQLLQEMNESSAAESLNSELAEVTSAIETVSEKIIMEEEKFKKWRTENIRRKHNYIPFLFNFLKMLAEKKQLKPLVEKAKQQKASSTSTSAR >ORGLA02G0329100.1 pep chromosome:AGI1.1:2:28620071:28622947:1 gene:ORGLA02G0329100 transcript:ORGLA02G0329100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPTVKVALGTAAFGIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFGVMSADEAYAAVDLPILGLLFGTMVVSVYLERADMFKHLGRLLSWRSQGGKDLLVRTCVVAALASALFTNDTCCVVLTEFILKIARQNNLPPKPFLLALASSANIGSAATPIGNPQNLVIAVQSGISFGQFVFGILPATLVGAVVNAAILLCLYWRHLSDEKCVEVVAPVPTDVVEEEDVTSHRFSPATMSHPRSSSHHHHHHQPGSSLSSPDCEVFEPVKPVTVTSNGDSNNKPDAADAAVVVGIHQRRGGVGGVGGGVRMKEEHAFRWVEEKEEAMEQWKSTVWKTGVYVITLSMLVALLLGLNMSWSAITAALALIVLDFKDARPCLEKVSYPLLLFFCGMFITVDGFNKTGIPSAFWEFMEPYARIDTPTGIVILALVILLLSNVASNVPTVLLLGARVAASAAAISPAAETNAWLILAWVSTVAGNLSLLGSAANLIVCEQARRSEQYGYTLSFFSHLQFGFPATLIVTGIGLLLIRSN >ORGLA02G0329000.1 pep chromosome:AGI1.1:2:28613501:28616062:1 gene:ORGLA02G0329000 transcript:ORGLA02G0329000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGSEMRPVHNSVDTVNAAAVAIVTAESRTQPQAEPRRKWADWLSVYFCFGSQKNGRRISHAVLVPEPLPPRTDAPMPEIPNHPPPLVFPFVAPPSSPASFLQSGGASIVQSPVGAPSFSPLSPNSPSPTGPPSIFAIGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSINNSKNAETGELQSYQIYPESPIGRLISPSSACSGTCSPFPDPEVQTSSRSTFPSFPVREPPKILDGEGIATQKLIPRHMRNGGSLLDGHISAAVPVVDFSARLQNNDHAMDHRVSFELTVEDVARCLEKKTNINGESAAASFRLVPTGNGDHIHPRESNDTRAGLCVDETYHDLPEKARRSLSLRKAKEFKFNNVDAPSVEPSVGSDWWANEKVAGITSEPRKSWSFFPVAQPGVS >ORGLA02G0328900.1 pep chromosome:AGI1.1:2:28608540:28610204:1 gene:ORGLA02G0328900 transcript:ORGLA02G0328900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDQSGAEQTSPRGNDWEVVQLTASTYASAPGPRMSEPSDEAEVKGYDTKGDDSAAALLMSGHFSVSPSEVESLLRGTDGKEHQKELSGQDAVSAEGDDEKFQDTCEHKLKDDLHWIPSFDKGKNLSLVDMEFDKAFQGMGLVGEEPLGFSSSRYNPIDANNEKKTEEPTVQNVNRVIDSSKVVASSEQNKPDDSEFPHEASWKKQLLSLYKNVRKSNKFWPIVVATALVGVTCFWRRWQKGKLQHQPVKLYPSSNEKINQAVGPLNRIKDILVANNHPAPAIHGHARLS >ORGLA02G0328800.1 pep chromosome:AGI1.1:2:28603895:28606806:1 gene:ORGLA02G0328800 transcript:ORGLA02G0328800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGFGRGGRGDGGGRSGGGGRGFGRGGDSGGRGGRGRGGGRTPRGRGGGRGGGRGGMKGGSKVVVVPHKHNGVFIAKAKEDALCTKNMVPGESVYGEKRISVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPTGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPARYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFASEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKQKGTS >ORGLA02G0328700.1 pep chromosome:AGI1.1:2:28600133:28602498:1 gene:ORGLA02G0328700 transcript:ORGLA02G0328700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1P5N1] MAYTEPLLLSARHTTQTSPRHHLLRSRQAAAAAADGRMAVAVQDDETGALVAAVGKGDEDDDDDAVAGEEDEDDDDAPVVRTARGAWEVFAAESRRLWAIGAPIAFNVICLYGTNSTTQIFVGHIGNRELSAVAIGLSVVSNFSFGFLLGMGSALETLCGQAFGAGQVAMLGIYMQRSWIILAASAALLSPLYVFAAPILRLLGQEESIAAAAGEFTVRIIPQMFALAINFPTQKFLQAQSKVTVLAWIGFAALLAHVGLLALFVSALGWGIAGAAAAYDVSSWLTALAQVAYVVGWCRDGWTGLSRKAFNELWAFVKLSLASAVMLCLEICMNINGWEGMLFIGLNAAISVRVSNELGSGRPRATMHAVVVVLVQSLAFGLLAMVLILATRNHFAVIFTGDRHLQKAVANIAYMLAVTMGVVAYINLACYYGFGLPLGFIFGYLFRWGVKGIWAGMLCGTAMQTAILMYMVCKTDWEAESVQALERVRLWGGQPEHEKLPTSEPERTII >ORGLA02G0328600.1 pep chromosome:AGI1.1:2:28596072:28597960:-1 gene:ORGLA02G0328600 transcript:ORGLA02G0328600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRERRRSMSSSSSGRRTPELERERWAPWSPAPTWSRPLSVGGGSSRAASLKSLFRTIGLWFSSLSASSSSSSSAAATSASGSNAKRRSRREPNDLIKKPPLPGPGSDQGKASMRGLYNSSRGRGIATQFQSSVFSMEEILRATNNFSPALKVGQGGFGAVYRGVLPDGTLVAVKRAKLRDQNPHVDVEFRSEVKAMARIEHQSLVRFYGYLECGQERVIVVEFVPNGTLREHLDRCNGRFLDMGARLEIAIDVAHAVTYLHMYADHPIIHRDIKSSNVLLTPSLRAKVGDFGFARLGVGEAGAADGVTHVTTQVKGTAGYLDPEYLKTCQLTDRSDVYSFGVLLLEIASGRRPIEARREMRERLTARWAMRKLAEGAAADVLDPHLPRTPATARAAEMVMELAFRCLAPVRQERPSMGECCRALWAVRKTYRDMVVAAAGDETPLSSISDRASSSSAGTGGDRSGELWRN >ORGLA02G0328500.1 pep chromosome:AGI1.1:2:28593892:28594485:-1 gene:ORGLA02G0328500 transcript:ORGLA02G0328500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQETSKDEVIGGRSPSGDGDKAEEELREARSGDDDDDDEAGTRQPYNCTFCRRGFPTAQALGGHMNVHRKDRVGRATPSSSSSTTAAAARRSVSYDTLVGLFRPPASGGSEDAAASTAAGGGGSLRSRTAEPAPQELRLFGRDDGAGRREEGGGRDRRDRYGCCSKDGDGNGGHDHGEEEELDLELRLGGSGSAGS >ORGLA02G0328400.1 pep chromosome:AGI1.1:2:28590048:28591254:-1 gene:ORGLA02G0328400 transcript:ORGLA02G0328400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPEPLIWEIVKKNNCFLVKQFGNSNAKVQFTKEPNNLYNVHSYKHSGLANKKTVTIQPSGGKDAAVVLSTTKTKKQNAPAKLYHKSVMRKEFHKMAKAVKNQVSDNYYRPDLTKPALARLSSVYRSLQVAKSGVKKKNRQPAKL >ORGLA02G0328300.1 pep chromosome:AGI1.1:2:28588822:28589070:-1 gene:ORGLA02G0328300 transcript:ORGLA02G0328300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSGEPTGSIGGSGGGGGGGGAHGVARREGISPTTTWRLLLRMRDLLPIRYGLILPCKSQSDPPPRDTPPTHPTFYFFFF >ORGLA02G0328200.1 pep chromosome:AGI1.1:2:28585584:28588216:1 gene:ORGLA02G0328200 transcript:ORGLA02G0328200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTWTPGCFQGNKILLRSLITWYYLEFMPKLRPFYFLFYLTLPSCATDSPPISDKSSSIFLPLAQQQQLVHWMMPPRFRCQDYLLPLLLALSPAAAAAREVEYHHCHCDGGGGGGGGGGLWSMDSIFRWQKVSDLLIAAAYFSIPLEILYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLTAFTYEPHPFMVVLLLTTAKFLTALVSFLTAITLLTLIPQLLRVKVRESLLWLKARELDREVVLMKRQEEASWHVRMLTHEIRKSLDRHTVLYTTLIELSRVLGLTNCAVWMPAAGEMCLTHELRRDGGGEDGVVGVDDADVVEVRGSDGVKLLGPDSVLAAASGGKEEGTGAVAAIRMPMLKVSDFKGGTPEVIQTSYAVLVLVPPAGKSWGRHEMEIVEVVAGQVAVALSHATLLEESRAMRDRLAEQNRELLQARRDALMANEARQAFQGVMSQGMRRPIHSILGLVSMVQEEALAPEQRLVVDTMARTATVVSTLVNDVMEMSADSRERFPLETRPFHLHAMIRDAACVARCLCDFRGFGFAVHVENALPDLVVGDERRIFHVLLHMVGNLIGRTEPGHVTLRVRAADDDVLDDRLGQRWDPWRPSYSTGYSSVKFVIGVKRQQNGDAGSPLSRRPSGKGIDLRLSFSMCRKLVQQMMQGNIWAILDPQGLPESMTLVLRFQLQSPLTSSSLGGSFEQKHSSPSCQIAGLKVLLIDDDDDINLVVARKLLEKLGCVVSSPPSGSGFLSSVGSSAAAFQLVMVNLEMKRVKALDVATRISQYRSGRWPIVMAMASDQKAWEKCAQSGINGILKKPVILQELKDELARILQST >ORGLA02G0328100.1 pep chromosome:AGI1.1:2:28575992:28576792:1 gene:ORGLA02G0328100 transcript:ORGLA02G0328100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPEQPSGSSGPKAELPVAKEPEASPTGGAAADHADENNESGGGEPREGAVVAAPNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVADAIAQFSRRRQRGVCVLSGAGTVANVALRQPSAPGAVVALHGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLIAAGPVMVIASTFANATYERLPLEEEEEGSGPPMPGGAEPLMAGGHGIADPSALPMFNLPPSNGLGGGGDGFPWAAHPRPPY >ORGLA02G0328000.1 pep chromosome:AGI1.1:2:28567890:28570962:1 gene:ORGLA02G0328000 transcript:ORGLA02G0328000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRSNYRSTRTSLFDGIEEGRIRATSYSSHEIDENENDQAIDGLQDRVSILKRLSGDIHEEVETHNRMLDRMGNDMDSSRGFLSGTVDKFKMVFETKSSRRMGTLVASFVALFLLVYYLTR >ORGLA02G0327900.1 pep chromosome:AGI1.1:2:28562684:28565590:-1 gene:ORGLA02G0327900 transcript:ORGLA02G0327900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDLFEGLPPPAAAAAAPAAGGERAPSPSPPPPPRLPALKSALKRDKPSSSDAAASSPAAAAATDAAAEGRVPEKRLRFRTTVDASEMQVIDAMHKITSHIRNPSKFSKASKLALQLIEAGSVKPGTVSHFFAILEAAMSSPGACNEPSVRADYQALFNAAQGVTECFNQQQKKQFDIWVLHAVVANDLFTDDSFVFSKAVGKIKDAISALPITTVDDDNDEAAALAAVESKSGTTHNNTDDNAQAAASNSLPDDSTHAAASNSREESSDPFGLDGLLEHKSKKSEKAREKTVAALNRKADEDESKRFLKSQREALLKCLEIAARRYRIPWTQTAIDIFAKHAYDNMNRFTTQQRDAITKLWNSIKEQQIRRKQGKSVSGKLDVNAFEYLQEKYSHEKISIRHAVGGGGERRATQWLG >ORGLA02G0327800.1 pep chromosome:AGI1.1:2:28559351:28560107:-1 gene:ORGLA02G0327800 transcript:ORGLA02G0327800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAAGAAAAAAGTGAGSPCGACKFLRRRCVPECVFAPYFSSEQGAARFAAIHKVFGASNASKLLSHLPVADRCEAVVTITYEAQARLRDPVYGCVAQIFALQQQVAILQAQLMQARAQLACGIQSSSHSPVSWPDSGSISALLRQDMARRPPGGALDDCFGGGGALLPELMAAGFKDDVAAVQMQQHCSKAVDAGELQYLAQAMMRSTSNYSQ >ORGLA02G0327700.1 pep chromosome:AGI1.1:2:28552740:28554101:-1 gene:ORGLA02G0327700 transcript:ORGLA02G0327700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVVDKLTVAASPPAAAGVLPLTFFDVPWIFTGPVERVFFYTYPHAVEHFAAHLLPSLASSLSAALHRFYPLLGRVRPCSSGGGGGGYEFCSTGGDADRVELTVAESGDDFEELAGGGPMDVGRLYSLVPRLPRPEEGSSELAAVQVTVFPGKGLAVGVSIHHVACDDSSFMHFVKTWAANCRVASGGDVDAVPPPPPPFLDRGVVADPDGLAAKTLDQMRQLANSGPPPPPPSGPPPKLFMASFTLTRDSIDKLKQRVTASGGGGVHCSAFTVACAYAWTCLARVDATSAARERAHLLFSVECRRRLTPPVPQEYLGNCLRPCFVEVDTAGLLGSGADGVVTAAVAIGAAIRGLDDGVLDGADGWFQKIVSLMPHRPMSIGGSPRYGVYDTDFGLGRPAKVELLSIDKTPGTVSMAEARDGHGGIEIGVALPEADMARFSSCFADGLKQL >ORGLA02G0327600.1 pep chromosome:AGI1.1:2:28548957:28551405:-1 gene:ORGLA02G0327600 transcript:ORGLA02G0327600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAASLLLRPRLRAPVPIPTPKTPNPLLLPPRRHFSQLPPPPVPASAAAAAAAVAEEAFEAAKGTKDLLAAFSRLEAVMPPSDKRLALACIKLGQHLEASGAADPSRVLALALRSLGILEATPNSATSTTASHSDAVSLAMALHLAGSASFDLSRFHDALSFLTRSLRLVSPLLPSSSSAAAASGDDDAQGFDVRPVAHAVRLQLANVKTALGRREEALADMRACLDLKESILPPGSRELGAAYRDLAEAYSTVLDFKEALPLCEKALELHQSTLGKNSVEVAHDRRLLGVIYTGLEQHEQALQQNEMSQKVMKSWGVAGDELLHAEIDAANIKIALGKCDEAVTVLRNVSKQVEKDSEIRALVFISMAKALANQEKAGDTKRCLEIACDILEKKELAAPDKVAEAYVEVSSLYEMVNEFDKAISLLKRSLGMLERIPQAQHMEGNVAARIGWLLLLTGKVSEAVPYLEDAVERMKDSFGPKHYGVGYVYNNLGAAYMEIGRPQSAAQMFALAKEVMDVSLGPHHSDTIEACQSLANAYNAMGSYALAMEFQKRVVDSWRNHGPSARDELKEAIRLYEQIKIKALSCLSPENSAIALPEPLEKEVDSDSTRVAQQ >ORGLA02G0327500.1 pep chromosome:AGI1.1:2:28547062:28548024:1 gene:ORGLA02G0327500 transcript:ORGLA02G0327500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADRDPIFPVQQMPSLLFPPPPPRPLALDSTSSASSSFVPHHPSITSFPILVLTVLGILTTSVLLLTYYIFVIRCCLNWHSSSSSDTRTAGLISRRRRGAASSSLPAVAEPRGLEEAAIQSLPAFRYRKAIKDTTADSSECAVCISEFQEEERVRLLPSCLHVFHVDCIDTWLQGNANCPLCRAAIATNDSQLPLDQFVRPEEVVIQVITGAEEEGAQAPQQEANTAASDPAVDATSTNQQVSSKKTKNQNAWHVSISKGDECIAVRRDRNVLPLRRSFSMDSLGGAGEVHLQIQNILQRSTHFHGDISDSSSSSTGTL >ORGLA02G0327400.1 pep chromosome:AGI1.1:2:28538609:28541561:-1 gene:ORGLA02G0327400 transcript:ORGLA02G0327400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:I1P5L8] MDPVLLDDIIRRLIEVKNLKPGKNAQLSESEIKQLCATSKEIFLNQPNLLELEAPIKICGDVHGQYSDLLRLFDYGGYPPQSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVAALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNDAQGWAMNDRGVSYTFGPDKVSEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDDTLMCSFQILKPARKMLGGSTNSKSGFKSLRGW >ORGLA02G0327300.1 pep chromosome:AGI1.1:2:28535582:28536856:1 gene:ORGLA02G0327300 transcript:ORGLA02G0327300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G57120) TAIR;Acc:AT3G57120] MVTLWKRKPKPNPEPEEKEKEEEKDHRMCKTKSSVATASMAASASTATTPRKHQHQHQRSPRATATQYSTTSSSSAPSTATATSSSTAASLQALRDSLPDLPLLLTFHELAAATANFSSSHRLAPNSTSFRCSLRGHSAAVFRRPLRRDQAHVAARLAALGHCHHAAIARLLGAAASPDGSLFLAYELLPDASPLSALLRNPNSPSFTPLASWQSRLKVAADVADALHYVHLQADTVHNRLSASTVLVSGDGPTLRAKIAHFGAADLAGELLGDRRGRRIEGTRGYMAPELIAGAAPSRRSDVYALGVVLLELVSGQEAVRYEQNKATGEYERTSVIESAEAAAEGGGGEAMRRWVDRRLRDSFPVEAAEAMTAVALRCVARDAAARPDMSWVAAKVSKLFLEAQDWSDKFRIPTDISISIAPR >ORGLA02G0327200.1 pep chromosome:AGI1.1:2:28529956:28531025:-1 gene:ORGLA02G0327200 transcript:ORGLA02G0327200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zim17-type zinc finger protein [Source:Projected from Arabidopsis thaliana (AT3G54826) TAIR;Acc:AT3G54826] MAARFLPLVRRGLAGVLNQSPAPASTRGFLIPAPVTAGIRSLQTIMEASNNASDDRNQDIEDSKTDTVPATVPSSDSGFKVRDTSNLKISPRHDLAMIFTCKVCETRSMKMASKESYEKGVVVARCGGCNNFHLIADRLGWFGEPGSIEDFLAEQGEEVKKGSTDTLNFTLEDLVGSQANDKGPSDKK >ORGLA02G0327100.1 pep chromosome:AGI1.1:2:28521148:28523779:-1 gene:ORGLA02G0327100 transcript:ORGLA02G0327100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLPFLHGDSKEEDPVNKSASVRSLSTTSTERDVRSGSDFNSLNVSDMSAESIRRTQYPSFTDRPSNLRVFSFSELKNATRNFSRSLMVGEGGFGCVYRGVIKNSDEPTERTEIAVKQLNRKGLQGQKEWLTELNVLGIVEHPNLVKLIGYCAEDDERGVQRLLVYEYMPNGSVDDHLSSRSNSTLSWPMRLKVALDAARGLKYLHEEMEFQVIFRDLKTSNILLDENWNAKLSDFGLXXXXXXXXXXXXXXXVVGTLGYAAPEYMQTGRLTAKSDVWGYGVLLYELITGRRPIDRNRPKGEQKLLDWVKPYISDIKRFPIIIDPRLEGHYNLKSMTKLASVANRCLVRLPKSRPKMSEVYEMVQKIVASIETGTPQPPLHYHGSVSEPGSKRPKKGSLKRRFQEFKFGCRQIVWRGWKPEIIKTC >ORGLA02G0327000.1 pep chromosome:AGI1.1:2:28509564:28512581:-1 gene:ORGLA02G0327000 transcript:ORGLA02G0327000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04250) TAIR;Acc:AT5G04250] MNMCEKDQNFHWGYDLFRDPFAPIGYYGPPHGYGDGNYCDLHYARDASHPDETHLHSSALTYDLYNPSVGIYHPGNAGEHDHDTVYIEPTSSNSCPDTDDSFPMDEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIMSQLKSNRDAYDGYVPMAYDDYLEKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNDAPRSQTTRKRRWWPFSHNHHHHHH >ORGLA02G0326900.1 pep chromosome:AGI1.1:2:28500564:28502632:-1 gene:ORGLA02G0326900 transcript:ORGLA02G0326900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGFTDEKQNPLLYASTYHAGECLDPVAVAQAKAKRKAQKLDIVSHPNTNEGRDDTSDDKAHHGSSELPPRTELIGSKQMAIEGFKAQSEMVIDSLDRLITSWEEQKQSVIVEGVHLSLNFVMGLMKKHPSIIPFMVYIANEEKHMERFAVRAKYMTLDPAKNRYIKYIRNIRAIQDYLCNRADKHLVPKINNTNVDQSVAAIHATVFSCLRRREAGEQLYDLNTNTVAVVNEEYRNQRAANSLGSKGMFQLIQRQGSSRNLMAILNTDGSVTKAWHVDKNNGNGSLDGTSSDKSTKNPMYDTFGKAEPVNLQFGSFGISAWMSDTGGTSHTGSVDDLRADGIETGGRYYSSCCSSPKVSDCPSKELMEDDYSVFGSEEDADDPPDAGTDEDLTDEERDMHEIEAGSVDEHSTKSDEEYDDLAMQDVMENGYWSDDEQAASSTKNSSNQEKNIHGAADGDVVDDEGSGNDRFHHNLAFFLKMSKKVAATELPCA >ORGLA02G0326800.1 pep chromosome:AGI1.1:2:28495001:28499431:1 gene:ORGLA02G0326800 transcript:ORGLA02G0326800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pumilio 4 [Source:Projected from Arabidopsis thaliana (AT3G10360) TAIR;Acc:AT3G10360] WRHLLQQRLAPLSRTLSATCRQYXWTRTTRRPPMSSACSAVAVLLPLFRVLALPLVPSSLLRLFTSTALLIPAMVVLVMCSLMRRXGLTQPTCRTTIPMSTSIQGCHHQWCRRRIGVSLSEYRLFQEGXGIGGGGPRMWGVEVRCFRCSRGHGMVMERIYWXMIGWAEEKETGSRVSNHQSGLGVVQMGSLGYRIPVVLGPVARALPDALQENISRPASAASHLSRSNSRNAFDSPNPIRPDSSRAQLQSRSESMNGLRSGSTSPSLVRVQSLGSSISHNFASAVGSSISRSTTPDPQLIRRTPSPCLPPVGVRMGSTDKKVDGSAVASHNHDTADIAAALSSMNLSGSKMASLEAEVQNRAYQNFGDQTDVLFSVPKERRQLSQQKLAQNADEESINALEYAAFPNGSGNFNNSNMSKLSVDSRSKFPIQSPHGNANNKGSLVSPTGSVSLYQNLNGDNSNIDVSVRNNKIRSSSFGSSMLNNQLSADGEYVNLLSNQGGSGFQGQPMESMYAPYLQANSDSPLGAATNLNPFQGSSFSGSVPLDSPGYQKAYIASLLAQQKLQYGVPYLGKSGSLSPNIYGSDPAFGMGGMAYLSSPTSTPFISSPQGHVRQGDRLARISSMGKTTTGGPMGSWNSDNGLIDNGYGSSLLEEFKTNKTRSFELLDIVGHVVEFSSDQYGSRFIQQKLETASAEEKDTIFPEILPQARTLMTDVFGNYVIQKFFEYGTEPQKKQLASLLKGYVLQLSLQMYGCRVIQKALEVVEVEQQTQMALELDGNIMKCVRDQNGNHVIQKCIECIPQERIRFIISAFYGHVVELSTHPYGCRVIQRVLEHCDDENTQSTMMEEIMQSVVLLTLDQYGNYVIQHVLQHGKPDERSAIIKQLAGQIVKMSQQKFASNVVEKCLSFGSPEERQILINEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQNRELILSRIKVHLNALKRYTYGKHIVARVEKLIAAGERRSGVSSSSS >ORGLA02G0326700.1 pep chromosome:AGI1.1:2:28490594:28492443:1 gene:ORGLA02G0326700 transcript:ORGLA02G0326700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCRKC thioredoxin 2 [Source:Projected from Arabidopsis thaliana (AT5G04260) TAIR;Acc:AT5G04260] MASAHAATVAGEAAVAASPSRRVLAGGRPPPPCISFLSKSPSWWASISLPPGPRRAAAAAEERVEEEEEEEPTWVELEPIGSEQQLERALAEAQQLGLPIVLLWMASWCRKCIYLKPKLEKLAAECYPRIRFYCVDVNAVPQKLVNRAGVTKMPSIQLWSDSQKQAEVIGGHKSWLVIDDVRRMIDQEE >ORGLA02G0326600.1 pep chromosome:AGI1.1:2:28487244:28489499:1 gene:ORGLA02G0326600 transcript:ORGLA02G0326600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT5G04270) TAIR;Acc:AT5G04270] MARRRGGAAAAAASPAVIGAVSVLGLVYYSTVFVFLDHWLGLGTLAGAAHAAAFSLVVAACFFSFVCAAAADPGSVPASFAPDAEDPQRQGLKSRYCDKCCMYKPSRTHHCKVCKRCVLKMDHHCVWINNCVGYANYKSFIICVLNATIGSLYSLVVFLFDLFQTEHEYDVPYVKIIHVLVGVLLFFLSLTIGSLLCWHIYLLCHNMTTIEYREATRAKWLAQKSGQKYRHRFDLGTRKNIQMIMGPNILCWLCPTATGHLKDGTEFQITNN >ORGLA02G0326500.1 pep chromosome:AGI1.1:2:28462400:28464865:1 gene:ORGLA02G0326500 transcript:ORGLA02G0326500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLQKKKRTGGGEQPKPASDVAEDKPAEDTSPDVQQPHLNAATTTAAPGTDDKDASTKADDPKKDAKEKEKKPAALPVVTAVLKVDMHCDGCAKRIRASIRHYPGVEGVAMEVDKGTMTVVGRFDAKKLRDRVANKTKKKVDLLPNNKKAGDDNDNKNNKANECDGKPADKKQQQQEDDGDEAGKEDKKKKKEKEEQDDQKKKAKDNKKPVVPVPGTVVLKIGAVGLHCDGCMNRIRTKLFHIQGVEQVAMEMAKNQVTVTGTMDIKALPEKLRKKLRRPVDVVPPGKQKDKDGGKDKDKEKQDGGKDGGGGGKDAAAKALTAEKEAWKAAFYDQQALLATEFMLSDENPNACSIA >ORGLA02G0326400.1 pep chromosome:AGI1.1:2:28460000:28460745:1 gene:ORGLA02G0326400 transcript:ORGLA02G0326400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKNGGGGGGEKKATTTEVVLTVAMHCKCNGCKDKIRNGVKELALVPGVEAVDKSAVESKGEVRLVVAAATAKPDKLKDRLHRVTGKKVDLLVIAPPKPAAAADDDDKAAAAEAVAALIRQAQAQAQAQAGVHVVPGAWAGGGAVAYPAWGMQQPEGGYYYSPSTYPAGGLVYPYAAAYPPLGQQLLGNGGGVVSPWYTHGY >ORGLA02G0326300.1 pep chromosome:AGI1.1:2:28446520:28448259:1 gene:ORGLA02G0326300 transcript:ORGLA02G0326300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSKLRRGGRAITAIPGGFLHLSLLASLRRRPSLQAHAQLLLLGLPLPAPTASRLLRPHLRSGNPSASLRLFLRVLRDRDCDRRPCPVDSQEDVPDSSSFSAALAACSRHASPSPGFSIHAFLLKSRFASNVYAANSLLHFYGSFGLHSLAHKLFDEMPTRDTVSFNTLISSYVQSCCIHDAFEVFRIMVESGLRPDGWTVTALLGACAELQDLRAAKAVHGVARRMLEPQVFHSGEVATSLVDAYVKCRGMELARQVFDLAEDNARNARLWTTMVSGYARAQEFDIAQRLFHEMPEKDTVAWTALIGGFVRAGRYKEAVVLFEEMEEAGFEADEATIVTVLSACVGYGNIDLAKRLHCLVGRDGLINRNAKLATTFVDMYAKHGCIQTAQEVFSGVDDDFKTLELFNAMINGLARCKFGEKAIALFDEMGSLGLHPDKITFVCILSACRYSGLVSQGFRIFDSMEEKYGVKPEIEHYTCMADLLARDGQLDNAYIFIQNMPFKANSVVWSSLVRACMLHGNIKIRKLAEEQLLQLDPNYKPENLPLSNLFSEGKRKERTARVRKFLNHKPVCKHTK >ORGLA02G0326200.1 pep chromosome:AGI1.1:2:28443808:28446266:-1 gene:ORGLA02G0326200 transcript:ORGLA02G0326200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G08125) TAIR;Acc:AT1G08125] MDHDRLNSPSTSAISLELLGHRLHISQDPNSKHLGTTVWDASMVFAKFLEKNSRKGRFCPSKLKGKRVIELGAGCGLAGLGMALLGCDVVTTDQVEVLPLLLRNVERNKSWISQSNSDSGSIGSVTVAELDWGNKEHIRAVDPPFDYIIGTDVVYSEHLLQPLMETIIALSGPKTKIMLGYEIRSTTVHEQMMQMWKSNFNVKTVSKSKMDAKYQHPSIHLYIMDPKATLIPEVSANGNNEEEEEVVSNPGDDEDTGAESGGPCTGSEDSAEAKTGNLDDDWEIRRCGAMAARLLKDVKLA >ORGLA02G0326100.1 pep chromosome:AGI1.1:2:28425503:28430950:-1 gene:ORGLA02G0326100 transcript:ORGLA02G0326100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 97, subfamily A, polypeptide 3 [Source:Projected from Arabidopsis thaliana (AT1G31800) TAIR;Acc:AT1G31800] MAATSSAAAAAAPPCRLLGSGQAHLRLPPPAASGRRRLLLRCSASGGNNGKGGGGDGSDPILEERRRRRQAELAARIASGEFTAQGPAWIAPLAAGLAKLGRPGELAAALLTKVAGGGGPEIPQAVGSMSAVTGQAFFIPLYDLFLAYGGIFRLNFGPKSFLIVSDPAIAKHILRDNSKAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAIVPAMHQKYVTAMISLFGEASDRLCQKLDKAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALALINKTLDELIDICKRLVEEEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPNVMAKLQDEADTVLGDRLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSIEEDTLGGYPIGRGEDIFISVWNLHRCPKHWDDADVFNPERWPLDGPNPNETNQNFSYLPFGGGPRKCVGDMFATFETVVATAMLVRRFDFQMAPGAPPVEMTTGATIHTTEGLKMTVTRRTKPPVIPNLEMKVISDSPENMSSTTSMPVSAASIASGEDQQGQVSATRI >ORGLA02G0326000.1 pep chromosome:AGI1.1:2:28423744:28424829:1 gene:ORGLA02G0326000 transcript:ORGLA02G0326000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINTFQSCSVLKGAKINGTKIEGGRGSPTFRCRASTFMDGSLRLEIDENPEAIISGEWPENFSLLSYDDLRAYLQSQEAAAQADNQQRVALLSEAMSAPVLVATAEQTLEEVECHFETVSGLPVIDASLRCVGVIVKSDRARASHGSKTKIAEVMTSPAITLPSDKTVMDAAALMLKKKIHILPIVNQDRQVIGIVTRADVLRELEALLEV >ORGLA02G0325900.1 pep chromosome:AGI1.1:2:28417524:28420806:-1 gene:ORGLA02G0325900 transcript:ORGLA02G0325900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYGSHGHRAPVKPRVATLAPVKRSTRIRKKQMYALDLLATAAEKLLADQDNLSSGPNINETPEGYVTSMKPVKAEQFDEAFPLRSVAVKKDDCKGCTVGCAGICGFLRQANMCLAENSSTQNLADSVLESLTAKPDVLAKDSFVSSKKSCRLGFGLGTIPEYGSVGVCQPWSTRSAEVKQVHRARPTAIRSQEDSDAAALCALVETMDLDTKPLAEASSGSNSGVHICGHDRGHNSHPSCLAKVQHAADRDDDENSSGCVHPSTSGNNRGYIPHYIGDRRIRRLFASRLRKAARNRICGEMSCKGNKLSLCEKKMPTTRRRVQQTTLKRKRLAQLYSEKSSDEGILTEIKLTIKSFNIPELLIEIPENATVGSLKKTVSDAVTTIIERGLRVGILLQGKNIQNDNKTLRQAGICRGKKLDDIGFTLECEAGQDSHPGVIVPEEMDFVGASVMDKSATVKCEEPAENQQLMQDFPGCSLSDPGSVDYPVEWSTQETSASSQAIVPFADPNSLVLANVPLSRSKRPDFGQRRIRRPFTVAEVELLVEAVEHLGTGRWRDVKFRAFENVHHRTYVDLKDKWKTLVHTASIAPQQRRGAPVPQELLDRVLAAQAYWSEQQAKLHGDPPVPEICPT >ORGLA02G0325800.1 pep chromosome:AGI1.1:2:28413060:28416489:-1 gene:ORGLA02G0325800 transcript:ORGLA02G0325800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQRVLLAHLLPSSSSDQSLLSASACAAGDSAAYQRTSAYGDDVVVVAAYRTPICKAKRGGFKDTYPEDLLTVVLKAVLDNTKINPGEIGDIVVGTVLGPGSQRAIECRAAAFYAGVPENVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSVNAMGWEGQVNPKVNEVQKAQDCLLPMGITSENVAHRYGVTRQEQDQAAAESHRRAAAATAAGKFKDEIVPVPTKIVHPKTGEEKKVVISVDDGIRPGTTASGLAKLKPVFRKDGTTTAGNSSQVSDGAGAVLLMRRDVAMKKGLPILGVFRSFAAVGVDPAVMGVGPAVAIPAAVKSAGLQIEDIDLFELNEAFASQFVYCCNKLGLDRSKVNVNGGAIALGHPLGATGARCVATLLNEMKRRGRDCRFGVVTMCIGSGMGAAAVFERGDSVDQFSNVRHH >ORGLA02G0325700.1 pep chromosome:AGI1.1:2:28405435:28407406:-1 gene:ORGLA02G0325700 transcript:ORGLA02G0325700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1P5K1] MRGGVAGPTAGEPPGTEAEAEEVEESSAGDDEELELGLSLGSKKQQQQQHAPCRILTARDLQPAAALSPDSSVSSSSPAAAAAGGKRAEGPTATTSPGTVASGHPHSSFGVVGWPPIRQFRMNSLFNQAKENTSETDTKKTATNESDVQKDKEEGEKKGRVAGWVKVNMDGEVIGRKVDLNVHRSYKTLALALELMFTKPSIGLCASHNTKSLKLLDNSAEYQLTYEDRDGDWMLVGDVPWEMFVSSVKRLRIMRTSDANGLGQRYQGIHRTIASTRGRS >ORGLA02G0325600.1 pep chromosome:AGI1.1:2:28399551:28404072:1 gene:ORGLA02G0325600 transcript:ORGLA02G0325600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium channel beta subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G04690) TAIR;Acc:AT1G04690] MQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKALLQACRDAGVNFFDNAEVYANGRAEEIMGQAMRDLGWRRSDVVVSTKLFWGGQGPNDKGLSRKHIVEGLRGSLKRLDMDYVDVVYCHRPDATTPVEETVRAMNWVIDHGMAFYWGTSEWSAQQITEAWSVANRLDLVGPIVEQPEYNLFSRHKVESEFLPLYSTYGLGLTTWSPLASGVLTGKYAKGNIPADSRFALENYKNLANRSLVDDTLRKVNGLKPIASELGVSLAQLAIAWCASNPNVSSVITGATKENQIVENMKALDVIPLLTPEVVDKIEAVVQSKPKRTESYR >ORGLA02G0325500.1 pep chromosome:AGI1.1:2:28392236:28397305:-1 gene:ORGLA02G0325500 transcript:ORGLA02G0325500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIHQFAECITCHAWSPDHSMIAFCPNNNEVHIYKFFTDKWEKLHVLSKHDQIVSGIDWSRSSNKIVTVSHDRNSYVWTQEGHDWVPTLVILKLNRAALCVQWSPKXXXXXXXXXXXXVCICYYEQDNNWWISKVVRKKHESSVTSVAWHPNNILLATTSTDGKCRVLSTFIKGVDTRGSHASTSTDWKFGEQIAQLDLSSMWAFGVRWSPSGKTLAYAGHNSMIYFIDDVETSPAAQNLALRDLPLRDILFLSERTVIGVGFDCNPMIFSADETGLWSFVRYLDERKVTTSTSRASQLSEAFGKLYGQSKQVASSDTVEPSKPRGGVHENCITCIVPLRKGNDSIVKRFSTSGLDGKIVVWDAENHIDIKK >ORGLA02G0325400.1 pep chromosome:AGI1.1:2:28388071:28389500:1 gene:ORGLA02G0325400 transcript:ORGLA02G0325400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKPHHHGSSSLVAEELNLLHGGGDGGRGGGGLGQWKCRLLGSLAGLGRPRRARCVVCLQVQHVTGLPPAAEGRGVVVGWRGRGGEGEHTSPVRASRGAAAFDEVFLNYFVAGGATLRSFAVWAALVDDPASTARGGGDLGSFPVDLTEIATAESSNPRFGGKALSFPLGGAAAGAVLTVSVYCRVMEHEENHGGANGHARAERKNKGKGSYASCLPDLSCLRNRPSPAAAAASGSARRAASLRSDRGGFITIENSVAEMEGGGAFGRVEDVDEEGAGFITMEKGTISSSRSRSRRPAGEDDEAGDMEDEKPCLLMELAPEEAAAAFEVEKVEEEFLAMLEDKYWARSKEIEKGLGVSLDMGLDLGLDLDSLIKDAEMELAKAEQAWRSKVGAAIVEEEEYMDLVRRWSARDAAAACWPAAAFAFGSPI >ORGLA02G0325300.1 pep chromosome:AGI1.1:2:28377065:28381036:1 gene:ORGLA02G0325300 transcript:ORGLA02G0325300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFMITYKRKRVTSHVYTADGTNLKFSGASSSVPVSSLSPNYGVGADNNMLEEDNFSTSTKQQDVFDSREQVIKEESPKQSANAPGKERAELKSRESLSQKEQPEICSTHTAIGDACENKLECIDGTHNQSLVSSCVHADRTTNQAEDSSASVSVGVNSHQQPNNSTRPSQSKSRFSPMLTFHRRVKNKIGLEEPAAGSCSRDNDKHCSKLSCNPPSSPLDAIPLCRQTAGSSLDVEDKVTIAGTSTGQSVIVDHLLEQKSSHIPKSSVHHMVPSQPAKDANQSSIPEEGTPVSEFTRVQETSELDARVEDSNRTPVDAIEVPKVIEVKRDEHGNGQTNCLQSPRKNINVNLLKPTNRSEAADLLESQDSTKNIPIIVLDDDSDERGKEQEKSEVLDQLIQEKNRGRFSLGQINLNLNCAELPQERLLSLDETSVYKLKDQDQCVHERKQMSHPVERLFFTKEKDAMHGKKHHEETSTMHSSYSNLFDPAPSSSWITGNFKEPSVMPSELKFRILDKAPEFNLDLKLDSFPDSSVSALRHDKLFRGGTSSGSHFLTERLGTYSYKRHSSPWSEEELDFLWIGVRRYGTNNWNAMLRDRRLRFSNSRNAEDLAKQWDKEQRNLLGVDFLQSLRSSARGPPPPSHIPEDYVGSSSWTGCSKSPFLSAPTDLSLGDMYLRSARTSERGQHHLSNLGMLNLHATDNVPRNLSLGGFPVASSPYGRSSSKRRRASKLPKSYYDNKAVWCQDPSERVAQFLPINQEPINNLPEWLTKDAEMAGVSRLDADLWPSMQAPGHSAADRLNEMKPHVLPDGSLKRAPKRKAEWRAFSKKLFKSGDGALDLNQRAAAIAGPLGAIGTSDTGASSEETVSDS >ORGLA02G0325200.1 pep chromosome:AGI1.1:2:28362170:28374986:1 gene:ORGLA02G0325200 transcript:ORGLA02G0325200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQATIVVGSQVWVEDPGVAWIDGEVIKVHGDTVIVKCSNEKTVTVKGSNVHAKDPEESPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDTQMMEQYKGADFGELSPHPFAVADVAYRLMLNEGISQSILVSGESGAGKTESTKMIMRYLAYMGGKAAAEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGRISGAAVRTYLLERSRVCQISDPERNYHCFYMLCAAPPEFRRHVPLEELKRYKLGDPRTFHYLNQSNCYKLEGLDESKEYLETRKAMDIIGISSEEQEAIFRVVAAILHLGNVEFAEGDDGESSKPKDEKSLFHLKTAAELFMCDEKALEDSLCKRIIVTRDENIVKTLDPEAAKGSRDALAKTVYSRLFDWLVDKINKSIGQDPNSKCLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPGGIIALLDEACMLPRSTHETFAQKLYQTFKNNKRFTKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASQCSFVSGLFPLLSEDSSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNILKPSIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGILAPDVLSGSSDEIAAVRRLLEKVDLQGYQIGKTKVFLRAGQMAELDARRNEVLGRSANMIQRKVRSFLAQKNFIKLRRSAVQLQTICRGELARKIYQNLRREAASLRIQTCYRMHAARKAYNELSASAVTIQSALRGMVACKELHFRQQTKAAIVIQSRCRQFLARLYYSRTKKAAITTQCAWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENAKLQATLQEVQQQYKETQEMLVKEREAAKKAAEVAPVVKEVPVIDTELMNKLRDENDKLKTLVSSLEKKIDDTEKKYEETNKISEERLQKAMDAETKIVDLNMAMLRLQEKLSNMESEEKVQRQALLSSPVKSMSEHLSIPISXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSAYVVKPLMQNEEDNDNLAYWLSNTSSLLFLLQRSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFVNMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNIKKELSSLISLCIQAPRTMKASMLRMSGRLSGQAQSNHWQRIIESLDILLKKLQDNHVPPVLAQKVFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKATTEYAAASWDELKHIRQAVGFLVIFQKFRISYDEIVNDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVSSIRVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVDDITNSIQEKDFTDVKPAEELLENPAFQFLQD >ORGLA02G0325100.1 pep chromosome:AGI1.1:2:28349272:28352982:1 gene:ORGLA02G0325100 transcript:ORGLA02G0325100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20360) TAIR;Acc:AT2G20360] MQAAAAWRRHLLDGNISPTTAAAISAFRSASQPALAPQGLGGADGARYMSARAPAVKGTGHLVRKGTGGRSSVSGIIATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDCHRHLKLMGDLGQIVPMKYNPRDVDSIKAVMAKSNVVINLIGREYETRNYGFDEVNHHMAEQLAMISKEHGGIMRFIQVSSLGASASSPSRMLRAKAAGEESVLKEFPEATIMRPATMIGTEDRILNRWAQFAKNWGFLPLVDSGSTKIQPVYVVDVAAAIVNSLKDDGTSMGKTYELGGPEIYTVHELAELMYETIREWPRYIDVPLPIARAIASPREMLLNKVPFPLPTPSIFNKDQINAFSVDTLVSDNALTFSDLGIVPHKLKGYPVEFLVCYRKGGPAFGSTVSEKIRSSEL >ORGLA02G0325000.1 pep chromosome:AGI1.1:2:28347228:28348939:-1 gene:ORGLA02G0325000 transcript:ORGLA02G0325000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQGAWRGGASASSRISYKNATVAVCAINLLACALLFRNYYSSWPRIAGDHQFDSAQLRFIWESEELRRAMEPVDLIRRVKEIEQEAYGEHGMMTQEDAKQTAAVDASKRLQDLRAGNDGSSQKALEEWRKRKMERARQRAIEKNGTSSAAKTR >ORGLA02G0324900.1 pep chromosome:AGI1.1:2:28340826:28344876:-1 gene:ORGLA02G0324900 transcript:ORGLA02G0324900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24190) TAIR;Acc:AT3G24190] MEAAAAAASAAPHLLHCGGFGRLPGLAASLPGRRRRRPSRRVLAVATEPKPSASAPAPRSRSRTRTPNDISSTRFGEMSKEIQRVRKQMEEDEQLATLMRGLRGQNLRDSQFADDNVRLRLVEVSSMNNNEALPLVYSPEIISAYWGKRPRAVATRIVQLLSVAGGFISHLISDLINNKLKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFSDDLAMTLLEEELGRPWHEIYSELSPSPIAAASLGQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRNLGLVLRRFPQVSIDVVGLVDEWAARFFEELDYVNEGENGNRFAEMMKKDLPQVVVPKTYQKYTSRKVLTTQWIDGEKLSQSTEDDVGSLVSVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKTGVFDAERFIDVMQAFENFIRAAKSGGGENLKGSMAELAEIGTLPSTSLVPAFPMAISQPEQPVKARAALSFLLSERGDFFREFILDEIVKAIDAVSREQLIQIAASFGLGNATPVFSMVPVRARALLPTITEEDRVILNNVEKVVKFLTAGNNPTTIDGDVNVAYLVQELLPVLPSISSKILPEVMSRLSSRVFARLIREAFL >ORGLA02G0324800.1 pep chromosome:AGI1.1:2:28338622:28340178:1 gene:ORGLA02G0324800 transcript:ORGLA02G0324800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin folding cofactor A (KIESEL) [Source:Projected from Arabidopsis thaliana (AT2G30410) TAIR;Acc:AT2G30410] MATLRNLKIKTSTCKRIVKELRSYEKEVEKEAAKTADMKEKGADPYDLKQQENVLAESRMMVPDCHKRLETALADLKATLAELKESNEQGAEIGEAESTITEVEAVVKPTED >ORGLA02G0324700.1 pep chromosome:AGI1.1:2:28330273:28333503:1 gene:ORGLA02G0324700 transcript:ORGLA02G0324700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVQDRKQKKKQDLQVLGPFPGCLGRMINMFDLSNGVVATKMLTEKAHRDVSPAGKDRGNAFKMAIGPFSSQIEDKKRDSQPRKQSPTKRLSSPTKRSGEAPVKLFMEQDMWKEGMSGEEPLNVVARLMGLNDAAGHRSDLKSGKRSDKEYRSGGFDENSRNLRPKKDSKGHPNQKAGTHSEPCSGFSDQTLRMNSSRNKHQGKEPSCEKRMTLVREKFAEAKRLATDEKLLHTKEFQEALQFLSSNKDLFLKFLDEPNPLLSHNNYEFQPDTPPSETKQITILKPSDSIKRNGNTLVGRQLYSDGDESEGNRCRRHQSLSVSPTNSTFSEPTRIVVLKPGLVKSQEPKILRSPSSSSTAADSEDDSMSAVDETVPSSRRLAKEITWQMRMRLKDKQDEENLLSYEFHDIYIGDDSFSKSEVENAKEVSGEISEDLEFGTPTSGRSWDFLSRSGSPYSASCSSQTSHRREPSVVKEAKKRIFERLSIVSSTVGGEEEREARRSMGTLGEMLTIPEVKKDQEVFGGVTLENPSPEMDSEEPFLCLPRSRSVPISLSFGGTELNGVATGCQEAEKEKNRKSLSFREKVSSLFSKNRKVARGKLDPSGIPSTDDRLKHGNSATINDFSENADHSALDNPLNCTIQNVDEISMPRLMASSWHMNDMENMPAKDISSIPVIGAPGIFGESQDQPSPVSVLDGPFLSDNSRSLLCSSESFITASPQALSRSPLIGSFSRSLSWEDPPLEVMSPNSLRLSRLFSKADEDQDSLTFIQKLVCSAGIDREGCILSSPLELYLLEKFSDYQEEGTKLRERRSKEKFLFDAVNEALTELTWTAELMAYPLGRSSSLERKDCENAFSNSAADEIWRVIRNWSILDKYPPGETIERNLLVEMILKREVLEAASSDTTRLETFELTSMVCTMVLEDLIADAVVDLSA >ORGLA02G0324600.1 pep chromosome:AGI1.1:2:28319029:28326708:1 gene:ORGLA02G0324600 transcript:ORGLA02G0324600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMNARMGNGEKTPCISDVQDNRCMTIQIEPTDTNQAKKSPKVKIVTRDASLLAGDGDITRKSLGPIKVFSEKDLSREIERVASTLHPDNEWAIRITAMQRVEGLVLGGAADYSAFPMLLKQLVTPLITQILDRRSSVVKQACHLLNFLSKELLRDFELCAELLIPVLLKNVVITIHVIAESSDNCIKEMLRNCKVARILPKIIEFAKNDKSAVLRARCCEYAILMLELWVDTPEIQRSVDLYEEFIKCCIEDATSEVRSSARACYRMFSRIWPERSHQLFSSFESSRQKMINDEDAETQQRHLSPVERVKLMQPQSSSCNSTEIDKVVKVDSGTSFSSVDLQSVQVKASVQHDDMTSKIQPEGKTDGTTTTGSSFKDTSTLEKETSPDKGPDPDKCDSGVNLSSCDPSSATQFILEPTSELLPSDATVVTIVQDKAECRPNTEQLTQQVQVLGHPSDLLNRSPPVSLKESGNSLKHNPIQVNSDGSSGGKLGAQKVKHHTSAPNKSVVRKEPRNNYIPNFRRPLLSKQMTNWFYASNRSDLDDKQLILGEMVSNMDVPSSLTEALSLGLKPKSDWMMRVYAFNFLRQSLLEQGPRGIQEVAQNFDKVMRFVSRYLADPHHKIAQAALSSLSEIMPVFKKPFEHYLDKTLPHVFSQLNDPKESIKQQCSAILKLAGEIYSIDSLLPALLRTLEEQKSPKSKLAIIEFANSSFVKCTVSSDNYSSSSFLKPWLEKLALLFKDKNSKLKEVSVAGFSSIYSHYDPASLLSFLVSLSMEEQKRLRRAIKQLIPTIESDLEEFLQQRKHKPKVPLFDSFVGAYQPYAKGLVIKQNKHHLHATYQSDCLQADDVFDSALHCLPRISLEVRERRAGKIESESYDESNGHDAEMMDKKSSDTRSSDPPRTFDYNVISDNTVESPRKEGTDIKRFEESNESELNITVRNRNVILNSCQDHGPSISRTYHQDEMSQMEEVPEINGPSVSIKNLHQMSSSLLEMLDDPEESTRELALTLLVEILEKQRKAMENCIDTLIVKLLHATKDAALKVVNQAHICLTMVVTQFDPLTCLRAIASQLANQDEKVLIVSINSLSKLVIRLSQDNLMAHLSIFLPALLDAFENHSPYVRKAVVLCLVDTYLKLGPAFLPYLERLDSAQLQLVTTYASRLSQTSFIAVDA >ORGLA02G0324500.1 pep chromosome:AGI1.1:2:28311876:28313092:-1 gene:ORGLA02G0324500 transcript:ORGLA02G0324500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSWLVLLSAALCIGALPESHAARAFFVFGDSLVDNGNNNYLITSARADSPPYGIDTPDHRATGRFSNGKNMPDIISENLGAEPVLPYLSPELDGDRLLVGANFASAGIGILNDTGVQFANIIHIEKQIRYFEQYQDRLRAVVGDEQAKKVVAGSLVLITLGGNDFVNNYYLIPYSPRSREFSLPDYIRYILSEYKQVLRHIHALGARRVLVTGVGPIGCVPAELALHSLDGGCDAELQRAADAYNPQLVAMLKELNAEVGGDVFVGVNTKRAHDDFIEDPRAHGFETSTEACCGQGRFNGMGLCTLVSNLCADRDSYVFWDAFHPTERANRLIVQQFMHGSLDYITPMNLSTILKLDQQAQLRN >ORGLA02G0324400.1 pep chromosome:AGI1.1:2:28307907:28310426:-1 gene:ORGLA02G0324400 transcript:ORGLA02G0324400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33255) TAIR;Acc:AT2G33255] MLPRLLLAHRLLAGAAAPLPRRRTAAAMSAAAPGTRLLRGVVFDMDGTLTVPVIDFPAMYREVLGGDEAYAAARAAGGGSVDILHCIEGWAPDEQRRAYEVIARFEQDGLDCLQIMPGASELCGFLDAKQIRRGLITRNVKTAVDLFHQRFGMMFSPALSREFRPYKPDPAPLLHICSTWNIPPNEVVMVGDSLKDDVVCGKRAGAFTCLLDETGRYGPHDSLPEDVRPDFKVSSLSEVFSVLEEHFDLAPVVSESRI >ORGLA02G0324300.1 pep chromosome:AGI1.1:2:28302064:28305066:1 gene:ORGLA02G0324300 transcript:ORGLA02G0324300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G04150) TAIR;Acc:AT1G04150] MAKVAEKLVVEVVAAHNLMPKDGQGSSSAYVEVEFEHQRRRTRARPKELNPVWNERLVFAVADPDDLPYRAIDVGVYNDRAASGGVAGGGGAAPHGRNFLGKVRVPAAGVPAPGEEVVPQLFTLEKRSLFSHIRGEITLKIYRTNSGEVVVKSKPEKPVKAVVSGPEVVAAPPVTGPKKQQQQQQPVVAVQPPPPQPEAPMDILPPPAPVLMKPVMLADPYPASAVFSGPGDFSLKETRPRLGGGTTADKASATYDLVEQMQYLYVRVVRARGVAAVGETVAEVKLGNYRGVTPATAAHHWDQVFAFSKETIQSSFVEVFVRARGSDDHVGRVWFDLSEVPRRAPPDSTLAPQWHIMEDRKGERGAAEVMIAVWFGTQADEAFAEAWHSKAAGVHGYGPLGSIKSKVYVAPKLWYLRVSVIEAQDLIPMDKGPMVIGRYPELFVRAQVGSQMLRTRPAPVAANRGPSSPFWNEDLMFVVAEPFEEFLVLSLEDHVSPGRDDVLGRLVVPVSSIERRWDEKLVVSRWFGLDRGTGGGNVASGNTNRFGSRVHLRLSLDGGYHVLDEATAYSSDLRPTGKQLWQPHVGVLELGVLGATGLIPMKARDGRGATSDAYCVAKYGQKWIRTRTVIDSVCPRWNEQYTWEVFDPCTVITVGVFDNCHVDKPASGNTTLAVRDNCIGKVRIRLSTLETDRVYTHAYPLLMLHPSGVKKMGELHLAVRFCCGNAGNMFHAYVRPLLPKMHYIEPLLVRQVESLRFQATNVVAARLGRAEPPLGREVVEYMLDHRSHLWSMRRSKANFFRLVTVLSGPITIGRWFELVRSWNRPVHSCLAVFTFLVFVTMPELILPTAFLAMAFTGLWRYRVRSRHPPHMEMRLSHADAATVDELDEEFDTFPSSRGDVVRFRYDRLRSVAGRVQTVVGDIATQGERMQALLSWRDPRATVLFSIACVLAAVIAYTIPMKVLVGLWGLYAMRPPRFRSRMPSPLMNFFRRLPSKADSLL >ORGLA02G0324200.1 pep chromosome:AGI1.1:2:28288402:28294727:-1 gene:ORGLA02G0324200 transcript:ORGLA02G0324200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGGGFFVLLMLGAVYTLHATALPSPAPHPSGSPDTRILGPRISPAFSPRAMSPESTGGPVHPPSHKHHRRPYHPHSPSPSPLPQGCTDNCSDPMTTTPIGSPCGCVLPICVIVDLDVAPYLLFMRIAELEVEVAAGTFLKQSQVKIMAAIPSIQDDQKTRVTIYLVPLREQFDGYTASLISDRFWNNKIQINSSIFGDYEVINVTYPGLRSPPPSLPETSHGSDPTGTGEDPITADVHHGKNKKLDSWIIVVVAGSSITLIAACIGLGVLLLKWYKLRQLQEAVSPATTPAXXXXXXGRSTLSVSRVSSASASMLSTVATCTTSVKTFSLSQLEKATDGFDSKRVLGQGGFGRVYHGTMDGGDEIAVKLLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICIEHNKRCLVYELIRNGSVESHLHGADKAKGMLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKGSNILLEEDFTPKVTDFGLAREATNGIQPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVCMSDTNGPQNLVTWARPLLCHKEGLERLIDPSLNGNFNFDDVAKVASIASMCVHNDPSQRPFMGEVVQALKLIYNDAEAACDDSYSHRDSSCDQYDDYHGALALDSGSGSWWNRSSNPSGFFDNRNPLPVITMEYSSGRIEGARDPRFALSTGGHAQSPALQNRSGPIRMKKKLASFYRSRGSFSEHGQLPRH >ORGLA02G0324100.1 pep chromosome:AGI1.1:2:28285004:28286999:-1 gene:ORGLA02G0324100 transcript:ORGLA02G0324100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT5G38890) TAIR;Acc:AT5G38890] MAATAMDHDGGEVVTPGELLGNSSLLAGLGAYADGRCVRASITGHRRLVPPPPGSTDQRSTVEVVGHKAHGAVPQPGSVVIARVTKVMARMASADIMCVDAKAVKEKFTGMIRQQDVRATEIDKVDMFQSYRPGDIVRALVLSLGDARAYYLSTAQNELGVVSAQSITGGTLVPISWTEMQCELTGQIEQRKVAKVE >ORGLA02G0324000.1 pep chromosome:AGI1.1:2:28280781:28284138:1 gene:ORGLA02G0324000 transcript:ORGLA02G0324000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein [Source:Projected from Arabidopsis thaliana (AT4G17740) TAIR;Acc:AT4G17740] MSLCCKATRRSSGGLTTGHPISALPRAIKRILSSYPSLLTSLGGNLARGYKAAKPFLSIGFGRVVLGMVLVMSVSAATYTAPSSSALTEENLLFLEAWRAVDRAYYDKSFNGQSWFRYRENALRNEPMNTREETFSLLEHNCISDAAIKKMLSTLDDPFTRFLEPEKFKSLRCYLSKLFPYIFARGPEGSSVDLDIRSGSNTRHVVLKRQTVTLNPVRSRMCEIPGAKDNSKVGYIKLTTFNQNAAGSVKEALQKLRENNVKSFVLDLRNNSGGLFPEGIEIAKIWMDKGVIVYICDSQGVRDIYEADGISTVAASEPLVVLVNKGTASASEILAGALKDNKRAVIYGEPTYGKGKIQSVFALSDGSGLAVTVARYETPAHTDIDKVGVIPDRPLPASFPTDEDGFCSCLRDSNSACNLNAAQLFTR >ORGLA02G0323900.1 pep chromosome:AGI1.1:2:28277454:28279603:-1 gene:ORGLA02G0323900 transcript:ORGLA02G0323900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGETSTSDSLVLATGAAQGPKRKPPPKRFVHTPIPPSILSDPTLAAAATGLLPAAYNFELPKTAHRIRSSGARRAALQLPEGLLLFSLPLSHLLAPFLEPDPSNDVLILADPTYGACCLADRPAKALAADVLVHYGHSCLVPVTSSLLPVLYVFVEIRVDAQRLADAVRAAFPDPADAPRLAIAGTVQFISAVHAAREILSHDGYQGIVVPQAKPLSAGEVLGCTAPALKRSEGVGAVVFVADGRFHLEAFMIANPGVKAYRFDPFLGVLVLEEYDHVGMKQARKEAVLAARKAKSWGVILGTLGRQGSVKVLDRVVEHLEEKGLEHTVVLMSELSPARMELFGDSVDAWVQIACPRLSIDWGEGFKKPMLTTFEFDVALGYVPGWWEKGSRECGSGDATGCCSGSGTSTDCGCSNGGCADKDFGGEYPMDYYSQDGGDWNSCYMKKKPSTGERKLRVRIGSKVQVEDKQQS >ORGLA02G0323800.1 pep chromosome:AGI1.1:2:28273832:28276343:1 gene:ORGLA02G0323800 transcript:ORGLA02G0323800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLRRPLLAAAAAASSTSSSFRPSRFHLRRCRYPPPVFAVSSDSPKPVTSSSTGGDNPDEEPVLPLLQELADCLVLPPKFLSQLPRDLRLDLNDAAFDLSNGPVLDECGQEVGDLLLNLAKAWEMADTSTSNNLVKQLPSMEPYLTGSAKSAFGKRLMSAGRRFTSMGQYGKGELKKIAETMSKTGKLLSKRPVVQSEVEAMKVKRKLKFLELEFELTAEKANIGAAVGLVFGFLSWQLARGIQNIPDGSMQYANGNALQMAKSLKVSLLVLGYTSTALSVFASIGLLLLAQQINSDDKTE >ORGLA02G0323700.1 pep chromosome:AGI1.1:2:28272758:28273237:1 gene:ORGLA02G0323700 transcript:ORGLA02G0323700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of Synechocystis YCF37 [Source:Projected from Arabidopsis thaliana (AT2G23670) TAIR;Acc:AT2G23670] MAVSTTASNLVLRAAPSTTTTRRRRVAASAVRFDRRSAALLLLSAAAGAAPTVASPSPANAAGIGLFGIRKKLERAEEAAAEAVREVEEAAVEAAEVGGEAVKAAEKEAAEVAGEGVQLVAGAELAGDGLVQAGAVAATEALGVVVGLSVVNGILRPES >ORGLA02G0323600.1 pep chromosome:AGI1.1:2:28269861:28271731:1 gene:ORGLA02G0323600 transcript:ORGLA02G0323600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT1G60000) TAIR;Acc:AT1G60000] MANSSCLSTSPAALRLARPKLPDSAMIMQLQLQLQHAPLFPRPPAARAHHHHHHQRRLLLAVSDHVAAKPVAVPVGRMRMRAATAMVSQEEAAATAVEEQQEEEVEEEQLQEEDGAAVQEEEQGGVLEGSSGCGEAEAAGITTKLYFGNLPYNCDSAQLAGIVQDYATPEMVEVLYDRATGRSRGFAFVTMSTIEDCEQVIKNLDGSLYSGRTMRVNFADKPKPKLPLYPETEHKLFVGNLSWTVTSEMLTEMFQKCGNVVGARVLYDGETGRSRGYGFVCYSTKEEMDEALSSLNGTELEGREIRVNLALGKK >ORGLA02G0323500.1 pep chromosome:AGI1.1:2:28268032:28268889:1 gene:ORGLA02G0323500 transcript:ORGLA02G0323500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGRHRDDCSASPPPSPSPPPSTLLQLLEVTVISAQDLHRRLGRRVRAAYAVAWADAAHKLRTGVDLAGGADPTWNDRFLFRVDEAFLRSDTAAVTVEVRAPRRFGGDAVLGVTRIVVSTFVGSASSSARGTTGRQVAALQLRRPRSLRPQGIVNVAVAVLDATDARAVPLCSSPDSPDAFSVKDLLVRRPGSLARIVEVSETEEADDEPPPAAAAVVEHSGAMDTRGSAVEQRKLELLLEKWKADLSPDQQKEKANSRRSSGPRRHRRRSSCFGGGSAEWER >ORGLA02G0323400.1 pep chromosome:AGI1.1:2:28265664:28267169:1 gene:ORGLA02G0323400 transcript:ORGLA02G0323400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37 [Source:UniProtKB/TrEMBL;Acc:I1P5H8] MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYLRHVPKRFKSNFREGTEAAPRKKGAAVSN >ORGLA02G0323300.1 pep chromosome:AGI1.1:2:28263603:28265250:-1 gene:ORGLA02G0323300 transcript:ORGLA02G0323300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide-nucleotide adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:I1P5H7] MEELELPLPTEKLAVDPGREGGKRGVAVLVATGSFNPPTYMHLRMFELAKDELQQRGYSVLGGYMSPVNDAYKKKGLLSAAHRICLCELACESSSFVMVDRWEAMQKGFQRTLTVLSRIRNALSKDGLADGGSPNVMLLCGSDLLESFSTPGVWIPDQVRTICKDFGVICIRREGKDVEKIISSSEILNECRDNIISVDEIVPNQISSSRVRECIKKCLSIKYLVCDEVIQYIGEHKLYKEADGSDTRK >ORGLA02G0323200.1 pep chromosome:AGI1.1:2:28261258:28262742:1 gene:ORGLA02G0323200 transcript:ORGLA02G0323200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein [Source:Projected from Arabidopsis thaliana (AT5G44000) TAIR;Acc:AT5G44000] MPMWSQPPPPPSSLQLRRPPPPLPHRPRRLRSRLSPIAASQDPLTALSRLLWGRALPPSQLVLAVRHGWTAAWGLLMRQLAPSDPATGAFTRTPSRFPAVVGTPSARLHLYVGLPCPWAHRALLVRALLGLERRLPLSVAVPGDDGAWSFTPDSPDALYGKRKLREVYASARRGGFEGRASVPLLWDAERREVVCNESIEIAKFLCDLAAADGSAGGLDLWPPELRQDIDRWYSFIYPSVNNGVYRCGFAQSQEAYDAAAGELFAALDRLEDHLSGSRYLCGDTLTLADVCLFTTLVRFDLVYHSLFRCTRRKLVEYASLHAYTRDIYQMPGVAGTCDMAAIAEGYFGALFPLNPGGILPLVPASCSPEALLEPHGREALSSSAAADAGGGGNGRQLEATSASN >ORGLA02G0323100.1 pep chromosome:AGI1.1:2:28259175:28260598:1 gene:ORGLA02G0323100 transcript:ORGLA02G0323100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLTAGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >ORGLA02G0323000.1 pep chromosome:AGI1.1:2:28255264:28258178:-1 gene:ORGLA02G0323000 transcript:ORGLA02G0323000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGWAHETVAMEELLGLVRGFVDVLVLAGGRTSSGAAATWRSGDVKKALQWALFFEEVFKNLRDDGQYDESASELDAALVQLASSPNFPKGIEDIQSKTLAMARELVVKHFLKTTNAENLGAVLQAVVEMDMDGISASGECNVCQEYVQSILDMNLPSLMRTKNARDVGHSTSSDEFCEESLFTGNSQILLKELQKKLDSRSCISLAERELNTLLKSMKKDSFDSAGSTLCTSATAQKTQIIDKFILWKQWKAKCLSYLLDERTIRIMSGTNMIFNAPKEQWMGLFEPLKVSADSSQSGIVEVMELCLLGLVARQWNSLIESIMSHTFSSFPISKQYADLHQLLQGTTQDECQDKLLGFKEMDICEYARQSLESEPYILWLLPPVLTAAAMPPRSSLFKIYLVEIDKQLGEAASKDRKCNCGGDGIDQHQNCEISERIQCLYTFHVQRTQLTIQ >ORGLA02G0322900.1 pep chromosome:AGI1.1:2:28249115:28250301:1 gene:ORGLA02G0322900 transcript:ORGLA02G0322900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGDGAAAAAACPAYPWPNDGAQRGRKVFMQSDCAACHTSLPYAGLSDDGARARAAAVEPKAAEIVVVEEARPPAAETVNGGANSPDLTLITKQGLRGNLYATAAPRMLAGAAAACQELKKRAMAGPVWL >ORGLA02G0322800.1 pep chromosome:AGI1.1:2:28247836:28248461:1 gene:ORGLA02G0322800 transcript:ORGLA02G0322800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGDAAAAACPAYPWPSDGAQRGRKVFMQSDCTACHGMFSSNAGLISDDDAAWEPKVAEIVVVEEAHQPVAAAATLRGGAYYPAPDLTFIAKGLRGNNLYSGGGASEAARMLADAAAACQELKKRALASPVWL >ORGLA02G0322700.1 pep chromosome:AGI1.1:2:28237073:28240974:-1 gene:ORGLA02G0322700 transcript:ORGLA02G0322700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGPLTQWPWHNLGNYKYALVAPSAAYSTYRFVTASSAAERDLLNFMVFPMLLLRLLYGQLWITVSRHQTARSKHKIVNKSLDFEQIDRERNWDDQIILTALVFYLVSATMPQAHVAPWWSTKGMVVTAVLHAGPVEFLYYWLHRALHHHWLYARYHSHHHASIVTEPITSVIHPFAEEVVYFVLLAIPILSTVATGTVSVVTANGYLVYIDFMNYLGHCNFELVPKCLFHVFPPLKYLLYTPSFHSLHHTQFRTNYSLFMPVYDYIYGTTDKSSDELYERTLQGRDEAAWRPDVVHLTHLTAPESVFHNRLGFAAVASNPLGAAASGHLLRAASAVASPLLSLFASTFRSEANRLDKLNIETWVIPRFTSHYTSKSDGYKVSRLIEKAVSDAEASGARVLTLGLLNQGYDLNRNGELYVVRKPSLKTKIVDGTSLAVAAVLNMIPQDTKDVLLLGNANKISLVLTLSLCKREIQVRMVNKELYECLKQQLQPEMQEHLVLSCSYSSKVWLVGDGVTDEEQMKAQKGSHFVPYSQFPPNKARNDCVYHCTPALLVPESFENLHVCENWLPRRVMSAWRAAGIVHALEKWDGHECGGRVTGVQKAWSAALARGFRPYDYHHHPGITHDGRGGL >ORGLA02G0322600.1 pep chromosome:AGI1.1:2:28230344:28235601:-1 gene:ORGLA02G0322600 transcript:ORGLA02G0322600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid sterol acyl transferase 1 [Source:Projected from Arabidopsis thaliana (AT1G04010) TAIR;Acc:AT1G04010] MPRRRLRRGDLACSVAVAVAAAAVAVAAVGAVGGGDGGADFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTAKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWLTFETALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIRWLDEHIHAYFAVGAPLLGSTEAVKAALSGATFGLPVSEGTARLMFNAFGSSLWLMPFSEYCKADNIYWKHFFEGKGGCHHRQQCDEMEYKSEYSGWPTNLVSIEVPTVRDTEAYPSIMDTTENITSSMECGKPTLLSFSAREVSDGTLFKTIKDWDPQSIGLIHQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGLDSKTEVGYYFAPSGKPYPDNWIITDIIYEFEGSLLSRQNKIVTQFILSRSGNSVTGKPNNSSGDGTVSYNSLSWCKNWLGPKVNITRAPQAEHDGSDLQTKMNVDHHVGQGILPNMTRTPHVKYITYFEDAESIPGWRTAVWELDKANHRNIVRMPVLMRELWLEMWHDMHPDAKSKFVTKGTLLVMS >ORGLA02G0322500.1 pep chromosome:AGI1.1:2:28226830:28227781:-1 gene:ORGLA02G0322500 transcript:ORGLA02G0322500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGYKVVLNVYDLSNGLARQLSTSFLGKPIEAIWHTGVVVYGNEYFFGGGIQSLAAGRTPYGRPVRVVEMGETHIPREVFEDYLRDISPRYTAETYRLLSHNCNNFSNEVAQFLVGAGIPDYILNLPAEVMSSPMGPLIMPMIQNLESTLRTNAAPQATQFVPTSVPPPPPPQNKPGEGSSSSKQEDKAAKAKQGSAADPLGGARGKVQEEVMREFAAIMASGTLRASEAAALAMRRVMERHGDATMQQS >ORGLA02G0322400.1 pep chromosome:AGI1.1:2:28224357:28224533:-1 gene:ORGLA02G0322400 transcript:ORGLA02G0322400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFSLVCNEGRSKEFKEDPATNNKHCVDICIILNKQLLGPLREKKKSHYGKIQRCVQ >ORGLA02G0322300.1 pep chromosome:AGI1.1:2:28209704:28219126:-1 gene:ORGLA02G0322300 transcript:ORGLA02G0322300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQSTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQMQQLQLIQHRHAQLQRTNASHPSLNGPINTLNSDGILGHSTASVLAAKMYEERLKHPQSLDSEGSQLLDASRMALLKSAATNHAGQLVPGTPGNVSTTLQQIQARNQQTMDIKSEGNMGVAQRSLPMDPSSLYGQGIIQPKPGLGGAGLNQGVSGLPLKGWPLTGIDQMRPNLGAQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLSNSSNYGDLDPRRYTALTRGGLNGKDGQPAGTDGCISSPMQSSSPKVRSDQEYLIKVQQTSSQQPQEQQQQQSQQQQQQQSQQQQMQQSNRKRKQPTSSGAANSTGTGNTVGPSTNSPPSTPSTHTPGDGLGMPGNMRHVPKNLVMYGADGTGLASSSNQMDDLEPFGDVGSLEDNVESFLANDDGDARDIFAALKRSPAEPNPAASKGFTFNEVNCLRTNNSKVVCCHFSSDGKILASAGHEKKAVLWNMDTFQSQYTSEEHSLIITDVRFRPNSSQLATSSFDRTIKLWNAADPGFCLHTFVGHNVQVTSLDFHPKKTDLLCSCDGNGEIRYWNLTQLSCMRAMKGGTAQVRFQPNTGQFLAAAAETMVAIFDVETHSKKYTLQGHNTDVQSVCWDSSGEYLASVSQDLVKVWSISSGECIHEVSSNGNKFHSCVFHPSYANLLVIGGYQSLELWNMVKNQSMTIQAHEGLIAALAQSPVNGMVASASHDNSVKLWK >ORGLA02G0322200.1 pep chromosome:AGI1.1:2:28208530:28208894:-1 gene:ORGLA02G0322200 transcript:ORGLA02G0322200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRAPFGLALVAVAAALLLLTVVILDDPKCEVMEKCDPKGCTDYCIGIGLGQNNGFCTFHDLQFYCCCPID >ORGLA02G0322100.1 pep chromosome:AGI1.1:2:28202090:28203481:1 gene:ORGLA02G0322100 transcript:ORGLA02G0322100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1P5G5] MDLLPLVTLLLLAHAAAWVAWQAAARRRRATCYLLDYACHKPSDDRKVTTELAGAIIERNKRLGLPEYRFLLKVIVNSGIGEHTYSPRNVLDAREDCPTLRDALDEMDDFFDDAVAAVLARAAVSPRDVDLLVINVGSFSPSPSLADRVVRRFGLRDDVMAYNLSGMGCSAGLVSVDLARNVMLTRPRTMALVLTSESCAPNWYTGTDKSMMLGNCLFRCGGAAALLTNDPAFRSRAKMELRCLVRAHIGAHDDAHAAAVHREDADGRLGVSLSKALPKAAVRAFTENLQRLAPRILPAGELARFAARLLLRKLLRRKAAGGAAAKINFKTGVDHFCLHPGGTAVIEAVRKSLGLDSYDVEPARMTLHRWGNTSASSLWYVLSYMEAKRRLNAGDRVLMVTFGSGFKCNSSYWVVTKDLADAGAWEDCIHDYPPANLVNPYMEKFGWVNDLPSQGQGGAFPFF >ORGLA02G0322000.1 pep chromosome:AGI1.1:2:28182778:28188234:1 gene:ORGLA02G0322000 transcript:ORGLA02G0322000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutathione-disulfide reductase [Source:Projected from Arabidopsis thaliana (AT3G24170) TAIR;Acc:AT3G24170] MARKMLKDEEVEVAVADGGSYDYDLFVIGAGSGGVRGSRTSASFGAKVAICELPFHPISSDWQGGHGGTCVIRGCVPKKILVYGSSFRGEFEDAKNFGWEINGDINFNWKKLLENKTQEIVRLNGVYQRILGNSGVTMIEGAGSLVDAHTVEVTKPDGSKQRYTAKHILIATGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWKGMGAHVDLFYRKELPLRGFDDEMRTVVASNLEGRGIRLHPGTNLSELSKTADGIKVVTDKGEEIIADVVLFATGRTPNSQRLNLEAAGVEVDNIGAIKVDDYSRTSVPNIWAVGDVTNRINLTPVALMEATCFSKTVFGGQPTKPDYRDVPCAVFSIPPLSVVGLSEQQALEETKSDVLVYTSSFNPMKNSISKRQEKTVMKLVVDSETDKVLGASMCGPDAPEIIQGMAVALKCGATKATFDSTVGIHPSAAEEFVTMRTLTRRVSPSSKPKTNL >ORGLA02G0321900.1 pep chromosome:AGI1.1:2:28178610:28180429:1 gene:ORGLA02G0321900 transcript:ORGLA02G0321900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEGLCEIARLPEELLSAAISRASPRDACHAAAVSPAFRAAADSDAVWASFLPRDLPDLADGELSPAPASKKELFLRLSDGPYLLSDRLMSMWLDRETGAKCYMLSARSLVIIWGDTPHYWRWIPLTDSRFAEGAELIDVCWLEIRGRIHSKMLSPNSTYAAYMVFKIADEFYGLDAPFQEASVSLGGRGSTKIVCVQSYDSEDEEVPENYWPMSIGPLLRRRARRRDRRLVLDEDVTVPQKRTDEWMELEMGEFINEEGEDGEVCFSLMETKGGNWKRGLIVQGIEIRLKKSG >ORGLA02G0321800.1 pep chromosome:AGI1.1:2:28171224:28174364:1 gene:ORGLA02G0321800 transcript:ORGLA02G0321800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEEAAAACEIARLPEELLVEVLSLTGPRDASRAAADSDAVWSRFLPRGLPRLARRELPRSPPPPPSRKAHFLRLSAGPLLLPRKLMSMWLDREKGAKCYMLSARALQISWGDSPQYWSWIPLADSRFKEGAELLSVCWLEIRGKLPGKKLSQNTNYAAYLVYKIADRSYGLDFPFQEASVSIGGSITARQVKDIENPQKRADGWMELKLGELYNEEGDDGEVCISFMETKGGHWKSGLVVQGIEIRPKKSPPLNSLACSHEKPSCSTLTTLQSCMEEIFLSDGLTSMWLDRETGFKFYMLSARALQIVNLTHSWRWISLTGSSRFSEVVEFLKGYRVEVCGKIPCKMLSGNSNYAAYIVFVVAEDSCGLASVWVATVGVGGRQSTRQVCLDSSNRNDYYYEGEIEVPQDGSVILPQERADGWMELELGEFYNQEGNNQGEVCFSLVKPKAGRWLSNGGLVIQGIEIRPKIS >ORGLA02G0321700.1 pep chromosome:AGI1.1:2:28167913:28169651:1 gene:ORGLA02G0321700 transcript:ORGLA02G0321700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEACDDCECEIARLPEELLSAAISLTAPRDAFRAAAVSRAFCAAADSDAVWASFLPRDLPDLADGELSPAPPSKKDLFLRLSAGHYHNKLLNLFKIAEEFYQLDTVDATVNLGGSKSSREVALTRSRRRPEEEISAVLFPRTRADGWMEVELGEFFNEEGEDGNVNIRIFGKGPNWKKGLIVLGIEIRIKKSGR >ORGLA02G0321600.1 pep chromosome:AGI1.1:2:28162902:28163342:1 gene:ORGLA02G0321600 transcript:ORGLA02G0321600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAWIDRLPQDVLQRVIPLETPRDACRAAAVSPAFRAVANSDVVWGKFQPDDSSLQLADGHVVRXGDRGQVLYALGQSAGDYMGRYTAL >ORGLA02G0321500.1 pep chromosome:AGI1.1:2:28158756:28161946:1 gene:ORGLA02G0321500 transcript:ORGLA02G0321500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAAAACEIARLPEELLVAALSLTSPRDACRAAAVCRDFRAAADSDAVWSRFLPRDLPRLADGELSPPPPSTKGLFLRLSAAPLLLPHELTSMWLEREKGRKCYMLSARALQITWGDTPRYWRWIPLTDSRFKEGAELLSVCWLEIHGKILSKMLSRNTNYAAYLVYRIADRSYGLDFPFQEASVSIGGSTTTRQVGSVERRLKRRCSHALVLAEDIEHPQKRSDGWMELKLGELYNEEGDDGEVCISFRETEGHWKRGLVVQGIEIRPKKTLTSNCLACSHEKPSYSLLTTSRSSKEEIFLTDGLTSMWLDMETGFKCYMLSARALQLANSTDTWRLISLTGASRLFNYAPTLFAELSFIKFTKLLYSKSLMLLKGIPWFSEVIELPACYELVICGKIPCKMLSGNTNYAAYIVFVVVEDSFGLATILDASVSVGGSLCTTRQVCFDSTSSLSADEHFVEDNIEVPQDGSVILPQERDDGWMELEVG >ORGLA02G0321400.1 pep chromosome:AGI1.1:2:28154709:28156710:1 gene:ORGLA02G0321400 transcript:ORGLA02G0321400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEGAAAAEIYRLPEECVAYAISMTTPGDACHSSAVSPAFRAAADSDAVWDSFLPPDHAAILARADDGVAAAGECASKKDLFARLCGRPVLLDDATMSFGLDRRSGAKCVMLSARALSIAWGDDPSRWRWTPGLPGSRFPEVAELLDVCWLEITGKLQLSLLSPATTYAAYLVYSFADYTTGLECNIGMPTPMATVTVVSGAGGTTSRPPAAAAAATTTTTTEQHKICLQHMGEEETIMHRQELVIRLRKAFGRTVRFDPDMDIRCPRPRDGGGGGCGWREVELGEFAVPAAGGEDGVVEVSFKEETGRWKTGLIVQGIELRPKCTSKLIKLDS >ORGLA02G0321300.1 pep chromosome:AGI1.1:2:28145234:28152889:1 gene:ORGLA02G0321300 transcript:ORGLA02G0321300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSRGGGGGAAAGEDPEDLSRSPLQAVLLADSFTLKFRPITLERPKVLLPLVNVPMIEYTLSWLESAGVEECFVFCCAHAQQVKEHLGKAGWTGKPAAREMTVTAVESHDAISAGDALRVMYGRGVIHGDFVLISGDTISNMNLKDALQEHKDRRKKDPLAVMTMVIKHSKPSILTNQTRLGNDEIVMAIDPETKELLYYEDRADVSNLYVTIDKDILASNPTLQLRNNMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHEIRSSYAARIDNFRSYDTVSKDIIQRWTYPMVPDVLSFGDRQEIKLHRQGIYKASDVTLSHCAQIGANSVVGNGTSVGENCKVSNSVIGQGCNIGKNVLIHGSYIWDNVTIEDGCKVSNSLVCDGVHLGAGAIVEPGCILSFKVEVGKNVVVPAYSKVALLPQPSNEDSDEELEYADTNSGVTDSPPFSSMRSADHPTVSDDDDLEASETGTCGVVGYVWENVDAGIQEEWRQSIAPIPKDKLEELQHAASFDDDDDGSEDDFKNRPTVLDQDDDSDVSAVEDDDYSKFEKEVEETFQRALDGIHQDNLILEINALRLSYSLQHADCAGALFHSVMRSALVAAQSTNGNLLKTTAEALGKWKDLLRNYTKSVDEEMEILLKFEEMCQENTKEFSPLFSKILPYLYDKEVVSEDAILRWAEEKENADESDKVFVKQSEAFIQWLKEAEEEDEEEE >ORGLA02G0321200.1 pep chromosome:AGI1.1:2:28137296:28138704:1 gene:ORGLA02G0321200 transcript:ORGLA02G0321200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISKANDNNGDQKRQQQQPQQLVCVTGAGGFIGSWVVRELLLRGYRVRATVRDPADRKNAHLLALEGADERLSLRRADVLDFDGLLAVFAGCHGVFHVACPLSNRDPELMAVAVEGTRNVMKAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDETCWSDPEFCRQKDMYCYAKTMAEMAATEEAAKRGLELAVVVPSMTMGPMLQRALNLSSTHVANYLTGAKKSYPNAVAAYVDVRDVARAHALVYERHDARGRYLCIGAVLHRAQLLQMLMDLFPQYTIAAKCDDKGKPMVKPYEFSNQRLKDLGLEFTPLRKSLYDAVMCMQRNGHLPVVLP >ORGLA02G0321100.1 pep chromosome:AGI1.1:2:28133708:28135353:1 gene:ORGLA02G0321100 transcript:ORGLA02G0321100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNSMEANNGNNNGDDEKKQEQVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPRKNAHLLALEGAEERLTLCRADVLDFASLRAAFAGCHGVFHIASPVSKDPNLVPVAIEGTRNVMKAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDESCWSDPEFCQREDVQIYCYAKMMAEKTATEEASRRRLQLAVVVPCVTVGPILQPSVNFSCHHVVRYLTGAAATYPNAVAAYADVRDVARAHVLVYENHGARGRYLCIGTVIHRAELLRMLKELFPQYPVTSKCEDEGNQMVKPYKFSNQRLRDLGLEFTPLRKSLHEAIECLQRKGHLPVVTVAQQRACL >ORGLA02G0321000.1 pep chromosome:AGI1.1:2:28129345:28130778:1 gene:ORGLA02G0321000 transcript:ORGLA02G0321000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNSTEVAANDGSSNGGEKQQQQEQVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPSKNAHLLALEGADERLTLCRADVLDFASLRAAFAGCHGVFHVASPVSNDPNLVPTAVEGTRNVMNAAADMGVLRVVFTSSYGAVHMNPNRSPDAVLDESCWSDPEFCRQTDVYCYAKTMAEKAAEEEAAKRGVQLSVVLPCVTVGPILQPAINTSINHVVRYLTGAAPTYPNAVAAYVDVRDVARAHVLVYERHDARGRYLCIGAVLHRAHLLQMLKELFPQYPVTSKCKDDGNPMVEPYRFSNQRLKDLGLEFTPMRKCLCDAVVCMQQKGHLPLVGTVPKCDL >ORGLA02G0320900.1 pep chromosome:AGI1.1:2:28124913:28126325:1 gene:ORGLA02G0320900 transcript:ORGLA02G0320900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFEANNNNGEKQLVCVTGAGGFIGSWVVKELLIRGYHVRGTARDPADSKNAHLLELEGADQRLSLCRADVLDAASLRAAFSGCHGVFHVASPVSNDPDLVPVAVEGTRNVINAAADMGVRRVVFTSSYGAVHMNPSRSPDAVLDETCWSDYEFCKQTDNLYCCAKMMAEMTATEEAAKRGLELAVVVPSMTMGPMLQQTLNFSSNHVARYLMGTKKSYPNAVAAYVDVRDVARAHVLLYERPEARGRYLCIGTVLHRAELLRMLRELFPQYPATAKCEDDGKPMAKPYKFSNQRLKDLGLEFTPLRKSLHEAVLCMQQKGHLPLIYPVPKRAYL >ORGLA02G0320800.1 pep chromosome:AGI1.1:2:28114775:28117274:1 gene:ORGLA02G0320800 transcript:ORGLA02G0320800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIRHLHAGVAISAVVLLLGMVLAPATPASAQPLPGCPDKCGNISIPYPFGIGAGCARDDGFNLDCSDSVSPPRLTLQFEQPQQMVSLSLADGEARVLLKPESKCYQPRRSRSSDVPTSYTSINGSSTYRYSPEKNRLVALGCPNLGYIVDGSGNYVSGCMSACRRPSPSSPGNDTVPRLPGRCTGERCCQSIIPPTLNFYVPRMFNFENGTAAADDELRGGTTPCRYVFLVEHTWIDTVYDDRKDFNRSNGHFEAVPVVLDWAIRDVYNCSAAMRNKTAYACRSAHSVCFNTSDRQGYRCNCSEGYEGNPYLDGGCTDINECLRPEKYGCYGDCTNMLGSHTCVCPPGTSGNPTDRNGCHPKDNFTLALKVVTGVCVGVFLLVFMCFWLYLGLQKRKLIRTKQKFFEHNGGVILQQQMHSAGGTHGFRIFSTEELKRATHNFASDRVLGCGGHGVVYKGVLEDKTVVAIKKSKMMEEAETKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKEPKADIPLDTRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKFNAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVMLELLTRKKALYLDGPEENRSLVSCFTTAMKVGRHQELLDSQVRNEMSAEMLEEITYLLMRCISMNGEERPTMKEVAERLEMLRRYQQHPWAEAEDNAEEIESLLGREQQNANYQLEQQNVLYLKEGRNYTFSM >ORGLA02G0320700.1 pep chromosome:AGI1.1:2:28109691:28110677:1 gene:ORGLA02G0320700 transcript:ORGLA02G0320700.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGHQQLRRVLGRGGHGIVYKGVLEDNMVVATKKSKMMEEAQTKEFAREIFILSQINHMNVVELLGCCLEVEVAMLVYEFVSSGTLYHYIHSKEPTANIALDTRLRIAAESAEALAYMHSSASPPILHGDVKTANILLDDKLNAKVSDFGTSKLAPTDEAAIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLEGPEEDRSLVSRFTTAMKAGRHQELMDSQVRKEMNDEMATEIVDLLMRCLSMNGEERPTMKEVAERLEMLRRYQQHPWSEAKGNAEENQSLLGIEHQNPNYQFRQHDVLDLEEGSTYTFSL >ORGLA02G0320600.1 pep chromosome:AGI1.1:2:28081027:28081764:-1 gene:ORGLA02G0320600 transcript:ORGLA02G0320600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHHHHHHHHHHHMMSGGGQDPAAGDGGATQDSFFLGPAAAAMFSGAGSSSSGAGTSAGGGGGGPSPSSSSPSLSRYESQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLKYMDQFGKTKVHTPVCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGTPEMNPFGARAVRLYLREVRETQARARGISYEKKKRKKPSSAGAGAGPSSEGSPPPPGGSASGGGDTSASPQFIIP >ORGLA02G0320500.1 pep chromosome:AGI1.1:2:28065679:28068160:1 gene:ORGLA02G0320500 transcript:ORGLA02G0320500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIELTLPPGFRFYPSDEELVCHYLHNKVVNQHRFAGVGGGAAAAAAAAGGTMVEVDLHTHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATKSGYWKATGKDRVIHNPKLHAAAHRRASIVGMRKTLVFYRGRAPNGVKTNWVMHEFRMENPHTPPKEDWVLCRVFYKKKAETETESSYSMENEQEAVIAMARSAAAIKAGGCYSNSSSSHDPAAAGHHSPPPFPASLAACSSSHHYSSHPPPPPDHHHHHHMPVTGGGGGSLNEFIPTTSMALYSSIFDFSQHLDGGAVAASASAAGSRVDGGEQCGLMELGLEEHYNYNGLMPM >ORGLA02G0320400.1 pep chromosome:AGI1.1:2:28051254:28052072:1 gene:ORGLA02G0320400 transcript:ORGLA02G0320400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLKGLRYISQIFDPSVKEPEMQIGNPTDVKHVAHIGWDNASVTAPSWVIAAALLLPPDRAISHVSNCSDHWSQMNEFKPTAGGTEGGEAEENQGGGGGRADQAERPRRAGRGKERRDGGSEGSRRPPKTEVGENNCEGEAAAGRRRSSGGGRRGRRGAPRRGGPSRRRXAAAAAPPRRRGRPRRGMTTATSAS >ORGLA02G0320300.1 pep chromosome:AGI1.1:2:28045658:28049685:1 gene:ORGLA02G0320300 transcript:ORGLA02G0320300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMMFMACCYNDPDMLIDPDTVYPIRPECREDAAKTRFKPRPGLTLSPRRWKLLHNEEGVLDIAGMIKRVQRGGTHPNIKGEVWEFLLGCYDPKSNTEQKSQLRQQRRLEYEKLKTKCREMDTTVGSGRVITMPVITEDGQPIQDPNSVDAEQQASDTPLPKEVIQWKLTLHQIGLDVNRTDRQLVYYESQENLARLWDILAVYSWVDKDIGYCQGMSDLCSPMSILLEHEADAFWCFERLMRRVRGNFVSSSTSIGVRSQLTILSSVMKAVDPKLHEHLENLDGGEYLFAFRMLMVLFRREFSFVDTMYLWELMWSMEYNPGLFSMLESDNSTSQANTKDENALKQCGKFEQKNLQAAKKEEQIPLSVFIVASVIEARNKQILTDAKGLDDVVKILNDITGSLDAKKACRGALKIHERYLTTVKA >ORGLA02G0320200.1 pep chromosome:AGI1.1:2:28040856:28044572:1 gene:ORGLA02G0320200 transcript:ORGLA02G0320200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endoribonucleases [Source:Projected from Arabidopsis thaliana (AT3G04480) TAIR;Acc:AT3G04480] MEVVALVSGGKDSCFAMMRCLDYGHKIVALANLIPEDDAVDELDSYMYQTVGHQIVVSYAKCMGLPLFRRRIRGSSREQGLKYNVTTGDEVEDMFALLSEVKRQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLDEMIRRGIVAIIVKVAAMGLKPSAHLGKELAELKCHLLQLNESYGINVCGEGGEYETLTLDCPLFRNARIVLDDFEVILHSPDSIAPVGILHPLKFHLEHKPNSFGTVGDSATAQENSSYLYEVDGAISHSDVEKKQETLSPVTTVDACTNIDLCISKTGKKLFSIGCWIQDPCGTSEGECLKTDLVAVLSRIENQLKEEGLGWMNVLYVHLFISSMKEFGLANEVYVSFITEQKCPLGVPSRSTVELPLVQVGLGHAYVEVLVTKEQVKRVLHVQSISCWAPSCIGPYSQATLHGEILYMAGQLGLDPPTMKLCPGGPTAELEFALRNSEAVANAFGCSIFSSAIHFLVYCSAHLTSSEKEQVEHTLRSSYITSLDCSNTGSYPTILYVFASDLPKGAYVEIKPILYVPSPTNDDGVPTREQEAGGSLPASSEAFSAWSAQYSDLDDSCCQVHTIGGKICSAVVSVTNDIALKICSTTEQLYHSEEHLKALARFCAFQLAKILIDNGFSWDNLTMLRFYYSVEHPVTADVMSRVFSEAFAELEEGGVGSCTPDGVPIFNIVPVSASGCFTSLSDIISCELLASKV >ORGLA02G0320100.1 pep chromosome:AGI1.1:2:28036552:28039936:1 gene:ORGLA02G0320100 transcript:ORGLA02G0320100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGKKIGSGSFGELFLAVNVQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSKPLMRPSERTSGAAGPSMEKMEKAPGEASGRRNPTGSLNQSDNYAQRPSMSLKEIMHSTDRSGERTVERPRTSSRTGSASRRAVASSSRPGSSVEPMEQQYSRTSRLFSSSGSRPSSTQRVNPSVGETRATSLSRAAVARGSRDEPLHRSLELLSLGGGKRK >ORGLA02G0320000.1 pep chromosome:AGI1.1:2:28028245:28031019:1 gene:ORGLA02G0320000 transcript:ORGLA02G0320000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDEGWRRSGIEVSALQFGYDGQPPLFARFNLRIAPGSRCLLIGANGSGKTTLLKILAGKHMVGGRDVVRVLNGSAFHDTQLVCNGDLSYLGGSWSRAIGSAGDVPLQGDFSAEHMIFGVDGVDPVRREKLVDLLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLESWATDIAYIQEGELRKSAKYSDVEELKSAKNLLSVVESWLRSETKLPKKEHPRPETQPRRSSPFDASPFRSSRHMAYYR >ORGLA02G0319900.1 pep chromosome:AGI1.1:2:28022664:28026772:1 gene:ORGLA02G0319900 transcript:ORGLA02G0319900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14330) TAIR;Acc:AT4G14330] MAPPTPSPRPGPPPTPQAAMTTPLKTPASKHRLHFPAMTPRNGGGGGAAAGGTEHPVEVIGRIRNLAAGAGGASALEIAGGGTAVRVRGDAGGCRDFTLDGVSVSEEEDLEGFYRRFVRSRIEGVRVGAKCTVMVYGPTGSGKSHTMFGCAKQPGIVYRALRDILEGGGGGGGGVSGGGGEGDGRGEDDAGFGMGLFVQVAVLEIYNEEIYDLLVGSGANAKGNAPKARLEVMGKKAKNATYISGNEAGKISREVAKVEKRRIVKSTLCNERSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQTGFEAKMQTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTVSTLEYGAKAKCIIRAAHAATPRDKMSSEESSTMLNSRIVAMNQFIYNLQKENKLREKERNEAQSVLRKKEEELAQLRAKLKLIEGQGAAAKEEEINSKVMEKTQSLRTELMKMEEKMLRQQQELLALQQRLKEVEREKPVQQDIIGGRLLARLSEMSARADQSMSMDMSIDFDMGDQPAAQDVKVIKEDTRKQGQIWSQANTAGSGTSAVEQEDDVVRLSGYPEKVVLSTVFEEGDEEEDKDSGVEEEVCKEVVEESYVMQQPLAEPEDPATRNNRIQNIFRLCGNHRELAKKVQSPAKKAFGDENNEPAKQTFGDENKQQPAKRVFGDENKDPSAWGAIEPPMCDVRVTDSPVSSQLSPIVCQVVDDAKLPVSEQLKSCNALEAADENKENNASGQDGLLEVYIKWESGHLIKGLKLLSNSCLSDLRKLLEAHFEEAGSKQQQQFTFLLLGDPSGAPVSIEKEAGVPISKLPSCNNQPNSYLACLRAVKKQPATEQMPFSPLESKLNSALNDVHLAALSPKVNPMSPNYIRELRA >ORGLA02G0319800.1 pep chromosome:AGI1.1:2:28013119:28016571:-1 gene:ORGLA02G0319800 transcript:ORGLA02G0319800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G04470) TAIR;Acc:AT3G04470] MEDASKYAHSPAHLAVVRRDHASLRRLVAGLPRLPRAGEVATEEESIAGEAVADAVSAAIDRRDVPRRETPLHLAVRLRDPVAADILMSAGADWSLQNADGWSALQEAVCTREDAIATIIARHYQPLAWAKWCRRLPRVLASINRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGAALRADMTLAGFDGFRIQRSDQTFLFLGDGARPEDAGGKELHPGSLIVLAHKDKEITDALEGAGVQPTEAEVAHEVALMSKTNMYRPGIDVTQAELVPHLNWRRQERTEAVGHWKAKVYDMLNVLVTVKSRRVPGAMTDEELFAMEGEEKNGRGTELDAELDEVLTAEERKQLDSALRMGNQEEEFEERCEEGDGGADHLDANGVAKDKKGWFGWGGKKGTKNDEKPSKANQGSKDESGDLGKGKEKNSSKKKKGASSGDSTKHESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPPGTFPVKIAIPIVPTIRVIVTFTKFEELQPLDEFATPPSSPTQFQDAKGKESEGSGSWYSWVRGGRGAQSSDSGDSRNWKDEVDPFQIPSDYTWVDANEKKRRMKAKKAKNRRGSTRKQSSKSTSSEGGHHPMMDGFEE >ORGLA02G0319700.1 pep chromosome:AGI1.1:2:28008174:28011621:-1 gene:ORGLA02G0319700 transcript:ORGLA02G0319700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14310) TAIR;Acc:AT4G14310] MASRIKDRGKAAPAAAGSRPLTPKAFSASSSARRTPAAAAAGKENSASKPSKPTAAVRWSTSSIPRASRIPSSVESSKLVSTLRASSVMPGRASVGKEMEAEAGLRRSVSGGIRAAAPERARRSVGGVGGRVPDARRASSVPRADEIGRRRDGFDARAKASDVISGRREGFDGKAKQMHAVDRKRESFDAKAKQISGKRGSFDVNVTKQCDEIKGKREGFGTNAKKQCDGIDGDNEGFDVKARLGDEINKKKDGFDTKLVKEIHPKKVISSVTGSVEASSKPAPFPATEKDGDGGNNAVIPVFTVHVVDVDDVPCRAREEQKNTDEPKKQEEKSKLADKIRVFEKASASGGSVKSVSSLNKYPSKLHEKLAALEGRVQKIATDIKKTKEMLDENNPDEPKQILSNIQKEITAIEKAISHVKDDNKIQLGTADNSECEISYAKKAAECAVTKPSDPKHAAKGMNTDELEARFFPHHKLLRDRKSSSATQQESSMAVKKDCNTDMELSSLEPQEDENSIAMEFLASLDGEESGFFKNRRAKNLEKTIICEAADVSSKTSGQGSSNNPVGPNHDKEIELLATEKLEEFDEQENKSSLILQEETEEFSSDQLLGIGNKSSTGGWFVSEGEAVLLAHGDGTCSYYDIANREFKSEYKPPSVISNNMWGDCWLIRAPGVDGCSGRYVVAASAGNALDPGFCSWDYYSREAKAFHIEEISHASSVPSSRTVLGPLPNVGSSRSSSAISTVERQQWWYRPCGPLLLSAASKQKMVTAYDIRDGDVVMKWEVSNPVQGMEYSSPLQWRSRGKVVIAGTESIGLWDVNSLNPQPLLSVASAGKKLYCLHVNNTDAELGGGVRQRVSSCEVEGNDGVFSTQESVNVFDFRVPAGIGLKMARHGGTASSIFSRGDSVFIGSTEGRLQIKGGLKSRVQQYSLRKGKLVATYELPDFNAHFHHSSITQVWGNSNLVLAACGMGLFAFDAFKEDGQQTYSFDRGATLGVREAIGSDDLYCPTFDYSSSRVLLVSRDRPAQWRYLS >ORGLA02G0319600.1 pep chromosome:AGI1.1:2:28002798:28007556:1 gene:ORGLA02G0319600 transcript:ORGLA02G0319600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate 1 [Source:Projected from Arabidopsis thaliana (AT3G23430) TAIR;Acc:AT3G23430] MVKFSREYEASIIPEWKAAFVDYKRLKKLIKRIKVTRRDDSFAAANAAAAADHLLPPPPAEKEAGGYGFSILDPVRAIAARFSAGQQPSASEDEECPDRGELVRSTDKHEREFMERADEELEKVNAFYTGQEAELLARGDALLEQLRILADVKRILADHAAARRARGLARSRSMPPPPPSSSPPSSVHGSSGRYLLSGLSSPQSMSDGSLELQQAQVSEGAAVADEVMAALERNGVSFVGLAGKKDGKTKDGSGKGRGGGGGGGGGVLQLPATVRIDIPATSPGRAALKVWEELVNVLRKDGADPAAAFVHRKKIQHAEKNIRDAFMALYRGLELLKKFSSLNVKAFTKILKKFVKVSEQQRATDLFSEKVKRSPFSSSDKVLQLADEVECIFMKHFTGNDRKVAMKYLKPQQPRNTHMITFLVGLFTGTFVSLFIIYAILAHVSGIFTSTGNSAYMEIVYHVFSMFALISLHIFLYGCNLFMWKNTRINHNFIFDFSSNTALTHRDAFLMSASIMCTVVAALVINLFLKNAGVAYANALPGALLLLSTGVLFCPFDIFYRSTRYCFMRVMRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFTACYFMAGSFRTHPYETCTSGQQYKHLAYVISFLPYFWRALQCLRRYLEEGHDINQLANAGKYVSAMVAAAVRFKYAATPTPFWVWMVIISSSGATIYQLYWDFVKDWGFLNPKSKNRWLRNELILKNKSIYYVSMMLNLALRLAWTESVMKIHIGKVESRLLDFSLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRELETD >ORGLA02G0319500.1 pep chromosome:AGI1.1:2:27989558:27992385:1 gene:ORGLA02G0319500 transcript:ORGLA02G0319500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGHNSSSKSLERLISRRALQMGSSAPCKTWALGFFCGVCIVYLFGVALPPIHILMIRSVHPPLRRAILLNSTSTEPGGATETDDLSVLQEKIEISTNSKDINEADKTHLYNAWSTLLDTTSDEIMKSSDVPRPPHLENCRLKWERNKKFDSYSDNGVFPPWTLWKGSLGLELFNQNYSDSEEWRQMFFRSNAKSDRAPYPPWIAGSDEENYPLTRQVQRDVWIHQHPPNCSDPSLRFLYADWERLPGFGIGAQLAGMSGLLAIAIKERRILVAGYYNRADHNGCKGLARSSWSCYFLPETSADCQKRALDLMQSKDSRENGIIKVKENYTSKQIWAGHIPRIWGRPWQYMQPTTEIDGRLVKNHRKMDRRWWIAQALRYLMRFQSEYMCRLLNVARHSAFGMQAAKMVLENVPDAPKPSISITESDIERLVWSEHKPYIPGPLISMHVRMGDKACEMEVVGFEKYMELAGRLRKRFPSLKNIWLSTEMQEVIDETKLYPKWNFYFTNVTRQDVNVSMAMYEASLGRETSTNYPLVNFIMATEADFFIGALGSTWCYLIDGMRNTAGKVMSGYLSVNKDRFW >ORGLA02G0319400.1 pep chromosome:AGI1.1:2:27979703:27980444:1 gene:ORGLA02G0319400 transcript:ORGLA02G0319400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEFHLRGRNLLVLAAGSRRRRPPAGTDVAAAGNDDDLTFASSSSSPRGGASDTTSITAQRNAQRPRSGSAAAGLLLSPIHRALAMQESACSEMGDDHVYAKARSRGGCFLSTAREEKQLRELCVIHRVSDSIITIHRWLCRRLSKTCP >ORGLA02G0319300.1 pep chromosome:AGI1.1:2:27970032:27971555:-1 gene:ORGLA02G0319300 transcript:ORGLA02G0319300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDSSPHSAAATDDAHHEGSEAAAAQAAPPPPAPPAKVRLMVSYGGRIQPRPHDHQLSYVNGETKILSLERPLRFADFAARLAGLAGSPGDFCVKYQLPGEDLDALVSVTNDEDLEHLVLEYDRLHLLRPAPGSGGGSSRGGSTPRLRVFLFPVQSPTPPPPPQPSGLLEPKQEQRQWFVDALNSVHQPPPPSPPQPKQESVSVQSPPPAVVPMPQPPPVLPAPTGPDYLFGLDNGFVPPPAVKVKDPAGDPPTVKDNVPVEIPAKNDDRHTTNPVSDHVVVSPVVSPGEFQRQIQGLEKLQFADTAAQQPPPPPAPATAAPPPAALPRNGSDDSLTRAYPPATVTPTPPTATPEYYLPKYQEKPAAPPPSSAPPPTAFLPVPGRYTSVAPGSGADHAPVFFIPAPPHGYFTTAAGPGATSFPAVYAVAQHNGNANASGNGPSPAAASNAQAYAPQVAYDSNGRAIYYTSVLPQYASAVSGVPAAATVLGTDPAKPVAVKPTVS >ORGLA02G0319200.1 pep chromosome:AGI1.1:2:27966585:27968343:1 gene:ORGLA02G0319200 transcript:ORGLA02G0319200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQSGVGTKAVDYEVEQQDGGRVVKRKEAGLTRGSHGGVPVATSSVGRDMLAVSDIQAETPYAQLNAASVPGEAGRQGRWGSLPTARTLCRPCLLAADLSDELALTPIHQLRRLTSCT >ORGLA02G0319100.1 pep chromosome:AGI1.1:2:27961428:27962843:-1 gene:ORGLA02G0319100 transcript:ORGLA02G0319100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFEANNNNNGEKQLVCVTGAGGFIGSWVVKELLIRGYHVRGTARDPADSKNAHLLELEGAEERLSLCRADVLDAASLRAAFSGCHGVLHVASPVSNDPDLVPVAVEGTRNVINSAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDETCWSDYEFCKQTDNLYCCAKMMAEMTATEEAAKRGLELAVVVPSMTMGPMLQQTLNFSSNHVARYLMGTKKSYPNAVAAYVDVRDVARAHVLVYERPEARGRYLCIGTVLHRAELLRMLRDLFPQYPATAKCEDDGKPMAKPYKFSNQRLKDLGLEFTPLKKSLHEAVLCMQQKGHLPLIYPVPKRAYL >ORGLA02G0319000.1 pep chromosome:AGI1.1:2:27957743:27958948:1 gene:ORGLA02G0319000 transcript:ORGLA02G0319000.1 gene_biotype:protein_coding transcript_biotype:protein_coding DXHCNAIFRGQRWCVLISVHVLLAILGASEEEAHQNEAEVLRAERWSDPPATNAFRRRCPWVQDILGRRTQERDQQLRHXPCPRSRWSRCRVXGYPRGQHMVVAIKKSKMMEEAETKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKEPKANIALDTRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKLNAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLDGPEEDRSLVSCFTTAVKAGRHQELLDNQVRNEMNEEMLTEIAHLLMRCLSMNGEERPTMKEVAERLEMLGDTSNILGLKLEVMPKRIRVCSAWNNRIQITNSSNMMFLIXKKEVHIHLAC >ORGLA02G0318900.1 pep chromosome:AGI1.1:2:27955910:27957028:1 gene:ORGLA02G0318900 transcript:ORGLA02G0318900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMTTSAQHLQVLALTVFLVCAAAVTPAALAQQQQLPGCPDKCGDISIPYPFGIGARCARGQYFELECNRAYSPPRLIVSTHRQHLVNLSLADGEAIALINAKRQCYNSTEGLVTDANVNKEVTLYGSNAYRFSAARNRYVALGCPNMGYFVDGTGFYVSGCTSFCRPSQGSGASGASTGGCTGEGCCQSRIPTNTDYYEQYIQTFKPGEGDPILRGGTTACRYVFMAEDKWIDTTYRDTPMFNRTDDFAVPVVLNWAIRNVANCDIAKRNRTDYACQSDNSGCVDSIDGPGYRCNCSQGYEGNPYLIGGCKAYLLELVLS >ORGLA02G0318800.1 pep chromosome:AGI1.1:2:27947653:27950384:-1 gene:ORGLA02G0318800 transcript:ORGLA02G0318800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMATTTLCLQGAALVVLIVCLAPVAPAWAQQPAGCPDKCGNTSIPYPFGIGSRCARDFNFRLVCNHAYSPPRLFVSEVELVSLSLDGEARALINARNYCSDGTTYISYNALRRDSQGQLLLSDVSFGRSTAYRFSAARNRFVVLGCPVLGYLVDAEEYYVSGCISMCRKSQAGDDHLPYILSLNKSAEENRVEPIYHRLNSTACNYVFLVEDKWIDTTYSYRAYFNRTDDFDVPVVLDWAIRNVRNCRVAKRNATKYACRSEWSECFDASDGVGYRCRCSNGYQGNPYLDGGCTDIDECQDKEKYGCYGDCTNTIGGYTCICPRGTIGNVHEKNGCRPKDKFTFALKAVTGVGLGVFMSVFMAFWLHLGLQKRKLIRTRQKFFEQNGGIFLQQQMRSYGGAGGGVGGFKIFSTEELKNATNNFAVDHILGRGGHGIVYKGVLEDNTVVAIKKSKMMEEAQTKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKDSEVDIALDTHLQIAAESAEALSYMHSSASPPILHGDVKTANILLDDKFDAKVSDFGASKLAPTDEAEIATLLLTRKKALYLDGPEEDRSLVSCFTTAVKVGRHQELLDSQVRNELSDEMLQEITHLLMRCLSMIGEERPAMKEVAERLESLRRYQQHPWAKAEGNEEEIQSLLGMEQNNANYQLRQQDVLGLEEGNAYTFSL >ORGLA02G0318700.1 pep chromosome:AGI1.1:2:27943504:27944583:-1 gene:ORGLA02G0318700 transcript:ORGLA02G0318700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIAMPSSGVSVGVFLLVFMCFWLYLGLQKRKLIRTKQKFFEQNGGVILQQQMHPGGGTGGFKIFSTEELEKATNNFAADRVLGRGGHGVVYKGVLEDNMVVAIKKSKMMEEAQTKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKEHTTDIALDTRLRIAAESAEALAYMHSSATPPILHGDVKTANILLDDKLNAKVSDFGASKLAPTDEAAIATLVKGTCGYLDPEYLMTCQLTNKSDVYSFGVVVLELLTRKKALYLDGPEEDRSLVSRFTTAMKAGHHQELMDSQVRKEMNDEMATEIADLLMRCLSMNGEERPTMKEVAELGDAEEIPAAPLG >ORGLA02G0318600.1 pep chromosome:AGI1.1:2:27934989:27937927:-1 gene:ORGLA02G0318600 transcript:ORGLA02G0318600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIRHLHAGVAISAVVLLLGMALAPATPASGQPLPGCPDKCGNISIPYPFGIGAGCARGPKFQLECNHTYSPPRLIAVIDPQYNLEIHLVSLSLTDGEARIYNNASRSLYNGSTVSTNNAVYLFLGPDGPYRFSSAKNRLVALGCPNLGLLLDATENYVTGCISLCRSSPLAVSAGACAGVGCCQSSIPSGLHTYYVNQDKPKNVTLQYYAATDYRYVFLADAEWLSTSYRGDFNRTGDFAVPVVLDWAIRNVGSCEAAMRNKADYACRSANSYCVDSTEGEGYRCNCSRGYEGNPYLDGGCQDINECERPPDNFKCFGECTNTLGSVLCMCPRGARGNPFIDKGCVKTDLGLTIGIGVGSGAGLLAMAFGAVFLTRKIKNRRANMLRQMFFKQNRGHLLQQLVSQNTDIAERMIIPLAELEKATNKFDESREIGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEGPLSLSWEDRLRIATETARALGYLHSAVSFPIIHRDIKSHNILLDGSLTAKVSDFGASRCIPAEQTGVTTVIQGTLGYLDPMYSYTGRLTEKSDVFSFGVVLIELLTRKKPYSYRSPEDDGLVSHFTTLLTRDNLGHILDPQVVEEGGKEVKEVAMLAVACVKLKAEERPTMRQVEMTLESIRSLFLQQEAIHSVANKNSKENHVSMSYPANEGTSIESTRQYSLEEEYLLSSRYPR >ORGLA02G0318500.1 pep chromosome:AGI1.1:2:27925152:27932178:1 gene:ORGLA02G0318500 transcript:ORGLA02G0318500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGLVVGAALRAPPPPPPLRCSPRGAADRLLVFSREPRPRLAPLTRCYCSREGKGNARSKPHREGSNSVREWLIFVSLSRLNVKANNDQRIMNLELMSQETYLADERHEGVDIWELFSEAQRNILHLNKQRLVAMEELKKLQDENKSLLQDIEVLETERQGFSIEIAESSRFSELLLRIDTMTISGMVGMTEASDLRKKVIDNRYMIHSVFSDIHHKQDTELLSELQLYLRKPAEKPLHVVHICSEMDPITSRGSLATYVTGLSSALQRKGNLVEVILPKYAGINEDVIHCLRKAEADYESYYGGCWHKNRIWTGISSGVSLTLIEPVQLSYFDRDMLRGYPDDFERFSYFSRASLDYIVKSGKQPDVLHVHNWETAIVGPLFWDIFAHQGLGNTRILLTCQDLTSQCLEVPNMLELCGLDPHKLHRPDRLQDNSETNLVNVLKGGIVYSNKVLLMSSTLPRDMAIQGLGHGLEATLTTHKEKVLVASHGLDGELWDPSKDIYLPQRYSPNDIEGKSFCRKALKRHLGLHSGSSVVVGCICNGDSNTDGLREAVRVALHGGAQVIFMENKGPVMNSTVQALKEELKGDSVMFVEIYDEALEHLIFAGSDIFLCSSFYDPLLQIAMRAIKYGSAPVQINFPSNGSRQTEWHDYPSTALSQYIISTYGDMSLSQALDGIKNNPSQWDWRIKDAMSKVLSWDAECYDLHWEAYSVIRKL >ORGLA02G0318400.1 pep chromosome:AGI1.1:2:27918514:27919498:-1 gene:ORGLA02G0318400 transcript:ORGLA02G0318400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGELSLRQEYVIGDEIGRGRFGTVRRCYAVATGEAFAVKSTPKAPLREAGAADALDLALAEQEPKVHLVASAPGPGASPHVVALHAAFEDDAAVHLVVDLCAGGDLLSLVSSRGRLPEHEAAGLTAQLASALASCHRRGVAHRDVKPDNLLFDGGGVLKLGDFGSGGWFGDGRPMTGLVGTPYYVAPEVVAGREYGEKVDVWSAGVVLYMMLSGTLPFYGATAAEVFQCVLRGNLRFPPRAFASVSPEAKDLMRRMLCKDVSRRFSADQVLRHPWIVSRGGAAVMG >ORGLA02G0318300.1 pep chromosome:AGI1.1:2:27912117:27916229:1 gene:ORGLA02G0318300 transcript:ORGLA02G0318300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLPVANATAAALARVSAMFNAPLARAVVFGIHIDGHLVVEGLLIAAILFQLSRKSYKPPKKPLTEREVDELCDEWQPEPLCPPIKEGARIEAPTLESAAGPHTTVDGKEVVNFASANYLGLIGNEKILDSCIGSVEKYGVGSCGPRGFYGTIDVHLDCETKIAKFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASTLEKLTHGNKRTENIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIITAGMGNALATDGGFCTGSIRVVDHQRLSSSGYVFSASLPPYLASAAISAVDHLEENPSVLANLRSNITLLHKELSDVQGLEIASNILSPIVFLKLKTSTGSSVADLELLEVISEKVLKEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDILKVSESLKRVAASVL >ORGLA02G0318200.1 pep chromosome:AGI1.1:2:27909365:27910088:1 gene:ORGLA02G0318200 transcript:ORGLA02G0318200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWWGASGHQGRKMEGGMASSSSPIPIPSLSPPSSHRRSPPLTPRLLAHACRRKVMKAISLKGVLRTEINPSLDKVTVVGDVDSRVLVKKLSKVGKIAEVMAPPPPPSPAAPSEEGKKSDSNGGEKPTSPADEKSTRKDGGGVQAEIQQVHGREGSRHTRRRGWPRRWEDGGVQGRHHQEQRRRRQI >ORGLA02G0318100.1 pep chromosome:AGI1.1:2:27891553:27895805:-1 gene:ORGLA02G0318100 transcript:ORGLA02G0318100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEVSTSSLLAIISFFPSPSSFFFPPRHRRPVLCSLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLQPRSIHKTCAICLTTMKPGQGHALFTAECSHTFHFHCIAANVKHGSNNCPVCRTKWKELPFRGPLPGEFPQGSARINPVNGHQNGGQMTILRPLPRARSSGRLHHMTSLLPDTDRSIFNDDEPLDSLSEANEGSQQGCLRTVEIKTYPEFTEVPESTSERNFTVLVHLKAPLAQTLQTSSKLEDGNSLGTTRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSSARRLFPLRRMTETGRQQSLQAVYSLTSNGGTNIAEGLRKGSKVIEDRQAKNPVCSIILLSDGQDTYTVSPTAGVHKSAPEYCSLLPYTSNGCQQVPVHVFGFGADHDSVSLHSISQTSGGTFSFIETEAAIQDAFAQCIGGLLSVVAQDLHVKVESLHPDVHFGSIRSGSYSSRLADDKRNGSIDVGDMYAEEERDFLVSVNVPPGYGETALLKVGCVYKDPLMKETINMADVQVKISRPAFVSVQSVSIEVDRQKNRLHAAEVMAEARLSAERGDLTHAVSLLEDCRRMIMGSTSGQSGDRLCQALDAELKEMQERMANRQRYEASGRAYVLSGLSSHSWQRATARGDSTDSESLIQAYQTSSMVDMLLRSQTMSRSSTPRQMRHVKSFPARPQPR >ORGLA02G0318000.1 pep chromosome:AGI1.1:2:27881927:27886433:-1 gene:ORGLA02G0318000 transcript:ORGLA02G0318000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDLKREVNKKVLKVPGIEQKILDATSNEPWGPHGSLLAEIAQATQNYHEYQMVMNVVWKRINDTGKNWRHVYKGLIVLDYLVAHGTERVIDDIREHSYQISTLADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERIQEVRQKALATRDKYRSAFATSGTHRSPGGYDNDRYEGSYGSRYDNRNGYGGEREYGYRDDDRYGVAGTTPNREGDRYSRDSNEQRYSRDREDEYKGSHSNHEYAEGSGRRSYGRDRDSYGDDEAYSSRGRQSNADGPTQDERPMERKPSNQQIASPPPNYEDVTRDTQDNNHDGRNGGTVPVPVAAAKVSSPPRTSVPPGQVNGVHDNTVEDIPAPPPTHPEVNGFDEFDPRGSVPDTSPPVNPSQAVNSLEMDLFGPDPISSLALVSVPQPTASPNVEPSANPGFESNSFMGMPPASTGFNEAFDATNPFGDPTPFKAVHEETPAVSQTNAAPAGSFHATEPAADANPFQPASAASFGFGDTLGDLSFGSNAAPGQQDIFVPTSSHSEVPPANPSVHPEQAVPSYVSSQAPQPAAAGPQTHAAPASFASQAPPTSFASQAPQAGAPYPQAASTFPRSQAPHPAATNPSTIPQNVATPFAPSQMPQSVPSGQSNNFMQPVPGTGINGMSGAPSQNGAPSYIPSQASQFAAPTNLQPSQPTFPPQTAMAASQATSISRGASQPLAVPNSMPSGVNFPLQSSSSAPPETILSALQVSQSEPVKKFESKSTVWADTLSRGLVNLDISGPKANPHADIGVDFDSINRKEKRQEKKVSQAPVVSTITMGKAMGTGSGIGRAGASAMAPPANPMGASRGIGMGMGAAGSGYGGGMGMNRPMGMGMGMNQQMGMGMGMNQQAMGMGMNQQAMGMGMNQQPMGMNMGMGMNQGMGMNMRPPMGMGPGSGYNPMGTGYGGQQPYGGYR >ORGLA02G0317900.1 pep chromosome:AGI1.1:2:27875062:27878298:-1 gene:ORGLA02G0317900 transcript:ORGLA02G0317900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRAHIVKNDALEGMLGEFVGGGKGGGKAGRAAARHSSRLVAALTCLQLAFAIYATFLLYYMSPAVDLRAKPDFAWATRIAQHWKQLMAQPDGGGGGMAVSPEEVCEHESIDFEQKKSTDAVMIRLKRELYDEVRDFQRRSFGAETLPELLRMRSRWSAAAAAAGNQPRVTVILNHFKRRTLCAQLDTLRRQTVPFHRAWVLAFGSPNEAALRRIVGSYNDSRISFVSSGHDFKYYGRFQMALQSESDFVYVLDDDMIPGARMLEILTHVAGTDKYRNAVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDIAVDRIVQVDFLSSSWFLAADLVKALFIEVPFTFMTGEDLHLSYQLQKYMGAGSFVLPVDAGDKETWGDSEHRLAYVAETTVIFKDIVQVRDEQWWRALTSGYVTQWAAMHPQKVDALFYAHSLGEVRALAPLLERFRTTAGRKAYLVVSGGGHCPCEEAAAVLKWPKVVCKERRFKIFDLAVGALSGPSHSDVPVLHAVYSSMRGIVRMHNPSVIVAVADVDSKIKDALRMAADAAVNRTALVLLPRNSISKVLWMANLRPTSLPNWNRMRISVNIITQNRAKSLRRLLASLRDAYYVGDEVPISFNMDSRVDAATLNVVNAFDWPHGGKTLRRRIIQGGLIRAVSESWYPATDDDYGLLLEDDIEVSPYYYLWIKYALLAYRYDPQVSLPELSSISLYTPRLVEVVKERPRWNATAFFGRSKNHHPNTPYLHQLPCSWGAVFFPKHWREFYAYMAARFTEDAKQNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVALYPNFPDQSSFSTNHMEPGAHISAKGNVVKHDKRDFEVPLVADDFSPLLPAGRMPPASKLPVLNLFNQPVSFKALKAAGAKLRQDVIGCAATQLVAVDHVTGLPKNCTAF >ORGLA02G0317800.1 pep chromosome:AGI1.1:2:27863286:27865198:1 gene:ORGLA02G0317800 transcript:ORGLA02G0317800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGFVEDMLREQSLLEATCGDLFDHIDDLLDFPKEESAADVLLLDAPAPGSPLSSRIIGGHANMAAAPPPPPQMMALPPPPAPAKDDASALFDAAGALGAEVFDRKDAHIGPCDELDMDMAQLEWLSGLFDDGTIPHEPSFPGVNCAAPIKASALTANAGVVLPDKAEEALFRSSSPISVLEHSGFNVATNGGSSSSSSSSASSSSESFSGSGRAWSAPVSPRPEPPVLVIPARARSKRSRPSAFPAVRGAPAATETTILVPTPMYSSTSSHSDPESIAESNPHPPPMKKKKKAKKPAAPAAASDAEAEADAADADYEEGGALALPPGTVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFMPSIHSNSHKKVVEMRQKATRTADPSCDLLQYIRRRD >ORGLA02G0317700.1 pep chromosome:AGI1.1:2:27844782:27850200:1 gene:ORGLA02G0317700 transcript:ORGLA02G0317700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39000) TAIR;Acc:AT2G39000] MMPPAISLARSPTSSASASPLYPVRRSAAVAVRHHRCPSFAAKPAAGVCCASPAVELLPSLSPDILVRDARLEDCWEVADTHCSSFFPDYTFPLDLVLRIDRYIALLSGFSVPPGCMRTCLVAVNSNSLSRSISIECGDLRDADFQEKHGLSKASIAGILTVDTVADYLPRRGPLKQRRTGIAYIANVAVRKEERRKGIAKMLVAEAEERAKSWGCRSMALHCDVNNIAALRLYKNLGYKCIRVPEDAKWPEPKIAKGVRYNFMMKLVPKM >ORGLA02G0317600.1 pep chromosome:AGI1.1:2:27841094:27844484:-1 gene:ORGLA02G0317600 transcript:ORGLA02G0317600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:decapping 2 [Source:Projected from Arabidopsis thaliana (AT5G13570) TAIR;Acc:AT5G13570] MTMAGGGGLNRSSSRGQLPPQELLDDLCSRFVLNVPKEDLESFERILFLLEQAHWFYEDNSVEHNPSLKSLSFKDFTTLMFNSCAALRPYRAHLDDIYKDFTHYKFRVPVCGAIILDDTYEKCLLVKGWKSSASWSFPRGKRSKDEEDHTCAVREVLEETGCDVSKLLKLEDYIEVSMWQQRVRLYIITGVKGDTVFAPQTKKEISEISWHKIDELLPAGDDAISRGVNGMKLYMVAPFLQGLKAWIATHRHPQYQKSDTSARGTVWKAKNSSTGAAPVENPVITRTGSDPQTLDSRPGKSFRNFRFDTASILQSMEASFLRT >ORGLA02G0317500.1 pep chromosome:AGI1.1:2:27834750:27840462:-1 gene:ORGLA02G0317500 transcript:ORGLA02G0317500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39190) TAIR;Acc:AT2G39190] MAALVRPAVLPVCAMTGGGGNDKRSPPQQRSWWGGNKQRLPHQPRRQPGGNGGRGGGGGALDQVLGVLRRDGEFLQAAAGAPLRDIFWLRFLEKKKQRRRRKQPKPKPKPPEQQQQQEEAAAASQAPSFPPPSYQPGLSCLDLMTADFEALKLYIGYSKHAIEQQFLKGKRQPQHQQTPKPKLQQQQQQQQKKQQQQEQQNQTLQPPAFPPPSYPPGLSCMELMMADIEALKLYINYYVAILTTPLPQHYDPEILSRYFTSRPHILAFRTIQIIFAFVSAAVKMQISKRTNLITDATYSGNSTGSKGFNASQYMVGQLLKETFLDLGPTFVKVGQSLSTRPDITGSEISEALAELHEKVPPFPRKDAMKIIEGEFELPVSHIFSYISDEPVAAASFGQVYQGRTVDGALVAIKVQRPNLLPSVLRDIYILRLGLSFVRKVAKRKSNIALYADELGKGFVGELDYNIEAANATKFLEYHSRYSFMLVPKVLKQLTRRRVLTMEWVSGENPTDLLSLSKGISSEIAESSEKQKIEATARLLDLVNKGVEASLVQLLETGLLHADPHPGNLRYTPEGRVGFLDFGLLCMMEKKHQHAMLASIVHIVNGDWASLVYDLTAMDVVPPRTNLRRXXXXXXXXXXXXXXXXXXXXXXXXXVLGKIWSVAFKYHFRMPPYYTLVLRSLASLEGLAIAADGTFKTFQAAYPYVVRKLLSDNSVATRRLLHQAIFNKRKEFQWNKITVFLKLATARGNFRYNTGVLPERKGLDVAKLVEISDASSSLHLNRATPERALHTANLCLRLLLSKESIVIRRLVMTANAKSLARDLISKDASIYRVLLSRVLADVIFQWMVKATGFKRAGQLEPHPRVTAGKNDEDLGLSKEAPALVTFQTAVRDRRLKVIFTKFVRDIREDPILMVRVSWNMFAISVVSAAIGVHRFIVVLSEEFLSTLPPPVPPPRLVQIQSI >ORGLA02G0317400.1 pep chromosome:AGI1.1:2:27832461:27834090:-1 gene:ORGLA02G0317400 transcript:ORGLA02G0317400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGKRRRRRREGEEEWGGAGRKQERRALMVAFAVALLMGTAVYFRIWARQSTDPSFTVDDREELRRQFERANLEAMDESAEWRMKYDTEFAKNKQMQDELLKAKASLSASTKRFSLLKKDNEVLKRQIQIMKQQCNCTVTSNLTQE >ORGLA02G0317300.1 pep chromosome:AGI1.1:2:27830327:27831602:1 gene:ORGLA02G0317300 transcript:ORGLA02G0317300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductase, zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT4G21580) TAIR;Acc:AT4G21580] QVCALLSGGGYAEKVVVPAGQLLPVPEGVSLTDAAGLPEVACTVWSTVFMTSHLSPSESFLIHGGSSGIGTFAIQIAKHLGIKVFVTAGSEEKLAACKGLGADVCINYKTEDFVARVKEETNGKGVDVILDNIGGLYLQRNLNSLAVDGRLFIIGFQGGAVTEVNLQPMLARRLTIQAAGLRNRSLDNKALIVSEVEKNVWPAVVQGKVKPVIYKTFPLSEAAEAHKLMEESSHIGKILLIP >ORGLA02G0317200.1 pep chromosome:AGI1.1:2:27827086:27829392:-1 gene:ORGLA02G0317200 transcript:ORGLA02G0317200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAL2-like [Source:Projected from Arabidopsis thaliana (AT5G54390) TAIR;Acc:AT5G54390] XXXXXXXXPTWAAAAPPSPSDWDGRRPRRGAPVVRRRCSAPSSTPAPSAAAAPSHLAAGGSPRVGTAEHDWLWDCRGIGGGGRDYAREMEVAVRVVQAACTLCQRVQSSLLLPASASASGSVHSKIDRSPVTVADWGVQAIVSWLLSDCFQDENISIVAEEDDETLSSSDGAALLESVVAAVNGCLIEAPKYGLRSPEKEFKAHDILQAIRRCSSIGGPKGRFWVLDPVDGTLGFVRGDQYAVALALIEDGEVVLGVLGCPNYPMKKEWLNYHQRYYRLMSKVSPPTSGSWHKGCVMYAHRGCGQAWMQPLVHDFGKLDWRNSREVRVSTVSDPISATFCEPVEKANTSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDVEIFMKFARAGYKEKIWDHAAGVVIIREAGGVITDAGGRPLDFSRGVFLEGLDRGIIACSGPLLHHRIVGAVDASWNSSTL >ORGLA02G0317100.1 pep chromosome:AGI1.1:2:27825208:27826413:1 gene:ORGLA02G0317100 transcript:ORGLA02G0317100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDGDAATSPSFSSTGSSGDGNGGGGGGGRVGIYACFALGGSNSLECYEPGANTWRRVGELPGVPDGHVLKGFAVVALGDFVYVIGGRLCRRERGGGGEYRDTDVDVRGDVVRYDARRGEWGRCAPLLVPRFDFACAPCGGKICVAGGQRSLSGARGTAAAEVFDADKGGWSRLPDMSTRRYKCVGVTWHGRFHVVGGFAESTSSSSPAAAAADEAAAPPPGRATALLLLLPQSSALERSSAEVFDCARGVWEIIPGMWQLDVPPNQIVAVAGRLLSSGDCLNSWKGHVEVYDGELNIWSIMDHSAMPDTPLLAALPPSAQRRYHTMAVVGNQLYFLAGYQVAAGGDGGFRTVSLVHSFDTSANPGLMPPWRSFQPTMDQDGVEDGSKELFSQCCSVQL >ORGLA02G0317000.1 pep chromosome:AGI1.1:2:27824231:27824640:-1 gene:ORGLA02G0317000 transcript:ORGLA02G0317000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWISCFLAWILNFSQHWLPQETTMLIINLFHFHLSVSDSLNLSHFPSDALVPSPFLCIYHCRLSLYRWGHPLSLRIIMHDNLHLITRICRRLQAFSTDMKAWHY >ORGLA02G0316900.1 pep chromosome:AGI1.1:2:27822427:27823125:-1 gene:ORGLA02G0316900 transcript:ORGLA02G0316900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMYRHLRDRSSRRRRFSPAWRQELARQESPGVAGGRRQGRWCRSWHGRRIGRDDQEIGLLPANRGGRRNPPGGDPGAERRRRRVPIHGHGRAGEVPLQLACLTDETQVRGLPFKEAGGAENGRGALLQAGRRRCHAEYFKHAKKKILLFEKYVYI >ORGLA02G0316800.1 pep chromosome:AGI1.1:2:27818952:27821230:-1 gene:ORGLA02G0316800 transcript:ORGLA02G0316800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGRGSQEEEHLDLIMRHHASMGLDRCESEEALGSSESEQPTRPARPRGKRSRAAEVHNLSEKRRRSRINEKMKALQSLIPNSSKTDKASMLDDAIEYLKQLQLQVQMLSMRNGLYVPPVNLSGAPEHLPIPQMSAALDQNSAKASDPSVVLQPVNQTSGALLPFELASQHKPLFLPGVPNATALEPRFLVESSRSNLQSLRFTEPAEMIYPDEMMLKHRLTSANESTIVPGTDEKSVRQNTYMMNADRFDRYALSKDQLQHIMPKNTESVLDMPHLQRL >ORGLA02G0316700.1 pep chromosome:AGI1.1:2:27816406:27818098:1 gene:ORGLA02G0316700 transcript:ORGLA02G0316700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proliferating cell nuclear antigen [Source:UniProtKB/TrEMBL;Acc:I1P5B1] MLELRLVQGSLLKKVLEAIRELVTDANFDCSGTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLNNMAKMLRCAGNDDIITIKADDGSDTVTFMFESPNQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSSEFSRICKDLSSIGDTVIISVTKEGVKFSTAGDIGTANIVCRQNKTVDKPEDATIIEMQEPVSLTFALRYMNSFTKASPLSEQVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEEMKS >ORGLA02G0316600.1 pep chromosome:AGI1.1:2:27813631:27814424:1 gene:ORGLA02G0316600 transcript:ORGLA02G0316600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1P5B0] MELELGLAPPNSGHLVVDELSSSSGGGSGSAPVSASSAGKRGFREAFQETLLLFDDGSCCNTSDDDCRRRKKTVVGWPPVSSARRACGGANYVKVKKEGDAIGRKVDLALHSSYDELAATLARMFPTNDHQGEKKMANDDHGDAAGPVVTYEDGDGDWMLVGDVPWDDFARSVKRLKILG >ORGLA02G0316500.1 pep chromosome:AGI1.1:2:27805372:27808918:-1 gene:ORGLA02G0316500 transcript:ORGLA02G0316500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMGPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAEIRAAIGENQQEIRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLMRSRDVNTKKKAALCAIRIVRKVPDLAENFMGLASSLLKEKHHGILISAVQLCTELCKSSKDALEYLRKNCVEGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKNESNKNAANAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDTQAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELVDYLDSADPDFKEDLTAKICSIVEKFSQEKLWYLDQMFKVLSLVSTKVQ >ORGLA02G0316400.1 pep chromosome:AGI1.1:2:27801540:27804912:-1 gene:ORGLA02G0316400 transcript:ORGLA02G0316400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGNHVKDDVWHALVVVISNASELQGYSVRLLYMALQAFVDQGSLVRVAVWCIGEYGEMLVNNVGMLQGEEPITVTESDAVDAVQLALNRYSADVTTRAMCLVALLKLSSRFPSTSERIKQIVSQNKKNIVLELQQRSIEFSSIIQRHQSIRPSLLERMPALDEASYLLRRASATQATLAADKPTPAVTPGGLKLPNGVAKPATSPLVDLLDLSSDDVPAITTASTTTAPNDFLQDLLGIGGISSSPAAAPSSASTDILIDLLSIGSSPSQNGPPAADSTPAQVGAIVPEATDLLSSLSSSTSVSGTKSAPAVPQDMDLLDGLPSNTSVSGLVNHSSITAFKSATLKINFDFKKQPEKPHETTVHATFTNLTSSSYTDFVFQAAVPKWECFLNCPNEFQFIQLRLDPASGNIVPASGNGSVTQGFSVTNNQHGQVCGDLHPSLPFHFLCTRVCCQHVYTILTGA >ORGLA02G0316300.1 pep chromosome:AGI1.1:2:27792866:27797278:1 gene:ORGLA02G0316300 transcript:ORGLA02G0316300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase [Source:UniProtKB/TrEMBL;Acc:I1P5A7] MYVVKRDGRQEAVHFDKITARLKRLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLGELAAETAAAMTASHPDYASLAARIAISNLHKTTMKSFSETIKVMYMHYDERSGLLAPLIADDVYEIIMKNTTRLDSEIIYDRDFDYDYFGFKTLERSYLLKVVGKVVERPQHMLMRVSIGIHKDDIESAIKTYHIMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLSECATISKCAGGIGLSIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIFEFLDLRKNHGKEENRARDLFYALWIPDLFMERVQNNENWSLFCPNEAPGLADCWGDEFQNLYKKYERDGKAKKVVSAQALWFDILKAQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIGLPRFVREKGVPMESHPAKLVGSNGSKNRYFDFDKLAEITSIVTRNLNKIIDTNYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSTEAQQLNKDIFETIYYHALKASAEIAAKEGPYETYSGSPVSKGILQPDMWNVVPSDRWNWSDLREMISKVGVRNSLLIAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWSPVLKNKIVYEDGSVQKISEIPDDLKAIYRTVWEIKQKTIVDMAIDRGCYIDQSQSLNIHMDQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKDKQHNAEEEDVQSKMAEVVCSLNNRDECLACGS >ORGLA02G0316200.1 pep chromosome:AGI1.1:2:27786998:27787615:-1 gene:ORGLA02G0316200 transcript:ORGLA02G0316200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDGDGGRSRAEAIMRELERLRAEREELDGRIRLLESQLRLGAAPLPPSAAAEVEPTGSPSSSSSAAADMISRYRRHLLLPQFGLEGQRKLSQSSILVVGAGGLGSPVAMYLAACGVGKSEFPFFYHPKHCSNLTWKNYCDFLYNKSCSSGINYRTSSFGKNVSSPEEQIFLLRMR >ORGLA02G0316100.1 pep chromosome:AGI1.1:2:27782818:27783950:-1 gene:ORGLA02G0316100 transcript:ORGLA02G0316100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIFIGTCKCTCRGCRVPGVIGCLQALEAIKVATAVGKPLCGRMLHFDALSSHTRIVKISRSSPTCKVCGENPVFTKEDFVNFDYESFTQSPMSKNSTTRSLNLLPENARVSCRDYKKVLDSGRPHLLVDVRPSHHFQIASMAHSINVPLSLLEEKLPLLRDSAREVSSRRDGRQHCPVYVICRRGNDSQVAVQILRENGFLYASDVAGGFESWAKEVDPSFLLY >ORGLA02G0316000.1 pep chromosome:AGI1.1:2:27774211:27780711:-1 gene:ORGLA02G0316000 transcript:ORGLA02G0316000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein [Source:Projected from Arabidopsis thaliana (AT1G80030) TAIR;Acc:AT1G80030] MALATSTLPFAPSNPSPSSRSAAAALSPSGGVHFAAARSGLPLACAAPRHRGRPPPRRRRGGCLVVWASADYYATLGVPRSASNKDIKAAYRKLARQYHPDVNKEPGATDKFKEISAAYEVLSDEKKRALYDQYGEAGVRSAVGGSAGAYTSNPFDLFETFFGASMGGFSGMDQGTFRTRRRSTAVQGEDIRYDVNLGFSEAIFGTEKDIILSHLETCDACAGSGSKIGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSICPTCAGEGEVISEYCKKCAGEGRVRVRKEIKVKIPPGVSKGSTLRVRGEGDAGPKGGPPGDLFVCLDIEEPADIKRDGINLYSTVSVSYIEAILGTVKKVRTVDGNSELRIPPGTQPGDVVVLAKQGVPSLNKPSVRGDHLFTIKVTIPKRISGREKELLEELASLSNGGFARAPVKPKSIRQENETTVAQENSDQPDEGEGDWLKKLQDFAGSIANGAAKWLKDNL >ORGLA02G0315900.1 pep chromosome:AGI1.1:2:27772720:27773467:1 gene:ORGLA02G0315900 transcript:ORGLA02G0315900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIACALSVAAPSLTGKTNSNPPPRRSFQQQWRERRRRGVAARFSGKSADVDAEADSGRIEDDSSYLWKLGLGSVGGAAAIKYGSILLPDITRPNIVVALLMVSIPVAVAVLLLLKVSSRDD >ORGLA02G0315800.1 pep chromosome:AGI1.1:2:27769863:27772295:1 gene:ORGLA02G0315800 transcript:ORGLA02G0315800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57280) TAIR;Acc:AT5G57280] MPRPEVQAPPEIFYNESEARKYTTSSRIIEIQSRITERALELLALPNDGVPKLLLDIGCGSGLSGETLTEQGHHWIGYDISKSMLDVALEREAEGDLLLADMGQGLGLRPGVIDGAISISAVQWLCNADKSCHNPRLRLKAFFGSLYRSLARGARAVLQFYADNVKQSEMIVTAAMRAGFAGGVVVDWPHSSKAKKSYLVLTCGPPSLNSSLPKGKGQDGAMCSDDDESDDGSGDEDGAQTVGIYERNRPKKRQKTKKNGKGKAWLLNKKEQLRRRGREVPADTKYTGRKRKSYF >ORGLA02G0315700.1 pep chromosome:AGI1.1:2:27752558:27753523:1 gene:ORGLA02G0315700 transcript:ORGLA02G0315700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHIIPAAMNAMFGPRWANARYPRTAPEDFTVPPKWIPFPSTIAFRRREFGWIAGAFKPNASGLPDVERFWRTEERCRLIINRSCHELEPPQLFDFLTGLFRKPTVPAGILPPTTNLVTDDDDRSEVLQWLDGQPPKSVIYVALGSEAPLSANDLHELALGLELAGVRFLWAIRSPTAGGVLPDGFEQRTRGRGVVWGRWVAQVRVLAHGAVGAFLTHCGWGSTIEGVALGQPLVMLPLVVDQGIIARAMAERGVGVEIARDESDGSFDRDAVAAAVRRVAVGGEREASASNANRIKDVVGDQEREERYIDELVGYLRRYS >ORGLA02G0315600.1 pep chromosome:AGI1.1:2:27744638:27748260:-1 gene:ORGLA02G0315600 transcript:ORGLA02G0315600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPAAAAAMAVDDADDDQLASMSTEDIVRATRLLDNETRVLKDELQRTNLEVESYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPEKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >ORGLA02G0315500.1 pep chromosome:AGI1.1:2:27742468:27743999:1 gene:ORGLA02G0315500 transcript:ORGLA02G0315500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIQYAAVARGAVVMAEHGDAAFPNAGAVARQILDRLSAGDGGGGGDCNVSYTQDLHVFHVKRTDGVTALCMADDAAGRRIPFAFLEDIHGRFVKTYGRAALTALAYAMNDEFSRVLGQQMDYYSNDPNADRISRMRGEMDQVRNVMIDNIDKVLERGDRLELLVDKTATMQGNTMRFKRQARRFRNTVWWRNVKLTAASVFILLVIIYIVLVYMCHGFTLPSCI >ORGLA02G0315400.1 pep chromosome:AGI1.1:2:27739869:27740760:-1 gene:ORGLA02G0315400 transcript:ORGLA02G0315400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 55 (VPS55) family protein [Source:Projected from Arabidopsis thaliana (AT1G32410) TAIR;Acc:AT1G32410] MARSMRTCLHSGRLALLAILVSGGIVLQILACALYNNWWPMLTVLMYLILPMPLIFFLGSNSPSMMSNDGDTWVNFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFLVFGVAILWLIQMNSEDEYSSAF >ORGLA02G0315300.1 pep chromosome:AGI1.1:2:27735630:27739057:1 gene:ORGLA02G0315300 transcript:ORGLA02G0315300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTSIRKYVGALKDTTTVSIAKVNSDYKDLDIAIVKATNHVENLPKEKYIRDIFYHLSAGRARADVAYCIRALGRRLSKTRNWAVALKTLIVIHRALREVDPTFRDELISYGRSSTHMLHLSYFKDDSSAEAWDYSAWVRNYALYLEERLESFRVLKYDVEKDPPRTRDLDTVGLLEQLPALQQLLFRLLGCQPQGSSSYNNIIQHALSMVALESVRIHTAINDGILNLVDKFFEMQRDDALRALDLFKRAINQAGQLSEFYEMCKTIHIGRGERFLKIELPPTSFLQAMEEYVRDAPLASINQRNQAVLAIEYKRKPEDEESSSSAPLPPPPVSTSESEPEPEPEPVKEVSPVHEPTDLLGMNEPTPDVSEIDQKNSLALAIVQPDNTPKAAAPTTENVATSWELALVAAPSSNGNAATSNKLAGGLDLLTLDSLYNEAHRQAQQNASYNPWEAAAPASSGPMMQQPMQNPFYASNAIAPPLNVQMAAMAQQQQHMFMLQQQQQQQQMMMMMGRQPYDQQQGSSSNPFASPYMSAGVHPYGPGMQLHAGNSYTYSGTGMM >ORGLA02G0315200.1 pep chromosome:AGI1.1:2:27721619:27729524:1 gene:ORGLA02G0315200 transcript:ORGLA02G0315200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) TAIR;Acc:AT2G11000] MEASSSSTSSPPPPPPPSIPASSAWADASPLLDAACRDLQDGELIHGENFSLFGAMSALEIMDPKMDCGIEKSGYYSIDEAIEDGIAPVPLSLDRTLDIQRTLDVMDHLFSCEATWHKGHTLAQTVFTCIYLMRMERTSSHAILNSFCRILRATCYAVVSVVSTARTHEEEDLFTMSFGLPLRDEGDEKCLSILNSVEETIARQLRACKAQALSRKKTLEGLESLQDNPDLEEDYCRALLCRLRFRKHFYHVVTCLRKPHGRGLELARKHVASCLTELSLMLNSRDFLRSQSNNTQQQGDEICTTASGVRPVGFDASLNSRLLSPAPPRAVKLLSWSDAIRYFEKLLRDLDIVCSSPLDPVLENVLHFVVQFQKSVPDLVPRAFLQQTLLVQDGKLYGRDLSCDVISRALSLPDIIGDKEFQMNEFVVQLGQLVINLLKILCTNTAWQRRKLGKSLQDWSTISIQLEFALKREFGETRNVLLHENMCMRVSKQLLVWTQEHTYWVAYRFLILGFELDLYSPSEYCMVYWYMYVVLMKLIEQMQLRILASNENSRRKGKKKKDHSKDSSRDTAFPSSCLLLQCYVLLSEGLSMMLAALRNESNSFQLPSIFNSEQERFIQHFDLLQKARLPEHITYYSFRESASHASIADLTKYNFFKEIHKITPSLRGSFASEPEKLAEIRQIEQVAEHNRIALNIISQVGAGDPSLRVSFEFTHHPHFAVAVVKRS >ORGLA02G0315100.1 pep chromosome:AGI1.1:2:27717532:27720209:1 gene:ORGLA02G0315100 transcript:ORGLA02G0315100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRINLWKKPLLLFPRPFSSSSSPNPPFPPPPPPPNDRDDASPKPSEGEGRNPAASLFQDLRDRLMSTPSHLPSRRIPTAPPPRPSGNAEPVASIDDIRRQLESYRGSLAARGAPPGSSPDGAAPSLLDLVRSTSSPTSPQGPNSGHFSSLAESLRNLPSGRQPQQRRQPRSTTPFLSPTAHPIFGRELGENARKAEGKEENSAIELKKEYSYAELGKKLGQLRPSGAGNDGKEWFSLEELQGRIAKLANLDIADDMRLGGQYVALRKSLLGIQADQKTKDDIKKTRSMQGLSFLANIGGPATPQYLQHPPQEELLERYFHPDHMSSEEKMKLELQRVRDEFKMSENDCGSARVQIAQLTVKIKHLSTVLHKKDKHSRKGLQDMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKAPDYKNKSTSKANSKKSKSKKRKMKA >ORGLA02G0315000.1 pep chromosome:AGI1.1:2:27716263:27716993:-1 gene:ORGLA02G0315000 transcript:ORGLA02G0315000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPHVIISLSSSSPLPLLSLSLPFGWLGRRRSTGWSGGGWRPERRKLKRRRRWERRRMALALQTDCFTSDLSQLIADVMSGSMVALAPNQLDRLDVGRVVSLSHTSDARILLLQSAERPERRILLSRTAGGGGGSRKLVPR >ORGLA02G0314900.1 pep chromosome:AGI1.1:2:27708769:27709980:-1 gene:ORGLA02G0314900 transcript:ORGLA02G0314900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDFLRVLRALRDAARRVEAAAGGDDGPALHALLALDASADDLLAGDPDLGTLRRLLARIGALSWSIRFAGDGGGGGGVVGCLRGRWRRCEARRGIARAAGGVAGEIQAWIDRENVARLVAALRSSGGDDAARARLAELEARLGRFDPRLQRALLRHGVFAAVEAKLGDPGVGDGCAAAVLALVRFNKDVFVGPVLMGRAVGALVASASASPEPLRALNGLVAAIRSPLVDELHARGELPRLVSLLCSADPRIRALALEFALRVGYYARKEIVDALLAEGLVKRLLCLQRSDSETFDSYFSPQEKPDHGIIRGVIFFAGILGCQREDADDGGGGGAATSSARPFVSAVARFAVQVEVGEGLSQREKRAAKLEILRRVREAAVSPAEEATVLADVLWGATP >ORGLA02G0314800.1 pep chromosome:AGI1.1:2:27701854:27705517:1 gene:ORGLA02G0314800 transcript:ORGLA02G0314800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSSMASPRGRSIRETVLETVAAYHQQQRMRRKFRKSLSYAGELSSAGRARGEGGASSSASTTSLCGPDEDDEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGGHRASAEAIKDAFRIEFGDDYRVFVKDLCKDHAGWPLNNMESSYKFMVKHVQLWKVAFHTTSPRWVHCFYLAALASFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVVFVTVITDLNTCHPTWFHADVNRCYCPSEEVAKRAALDDLQPSQIRVFGLPIRPSFCRAVLVKDDLRKELELDPELPAVLLMGGGEGMGPVKKTAKALGESLFDKELGKPIGQLIVICGRNKTLSSSLQALEWKIPIKVRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSSRETAKLVARWFGPDSDELKRMSEKALKLAQPEAVFDIVRDIHELSREQGVISQISSSLTSSFFIPSPETTPIQLM >ORGLA02G0314700.1 pep chromosome:AGI1.1:2:27694702:27699082:1 gene:ORGLA02G0314700 transcript:ORGLA02G0314700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRTCVMLLPCWCREACCIWLVSERHTADTSELVMNNHIMCGIGVKKSSESPGVESPCKLQRSRRCRSNRSIEQNKNRHHHRICLDGDTHYEILFYHFSMRAAKQTEMQTQHCKSATGMPMPMPMPMPKPMGYLLGSPREGHSTLLRLRTLNCSSQSLSGKRAGSSSQSDSFVSPKSSCVCLSSTNFLVLGKLFHNPLSNECIIDLVSRYELGLTDWWRREYGGLDFAYGTQQKTQASNDSVLL >ORGLA02G0314600.1 pep chromosome:AGI1.1:2:27688866:27692671:-1 gene:ORGLA02G0314600 transcript:ORGLA02G0314600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSDVATEVLIPIAAIIGIGFSIAQWVLVARVKLAPSQPGASRSKDGYGDSLIEEEEGLNDHNVVAKCAEIQNAIAEGATSFLFTEYQYVGVFMSIFAVVIFLFLGSVEGFSTKTHPCTYSKDKECKPALFNALFSTVSFLLGAITSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASNGLLVLYIAINLFKMYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSMGIIVCLITTLFATDFFEIKAVKEIEPSLKKQLIISTALMTIGIALVSWLALPYKFTIFNFGEQKEVTNWGLFLCVSIGLWAGLIIGYVTEYYTSNAYSPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAIALGIYVSFTIAAMYGIAVAALGMLSTIATGLSIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVIIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTGKPDYANCVKISTDASIKQMIPPGALVMLTPLIVGTLFGVQTLSGVLAGALVSGVQQVAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKLF >ORGLA02G0314500.1 pep chromosome:AGI1.1:2:27682270:27685971:1 gene:ORGLA02G0314500 transcript:ORGLA02G0314500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLKLVGFCFWAVFWLGGAATVSTNAGGEAAAAAVVVDARSAIAVTDEDFVCATLDWWPPDKCDYGTCSWGLATLLNMDLSNKILLNAVKAFSPLKLRLGGSLQDVLIYDTGDPRQPCTPFTKNSSAMFGFTQGCLPLHRWDELNAFFQKSGAKIIFGLNALNGRVPMSDGSLGGPWNYTNAASFIRYTVSKGYDIHGWELGNELSGSGVGARVDADQYAQDVLALKQIIDNSYQGHASKPLVIAPGGFFDAAWFTELISRTKPNQMDVMTHHIYNLGPGVDTHLIDKILDPSYLDGEAGTFSSLQGILKSAGTSTVAWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSSKYDTKTYCRQTLIGGNYGLLNTTTFEPNPDYYSALLWNRLMGTKVLSATFNGTNKIRAYAHCARDSRGITLLLINLSGNNTNHIYVTSEGVQPYSTKKEGRRRFGRIPGLGEEAQLIREEYHLTPKDGSLQSQHVLLNGNVLAPDANGEIPKLEPVQVEGTQPITVAPYSIVFAHIPRFYAPACR >ORGLA02G0314400.1 pep chromosome:AGI1.1:2:27639192:27639751:-1 gene:ORGLA02G0314400 transcript:ORGLA02G0314400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVQRRELQLVAVSAMLIDCKYEEIWAPEVNDFIFISDSAYTREQILAMEKGILNKLQWNLTIPTPYVFIMMLSASADNKSDKEYGLVAYASAVYAARPNPRHFYI >ORGLA02G0314300.1 pep chromosome:AGI1.1:2:27627124:27627413:-1 gene:ORGLA02G0314300 transcript:ORGLA02G0314300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIFKDWKTIAGDNALDYAAEFSHMLGFDGVKMLGLMQLCVTKHTWFLPVIMKVKMSVLILEESHGLVLGH >ORGLA02G0314200.1 pep chromosome:AGI1.1:2:27624884:27625267:1 gene:ORGLA02G0314200 transcript:ORGLA02G0314200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKTMALLLATLVAVVAVVRATEEKDIVEAGCLEHCNEEEKEGTIDHKHCVDICILTNKQLFGALERGIKPSMEQFSALCNEGCSKEFKEDPATNKKCVDSCIVDAKELNGHLAKGGASSVPARA >ORGLA02G0314100.1 pep chromosome:AGI1.1:2:27615855:27616261:1 gene:ORGLA02G0314100 transcript:ORGLA02G0314100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGDDYLPQXEDRRSAAHTIGSYTATITECTSGPPLFSLACVEKKRWNCGR >ORGLA02G0314000.1 pep chromosome:AGI1.1:2:27610641:27612607:-1 gene:ORGLA02G0314000 transcript:ORGLA02G0314000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVVKKEIGGNHDVVRFGVNDSVKGDLAPPHPLQEAKFWADKKRFGAEAIYGSAFNIRKDLDAQILSKFQRPPGALPSSMLGYEALTGSLDDFGFADYLNLPQDSESFRAPDMHHGMEVRLGLSKGPVCPSFN >ORGLA02G0313900.1 pep chromosome:AGI1.1:2:27605239:27609369:1 gene:ORGLA02G0313900 transcript:ORGLA02G0313900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALCSASPAISAAAAAALGAAARRLRVRVRAASRPYCAAPAPPRAAAAVGAQSWRARRRFAASAASMTTEEEGAGAEVMIPPDNRIPATIITGFLGSGKTTLLNHILTAHHGKRIAVIENEYGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVDKKKGKFDHIIIETTGLANPAPIIQTFYAEDTVFNDVKLDGVVTLVDAKHARLHLDEVKPKGIVNEAVQQIAYADRIIVNKIDLVSEPEVSSLVERIRSMNRMAHLKRAEYGKVDLDYVLGIGGFDLERIESAVTEESHDDHTGHEHKHDHEHHHHDHHHHDHEHKHDHHAHDHTHDPGVSSVSIVCEGEMDLEKADMWLGNLLLERSDDIYRMKGLLSVSGMPQRFVFQGVHDIFQGSPERMWEPNEPRINKIVFIGKNLNGEELEKGFKDCLLKK >ORGLA02G0313800.1 pep chromosome:AGI1.1:2:27601059:27601580:-1 gene:ORGLA02G0313800 transcript:ORGLA02G0313800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATRRPSGPVLSAAHYRSASPTRVKLAGGGARASVSVSSVSRRSCMCSPTNHPGSFRCSLHKEQKRSVHHKAAAAPSSPPSPTSPPASGGAVRLGGARRMGGSALVRIGAVESGQWARRALAATIRPSPAAQQAQHRRRVAGLRPRPSRLSAVSMAGDRAGDNHHHHR >ORGLA02G0313700.1 pep chromosome:AGI1.1:2:27585280:27589434:1 gene:ORGLA02G0313700 transcript:ORGLA02G0313700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVSLLRIAAVLLAILPFCATHPSPGFHAPREFHKALVPDRYGFVARRSIAEAPVDVNVTTNSSFVLAQERTYRKDPLNGFRKYTGGWNISEVHYMASVGYTAFPLFIIALVWFVLFFLVMLGICCKHCCCPHRSYTYSRVAYALSLILLILFTCAAIVGCVMLYDGQGKFHKSTTTTLNFVVSQANFTVENLNNLSDSLSAAKKVDIGRSFLPNDVQNQINEIQGKLNSSATELATRTTDNSEKIQKLLNQVRIALIIIAAVMLLLAFIGFLLSIFGLEFIVSILVIIGWILVTGTFILCGVFLLLHNVVADTCVSMEEWVAHPTEHTALDDIIPCVEPATANESLYRSRQVTYQLVNLVNQVITNVSNGNFPPQTPFFYFNQSGPLMPTLCNPFTADLNNRTCTRGEVTLDNATRVWKNFECQTTTVSGTEICTTVGRVTPTILGQMAAGVNVSQGLYQYGPFLIQLEDCTFVRDTFTNINQNHCPGLERYSKWVYIGLVMVSSAVMLSLVFWVIYARERRHRAYSKQHNYADKPHPAGPDA >ORGLA02G0313600.1 pep chromosome:AGI1.1:2:27579112:27579503:1 gene:ORGLA02G0313600 transcript:ORGLA02G0313600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSKLSMATAVILLLVVMAMEVEGIRLDAETRAATSNQMVNKSTENVPKDSGDSLGVAKRSIAGNEVRAVAHKLPEFHEDYYGPSDHTPRHH >ORGLA02G0313500.1 pep chromosome:AGI1.1:2:27568348:27571489:-1 gene:ORGLA02G0313500 transcript:ORGLA02G0313500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAAARGGGVIPAGKGGSLRSPGKPVVLADITNTGRPNPTGSVHAIADVLKENAKLRHLLAERNKVIEVSRVELQKIRLALQAMQQKNLQLVQANSQMFAEINQGKDRIKLLQHELACTIAVLKVKGSELEKMSKTSNNQQNRAKILEKKTRSSKCAPTEAHQKAAGSIREHLVEIQSVPSYTSCHEPPQDKTNKRCTNRRKSESCEVTMDTNTVQHSCRPHVEYNGSSHDDDPRKTRRRRSARLNPGSFEVAEICDKLHEDATVPSAPSSSNVPKLQEPNAGKDMICGGKMKSLQKELPCDAIAQVVEAPELKEIQEAGSSVAGGEAHKFDIEDPEPPRKSMRIDANKRKLESCESRLASNKEDCINAICDSTSSVPIQHEQKRKLSRRKSSRLDPGPWEVTNGTFEIVQEDTVAPSAPSSSNALIEQTKNDMQNDRSCSTKPSDEQVIGRRFSVGRPSRRAAEKIVSYKEVPLNIKMRRP >ORGLA02G0313400.1 pep chromosome:AGI1.1:2:27563739:27567122:1 gene:ORGLA02G0313400 transcript:ORGLA02G0313400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEELEGAERLDFGGVAELETTPTDFEMEKVCENTVSLDFKQARSSSFVPVIRSGDWSDIGGRDYMEDAHVCISDLAKNFGHNSVDDEIISFYGVFDGHGGKDAAHYVRDNLPRVIVEDADFPLELEKVVRRSFVQTDSQFAERCSHQNALSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGTAIEMSKDHRTCCLNERKRIESLGGYVDDGYLNGQLAVTRALGDWHLEGLKEVGEPGGPLSAEPELKMITLTKEDEFLIIGSDGIWDFFSNQNAVDFTRKRLQEHNDLRLCCKQIVEEAIRRGASDNLTAVMVSFHQEAPPQLRVNRTGRVERSISAEGLHSLRVLLEGQ >ORGLA02G0313300.1 pep chromosome:AGI1.1:2:27551678:27553120:-1 gene:ORGLA02G0313300 transcript:ORGLA02G0313300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSEPLLAEIIKRIAKTSDCNSLSLVSKQLYTIDAEQRDVIHVGRGLHPATEALASLCSRFPNLWKVVIDYSGWISIHGKQLDNQGLLVLSSHCSSLTDLTLNFCSYIDDSGIGYLAYCKKLRSFRLNFAPAISSRGLLSVAVGCKSLCTFHLVDCMKVGSVEWLEYLGRAGSLEELVVKDCKGISQYDLLKFGRGFMKLQRFEYEINGNYWSSAARDPAYVAHYPYRYDICCENMKELRLAHIVTKPEIGLRFLLGKCRALEKLWLEYVIGLTDNDVIALFQNCGNLRSLSLRLIPLLCHAIYFRTALTDDSLKALALYCPMLQVLELTFTFCSEDYPSEVGFSQEGIVNLVQSCPIRVLMLNGASNFDDEGMKGLSSSRSLETLELVDCMFIGDLGMRSIACTPNLRNLTLRKCVCVTDNGVSELVHAQNLESLTIIGCHRISLKAVQGAARSVYYSAESEKHESLKGMKMTRSSK >ORGLA02G0313200.1 pep chromosome:AGI1.1:2:27543104:27549138:1 gene:ORGLA02G0313200 transcript:ORGLA02G0313200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:neurochondrin family protein [Source:Projected from Arabidopsis thaliana (AT4G32050) TAIR;Acc:AT4G32050] MDSTPAPAAAAAETPTTAPAFEDCLRLLRGERDEQKLAGLLVAANLCRAGDAASVVEVYRAVGPRFLRRLLNTGLGKVEGGKEEDREAYLRLSVTVLSGLARFPEVAADEGVVSTVPLIAEIVSKSSDLAITEECFELLSLIAIASDGGAHTFCEPGVMDMLFLQISNFPDGSRCLELAIHLMQLLVHKIRIDNMTVEKLQGMTSMVTSLARLFAVLHTTVKFEALHMLTALLSQKESPLHDALRSVPSMIWKCQIRVGITAVLQNRVVSSEKLQALLLAECMMSILGENWLSEEYKVPDDQNMMPVDKFVLLVLESARIEVSVLLNELAYLKYESSKNSQRDDAISQKERNLAILFSLTERIIKMISNASSGEGAVCQTIRESTIMKAITGLNETVGLVLDFLQDAKDHGQRKGDDLLAAVRIVGSYLAEAPYACKEKIRHLLEFIFSVEGQDESSSFHSICFMLPMLSQITMEADGCRILASFGGYKMVIDCLIKMIEQNGMMIDTGNMFLACDTIINFLSNMKNAHIQMGSCFVGLLKALVSWTGTADDSSVIITASCLCTLVLDLTTEEFLLSSSDFDSKTLEKLSKLIARSLHQGIPDDDIEQSNQKQIILSGYRRWSDRYPRVKNILQQHVSM >ORGLA02G0313100.1 pep chromosome:AGI1.1:2:27534353:27538878:-1 gene:ORGLA02G0313100 transcript:ORGLA02G0313100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRASVAAKDIRISSESDPRVHPSSSTISHHLRFNHLNSHQNKEDALGTEIAETSVRQSSSGKEVMPRGNFSNEVAYAEITSREGISHIGRDILEPAANNAETDTVCIPEVGGPVSESGFSSSQRASERIMADLEAGEIAHGTSSTTIMPSERSDTSQSSLTSVLPATSTAPSTIGESLPDTVPSREDVPIFSGTQGEIGGNTLHDDMMSIFSNDGPARVRDSSSNETRRSHRRVLWDTFSRRSSRGYLDSDTDDLGFYSRWLDLGDELFADEIEEARFFHRRRHGSIRVSQYSRSRIREHRRAVFDSGTDQSTVACPLGIHQIGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSLSMVSAQAPESVVNSLPCKSYKKQTAQCNDDMEQCHICLTEYEDGDQIRSLPCKHEFHLLCVDKWLKEVHRVCPLCRGDVCEGAA >ORGLA02G0313000.1 pep chromosome:AGI1.1:2:27525704:27526731:1 gene:ORGLA02G0313000 transcript:ORGLA02G0313000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAGMLPGVECARRRRLRQGGGEAPCGTRRPSLCLYAGGHDHALLGSSASKQQRSACEEQQPGWWTLDSNVREAKERLDQKLRSQRESAVVDVKRHNKAQVAGTSSDGGEQSTATTAAPQWEVYTRKEGRRRMWFRRLGRRPTPEEEEECAVCLEELRAGEAVAHLPCTHRFHWGCAVPWVQTASRCPVCRAAVYLTTPAPAASNNYN >ORGLA02G0312900.1 pep chromosome:AGI1.1:2:27519608:27520936:1 gene:ORGLA02G0312900 transcript:ORGLA02G0312900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,2-N-acetylglucosaminyltransferase II [Source:Projected from Arabidopsis thaliana (AT2G05320) TAIR;Acc:AT2G05320] MATASSHHRARLRSRAAPLLAVVVLAVLALTAILRASRHDFVPGAARAAAAAAAGVGGGGNNHTIAQRKILLDPDFTPRLPRQSALSLSLSQRNALPPRNADRFPRLPDGHLNIVLYVHNRPRYLRLVVDSLSRAHGIGEALLIVSHDGYFPEMDKIVQAITFCQVKQIFAPYSPHLFPEAFPGVSSGDCQGKDKAAEKHCQGDPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLDETRDFDGHILFIEEDHYIFPNAYRNAQLLVDLKPSKCPQCYAINLAPSDVKAKGEGWESLVAEKMGNIGYAFNRTVWRKIHAKAKQFCTFDEYNWDITMWATVYPSFGSPVYSLRGPRRSAAHFGKCGLHQGQGLSNVCVDNGDATVQLDAIDKVPNIKANWPVHIIKRQEGYQAGFKGWGGWGDKRDQELCLSFAYMHHFKDPSSP >ORGLA02G0312800.1 pep chromosome:AGI1.1:2:27506619:27508616:-1 gene:ORGLA02G0312800 transcript:ORGLA02G0312800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane protein 70 protein family [Source:Projected from Arabidopsis thaliana (AT5G35160) TAIR;Acc:AT5G35160] MARLLPFLVAAAAALVAVSLSAPGADGFYLPGSYPHKYNPGEPLSVKVNSLTSIDTEIPYSYYSLPFCTPPDGVKDSAENLGELLMGDRIENSPYRFRMHANDSDLFLCRSPPLAADAFNLLKKRIDEMYQVNLILDNLPAIRYTKKDDYFLRWTGYPVGIRVGVDYYVFNHLQFTVLVHKYEEANVARVMGTGDATDGFPSTGKDGSGGAAGSSGWMVVGFEVVPCSIKHNPDDVKSLKMYGKYPRSIKCDPTTVSMSIKENEPIVYTYEVSFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVFVILLRTVRRDLTRYEELDSEAQAQMNEELSGWKLVVSDVFRAPSNPMLLCVMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYLVLGILAGYAGVRVWKTIKCGDHTGWMAVSWRVACFFPGIAFLILTTLNFLLWGSQSTGAIPFSLFVILILLWFCISVPLTLVGGLLGAKAPHIEYPVRTNQIPREIPPQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLLLLVIVCAEVSLVLTYMHLCVEDWKWWWKSFFSSGSVAIYIFLYSINYLVFDLKSLSGPVSATLYLGYSLFMVIAIMLATGTVGFISSFCFVHYLFSSVKAD >ORGLA02G0312700.1 pep chromosome:AGI1.1:2:27502532:27503188:1 gene:ORGLA02G0312700 transcript:ORGLA02G0312700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVLGSHDALDNRMHLGAFAVSPASKPRRRRHSKAASPPPKVAAVSSPPVVKSAARVASPPPKAAAAAAVPQGRRSPVARKQGSPTKELPKQRLVMGEIRILKRGEEPPVPSPSPAPVAAQAVAVDQRAPRVPAVAQAAPTDQKAPRPARSKQQQHTAAVPTKIKSVADAPVYAGPAFSSASPEPSSLPFPAFIRRAEAEATRGLRCLLRIGELP >ORGLA02G0312600.1 pep chromosome:AGI1.1:2:27495822:27500440:1 gene:ORGLA02G0312600 transcript:ORGLA02G0312600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:I1P570] MASAPFAVSSSPAASAVAARSKVLRGGRSEARTGCRLGITRKNFGRVMMALAVDVSRFEGVPMAPPDPILGVSEAFKADQNDLKLNLGVGAYRTEELQPYVLNVVKKAETLMLEKGENKEYLPIEGLAAFNKATAELLFGADNPVLKQGRVATLQSLSGTGSLRLAAAFIQRYFPEAKVLISSPTWGNHKNIFNDAKVPWSEYRYYDPKTVGLDFEGMIADIQAAPDGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKKHMPFFDVAYQGFASGSLDEDASSVRLFVQRGLEVFVAQSYSKNLGLYAERIGAINVVCSTPEVANRVKSQLKRLARPMYSNPPIHGARIVANVVGDPTMFGEWKQEMEEMAGRIKNVRQKLYDSLSAKDDSGKDWSFILRQIGMFSYTGLNKTQSDNMTDKWHIYMTKDGRISLAGLSLAKCEYLADAIIDSFHNVS >ORGLA02G0312500.1 pep chromosome:AGI1.1:2:27490070:27493958:-1 gene:ORGLA02G0312500 transcript:ORGLA02G0312500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT2G07690) TAIR;Acc:AT2G07690] MSGWDEGAVFYSDQAQFPRGGPGGDPSADLTRHSALRKFKEFLRGFTGPTGDFPYRESLVHNRDHVTVAIEDLDAFDAELSDKIRKSPADYLPLFETAASEVLASLRSKVAGETGEMEEPATGDVQIFLSSKENCLSMRSIGADYMSKLVKIAGITIAASRVKAKATHVTLLCKNCRSVKTVPCRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIAVPDKSKYVDLQTLKLQENPEDVPTGELPRNMLLSVDRHLVQTIVPGTRLTVIGIYSVYQASANQKGAVGVKQPYIRVVGLEQSRDANSNGPSNFTLDEEMEFKEFAQRPDAYVKICSMIGPSIYGHSDVKKAIACLLFGGSKKRLPDGVRLRGDIHVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVIRDGSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPIAGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDVRMYDQDKRIASHIIKVHASGAAASSKNTDASEGENWLKRYIEYCRVTCKPRLSEKAAEMLQNKYVEIRQKMRQQAHETGRAAAIPITVRQLEAIIRLSESLAKMRLTSVATPEHVEEAFRLFNVSTVDAARSGINEHLNLSPDIANEIKQAEAQIKRRMGIGSHISERRLIDELNRMGMNESIVRRALLIMHQRDEVEYKRERHVIVRKA >ORGLA02G0312400.1 pep chromosome:AGI1.1:2:27485724:27489386:1 gene:ORGLA02G0312400 transcript:ORGLA02G0312400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:I1P568] LASISLEDVRNETVDLETIPVEEVFQHLKCSKQGLSAAEGQNRLNIFGPNKLEEKTESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGRPPDWQDFVGIVVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWQEQDASILVPGDIISIKLGDIIPADARLLEGDPLKVDQAALTGESMPVNKHAGQGVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNIGHFQLVLTAIGNFCIISIGVGMIIEIIVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVYGRGLDKDSVLLYAARASRVENQDAIDTCIVGMLADPKEARAGIKEVHFLPFNPVEKRTAITYIDGNGEWHRISKGAPEQIIELCKMSKDAEKKVHTLIDQYADRGLRSLGVSYQNVPEKSKESEGEPWQFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGTNMYPSTTLLGDKSSEMSGLPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALLWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGIVLGTYMALITALFFYLAHDTDFFTETFGVRSIKTNEKEMMAALYLQTKVSIISQALIFVTRSRSWSFVERPGALLVIAFLAAQLVATCIAVYAEWEFCKMQGIGWGWGGAIWAFSVVTYFPLDVLKFIIRYALSGRAWNNINNKTAFVNKNDYGKGEREAQWATAQRTLHGLNQSSTSSDLFNDKTGYRELSEIAEQAAKRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >ORGLA02G0312300.1 pep chromosome:AGI1.1:2:27482646:27483014:1 gene:ORGLA02G0312300 transcript:ORGLA02G0312300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >ORGLA02G0312200.1 pep chromosome:AGI1.1:2:27474108:27474740:-1 gene:ORGLA02G0312200 transcript:ORGLA02G0312200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRARTNFPLADATAAAAAAAASSSFLSAALVAKLHRFNLASVQATQRQREAAATAAAASSASATTPPLGNAAAADDDARTTTTYGAEWSGRFLEEQHVEQMIDELLDSNFSMEICY >ORGLA02G0312100.1 pep chromosome:AGI1.1:2:27468640:27469004:1 gene:ORGLA02G0312100 transcript:ORGLA02G0312100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >ORGLA02G0312000.1 pep chromosome:AGI1.1:2:27463222:27463518:1 gene:ORGLA02G0312000 transcript:ORGLA02G0312000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQARRPRFPRGPAAALLRYQFKTVRPPTKDIVELLPEGGSRTVPRSVLHGSALADENKFKSLSKMEPAIVAAAQEDGDLAAAAAAEAAPAPADEE >ORGLA02G0311900.1 pep chromosome:AGI1.1:2:27446601:27454211:-1 gene:ORGLA02G0311900 transcript:ORGLA02G0311900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G29380) TAIR;Acc:AT4G29380] MGNKIAKTTQASATEYYLHDLPSTYNLVLVEVVSRGRFLKSVRCKHDEGLLLVKVYFKRAGEPLDLKEHERRLERIRNAFEGLEGSHVWPFQVWLQTDKAAYLLRQYFYSNLHDRLSTRPFLSKIEKKWLAFQLIHAVEQSHSKGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPDDDPSDFSFFFDTGGRRRCYLAPERFYEHGAETQVAADAPLQRSMDIFSLGCVLAELFLEGQPLFELSQLLAYRRGQYDPNHTLEKVSGIVPCSFCVAQIFLVIGPAISYALSFIPSVFLPNSQEIQDTGIRDMVLHMIQLDPKERLSCKNYLQKYESVVFPVYFSEFLHKFFSDIVPLHSDARVEKTQEYFEKMHDIMISGSTLEKIQGSATVPVHNELSGKMGIGGQTVNSPKEYAKSVPVVKINSPAQHKHIVGDLNFLLKELEKDDRTSTKITQDRDRSVTPNVSHANGIQSLQQVCQAGRTESGLMGQNNCVSHLQKISKSNLLALVSSYDAQSDTYNFDIFQQTEYKVSCEGMVLLASLLCSCIRSVKKPELRRASLILLKICSTYIDDDSRLQLVIPYVIAMLSDPAAIVRCAALETLSDVLCLIQDFPISDAKIFPEYILPMLSLLPDDTEESVRVCYASNIYKLALTAYRFLLRSRSIEDARPLDESMVAPRSQSVESPVKRQQDSINGQLARLRKSIYEIVQELVMGQKQTPNVRRALLQDIGYLCYFFGHRQTNDFLLPILPAFLNDRDEQLRAVYFGQIVVVCYFIGSRSVEEYLLPYLEQALSDEMEVVLVKVLDCLTMMCKSGYLRKRAIVGLFGKALPLLCHPVQWVKRSAVRFVAACSESIGPVDTFVYLFPHLKHFLHREPPSLSSETALLSCIKPPVSKLVLYQALEDVHDAGDILLKGDGKKELTVHGGRYPAMVQSGSSIMIEDDSRLRGPKMSNDVSLNIKDFSSASSAYISSAVHGSSSFYDGVPKGIPLYSMQGTALHANLGSDRHGSLSSSVPGIRTRENHFSNMHRDGSISDSMNSSSSIPGDIISNSDNSGSFTRPALSLETSWKPCGVLVAHLQEHRLSVNDIAVSTDNTFFVTASDDSSVKIWDTRKLEKDIAFRSRLTYSLGSSRALCTTMLHGTPQVIVGASDGTLHLFSVDCARGVGSVIERYSGIVDVKRKDLKEGAILSVVNCSTESFSPTILFSTEHCGIHKWDTRTNAESWSFRSSPEEGYVSALVVGQCGNWFISGSSRGVLTLWDNRFLLPVNSWKYLPATPIEKLCLFIPPPNSISSSGRPVVFVAAGCNEVTLWDAENGSRHQIFRTASTENEAVVPKAPSIHPNTRDETRPANYKYRIEELCDPPPRLPGIRSLLPLPGGDLLTGGTDLKIRYWDQARPEQSFCIAGPSVKGAGNDETYDIRSSSCVQVVQEICRQPTTASRLTHKTQLAMAAADSAGCHRDAILALASVNLSSHRLISGSRDGAVKVWK >ORGLA02G0311800.1 pep chromosome:AGI1.1:2:27437180:27440373:-1 gene:ORGLA02G0311800 transcript:ORGLA02G0311800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSADAGVEVHALPTKGGTRVVAAFWRHPSARLTLLYSHGNAADLGQMLGLFLELRAHLRVNIMSYDYSGYGASTGKPSEYNTYCDIEAVYDCLTKVYGIEPEDLILYGQSVGSGPTLHLASRLEKLRGVVLHSAILSGIRVLYPVKVTLWFDIFKNIDKIKQVDCPVLVIHGTADDIVDFSHGKRLWELAKEKYEPLWVKGGGHCNLETYPEYIRHLRKFINAMEKLSKDKTAKAPQLAPSSSNNNMTEVKHNKCLRFGKR >ORGLA02G0311700.1 pep chromosome:AGI1.1:2:27421167:27425471:-1 gene:ORGLA02G0311700 transcript:ORGLA02G0311700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:I1P561] MRAAEERKGVVPAARRRDQFPVGMRVLAVDDDPVCLKVLETLLLRCQYHVTTTNQAAIALKMLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVLKGITHGACDYLLKPVRIEELRNIWQHVIRRKFSTRDRANLDFYEECNKPPNADSDHVHGHVTCGSPDQSGRPSKKRKEYCSEEEDEGEVNTQDIDDPSAPKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLKRLSAVASQQVSIVAALGGRDPFLHMGGFEGLQGYQAFTSSAALSSFTPHGLLNSPRNNPAALGTQGVPASKSIQTMSGSHTLSHSINDANKYHLSLPGNQKGNLGQGLAASLGQTQMQQKWIHEETDDLSTILSGNGLSNGMSGTLQSVTSSPLLPQELAECTQAKIVSQPSIRTSSVSSEHIEGAVGVSSGLLESRVSQQSTIPLSGFSANGLLIHGSFNNTCANKLGGTSSSCAPARSSNDLMVARDTKGGASSFGGTMLLPPDTEQKYLNFGGGNGLKQKFDDRTADSLFDPKFVWSSVPSSQLASNIGAHHAMSQRWNNSSSNSSNIGARMIGQATSSGSTVIPQMKTDFLVSGDMAMPKNASDLSIPKLQSELSSSSCSFDGLLNSIVKVEKDDVTFSDDLGCGDFYSLGACI >ORGLA02G0311600.1 pep chromosome:AGI1.1:2:27417782:27419619:-1 gene:ORGLA02G0311600 transcript:ORGLA02G0311600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27460) TAIR;Acc:AT5G27460] MAAVVRRSRLLALLVGASRPNPRPFCCSSSSSSAPPATAREDGDGGDLLSRCLLRIPRKSGRAAAAAAVERWARERGRVSPPELRRDVVRLRRARRYEQALEILSWMDSHNDFRLSPSDHMVRLELIAKVHGTSQAEEYYRKLSTAASKKAASFPLLHCYVTERNVQKAETFMAELQRYGLPVDPHSFNEIMKLYVATCQYEKVLSVIYLMKRNNIPRNVLSYNIWMNACAEVSGLASVQSAFKEMLNDDMVEVGWSTYCTLANIFKKYGQSSKALACLRTAETKLSSTGRLGYSFIMTCYAALNDRDGVIRLWEASKIVPGRIPAANYMSAMVCLIKVGDIGRAEWTFGSWEAESKKHDVRVSNVLLGAYVRNGWIEKAERLHLHMLEKGAHPNYKTWEILMEGFVQSKQMDKAVNAMKKGLSLLKTCHWRPPLELLEAIAKYFEEQGSVEDADRFIKVLQKFNLTSLPLYKSLLGAYINADIVPQNIPQMIAGDQIDMDEEMDQLIIRASKIDIT >ORGLA02G0311500.1 pep chromosome:AGI1.1:2:27414561:27415448:1 gene:ORGLA02G0311500 transcript:ORGLA02G0311500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSDPTAAVIPKPGGIGVGGGGGDDEEPVEIREVWADNLEEEFALIRDVVDEFPFVAMDTEFPGIVCRPVGAFRSPADYNYATLKANVDMLHLIQLGLTFSSPRGELPALGPGRRRSVWQFNFREFDDARDIFASDSIELLRRSGIDFRRNSERGVDARRFAELLMSSGVVLNDSVYWVTFHAGYDFGYLLKILTCSSLPDTQAGFFKLMKIYFPTVYDIKHLMKFCNSLHGGLNKLAELLDVERVGESHQAGSDSLVTSCAFWKLKDSFFAGSTEKYAGVLYGLNAENGVSAH >ORGLA02G0311400.1 pep chromosome:AGI1.1:2:27411515:27414006:-1 gene:ORGLA02G0311400 transcript:ORGLA02G0311400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VITLYFLLSLLLLSLSLSLCRPPAGGEGRRPAGSSASSGQRVLFGGLVSVEDEHERQENGSSFCHTIVTAMEHASSSLWCSSSSSAATARLLAPCSHFDRARAAAQSAVGHVAEVAAYRLIFLDSHHSFYDGLYVGGVADARIRLALRTLKQNLSLLLSMLVDRAQPVAVREVMKASFQPAKLNDYLKPYAWKFQGEENLDDIYSDALKKIPDLALHSSGAQGLILANTSDCLLPTNANVIQGEECMYSQQNTDNYGIKYRNQLPFLLNQVAETHLVDQIIERHTYSLQYTKLIATVAWQVLTELSINPQFLSSGPRLVHASEEVHEVILVVEWNTFSAVLSRFSMAGLLEFNPGRDNHMLVMTGIYAWLIMLCKAWLSDAPTQIGMVAVLEHQVLQEELQTSFDPGGFLHRLGDKPNFKKKGLSGSRLGYTWAARQPVAKKPKQAQAETIQGQQQLKGEEGK >ORGLA02G0311300.1 pep chromosome:AGI1.1:2:27406890:27408058:1 gene:ORGLA02G0311300 transcript:ORGLA02G0311300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGEVEDDARAGAAPNPGGIAGEKEGDLDAEDSGGRSGADDAAAAVSHGATSTDSIVLPPEPTSGHLASLLRARKLILVVDLDHTLVNSTADYDISGTEDYAAAVAKLLDPDGVYFGERIISRDESPQPDRKSLDVVFGSAPASAAERAAVVILDDTAEVWEGNSDNLIEMERYHYFASSCRDFGSPWECTHSLSERGVDESERAAALRVLRRVHAGFFAGGGGSFVADVREVIRRTRREVLRGCTVAFTRAIASDDHHSVWRRAEQLGATCADDVGPAVTHVVATNPTTFKAVWAQVFGKFLVNPEWINAAHFRWSKPKEEHFPVRW >ORGLA02G0311200.1 pep chromosome:AGI1.1:2:27404351:27405610:1 gene:ORGLA02G0311200 transcript:ORGLA02G0311200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAAAAAADDDDYQATTGGMEPRGEEDNGASTRGVTGRLLAWRGEEEDDDDAEDSGGGSGAGANAEEDDAASAVSLDTISNDSILLPPEPTGANLASLLRARKLILVVDLDHTLINSTRFAHLSDDEKANGFTERTGDDRSRGLFRMGLFRMITKLRPFVHEFLREASAMFEMHVYTLGNRNYATAVAKLLDPDGAYFGERIISSGESSQPDRKSLGDVFGWAPEMERAAVVILDDTAEVWKGYRDNLIEMERYLYFASSRGKFGIAARSLAERNRDESEREGALAVALRVLRRVHGEFFSGSVCSGSFADVREVIRQARREVLRGCTVAFTGVIPSGNGGRASDHPVWRRAEQLGATCADDVGEGVTHVVAGKPVTRKALWAQTHGKFLVDTEWINAAHFRWSKPEERMYPVKGEH >ORGLA02G0311100.1 pep chromosome:AGI1.1:2:27400175:27403945:-1 gene:ORGLA02G0311100 transcript:ORGLA02G0311100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) TAIR;Acc:AT4G15850] MVVAMATKEEEGGPSSRVPHLPWMRNPVDIDSFSGCPVAHLPRLDLRLVKPLQRMGIESFFPVQVAAWLETIGPGAFERDICINSPTGSGKTLAYALPIVQMLATRKVRCLRALVVLPTRDLALQVKEVFDAIAPVVGLSVGSAVGQSSIADEVSNLIEKSKQGLFPSLDEEYIQMEPQTKVDILVATPGRLMDHISMTKGFSLEHLQYLVVDETDRMLREAYQSWLPTVIQLTRSSDQNHSWSDMNRETLLHPLTTIRRSGVERGFKGKSFPRLAKIVLSATLTQDPSKLSQLELQHPLLLNSGKKRYRIPTKLQSYKLVCKSNLKPLSLIVLLQELRGEKCLVFTSSVESSHRLSTLLEFFEDLPFKFSEYSRLQRESTRRKTLDAFKEGKIDVLIGTDRMARGIHIDGLRYVINYDMPPYVKTYIHRAGRTARAGESGSCFTFLRKHEVKAFDKMLKKADNSSCSLHSLPEESVETLRPVFSSALKKLEESLESEATKKSKSGDKAPNASKRKRTINT >ORGLA02G0311000.1 pep chromosome:AGI1.1:2:27394364:27398501:1 gene:ORGLA02G0311000 transcript:ORGLA02G0311000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNARQMHGGGGGGGGQDDFFDQMLSTLPAVWSELGSGKPAWDLTAGAVGGGGGASDDHSAAAFDDSALLASRLRQHQIDGGGDKPIMLQLSDLHRHHGLAAGDDSGGAAGFLPLSLFADRSQDDIDAAFKSPNGARGDHALYNGFGAAGMHGAAAMQPPPFGQVRSMPAQSFGGGAAASGGGGGGSASAAAAAGASSGGGAAAPPRQRQRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGASAVAPLVANMSSESNGNGNATSSSGNGEAANGSSNGDNNRGGTLRVTEQQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISSATSSSLLPRTGGGAGGSLHEGGNGTSPPLVNGAATGCDDSGVFFSVKFVVELSFLLLNEDCRGKEESKLLVQKGP >ORGLA02G0310900.1 pep chromosome:AGI1.1:2:27388042:27388855:-1 gene:ORGLA02G0310900 transcript:ORGLA02G0310900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNNVFQVTLLSYFVLTIRGKELKCINNGENSSQILVGQQVNRAIQGAIDDDLKPIAAAAVITLTVVDARNLTSCRRCSSRAFRQHSPDSRW >ORGLA02G0310800.1 pep chromosome:AGI1.1:2:27382450:27387386:-1 gene:ORGLA02G0310800 transcript:ORGLA02G0310800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANNVFQVTLLLSYLLLTIGGKELKSINXXXXAQDGDIYDCVDVNLQTTFKHPVFKDHKIQMEPSSFPVGLDIKSPLEGAVLQAHLSTFNCPIGTIPILHNNNMDNTILQRIGELASNESRMLGAGIEYWDEVYGIRGSIYVYDPKVKKDSEDLTASWIQISNLPKAAVGVGIGVGSCVSPSLTGDNFARFHIFWDPKTENWWLAYGSNNTPIGYWPSSQFSYLKAKGDYAFWGGYVQGPIAASDPPQMGSGHFASEGFGKTTFIRNIQVIEDKNNKLVTPNIRDSDPFSSDPKLYSYDGYGLNDNGMHVYYGGPGKYS >ORGLA02G0310700.1 pep chromosome:AGI1.1:2:27372621:27375186:-1 gene:ORGLA02G0310700 transcript:ORGLA02G0310700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLAISIVTLCLTYLVLVGGVKIHIDRDGIIGSTIPSKDLNMTIQELIVQKTGDGDVYDCVDIYKQPAMNHPLLKNHIIQMEPSSYPLDLDIQSILSSNISESNFPDIKCPTGTIPILRHNSSEAHMPNGGSQEEVWPSFSGDNFVRFHIRWVDSSNKPCYDFNCPGFVQVSQLAGIGGRITPVSVYNGPQYIITVMLFQDRKTKDWWLARLDKSSAIGYRPLVYWPSKLFDTLQEKATYAFWGGWVRGPTVSSDPPPMGSGHFAKEGYRKAAFVKGIRIANKDNNFVNPNVGKATTVTTRGLCYTVDGFGVLKMGMHVFFGGPGQCPN >ORGLA02G0310600.1 pep chromosome:AGI1.1:2:27368340:27368570:1 gene:ORGLA02G0310600 transcript:ORGLA02G0310600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRGRGRRKAETTRPRRHGHVKGAGGSRRMRAGRGRGGGRAAALGVGRRWGGWEWGGAVEACGGAGWVEEEEGR >ORGLA02G0310500.1 pep chromosome:AGI1.1:2:27364259:27367794:-1 gene:ORGLA02G0310500 transcript:ORGLA02G0310500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCPFAKLASAGATCPVKSDNKTTSCPVTANNHTNDDDNEKTGNANTDPRVVPAKCPFGYDSNNTFKLGPLSCVVCHALLHQSSKCTPCSHKFCKACILRFKDCPLCGADIQGIEPDDELQGLVDRFIDGHARIKRSHAAGDGEAASDKTKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLSMCAEDIREELKSKEDNQELCSQLGAVLGMLGDCCRTLGDAPSAITYYEESAEFLSKLPKKDLELVHTLSVSLNKIGDLRYYDGDLHSARSYYARSLDVRRSAVKEHSAVASQVIDVATSLAKVADVDRNLGNESMAVEGFEEAIKCLENLKLESGEASLEQRRLSVLDFLRKQLDDK >ORGLA02G0310400.1 pep chromosome:AGI1.1:2:27361920:27363118:1 gene:ORGLA02G0310400 transcript:ORGLA02G0310400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERNAAPRVSASSSAAASPSTVGALLSKASVAAAPAREIPSPRSLLSRILHRSGGGGGGFGCRLRLPRYCSSGAAAKEDAAAEYVEVEAEAAAPKVVGRQAVDRESPRSSLGKKAAEEVSPASLGLGASLVLLLSKSAAELNRMAELRAQMERLVLDTKGEEEARSSNHPNASDDHADITKEEPTAFSGGALSRCSRTAAAPGNAGHHAAVPMDQMEADLEAELTLLQCATPRRDRQLEIGDDEEESTDTHAATFADADDDTDGADDDEEEEESGAAAQGGVSARELERRLHELLQWRHEERIAELETALERARKRLQEKEREVCWWRNTAKLVTRHKDDSRLR >ORGLA02G0310300.1 pep chromosome:AGI1.1:2:27354070:27360306:1 gene:ORGLA02G0310300 transcript:ORGLA02G0310300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEAEEGLMHRRIEFHAARRPPRAVEGAGGRFWVEILSPDADKAAVVAAARSEGLVRGLEKGEGSGGGIDPELRVARMYLRRIGAGLQNFGNTCYLNSVLQCLTYTEPFVAYLQSGEHMSSCRTIGFCALCALQRHVNSALQSTGKILRPVHIVRNLRCISRSFRISRQEDAHELMVSLLESMHKCCLPSGVPSGSPSAYEKSLVHRIFGGLLRSQVRCTTCSHCSNKFDPFLDLSLEIANAATLVKALQHFTAEELLDGGEKQYNCEHCRQKVVAKKRFMIEKAPSVLTIHLKRFSPFNPRHKIDKKVQFQPTLNLKPYVSNPEGMEFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGIWHNLDDNEVYQVREADVLRQKAYMLFYVRDRTRSSVMYSDNCTVNLSVNKMISEKITCMNGSIKKDTVETKTLRVPSFVKEDVYLKKQNSENGQSSNISNAPQDQCSKSHSNTEVLEAAASPNNDPASTQKASCIRPDTAAVNLPMKTEQTAPDNRREITSPAQADVSVLHNASFNQKLYEKQLQEHQLETDDALTDSRKDAPAALCTYGVGDGLLGRNGQSSEPHIGPCPAALPIHNGGEGLLGANGQASEAHSGPCSSAFPIHNGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGEGLLGANGQASELHTDPCPAAFPIHSGGEGLLGANGQASEPHTDPCPAAFPIHSAGEGLLGANGQDSEHRTGPIPAAFPVWNGTDVILEKYGQVSGPADPFCKPTPTISDTVSIAQIIPTEHAAVSNGTVSSSDDLTGNTEANESSEFVKNYGEQVMVRDLSAETSGDRANADEQTSMQNNTLEVGKDVAKDTDNVANAKEQVLNHPLAEQVKSEKQIYPGISTTLICSEDTTQLIDKNTGSGKLNKKMNCKSKRQVKYPAVRMFFGPKQLLLASVKLHKKRKHKRSKKHHALSVHIESIITDQQTSTSETVFSKIISHKSRGQKRSCASASSEDGTQLFNKKQHIEGTTNSVPMDNNDTKLASADSNDAKLASAELPSSCTNSLVNQTDSRNNVNANERGPWHFNLLTRGLREITVPRWDDTEIKNTKETEILHPRTRSIGYVLDEWDEEYDRGKRKIRKPKHGFSGPNPFQETANIRSRQRMRLQSDQTKSGNQPLRI >ORGLA02G0310200.1 pep chromosome:AGI1.1:2:27349829:27351500:-1 gene:ORGLA02G0310200 transcript:ORGLA02G0310200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFFKKPPDGLLLITDNIYVFDHCFSMKEMEEDHFEAHIRGVAAHLLDNFGDHSFMISNFGIRDEESPIYHILSEYGMTVLDYPGHYEGCPLLTMEMVHCILKSSESWLSLGQRNFLIMHCEQGCWPILAFMLAALLIYLGQYSDEQKTLDMLYKQSPVELLEMFSPLNPMPSQLRYLRYVSMRNVVPEWPPADRALTLDSVILRMVPDFHGQGGFRPIFRIYGPDPLMPTDQTPKVLFSTPKRSNVVRFYSQADELVKINLQCHVQGDVVLECINLYEDLDREDMVFRIMFNTGFIRSNILMLNRDQIDILWNTQDQFPKDFRAEASVLYQVAN >ORGLA02G0310100.1 pep chromosome:AGI1.1:2:27348361:27349324:1 gene:ORGLA02G0310100 transcript:ORGLA02G0310100.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXGGENDDTGNGGGGGGGGGGGGAGDWPLFSGAETTDEVDEYIEFEINVGCIGGGGGHITVDGFRGGGSGGGRGGDAAVEIEINEVRVSEVRGIAGKASGTYVLVLLDSFSMDNRESDDNAVTLEALENSGGNFSRASGEADDSGGCEGEGMIDLRETLIILVLVFMGKMGETGANETVGGGGGRGGGVHKAIKDAVDAELFTNLSVPVAELVRTVLGVLPCLKVVPLMKHWSLAS >ORGLA02G0310000.1 pep chromosome:AGI1.1:2:27342176:27347297:-1 gene:ORGLA02G0310000 transcript:ORGLA02G0310000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:I1P544] MPNMEEKRARQRPSVAAKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMSSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGFTGNKENLGKCEQFFLEMMKVPRVESKLRILSFKIKFLTQVADLKNSLNTINSVAEEVXXXXXXXXXXXXXXXXXXXXXXXXXXXSAVGFRLDSLLKLIDIRARNNRMTLMHYLCKVLSDKLPEVLDFNKDLTYLEPASKCGGESQIQLKELAEEMQAITKGLEKVEQELTTSEKDGPGSEIFYKKLKEFLADAQAEGRSLAFLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLGFVKTFERAHAENLRQMELEKKRAQMEAEKEKVKAAAHKEDLLEP >ORGLA02G0309900.1 pep chromosome:AGI1.1:2:27336545:27339553:-1 gene:ORGLA02G0309900 transcript:ORGLA02G0309900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASTSAAAVAAASRLLVRRAPPRLLRRLPRAALAASRPSLPSSSSYGAAAVALGHRARMGHTAAAAASAGPALGLTKPNAVEPPQVSFAAKDVEFSEWKGDILAIAVTENDLVKGSDSKFENAVLKKLDGQLGGLLSEASAEEDFTGKAGQSVVLRLPGQGFKRVGLIGLGQNAPSTTTACKGIGESVASVAKSAQASSAAIVFASVGGIQENFKLTAAAAIASGTVLGLHEDSRYKSESKKVHLKQVDLIGFGSGPEVDQKLKYANDLSSGVIFGKELVNSPANVLTPAVLAEEASNIASTYSDVFTATILDVEKCKELKMGSYLGVAAASANPPHFIHLCYKPPGGNAKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALGQIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIIDLATLTGACVVALGPSIAGIFTPSDELAKEVAAASEISGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVQWMHIDMAGPVWNDKKRAATGFGVSTLVEWVLKNSS >ORGLA02G0309800.1 pep chromosome:AGI1.1:2:27333928:27334167:-1 gene:ORGLA02G0309800 transcript:ORGLA02G0309800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEHPIPAQSPACPTVSEGGSAAPAPATDSKPKKKICCACPDTKRLRDECIVEHGESACTKWIEAHKRCLRAEGFNV >ORGLA02G0309700.1 pep chromosome:AGI1.1:2:27327299:27333260:1 gene:ORGLA02G0309700 transcript:ORGLA02G0309700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1P541] MATRGRIVAAVASVVVAWLAVAVGVNGGGCEAERDRVEALPGQPPVAFAQYTGYVAVSEASGRALFYWLTEAAAAAATKPLVLWLNGGPGCSSIAYGASEEIGPFRIKTNGTGLYLNKYSWNREANLLFLESPAGVGFSYSNTTSDLKTSGDERTAQDALQFLISWMSRFPQYRHRDFYIAGESYAGHYVPQLARKIVEFNKASPYPFINLKGILVGNGVTDNYYDNIGTVTYWWTHAMISDTTYKAIMSSCNFTSANVSRLCNRAMSYAMNHEFGDIDQYSIYTPSCAAAAAANATGRRRGKAAVLRFKDTFLRRRSFGYDPCTETYAEKYYNRPDVQKAMHANITGIPYRWTACSDVLIKTWRDSEFSMLPTYKLLMKAGLRIWVFSGDTDSVVPVTATRFALSHLGLKTKIRWYPWYSAGQVGGWSEVYEGLTFASVRGAGHEVPLFQPRRAFRMFQSFLAGEPLPKS >ORGLA02G0309600.1 pep chromosome:AGI1.1:2:27317101:27320064:-1 gene:ORGLA02G0309600 transcript:ORGLA02G0309600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLAFAPGVPRVVPRRLAAGGHAMACSSSSARFMARRPRLVVVARYNASSSSSYESDEEEEEGFGGGGGWGRRDRGPDPDYDPALDIERIEASTVRLLDEEKRMVGVVSVSEAVQIADENDLILAILSLDGDPPVLRLFQEKDYKKHKYEQQKKKRTQQKRSVAKRMGLKELKMGYNIDIHDYSVRLKAARKFLKAGDKVKIMVNLKGRENLYKKQAIELLRRFQNDVGEMATEESKNFQERNIYLVLVPNKIAIQKEQDELNKKDTAIEDSDQSDDQPEESEPVPAQPEESKETETEVSANV >ORGLA02G0309500.1 pep chromosome:AGI1.1:2:27309404:27315395:1 gene:ORGLA02G0309500 transcript:ORGLA02G0309500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACGIRAPGDVLLRKSELSSAAAAAKNYGNGHDDAAVRRKAAAGSPATPRRHPSPNAGRSSAAAAEAAGSQARRSQSTERRPATPSRLSPGGSRAAAPSSRISAPTSPSSAPSSPSSSSSSSSTPVRDAVAAESQSAPRRLAGGRAPPDGLWPSMRSLSSSLQLEAKGKRSNGGSADQAKARDAGDRKRSPSRGRSAAEQQPENPHAKVIDHHRWPAMMGGRVSVSAMSRSVDLTDKISRPALSSIPSRGVSPKKATMASATNALTRSIDLADKIDRLVSLSVSSPRTPTASNGTADESKSMSVSKGTKPAAVAIPSRVSAIITATSGGIRALSKSMDLTEKDIGTLSSAASSPGISPSVSVSSMSNATSQTTAKSTRGLSPRRTSTSIGSGALSRNIDLPENDKRPASSSASLRGNSPRRRLASDSVNAVVKNIDFAEKDSRAAISSTSSRGFSPRRRLASDGLDAISRSTDFSDKDSRPSTSSSSAQRGISPLRRLTISKGTDFTDKSYRPSTSSAASRGVSPRTRLASDSAGNILKSMDLADRDNKPSTSSASLRGMSPRRRLASDGISKNITFTEKDDRTMPSSVASQEISTIRRLPSDGADSISKNIDLPEKVTRPATSAASRGLSPRRRLASDGVNAISKSIDLADKDTGPARSTAALRGVSPRRQLASDRVDSISKNTDFTEKDKDTRPSTSSGASRGISPRRRLASDGVDDLSKGINFSQKSIRPSTSSMASRGTSPRRRLASDGVNALLKSTDFTDKDHRPSTSSAALRGMSPRNRVTSKSIDAKSLDFSDKDSRPFTPSGASQGTLQEVALASDGINALSEAVDTAVIGSLQSTSSVESGETSDARLNNGSGTVVNRIDFAQEVNIATPDGCNGHISESMDSHDIGTSAPSMSITSQEQSPSRTVSNGPKTLSEDINATKKNNRAMTVKIPSRGASPRRRLASEGFGTIYKSMDFSEKDRTSINMATPSRGMSPRRTARSGIVDMSKSMDFSEKCNGPISSIAPSHVVSARRILGPDGANAMSRSMDLTDKIRQPISSTVRKMSLADSRAKAPDLLSGDIESPGSANGNESQEENAGSSLDAPSNDSEKSAPPKRLARTLSSPSPTKASLISSFTPRRMPSPSRNRPSTPVSPCSSTRSDSASSILSYMGDVTRGKRSPSHMEDAHQLRLLYNRSLQWRFTNAYVDEMQSVQKMSAETMLYSVWDANSSLCDSMVMKRSYVQRLRQEVKLGVVLKEQMDYLTHWAALETEHSTSLSSAIEALRASTLRLPVTGGAKADVFTVKNAVSSAVDIMQAMGSSVCYLLSKLQATHSLVTELSAVAANESSMLNEYRELLGTAAALQVLESSLRTQLIQETE >ORGLA02G0309400.1 pep chromosome:AGI1.1:2:27295532:27298652:-1 gene:ORGLA02G0309400 transcript:ORGLA02G0309400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASRAPNPPPPAAAAAENVRSDAGHAEPSCSTPAHHQVFRPVTRSMTRKPTAAAASSDVKGGESASTSKRRDSTDPCFSTQSAAARPSVTRVRTPHKVASSAWKPLTQPIVMSEDLKRASVPSTNPSAKRSRVASSQAAEDSPTVHRGKKRNEESASKGDQLDGAVIPSPSKKLQTGKSPSDVLPKRKPTIRNKDGKLAAPLSMVKLETESGESSVIASSKIGPATTNDNCQSAELAQQLQLDTKNNSNDIITEAIAYRTNQADLLVAPVTTDAIASGSSQVNLSAFPVTAEAIPNRTHQVNHSAGPLNIKAMINRTSLVNKPVAPVNAKAIANRAQQVAAHNKLPSPVIAAPRQNLQDDLQRKLAKLLIARKQPSGQAGATAPLVTPKLEIGKAKGSSSNVLSDPAYANVKALLIKQQEQLLQQFKSANSQPQVHIKGPALTDKDEAPPVEPLGTRCQLCKLDIAFRPQGDDARDNAPPVAAVLGCHHAFHSSCIEAIYGLAEPSQCIGCLDSAKA >ORGLA02G0309300.1 pep chromosome:AGI1.1:2:27291032:27293409:-1 gene:ORGLA02G0309300 transcript:ORGLA02G0309300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPASADPPRIFWKSRRRSASANGRSLQQELNKEAADEQLNNQAHEEAMKIDDANAVSTDDDVHPDPKANLSEKRKALFEPLEPINGKRSSAEMLLPPPDFEPASYPKGWLVGKKRKLVNVDVVESMRRIAIQEMNRKDREINGLNEQLEEDSRVLELLQKQLADERKKRTEIEKENSMLHEQVSMLMNMLDENEAFDEEGEAPPPDTL >ORGLA02G0309200.1 pep chromosome:AGI1.1:2:27285308:27290019:1 gene:ORGLA02G0309200 transcript:ORGLA02G0309200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHQYVPRKRRAERSLAPAAPAEIFNVEAEEGRAGDVPLHKRVKEELQLQPPPPSLWSPRRRGKPLMQXXXXXXAMENTKKKDIKSRSLIASERVKASNFAADFLKIGTWECTSQYEGDLVAKCYFAKHKLVWEVLDAGLKRKIEIQWSDIIALKATCPENGIGTLDLVLAGPPTFFKETDPQPRKHTLWQVASDFTGGQASINRRHILQCQSSLLSKNFEKLIQCDQRLNYLSLQPYMIDSPVFRPKTEGSIFENPNKSKSYHGFSYLEGEHESHLSKYIDHVSPCDFPLMSKKDGMKDDIANQQQSFSRPINWGASDVDLQVDVSQELKSPHPNSLSQARSLSIDDLLSHLDDCIVEQKPAGNNPSLPISEASSNELLEKITQQLLSDSHVAPASDEKRVMARVGSLLSLLQKDAVPANLPKFEPNDSGKIGVVEVGISSALDMGIANGTNPPGISRKDSYEELLSNLFNISEDFDD >ORGLA02G0309100.1 pep chromosome:AGI1.1:2:27282275:27282583:1 gene:ORGLA02G0309100 transcript:ORGLA02G0309100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:membrane-associated progesterone binding protein 2 [Source:Projected from Arabidopsis thaliana (AT2G24940) TAIR;Acc:AT2G24940] MAAELTAAQLRAYDGSDPSKPIYVSVRGKVYDVTSGRGFYGPGGAYAIFAGREASRALGKMSKDDADVSGDLSGLSDKELGVLADWETKFQAKYPVVARLTE >ORGLA02G0309000.1 pep chromosome:AGI1.1:2:27274470:27276291:-1 gene:ORGLA02G0309000 transcript:ORGLA02G0309000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKGSDRLSKLPDDILLNILDQLHVHDAARTSVLSRRWRHLPSMLSQLVIDFVHFMPNGASMLSDDVLVWTNAAVVEATKSILELRNPDEYTIHLLRMLFYLNEGDCISIGQTVGHAMTTQKVEMAEFIIIVEKLPTRCTDDDLIDYGRRFMSFFDACPTAFGGLTRLIVGNLRFGESDIHNVLKTCQNLQYLRLFNCDSGNLTVLQLEHPQLNVLNIANCRFESIKLNCLPKLAQLMVEGWLSFQDPLTFGYVPSLEAVRLAGVGLKRHKLVKLSKILGKISVRDLRLNFKSEKIWVQPELPQKLASVFYKLRLVNLFRVPEGCDLTWTMFILEAAPFLKELRMTVWDHWCNMEKDEEMRASLYSSNKSVEWESSAEDFKHHNLSVLTIFCFQSEDFLVAFINRIMEVAVNLEDVFLYNMLACDTCKDIRRPCKFPRTKRQRCSLKKRINEGNSFAKFHFLTSVTADHVPISEYP >ORGLA02G0308900.1 pep chromosome:AGI1.1:2:27272946:27273614:1 gene:ORGLA02G0308900 transcript:ORGLA02G0308900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVDLYRGILFCDVLSGGDHPTLVGVPLPLPRRLVDRGAEVEGCPKANRGIAVLDGCLRMVELEVHGEILPTRDPETGHLDREIKNWELYMYTNSKITGAWEDWQLVHRVEASQINIDQAIHDSLLQPGLLRDKMQDGKERKLHNLLTSQPALSLDGEGVVYLLTKAKFMQRQAWVLAVDVKGNKILGLAEFGTDTYLGLSLAYCPSRISSYMDAWTSPDN >ORGLA02G0308800.1 pep chromosome:AGI1.1:2:27266365:27271335:1 gene:ORGLA02G0308800 transcript:ORGLA02G0308800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCLDENKAFLSTADSAVKLLTDATKSVSGWKGIEYRAAFPRDNPPGANFYPPDMDKMEFELWKNGLPEKEQKYATGFFTVIKRHDALLPSILAQSDGSNQTKTSDDLFVVPYSEEYKSSLEKAAELLHKASECSDSPSLKNLLKTKANAFLSNDYYESDIAWMELDSNLDVTIGPYETYEDGLFSYKATFEAFVGVRDDIATSQVKLFGDQLQDLEKHLPLDNIYKSDNVSAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIANACIREEQEDYVDFEPYYTHIVCHECCHGIGPHSITLPTGKRSTVRMELQEFHSALEEAKADIVGLWALNFLIKKGLLPKSLSESMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYEKGAFVLHSDGKFSVDFTKKVEDAVESLSREILTIQAKGDKPAAQSLLQSHASLTQPLRVALDKIEHMQVPVDITPIFGTANKLLANDQ >ORGLA02G0308700.1 pep chromosome:AGI1.1:2:27262541:27265613:1 gene:ORGLA02G0308700 transcript:ORGLA02G0308700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPEERLDVLTAGGEKTGASKPRSEVHRDGDYHRAVHVWIYSESTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLSSAQRELDEELGIKLPSDAFELLFVFLQECVINNGTYTNNEYNDVYLVTTLTPIPLEAFTLQESEVSAVRYMHLDEYKSCLAKESGEYVPYDVNGTYGQDHNLTSLSEGDREALGYILKASIVIDDIFYEQVWNSNCTLRDWLKARANYSSFDKLKWLYYSINKSPW >ORGLA02G0308600.1 pep chromosome:AGI1.1:2:27259055:27260476:1 gene:ORGLA02G0308600 transcript:ORGLA02G0308600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46680) TAIR;Acc:AT5G46680] MPAWCSKGSGAMARGRGRWLLPTRLLNVSLAALCRGGSLAAAESVLVDAIRLGLPPDVVTYNTLLAAHCRAAGLEAGLAVMGRMREAGVEPDAVTYNSLIAGAARRGLPIHALDLFDEMLRAGIAPDSWSYNPLMHCLFRSGHPEDAYRVFADMAEKGIAPCDTTYNTLLDGMFRAGYAMNAYRMFRYLQRAGLPVSIVTYNTMINGLCSSGKVGYARMVLRELGRTDHAPNIITYTAVMKCCFKYGRFEQGLDTFLSLLDRGYISDVYPYCTVISALVKKGRLGEANNYCDLMLQNGSRLDSVCYNTLIHMRCQEGKLDDAFELVSMMEDGGLESDEYTFAILVNGLCKMGHIEAAEKQLFYMEIKGMQSNVVAYNCLVDALCKFQEVDAAIRLLQCMKLKDDFTYTSLVHGLCRVGRYHMASKFLRICLREGNNVLASAKRAVIAGLRSSGFKNDLRKVRVALNMAKLLRP >ORGLA02G0308500.1 pep chromosome:AGI1.1:2:27252553:27258167:1 gene:ORGLA02G0308500 transcript:ORGLA02G0308500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAQINTDLRSSDALRQSSALLQALQQCAAGRDVSALARTVATEILSAPSSAVCKSLALDLLRALPLPPDLLDPLLLSSLRSDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHADIAAALSSSAESLRLAAVTSLSSLLPRDDLALMCSTNPSLMGHATTWWGRLAELALDPADAVAATAFEALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAAEAINFIWSRRNMLIARSMVMPVERFRVTVYPLVHAAKMVASGMVNTLRQIAKPGDTTIDDSVESSAEKLVGVSDIISHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAATTAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDANVKGDLNSITSKSLFREELVASLVESCFQLSLPLPEQKNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCGGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIKDLREVHTPRISGRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFKIDSSTTTSSRIQDVQSVLICAQRLGSRNARAGQLLTKELEEFRASTSADSVTKHQSRYVLQIIKYLTNHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAIEELWRPNPTQLTLLQMKGIGALHKELPKTLTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTELELHRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFYGSGGSADYEGDYAEEDSQTVRQKRSLRPELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDDTATMICKFVVRASDESITREIQSDLQGWLDDITDGAVEYMPEEEVKSAAAERLKVSMERIALLKAAKPKVPPAKTKEEEEEEKKEQEDLDEFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCXXXXXXXXX >ORGLA02G0308400.1 pep chromosome:AGI1.1:2:27246852:27250228:-1 gene:ORGLA02G0308400 transcript:ORGLA02G0308400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH-type) family protein / D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G24830) TAIR;Acc:AT2G24830] MAGEEGEDEAASIELQLEHHLQEQRASLTAVDEALAADPSNADLLEVHEELLAAIKDAEEGLLHLKRSRLVKQIDEIFPNQELTSEAPEVAVDPPDDVEPEPLEPQEFSVGSKCRFRHKDGRWYNGCVIGLEGSSDARISFLTPTSENMSMCKFFLQQRCRFGSNCRLSHGIVIPTLSLKQFTPTRWQQSLVGSSILAASGHHSGLWRRAELESWDDDLKVGQVVFQDDGSSSRLPSDSLSISEYADASDEDGEGSSSDEGSDFSEDGDQEDESVHQGLGLLESKNLSGVQTETAIFAKWEHHTRGVASKMMAKMGYREGMGLGVSGQGMLDPIPVKVLPPKQSLDHAVAASEVNDSVGPGKKRNRGGKRKREKKFAEQARAAKAEEEERSVFSFINSQLVGQDVAEGSAVKSKKDSSGEANGHAKKEDRRSLLAYDDEVKELRSRVEKLEEMMKRNRKDKAFYEAASKKLEQTRKALADAEATHASATNAVARKEKEKKWLKF >ORGLA02G0308300.1 pep chromosome:AGI1.1:2:27242607:27245459:-1 gene:ORGLA02G0308300 transcript:ORGLA02G0308300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein [Source:Projected from Arabidopsis thaliana (AT1G16180) TAIR;Acc:AT1G16180] MWAASCLASCCAACACEACRTAVGSIGRRSARIAYCGLFALSLFASWALREVAAPLLQSIPWINHFHKTPDREWFETDAVLRVSLGNFVFFTILAIIMAGIKDQKDPRDKIHHGGWMAKIFCWVVIVFLMFFVPNGVVSFYESISKFGSGLFLLVQVVLLLDFVHGWNENWVAKDEQFWYMALLVVSVVCYIVTFSFSGLLFHWFTPSGHDCGINLFFIVFTLILVFVFAIVALHPKINGSLLPASVIALYCTYLCYSGLSSEPRDYECNGLHNHSKAVSTGSLSLGLLTTILSVVYSAVRAGSSATVLSAPDSPRAGADKPLLPFSKADEEAEKKDVPRPVTYSYSFFHLIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIATQWATAGLYIWSLVAPLLFPDREF >ORGLA02G0308200.1 pep chromosome:AGI1.1:2:27240198:27241832:1 gene:ORGLA02G0308200 transcript:ORGLA02G0308200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocon at the inner envelope membrane of chloroplasts 55-II [Source:Projected from Arabidopsis thaliana (AT2G24820) TAIR;Acc:AT2G24820] MTTPRATTTTSPFSSLLLLPISPSTATPPRRARTPPPAVAHGGVRWTAGGRRRRRGCRAAAAVVEDGVLLPKEQEDDDDEASSSAARYDWREEWYPLYLAKEVPDDAALPLTVFDRQLVLYRDAAGVLRCHEDRCPHRLAKLSEGQLVDGKLECLYHGWQFDGEGKCVKIPQLPDGAKIPRNACARSYEVRDSQGVVWAWMSGTNPPDERKLPWFEPYARPGFTDLSTVHELPYDHSILLENLMDPAHVPISHDRTDWTAKREDAQPLFFEVTERTPRGFAGYWGKQRTPHLRNLLRFEAPCVLTNTLEFVDKDGKEQCFSAHFLCRPAGQGKSMLLVRFGSTQTSPLVKVLPRWYFHQNACKVFEQDMGFLSSQNEVLLREKVPTKELYLNLRSSDTWVAEYRRWMDRAGHGMPYYFGHSTLSPPPVPAVVEQAPAGAVAGMSASFPAKGGVGATHAPNPTNRYFRHVVHCKGCRDSVKRYASLKNAFAALAAAAVAAAILAATRQLKAVLLASAAALAAASYACNWVLSLITTNFIRTHRRL >ORGLA02G0308100.1 pep chromosome:AGI1.1:2:27233265:27233845:1 gene:ORGLA02G0308100 transcript:ORGLA02G0308100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQRHCRVWIGRFKSLFSHLVASHKYYIFISKESEIIKNLVRIDQRAVPAAAAAEDRRRKGEKRRSGSGETKSSSSSCSSSSMEERVKIGGDGEEEEEEAEEEAPLEVVRAAKRRFGVVVVVGPPPS >ORGLA02G0308000.1 pep chromosome:AGI1.1:2:27218555:27221173:1 gene:ORGLA02G0308000 transcript:ORGLA02G0308000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGISTLASNDLQQLVAGIKYWDEIYGSQASINVYEPKVKQDSNDLSASWIQIGSVPKVGKGVGIGAGSCVYPSFSGDSFARFHISWDNEELKKNCIDHNCPGFVQVSRSVGLGGRVHPISVYNGPQYVIDVLIFKDPKTKNWWLAYSSNNTPIGYWPSSQFSSMKDKCNFAFWGGYVQGPTASSDPPQIGSGHFASEGFGKVAFVRNIQAIEDENNKLVTPSIRSAHPRADNPKLYTYDDYGLNDDGMHVYYGGPGKYS >ORGLA02G0307900.1 pep chromosome:AGI1.1:2:27205992:27206667:1 gene:ORGLA02G0307900 transcript:ORGLA02G0307900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRDFATIKLCWRKDRRGHVSEGCDRSRPKEQTGNLMAFLPKQEKPGKFVDPHHLHLCSPIRGGEEGPPQSAAEVDTVPQSAKVELGGTVELLIQYGDKERMATKRAKPAGGSGRITVVAPPAATVADEGSGTLAPAMV >ORGLA02G0307800.1 pep chromosome:AGI1.1:2:27196817:27199629:1 gene:ORGLA02G0307800 transcript:ORGLA02G0307800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGADSDSGGMARPDGDARGISLAALSSASPHECLWFPGPTLQRHFSNDDGQGKYKKSQHRQLCDNYEINVLSKFVVSFFIQLATMHLRSYQQGSWHHPNQGLYVYWAKSFAQPARLWKRRKEPEDLTIALWQAWNKMEPEAKFSKHLLKSISGPSFYANLEQASKDARHENTRKVGYPD >ORGLA02G0307700.1 pep chromosome:AGI1.1:2:27192210:27192503:1 gene:ORGLA02G0307700 transcript:ORGLA02G0307700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRLTPSAAIAAIAAFSGDMKSKPTQSVVSGHSSLNDPSSADGGSARCLRLFRLAGWLVTFSSSHALPLTPTVTARGCSVARVCLLFFFFFLTWVVF >ORGLA02G0307600.1 pep chromosome:AGI1.1:2:27181392:27187354:1 gene:ORGLA02G0307600 transcript:ORGLA02G0307600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAWEKVATAAGLGGSGERRKYPIRVEDYELYEEIGQGVSAIVYRSLCKPLDEIVAVKVLDFERTNSDLNNIMREAQTMILIDQPNVMKAHCSFTNNHSLWVVMPYMAGGSCLHIMKSVYPDGFEEAVIATVLREVLKGLEYLHHHGHIHRDVKAGNILVDSRGVVKLGDFGVSACLFDSGDRQRARNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKFPPMKVLLMTLQNAPPGLDYERDKKFSRHFKQMVAMCLVKDPSKRPTAKKLLKQPFFKQARSSDFISRKLLEGLPGLGARYLALKEKDEVLLSQKKMPDGQKEEISQDEYKRGISSWNFDMDDLKSQASLITECDDSISCKDSDASCFYDLDTILPERATGPHMSRVFSIKYDTDTEYINAQDYKRGTCKWPGQETQLHRNSKHQINIHNRDKCNGGPLQVADEPSPEAVPKVPKSSAANVEDHDDRSKPPLIQQRGRFKVTPGHVELDKAHSPGLQKSHSMQAISHLPSLSIPSSIEAASTIIGGSLYMQLYNVLQTNMLQREQILHAMKQLSGCDMAMTSPACIAPASRASSPSSALSIDRSLLEAAHEKEKELVNEITELQWRLVCSQDEIQRLKAKAAQVLLSIIYIHKKDESSLTIGGHIYDTLVQLELESQPKPQTSPTSTLSYKLYVHTAEASVIGEPTSLLVSPCVVPPAGECYNRYPVD >ORGLA02G0307500.1 pep chromosome:AGI1.1:2:27175236:27176549:1 gene:ORGLA02G0307500 transcript:ORGLA02G0307500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEDDMFPSTPGKVKIERATAMNRQLHRCFASTSTMFLWALFLVAMTASYLSFQSFVDTSSKYFAASWGGLHWERQIRASAAPRRPPGSAAGAGMSVLVTGAAGFVGTHCSLALRKRGDGVVGIDNFNSYYDPSLKKARRSLLASHGVFVIEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVTLLEACKDADPQPAIVWASSSSVYGLNDKVPFTESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYSGKNRVDLARDFTYIDDIVKGCLGSLDTAGKSTGTGGKKRGPAPYRIFNLGNTSPVTVPNLVSILEKHLRVKAKKNVVEMPGNGDVPFTHANISLARQQLGYKPTTNLDVGLKKFVKWYLSYYGYTRGSKNL >ORGLA02G0307400.1 pep chromosome:AGI1.1:2:27164114:27165720:-1 gene:ORGLA02G0307400 transcript:ORGLA02G0307400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit [Source:UniProtKB/TrEMBL;Acc:I1P518] MSDAPSMAEKPTIKVETTPGDWRFPSANQTKRCFTCYIEYNKCIEAKGEGSDECTKYAKCYRSLCPGEWIERWKEQRANGTFAGPL >ORGLA02G0307300.1 pep chromosome:AGI1.1:2:27160916:27161524:1 gene:ORGLA02G0307300 transcript:ORGLA02G0307300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSARVQAGGGGNGGAGGGGSGRFKRALLRNLILGLRKGGVASGEMGFHERKSAIKRAADAALAAARGAAPCWSRSLAAELAQAPQQHRSPPSPSPRKMICRKIVRRSLIRRRRRPIRPANNTTAATTKAYGGGGGGGGVARAMVRKRASVLKEIVPGGKALDMCALLGETLDYAVSLKAQVDVMQLLVRTLQEQKLKNSGN >ORGLA02G0307200.1 pep chromosome:AGI1.1:2:27152356:27155286:1 gene:ORGLA02G0307200 transcript:ORGLA02G0307200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGRPRGLGNFRVKSSIRGLPVHAKRGDPQLRASMGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTALMQACQYGHWEVVQTLMLFNANVHRTDYLNGGSALHFAALHGHARCLRLVLADYVPSMPNFWNSMKDSLSEEGPSADLDEDGLFKMVNQKADGGLTPLHMAALNGHVECVQLLLDLGASVSEATIEDGTTIDLIGAGSTPLHYAACGGNAVCCQLLIARGASLSAQNASGWTPLMVARSWHRNSLEEILSKEPESRIRTVPSPYLCLPLMSIMSIAREFGWRYLNQSPVCIDPCAVCLEGSCSVAAEGCKHEFCTRCALYLCSTSYTSVSPAGAIPCPLCRHPIIAFTALPGTSPIRELPRNSLSLSFCTTCPAVNSDSTPSIASHLYRTEFQCARMPPMGSSSFRSLSCQRLPAMKLNPSFCMGAMDTNPCLIRSSRFGPSFRRSASQGESSRRAWPLTFDPIAATGS >ORGLA02G0307100.1 pep chromosome:AGI1.1:2:27136264:27137550:-1 gene:ORGLA02G0307100 transcript:ORGLA02G0307100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTWQPSPPQTPAAAASSASGIDGVENKISPSIVFIVAVLAIVFFVCGLLHLLVRHLLRLHRQRRAREDAESATAFEGQLQQLFHLHDAGVDQAFIDALPVFLYRNVVGVGGEDGKDPFDCAVCLCEFAADDQLRLLPKCSHAFHLECIDTWLLSHSTCPLCRRSLLAELSPTCTPVVMVLESESSRDMVHAADDEPADVGGEDAPGAEEVVEVKLGKFMCVEGNVSFNVNAIAGEGDRAGTSSNGNGDANAKAGGLGQRRCHSMGSYEYVMDAHASLRVSIKPPRKKPAAAASKSRRRGAMSECEFGASKRGESSLRLPPFRATPRKNPDDDAAAAAGAKLAKDSFSVSKIWMVPSKKEPGAAAERRAVSFRWPAAKDWDVEAGSCGGNSAVSSVAAEERPSFARRTLLWVVGGSRQLSRVGSCS >ORGLA02G0307000.1 pep chromosome:AGI1.1:2:27126227:27130021:1 gene:ORGLA02G0307000 transcript:ORGLA02G0307000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSCHNLLDLVAAADDAAPSPASLRLPRVMSAASPASPTSPSTPAPARRVVVSHRLPLRAAADAASPFGFSFTVDSDAVAYQLRSGLPPGAPVLHIGTLPPPATEAASDELCNYLLANFSCLPVYLPADLHRRFYHGFCKHYLWPLLHYLLPLTPSSLGGLPFDRALYHSFLSANRAFADRLTEVLSPDDDLVWIHDYHLLALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVREDLLRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDMGQLRSVVSAPETGDLVRRLTESYKGRRLMVGVDDVDLFKGIGLKFLAMEQLLVEHPELRGRAVLVQIANPARSEGRDIQEVQGEARAISARVNARFGTPGYTPIVLIDRGVSVHEKAAYYAAAECCVVSAVRDGLNRIPYIYTVCRQESTGLDDAAKRSVIVLSEFVGCSPSLSGAIRVNPWSVESMAEAMNAALRMPEPEQRLRHEKHYKYVSTHDVAYWAKSFDQDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVDHIVPSYRKSDNRLILLDYDGTVMPEGSIDKAPSNEVISVLNRLCEDPKNRVFIVSGRGKDELGRWFAPCEKLGIAAEHGYFTRWSRDSAWETCGLAVDFDWKKTAEPVMRLYKEATDGSTIEDKESALVWHHDEADPDFGSCQAKELLDHLENVLANEPVVVKRGQHIVEVNPQGISKGVVVDNLLSSMVSRGKAPDFVLCIGDDRSDEDMFESIVCPSNSSVKLPASSEVFACTVGKKPSMAKYYLDDTVDVIKMLQGLANAPSQRPRPIWMPKRRIAPVSQTLVLLIRLRLHSPLAGA >ORGLA02G0306900.1 pep chromosome:AGI1.1:2:27121584:27122106:1 gene:ORGLA02G0306900 transcript:ORGLA02G0306900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCGWYGYTFERCWGLNSKPPVGDGGEAPGALSFGKVGKAPPPGPCSAGCPRELPRYVADFGWGLSLDATAPGQSIGLSCRGWPPRAGPTVCAGLTAVELSRQRGHSSSHVSVLFLTGSTAGQDYCPQRKENEGSGVGVFGSKLLSLGS >ORGLA02G0306800.1 pep chromosome:AGI1.1:2:27099565:27102303:1 gene:ORGLA02G0306800 transcript:ORGLA02G0306800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHSREDLDLTSSDDEDDDEEYDAQTATSAASREDILRTSTPSSLEFLDAKLKALDLKYQAPNAAKLYLHVGGASASARWVPAERRATYAFVDKATAAGDSDCGGPRWVLEVGPGPRVSAPVGPALQLKALPAQRRADFAAGGSVWALRLPTDAAFRRFRQEYDRCLFENTYGVEATDEGRKEVFGADFAAWARPGESDDAVWADAEDSFTPPVATPARDLLEEFEEEAGDGSIQSLALGALDNSFLVGGSGIQVVKNFRHGVHGKGVSVRISDGRGGGNAYMTPQKALLMRGETNMLLMSPGETGTRHSNGVHHVDIETGKVVAQWRFEKDGTDITMRDIANDSKGAQLEPSGSTFLGLDDNRLCRWDMRDSRGRVQTIGSSSESPVLQWSQGHQFSRGTNFQCFASTGDGSIVVGSVDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFKDKDGKEKTGFSGRMGNRIAAPRLLKLSPLDSILAGSENKFHGGQFSWVTENGKQEKHLVATVGKFSVIWNFQQVKDSNHECYRDQEGLKSCYCYKVVLKDESIVDSRFMHEKFATTDSPEAPLVVATPMKVSSFSLANRRLH >ORGLA02G0306700.1 pep chromosome:AGI1.1:2:27094917:27097547:-1 gene:ORGLA02G0306700 transcript:ORGLA02G0306700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYVGNLDPRVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDKRDAEDALRDLDGKNGWRVELSRNSSSRGGRDRHGGSEMKCYECGETGHFARECRLRIGPGGLGSGKRRSRSRSRSRSPQYRKSPTYGRRSYSPRDRSPRRRSVSPVRGRSYSRSPRGRGGSPYADGRDGGRYRRSRS >ORGLA02G0306600.1 pep chromosome:AGI1.1:2:27085505:27090126:-1 gene:ORGLA02G0306600 transcript:ORGLA02G0306600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAGKVDDEMALKRQRTVRFYDEKAKPTIPTHQKQAGFAASKLGVASSGKNKIFVPGEELWYKRILDPSSDFILTWNHIFLFSCFVALFIDPLYFYVPKISYGTPNSCIGTDRHLAITVTFFRSISDLLYFTHIIIKFRTAYINPSSTMRVFGRGDLITDPKEIAWQYLRSDFVVDAVAALPLPQILIWFVIPAIKYSTDEHNNNILVLIVLAQYFPRLYLIFPLTYEIVKTTGVVAKTAWQGAAYNMLLYMIASHVLGALWYLLSVDRQTACWKSNCKNETGCDIKFLDCDVIPNQNWASKTAIFNTCDATNTSISFDYGMFQPALFNQAPGQRFLMKYFYSLWWGLQNLSCYGQTITVSTYIGETLYCIFLAVLGLVLFAHLIGNVQTYLQSITVRVEEWRLKQRDTEEWMRHRQLPHELRERVRRFIQYKWLATRGVNEESILQALPADLRRDIKRHLCLGLVRRVPFFSQMDNQLLDAICERLVSSLCTQGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSTTLKSGDFCGEELLGWALVPKPTVNLPSSTRTVKALIEVEAFALQAEDLKFVANQFRRLHSKRLQHTFRYYSHHWRTWASCFIQAAWRRYKRRKMARDLSMRESFCSMRSDDSNGEDDSPPKQNLAMKIMSGSRKGPQNMKELPKLRKPDEPDFSAEPCE >ORGLA02G0306500.1 pep chromosome:AGI1.1:2:27076503:27081871:-1 gene:ORGLA02G0306500 transcript:ORGLA02G0306500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT2G41190) TAIR;Acc:AT2G41190] MAAAEAKHRKEEEEDEEEMTLEDGDIEESPRRSFDDDYEDGGSGDDDRDDDGEGLGSPRSFQSRQWPQSYRETTDTYTIAASPSFGYLGPSTSKYSILDGGRSSLGSDLKLPLLSDKLDGKQDSVKSLRKTLGSAIDRKSSLLTQHTGEVYIAQGCSVTQTVFNGVNVLAGVGLLSTPFTIHEAGWVGLAVLAMFAIVCCYTGFLMKHCFESKDGISTYPDIGEAAFGRIGRLLISIILYTELYSYCVEFIILEGDNMTSIFSHIGFDWLGVHIDGKHFFGVLTALIVLPTVWLRDLRVLSYLSAGGVIATLLVFLSVVLVGATDGVGFHLTGKAVNLGGIPFAIGIYGFCYSGHSVFPNIYQSMSDRTKFTKALFICFAICTAIYGSFAIIGYLMFGDKTLSQITLNLPKHSFASKVALWTTVINPFTKYALLLNPLARSLEELRPEGFLNETICSIILRTALVASTVCIAFLMPFFGLVMALIGSLLSILVAVIMPALCFLKIRQNKATTAQVVASIGIIILGIISAALGTYSSVLRIVENY >ORGLA02G0306400.1 pep chromosome:AGI1.1:2:27072066:27072332:-1 gene:ORGLA02G0306400 transcript:ORGLA02G0306400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGGGARHIGHGAPIVPYMSVSGTSAAPEEKDDPCSAGGRHGSLLTRQKEMRYDVRRIEPTESLKDTAARGAKPRVSSSRNAGSRTELTV >ORGLA02G0306300.1 pep chromosome:AGI1.1:2:27066880:27070000:-1 gene:ORGLA02G0306300 transcript:ORGLA02G0306300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYSATAAAAAVASLPSAAPPLTPDAAAVLSRAAADASRRRHAHTTPLHAAAALLSGPAPLLRDACVAGLASPHPLRCRALDLCFAVALDRLPTSTEHQHHHAAPPLSNALAAALKRAYAHHRRIGSGVVEADDHRVGVPHLVLAILDDPSVARVMREASFSSTAVKAAMLRSLSDPAAPDSGVYVNARVLHRQVSHREEEVNKVVEVLKRGKKRNPVLVGDTVDVDAVVQEVVTMIQRQRLGNARVISFQREFGDLVDLDRAELAAKIKELGEAIRSELLSPASRSAGVVVNLGNLQWLVEERCVAPGEQEKRRDVVLDTARAAVAEMARILRQSGEREHRVWVIGTATCATYLKCQVYHPSLESEWDLQAVPITPRPPPPPPSSLGLSPSVNGVNRGILSSSVEVLSSAMITSAMQSRSPSLCSACLDGYERERADMASSPGCGALHATEQPMSQWLQIGTPSSARPPFDRAQDKAREADELRRRWLDRCAQLHSHGGGGCGGGRPSSMVTCSEWNGASVLANMQAIPVRPPPPAAAAAPAAAVDTDLALGPAASTASRPPAYCDTDEKLLVKRLTEAVRWQPEAAAAVAAAITKARSGERKRRGMGPTRADTWVLFSGHDVAGKTKMAEALSMSVFGTKAVALRLAGNGGEPIASCRGRTALDCVADAIRANPLRVIVLDGFDHHDDDRVVQTSILRTVESGRLVDSRGRDVALGEAIFVVMSLDDTRRCQEDHQFTDSPWNLELRVRNNARKRRPEPQPLDGAGDRRLKPRKDSPPLHLDLNLSMCEDHTDDDDSGGEESRNSSSDLTVEHEQEYGQPAAAAAKFSAPSSFSELTKAVDATVVFKPVDFGPLKRSVSDVVSPKLGDAAGAGAGLSVHVDDGVLDRLAGAAWTAGESATSLEAWADEVLCPTIRQLKRSLSANDVDGATTVSLSAVEGSGGRRRKDGEVFPTSVTVAVDGN >ORGLA02G0306200.1 pep chromosome:AGI1.1:2:27060221:27062066:-1 gene:ORGLA02G0306200 transcript:ORGLA02G0306200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein [Source:Projected from Arabidopsis thaliana (AT3G09250) TAIR;Acc:AT3G09250] LAAPNPSATMAAAAATPARAWWRTAAPASASTAAVSCFRVGAKSLTGLQMTSTRTNKVRTVHVKSGEAEGSPSTESITRDEETLQRDLQTAIQEEDYAQAAKLRDELRVLQEDSRSAVLAANARFYNAFKNGDLVAMHSTWAKGDHVYVVHPSAGRISGYDMVMQSWEMVCDADYEFPLQIDLQDVEVHVRGDLGYVTCLELVKTKGSSSWGRQVATNVFEKVDGKWFICIHHASHFDE >ORGLA02G0306100.1 pep chromosome:AGI1.1:2:27057708:27059467:1 gene:ORGLA02G0306100 transcript:ORGLA02G0306100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit G [Source:UniProtKB/TrEMBL;Acc:I1P505] MAVEVATQKIRWGELEEDDEGDLDFLLPPRVVSGPDENGFKKVVEYRFDDKGNKVKVTRTFRVRKIARARLSKSAIERRSWPKFGDAVQEDVGARLTMVSTEEIVLERPRAPGSKAEEPSASGDSLASKSGAVLMVCRTCGKKGDHWTSKCPYKDLAPQTEAFVDRPPTADGSGPPGGAVKGAYVPPSMRSGAVDRVGHDMRRRNDENSVRVTNLSEDTREPDLLELFRTFGPVSRVYVAVDQKTGASRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPN >ORGLA02G0306000.1 pep chromosome:AGI1.1:2:27055795:27057048:1 gene:ORGLA02G0306000 transcript:ORGLA02G0306000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit G [Source:UniProtKB/TrEMBL;Acc:I1P504] MAATTAKHQTMRWSDLDEDDDYGGGGGGGLSALQLPPRVVIGPDENGIKKTVEYRLNEEGKAVRVTTTTRVREVARTRVTKRAAERRGWAKFGDAAHNDDAGARLTVVSPEEIVLERPSAPGSKSEDPLIPALDKGAALMVCRICNAKGKHWTSKCPNKDFAPLQLDALVDNNIPPNSGGDNGGGSRPGKYVSPKPRESDMRRRNDENSVRVTNLSEDTREEDLRELFGSFGPLTRAYVALDHRTGESRGFGFLSFVYREDAERAIAKLNGYGYDSLILNVEWAAPRPN >ORGLA02G0305900.1 pep chromosome:AGI1.1:2:27051240:27052704:1 gene:ORGLA02G0305900 transcript:ORGLA02G0305900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPANHLPHHVGTPTNFHVSPRLLQGPPAMAQPVHWLAREAADDDRMGIGETAAARASRGGVGHHYVPSFYMPISNHSRKADQTAYYRRPCILVKQPNNWAGAGLATGGKGPLYFFFNRKTKYCSRTSHRPCICDIGNTVLSVDRHGSGRSGGERSVKDDGAAELPSLFPVACSGSSPTYGMFDKLATAASCACDTIDAGCAAAGQQQNNQSNRPLKQTNVR >ORGLA02G0305800.1 pep chromosome:AGI1.1:2:27040912:27048102:-1 gene:ORGLA02G0305800 transcript:ORGLA02G0305800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:I1P502] MERAEETPRAPSTAPSARVSIWESVRACGVWGKEVDKAELRRQVVMPLYVRRAVAAAVKAKDEAVGVAAAAERGEEGEVEVEAAVTPVVVFVNSRSGGRHGPELKVRLHELISEEQVFDLSVVKPSDFINYGLGCLEKLAEQGDNCAETIRKKLRIMVAGGDGTVGWVLGCLTDLYRLKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAATAPTCRLDSWQAVVMMPDGEIKELPYALKKTEPADCLELCQENGTELPEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCTASPQLRGLKNILRLYIKKVNCSEWEQVTMPSSVRSLVVLNLYNYGSGRHPWGDLKPDYLEKKGFVEAHSDDGLLEIFGLKEGWHASFVMAELIKAKHIAQAAAIKFEMRGGQWNRAYVQMDGEPWKQPLLQEQSTIIEINKVPYPSLMINGEQ >ORGLA02G0305700.1 pep chromosome:AGI1.1:2:27033530:27039835:1 gene:ORGLA02G0305700 transcript:ORGLA02G0305700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:I1P501] MARRSCFFFLLPLLVAALAGSPVVTAQRNALPAAASVRVGVILNLTSAVGVRRRVGIQMAVEDYYAANPGSATRVELHFRDSAGDVLPAASAAVDLIKNVQVQAMIGPPSSAATEFVAHIGSHSRVPVLSYSATSPSLSPAQTPFFVRAAVNDSFQAAPVAAVLDAFRWRAAAVVYEDSPYGSGILPALADALQGAGAKIMDRTAVPVDATDDRLDALLYRLRAMPTRVFVVHMLHNVAGRLFRRAKMLGMMSGGYIWVATDGVATFMDRFSPEEVDAMQGVVSLRPYVQETDAVKNFSARFKARLRRDHPTVDDVREPTVLRFWAYDTAWAIAAAAESAGVAGPAFQTPQTSAPLTDLDRLGVSATGTALLNVVLSTTFDGLAGKFRLVDGQLQPPAYEVVNIIGKGARTVGFWTPESGITQDLNAGSAKTLRQILWPGEPRDTPRGWTVSPSGLPLRVSVPTKRGFTQFVDVGNVTATGRRNITGYCIDVFDEVMKIMPYPVSYVYDPYPDSPESYEKLVDQVSSQKADAVVGDVTITASRMEEVDFTMPFTESGWSMVVAVQKETSTSMWIFLQPLTTSLWLASLAFFCFTGFVVWVIEHRINEEFRGTPWQQFGLIFYFSFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQKLQPTVTDVRELLRRGDYIGFQEGTFIVPVLEKMGFEGRMRSYSTVDQYADALSKGSANGGVAAIFDEIPYLKLFLSQYCDGYTMVGPIYKTDGFGFVFPRGSPMVADVSRAILTLAEGEKMAQIEKKWLGEPGACQSQGSAVGSSNLSFRSFGGLFLITGVVTSAMLLIYLAVFFYRERDELRAAEAAAAASGSGSGSGRRSLRRLRAWARHYDQKDLKSPTFKRRWSDESVRNGSEYAASRTPRWGDESPCNVAGAADADAGRIPEEVVGGMSPFSISTSSDERNGAVSPAAAEFDNSSDRAAVVAGTSQPR >ORGLA02G0305600.1 pep chromosome:AGI1.1:2:27023312:27024956:1 gene:ORGLA02G0305600 transcript:ORGLA02G0305600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASRMEQTTGVSGHEHIIDIPRDSGPSTSTSHSVARENHGEPNPVDRSATRALVPALQAPSAVGAPSAGHTSGARRSDNYVRRHRSPLNSGLWISIEVLVNVSQIVAAIVVLSLSRKEHPQAPLFEWVIGYTVGCFATLPHLYWRYIHRNIVNGENEPAHTLQGSSQNNSTEPSASASERRRNAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSAADAPNLYRLCIVFLTFSCIGYAMPFILCAMICCCLPCIISVMGFREDTNNTRGATSESINSLPTYKFKTKKRRHSSGNEAEGQDGGIVAAGTDKERSLSAEDAVCCICLAKYAHNDELRELPCTHCFHKECVDKWLKINALCPLCKSEIASSSGTSDTRRSDHTDIPVQEIEMH >ORGLA02G0305500.1 pep chromosome:AGI1.1:2:27006917:27008026:-1 gene:ORGLA02G0305500 transcript:ORGLA02G0305500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGPPSLRAGLLQQQQQQPGTPGRSRRRPDLTLPLPQRDLTSLAVPLPLPLPPSSAPSSTSSSGSSSLGGVPTPPNSVGSAPPAPPPLSELERVRRIGSGAGGTVWMVRHRPTGRPYALKVLYGNHDDAVRRQITREIAILRTAEHPAIVRCHDMYEQAGELQILLEYMDGGSLEGRRIASEAFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDSGRRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYMGRFPLGENLGKQGDWAALMCAICYSDSPAPPPNASPEFKSFISCCLQKNPARRPSAAQLLQHRFVAGPQQQQQPQPQPLAPPPS >ORGLA02G0305400.1 pep chromosome:AGI1.1:2:26998490:27003046:1 gene:ORGLA02G0305400 transcript:ORGLA02G0305400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDGGDPGGGGGGGYPLVAVCIDKDKNSQNALKYATESLAHKGQTIVLVHVHTKGSSGGVEDAAGYKQPSDPQMKDLFLPFRCFCTRKDIHCKDVVLDDHDVSKAIVEFAAHAAIEKLVVGATARGGFVRFKAEISSSISKTAPDFSTVYVVSKGGKVTSVRQAVRQAPAVSPLRTMIQGPKPDNVSTQKWTPPPPPSTTRPDIAGTPKIQDNFIMSPFARGANTSVRKAFPDYSMPESSDISFISSGPRRSLDRYPPRLSSGSDAHDHHSFEATRPPSMWGGDSFGSDSQSSNSSFASSLPMEDMEAEMKRLRLELKQTMDMYSTACKEALTAKQKAMELQRWKTEEEQRSHETRLTEESAMALIEQEKAKARAAIEAAEASQRLAEMEAQKRISAEMKALKETEERLKSMGGGGSRGAVRYRKYTIEEIELATEHFADGRKIGEGGYGPVYKGHLDHTPVAIKVLRPDAAQGRSQFNQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGGGPVIPWQHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADSVTQCHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIVTAKPPMGLTHHVARALDHGTIVDMLDPAVHDWPVDEARCFAEISIRCCELRRKDRPDLATVVLPELNRLRALGEDNMQLCNTMSGGGRSSMHSSPYNSNSSMHQPHRQTDMAIEHSVGRSSYDADTSQQAMQGRRLNYN >ORGLA02G0305300.1 pep chromosome:AGI1.1:2:26994192:26997647:1 gene:ORGLA02G0305300 transcript:ORGLA02G0305300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSRLNPSSATCSLAAASASASASPRRRGRGRRPASAMGQAPSSPPGPGRSRSRGISRWPPPPPSLQGLRLDLGLLLGRKARTRSGGGKLDLANWLRCFVAPPLPQPSEAEASAEGRDAGNREEEEAGNEEADHLVVMVNGLYGSSADWKFAAEQFVKRLPGKVFVHRSQCNHSKLTYDGVDLMGERLAEEVRQVVQRRSNLQKISFVAHSLGGLVTRYAIGKLYDPSINEEASLDKENFSNELRTSDGGKIAGLEPINFIAVATPHLGSRWNKQLPFLFGVPLLERTAAVTAHFIVGRTGKHLFLTDSDDGKPPLLLRMAEDCDDGKFMSALRSFKRRVAYANVTYDHIVGWRTSSIRRQHELPKLQLTPSDKKYPHIIHVDKGNSEYHQQEESVEASLTDSMEEVMIHGLTQVPWERVDVCFHKSWLRYNAHHNIQVRIHPVNSDGEDVIYHLIDNFLV >ORGLA02G0305200.1 pep chromosome:AGI1.1:2:26991595:26992131:-1 gene:ORGLA02G0305200 transcript:ORGLA02G0305200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAP (Cysteine-rich secretory proteins, Antigen 5, and Pathogenesis-related 1 protein) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G31470) TAIR;Acc:AT4G31470] MEYSSRRVSCCVALAAVLLLSSRTLGGAAGGAPRRLLQISEAQQFVVPQTHLRAIYGLHPLKWSSDLADLATRWADQYKGDCAAASAASAAGGVNVFRGYGGEAWQPSDAVAAWAEEAQHYDYGANACAAGKECGHYKQMMWRDSTQVGCATVTCSSGETLMACHYEPQGNIMGQKPF >ORGLA02G0305100.1 pep chromosome:AGI1.1:2:26990104:26990703:1 gene:ORGLA02G0305100 transcript:ORGLA02G0305100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVALLVSTLLVHSLLADGGRRGKEAAAAALGYSNATVYDMSVAKCAGCGALGAWAESLEFLYYHNLVRLARWELPLAWSPRLESYARWWAAQRRGDCALRHSFPEGQFALGENIFWGGAGGAWRPGDAVKDWAAEGVDYSYAANACAAGRECGHYTQIVWRGTTSVGCARVACDDGGVFMTCNYYPPGNVVGERPY >ORGLA02G0305000.1 pep chromosome:AGI1.1:2:26976500:26978523:1 gene:ORGLA02G0305000 transcript:ORGLA02G0305000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLSGLPDDVLLSILKRVDLRDAVRTAILAKRWRHLPAALPDIVLDVLSFRKKQDDDHQDGFTFTSRLSREARANLAVAHAAKAILARRSGEHAIDRLLVRFYLRAESIGIVRSIDDAIASGRARFREAAFDVRGEKRALECTGRDTLANGRRLASLVGGCPHAFAGLTRLRVESVTLRGSNDVANVLAACENLEILSLHSCDASAGSNVAALEMEHPRLVRLDVDACDFETVDLKWLPRLVQVSNNIWFPSRTLPPLVFGHVPQLRTVILSTVGTVNYRTLKLSELLVNTTGTRTLQMIFESEKIWFQPESPKHLAPLLRNLRIACLDKIHKECDLIWTMFVLEAAPLLKELRISVTEHSCGSLAAADVMRKLLYCKKNNIEWHIDSDFKHYNLLLVTVVGFEIKDKFVKLIKRLAHAAVNLEDIHLEDEVKCENCQYYPTTWYPSTDKEREL >ORGLA02G0304900.1 pep chromosome:AGI1.1:2:26971983:26972504:1 gene:ORGLA02G0304900 transcript:ORGLA02G0304900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAIALALLGIVLLLPGNAFVVVAYPRGGGGGGDYRMQFLGQQNAARAAMGLPALVWDERVAGYARWYAESRRGDCALVHSSGPYGENLFWGSGTGWSPAQAVGAWLAEQPRYNYWSNSCYGGMCGHYTQIMWRATRRVGCAMVACYNGRGTFITCNYDPPGNYVGMRPY >ORGLA02G0304800.1 pep chromosome:AGI1.1:2:26969144:26969680:1 gene:ORGLA02G0304800 transcript:ORGLA02G0304800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLPFAAVTAVLLLLHGAADAKSSSSSGKTKSLASGFLDAHNAARRQVGVPPLRWDERLASYAARYAAARSGAGGGCALVHSHGPYGENLFHGSGVGWAPADVVAAWVSRERALYDAASNSCRGGDAAACGHYTQVVWRRTTAVGCALATCAGGRGTYGVCSYNPPGNYVGVRPY >ORGLA02G0304700.1 pep chromosome:AGI1.1:2:26964418:26966813:1 gene:ORGLA02G0304700 transcript:ORGLA02G0304700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKAYIEENGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGDFTEEEEHIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKRAPSRRARANQDHCGLAGGAAAAMCGGVGTAAAAAAPHQALSSSALERIQLHMRLQGLYNSAFGCTTTTSNGGGVGVAPPQWPKLEALLPSRPLPAVQPTDAVVATVQHPHHLVVGGHTLATAAATTSEAFQAAEHLDPAAATGSNYMPGVAGVEMTSSSSMAGGGGFVAGYGLHDELYDFLFKCESIGGAQGGIIPSSLPELQCPDGSAIIGADEKFSTWTSSSCDYGSGGAGDYVLGYDQ >ORGLA02G0304600.1 pep chromosome:AGI1.1:2:26951444:26955914:1 gene:ORGLA02G0304600 transcript:ORGLA02G0304600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein with octicosapeptide/Phox/Bem1p domain [Source:Projected from Arabidopsis thaliana (AT5G57610) TAIR;Acc:AT5G57610] MAPAAAPASVSAGSAEADGAPRMAKFLCSFGGSILPRPLDGRLRYVGGETRIVMLPRDVSYTDLAARMRELYEDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLIAAGEGFTRLRIFLFSQHLDDEAAAAAVHYNGDERETERRYVDALNSLGDVRTPSSPVSVEQLFGIGGNESGIPDIAGLRHLNVPRPSHSQRYGEMDSPWSPAYISPGHYGVHDPRDFPISPRFQVGAEDFDERIPDDFVRHSPKYRHYEVHSPQHVDNLVWLPPGAVIQQNAGFPGNLGRPGNFLDGSSMYDHCRSPFHKGQGDPRYVDPRWRPIQHFDQTSMTNEYSGHPTNSCPDCNRPGERFVLNQDVRLENGVYVKEQTGGHPPPMFYNESHSHDRAWHAHANQSHQRYEDPRLHMPGSARAMEPYIVDNSSVTSLSRSSHESPHYFHGSSEHVSDTYHNQQVGVGGPYVQTPGFEESTGQHYSHSSTYGADPFYQMQQNLPPLQSLRRRANSPVHTGSPYESPHLPIPNGNFVRNTGDVSPRIPGMPAYDRIPNPWPSPNGSIPYRVVGHDIPAVVENRSNPNSGQYVQPLFAPESVQNQPGAPLMEIHPERACGGSVLSSQVDGRVAALPLTDQLSRMDINPLKKLEGPEHEKFTRNANETTSLHAMNDPSALTHHIGVVPEVDPKQRKPVEYETVTSKVHEGGATALQECGDISEDRLNFLPELAASVKKAALEDSEEKEKAQQDAGPTLLPTCDEEGNGKKLEETPAGNTGTDQDSDVHGSGEQQKSSGIEATTAEAEALSKGLQTIKNDDLEEIRELGSGTYGAVYHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWKEAQILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMINGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYSDMRAAAIIGGIVNNSLRPQIPSWCDPEWKSLMENCWASEPADRPSFTEISQRLRKMAAAMNVK >ORGLA02G0304500.1 pep chromosome:AGI1.1:2:26936038:26944115:1 gene:ORGLA02G0304500 transcript:ORGLA02G0304500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQSIPLDPGDSDRVVLLRVLGRYLLAVCPASLHLWSAAHHKVCLARLDRSPESLAAHGDNAHSVWSPDAKTVAVLTSSFYLYIYKLQFSGKPLIIGGKPQPGLCLASISQIIVEKVPLANDAFITSNFVCDSKSMLLGLSNGHLQVISWNAEFSDSFKLGCSACSSNRTPTVGDALVFDPPSLRENSDASPAPCCTGNSAIIHVELSVKLRLLVALYSGCQIGLCAVGKKGLKQTSSIRVERWLNTDDAMCTSVASDQQILAVGCSRGVVDLYDLAENARHIRTISLYDWGYSVEDTGPVTCISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQTGSNSASSPMVKPSALKFEPLMGGTSHIQWDDYGYKLFAVEENLSERILAFSFAKCCLNRGLSGTTYTRQILYGEDRILLVQPDDTDELKMLHLNVPASYISQNWPVLHVVASNDGMYLAVAGSHGLVLYDLRNKRWRVFGDVTQEQKIQCKGLLWLGKIVIVCNYIESSNTYELLFFPRYHLDYSSLLYRKSLLGRPIVMDVFQDYILVTYSPFDVHIFHVVISGELSPASSPVLQLSTVRELSIMSPKSPPVSMRFIPEPTDEGKPKHDTNGSSDLSQQPSRCLILRMNGELSVLDMDDGHEQSLTNSVELFWVTCSQYEEKGNLIKEVSWLDYGHKGMQVWYPSHGENPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGISQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKHEEALRLANLSAEKPHFSRCLEWLLFTVFDAEISSQSASKNQLSQKNEPAKKSLLDKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFHAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENASTDSEKLSPRFLSYFQLRSPFKRQSSDLRSNSMKELSPHIASVMNILENHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKQLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWKAYNITLQSHDVFREYLDLLNTLEEELSSVSDLTLQNRPVS >ORGLA02G0304400.1 pep chromosome:AGI1.1:2:26933695:26934630:-1 gene:ORGLA02G0304400 transcript:ORGLA02G0304400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1P4Y8] MAANFSACLVPVAVLALFYLVIFPNDLSQLKSALAPCDAASKSVAAAAAAADDDVDFRMFFGILTRPDFYERRALLRMAYALQPPPRRAAIDVRFVMCSLDKEEDAVLVALEIITHGDILVLNCTENMNDGKTYDYFSALPRLFPAGYYDFAGKIDDDTYYRLGALADTLRRKPRRDMYHGFLNPCHIDPAWQYMSGMGYIVSWDVAEWIAASPELRGREIGYEDDVFGRWLRGAGKGKNRFGEEPRMYDYLDREMYGADVNCFRHELIADTVAVHKLKDRLKWARTLRFFNATDGLKPSKMYHVDLTPRI >ORGLA02G0304300.1 pep chromosome:AGI1.1:2:26925915:26926838:-1 gene:ORGLA02G0304300 transcript:ORGLA02G0304300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1P4Y7] MAMKKSFSLLFFLPFLLLAIIYFVIFPNEFRLQSSLAACGDSAPATAADAVAKAAPDIRVLLGVLTRADKYERRALVRLAYALQPAPARAVVHVRFVVCNLTAEEDAALVGLEIAAYGDIIVLDCTENMDNGKTYTYFSAVPRLFAGEPYDYVGKTDDDTYYRLGALADALRDKPRRDAYYGFLTPCHADPRTQYMSGMGYVVSWDVAAWVAATPELQNDLKGPEDKLFGRWLRWGGRGRNVFGAEPRMYDYLDGGMRHGPTCFRHLLQADTVAVHKLKDNLKWARTLNFFNATEGHKASPLFHVDH >ORGLA02G0304200.1 pep chromosome:AGI1.1:2:26920936:26921859:-1 gene:ORGLA02G0304200 transcript:ORGLA02G0304200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1P4Y6] MAMKRLSFSLFLLPFLLLAFVYSLFFPGDFSILPSLAARCSNSVAATPANATGPAVDLRVLLGVVTRAEMYERRALLRLAYALQPAPARAVVDVRFFVCSLAREEDAVLVSLEIIAHGDVVVLNCTENMDDGKTHSYFSSLPALFADAPYDYVGKIDDDSYYRLASLADTLRDKPRRDLYHGFPAPCHADPRSQFMSGMGYIVSWDVAAWVAATEALRGDVKGPEDEVFGRWLRRGGKGRNRYGEETRMYDYLDGGMREGVNCFRHALVADTVVVHKLKDRLKWARTLKFFNATQGLKPSKLYHVDL >ORGLA02G0304100.1 pep chromosome:AGI1.1:2:26917748:26918173:1 gene:ORGLA02G0304100 transcript:ORGLA02G0304100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSPSTPWAMFSSTAGAASSSDPPLGEVAHGEDDGASSQAGTDESTSRLSFRRNGV >ORGLA02G0304000.1 pep chromosome:AGI1.1:2:26916361:26916935:-1 gene:ORGLA02G0304000 transcript:ORGLA02G0304000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GMLVLFTRMLQSEFINTSQIQYSIYQLTHLAETAWLILLRCLAFAFFSDAVVRQPDYGSHGMPRTCRSISSVHRLQSDGPDNNLTKSRRLLLFVAV >ORGLA02G0303900.1 pep chromosome:AGI1.1:2:26913219:26914414:-1 gene:ORGLA02G0303900 transcript:ORGLA02G0303900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDDIMNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQHTSCMMPKDLDFLL >ORGLA02G0303800.1 pep chromosome:AGI1.1:2:26909222:26910463:-1 gene:ORGLA02G0303800 transcript:ORGLA02G0303800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEPSPAPTMADLPADLLREIFRHLRCVADRDAAADVCRTWRGALAEPTPPPSPPRPLPWLLLPSAGDDFIHVYCFYCGIDRCSLHHRLSPAHGARCFGSHEGGWLFVAFEHNRLHAMINLRSREDSKSSLIPFPDLLRSYQDEDDYQRAQNMVILAAALSSSPGGTSCIGAGIVMRWDLIAGSCRLAFWRMGDRVAVEGTMAPDSTVRLRDEIQDVIYQDGAFRFVTTRGFLVTCIPMFYADGGLQGTTESVQRIRHRERLREHVHARYLVESRAKLLMIVRFAARPRSPTSLFKVFEMVQEMVQDHTGVEKIEDTWTELESLDGRLFFVGRGCSRSYESSAYPELGLGLKEGVYFLDDYVYADEGMPFRDEGHRRYPCSDNGRWCDGHVHRCFSEQRASSAHSSPTWLLP >ORGLA02G0303700.1 pep chromosome:AGI1.1:2:26885657:26894424:-1 gene:ORGLA02G0303700 transcript:ORGLA02G0303700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGNENSDTLLGNGVVGILAETANMWERRAPLTPSHCARLLLGGGKRGTGVNRIIVQPSTKRIHHDAQYEDVGCEISKDLSECGLIIGIKQPKLEMILPHRAYGFFSHTHKAQKENMPLLDEILEKRVSLFDYELIAGDDGKRLLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAIGEEIATFGLPSGICPIVFVFTGTGNVSQGAQEIFKLLPHSFVDAGKLPELSVARSLSQHPQSSKRVFQLYGCVVSSRDMVTPKDPTRCFNKADYYAHPEHYKPVFHERIAPYASAIVNCMYWERRFPRLLSIDQLQQLMKNGCPLVGISDITCDIGGTIEFVNKSTSIERPFFRYDPCTNSCXXXXXXXXLFHXLLSYXTSMLXASQHFGDILSKFVARLASAKELLELPSHLRKACIAHAGRLTSLYEYIPRMRKTMIELPPAPTNLLPDKKYNSLVSLSGHLFDKFLINEALDIIETAGGSFHLIRCDVGQSIDDMSYSELEVGADDTATLDKIIDSLTSLANAHGDPNARREIELSLKIGKVNECGTDDSMAKEGSKVLILGAGRVCRPAAEFLASYSNIFSSSAYDHDIDQIHVIVASLYQKDAEETIDGIRNATAAQLDVVDIKNLSNLVSQTSILFSIFFLSIMRFXLGVAFSNKLHLQLAKYPCV >ORGLA02G0303600.1 pep chromosome:AGI1.1:2:26883195:26884013:1 gene:ORGLA02G0303600 transcript:ORGLA02G0303600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTRSAKRMKRFSGACPCPLPDDIVDEILSHLPVKSLLRFRCVSRRFHATITSSHLFQEAHFLQRKQRNKHPPRLFIRPPFGPRQPFFAWQWQWQHLIPRPPVEEIMTARHLPHGTIFPLASKSCHGLVLLKITGHHTHYLWNPSTRHILRLPGTDNTPPPATYGLGYCSATRRHKVVRVVGCRCCSPATVVWEVLALDGDEPSPSSSWRPPAAASTTPPPRHWHYPARELATRSRALQRRRPALPPRRRRHRHIQRDRRIFRRVVVEASS >ORGLA02G0303500.1 pep chromosome:AGI1.1:2:26878072:26878434:1 gene:ORGLA02G0303500 transcript:ORGLA02G0303500.1 gene_biotype:protein_coding transcript_biotype:protein_coding CPQAERRLRSATRCIRPPTLRDPHEVLGALCRPSTWTCSNSLDTSRTTPRSLGVPLRPGPFSPPTWLSRVPRPHTSSSNTGCDDLGQQAYSRDLLSMETTKSSCSSLPRTQKIKSLRIEE >ORGLA02G0303400.1 pep chromosome:AGI1.1:2:26867184:26867954:-1 gene:ORGLA02G0303400 transcript:ORGLA02G0303400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLDFLLRLGLSTDDLSAYPLLLACSLRKNAIPVLSYLEKLGVTRARLAAFVRAYPACLHASVAVDLTPVVKSLRGLDVDRQDLPRVLERYPDILGLKPDGTISTSVAYLVGIVGVAPRDIGPMVTHFPFFLGMRVGTTIKPLCEYITSLGLPMRILARILEKAPYILGYDLEETIKPNVEALLSFGIRREMLPLVIAQYPPILGLPLKTKLAVQQYFFNLKLQIDPDAFARAIEKLPQLVSLHQNIILKPVEFLRG >ORGLA02G0303300.1 pep chromosome:AGI1.1:2:26866770:26867183:-1 gene:ORGLA02G0303300 transcript:ORGLA02G0303300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GISNEDVARMVVRCPQILLLRMELMKNSLYFFKSEMKRPISELLDYPEYFTYSLESRIKPRYMRVSTKGIRCSLDWFLNCSDQRFEERMRGDFIEGDAPGPSFTMGGKLQMPGSQLVSDDDNAESDDEVLYRRTVML >ORGLA02G0303200.1 pep chromosome:AGI1.1:2:26862031:26864222:-1 gene:ORGLA02G0303200 transcript:ORGLA02G0303200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1P4X6] MHLHTHQAKDMETLGRRRRVAVLAWCNAIAMALALATPVAAVAANHGDTAAAKDNVAVVPRIAASAAAAASSVNVTAICMATPYPSACETALSSAAARGAANDPFAASVQFAMTRAESARALARNLSASSSRPRVAPSGMDDCAELLDISLDQLHDALAARAADAAGVTTWLSAALTNQGTCGDSLAAVPDPAARSAVRARVAALEQFIGTALALHAKLNNGGSGSSSPAPPSRAAFPSWVTKHDRHLLSSPASTIAPDAVVALDGSGTHTSISDAIAAVTAPPPPAHHPTASGGGGGGGSRKVIYVKAGRYEESVSITSKQKDVMLLGDGKGKTVISGHRSVAGGYTTYASATVAAMGSGFIAKGLTIVNSAGPGKGQAVALRVGGDLSVVYNCGIEAYQDTLYVHSNRQFYAADDISGTVDFIFGNAAAVIQGCEIQARRPGPGQEDTVTAQGRSDPNQNTGISIHRCRITGAPDLGGTPVYLGRPWRRYSRTVVMGTFLDRSIAPAGWLEWSGQFGLSTLYYGEYGNTGPGAGTRRRVTWSGVHTSMSTSDATRFTVANFIVGDAWLPATGVTYTSGL >ORGLA02G0303100.1 pep chromosome:AGI1.1:2:26861001:26861596:1 gene:ORGLA02G0303100 transcript:ORGLA02G0303100.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQMAAMSSCHHLSSSISTPRFGTRKATMAKFVRAPVHSCSCSNAHLSQTAAPAKMVFEDQVRGVVCYRDDKGDMICEGYDEGPRLGMRLPEKACFPWPMGVQVTDFIELSTLRVFEDVDSLQPRKDQKGKL >ORGLA02G0303000.1 pep chromosome:AGI1.1:2:26858763:26860899:1 gene:ORGLA02G0303000 transcript:ORGLA02G0303000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNQTIERLQQIFSSSSQTYGGLTLDLHCNRFGPTALFQICECAVMTNRLEVLNLSGNRLTDACGSYLFTVLQKCKALYSLNVEQCSITSRTVQKMADALHEGSALSHLSLGNNNPISGNTMLSLLSKLASLKRFSELSLTGIKLSKLMVDKLCVLAQSSCLSGFLLGGTYIGSGGATKLTEALSCASQELLRLDLSNCGLTTPDFSQLCTNLSQINIVDLNLGGNSFTLEECDAIRALLSNPQCSLRSLTLDRCNLGLAGTVGIIQALAGEE >ORGLA02G0302900.1 pep chromosome:AGI1.1:2:26852047:26855494:1 gene:ORGLA02G0302900 transcript:ORGLA02G0302900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKHLQLAKEADDLVEQQRASTQLGRTYYEILLRSENDHSAIRNAKKYFKSSMKLARVLKENPSSQKSLFLKELIDAYNNMGMLELELDNYEEAEKLLVQGLKICEEEEVHQYDDARSRLHHNLGNVYIELRNWNRAKGHIEKDIEICRKIRHTQGEAKGFINLGEVHSRVQKYEDAKLCYNKALQITKCLEDEDALMDQIHQNIETVTKAAKVLEEMKTDEQKLKKLVRDTSNARGTSKERKLLLEQYAWLDNLMEKARMITAWPKLKEFSKGQKRVANELHDKEKQSNSLLVIGESYQKLRNFSKARKWCMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGALQAYEEAYSECIHLSIGAF >ORGLA02G0302800.1 pep chromosome:AGI1.1:2:26850628:26850966:1 gene:ORGLA02G0302800 transcript:ORGLA02G0302800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGGRWKKEEEEELKAAKRGYREAVAEGNREEEARWANVIGDIHKRRGEYVEALRWLRIDYEVSVKYLPQRHLLPSCQSLGEVHLRLGNFSEALTYQVTTILPFRIARI >ORGLA02G0302700.1 pep chromosome:AGI1.1:2:26841888:26844083:-1 gene:ORGLA02G0302700 transcript:ORGLA02G0302700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIHHLKGHPEGSRRATEGLLWPEKKKPRWGGGGRRHFGGFVEEDDEDFEADFEEFEVDSGDSDLELGEEDDDDVVEIKPAAFKRALSRDNLSTITTAGFDGPAAKSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNSAEEAARAYDAEARRIRGKKAKVNFPEAPTTAQKRRAGSTTAKAPKSSVEQKPTVKPAFNNLANANAFVYPSANFTSNKPFVQPDNMPFVPAMNSAAPIEDPIINSDQGSNSFGCSDFGWENDTKTPDITSIAPISTIAEVDESAFIKSSTNPMVPPVMENSAVDLPDLEPYMRFLLDDGAGDSIDSLLNLDGSQDVVSNMDLWSFDDMPVSDFY >ORGLA02G0302600.1 pep chromosome:AGI1.1:2:26833891:26839676:1 gene:ORGLA02G0302600 transcript:ORGLA02G0302600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIITEQRKIGEIFDHPVYQVTKTSMVELANSKTRSRFLNSKDENRYKKILNTLDLRKDFFFSYSYHIMRSLQKNLSDPQEGWNIYESTFVWNEFLTQGIRNFLGSTLWTVALVYGFFKQDKISISGKDIMFTLIARRSRHFAGTRYLKRGVNEKGRVANDVETEQIVYGAGPRPTEVSSVVQNRGSIPLFWSQETSKMNIKPDIILHQKGENYEATRLHFENLRRRYGDPIIILNLIKKRERRESILRREFDRAIRIINKSIPEENHLRFLHWDLHENSRGKPTNVLDVLLKVAFRALRLTEFFYCQLAPPTGSDTAHHWPSLLSGLDPFLCEENSNSDNTDCTEIVGDISQEDISGSSDSSCNGTTEDKAENNESPPLKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHVLGSVESPELGLDDPLAHHLMHFYERMGDTLAVQYSGSAAHNKLNICLISLYRDLFLKIFSAKRGHLKLFIRSQEFFRTLQRHYSNTCIDANKQAAINLFLGYFQPQEGKPALWELESSSVDHIAVHARTIKRVRSDGSILYGSNTSISGCSGCHNEDKELLNAAPLDVKSGSQFPVLESDSVHGNEISLTCESEVSNLRYTPMVPQTHHVPGGVETESSIHSGDSNFLDLEWLSTSGNSSDERSIAISTPDVNLSAENVISGINSETMENQDADIYTQNLPEHFVQWVNHGDTFWY >ORGLA02G0302500.1 pep chromosome:AGI1.1:2:26823655:26824261:1 gene:ORGLA02G0302500 transcript:ORGLA02G0302500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G54050) TAIR;Acc:AT1G54050] MTELFDTAVTSLLHLPEVLDRLGAAAGDRRSAGDHEHHAAHGHGQHGISGIGGGAPVDIMETPGEYAFVLDVPGLSKSDIQVTLEEDRVLVMKSSNGAGNGKRKREEEEGECKYIRLERRASPRAFARKFRLPEDADTGGISARCENGVLTVTVKKRPPPEKKTKSVQVTIA >ORGLA02G0302400.1 pep chromosome:AGI1.1:2:26814641:26819711:-1 gene:ORGLA02G0302400 transcript:ORGLA02G0302400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGEKCRDAAGEGGGGGDLYAVLGLKKECSDADLKLAYRKLAMRWHPDKCSSSSSAKHMEEAKEKFQEIQGAYSVLSDSNKRFLYDVGVYDDDDNDDDNLQGMGDFIGEMAQMMSQARPTRQESFEELQQLFVDMFQADLDSGFCNGPSKCYHTQAQSQTRTSSTSPSTSPSPPPPVATEAESPSCNGINKRGSSAMDSGKPPRASEVGAGQSQSGFCFGQKSDAKQAAKTRSGNTASRRRNGRKQKVSSKHDVSSEDEMPGSQWHGVA >ORGLA02G0302300.1 pep chromosome:AGI1.1:2:26805187:26811848:-1 gene:ORGLA02G0302300 transcript:ORGLA02G0302300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASNLQDSTGRPFTGSFSGQSGSVPGGFHHSGLHNMHGSLNMPNMPGSFSQRNAAMSGLPSSGVQQPGGSMPGRFASNNLPVGMSQIPHGHSGVGSRGLNVGGGPAFSSSLNIGGTIQGLSSNLGAGGSRNSVPGMSVSPSLGNLGPRITGSVGNIVGGSNIGRNISSGGLSVPSIASRMNLSGNVGSGGLNVQGSSRMMNGILQQGSPQMLNMMGSSYPTSGGSLSQNQIQGGNNSLGSMGMLHDASDGAPFDMSDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGYKGNTTDYAMELHHKEQLHDNVPVMQAQQYPMSRSVGFNLGSNYPPNRQQHQQGANSVQNAGPQNIGLRPLNSPNQTSSLGSYEQLIQQYQQPQAQNPFRLQQVSSATQSYRDQSLKSIQGGQTPSDPYGLMGLLGVIRMNDVDLSSLALGIDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGEPEFHTPACYSAEQPPPLQPIHFQKFQTPTLFYIFYSMPRDEAQLCAASELYTRGWFYHKEVRVWLTRIPNVEPLVKTPHYERGSYGCFDPNNWETIRKDNFVLHYDQIEKKPAIPSSQNVR >ORGLA02G0302200.1 pep chromosome:AGI1.1:2:26792020:26799118:1 gene:ORGLA02G0302200 transcript:ORGLA02G0302200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34357) TAIR;Acc:AT2G34357] MADVDMGELPQTPRSATGDDELSLMDGEPDLAAAVLARLGGSPREDDQHLCATAAAMAQAVRDQGVAANPVAYFAAAAAALAPLARAGAGAADRHVSGALLAFLSAAVPALPPAVARARGREVADDVVRVLEFPSTPDSGVRAGVRCLAHLISAGEKANWEAVEPLYGVVLRLAVDPRPKVRKQSHSCLRDILLSFQRQAVLVPASEGITRCFERFLLLAGGSNAVNTDVAEGGPKGAKEVLYILNALKCCLPLMSSKPSNTIIKYFKALLDLHQPILTRSILEILHAVGDGPTVQLKSDVLLDIVCSLGLSVSVERKSGDEMASIARLLNIATRKIYNQNKNICVVKLPLVFTSLGDILASEFEEARFSAVEAFKSLIEDCIDESMILQGITQIKSRHPGIRSDPTTIEKICAILEGLLNVRYGDVWDKSFHVISMAFDKLGESSADLLPEALKNLADMQNLSDDDFSFRKQLDSCLGSAVAAMGPKNVLKILQIHSISDENEWIFPILEKHIVGASLQFFLTDIRDIIRAVEKNIPKLLKEXXXXXXKRAEGYVYSLWSLLPSCCNYARDTSIHFRALQNVLCDTLKNQPDLRGIICSSIQVLIKQNKEALSVPVEEAVLAEDEISKSERRAKERYTKEFAEENLKAIRAFSSKFLEVLCSIFLASSNDAIGLLQPAISDIASISEKDTVGRFFLDAIRKLLDATKAVNAEQKNDSSMQIEANSNTNNMARALLLDFAASLMPGLAAKSINVLFSYVKPAIKDTDSLIQKRAYKVLSMLLKDTEFIERNLDTLLDSMISSLPCQFPSKRYRLECLHHLIVYILKDSSKLGKREIIGSFLTEILLALKEANKKTRNRAYDLLIEIGRACEDAENDGRKENLHQFFGMVAGGLAGQTPYAISASVTGLARLTYEFSELIGVAYKLLPSTFLLMQRNNREIVKANLGFIKALVAKSKADVLHEHLKGVVEGLLSWQTDTKNSFKAKIKSLMEILVKKCGLDAVKAVMPEEHIKLLTNIRKINERKMRKCKSSEDGDNMSMTSRATRQSRWNHTQMFSDFGSDEDDSNGPFSAQHTVASRTGTKASTRSTRKRQADKSLLEKFIDQSTGEPLDLLDQKTVRLALKSTKKRAMPDEDDDEVEMDPEGRIIVREERERRKKKQPISRDDEADDRSTVRSQSVKRRKTTSSGWAYTGHDYTSKKASGDLKKKDKMDPYAYWPLDRKLLNRRSDRKAAARKGMSSVMKVAKKLEGKSAASALAAKRTQTKNRKQKKSK >ORGLA02G0302100.1 pep chromosome:AGI1.1:2:26783128:26786855:1 gene:ORGLA02G0302100 transcript:ORGLA02G0302100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARWWGGGPVGDGGGAGAAVRRVQAAAGGEERRAPRRRRWRRCPRWRRGGGGGGGGGGGGRGTETAATWRGRRYEAYTRRRDEWLREREGWRARMERKEAEVRALWAQLERRAAGCATATATATDDDGGGAAGVREKAGKDGEKRRRSDVAAPASRISGKKHARTRSFSSTATKSSLPDAGARRALSQEPPPPPTSERPTTAGAGSHRVARVTGGGATTTAPKPRVFSGHRSSTAKEHGSSSAKGGTTKPKPPRSLPRRSSSGGLENLKEAVLSNTCAAVAPAQSCSTEQATVHGETGNASPPSPFAGAAAANARAASPDSDCGEAVDGGSYDREAEAKPVGEHDAEEVTVSPQKLANGEITSDSDTEPSYVYVKKDDVEGEEDAMARRSEALAVSDAKPAELEVEKNNSDAAARGEETTAPRRTPSRQRARRRSSRTRHRRGRAPTSPPPPPPPSPATGQGAAPLPAARQLPTSRELRPSRGCSRRTPRCCARSGSRAPTSSPXWRXRRRRCPPRRPGSPARRGREDSRASXASGRRTDEARMSPSSTAPRRRCPRWPTTTAAAEGGRPVRRSSQGWPPRTLPPTTWTMAMPLPPLHRVALCKALWLHLLQSLNCMRXTHRRNHQKLIGRSSRFDHSTVAGA >ORGLA02G0302000.1 pep chromosome:AGI1.1:2:26775063:26779191:-1 gene:ORGLA02G0302000 transcript:ORGLA02G0302000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHHKPGLRVRLRITAARRRAWLSAGLQPACRKPPRRDPSDSVHKVARREIGGGHRRPPRPAAPSSSAFSCPEKFRNFQLQEEYDTYDDEVHFLVQLPFLWSRTKIIEIVAAKDVIFALAQSGLCGAFNRATNKRICYLNISPDEVIRSLFYNKNNESLITVSVYESDRFSSLKCRTTPIEYIRRGQLNDGFPLFETESLKYPGFVEFDDVNGKVLTFSAQDSTYKVFDLKNYNFLYSICDKNIQEIKISPGIMLVIYQKSANHVPLKILSIEDGTPLKTFTQLLHRSRKVDFIEQFNEKLLVKQDKENLQIIDVRNSNLIEVNKTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFDDHELWHSNCNTNNIYITADQDLIISYCKASKEVRDSGGCEGIAPPTGSINMSNIFTGKCVAKISPLDPTLTIAPRKRGDSSRSTIRSTVSDALEDITALFYDEDRNEIYTGNSKGLVHVWSN >ORGLA02G0301900.1 pep chromosome:AGI1.1:2:26770049:26772625:-1 gene:ORGLA02G0301900 transcript:ORGLA02G0301900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASSSSPAPAPEAAAAGRRVPEAAELKLRRRTLETVLEQCQRALEMMREADLGIAVSEEEEEEGADAVAANPEVGGSDGCDEEGAPPPSPPSEADYETDELCDLLKSRVASPEFLEKLDNIQKSVYQNGAVDETISWDIISAADIWDDIDKGMNISDDSEDGYVLIKQEDIVDGIASFMAAYLLSLKQTKDLTPNQLQQALSKTFSAKKRKSKLQKAWDGTKVIYNIASWSATAIGIYQNPAILKAATAAFWTSCRVASKFL >ORGLA02G0301800.1 pep chromosome:AGI1.1:2:26762592:26768069:1 gene:ORGLA02G0301800 transcript:ORGLA02G0301800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT2G22530) TAIR;Acc:AT2G22530] MAGGGGASPSCAAVAGWTVTAVLLQVVGLSMFLYGFFPVKPTLPGFSGAESYRMPSCGLAGGGEQPALPPDQLRSLYRELSEVPPVYDRLVLMVIDGLPAEFVLGRVGKPPSKEMVESMPYTQSLLAGCKATGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLDDNLLDQLHMIGYKLVMLGDETWIKLFPKLFWRQDGVSSFYVKDTVEVDFNVSRHLESEFAAKDWNMLILHYLGIDHVGHIGGRQSVLMPQKLKEMDDVIRRVHNAVSGLEDNLDRTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVQSSYCSPYDQNEALQVDLAPTLSLLFGTPIPKNNIGVVLPEVFNSLTVDCYVFIYPISKDVSGSCGSATTTTQLPYQQKLRTLELNSLQFLRLLQAQLPAFCFEDCINAKYGLGIDKIPQSVEKKLCHLLSKAFDSHHPSHLHQTSNVKSIEAGCNRTAVNAYYEFLRYASEWLSHRATNKPFYLLVSAISLMIVSCLSLMGIVSCLLKGKSLSQFEHHSEWNSDYHWHLDEVFIIMGIFLYVSSLGSSSFVEEEQYTWHYLTSTLYLIFLIKTTQSMLRESDSAVARAEGKIFHGNDCSYFTSCKLIPSMRDGYRLCTIIIILVSGRILRAWHQGGVNWIHFPDISKSLAQADSFVVKALQIISVLAVVQPINAIPLLLIFLQIISSIMHFSLDKSLHKKWVQIVAMQLLGLSGHFGLGNTNNLASIDVAGAFIXXAV >ORGLA02G0301700.1 pep chromosome:AGI1.1:2:26759727:26761912:-1 gene:ORGLA02G0301700 transcript:ORGLA02G0301700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVQFSAAGVGAVAFATKGMASRDALRLPPPAAVRVLRQAPRPSRGLVVRAAAAIVAPKYTTLKPLADRVLVKIKSAEQKTTGGILLPSAAQSKPQGGEVVAIGEGRTVGDNKVEVSIQVGSQVVYSKYAGTEVELNDSNHLILKEDDIIGILETDDAKDMKPLSDRVLIKVAEAEDKTPGGLLLTETTKEKPSIGTVVAVGPGPLDEEGKRIPLSVSAGSTVLYSKYAGSEFKGSDGTSYIVLRVSDLMAVLS >ORGLA02G0301600.1 pep chromosome:AGI1.1:2:26745418:26746026:-1 gene:ORGLA02G0301600 transcript:ORGLA02G0301600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSPVAASPPEMEKKYKGVRRRKWGKWVSEIRLPNSRDRIWLGSYDSPEKAARAFDAAFVTLRGHGAAGADLNFPDSPPSCDARSSDPRQVQAAALSHANRAHVTPQQAAAALMSPPSLSPPPGFATGSEVVAPAVRADGSIDWRPVMAHPPPLYSPPGWGGGHAYDFLQPPPPSPPLPSCDDDMVDVVDESSASLWSF >ORGLA02G0301500.1 pep chromosome:AGI1.1:2:26740305:26740580:1 gene:ORGLA02G0301500 transcript:ORGLA02G0301500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPELSRADEVDDGDGGGSTADMVNDDGCNGGGERGSRRGGRGGARGADAGTTVAGAVLPSATMLPCCHPRPPSYCRAGAAVETRTTRR >ORGLA02G0301400.1 pep chromosome:AGI1.1:2:26733403:26736189:1 gene:ORGLA02G0301400 transcript:ORGLA02G0301400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSGGGGRLHPHGQRRSVAAFLAANKTLLAAAWVVGFTLVFLWQSAKVSVGGGGRGGGFLRLRSAPPPPPSRPAPLLRPKAYELTDFGGVGDGRAVNTEAFERAVKAIAALAERGGGQLNVPPGRWLTAPFNLTSHMTLFLAEGSEILGIPDERYWPLMPALPSYGYGRERKGPRFGSLIHGQNLKDVVITGHNGSINGQGEVWWMKHRRRILNNTRPPLLQLMWSKDIIVANITLKNSPFWHFHPYDCTNITVSNVTILAPISSAPNTDGIDPDSCQDVLIENCYISVGDDAIAVKSGWDQYGIAYGRPSRNIVIRNVMARSLVSAGISIGSEMSGGIANVTVEDVRIWESRRGLRIKTAIGRGGYIRDISYRNITFDNVRAGIVIKVDYNEHADDGYDRDAFPDITNISFKEIHGQGVRVPVRAHGSSDIPIKDISFQDMSIGISYKKKHIFQCSFIEGRVIGSVFPKPCENLDLYNEQGQLVKRAAMVNSTEVDYDI >ORGLA02G0301300.1 pep chromosome:AGI1.1:2:26727752:26730922:-1 gene:ORGLA02G0301300 transcript:ORGLA02G0301300.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMKRQLPIKGASGASGSGHGKKAPQELENVLKQHFGYSGFRGKQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALVKSGIVLVISPLIALMENQVASLKSKGIPAEFLSSTQTSHNKQKIHEDLDSGNPSLKLLYVTPELVATSGFKAKLTKLNNRGLLGLVAIDEAHCISTWGHDFRPSYRKLSSLRNQFPDIPILALTATAVPKVQKDVISSLCLRNPLILRASFNRPNIFYEVRYKDLLDDVYSDISNLLKSSGNVCSIVYCLERAVCDDLTMHLSQQGISSAAYHAGLNSKVRSSVLDDWLSSRTQVVVATVAFGMGIDRQDVHIVCHYNLPKSMEAFYQESGRAGRDQQPSKSVLYYGLDDRKKMEFILRNTKNKKSELSSSSTELSEKALADFSQIIDYCENSTCRRKMIIESFGEKMTPLTFSHMF >ORGLA02G0301200.1 pep chromosome:AGI1.1:2:26725057:26725914:1 gene:ORGLA02G0301200 transcript:ORGLA02G0301200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMCSESRGEAGDFARIRNTCFEQATQAYMMGNKALAKELSMKGQLYNLQMKAAHETAREAIYQQRNPFSSEQGQDRLIDLHGLQVSEAIQVVKAELALLMGATRSAGEGTDHDLHWNRTPHQGLSRGKVAGRP >ORGLA02G0301100.1 pep chromosome:AGI1.1:2:26709054:26720442:1 gene:ORGLA02G0301100 transcript:ORGLA02G0301100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGVVSREVLPACERLCFLCPSLRTRSRHPVKRYKKLLSEIFPKSQDEEPNDRKIGKLCEYISRNPLRVPKITVYLEQKFYKELRVEHFGSVKVIMAIYRKVICSCQEQLPLFANSLLNIVEALLEQNRQDDLRTIACRTLFYFVNNQVDSTYMFNLESQIPKLCQLAQEMGEKEKISIVHAAGLQALSSMVWFMGEHSHISAELDNVVSAVLENYESPYANSDNDAAIEDRRTQWVSEVLKAEDHEPSGITILTRVPSWKAIRAPRGELSLTTEESESPNFWSGICLHNLARISREATTVRRVLEAIFRYFDNNNLWSPSKGLALCVLLDMQIVIEKSGQNSHILLSMLVKHLEHKNVLKQTDKILDIIEVTTRLAEHSKAQSSTALMAAISNMVRHLSKNMQLLVSDVGPGDGMVMNDRYGKATDECLVQLSRKVGDAGPILDALAVVLENISSTTPVARSTIAATYRTAQIIASLPNLLYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGSSQTSKIGIKRTLSRTTSVFSSSAALFGKLKRDVFSFRENSRLDGTNLIPISEDSDQINGNDPKLFKSQTIQRMYSAKDSFVTPSSEISNLSGTTQETDPVTLMLSGRQAILLLSSLWTQALSPENVPRNYEAISHTYCLMLLFSEDKKSCLEVLVGSFQLAFSLQSISLQAGFLPPSRRRSLFTMATSMLVFFSKAFGIPSLIPLVKDLLTTSIVDPFLRLVEDCKLQVVESCLTVYGSKDDDDLALKSLSNININDQSKQASVSLILDSLKDLSEAELSTIRKQLLEEFSADDACPPLGSHSNESTSQSPAYNAKLHQKSLEVIPVGFIFEDDTLVEPANSLAEPQLQQPLDNGLIDVNQLLESVVETSRHVGRLSVSTNLDLPFKEVANRCEALLIGKQQKLSVCMSVHQKQDGESPMDKLGSPQQISPTAGFVSTNDEQCHSDFCKLPVLSPYDKFLAGSGC >ORGLA02G0301000.1 pep chromosome:AGI1.1:2:26700828:26703132:-1 gene:ORGLA02G0301000 transcript:ORGLA02G0301000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKTLLVIFSLLHALVLATSVGVEHATHDVSTYIIHVAHVHAAPPTHASQCMDQHAIAHYTSFLQGILPSHLSEPTPRLVYAYSHAATGFAAKLAKHQATHIVHHPSILAIFPDKRNELQTTLSPSFLGLSPSNGLVQASNGGGTGAVIAVVDTGVYPKNRRSFTVDPSLPPPPSTFRGRCISTPSFNATAYCNNKLVGAKYFCRGYEAALGHPIDETQESKSPLDTEGHGTHTASTVAGSAVPGANLFGYANGTAQGMAVRAHIAIYKVCWAKGCYDSDILAGMDEAIADRVNVISLSLGGRSEQLYNEPTSIGAFNAIRRGIFVSAAAGNDGPNMSTANNLAPWMVTVGASSINRRFPANVILGNGETYVGTSLYSGRNTAASLIPLVYSGDAGSRLCEPGKLSRNIVIGKIVLCEIGYAPAQEAAVQQAGGVGAIVPSRNVYELNFAAPYAPRVAAFSSRGPNRFVAEILKPDIIAPGVDILAAWTGENSPSSLNIDTRRVEFNIISGTSMACPHVSGIAAMLKVARPDWSPTAIKSAMMTTAYEVDNGGNAIMSSVNGRAAGPFELGSGHVDPNNALDPGLVYNATADDYIAFLCGLGYTPNQIAIFTRDGTTTYCSRRPPIGDLNYPAFSMVFARSGGQVTQRRTVTNVGANTNAVYDVTITAPPGTRLTVAPMRLTFNAQRKTLDYAITLSAGSSNSPYNAWGDIVWSDGQHMVRSPVVATWK >ORGLA02G0300900.1 pep chromosome:AGI1.1:2:26692963:26694049:-1 gene:ORGLA02G0300900 transcript:ORGLA02G0300900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSKSSPSPALARGNIAVDLRPFLVEFNDGRRWVLVRHETVAASDDKTRSANGVVTKDVVIDDETGVSVRVFLPVDAAVAAAAGDGRRLPLVVYVHGGAFCTGSASARMFHDYAESLSARAAAVVVSVDYRLAPAHPVPAAYDDAWAALRASAPTSCNNVAVRAGEVFDDDIDIEGMILLQPYFWGTKRLPCETPDPCWRTRGSPPMLLPERIDALWPYVTAGAAANNGDDPRIDPSAEAIASRPCRRALVSVATEDVLRGRGRRYAAAWGDSGSHRAATLVESKGVDHGFHLLPEFSSHAETGVLMDRVAMFIAKGKTPPPNTRGCLDGG >ORGLA02G0300800.1 pep chromosome:AGI1.1:2:26676490:26681946:1 gene:ORGLA02G0300800 transcript:ORGLA02G0300800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIEVDALEDCNVDSESSSDSELDDLILRMHRKEVVHEIKRHLKKSYDFHTSKALYLDHVDFGKKNPGNSTPRIAVWTKNMIQSYSDLDKVDDDNFGLRPLRDFKSVCYYQPHPTAERRISFKEKLDSALGLCCLLVXKRNYVLXWNIIVLKFMLLGVILVKMFLLMLXFCLLKIELHPQIKFKMMLMILILKVVMLFLLDLMIMLMLMLQYQMMLLSVHPLKMIHVSTLLLLMVMMLLHLVMLLHLSHQVTVILIMSCXLLRVLLXRSSNIVLIIIWLVLLVQPLLLLFIMLQRSLRQDFQNYXIKMPERILLIFQGLHSNCLILRMMLVVLKMMPIISXMKRIIKLMEILLLLHHCCANLFDLSLILLMSLITTIXEVMRTQLGLIKFLQFFPRGCFEMXLTLQMLFSWVKINFHKLLKNLVLKLKRYTMQPIIYHGIHMGXALQVENYLLMVLEEXLFLAGMLVIRLFLQXNVVFQCLIKRTDITLLSVAXQIVPSGRVMMLLISTMSEPSFLALVILSKKLVLFCHLSCQFSAAFCFKQSSIKIXEALFLLIHCCTTYSXPXFCXSRKSEKIFPWNCXCSATTPLXHAFFPILHDQHWFVFVVDIKDRMLVFLDSLHQPDDEFFEPILPLLLKNLQIVWDKYERTPMNFSTFKIKFPPVPRQEYSFDSGIFSMKFMEIWSPRIILSNQFTAQNINNIRVQYANQMFFLPNNKMLQTEVENVVVNWFDXARFPSNHRAIDA >ORGLA02G0300700.1 pep chromosome:AGI1.1:2:26663859:26664761:-1 gene:ORGLA02G0300700 transcript:ORGLA02G0300700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHQAAHITDHPGVLAIYPDEHLQLHTTQSPSFLRLSPSVGLVQASNSGGTGAVIAILDTGIYPKGRRSFTADSSFPPPPRTFRGHCVSTRSFNATAYCNNKLVGAKFFYKGHEAKMGHLINETQESKSPLDTEGHGTHTASTAAGSAVPSANFVGYANGTAQGMAVRAHIASYKVCWRDDGNASCATSNILAGMNEAIADGVDVISLSLGGLKPQLYNEPTSLGAFNAIRRGIVVSTSAGNDGPGTYIANNLAPWVITVGASSIDRRFQLTLSLDIIAEPTSAPHCISARTRPVHFYL >ORGLA02G0300600.1 pep chromosome:AGI1.1:2:26656212:26656567:-1 gene:ORGLA02G0300600 transcript:ORGLA02G0300600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDDNEVCTRAGRQQALETLATQSRHGDGGQDRWMDGCSIRMCSNESQDALFVLFSLHVLSTQSISIGNSYLFFFEEA >ORGLA02G0300500.1 pep chromosome:AGI1.1:2:26648391:26648771:1 gene:ORGLA02G0300500 transcript:ORGLA02G0300500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKWMDGFQEKLNSGANFLEEMNSVVSLVKKQRINDPSMMNWSLQLKDAIDEVEFTRTARTTRIVKESPCALLRLFFSEGATAVAPWARAMGGGGGRVDAPMLCCDRERCSTGWRRRQEREKRRKP >ORGLA02G0300400.1 pep chromosome:AGI1.1:2:26646235:26646438:1 gene:ORGLA02G0300400 transcript:ORGLA02G0300400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRLGDGSRRPRRFMGALRRLRLRWVVAAMYRRTLRRLRACYAKAIRDVLEGAALVGAARADAGV >ORGLA02G0300300.1 pep chromosome:AGI1.1:2:26644185:26644571:1 gene:ORGLA02G0300300 transcript:ORGLA02G0300300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVKHTAGHAGARRVKLFRVPRRPARAAEEAGAPLVPAGERRKRKMAVARLGGGAGGRRRLFGAFRRLRVRWLAALYRRSLRRLRAYYAKAVQDLLEGAAAMSTLRSQAAADCSFGTAFAPVVAVGY >ORGLA02G0300200.1 pep chromosome:AGI1.1:2:26638075:26640429:-1 gene:ORGLA02G0300200 transcript:ORGLA02G0300200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:Projected from Arabidopsis thaliana (AT3G14067) TAIR;Acc:AT3G14067] MATLRHLAAVLLILFAAASPAAAAAREQSTYILHLAPEHPALRATRVGGGGGAVFLGRLLRLPRHLRAPRPRLLYSYAHAATGVAARLTPEQAAHVEAQPGVLAVHPDQARQLHTTHTPAFLHLTQASGLLPAAAAASGGASSPIVGVLDTGIYPIGRGSFAPTDGLGPPPASFSGGCVSTASFNASAYCNNKLIGAKFFYKGYEAALGHAIDETEESKSPLDTEGHGTHTASTAAGSPVTGAGFFDYARGQAVGMSPAAHIAAYKICWKSGCYDSDILAAMDEAVADGVDVISLSVGAGGYAPSFFRDSIAIGSFHAVSKGIVVSASAGNSGPGEYTATNIAPWILTVGASTIDREFPADVVLGNGQVYGGVSLYSGEPLNSTLLPVVYAGDCGSRLCIIGELDPAKVSGKIVLCERGSNARVAKGGAVKVAGGAGMILVNTAESGEELVADSHLVPATMVGQKFGDKIKYYVQSDPSPTATIVFRGTVIGKSPSAPRVAAFSSRGPNYRAPEILKPDVIAPGVNILAAWTGESAPTDLDIDPRRVEFNIISGTSMSCPHVSGLAALLRQAQPDWSPAAIKSALMTTAYNVDNSGAVIKDLATGTESTPFVRGAGHVDPNRALDPGLVYDAGTEDYVSFLCTLGYSPSIISLFTTDGSVANCSTKFPRTGDLNYPAFAVVLSSYKDSVTYHRVVRNVGSNANAVYEAKIDSPSGVDVTVSPSMLVFDESHQSLSYDITIAASGNPVIVDTEYTFGSVTWSDGVHDVTSPIAVTWPSNGRAASM >ORGLA02G0300100.1 pep chromosome:AGI1.1:2:26633919:26636265:1 gene:ORGLA02G0300100 transcript:ORGLA02G0300100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPATTTLVLCCLLAVAAAAAATWIGHDDQQDDDVSTYIVHVMPAHAPRLATHRIARDHYAPFLRELLLPPHVARPPPRLLYSYAHAATGFAARLTARQAAHLEAHPCVAAVVRDEAYELHTTLSSSFLRLSPSSGLQAESNSATDAVIAVMNSTMRPSYQTRLCPQHRLLPFVANMFYEGYERASGKPINETEDSKSPLDTTGHGTHSAAIAAGSPVSDANLFGLANGVAKGTAPGARIAVYKVCWKMGCFGSDVVAGMDEAIADGVDVISLSLAVNRKRTFAQDPTAISGFNAVRKGIVVVASAGSGGPKESTVTNTAPWLLTVGASSMNRQFQTIVVLGDGQTFSGTSLYLGDTDGSMKSLVFGGFAGSAACEIGKLDATKVAGKIVLCEAGQVLDAEKGVAVAQAGGFGVIVSSRSSYGEYAKATAHLIPGTTVPNAAALEILRYMARTPYPVGKILFFGTVLSSSPRIASFSARGPSLAAPEILKPDLVAPGVSILAAWSGLVSPTELDVDTRRVKFNILSGTSAACPHVSGVAALLKMARASWTPAMIMSALTTTAGLDPGLVYDAGVDDYLDVLCALGYSDEDIVGIFLRDGTITNCSTRASTTVADLNRASISVAVKAYGDDITVRRTVRNVGGSVDAVYTVGGVPPPGTQLRIRPSKLVFDAEHQTRTYDVVIRTVSSGSFDEYTHGSIVWSDGAHKVRSPIAVTWPPSQSAAVAAI >ORGLA02G0300000.1 pep chromosome:AGI1.1:2:26629311:26631866:1 gene:ORGLA02G0300000 transcript:ORGLA02G0300000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALESGPGLGGGGGGGGGPRFGRVARCAYAASPPPASVGARSSSSVGRDSDSPAAAAKWEWDGEEVEGGDGEVQSSYKGPFDTMDALQEALPFRKGVCKFYNGKSGSFAKLQDSVIPSPPEKSLPKPENPSPRKRKGLLPFSFKWGKPQNKEVFPEDDVIISPTNCRRMTLSPAATSSSGSNSGSDDEHYRSPKLHTRQPLRRPSNAAMGVFASPPAPRPPQVLSAHMRSHSMLDLQDVTESTAMVSPRDKRRRN >ORGLA02G0299900.1 pep chromosome:AGI1.1:2:26625552:26627332:1 gene:ORGLA02G0299900 transcript:ORGLA02G0299900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyl hydrolase 9A4 [Source:Projected from Arabidopsis thaliana (AT3G43860) TAIR;Acc:AT3G43860] MKPRSSRDGHNAAAAAALLLAALVLSGDILPAVVAGGAPSFNYKDALTKSIMFLEAQRSGKLPPTNRIKWRGDSGMEDGKLANVYVDLTGGYYDAGDNVKYGLPLAFTVTTLAWTAMAFEKELKAAGELENVHAAIRWGTDYFLKAATKKDHLWVQVGDPNADHQCWVRPENMPTPRTLYQINDKTPGSEIAAETAAAMTASSMVFRKDKPYSRRLLNKAKLLFQFAKTHQGTYDGECPFYCSYSGYNDELLWAATWLYLATKRQVYADFIGHEAISSSVAEFSWDLKFPGAQVLLAELNMTSSGGLQSFKSQADNFVCAVLPDTPFHQVSITPGGMIHLRDGANSQYVTSTAFLFVAYSDILRRINQPVMCGAQAVQPARLLQFAKQQIDYLLGANPRGRSYVVGFGVNPPTQPHHRGASTPVLPPGYQVNCGMSFSEWFTPDRPNPNELTGAIMGGPDGGDNFSDKRGNSSCTEPCTYINSLSIGPLAALAIRGPNLIATQ >ORGLA02G0299800.1 pep chromosome:AGI1.1:2:26621594:26624082:1 gene:ORGLA02G0299800 transcript:ORGLA02G0299800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDWSIFSKFDHNGGYLHKFPIDSPISHDIGLGLISHFGTLVESSFQHPRHICSTGNGAVQEAFSCFNKFAGAFYFWLSRASNPKIFHRLSAIAGSSSRACQSQIKQVTSCMQHLAGLRFGSQVREEHAIQILLAKLANATFGRLWNEVEERHACNILMLAAATVPPFENISPKMLADSMTLGRDNGRTREPVDQHSLEENHSGCTCVAVPRIILPEDATEPKTGIKFPTLLEDNSNPTSEVLVGMGFRSMRIMRVKNLNLYAFGLYIQPDSICKRLGPKYASVPVSELKDHPDFYEDLLRENIHMTVRLIVSYNGLSIGTVRDAFEKSLCFRLQKMNPNTDYHCLKTFGSYFSEDICIPAGTKIDFRQTSDGQLITEIDGKQIGAVQSKDLCRAFFDMYIGDPPVSVETKQDIAQNVGGLIRRCY >ORGLA02G0299700.1 pep chromosome:AGI1.1:2:26617912:26619351:1 gene:ORGLA02G0299700 transcript:ORGLA02G0299700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVDAPTVVAGQEEVTDNMLGDKKVTVVFVLKIYTGGPGSGKGTQCANIVEHFGFIHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQEAMIKSGNDKFLIDGFPRNEENRAAFENVTKITPVFVLFFDCSEEEMERRLLGRNQVF >ORGLA02G0299600.1 pep chromosome:AGI1.1:2:26611879:26613657:1 gene:ORGLA02G0299600 transcript:ORGLA02G0299600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHERGGAASSAAAPATEEDALFIDLLHEAPLSGHREPRSIVGGTLYCILLAGYAAVAVSAPWIFYLVPDMIPPLLCSSNVILLILTGIFQQYWVHQVRKVRLQGYYDFSEKLKRLARLPFATVASGVASMLLIIVWQPLVHILSVSLLLRYTFVIAANLCKFLCYVLNGS >ORGLA02G0299500.1 pep chromosome:AGI1.1:2:26599880:26610761:1 gene:ORGLA02G0299500 transcript:ORGLA02G0299500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT5G49030) TAIR;Acc:AT5G49030] MDAASCCRVFSTQRCRFPLRRLAPPISRRPFGTESTSELFAASTSKRRSRGPVMAAKKAAEGAKQEEGKYKHTVDLPKTTFGLRANSVVREPELQKLWEENQVLKRVSERNTGATFVLHDGPPYANGDLHMGHALNKILKDIINRYKLLQNHKVSFIPGWDCHGLPIELKVLKSLDKETLNALTPIKLRQKAAKFAKATVTTQMNSFKRFGVWADWDNPYLTLSPEYEAAQLEVFGQMVMKGYIYRGRKPVHWSPSSRTALAEAELEYSENHISKSMYAAFKITNLSKPGLLEEFLPNLCLAIWTTTPWTIPANAAVAVNPELTYVVVELQSVLESESTSGGNQRKLGSILSPGSQKPFIIVAADLVSALESKWGTKLIIQKSFPGSALEHCRYIHPVNGNECSVVLGGDYITTESGTGLVHTAPGHGQEDYITGLKYGLPIVSPVDDEGNFTAEAGQFSGLAVLGAGNAAVVNYLDEQCSLILEEPYKHKYPYDWRSKEPTIFRATEQWFASVDGFRNAAMDAIRRVSWIPSQAENRIVAMTSSRSDWCISRQRTWGVPIPVFYHVDSNEPLITEETIEHIKGIVSKKGSDAWWYMTIEELLPEKYRDKASEYRKGTDTMDVWFDSGSSWAAVLAKRDGLNFPADIYLEGSDQHRGWFQSSLLTSIATTGKAPYSSVITHGFVLDEKGFKMSKSLGNVVDPEKVIVGGKNSKQEPGYGADVLRLWVSSVDYTGDVLVGPQILRQMSDMYRKLRGTMRFLLSNLHDWKPENSVRYSDLPKIDKYALFQLENVVTSMKDSYENYQFYKIYQILQRFAIVDLSNFYLDVAKDRLYVGGRVSFTRKSCQTVLSAHLLYLVRAIAPIMPHLAEDVWQNLPFQHTLDDGSVAEFVFNLKWPVKNEEWLSVPKDDVDFLSVILEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXANTVSKLKELVSATNDADALHRLFITSEVEILPSVSSETTSGVSYTGTFSSERTGNIWIGVTRADGEKCERCWNYTRDVGSFLDHPTLCARCHGVIDLPPVPAAAAVS >ORGLA02G0299400.1 pep chromosome:AGI1.1:2:26583365:26586035:-1 gene:ORGLA02G0299400 transcript:ORGLA02G0299400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLRCFFGGGGGGDETGGDEEKKASAAAVVKNKKAVRRMRSATGRLRSLSLEDLSRTLAQSGLQAFTLAELKAATRSFSGSNFIGEGGFGPVYKGFIDAKLRPGLLQPQHVAVKYLDGEGDQGHREWLAEVVYLGMLSHPHLVKLIGYCCQDDHRMLVYEYMARGSLEHHLFKNLLSSLPWATRLKIAVGAAKGLAFLHDADTPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPQGDATHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRRPERLHRVMDPSLEGGYSDKAAGKAAMVAYHCLHSVPKSRPHMRDVVAALEPLLQTSCGDVLAGPFVYTVPSAAAVVVAAKDDEKKAAAAAGEDGEEEVAAAAKAKRRYVASAVHAEGAMRKGEHRYASSVSGSPRQSRDRGG >ORGLA02G0299300.1 pep chromosome:AGI1.1:2:26571767:26581103:1 gene:ORGLA02G0299300 transcript:ORGLA02G0299300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFENQSVLHQLRCGMFLEDCNLVICQGVLEAVRISLAGYPTRRTYAEFVDRFGVLVPELMLGSYDERALTKGILEKMKLENFQLGSTKVFLRAGQIAILDMCRAEVLENAARHIQGRFRTFITRKEFVKTREASISIQAYCRGCLARKMYMVKRETAAAIIVQKYVRRWRLHRTYQQAHSAALLIQSCTRGFIARRYFSVIREQKAALVIQSLWRKRKVIILFQQYRQATVKIQCAWRQKLARRELRRLKMAANEAGALREAKNKLEKKLDDLTLRLTLERRLRAAGEEAKSVEILKRDKMIESLSAECAAAKSDAQSEHDKNRLLQRQLDDSLREITMLRGSKIMTAEAEKENSNLKNLVESLSKRNSSLEYELTSARKGSDDTMKKLKDVEGKCNHLQQNLDKLQEKLTNLENENHVLRQKALNMSPLNNMSMATKAFPQKFATPIGLPNGEQKHGYETPPAAKYLASLPQSLTGSRRTRMPVERQEENHEILLRCIKENLGFKDGKPVAACIIYSCLLHWRAFESERTAIFDHVIEAINNVLKGEEADGRLPYWLSNTSALLCLLQKNLRSNGLFATPSRRSGGPLGIGDKIVQTLRSPSKLMGRIDTLGQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLSVCIQAPKSSRAQPGKASKPPGVGAQPPSNSHWDNIVNFLDLLMSTLHANYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWISDATDEFAGTSWHELNYIRQAVGFLVIHQKRKKTLEEIRQDLCPNLSVRQIYRICSMYWDDKYNTQGISNEVVSAMREEVNKYTQNLVSNSFLLDDDLRGFVNGHPCYRLCRCRTSRIASSLSISTAPSQAS >ORGLA02G0299200.1 pep chromosome:AGI1.1:2:26565083:26565873:1 gene:ORGLA02G0299200 transcript:ORGLA02G0299200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITVLAEKLLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKRRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA02G0299100.1 pep chromosome:AGI1.1:2:26553070:26560307:1 gene:ORGLA02G0299100 transcript:ORGLA02G0299100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTRLLLAAILLAVAAADDDGQTLLEIKKSFRNVDNVLYDWAGDGAPRRYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAIGNLKSVESIDLKSNELSGQIPDEIGDCTSLKTLDLSSNNLGGDIPFSISKLKHLENLILKNNQLVGMIPSTLSQLPNLKILDLAQNKLNGEIPRLIYWNEVLQYLGLRSNNLEGSLSPEMCQLTGLWYFDVKNNSLTGIIPDTIGNCTSFQVLDLSYNRLTGEIPFNIGFLQVATLSLQGNNLSGPIPSVIGLMQALAVLDLSFNQLSGPIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMSTLHYLELNDNQLTGFIPPELGKLTGLFDLNLANNNLEGPIPDNISSCMNLISFNAYGNKLNGTVPHSLHKLESITYLNLSSNYLSGVIPIELAKMKNLDTLDLSCNMVAGPIPSAIGSLEHLLRLNFSNNNLVGYIPAEFGNLRSIMEIDLSSNHLGGLIPQEVGMLQNLILLKLESNNITGDVSSLINCFSLNVLNVSYNNLAGIVPTDNNFSRFSPDXXXXXXXXXXXXXXXSCYSTSHVQRSSVSRSAILGIAVAGLVILLMILAAACWPHWPQVPKDVSLSKPAVCNVPPKLVILHMNMAFLVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYLENGSLWDVLHAGSSKKQKLDWEARLRIALGAAQGLAYLHHDCNPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCTSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKAADNTVMEMVDPDIADTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDCLVYPDPPSKPALPPALPQSSTVPSYVNEYVSLRGGSTLSCENSSSASDAELFLKFGEVISQNTE >ORGLA02G0299000.1 pep chromosome:AGI1.1:2:26536198:26543764:-1 gene:ORGLA02G0299000 transcript:ORGLA02G0299000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G35560) TAIR;Acc:AT5G35560] CFRVIGNRCIREPSHLFESIVIVGLPPQADIHELENIALGSNEEDVKKPRNIFGNNHHQVHALSNLEPQVLFAYPPERPLPLKYRDILSFCLPGGVQVHAVERTPSFSELNEILLGQQEQLKESNQSFVFRLQVADDSTLYGCCVLVEEIVQRPSKLVSMLTSEKPVFPRRSRYVITTLRCYCIFSRLPFFELHFGVLQSILMEERLEWLTDGVSLLTSLSPEETCEDDVVCEGTELATEKQYFDGHAVDLEKSSESSVGVCSKELSDTDSSSECRDNQLDLNCKEVQQECVVQDDLVTGTAPQCDTLERPDNCLSEDTTADQSGIELHELDSVPVILKESDTTENCGYSLQDDVNDEQLDIFVNDTILPLMRSRLCEGSESSPGSQDSPSEGINFRSDSHESDLEEPSSIGHGDLVGHNNISQWAKAKKYGSLQVVSQYYQLQCPARGSSLTFHPLDHLHPLRFHRPGETVLHIAGSTIDLRSCDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIIIVCSNLGMLSASVLSIIPLIRPYQWQSLLIPVLPNDMMDFLDAPVPYIVGVQNKTSDVHSRLVNAVVIDANKNQIKSTSVPQLPQQKELLSALRPYHSRLVGESFLARKRPVYECTDAQVEAAKGFLAVLRSYLDSLCSNLRSHTITNVQSNNDKVSLLLRESFIGSFPTRERPFMKLFVDTQLFSVHTDLVLSFYQKD >ORGLA02G0298900.1 pep chromosome:AGI1.1:2:26515862:26517266:-1 gene:ORGLA02G0298900 transcript:ORGLA02G0298900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSGRPSGGAGGGRYPFTASQWQELEHQALIYKYMASGTPIPSDLILPLRRSFLLDSALATSPSLAFPPQPSLGWGCFGMGFGRKAEDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEMSLATPPPPSSSATSAASNTSAGVAPTTTTTSSPAPSYSRPAPHDAAPYQALYGGPYAAATARTPAAAAYHAQVSPFHLHLDTTHPHPPPSYYSMDHKEYAYGHATKEVHGEHAFFSDGTEREHHHAAAGHGQWQFKQLGMEPKQSTTPLFPGAGYGHTAASPYAIDLSKEDDDEKERRQQQQQQQQQHCFLLGADLRLEKPAGHDHAAAAQKPLRHFFDEWPHEKNSKGSWMGLEGETQLSMSIPMAANDLPITTTSRYHNDD >ORGLA02G0298800.1 pep chromosome:AGI1.1:2:26511123:26511581:-1 gene:ORGLA02G0298800 transcript:ORGLA02G0298800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFPSSSSQSKFQPSRAGVFPLIRASPCRIELKRRGGGGGGGGGGGGRRVAMAMARLTPNGVAAALAGDTNLKPVLQIVELRGVQVNGAGVTRGERFRAVVSDGTAASSALFAAQLSDHARSGALRRGSIVQLSEYVINEVGPRRFCCLLLHFP >ORGLA02G0298700.1 pep chromosome:AGI1.1:2:26508143:26509831:-1 gene:ORGLA02G0298700 transcript:ORGLA02G0298700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication protein A 1A [Source:Projected from Arabidopsis thaliana (AT2G06510) TAIR;Acc:AT2G06510] IIVILNLEVLVSECEIIGNPTALSETGSPIPNPTRVEQFNGATQYGLMAGNSSNTTTKPSDNVPLFQNSMAGNSSNFATRPSDKVPVFQPTVQPSYRPAPNYKNHGAIMKNEAPARIIPISALNPYQGRWAIKARVTAKGDIRRYHNAKGDGKVFSFDLLDSDGGEIRVTCFNALLDRFYEVVEVGKVYVVSRGNLRPAQKNYNHLNNEWEILLENGSTVDLCPDEDSSIPTQRFDFRPINEIEDAQNNAILDIIGVVTSVNPCTTIQRKNGMETQKRTMNLKDMSGRSVEVTMWGDFCNREGSQLQGMVERGIFPVLAVKAGKVSDFSGKSVGTISSTQLFINPDSAEAHSLRQWFDSGGRDASTQSISRDITPGASRNEIRKTVAQIKDEGLGMGDKPDWITVKATVIFFKNESFFYTACPNMIGDRQCNKKVTKSTTGNWTCDKCDREFEECDYRYLLQFQIQDHSGTAWVTAFQEAGQELLGCSATELNALKEREDPRFADTMLNCLFQEYLLRLKVKEESYGDERKVKNTAVKVEKVDPSGESKFLLDLISKSSALH >ORGLA02G0298600.1 pep chromosome:AGI1.1:2:26503463:26507325:1 gene:ORGLA02G0298600 transcript:ORGLA02G0298600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGPQDALDNRSDDNDFDKNDNSDPVVYQLVRVEGDGTLIPATEDEVLQFETFLHDEKVDDDLPSINDVTHVEEYFTNDCIVKKPEFEEGPSKLDTADVQTQKLDAGLEEDRLCTLNDSIVLPSNCSAVHDQQLDKLNTEQGANIIAQQDNASTETTKSTVLNDLSSDKEKADACSKPVNEASAGQSVSGVTSSVPDFSILKGEVCLDDLTMRELQEAFRATFGRQTTVKDKLWLKRRIAMGLINSCDVPSSGCVVRDYKVIAMGAKQEIPVVEAIPKMELEANLVRDQVMNPGHERDLPSSLSYHSEEQQRSSKRLKRVPTDNDEPQVTIFAEQGTTKRIRKPTKRYIEELSDIDTHESTGRLSSPGKRHVYDEVLLRPQIAPLHEVDSLSTAYPTREDTLGGCSVHVPYVSRMRRGRPRSNFIPFLDPEPSVECTEAPAADVVNLEKEGERKNHQKNTGKKGVHVETSGEKDVQGLQDKDFCDSDDNPKTKRGGKRKHHRAWTLCEVVKLVDGVARYGAGKWSEIRRLAFSSYSYRTSVDLKDKWRNLIRASQTQLSTENDGVCPRKSNPSAIPIPVSILLRVKKTG >ORGLA02G0298500.1 pep chromosome:AGI1.1:2:26488681:26490346:-1 gene:ORGLA02G0298500 transcript:ORGLA02G0298500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XFINLHYIFLLSPGDSFRRTVDGPMRSTLTFDNNHSVVPSQNIDYGQPMACISYPYNDSGSGVWPSYSSRSVFHPQIVGGGTSPRVPLPSLEIADDGPIYVNPKQYHGILRRRQLRAKLEAQNKLVKTRKPYLHESRHRHAMKRARGTGGRFLNTKQLQLQQQSHTTSTKTTTDSQNSSGSVHLRLGGGAIGDQTPFPFKAMDSQANIKRAAASASTFTVTSAAQKDDAFFDRHGHHLSSFSGHFVQASAQGGVGSMHNGSQQRVPAMR >ORGLA02G0298400.1 pep chromosome:AGI1.1:2:26481709:26482079:-1 gene:ORGLA02G0298400 transcript:ORGLA02G0298400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIHAALLILAIVLVASSSSPPGGVTAQLETCTEVINREYPTCDSGLCVANCQRQYRGGIGQCVGNKCKCDYSCAFLPPPPPPATARN >ORGLA02G0298300.1 pep chromosome:AGI1.1:2:26475625:26475977:-1 gene:ORGLA02G0298300 transcript:ORGLA02G0298300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVQLLLVAIALMSLSSVMVARSADISALTPNRSSVILNPGKACSAAKCNADCSRMYKGTGTCFGPVGCDCEYCPSASAPTATGSKN >ORGLA02G0298200.1 pep chromosome:AGI1.1:2:26456855:26457685:-1 gene:ORGLA02G0298200 transcript:ORGLA02G0298200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPEDMRSVDSFSQLPFIRPAPPPQQQARDTIRLFGCEFSNDLQLRPTEAGAGSPDAANGSTVTSEGSNGGDGGTKNGGAATAAAERKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQASLAMHRYMPGHMYGLFNYHHHIGGRFDHHPPPPPPPAHYPMWTSAAPGAFAGPGSMAQPINGSPVQAGLWSVPPPTENFGSTAGRQGADKLATTVAGTPAAGEVACKDEMVPMSLLSSSPSLSSCSSTSPEMLGRCELGQKEGVSLDLHL >ORGLA02G0298100.1 pep chromosome:AGI1.1:2:26437996:26447018:-1 gene:ORGLA02G0298100 transcript:ORGLA02G0298100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPASRQRRASSAAAAVAVVRSSPQPQQQQQQQLPIPQSGSPTSTTTTTTSSSRLTPELSLDGPASPLFAGLDEDPAPKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPSVAYAYDRVFAPTTTTRQVYDVAAQHVVSGAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTVESSPCGESNEGEAVTFSQLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHIPFRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEVQASQNKIIDEKSLIKKYQNEIRRLKEELEQLKMGIITGTPVKDAGEDNIILWKQKLEDGNVKLQSRLEQEEEAKAALLARIQRLTKLILVSTKATQTSRFSPHPGPRRRHSFGEEELAYLPYKRRDIVLDNESNELLSPVEGLGMTLEDSKEEKKNRKGILNWFKLRKREGGASILTSSEGDKSSLTKSTAPSTPIGESVNFPSEPRISNSLVGESASVDLFSIGHGEFATDSLHGEETPLASRKTIDHVDLLREQLKILSGEVALHTSVLKRLTEEAGRSPNNEKIQMEMKKVNDEIKGKKHQIASLERQIPHSISNNQGMADKLELTPSYAELLEQLNEKSFDLEVKAADNRVIQDQLNEKTTECMELQEEVAHLKEQLYQALQAKDSLSNSIMMQKNAGINHETDNHADQELSVPREVPGETSPKEPQSVEIDELKQKVCELIEVKAQLETRNQKLLEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLASELASVRSPTPRRANSGLRGTRRDSISRRHEPAPRRDNNAGYEREKALEAVLMEKEQKEAELQRRIEESKQKEAFLESELANMWVLVAKLKKSQGHDLEDFDTKYIGS >ORGLA02G0298000.1 pep chromosome:AGI1.1:2:26436230:26436697:-1 gene:ORGLA02G0298000 transcript:ORGLA02G0298000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGYYDDRGAPSSYRYDARQAADGAGTSFHLFIFLATASLLGAASLYSRCESAVESLFDQVRVVVVLSPLLLLLAMQYWAAAAGGGGRRSGLSSLLMAPLVGEHSGGGGGWYDQRRDGSSPWGVALALALVLLLISYQSCFQDWWFPLVNRRR >ORGLA02G0297900.1 pep chromosome:AGI1.1:2:26432501:26434848:-1 gene:ORGLA02G0297900 transcript:ORGLA02G0297900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication factor C subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G77470) TAIR;Acc:AT1G77470] MAGATAATPMDIDAAAPPPGAAAKGKAPLSSTPGGRAAPWVEKYRPQSLGDVAAHRDIVDTIDRLTNENRLPHLLLYGPPGTGKTSTILAVARKLYGSQYGNMILELNASDERGIDVVRQQIQDFASARSLSFGAKQSVKMVLLDEADAMTKDAQFALRRVIEKHTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGTHVRERLKHIIQSEGLDVDDGGLTALVRLSNGDMRKALNILQSLHNKNM >ORGLA02G0297800.1 pep chromosome:AGI1.1:2:26429043:26431067:1 gene:ORGLA02G0297800 transcript:ORGLA02G0297800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TFLSRLPDKVRGGGSDPERPAADVDLTRAKGLSQGEKEYYEKQLATLKIFEEVEALCMPGEFESDAEVLELEDKEQKQSESAMKISNYANIILLVFKVYATIKTGSMAIAASTLDSLLDFLAGGILYFTHLTMKSVNIYKYPIGKLRVQPVGIIVFAAIMATLGFQVLIQAIEQLVENKAGEKMTPEQLIWLYSIMLSATVVKLALYIYCRSSGNSIVQAYAKDHYFDVVTNVVGLVAAVLGDKFFWWIDPVGAVLLAVYTIVNWSGTVYENAVTLVGQCAPSDMLQKLTYLAMKHDPRVRRVDTVRAYSFGALYFVEVDIELSEDMRLGEAHSIGESLQDKIEKLPEVERAFVHVDFESTHKPEHRVRSRLPSTEP >ORGLA02G0297700.1 pep chromosome:AGI1.1:2:26424781:26426015:1 gene:ORGLA02G0297700 transcript:ORGLA02G0297700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLENLRDIIERATLARDLMVPIASQLISEDRDRYNDLHRHCVLAQDFTNFLQKLNKVSALDQVRTDMVTFGAIEAIALCERPSNALIVFRDEDSVAITVHRQEEVENGLYFAVPPLHLALPSCFIESKIIKNINTVVDAAEACDCAQPEPELPESYDNGDDHSSWSWRQKVTMEHHFSHQFYAVYRVRTLRTKARSGRSPVDGWSQFH >ORGLA02G0297600.1 pep chromosome:AGI1.1:2:26416214:26416633:1 gene:ORGLA02G0297600 transcript:ORGLA02G0297600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWITTASTWCLSSTRCSSQSAIWAASHCHRSVPSPLSRITRLLYSSCCAAAACVITYGGSRRRSKDDVPPSSGSSSEEESSIRRPVLTSNRGALLATNSVASASSYTSTPASPPLHATTAHAYSAPARWSLAARQRP >ORGLA02G0297500.1 pep chromosome:AGI1.1:2:26414687:26415385:1 gene:ORGLA02G0297500 transcript:ORGLA02G0297500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIVFLFLIDHHAHEHTHDPGVSSVSIVYKGEMDLEKGVHIIFRGRILWEPNEPCINKIVFIVNNLKWGRTGETASKIAYSTEGISEKRDSNRWCILQSNQSTT >ORGLA02G0297400.1 pep chromosome:AGI1.1:2:26404267:26405403:-1 gene:ORGLA02G0297400 transcript:ORGLA02G0297400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLGNLRDLHGDIVERATLARDLLVPITSQLISEGGDMHSLHRRCVLAQGLDFIFQKLDDLQADMVAFGAIEAITLCVILDNTVIVFRDEDSVAIAVHRQEEAKHGLYFAVPPLHLALPICFIKPEVIEVALYPSPPSSEGTIGPTYDAEASAARAMVELNIGLCTISMPLWMRPRHVIVSNENLNLLSPPTTTTTRHGDGGQGPSPKTIIDTEFVLSTKSTLYGPRLGTDGHLWMDGGTITRYTGYTEIDGASVRVVQLSPPNYWMAISEPMKMVANTNSDPI >ORGLA02G0297300.1 pep chromosome:AGI1.1:2:26401039:26402927:-1 gene:ORGLA02G0297300 transcript:ORGLA02G0297300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase (MAG) [Source:Projected from Arabidopsis thaliana (AT3G12040) TAIR;Acc:AT3G12040] MKSLPSICTVHPHAHTPTPPPPPVAGGSRPSPMTTTTTSGAPTPPRFKRSPHRKKRQPRTRRVAAGETIAAGKPPHPACTAVSLQSPFGPALPRDFFEVDALDLAPRLLGKLLRRDEVVLRITEVEAYRPNDSACHGRFGITARTAPVFGSGGHAYVYLCYGLHMMLNVVADKEGVGAAVLIRSCAPVSGLATIQQRRGQQTDKPLLLTGPGKVGQALGLSTDWSNHPLYTPGGLEVLDGPEPENILVGPRVGIEYASPEHVAAPWRFAVAGTPWISAPKNTLRPR >ORGLA02G0297200.1 pep chromosome:AGI1.1:2:26394415:26398342:-1 gene:ORGLA02G0297200 transcript:ORGLA02G0297200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLRAARRRDLASPLGTLTANAQSAYSANICSRWGSFARAFSVKPTGNEVIGIDLGTTNSCVSVMEGKNPKVIENSEGTRTTPSVVAFNQKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFEDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQIGAFVLTKMKETAESYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSEFKRSEAIDLAKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADASGAKHLNITLTRSKFESLVNSLIERTREPCKNCLKDAGITTKEVDEVLLVGGMTRVPKVQEIVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMATDNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSEAEIQKMVQEAELHSQKDQERKALIDIRNNADTTIYSVEKSLGEYRDKIPAEVATEIETAIADLRSVMTSDDIEKIKANIEAANKAVSKIGQHMSGGGGGAGGSETGGSQGGGEQAPEAEYEEVKK >ORGLA02G0297100.1 pep chromosome:AGI1.1:2:26392184:26392489:-1 gene:ORGLA02G0297100 transcript:ORGLA02G0297100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEATSLMISPEIRDAVTKVAVFVLVQALVYLILRSSSSVFSKDGKLRSSMSFRSMRSMSVRRFLAPLSDVPVGTDEPSPSPSSSPSPTLSRRWASRRED >ORGLA02G0297000.1 pep chromosome:AGI1.1:2:26390009:26391657:1 gene:ORGLA02G0297000 transcript:ORGLA02G0297000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCRKC thioredoxin 1 [Source:Projected from Arabidopsis thaliana (AT5G06690) TAIR;Acc:AT5G06690] MALIAPSPRVLRAREAPAAGALQPPAAACSTIAGGGGAAGRPLGMWSGGGGGGGGKGRRRERGDGMLRAEAYFWDVSKPVEMEEIDSMEKLDDALRWSVENKQPIIIDWMASWCRKCIYLKPRLEKIAGEFPGVRFYFVDVNKVPQTVVKRGNITKMPTIQLWKDGEWAAEVIGGHKAWLVMDEVREMIQKHK >ORGLA02G0296900.1 pep chromosome:AGI1.1:2:26386048:26386347:1 gene:ORGLA02G0296900 transcript:ORGLA02G0296900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLELEFRRYHRSTPSSSLPSSAITDSRDPILSSPLTITVVFLWMASELELAGSFFSFTIVDYSNPLRHHRCRFPWMGWPTAAPLPANELLKKRGDM >ORGLA02G0296800.1 pep chromosome:AGI1.1:2:26383188:26383580:1 gene:ORGLA02G0296800 transcript:ORGLA02G0296800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPRRRHTAVALTHVEGDTSAHTAGSPPCTTERGRGVRARRPPLAPHFTPLSLPRLLFFPLFSCPRRLFSSVVVGPSMVVRPQSSAIVATSIQSIISTVCELELEMRCFPISCESFGPCESQLPKCPG >ORGLA02G0296700.1 pep chromosome:AGI1.1:2:26376124:26378628:-1 gene:ORGLA02G0296700 transcript:ORGLA02G0296700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGAWKQSGVADHVGYLGGGGGALVGRARRARLCLYGLALAFGAFAAFLAFAPSFPAPSPSSPAAAWFDGLLASASPYRAQVSGYFSSLFPANSTSPEPPGGAATNRRGSSGGGGFSATGGQAGTNGSSTVVAGEQGRGVEVSSSNAGGVPSGNSPSGNATAAMQSNPPPNDQAGGGAAANNSTTGSAGEAAVPSRSSARNGTMTKDGAPDRINGTDVIASSSGDVTAVKANARNAAGSTHQLGGASAIVDSSNGTAAPSINKTGNAAVATDGNGAAPQRGGAPGKNQTVPNPPAAHDQNKSGSRAAASGGSNSTMDATPQGIASNTTEAAVDAGGKKKKTHWIEAMASCDMFYGNWVRDDSYPLYPEGSCPHIDESFNCPLNGRPDNAYQRLRWQPSGCSIPRLNPSDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVKDKRKVFEVSGRQQFRAEGSYSFLFQDYNCSVEFFRSPFLVQEWEFPVRKGLTKETLRLDMISNSFPRYKDADIIIFNTGHWWTHEKTSLGKDYYQEGNRVYSELNVDDAFQKALITWAKWVDSSVNPKKTTVFFRGYSSSHFSGGQWNSGGSCDKETEPITNEKFLTPYPRKMSILEDVLSGMKTPVVYLNITRMTDYRKEAHPSVYRKQKLTEEEKKSPQIYQDCSHWCLPGVPDSWNELLYAQIMVKQHQMLHQ >ORGLA02G0296600.1 pep chromosome:AGI1.1:2:26370870:26372747:-1 gene:ORGLA02G0296600 transcript:ORGLA02G0296600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQSLQALSSLHPSSDPSTAPQPPPRNHGRYWLSVDKVDEEEKKSERLHLHPNADLADDDSSAAMRAAAALPLFPQDNAVVECSKIRPRGGAQQGAADGHRSLENGHYSKPDPAIRSTTGERLVNGVVRAMPVAANAKEETKNDGGGGGGAKKRXGPAVLMEGSRCSRVNGRGWRCSQPTLVGYSLCEHHLGKGRMRSVTGGRGGAGQLGRTEPRKNTAAAAVAAAPKAAAAVAEPPVVRPC >ORGLA02G0296500.1 pep chromosome:AGI1.1:2:26367564:26368157:-1 gene:ORGLA02G0296500 transcript:ORGLA02G0296500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLPSRGAATRPLHQPSRRRVAGVFTARPAAAASSSNVEVIDTTAAAAARGDVAKNRQEWRAAGGLGLGLNLSEDMRRGMMWRMLAPPAAAVAAEAAFLRVLDGAASDAAPAWAGAAGSAVLFAVGLLGFHYGFLSSRWNAAERGSLVGWELAASHWSELSMAKHSSIDDEVDDDEDEEEEDEDEEEVEDEDTD >ORGLA02G0296400.1 pep chromosome:AGI1.1:2:26354136:26363563:1 gene:ORGLA02G0296400 transcript:ORGLA02G0296400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQERDDIPMLLRNVELPTFPPRSTSMCIPVRDDEYEEDTFVPHTGPLFVQPPTQTAAAGIPFTNTPDMPPRPPQGKQVNKPHAIMPEEIGGNRWSYSGNVPKNEHLMMSGPLGQCDDPDCVNCPPACKNKRHFHRGSSTLDSKFHNFLCEHGGGWKKEIERFLSRIPVMNPHAKVVQQWNQFFVISCLVAIFIDPLFFFLLSVQKDNKCIVLNWHFATALAVVRSVTDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPKKIAVHYLRGYFLLDFFVVLPLPQVMILLVIPKYVGLSTANYAKNLLRITVLLQYVPRIIRFVPLLGGQSDSSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRNACSASKIPSCDGFIDCGRGINIGKQNQLSRQQWFNDSASTACFDTGDNGFHYGIYEQAVLLTTEDNAVKRYIYSLFWGFQQISTLAGNLVPSYFAWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQGGPVEKMVFIVRGKLESISADGSKAPLHEGDVCGEELLTWYLEHSSANRDGGRMRFHGMRLVAIRTVRCLTNVEAFVLRASDLEEVTSQFSRFLRNPRVQGAIRYESPYWRTIAATRIQVAWRYRNRRLKRAGMSKLNDQSYNSALERGARECDARQHGRV >ORGLA02G0296300.1 pep chromosome:AGI1.1:2:26349085:26352279:-1 gene:ORGLA02G0296300 transcript:ORGLA02G0296300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-cysteine desulfhydrase [Source:Projected from Arabidopsis thaliana (AT1G48420) TAIR;Acc:AT1G48420] MAGVSAASAAGKIGSFLSKRPYAPPSWASHLSPAPSQTFSLGHFPTPIHKWNLPNLPNGTEVWIKRDDISGMQLSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAVAAKYINLDCYLILRTSKLLVDKDPGLVGNLLVERLVGAHIDLVSKEEYGKIGSVALADLLKKKLLEEGRKPYVIPVGGSNSLGTWGYIEAIREIEHQIQISGDVQFDDIVVACGSGGTIAGLALGSKLSSLKAKVHAFSVCDDPGYFHSYVQDLIDGLHSDLRSHDLVNIENAKGLGYAMNTAEELKFVKDIATATGIVLDPVYSGKAAYGMLKDMGANPAKWEGRKILFVHTGGLLGLYDKVDELSSLSGSWRRMDLEESVPRKDGTGKMF >ORGLA02G0296200.1 pep chromosome:AGI1.1:2:26347626:26348498:1 gene:ORGLA02G0296200 transcript:ORGLA02G0296200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G03270) TAIR;Acc:AT3G03270] MAAEKRTIGLGMDYSPSSKAAAKWAVDNLVKAGDRIILVHVLPKGADASHKELWKSTGSPLIPLLEFMEMNVQARYGINPDKEVLEILQAESKSKQVEVLAKVYWGDAREKLCEAVDDLKVNTFVLGCRGLGPLKRALLGSVSNYVVNNATCPVTVVRAPTGSNA >ORGLA02G0296100.1 pep chromosome:AGI1.1:2:26341359:26341917:1 gene:ORGLA02G0296100 transcript:ORGLA02G0296100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGSGAHARTVDALPRDRELLAGGAGTGAGEEEDNTDAATDEEVEREGVALAAAVGAEHDEHDAAVCDELRRGTXMTKDAAVGDELHRGTWMTKEAAAGDELRRGVDSGGCGRRRRAPAXGVDDGGHGRRRQALTWRGRWRMWLPATSSRVGYGRLDHR >ORGLA02G0296000.1 pep chromosome:AGI1.1:2:26331563:26332052:1 gene:ORGLA02G0296000 transcript:ORGLA02G0296000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLELVQILRAQPGPFPPRPCRFRVRPRALPSVSPRCVILKLIQSMNARSVIVQLVTGIVGSSIGPQIRPEAPPSRSLRARLSADPLLLALDPLSTDPRAAASSMPEPAATIQGSNSLSAILSWSSSPDALKSGQP >ORGLA02G0295900.1 pep chromosome:AGI1.1:2:26327254:26327880:-1 gene:ORGLA02G0295900 transcript:ORGLA02G0295900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLIIIGIWYLIPCTWYPWILDGLILVDTRPNTEVSRRLMPDGEGCGADAEGGEVRPKVNGDHLQEHALHQLHLQVDGEEEEVEVPEQVVAGVAAAEAPGPPASSASTVAAEDPPALTGKEAAGAAGKDGGTSGS >ORGLA02G0295800.1 pep chromosome:AGI1.1:2:26317785:26318698:-1 gene:ORGLA02G0295800 transcript:ORGLA02G0295800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKQTHRLVVADVEMEDAVASGTPDMPFEQTTEAGAQLVSYLVHNTSIHPFHLIGSPYNDGGDVSYGWDGEVGIEALDSVNEKVNILDA >ORGLA02G0295700.1 pep chromosome:AGI1.1:2:26310772:26315490:-1 gene:ORGLA02G0295700 transcript:ORGLA02G0295700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTSAQMESSGKRHFFPLTSLQIGDLQSYLAELTIFLCPHTKKFLILLDNRPWLQDQDTKPAHLWQLMVTKSRLSPFANTRTRRKGDETGKKLVFSKDPRHGSHLWNPSSRWYTLIDDAMRNKKLHVNRLKDSRLLNKELHRTLYGFIIFEVDWADVRGMNYFNELQTDTSMAVEAKTMKRWEFESVNQASSLITSWFSGNYSECQLLQDYLNSISPKGNVFYDAQNYFSTPEGDSENVQSDDDDSGPSQCMRESSSFTSSSYTPPPCSGPYKRRKIIRSDAGNNMSEESYSEVVTSPTHSSSPSSSCCSDDDCGKTLLEPSTYKDVLILFRFDDHDLPFRLKEVILSDVRLLTLLEYGLPSWVIFLQSYPVFCKTYRPWMCPLARALYVLMSIVTVLIGFYDLYKNVPMLKATASRLFGPFFDWIETWEMISRLKYLGTMLFLHNFQQAFTWSLKIVTATKSALSVLTKPIMGPILEVLEFTLPLWNLCAETVGHLSSVIMLAMETSCSVVISTMQMIIWPFWLVFSVVLNIANSVLYPFVWLLGEILAAPFRLVVAIASFVADSFVDIVGVLRETWSTLSSLYQVGSASGSTGLASETTIWGSLWKDLLYQIFRAIRSILYGFVAFFSTCNRHRLSIYNHIQVFLRRLSRVLTGAQHTTSCEGTRSKGKLTRDNSGPGNLTCQVTTSFREPMRGINRDSQS >ORGLA02G0295600.1 pep chromosome:AGI1.1:2:26302387:26304920:-1 gene:ORGLA02G0295600 transcript:ORGLA02G0295600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGRLRALILAVALPLLFLSASEAGTVGINYGRVANDLPNPAAVVQLMKQQGIAQVKLYDTEQTVLRALANTGIKVVVALPNEQLLAAASRPSYALAWVRRNVAAYYPATQIQGIAVGNEVFASAKNLTAQLVPAMTNVHAALARLSLDKPVKVSSPIALTALAGSYPPSAGVFREDLAQAVMKPMLDFLAQTGSYLMVNAYPFFAYSGNADVISLDYALFRPNAGVLDSGSGLKYYSLLDAQLDAVFTAVSKLGNYNAVRVVVSETGWPSKGDAKETGAAAANAAAYNGNLVRRVLSGNAGTPRRPDADMDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGGNSAGGGGSSGKDNGGLGWQDNGGVNAGNAPAGAGGGVKATSTGEAWCVANAMAGEERLQKALDYACGPGGADCKAIQPGAACFEPNTMVAHASYAFNDYYQRKGRTIGTCDFAGAAYVVNQAPKMGKCELPSTV >ORGLA02G0295500.1 pep chromosome:AGI1.1:2:26293430:26296963:1 gene:ORGLA02G0295500 transcript:ORGLA02G0295500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLTSPPIRRSSPRWSLESDNVDQIDTARRSRQMWIEAAFVGPPLRTGRGGRRRATTAQMLNHGIAHELMDEVERVSKAHYANCREEKFKEFARRTLEAGEKGADVKGIDWESTFFVRHRPVSNLADLPDVDDHYSYCNQRQVMKQFASEIEKLSERVLDLLCENLGLEKGYLKKAFAGSNGPTFGTKVSSYPPCPRPDLVDGLRAHTDAGGIILLFQDDQVSGLQLLKDGEWVDVPPMRHAIVANIGDQLEVITNGRYKSVMHRVLTRPDGNRMSIASFYNPGADAVIFPAPALAAAEAERADAAAAAYPRFVFEDYMNLYVRHKFEAKEPRFEAMKSAAEVVHAAPIATA >ORGLA02G0295400.1 pep chromosome:AGI1.1:2:26281416:26281811:-1 gene:ORGLA02G0295400 transcript:ORGLA02G0295400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGSKELLRNEDGFTTEDVVAMDSPNIVALAETPTARTSPPPPPPTTSRPSERASCSRQGHPCSAGVPPGDPWRRGGCSGDGFPLPLPPRLLVVLGGVRLRVKVAEQHPGRVRLHPGRQLPQPRLHPAL >ORGLA02G0295300.1 pep chromosome:AGI1.1:2:26280708:26281076:1 gene:ORGLA02G0295300 transcript:ORGLA02G0295300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVYAGVCLPWCPTTWSGPQRDRSVLRGLDDLATARSLNGIRVADEILRLVPDTAAFRTMLRCVKHWAKARGVYSNVAGFLGGIGWAILVARVCQLYPNTSPACCSRASSASLRGRSGPAR >ORGLA02G0295200.1 pep chromosome:AGI1.1:2:26273083:26276791:-1 gene:ORGLA02G0295200 transcript:ORGLA02G0295200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEISPRQRSQQQKEEEGEHQQRAGEEAVGAVFSIEPWVDAAAVLVPPLNFAEVNDGIFRSGFPAADNFAFLLSLKLRSIVYLCPEPYPEENTRFLEQNGVKLHQFGIDGSKELLVNIPEEKIREALKVILDVRNQPVLIHCKRGKHRTGCVVGCLRKLQKWCLTSVFDEYQHFAAAKARSTDQRFMELFDTSSLMHLTASQC >ORGLA02G0295100.1 pep chromosome:AGI1.1:2:26269974:26270924:-1 gene:ORGLA02G0295100 transcript:ORGLA02G0295100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPPKRPTPPPPPPPVASSEETASGSDSDESEEEEEESPLAQPAPVVSNKGAESDSSGEEESEEEEEEDLVRSSATKSRDPPQENREEDDSSDEEEDESSESEKAEAPPPPPLNPAPKQGAEGNGPKVSSPKRQAFHRIWSTEDEVRILEALAAHRREHGSLPQTDALIATLAGSLDNTGYGRKELQGKVSTLKRRYESTAKKKGDLPSKGHDRRLYDLSKSVWGSEAAAAAANGTTTAPREFGEMCKLYPHLAEEVKLLEAAHPGLFKRDFGKLDDDKAHALDMKIKKQRIAEISVVLRRGDLTKEVTKVLTELVE >ORGLA02G0295000.1 pep chromosome:AGI1.1:2:26262359:26267254:-1 gene:ORGLA02G0295000 transcript:ORGLA02G0295000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G32950) TAIR;Acc:AT2G32950] MGDSTVAGALVPSVPKQEQAPSGDASTAALAVAGEGEEDAGARASAGGNGEAAADRDLLCPICMAVIKDAFLTACGHSFCYMCIVTHLSHKSDCPCCGNYLTKAQLYPNFLLDKVLKKMSARQIAKTASPIDQFRYALQQGNDMAVKELDSLMTLIAEKKRHMEQQESETNMQILLVFLHCLRKQKLEELNEIQTDLQYIKEDISAVERHRLELYRTKERYSMKLRMLLDEPAASKMWPSPMDKPSGLFPPNSRGPLSTSNPGGLQNKKLDLKGQISHQGFQRRDVLTCSDPPSAPIQSGNVIARKRRVQAQFNELQEYYLQRRRTGAQSRRLEERDIVTINKEGYHAGLEDFQSVLTTFTRYSRLRVIAELRHGDLFHSANIVSSIEFDRDDELFATAGVSKRIKVFEFSTVVNEPSDVHCPVVEMATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVQTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASAINIDMKANICSVKYNPGSSHYVAVGSADHHIHYFDLRNPSAPVHVFGGHKKAVSYVKFLSTNELASASTDSTLRLWDVKENCPVRTFRGHKNEKNFVGLSVNNEYIACGSETNEVFVYHKAISKPAANHRFVSSDLDDADDDPGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAP >ORGLA02G0294900.1 pep chromosome:AGI1.1:2:26252036:26255076:-1 gene:ORGLA02G0294900 transcript:ORGLA02G0294900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate reductase [Source:UniProtKB/TrEMBL;Acc:I1P4P3] MAASVEYKLAPHPWASNAPSSNLDLFPSGGGKRRSGSETDSDDEDSIPPDWRSLYHPRLEVAEPAVKDPRDEATSDAWVRRHPALVRLTGKHPFNSEPPLPRLMSHGFITPAPLHYVRNHGAVPKADWSTWAVEVTGLVKRPARLTMEQLVTGFEAVELPVTLVCAGNRRKEQNMVRQTVGFNWGPGAISTSVWRGVRLRDVLRWCGVMGASAGAANVCFEGAEDLPGGGGCKYGTSLRREVAMDPARDVILAYMQNGEPLTPDHGFPVRVIVPGFIGGRMVKWLKRIIVASSESESYYHYRDNRVLPSHVDAELANAEAWWYKPEYMINELNINSVITTPGHDEVLPINALTTQRPYTMKGYAYSGGGRKVTRVEVTLDGGETWQVCNLDHPERPTKYGKYWCWCFWSVDVEVLELLAAKEIAVRAWDESLNTQPEKLIWNLMGMMNNCWFRVKTKTCRPHKGEIGLVFEHPTQPGNQAGGWMARQKHLETSESAVSTLKRSTSTPFLNTATTQYTMSEVRRHTTPESAWIIVHGHVYDCTGFLKDHPGGADSIMINAGTDCTEEFDAIHSDKARGLLEMYRIGELIVTGSDYSPQSSSADLTSIVESPTAAAAAAAAPAVPVSTVALSNPREKVKCRLMDKKSLSYNVRLFRFALPSPDQKLGLPVGKHVYVCASIGGKLCMRAYTPTSSVDEVGYIELLIKIYFKGEDPKFPDGGLMSQYLDSLPLGATIDIKGPIGHIEYAGRGAFTVNGERRFARRLAMVAGGTGITPVYQVIQAVLRDQPDDGTEMHVVYANRTEDDMLLREEIDRWAAAHPARLKVWYVVSKVARPEDGWEYGVGRVDERTLREHLPPGDGETLALVCGPPAMVECTVRPGLEKMGYDLDKSCLVF >ORGLA02G0294800.1 pep chromosome:AGI1.1:2:26237716:26244465:-1 gene:ORGLA02G0294800 transcript:ORGLA02G0294800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSALDKLNGTKLESPVDSYDKLKTTCIICSKDGKEPLAANDGVLPSCTVCANFSQASGDHSNEFTALKFQKHKDSECCPPLDVKVKRTTRNSSRLAKEQNVEAHVKTRTRSSKRTAHMKGEKASTELHCKNGLSCSDNLSTDTLVRGKANCNLDGVDQSIDYTCSIFGCWNCLFVNTLNSGSIQNILQFRWDCVWHHNHVSILLKIAKALGAHGGLHGAHKIHNIYWQCISLLYFRSLPQDCYRTYEHNLFGLIMDQSTGDFLISERAEILYSMSLFLLKGFLSEQSRDICCRFCSVQMSDVVPWLLKAFVLSRENPSLFQEVCRLLACIFLLATIDSTAQLPLYSSGSLSLNHWAAYFHQNSVGTYLDCQYFAGLKSLLRKNDSKAALEDFSNASDESLSKFFRFSSADIGHLEIHIKEFFHKLPDVPIVCISMLEGDFVNVLGEILLLPSYFPAWMMLSRFDSTNKPITMLLPVDAISEETQHEDSCTKELDNLMRAADKNWQCPWGYTIIDYVAPTFRKILEENFISLSSATLTLNDGQANHVKWWSHRMKLNNHLDKMLKDMEESWLGPWKCLLLGYDLTDQHIEEALTNLIAGLESEFKFEVNPVLIKVILGGAMSVDEVQDCVSQLISYKGYFGRGGCCGKDRLRALSSCCIEFEALETVKCLIKSTVNELTEPVDRDPVIFVLDTNVQMLPWENLPALRNQEIYRMPSIGSVFLALTRSNNYWKDARVIAPPFPVIDPFNAFYLLNPSGDLSSTQEEFDQMFKNYEWKGKAGYAPTAEELVLALRNHDLFLYFGHGSGTQYVSGKEIEKLDNCSAALLMGCSSGTLRCKGCYAPQGAPLSYLSAGSPAVIANLWDVSDKDIDRFSKALLGSWLQENFVAAKNCSKCCQLTREFESMTIAVEGNGRPRRRGTRGKKSERMNNCSKRCTCGNRRVASYLSEARRACRLPLMIGGSPVCYGVPTIIRKK >ORGLA02G0294700.1 pep chromosome:AGI1.1:2:26235786:26236823:1 gene:ORGLA02G0294700 transcript:ORGLA02G0294700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSARARRHVARQLRSTPYPIPSYRWKSMKESNRKKTLPAAQKMDWEDANCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSHRHSNCLDQFKKAYTKGALLEELPANTVGTNLDSTPLIAGEKNESVDLACPLCRGKVKGWTIVEPARSYLNGKRRTCMQDGCSFVGTYKELRKHVKSEHPLAKPREVDPILEQKWRLLEIERERQDALSTITATMGRAIVFGDYVLDLEDEDDLDDVESDEDDNANGHGTDNTRRMLMFLMRQVARHHQNHRLQNAIGTTGGAEDNYAVSSGANATTPYHYPLEGDDEDDLVMAGGGSTSMVRPERRRRRRRRNRERLFLGAN >ORGLA02G0294600.1 pep chromosome:AGI1.1:2:26227254:26229780:1 gene:ORGLA02G0294600 transcript:ORGLA02G0294600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDNRIKEESSLLIALLIRLRHPDIDLDASGEVALGFKTVPHFSCTKRDKGTQDNQKEARSMSSKKKRAAIDLSLEAERRRPEEGGGGSDREASDGAAAAAEEDGDVKQREGPKEETGGEEEKVVEVVVDQGEDGSNEEIKYRTQQAEMIEEDKQPAAAANVDDDGGDSDGAGASAEEKHMVTEATGGEGDDGGDSRTPMAQDELSEMQEEMERMKEENRMLRRVVDKTVRDYYELQMKLAAYQQQPAAADEPKETEVFLSLGATAAASAGCGGGFPEAKSKEQAAWRRRSVGSDDSDDGKEDLGLSLSLGASSSYDDDQKAVEARPHDVDGAAAAAMIGGDGSRPAPRGYALLESSKVQGGAAPAAGELAAAGGIASQSVNPANRKTRVSVRVRCQGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTTSSSSVSDASAAPSSSYLSPYLLNSASPLLMPGATGGGGGMQHLNLFGNSPSSSSLLAPQAPGSSKYPWSPNHPPLAGAGGNKRPFWSAGGDGDKPAPAALAENVGAVMSDPNKFSAAIAAAINNFMGKDGESSSGKSSSKWGVVESLPPHE >ORGLA02G0294500.1 pep chromosome:AGI1.1:2:26216718:26217299:-1 gene:ORGLA02G0294500 transcript:ORGLA02G0294500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCIAMDLSTSFPFSLSSSRMNQEIMLKKKHVTVTGLVLHLCFCTHLSQAKSRLLLNSVLHKKRSFDNQFLSVLDGIAVHYSDFDNHMSSWISCFLAWILNFSQHWLPQQTTMLIINLFYFHLSVYDSLNLSHFPSDALVPSPFLCIYHCRLSLFRWGHPLSLRIIMHDNLHLITRICRKLQAFSTDMKAWHY >ORGLA02G0294400.1 pep chromosome:AGI1.1:2:26211936:26214338:-1 gene:ORGLA02G0294400 transcript:ORGLA02G0294400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPESGSRTGRGEEIAARQLNPTASASPPRSLIMDEACCDGGGSPESGGASSSASSYGSASRLQKGVRLRRRRQRLRRPLLATGGDGRGAADGAQDLALPLGMSFAAVLAQVLNRSSCSEGRLQPDFLSKMCTSAVKESLTNIYGDRFDNFTKNFEKSFGSTLRTLHLINETPVYEQDNSRFSHEDGTSAAEIKLSGADSKRPVHDIQESTSLSSMDNQIILHAGTDQQLVKLPHNKASPEFDRHILNVFERSLNEQTRSNELKELEIGLNMRKLQLKQSQIALSSYSHMLEKIKISMGFQKASFREEKFRTQMEDTRHAELLRRLIDLLLTAVVFMSVCFGYGTYIYSYKRITAVTAACAAASREPKSWWMPNSVSAFNSGLLFFRCHLIAATRMSFGMLMILLIAWLIFQRSAMTGPNMPITFNVMLLGVLCGSVGRFCVDTLGGDGNVWLFFWEILCFIHLFGNSRPSLLYRMLYGPISVTDRTKASDLPIRVRRYTFYTVLSVILPCLAGLLPFASLSDWNELVVEYMKSKFIRINTEV >ORGLA02G0294300.1 pep chromosome:AGI1.1:2:26206923:26210126:-1 gene:ORGLA02G0294300 transcript:ORGLA02G0294300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:I1P4N7] MDASLMGAPSAAAGDNPTSGEHRMGTTIVGVCYDGGVVLAADSRTSTGMYVANRASDKITQLTDNVYICRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYGLLDHEWKEGMSQEEAEKFVVKVVSLAIARDGASGGVVRTVTINADGVSRKFHPGDKLQLWHEELEPQNSLLDILAAGNPDPMVQ >ORGLA02G0294200.1 pep chromosome:AGI1.1:2:26204661:26206244:-1 gene:ORGLA02G0294200 transcript:ORGLA02G0294200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05750) TAIR;Acc:AT1G05750] MNSEENLKKPGESKGSVPTCPRGNASALSHRPYLMAVVAPPSLALPQHPQNPTPKPRRRPPRHVASWTSAIARPAKQGDLPAAAAALSAMLSSPAAPVPNDVTLLTVLSACAYSPSSPLARRLALSVHARVLKLFPSHLLLSTCLVRFYFASRLPHLALQLFDAMPVRSAVTYNTVISGLMRNGLVAAAFEVFDGMPAPDKVSWTALIDGCVKNGRHDEAIDCFRAMLLDGVEPDYVTLIAVISACAEVGALGLGMWVHRLVVRQGLERNVRIANSLIDMYARCGQVELARQVFSGMRKRTVVSWNSMIVGFAANGRCADAVEHFEAMRREGFKPDAVTFTGVLTACSHGGLTDEGLRYYDLMRAEYGIAARMEHYGCVVDLLGRSGRLEEAMRVVTTMPMRPNEVVLGALLAGCRMHGDVGMAEQLMQHLLELDPGGDANYVLLSNIYAAVGKWDGAGKVRSLMKARGLRKRPGYSAVEIDGDVHEFVSGDRSHPQAEEISQMLGLLMHEMAGHDYDHVIDCLDGG >ORGLA02G0294100.1 pep chromosome:AGI1.1:2:26200467:26203788:1 gene:ORGLA02G0294100 transcript:ORGLA02G0294100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQRPRAQLARINAMRHSYTAAGDDGSGDDVCGELDYGGGEYASQTSFRIRGGRGAAEVTAIFRKLGLSGPEDFTIPPAVYAAAMSHLSSSARRRASLEVASPPELLEASPAEAAVPMNRETVEKGEEAGPAPKLVQSEVTEVSTRAYANATPAAESSIRVVAPSATKFVQAEAIEVSTRSYARPAASVRSVASKRALLKQDSADEDKEKGKLVRLDKSREEIRGEVVVEATRETTGASALVVEATRESTSRDIEHLISPSPHRRFRRTITSWLKGEHLGSGSFGSVYEAISDDGFFFAVKEVSLIDQGINAKQRIVQLEHEISLLSRLEHENIVQYFGTDKEDGKLYIFLELVTQGSLAALYQKYRLQDSQVSAYTRQILIGLNYLHQRNVLHRDIKCANILVDSNGLVKLADFGLAKEMSILSQARSSKGTVYWMAPEVAKAKPHGPPADIWSLGCTVLEMLTGKVPYPDMEWTHALLKIGRGIPPEIPATLSEDARDFIMKCVKVNPNDRPSAAQLLDHPFVQRSLQHKGA >ORGLA02G0294000.1 pep chromosome:AGI1.1:2:26196340:26197492:1 gene:ORGLA02G0294000 transcript:ORGLA02G0294000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSQRSRRPPRLSRRNAIRQSAYVARPASQLSPPSESDQPAFWYSTEESVLEERVVEDEVGDHAEAGGAEAAALVVEEASTSSSSAVSVHAAMVIRRSILNWSKLDLIGAGSSGRVYKAVAEDGFVFAVKEASLIGPESYTKQTACQLKQEILLLSRLENKNIVQYFGAKKGETVLCIFLEFVSEGSLVSVYEKQQLEESTISSYTRQILNGLAYLHHHNVMHR >ORGLA02G0293900.1 pep chromosome:AGI1.1:2:26167782:26170550:-1 gene:ORGLA02G0293900 transcript:ORGLA02G0293900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVCGSVAAAAAMVVVVMVSSLPGSVEAKTTIEPCTGSDSCSALLGYTLYADMKVSEVAALFGTDPAALLAANALDFGAPGAAHRILPMGLFVRVPTRCSCTDGVRKSVSVRYAARPADTLATVADGVFAGLASADQIRNANALASADPDAPLDPGQKLVVPLPCVCFNSSDNNLPAVYLSYVVQVGDTVPAIAASYETTVTDVMNVNAMGSPIAAPGDILAIPLPACTSAFPKSASDHGLIVANGTYALTAGNCVQCSCGPGNLNLYCTPASLTGSCPSMQCSNSNVLLGNVSARSTSAGCNVSSCSYGGFVNGTITTLLSTGLQSRCPGPHQFPELTEPPTTVNHDSTFLPPLSAPGPAEAGGAIPPPNSGSPSVQGGSFTLPKVSTANGPAGSVSEAPWMNKPHQILSSFILCLLLLYSQM >ORGLA02G0293800.1 pep chromosome:AGI1.1:2:26159559:26159945:1 gene:ORGLA02G0293800 transcript:ORGLA02G0293800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGEEKKAGKVKKGWLAVRVGVEGADGGDGGGFRRFVIPIAYLYHPLFRRLLEAARDAYGYDSAGPLRLPCSVDEFLRLRSLVERDTHAAGGGGGSSSPHRVHAGGGSHHHHHHYSFSPCTRAKVSS >ORGLA02G0293700.1 pep chromosome:AGI1.1:2:26125775:26136046:1 gene:ORGLA02G0293700 transcript:ORGLA02G0293700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRRGEMARAEGLPEGAAPGVGVDLYAQARKALSVRTPFEGEGTAPRVPTLPARLVNWSGQSDARKKHKKIQPQDVADVELPPQPATEPSAKTGVWEQFEAYFRPVNLDDIDMLMPKFPFGYGRLDSCILIPFVGSGKELMDTAETFDVAVAETSSYLGLGGEERVSNKEHSERSERSEQSVEQGIHEVVVRQFVGNKERGEQSVEQGIHEVVVQQENWPLEVEQATSSAGIVSSKCEEEGESSLNWLLGSKERFVLTSERPNKKRKLLGVDAGLEQLVLLPRSGAEASSVCDVCCLGESSTVSNSMLNCNRCKVTVHQKCYGLHVVPDGQWLCTWCKDLESLQSLKKDADNTLSMPCVLCPKEKGALKPVKGEPGQTAHWGNLKFVHLFCSLWTPGALVEDMESMEPVTNVGSVQENQWKLVCSICKVKHGVCVRCSHGTCRTPFHPICARESKHQMEIWGKFGHPNVELRAFCSKHSTIGYANSLERSNCASHQSPTEARLKDANLITGKVPKLRFTRKNKDKFMNYEATSFNSSNLIKVETIEQASLPHTVRSSDSLAIQGMEVDTNNLSVGGNLMRNSADVALVLRKLIDQGKVSVGDVASEVGISSESLEAALVGETTTFSHGLKLKIIKWLQNSAHIPAAQAKTLKGGPMVVHDSKPGRSEDTNSVNMKNSLVPDDEKGTTAYLSDSAVMKSSSTRSKDNNKIMRDNTAVCATGVTTLLQNGIKKMAEAGAERECSSPAEDCAKGTPKEEHGGLISNNISGNTQFGTSMAIPNENKGTSPGKKRYNLTEAEPGSELEGVSSLNQYFPPGDNVKHELNSVENGVGNNHDCNADHVPGQPFSNFDDSHYYIHPLIKEKMTQLWDNTFKQDKLAPCHPEDPLCYPDERRRVGSSIKLTETTDVMDQVSRAKSLGILEHSPDDEVEGEMLYLQARLLDTAAFLKHRYEDLIAKVVQNLSRELDAFSRRKWDFIFVNQFLRDVREAKKRGRKEKRHKEAQAILAAAAAAVAASSRNSTVRKDANDDVVPAKQENSPKFGTGPPNVGQRTSSVLRLKDLSKPPNNKISQDNNRSTFHMPNYSKENALYCDVCMRSETVLNRIFVCSRCKAAVHIDCYRNIENISGPWKCELCEDISPEDACVGDQSDCNGTNLSLVQCDLCHGTSGAFRKTADGQWIHAFCAEWLLETEYVRGQDSPVKGMESLVKDKDTCCVCLHTVGACLKCNNGDCQTTFHPYCARHAGFYMNTKGSGGILQHKAYCSKHSIEQKEADMQQYGLEEFNNMKRMRVELEKLRLLCERIIKREKVKRERVLCDHDILAKTKDTLVFSYLTHGASSESATTVNNKSYSGTMQRSDDVTVDSTISGKKAIRFSLNNRDAEINTADSSRTLISFKRKFSERGSLAGKQLPRRPVTSQKLEAGEKKTKDKKNRETFQKELFMTSDQASTQNQRLPKGYAYVPRDSLSKDKLRNRNTQAHEPQEPGG >ORGLA02G0293600.1 pep chromosome:AGI1.1:2:26121204:26122361:-1 gene:ORGLA02G0293600 transcript:ORGLA02G0293600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRPSQSAMDAGAAPASPSPPRSSKKRSSRPKPRAGDAARHPAPNPSPPPXXXXXXXXXXXXXXXXXXXXXXXXXPAAVTAPAAGGQHGGAVQKLWGDADEVALLAGAAAFRARAGHVPRLPDMGALFDSIRGSLSPHIDQAKVYYKLKRLKGKYLHAAPGASAGPHERRVRDLCASVWGADLEPLAEGDDKRAAAAAADQPRTVPDAAAMLPVLTEMLDEYWKTDGRALSSVSLAKGLSLLGTEEARFIEGKWRRQLDSEIQTQMRRHDLAKEVYALLMDAIKALGP >ORGLA02G0293500.1 pep chromosome:AGI1.1:2:26115761:26118730:1 gene:ORGLA02G0293500 transcript:ORGLA02G0293500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrophosphorylase 6 [Source:Projected from Arabidopsis thaliana (AT5G09650) TAIR;Acc:AT5G09650] MATAATASATAATRFTRLAGVGLRRTARLPTAVRFQRRVLATTALLRTAELRPKEQGLPETLDYRVFLVDGGGRKVSPWHDVPLRAGDGVFHFVVEIPKESSAKMEVATDESFTPIKQDTKKGNLRYYPYNINWNYGLFPQTWEDPTLANTDVEGAFGDNDPVDVVEIGERRANIGDVLKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGICSEGHRRNQRIMGEIGEKEYPCWRALTSLILSMRSHQILLVIYLSMLFS >ORGLA02G0293400.1 pep chromosome:AGI1.1:2:26103980:26106480:-1 gene:ORGLA02G0293400 transcript:ORGLA02G0293400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1P4M8] MGVGRVMNDAKPYLAMILLQVGFAGMYVVAVASLKRGMSHFVLVVYRNLFATAVMAPFALWFERRVRPRLTLIIFLKIMGLAILEPVLDQNLYYMGANLTSAGFASALINVLPAVTFVMALVLRMEKVKLKSVHSQAKIAGTLFTVAGAVLMVLYHGPVVQFPWTKGQHHDGGSGAGGAAGGGFLQGTIFIVVACVCWSGFFVLQSNTLQSYPAELSLTTLICLMGSVLSGAVALVAERHNTHAWLIGFDTRLFTCVYAGIVCSGVAYYVQGIVSRQRGPVFVTAFNPLCMIITAIMGSIILKEEIRLGNVIGAVIIVIGLYALIWGKGADKVEQTDAGAAAAGSNKGGGAGGELPLTAVPNGHGSKHGNGGHVYDVETPPAANGHY >ORGLA02G0293300.1 pep chromosome:AGI1.1:2:26098521:26101247:-1 gene:ORGLA02G0293300 transcript:ORGLA02G0293300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAAASPALTLAVAAAVIAAACLLLCAEAVWLDLPQSGTKCVSEEIQSNVVVLADYALMYESHPSSHPTIAVKVTSPYGNTLHHNENATVGQFAFTTSEAGNYLACFWIDSAEKGSGISINLDWKIGIAAKDWDVIAKKEKIEGVELELRKLEVAVQSIHQNMIYLKAREAEMRTVSEKTNARVAWFSILSLSVCIVVSILQLWHLQGYFQKKKLI >ORGLA02G0293200.1 pep chromosome:AGI1.1:2:26096005:26097663:-1 gene:ORGLA02G0293200 transcript:ORGLA02G0293200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGDAEQAVHSTAPAAGHGSAVRRYAATIGGAVDAKCVLVLFLSIGVFVLVLFMLLPLHDHASETIPDDDPGVLPGEIQASFFLLKKRQQLIPHVRRLQKDIYREIGIPNTTVSVSMHTSKYKDSTYVKFGILPNPRNSSISAQSIIALRKNLIQLTLEQSNLSLTSSVFGDPHCLEILGFPGGITVPPPPDGNICPTALFNVTLNMTIQQLRVHLKELESELRIILQLTPYEDLIVEITNENGSTINLPVTVHILIAPNDPSNYLETYRLKQLAQIIIKLIPKNLGLNPIFGMIENLWLSPCLQSYIPSCAPNPAPAPAPSLSPSNPEHPQPTTTKPYGSFSCPALERRKTVSVHRRLSAISPMMVPPEIPTRFDSWSVHTDRKNRFPLAKPMSLVPASPSK >ORGLA02G0293100.1 pep chromosome:AGI1.1:2:26094427:26095040:1 gene:ORGLA02G0293100 transcript:ORGLA02G0293100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G08280) TAIR;Acc:AT4G08280] MAAAALTVLPRAAGVLRLSQHGRAASRLLCAAAGDGEASPAPRAGRLVLYTKPGCCLCDGLKEKLHAAFLLAGTPYSLASLELQERDITTNPDWEQMYQYEIPVLAKVLPDGSEEKLPRLSPRLSVELVQKKVFSAFDQ >ORGLA02G0293000.1 pep chromosome:AGI1.1:2:26078424:26078723:-1 gene:ORGLA02G0293000 transcript:ORGLA02G0293000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTEIAILKSSYIVHNLMSEHFTTWYCPIWFKDRCHFVCFLSTGYDGFKHTPPYPLNLLIVCRNQQPHGTSPPGLPLGNKIQQLQATHPLQLPTSSFL >ORGLA02G0292900.1 pep chromosome:AGI1.1:2:26069142:26072418:-1 gene:ORGLA02G0292900 transcript:ORGLA02G0292900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YbaK/aminoacyl-tRNA synthetase-associated domain [Source:Projected from Arabidopsis thaliana (AT1G44835) TAIR;Acc:AT1G44835] VDRDSIXWYCLVQELNIEFSCYDHPVVLTVEEQAKHVGHLGGALSKNLLLKDKKHRLYVVSALAGTKVDMKILSQRLGLGKGGLRMAPEENLLEVLQVPLGCVTPFALLNESASAVSLLLDQGFKSKQSCYFHPLTNDVTIALSSSNLDKFLMSIGRQPAYVDLEASPVVGKDNPPDLADLVPSGVPNSAEPIEKVTPTNVPRQNDVPKEKTCLPEVKAKPKVQNKGAEKTQSKIPTNGANVEKFVNDVFDIMSPLFLSEVSKKLNVKQEELSSIFDGFKEQATIDLESVTTSLKNAAYTAGFEAGFETMLNSGLKGQASRK >ORGLA02G0292800.1 pep chromosome:AGI1.1:2:26062531:26065972:-1 gene:ORGLA02G0292800 transcript:ORGLA02G0292800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYTSSAARSFATGATRFPSPVSPVSPAPGAVGGGAPISIQAPREKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSITSGFGVLLKEQGPRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKHAVPVPKSECSKSFQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPGAAEKALQASA >ORGLA02G0292700.1 pep chromosome:AGI1.1:2:26055912:26058632:-1 gene:ORGLA02G0292700 transcript:ORGLA02G0292700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1P4M1] MCSPPSMRRHPLLPLLAAVLVGAAVRAGKIATEVVVPDFVASYLLFIDTYGVFLQSGGGGAFQAVVYNPAGQQDRYYLAVVHVPSKTCVWVANRDAPITDRAAPLRLTARGISAEDPNGTVVWSTPAFASPVAALRLDESGNLALLDGRNRTLWQSFDRPTDVLVSPQRLPVGGFLASAVSDSDYTVGGYRLDVTAADAALTWNGSLYWLLSTDVKSTRDRDGAVASMAVNGTGLYLLAADDTVLIQLPLPDAKLRIVKLGVDGKLVITSYASANATSPSPTDAGFVAPNSGCDLPLSCGALGFCAPNGNASSCTCPPLFASSHDGGCTPADGSKAMSVASCGGAGGDAAPTSYISLGDGVAYYANRFSRPDMVGSNGSSCQALCSGNCSCLGYFYDESSLSCFLVQHQIGSLVNANSTRRGDMVGFIKVQSSQSPGSPSGSSSNNSTLIAILLPTIVAFVLVVVVGAVIVVSWRKQERRAGRRASRSRDVQLRRHRSPASDSAHLVYGNDDDGDDIVIPGLPTRFTHEEIEDMTNSFRIKIGAGGFGAVYKGELPDGSAVAVKKIEGVGMQGKREFCTEIAVIGNIRHVNLVRLRGFCVEGQRRLLVYEYMNRGSLDRTLFRPAAGQPLEWKERMEVAIGAARGLAYLHFGCDQRIIHCDVKPENILLADGGQVKIADFGLAKLLTPEQSGLFTTMRGTRGYLAPEWLTNTAITDRTDVYSFGMVLLELVRGRKNRSEHVSDGAGAATGDDSNSSNGTTGSSSRGARSDYFPLMALEGHEAGQYAALADPRLEGKVVAGEVERVVKVGLCCLHEDPQLRPSMAMVAGMLEGTMELWEPRVQSLGFLRLYGRGFAGPADGSGGSGGGIKQAMAGSNGDHTRSGTTTVTTMSGWPSYMSSSQLSGPR >ORGLA02G0292600.1 pep chromosome:AGI1.1:2:26053712:26054872:-1 gene:ORGLA02G0292600 transcript:ORGLA02G0292600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQSVQALASSLAALPPEFVRSEHERPGATTFRGGDAPEIPVIDMAAPESAARVAEAAAEWGLFQVVNHGVPAAAVAELQRVGREFFALPQEEKARYAMDASSGKMEGYGSKLQKDLEGKKAWADFFFHNVAPPAMVNHDIWPSHPAGYRYAEANEEYCKHMQRLAWKLFEHLSTALGLDGGAMWEAFGGDELVFLHKINFYPPCPEPELTLGVAPHTDMSTFTVLVPNDVQGLQVFKDGHWYDVKYVPDALIIHIGDQIEILSNGRYKAVLHRTTVDKDRTRMSWPVFVEPPPEHVVGPHPQLVTDGSPAKYKAKKFKDYRHCKINKLPM >ORGLA02G0292500.1 pep chromosome:AGI1.1:2:26043461:26046752:-1 gene:ORGLA02G0292500 transcript:ORGLA02G0292500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEDGGGGGFGGDFMVLRPDKGGIGDLFHLLWSCKVAENAAVDCPIGTEIAERRRRWALFVSLVAQMLLLWTKKPMALLGGATEYWMNLLNENGGSVFMLITNALQGKVKMPDKSSINYRSCIGLLDTRIDLDEKIKPEDRNYHAALSIMAAKLAYENELVVRTVVQNHWQMNFVGFYNCWNEFQEDYTTQAFMVSDKAEDASLAVVAFCGTKPFDTEQWCADVDFSWYEIPGVGKVHGGFMKALGLQRTGGWPEQPTGAGDDGGSDKKPFAYYVIRERLRAFLAENPRARFVVAGHSLGGALAILFPTVLALHGEEDMLARLHGVYTFGQPRVGDEGLCRFMDGHLATPVSRYFRFVYCNDIVPRVPYDDTALLFKHFGTCLYFDSFYKGHVTEEEPNKNYFSLLTVVPKYANAAWELARSFVIGYVDGPEYGEGWLMRIARAAGLLLPGLPPHAPQDYVNATRLGAASLEPLR >ORGLA02G0292400.1 pep chromosome:AGI1.1:2:26038074:26042602:1 gene:ORGLA02G0292400 transcript:ORGLA02G0292400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPAAAEAMDVEAPARPTTTTSTVPTSKGKSPHDLLAETRASVEEVAARILAIKKDDAPRTELRELVAQMSLLLITLRQANREILMEEDRVKAETEAAKAPVDSTTLQLHNLLYEKNHYVKAIRACLDFQTKYPGIELVPTEEFHRAAPADIRDKTLAADASHDLMLKRLNFELVQRKELCKLHEKLEQRKSSLLDTIANQKKFLSSLPTHLKSLKKASLPVQQQLGMQHTKKLKQHHAAELLPTPLYIVYTQLLGQKEAFGENIEVEITGSTKDAQMFAQQQAKQENAGTLSNGDNRVDDDAIDDEEDAQRRRSRSRKSVVKEASNSVVAYQLHPLKVILHVYDTEESGAKRRKLMVLRFDYLAKLNVVCVGIEDSKGLDHDILCNLFPDDTGLELPHQMAKLYAGEVPNFSEKDSRPYKWAQHLAGIDFLPEVPLSVGDDSNRASRSADLSSGLALYRQQNRAQTILQRIRSRKVAQMALTWQLDYLTKLKWPQIEHENAPWASRTPLCSLHSWSFTGIFPEPAPRSPLMVVGAAGSVDSDMERRSVTHWEETESTREDGELLAVVPAENTPSVSKISHSEVSPEVRNHSRGLSLISKSATPSKLSISHSFGRNEDDLDLLMYSDSELEEQTFVDQEVEKVNLATDKSWEDYASREFTLVLSKTLKNGPKVMLEAKIAISMEYPVRPPFFTLQLLSGKTEALKWHNDLRAMEAEVNLHILQVVPSSYEDYILTHQILCLAMLFDMHFDEDHGKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRSADCSSSCL >ORGLA02G0292300.1 pep chromosome:AGI1.1:2:26027681:26032359:-1 gene:ORGLA02G0292300 transcript:ORGLA02G0292300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLEPGLGFPDSASAAAAADRRHHPARRKKPPPQRRRRPAAAAAPAVGPRGATDPDPAPSPLRVHGIGIGSHASRGTAPRGEEEEEEEEWRGDGDAGSEEEEGEAVSDSFSHSLRECQKQRKLRAEGAALLLSPAKHELTGGGGGSIELLVLSPRCLVGGNVGGMSKSSTASSRSRSGTFPSPGTPNYHRHCASTMQYPKGWSSERVPLGGGTNRRYGGSGVVLPFNNGRKLPSKWEDAEKWILSPVSCDGIGRMSAPAPHHRRPKSKSGPLGHPGGIPGAYAAASPFVPCFDGVLAAANFAAHSPFSAGVLMPEHVRNGDFSSGRGRSGDDGSSRSYSAEKDPYILRSASIHAWTETLMEASAFANISEETAQDDKLQGLRGETPAISSPIIKKDVATQMSPDDSISSSPKARHSCSSLPSGHPIKEPNSNALKPEVRDVQVDDQVTVTRWSKRHVTRGSDRRSTNIVEWRKKTIETRAPSFDEKERESCVSKCKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDRILGKLRTAQKKAQDMRSAVSVSEDQCGVRATKKASYLRRTGKSFSCCFTYRAC >ORGLA02G0292200.1 pep chromosome:AGI1.1:2:26024323:26025957:-1 gene:ORGLA02G0292200 transcript:ORGLA02G0292200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G02310) TAIR;Acc:AT1G02310] MGSKRRVILLPTLGVVVLAIAAAVLLHAGEAADVANGQFARASGTRFTVGGRPFYSNGFNAYWLMYMASDPGDRSKAAGVLQQAASLRATLVRTWAFSDGGYRPLQKSPGVYNEDMFMGLDFVIAEAKKRGLYLILSLVNNWDGFGGKKQYVQWARDQGHNLGSDDDFFRSDVTKQFYKNHAVLTRVNKITGVAYKDDPTIFAWELINEPRCQSDLSGKTLQAWVTEMAGYVKSVDPNHMVEIGLEGFYGESMHKNFNPGYTVGTDFIANNLVPAVDFATIHSYPDQWVSGASSDEQVAFMRKWMADHIRDSAAVLRKPLLVTEFGWSARSNGYTVAARDAYFRTVYDAVYASAREGGACAGGLFWQVMAPGMESWTDGYEVVLERSKSTADVVAHQCARIAGLSPA >ORGLA02G0292100.1 pep chromosome:AGI1.1:2:26022998:26023669:1 gene:ORGLA02G0292100 transcript:ORGLA02G0292100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESNASVLRCLYRRHASCTATAAHVRHPTSICSGGGRGACVDGGSRIKILCSFGGRIMPCPSDDALKYIDSETRILAVARSIPFSPRADLKKKVEEMFRTEVAVVAEDLDVLVSVTWDEDLTHMLDEYDCSKEKRSPSASPRFRVYIFSSPRFRMHHDSSSGALAEEEEDVGCNRHDVVAAPVPVVRRGEGHLVGVVPRIAVPRREVRERKEGKREGSGMAS >ORGLA02G0292000.1 pep chromosome:AGI1.1:2:26017504:26021685:-1 gene:ORGLA02G0292000 transcript:ORGLA02G0292000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPGGSGRQQQQQQQLPPMTPLPLARQGSVYSLTFDEFQSTLGGVGKDFGSMNMDELLRSIWTAEESHAVGAATTTTATTASVAAAEHAAVGAPPVQRQGSLTLPRTLSQKTVDEVWRDMMCFGGGGASTAPAAAETPPPAHRQQTLGEITLEEFLVRAGVVREDMSVPPVPPAPTPTAAAVPPPPPPQQQTPMLFGQSNVFPPMVPPLSLGSGLVSGAVGHGGGGAASLVSPVRPVSSNGFGKMEGGDLSSLSPSPVPYVFNGGLRGRKAPGIEKVVERRQRRMIKNRESAARSRQRKQAYMMELEAEVAKLKELNDELQKKQDEMLEQQKNEILERMSRQVGPTAKRICLRRTLTGPW >ORGLA02G0291900.1 pep chromosome:AGI1.1:2:26014315:26015163:1 gene:ORGLA02G0291900 transcript:ORGLA02G0291900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSHLMNGGCGGVGKRRGLPAAGVGLGLQKQNSWSPDMERDEAWERRRGMNKGSSSSSALRRVRSVTDDDLDELRGCMDLGFGFEAAGCPLCGAGRSRLVETLPALDLYYAVHGNAGGGGGGAGEVYAAASPCSCGASSSDVSEESPLGSPMSILSPGDTPETVKMRLKQWAQVVALSVRNRC >ORGLA02G0291800.1 pep chromosome:AGI1.1:2:26009212:26009708:-1 gene:ORGLA02G0291800 transcript:ORGLA02G0291800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRKQTGEGKRWLVTQELEESTGSTTRRALRDLQMYDKVEVTSMVGDDPVRSNARESKANLAMDTLTWSEMWWVETEASTKRRRSKSEVWR >ORGLA02G0291700.1 pep chromosome:AGI1.1:2:26002425:26007966:-1 gene:ORGLA02G0291700 transcript:ORGLA02G0291700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3223) [Source:Projected from Arabidopsis thaliana (AT1G45230) TAIR;Acc:AT1G45230] MHPMAVAVAAAVSCPRLVPGRIPLSAASVAVARGRGCGVAVRARGQSAATPDPAAILRRPAVVTTAAAEEEGEGEGEGESEAESSPGGGSGEDETPEEGRRKGTEREWVDWEDLILEDTVPLVGFVRMILHSGKYENGDRLSPEHEKAILERLLPYHPQYEKKIGCGIDYLTVGLHPEFENSRCLFIVRKDGEQVDFSFWKCIKGLIRQKYPMYADSFILRHFRRRQDYRISDS >ORGLA02G0291600.1 pep chromosome:AGI1.1:2:25993772:25994436:-1 gene:ORGLA02G0291600 transcript:ORGLA02G0291600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNLKSAALLEQLRVHLASGAGKELVEKIGFVYQLNISPKKLAFDEEVFVVDLKKGVVSKGPYEGKPDTTFSFTDDDFLVISSGKLNPQMAFIMGKLKIKGSISAAQKFTPDIFPKPSKL >ORGLA02G0291500.1 pep chromosome:AGI1.1:2:25989174:25990764:-1 gene:ORGLA02G0291500 transcript:ORGLA02G0291500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:I1P4K9] MQVLNTMVNKHFLSLSVLIVLLGLSSNLAAGQVLFQGFNWESWKENGGWYNFLMGKVDDIAAAGITHVWLPPPSHSVGEQGYMPGRLYDLDASKYGNEAQLKSLIEAFHGKGVQVIADIVINHRTAEHKDGRGIYCLFEGGTPDSRLDWGPHMICRDDPYGDGTGNPDTGADFAAAPDIDHLNKRVQRELIGWLDWLKMDIGFDAWRLDFAKGYSADMAKIYIDATEPSFAVAEIWTSMANGGDGKPNYDQNAHRQELVNWVDRVGGANSNATAFDFTTKGILNVAVEGELWRLRGEDGKAPGMIGWWPAKATTFVDNHDTGSTQHMWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGLKEEIERLVSIRNRQGIHPASELRIMEADSDLYLAEIDGKVITKIGPRYDVEHLIPEGFQVVAHGDGYAIWEKI >ORGLA02G0291400.1 pep chromosome:AGI1.1:2:25983714:25985280:1 gene:ORGLA02G0291400 transcript:ORGLA02G0291400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:I1P4K8] MASKRFLSLSLLILLLGFSSSLAAGQVLFQGFNWESWKENGGWYNFLMGKVDDIAAAGITHVWLPPPSQSVAEQGYMPGRLYDLDASKYGNEAQLKSLIEAFHGKGVQVIADIVINHRTAEHKDGRGIYCLFEGGTPDSRLDWGPHMICRGDPYGDGTGNPDTGADFGAAPDIDHLNKRVQRELIGWLDWLKMDIGFDAWRLDFAKGYSADVAKIYIDATEPSFAVAEIWTSLAYGGDGKPDYDQNAHRQELVNWVDRVGGANSNATTFDFTTKGILNVAVEGELWRLRGEDGKAPGMIGWWPAKATTFIDNHDTGSTQHMWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGHKEEIERLVSIRNRQGIHPASELRIMEADSDLYLAEIDGKVITKVGPRYDVEHLIPEGFQVVAHGDGYAVWEKI >ORGLA02G0291300.1 pep chromosome:AGI1.1:2:25970324:25971052:-1 gene:ORGLA02G0291300 transcript:ORGLA02G0291300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRVERGGGGFHLPNSEREDSLFIRALISVVSGDTTVPTLLPEPTMATVVAGAATCARCGVDGCIGVDCEVVVLAAAAGSSSSDEEDEGECTTGAVASGGVTGGVGKRRPRRRSGGEGSRYRGVRRRPWGKWAAEIRDPRRAVRKWLGTFDTAEDAARAYDVAALEFRGQRAKLNFPASTAAQQPRPLLHHNLRENCGSNASSPVHAPEHARTAAAAKDQEIWDGLREIMMLDDGSFWSMP >ORGLA02G0291200.1 pep chromosome:AGI1.1:2:25968428:25968805:-1 gene:ORGLA02G0291200 transcript:ORGLA02G0291200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARSPFFWIRTSSLPSGVLAVDTYAWVLEEAKHHDDDDFVVGWGLLGLAGCYCCCLLKANQRYCCFRISFVLAILGVTATSASARTPWETVPTGTSSALLGLDAAPVPPQLFVDGQYSGSRRSGHAA >ORGLA02G0291100.1 pep chromosome:AGI1.1:2:25965208:25967461:-1 gene:ORGLA02G0291100 transcript:ORGLA02G0291100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I light harvesting complex gene 5 [Source:Projected from Arabidopsis thaliana (AT1G45474) TAIR;Acc:AT1G45474] MASLGANSHGRVLHTCTLSPKPVTALSRSMAAIPGHHVFQSPRARIAVRASTERATWLPGLDPPPHLDGTLPGDFGFDPLGLGEEPANLKWFVQAELVHCRFAMAGVAGILATDLIRVSGINNLPVWFEAGATKFDFANTTALFFVQLLLMGFAETKRYMDFINPGSQAEEGTFLGIEAALAGSQPGYPGGPLFNPLGLAKDIENADEAKLKEIKNGRLAMVAMLGFIVQASVTHVGPIDNLLTHLSDPFNKNIIHTLSSS >ORGLA02G0291000.1 pep chromosome:AGI1.1:2:25962497:25964782:1 gene:ORGLA02G0291000 transcript:ORGLA02G0291000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKERIRRSPTPPTQGEELLAASPAGPRGGRKGRAVVLPLSAAALVACAVVLLLLAGGSAARRGQFVGADPTVLPSRGGGVGDLHLSQSKSNDGENVTIASSEVVNDKLLGGLLTTGFDEQSCLSRYQSVLYRKASSHFPSAYLLERLREHEALQKKCGPHTESYKKAIEQLKAGQGAKVMECNYLVWVAYSGLGNRILTMASAFLYAILTRRVLLVDSDKGTADLFCEPFPETSWLLPPKFPIKQFKNFSNGSPESYGNMLKNKAIRSNPAFLYLHMAHDYSDYDKLFFCEDNQQYLRNIPWLILKSDNYFVPSLFLIPAYQEELTRLFPQRDSVFHHLGRYLFHPSNVVWGMVTRYYDSYLARADERLGIQIRVFDPEPGPFQHVLDQVLACTLKENLLPAINSKQPIVSTRHSRLKSVLITSLNSGYYEKIRSMYWEHPTTNGEMISFHQPSHEEHQNSDKKMHNMKAWAEIYLLSLSDVMVTSAWSTFGYVAQGLSGLRPWLLFKPENRIAPDPPCRQVLSMEPCFHAPPFYDCKAKRGADTGKFVPYVSHCEDMSWGLKLVDQSEW >ORGLA02G0290900.1 pep chromosome:AGI1.1:2:25956402:25959316:1 gene:ORGLA02G0290900 transcript:ORGLA02G0290900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQQRKPKACAESAAAARGADQQQCDCRPLEAGEESLERSVPRKRKPAAAVAAAEKRWSSVAYVVLAAFVMATVFAVLGGRRPAVWIAATKALRRGSDDKSIPLARSAADKLLGGLLPEGFDEKSCRSRYESYLYRRNPGRRPSPHLVARLRMHEELQRRCGPNTESYNRAVQRLRDGGAAEADAHSPDDEQCKYVVSISYRGLGNRILAAASAFLYAVLTGRVLLVDPSNEMDELFCEPFPGTTWLLPRDFPLASSYANFSADTAESYGNMLKNKVLGTDGSTDGDMPAAQMPAFAYLHLDHDYGDGDKMFFCDDDQRLLSNIQWLVMRTDTYIVPSLFLVTTFQDELDALFPERDAVFHHLGRYLFHPANHVWGLVARYYRAYLATARQLVGVQVRVFDHRQAKSPHVVLEQITSCAWKEKLLPEVLDAVEDAAMPTPPTTPHGGSNNSKAVLITSLRPWFYERIKAMYWERATATGEDVSVHQPSHEEYQHFGEKSHDGKAWAEMYLLSLCDVLVTSGWSTFGYVAQGLGGLRPWVMYKPVNITAVPDPPCGRDVSMEPCFHSPPFYDCKTKRGVDTGTILPHVRHCDDVSWGLKLVDPNGSPN >ORGLA02G0290800.1 pep chromosome:AGI1.1:2:25954791:25955138:1 gene:ORGLA02G0290800 transcript:ORGLA02G0290800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIREGEGPPRRRHHSLLRLGVWPRQAALHRLQEEQHDGGHRRREANYKRRSNTRREAVRHGHRHRRRRLPEAGEGGGNGARGVLDEEAMRGSSAYSCPWCPAVIASNQFIYTS >ORGLA02G0290700.1 pep chromosome:AGI1.1:2:25954502:25954783:1 gene:ORGLA02G0290700 transcript:ORGLA02G0290700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVQPTPSWAWEPLFEKAVTPSDVGKLNRLLVPKQHAEKHFPLRWKSSDASATAAAATCNSARACSSTSRTAKGRCGGSGTRAGTAARVTC >ORGLA02G0290600.1 pep chromosome:AGI1.1:2:25945538:25953483:1 gene:ORGLA02G0290600 transcript:ORGLA02G0290600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVVVVLHRCAVSSSWPDRVFEAKHIAGAGEQDMQDDLPDDLTASQYIGYDKFLGGLLQEGFDEVSCRSRYQFARYHKNFTRIPSSYLLERLRRQEALQKKCGPGTKSYKQAVKLLRSSQGVNMTTDCNYLFLTVHAGLGNRMLEIASAFLYALLTNRILLLDRYQEIGDLFCEPFPGTSWLMPSDFPLNYGEFTQSSPESYGNMLQNKVVGDNTDRSLAGSRPPYVFLYLDGNYEFHDKLFFCEDDQQFLQDVPWLIMRTDMYFIPSLFLIPSYQDELSRLFPEKDAVFHHLARYLFHPTNSIWYSVKGYYRSYLAKANKTVGIQIRIFEKEGILQKNGRFPYVLEQILSCAQNEKLLPEISMKDEAEAPTATKNNQTIAVLTTSLSSWYSNQIQKKYSEHPTVDGTRVEVYQPSHEEYQRSKNKKHNMKALAEIYLLSMTDVLITSGFSTFGYAAQGLSGLTPWIMFRSENHAMPDPPCRRAMSIEPCFHQAPFYDCKAKRNADLGKMVPFVRHCEDVSWGLKVVNQTQW >ORGLA02G0290500.1 pep chromosome:AGI1.1:2:25943438:25945173:1 gene:ORGLA02G0290500 transcript:ORGLA02G0290500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKELEVQLHRAAAAASPSHGAPEDTPETSTRHDDDRLLGGLLSPAFDEHSCRSRYTSSLYRRRSPFRPSTYLVERLRRYEARHKRCGPGSALFQEAVEHLRSGRNAARSECQYVVWTPFNGLGNRMLALASTFLYALLTDRVLLVHAPPEFDGLFCEPFPGSSWTLPADFLITDFDGVFTMWSPTSYKNMRQAGTISNATAEQSLPAYVFLDLIQSFTDAAFCDDDQQVLAKFNWMVIKSDVYFAAMLFLMPAYERELTQLFPEKEAVFHHLARYLFHPSNDVWGIVHRFYEAYLSRADELVGLQVRVFPEMPIPFDNMYEQIIRCSEQEGLLPKLGQTVVVTAANGSSVVAPSTKLTSILVTSLFPDYYDRIRGVYHARPTETGEYVAVHQPSHEREQRTEARGHNQRALAEIYLLSFCDRVVTSAVSTFGYIAHGLAGVRPWVLLRPPSPVARAEPACVRSETVEPCLQALPRRMCGAAEGSDIGALVPHIRHCEDVQKGVKLFS >ORGLA02G0290400.1 pep chromosome:AGI1.1:2:25935314:25938145:1 gene:ORGLA02G0290400 transcript:ORGLA02G0290400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKRARSPRAPGVDADDDKKRAAEWRGAVRPHMVLVGFLITLPVLVFVFGGRWGSFQTTSAPNVGGRHVVPGGVTTTQKNEAPKNVSVPATATKSLPQPQDKLLGGLLSAAFEESSCQSRYKSSLYRKKSPFPLSPYLVQKLRKYEAYHKKCGPGTKRYRKAIEQLKAGRNADNAECKYVVWFPCNGLGNRMLTIASTFLYALISNRVLLMHVAAEQEGLFCEPFPGSSWVLPGDFPHNNPQGLHIGAPESYVNMLKNNVVRNDDPGSVSASSLPPYVYLHVEQFRLKLSDNIFCDEDQLILNKFNWMILKSDSYFAPALFMTPMYEKELEKMFPQKESVFHHLGRYLFHPTNKVWGIVSRYYEAYLARVDEKIGFQIRIFPEKPIKFENMYDQLTRCIREQRLLPELGTAEPANTTAEAGKVKAVLIASLYSGYYEKIRGMYYENPTKTGEIVAVYQPSHEEQQQYTSNEHNQKALAEIYLLSYCDKIAMSAWSTFGYVAYSFAGVKPWILLRPDWDKERSEVACVRSTSVEPCLHSPPILSCRAKKEVDAATVKPYVRHCEDVGFGLKLFDS >ORGLA02G0290300.1 pep chromosome:AGI1.1:2:25926368:25927244:1 gene:ORGLA02G0290300 transcript:ORGLA02G0290300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAPPDAYNKYSAGAPPTAPPPATYQLPTMNTPRTGGGLTRWSTGLFHCMDDPGNCLITCVCPCITFGQVADIVDKGTCPCLASGTAYALLCASGMGCLYSCFYRSKMRAQFDLDEGDCPDFLVHFCCEYCALCQEYRELKNRGFDLGIGWAANVDRQRRGVTGASVMGAPGVPVGMMR >ORGLA02G0290200.1 pep chromosome:AGI1.1:2:25921512:25921814:-1 gene:ORGLA02G0290200 transcript:ORGLA02G0290200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYHRALPQGETTVEEFRAWLSQFDTNGDGRIGREELERALRSLNLWFAWWKAREAMREADANRNGVVDRDEMVRLYAFAQRHLHLKMNDLDDVASY >ORGLA02G0290100.1 pep chromosome:AGI1.1:2:25910114:25918973:-1 gene:ORGLA02G0290100 transcript:ORGLA02G0290100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of RAD54 [Source:Projected from Arabidopsis thaliana (AT3G19210) TAIR;Acc:AT3G19210] MPSTSKCNRISRVADEEEEEEIVAVSSDADESESESEVGSGAEEEDDDYVGESSDAASGSGDGDGDEEGGRGDIGDGEGEGGGRRVRSACRGVRANDRERKSQNVDALVRGNLVVRRQPLIPRILSVSDAAAIARKPFKPPCQNGYSENNEQLARRLSARKRFVPWGSVQPFAVTNILPQSPAVSSDDSVEKEESLPPGIEPLILWQPEGCDKENSNFSAIKVDHLLVRYLRPHQREGVQFMFDCVSGLLNDDGISGCILADDMGLGKTLQSITLLYTLLCQGFDAKPMVKRAVVVTPTSLVSNWESEIIKWLKGRVQLLALCESTRADVLSGIESFLKPLSRLQVLIVSYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKALAALPCKRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDATYFRRYYEAPIICGREPTASAEEKNLGSERSAELSAKVNLFILRRTNALLSNHLPPKIVEVVCCKLTALQTALYNHFIHSKNVKRLISEGTKQSKVLAYITALKKLCNHPKLIYDTIKSNNSGGSGFDDCLRFFPPELFSGRSGSWTGGGGMWVELSGKMHVLARLLGHLRLKTDDRIVLVSNYTQTLDLFAQLCRERRYPYIRLDGATSINKRQKLVNQFNDPSRDEFVFLLSSKAGGCGLNLVGGNRLILFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQADGKMQGSSLSTEDLRDLFTFHEQVRGGIFFSVDNMAATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIGSPSEEDLGSWGHHSDPSTVPDTILQCSSGDEVSFVFTNQIDGKLVPVESMARAATHRTHEVTVNAEKEVGKINSSNVPGTERQSLLGKNLKMMGFNLKNSSMKFPTKSRRMLPNCLQGMNKTSTSSDHQQTKKLHVISDASDDDFV >ORGLA02G0290000.1 pep chromosome:AGI1.1:2:25906982:25908121:1 gene:ORGLA02G0290000 transcript:ORGLA02G0290000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKTVQSGRLAGCRPVVAFLPWIRDQQCLQRLDVEDLKNTVDDPRKRPSLQIDKYAVQGESDFMLPLETLDSLTMSRSGIRWAPTGEEVSIMHENKLYVKGGVETDNNYTSNYATKT >ORGLA02G0289900.1 pep chromosome:AGI1.1:2:25904370:25904837:-1 gene:ORGLA02G0289900 transcript:ORGLA02G0289900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINMYNPDGGAAFGGGQPPALGPRISFSSDFVVEPPPPVQNRAMNLRCQEEDINFEFSVGSHPMMAADQLFSKGRILPLKDGGFSSGRPPTTLRDELRCDDRASAKGSSRWKEMLGLRKPLCVGGVNGTAKKSTTVDTEMVTDVADSKQVSEP >ORGLA02G0289800.1 pep chromosome:AGI1.1:2:25895582:25896743:1 gene:ORGLA02G0289800 transcript:ORGLA02G0289800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKFRGATGEELAAMEVTQVVGVRTRSRSAAAAGATTTKVQAASAASTRRRKALLPTAVVGTTRRDGGSCYLQLRSRMLFMAPPRPAPAARAPVVAEAAGSGNGAAAHAAAGLSRCSSTASSVDAAAQDRSLACRSDVAEAGSEHVPEGSASDSASGRDRERRETTPSSFLPGEVSDLESDLAGGQKRSRPLPSAATASAQQATRPKIPPAAEIEAFFAAAEEAEAKRFAAKYNFDVVRGVPLDAGRFEWTPVVSSRS >ORGLA02G0289700.1 pep chromosome:AGI1.1:2:25883262:25886277:-1 gene:ORGLA02G0289700 transcript:ORGLA02G0289700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 1 [Source:UniProtKB/TrEMBL;Acc:I1P4J1] MDSAVDGPRQPPARAGSRLCTRCGERKAALKRPKTLEQICRECFYVVFEDEIHQTIVDNNLFKPGDRVAIGASGGKDSTVLAYVLSELNRCHKYCLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNXXXXXXXXXXXALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERMRPRAILDIIKSGENFRISTTTRMPEQGTCERCGYISSQKLCKACVLLDGLNRGLPKLGIGRTKGIAGGDGDCEQQATRSERNRSSLQGKRGNFDF >ORGLA02G0289600.1 pep chromosome:AGI1.1:2:25879423:25882547:-1 gene:ORGLA02G0289600 transcript:ORGLA02G0289600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT3G02820) TAIR;Acc:AT3G02820] MAAAAPTGCYKCGRPGHWSRDCPSEPAGAGAASTDNPNPNPNPKPSASRFAPYPRPRFGKSAAAAAAAAEGEDGSGGQAQGKKKKKERATRPKLTPDLLLSDDGLGFVLRYFPKAFKPRARPGHEVEDLGNLIKLYTDWHSRLIPYYSFDQFVRKVEKVGASNRVRRCVSELRDRVARGGDPTLLHEPPVEVIPEGEPDGATAEDPIFGTEVPVTENHGVDQVQEDIDIPVESNDVDPMQEDLLNEIYNKEADEPQIPAVGGTAEETTPAMAPKEAKPQDDPPREAQNQPGKIQLTEEQRARMEANRLRALERAAARASQPA >ORGLA02G0289500.1 pep chromosome:AGI1.1:2:25876804:25877310:-1 gene:ORGLA02G0289500 transcript:ORGLA02G0289500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-4-methyl-2-oxoglutarate aldolase [Source:UniProtKB/TrEMBL;Acc:I1P4I9] MAALPLATAEVCDANSNPIIAGELRALPPIFKIYGRRQVFAGPVATVKVFEDNVLVRELLQEKGHGRVLVVDGGGSVRCALLGGNLAQLAQINGWVGIVVNGCIRDVDEINGCDVGVRALNSHPMKSGKKGAGEKRVPVTIEGTRICDGEWLYADADGILISRTELTV >ORGLA02G0289400.1 pep chromosome:AGI1.1:2:25875439:25875879:1 gene:ORGLA02G0289400 transcript:ORGLA02G0289400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAAGPPNNESSGGDGNNKVIATWQAHSWQWQLRGVCNDGGLSTVVEATSSGDGKLISSGAFMSTANCNGTTYFGSSWLDPPFLRPDLVTALTRVPWMVIVGLGT >ORGLA02G0289300.1 pep chromosome:AGI1.1:2:25866718:25870755:-1 gene:ORGLA02G0289300 transcript:ORGLA02G0289300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine aminopeptidase 1D [Source:Projected from Arabidopsis thaliana (AT4G37040) TAIR;Acc:AT4G37040] MATSSSPRLLSSFLGDRLLSASARPLLRGAAPGSRRAAYQATRTLCNLVDILFNRGQSDKPEDNPRRLRPGKVSPLLSVPKHIQRPPYVNARQRPGLHNGPEIHDERGIECMRASGKLAAQVLKFAGTLVEPGITTDEIDKAVHQMIVDNGAYPSPLGYCGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNRVFLCISSLYLHKCQSMTMVAGQLGYHGDTSATFLCGNVDDKAKKLVQSLLHAQSLDFFFVKQVTRECLDKAISICAPGVEIKRIGRTIQDHADKFKFGVVRQFVGHGVGQVFHAEPVVLHFRNNEWGRMTLNQTFTIEPMLTVGSVNPVIWSDDWTAVTEDGSLSAQFEHTILITEDGAEILTQC >ORGLA02G0289200.1 pep chromosome:AGI1.1:2:25856990:25865435:-1 gene:ORGLA02G0289200 transcript:ORGLA02G0289200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVARAASFGGRAATARWCSYRRITVAVCLGNLVAALLVLRSLTSLAPTPPKREEVVEYTEEQIRKAEESIRIRCEAEPVELVEAVKNLRKIFRREEKRRKELPLELKQKVSYEIVQLLLDLGDNSSFAQQREAVESWRFEKLKDIKSASIQNSTKLDLSNEEARTLKRALQFNWHVLLEDIGLWIPSEVSHTEHDDKPENEPEEEEIIAGPPLPSQCNAELHTDYDGAAVRWGLTHPKESAADCCQACLDQAKNARPGELRCNIWVYCPSEFGCFSPDKYEHKHQECWLKQADHPKLNFKDKYSESYRDSHPTAPVVVPWMSGVISA >ORGLA02G0289100.1 pep chromosome:AGI1.1:2:25854832:25855221:-1 gene:ORGLA02G0289100 transcript:ORGLA02G0289100.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXSCAQLDPATASSEPQQAAPPPGGEARVEGEASAAAAACYDAKVADEAYRAACAALGAGRADAAVRSLRVALASCPPEKAAAVAKVRSMLAIASAQLHKQQHQAQQQLQRGVRK >ORGLA02G0289000.1 pep chromosome:AGI1.1:2:25847827:25854171:1 gene:ORGLA02G0289000 transcript:ORGLA02G0289000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRVRIPHFASAYTDDVHEYAAKLGFEKVSEQSIDECKSTAVLYKHKKTGAEVMSVSNDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNAKDFYNLVDVYLDAVFFPRCVEDFQTFQQEGWHYELDNPEEEISYKGVVFNEMKGVYSQPDNLMGRVSQQALFPENTYGVDSGGDPNEIPKLTFEEFKEFHSKYYHPSNARIWFYGDDDPKERLRVLSEYLDQFEASPAPNESKIWPQRLFKEPVRIVEKYPVGQEGDLKKKFMVCINWLLSEQPLDVETELTLGFLDHLLLGTPASPLRRILLESGLGDAIVGGGVEDELLQPQFSIGLKGVSEDNIKEVEELVMQTLKNLAEEGFAPEAVEASMNTIEFALRENNTGSFPRGLSLMLRSIGKWIYDMDPFEPLKYERPLQQLKARIAAEGSKAVFSPLLEKFLLNNAHRATIEMQPDPEKASRDEAAEKEILKQVKASMTREDLAELARATKELKDKQETPDPPEALKAVPSLSLQDIPKEPIHVPIEVGEINGVKVLQHDLFTNDVVYSEIVFDMSSLKKDHLQLLPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSSIRGKDDPLTRIVVRGKSMATRVEDLFNLIYCILQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNAAGWIAEQMGGISYLEYLRDLETKIDQDWDKISSSLEEMRQSLFRKDGCLVNITSDWKNLEKSNKHIAKFLDSLPSTTSLGSDPWLSRLPSVNEAIVVPTQVNYVGKAGNLYQSGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDETAKFLRELEMDDDCLTKAIIGTIGDVDSYQLPDAKGYSSLMRYLLGITEEERQQRREEILSTSLKDFKEFADAVETINDNGVVVAVASPEDVEAANKENPLFSDVKKCL >ORGLA02G0288900.1 pep chromosome:AGI1.1:2:25843997:25845286:-1 gene:ORGLA02G0288900 transcript:ORGLA02G0288900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTALPASPPPPPHEDFRFDGPAFSAFPEGVASAGTNPFFSADAMDSNPFLATAVTAPPSPNPFELNHQSASPGAADPFDLFQHFTSAPASPARAAAIYAQFDGGVGDGNGADHDMAVVGDDDDDFQPRASYSSGTATSTVPFDWEEKPGKPKPKSELATCAAAATSANVGEVDDADFDFGVLPDKSVQVPELTTADELFDEGKIRPLKPPPGLLDGGSVASSPRSPISKSPMWSPRLRGKVGSGVDFDPFSTALAKAAKGPSPLGAGAKDTADAGTASSPKKPDPVSVTSPRCIPPATMINGGRKKWRLSDMLLFRRSAAKARAAGANISKEPVFKYSPVQQLGTPVKKATAGQSAAANGDISAGKHKKQSKKATAAEDGMASPHRQSVMGCVRLNPGLHRLAKGFNGSSLHFGHRRAAARSVMNR >ORGLA02G0288800.1 pep chromosome:AGI1.1:2:25838135:25841194:-1 gene:ORGLA02G0288800 transcript:ORGLA02G0288800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33945) TAIR;Acc:AT4G33945] MAIAISQEAFDAMVRENMEDLGMDADEALADAVDALTLQGADLSGIIKRVPGEAAAAEVSPVMRVLDEVKASSASDSDSGGRSEEDAERLTSLLDELRELCSGDGLENAAVAARNGGVEALVALCASAGVKQERLLASGLKALSSLLRDVGSTEKFRQSEGPQVVMGILKGGSESSDILEGGFRVVASASAGNEVVKECFMDLKVDELIFQVMGEKSNSNVQSLYDAIRVLLTPDDNRVVASQVYGYSRRFAETGIAAVLVNALREKVAPSSLPSACAALKAIAVNDEICRSISENGGIDVLLRCIDEASEQKNKVIAKSCCSLLSKLAGSDANKTTIIERGGFDKFLKLTSRFSEDPPIIQEVMSMVTILTLRSPENAARAMGAGYGTLAIQAMQKFPSSAQTQKQACLMIRNLVVRNPENRTILLNDGVEKLIRKTKMMHGSCKDAATSALRDLGVDNYNA >ORGLA02G0288700.1 pep chromosome:AGI1.1:2:25833264:25837219:1 gene:ORGLA02G0288700 transcript:ORGLA02G0288700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEGTTTAAAAAAVAAGKEEEAEVVVVRNPRCYLDVSIGGDMEGRIVVELYASVAPRTAENFRALCTGEKGVSAATGVPLHYKGSCIHRIVKGFMVQGGDITAGDGTGGESIYGLNFEDENFVLKHERKGMLSMANAGPDTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSMEHVSVGESDRPITDIVIVDCGELPEGASDGVVNFFSDGDMYPDWPNDLEEKPAEISWWMTAVDSAKSFGNEYFKKKDYKTALKKYRKAMRYLDLCWEKEEIDEEKSSALRKTKSIILTNSSACKLKLGDLKGALLDADFALREGEGNPKAFFRQGQARIALNDIDAAVESFKHALQLEPNDGGIKRELAAAKKKIADRRDQERKAFSRMFQPSGGSEKIDEENN >ORGLA02G0288600.1 pep chromosome:AGI1.1:2:25830438:25830782:1 gene:ORGLA02G0288600 transcript:ORGLA02G0288600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERREIKRIESAAARQVTFSKRRRGLFKKAEELSVLCDADVALIVFSSTGKLSHFASSRYAVLLPRLDISRLRFVSRVSIDLARRVSSPGLPLTSMSKIHPPGLGFNTGYFN >ORGLA02G0288500.1 pep chromosome:AGI1.1:2:25821853:25822131:-1 gene:ORGLA02G0288500 transcript:ORGLA02G0288500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVHMASGGGQPYVGEGRRHSGRLWMRGLASVAAATAAVCGGGGDVGGGEGVGCEARMATARWLGVRQQRLRWWRPCLQSLEAWLPQTTS >ORGLA02G0288400.1 pep chromosome:AGI1.1:2:25814755:25818218:-1 gene:ORGLA02G0288400 transcript:ORGLA02G0288400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09740) TAIR;Acc:AT1G09740] MATGNLASVVVAVDGSEESMNALRWALDNLRLRPDGALVVLHVQPPPSIAAGLNPGPIPFGGPSEVEVPAFTQAIEAHQRRITQAILDHALKICSEKNVEVKTDVVVGDPKEKICEVTANLKADLLVMGCRAFGPLKRMFLGSVSNYCINNVVCPVVVIKGT >ORGLA02G0288300.1 pep chromosome:AGI1.1:2:25813025:25813894:1 gene:ORGLA02G0288300 transcript:ORGLA02G0288300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGCVVIRLPDPRVLRVIARSVLLAVALLSLAWLRDAEAPAGDALQVGLLLRDLRREGLLAPGARAVFLGAVDGDCHHHPPALDGDDTRRITPRELLTTGDLSVDFVLDFGYFDKDGDRVGLVDRVLKDGGIFAAPIGSASAFRLPPNYRVVYIRRFTETFVGIKKIARVGGDNGIAGARTGMAATTPALKEGVLAFSAQTADTALAELKNFRRKLLLPHITGASAAHAHQAWLKLRHRPVIAVDFPAMWNVNKLQPAHPLVLQDKAVHGAQQQQLNRSVRLNPSTGY >ORGLA02G0288200.1 pep chromosome:AGI1.1:2:25808422:25810208:-1 gene:ORGLA02G0288200 transcript:ORGLA02G0288200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1P4H6] MGFEKTILKAGTGPKPVKGQKVTVHCTGFGKDNDLAKKFWSTKDAGQEPFSFNIGQGSVIKGWDEGVMTMQVGEVARIQCTPDYAYGASGFPAWGIRPNSVLVFEIEVLSAQ >ORGLA02G0288100.1 pep chromosome:AGI1.1:2:25803064:25806777:1 gene:ORGLA02G0288100 transcript:ORGLA02G0288100.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLSYIMDVATPTADWLNLVHDLLLPVLMKTQGTAALSHQENRILGEVEEQIEQTLAMVFENYKSLNESLPSGLVEDFRPPTGLAACALEPAIKLYSLLHDVLSPEAQLRLCGYFQAAARKRSRRYMLETDEYVAGNSEGIRVDLVTFTTAYQKMKSLCCNLRNEIFTDIEIHNQHILPSFVDLPNLAASIYSVELSNRLRAFLVACPPTGPSSPVADLVIATADFQKDLASWNICPIKAGVDAKELFHLYIVLWIEDKRRTLLENCRMDKVKWSGVRTQHMTTPFVDEMYDLLKNTLTEYEVIICRWPEYIFVLENAIADIEKAVIESLEKQYGDVLAPLKDCIAPKKFGLKYVQKLTKRNSVGPYTVPEDLGILLNTMKRLLDVLRPRIESHLKSWSSCMPNGGNSAAIGERLSEVTVTLRAKFRNYMQAVVEKLSENTRMQNTTKLKKIIQDSKELVMESDIRSRMQALKDQLVEAINHVHKVTEVHVFVAICRGFWDRMGQDVLSFLENRKENRAWYKGARVAVSVLDDTFASQMQQLLGNSIQQKELEPPRSIMEVRSILCKDAPQQKNSSFYY >ORGLA02G0288000.1 pep chromosome:AGI1.1:2:25799047:25802601:1 gene:ORGLA02G0288000 transcript:ORGLA02G0288000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNPLLGLSVINLEHLLPLEKSMCAEQDGLSDVPSAPPIHAYDQEISQVSQNVDANVCDGSTVKKEEYNDDGLEPNLPEKSELQNVLLQSEEELMAKRTSELVSDGVASKPKKTIGKMKVQFPDSLGDDLIIDVSDSKGKPCGRVVAQVATMAEESTDKLRWWSIYREPEHELVGRIQLYIHYTTAADENNTKYGSVAETVAYDIVLEVAMKAQHIQQRNLILHGSWKWLLTEFALYYGVSDAYTKLRYLAIRTNNHHFYLGCVREHGLGTLPPSFLENEATV >ORGLA02G0287900.1 pep chromosome:AGI1.1:2:25791639:25794086:-1 gene:ORGLA02G0287900 transcript:ORGLA02G0287900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33735) TAIR;Acc:AT2G33735] MWWEWEEDGEEAARPGEEVPVDFDFISLLCKPKDYYKILEVGYDASEEAIRSSYIRLALKWHPDKKQGEENATSRFQEINEAYQVLSNPAKRREYDKKGILYVQDHNVVHGHADLPLLGGNDDAEFILCFDKWKVVGRELGKISADLLVTLLLPLNFQCVKTQ >ORGLA02G0287800.1 pep chromosome:AGI1.1:2:25789678:25791293:1 gene:ORGLA02G0287800 transcript:ORGLA02G0287800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFVSTVASARATAPLSFASSFHHRRAAPPAVAAAATLRRSNRRLPTRGWRCASAAAPDPVPSEEPASASASTVVVTEDKPDPPPAEEKSEEVAAVSNGGSLETVAAAPVSSGAAEEDGGLDDILSKLDIQVTPTLVLYGSGALVVLWVLSSVVSAIDSIPLVPKVLELIGTGYSIWFTSRYLLFKESRDKLFAKFEDLKERII >ORGLA02G0287700.1 pep chromosome:AGI1.1:2:25786106:25787929:-1 gene:ORGLA02G0287700 transcript:ORGLA02G0287700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKELLPTPKAAASTFYDHSSDPWFKERYGGESAQSDAAAAAAKPSGPAKPVPPYGKRGGFVPRRPEDFGDGGAFPEIHVAQYPLGMGRRDEKGGSKILALTVDAKGSVAFDAVVKQGENASKIVYSKHSDLVPKIATADSEATADDEEYQKQIEETTERTKAALEKVVNVRLSAAQPKNVPTHDSESKFIKYKPSQQSAAFNSGAKERIIRMSEMAQDPLEPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVQMRSKVQRELQLKEKERKEQELRALAQKARMERTGAPPAPTGVPAGGGRGAVDDREEDMDLEQPREQRRESREEREARIERDRIREERRRERERERRLEARDAAMGKKSKLTRDRDRDVSEKIALGMASTGGAKGGEVMYDQRLFNQDKGMDSGFATDDQYNIYSKGLFTAQPTLSTLYRPKKDGDSDVYGDADEQLEKVMKTDRFKPDKGFSGASERSGKRDRPVEFDKQEENDPFGLDQFLTEVKKGKKAVEKIGSGGAMRASGGSSMRDDFEGGGSGRSRINFERGR >ORGLA02G0287600.1 pep chromosome:AGI1.1:2:25776348:25778929:1 gene:ORGLA02G0287600 transcript:ORGLA02G0287600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAGEGSDSPPSEMSEDGSGGSGDGDGGGGGGDRWMPDLRGGNGGGGGGGGGGGRWAPPDQVLENVLESVLEFLTAARDRNAASLVCRSWYRAEAQTRRELFIGNCYAVSPRRAVERFGGVRAVVLKGKPRFADFSLVPYGWGAYVSPWVAALGPAYPRLERICLKRMTVSNDDLALIAKSFPLFKELSLVCCDGFSTLGLAAIAERCRHLRVLDLIEDYIDEEEDELVDWISKFPESNTSLESLVFDCVSVPFNFEALEALVARSPAMRRLRMNHHVTVEQLRRVMARAPQLTHLGTGAFRSEPGPGGALSVTELATSFAASRSLICLSGFRDVNPEYLPAIHPVCANLTSLNFSFANLTAEEVTPIIRNCVRLRTFWVLDTVGDEGLRAVAETCSDLRELRVFPFDATEDSEGSVSDVGLQAISEGCRKLESILYFCQRMTNAAVIAMSKNCSDLVTFRLCIMGRHRPDRITGEPMDDGFGAIVMNCKKLTRLSVSGLLTDKAFAYIGKYGKLIKTLSVAFAGNSDMSLQSVFEGCTRLQKLEVRDSPFSDKGLLSGLSYFYNMRFLWMNSCRLTMRGCRDVAQQMPDLVVEVMKDHLDDEGEMETVDKLYLYRSLAGARNDAPSFVNIL >ORGLA02G0287500.1 pep chromosome:AGI1.1:2:25766143:25767810:1 gene:ORGLA02G0287500 transcript:ORGLA02G0287500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73400) TAIR;Acc:AT1G73400] MNSRHLALRLRHLRRLLAVAPLSSLAAAHSANRSSPRLNPTSVPPPPRQLHLPILQARRLCSTTHVVLPTNLQDERFAALSDRIYDAVIETAAGSSEGTEAALDALGAELTTPLVADVLHRLRYDEKLAFRFFVWASHQDGYEHEAATYNDVIDILSGTRYKARQFGVLCDVLDHMKRRRTRSVPVDDLLGILRAYTEKHLTHLRKLAKKRRVRMRTPPETDALNVLLDAFCKCGMVREAEAVFSRVKRKLLGNAETYSILFFGWCRARDPKRAMKVLEEMIQMKHTPENFTYNAAIDSFCSAGLVSEARDLFEFMRTEGSTISSPTAKTYSIMIAALAKAGQMEECFELISEMRKCGCMPDVSTYKDLIEGMLLVDKLDAAYCVLDEMAKAGFPPDIVTYNCFLKVLCGLQKADDALELCERMIEAHCEPSVHTYNMLMVMFFEMREPDRAINIWIEMDKRGCHRAVDTYETMIDGLFDSGRTEDATALLDEVINRGMKLSYKKFDAIMLRLSAVGNLGAIHRLSEHMRRFYNVAMSRRFAITQKKKSIGLRRK >ORGLA02G0287400.1 pep chromosome:AGI1.1:2:25760179:25761492:1 gene:ORGLA02G0287400 transcript:ORGLA02G0287400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCRARMHAHQHQHALLAALLACALAASSSTAGAQPAGQQGYAYGDVSGQQVHVSTTMIVLLAAVVGVFLFIAISTIYLRHCTGYDPATEGGGVGGRRSMILPANSFVSRRQRRPRGLDSSVVRMFPTMKYAEAKALRVGKVAGAALECAVCLSEFEDDEMLRFLPKCSHAFHPDCIGQWLASHVTCPVCRRNLDPNKDTTEEVIIPAAAAADPNSTSSEIVVIRQEDGAHPAAVVIDVVTEEDDEERRKEELELQAIGTQLRAMRSRSGLRPKTSAAKLPRSHSTGHSLAVRLDGDLERYTLRLPEHVHREMVAAGEQSVRRGRRLGEGVGMGARCSPRFSRSGRWSSFLSNSLSGKLSFLSPSSRRTPDSTQVEVSSSSSSSVTKVKGKRVAAVDVADDGSAHGTAQYPGCTVASSAAAAAVDVEKAATRQVRT >ORGLA02G0287300.1 pep chromosome:AGI1.1:2:25751591:25753215:-1 gene:ORGLA02G0287300 transcript:ORGLA02G0287300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQEHLSCAAAMYAAPPSSLGCGVDMDEFEENGGVVLQEEAGVAVHGGGGGGAGGMMSVWVNAATCARSIAASGAVEEFWDERQYPVSSPPAAPTPAASGGGGGHAKAAARRRRRRPKVVKNKEEAESQRRNHIAVERNRRRQMNEYLAVLRSLMPPSYAQRGDQASIVAGAINFVKELEQLLQSLEAQKRRAEHAPPAPPFAGFFTFPQYSTTVGDNNAAGSGAADGEGGCGARPGAADIEVAMAESHANVRVLAPRRPRQLLRMVVALQCLGLTVLHLNVTTTADHLALYSFSLKMEDECRLSSVDEIAGAVNQMVTKIAGECIS >ORGLA02G0287200.1 pep chromosome:AGI1.1:2:25744240:25745095:-1 gene:ORGLA02G0287200 transcript:ORGLA02G0287200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGASCLTIAALLLAACASSAAATSYTVGDASGWTIGVDYTSWAGSKSFKVGDSLVFKYASGAHTVVEVSAAGYLACAAANALGSDSSGSTTVALKTPGKHYFICTIAGHCAGGMKMEVDVSGSSSSSGGGGGGGGGGGSTPSSPSSPTPTTPNPSTPTPTTPYPSTPMPTTPYPSTPMTTPTTPYTTPTSPACSGGAGATPVTPVTPGTVPFMSYNGAGGLGPVALATIGMVCFVVFVQLGLL >ORGLA02G0287100.1 pep chromosome:AGI1.1:2:25720795:25727725:1 gene:ORGLA02G0287100 transcript:ORGLA02G0287100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVTVTLRYFAGPGVPRYVIATVGYAWFCSLSFIILVPADIWTTLTGREKGGIGFFWSWSYWSTFILTWAVVPTIQGYEDAGDFTVKERLKTSIHMNLLFYSIVGAIGLFGLILLLVMHRAWDGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWTHRQKVLSHRVAKMAVKLDNAHQEYSNAIVVAQATSNQMSKRDLLRPYMDIIDKMLAQMLREDPSFKPSGGRLGENDMDYDTDDKTMATLRRQLRRAHEEYYRCKSEYMTYVMEALELEDTIKNYERRDANGWKFVSSFRESRPGTLGSLLDTMEFIWRCVLRKQLQKGFAIVLGCMSAAILLAEATLLPSGVDLSLFSILVKSVGKQEVLVQVAAFVPLMYMCICTYYSLFQIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRLGGDAKTTFEKRMGNIDDAVPFFGRGFNRIYPLFMVVYTLLVASNFFGRLINFFGSWKRFKFQREEENMDGFDPSGMIILQKERSWIEQGCKVGEQVIPLARNFNNVNTDVESGKVPLVENTLEMKSGATSSRADGRVGQSKYANNRETIATKYSAIREQSRQAVKPAKKEISSTSVSLLEEGSSEQRSNTGAPVGSSAGISQTWATMKIGFQNFKANMGSKKFIPLRQDPGFAPHSNVSSPESLDEIFQKLKRRPADMPVDYLDDDDDNTGDMDPTFPGSTR >ORGLA02G0287000.1 pep chromosome:AGI1.1:2:25717615:25718382:1 gene:ORGLA02G0287000 transcript:ORGLA02G0287000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVALKRIPLFRLVEQLLAASPAQGAASALRPVAVAGGSRAYNTGAQLRRHERDESDDDSGRGYDTRRPTRDATMPAFFSDVFRDPFSAPQSLGRLLSLMDDLATPAGRAGAATLRRGWNAKESEEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKEAGEDEGAAPARYSGRIELAPEVYRMDQIKAEMKNGVLKVVVPKVKEEQRRDVFQVNVE >ORGLA02G0286900.1 pep chromosome:AGI1.1:2:25713118:25717216:-1 gene:ORGLA02G0286900 transcript:ORGLA02G0286900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGQEIPEDGDMDGADVDMASGGDDAAKLQELDQMKRRLKEMEEEAAALRDMQAKVAKEMQGGPPGGDPSASTAEAKEQVDARSVYVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVAPKRTNVPGMKQRPPRGYNPYHGYPYRSYGAPYFPPYGYGRVPRFRRPMRYRPYF >ORGLA02G0286800.1 pep chromosome:AGI1.1:2:25705665:25707464:1 gene:ORGLA02G0286800 transcript:ORGLA02G0286800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECGGGEYRCWEELLPDALGLVFRNLPLREVLTVVPRVCKSWSRVVAGPYCWQEIDIEEWRQQQGKPEQLVRMVEMLVARSCGSCRRISVSGLPGDPLFSFIGDHARALRTLEIPRSEISDAAVESVAPRLPNVTFLDISSCTKIGARALEAFGKNCKSLVGLRRVMHPTDVAGKACQRDEARAIACTMPRLRHLEMGYMVIATDAVLDILARCRDLRFLDLRGCWAVDDKFLQERHPGLRVLGPGVDDCFENSYLEECSDYSDDSSIYSWELMEDDDDDYYAVGSDDEAIWDDGQGLENLEVRFYGGGFSESYAGFDWPPSP >ORGLA02G0286700.1 pep chromosome:AGI1.1:2:25696012:25696137:1 gene:ORGLA02G0286700 transcript:ORGLA02G0286700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTLASPPVREEELVVSGPNQLDEDKNGLEQRSRRHSSYW >ORGLA02G0286600.1 pep chromosome:AGI1.1:2:25692717:25693681:-1 gene:ORGLA02G0286600 transcript:ORGLA02G0286600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPAATTTGTXRCEWGDSGGGACARLPGAGVGPHQLHDALRHRPRRRRPSRHFPPHRPQPPPPWCLGGVVATLAADSPQLRFMSIPDGLPDDHAHTMGDIVELLESLGTNGSRVKGEGDKEFSATLELHARLWKTLSTLVAVAALAWSARWCATKLGVHSIHQSPSRVAQLMERRVGGGGLVMPSAGLQSFTXIALLPVYDRMVVPLARRLAGGGRDSITMLQHVGAGMATACLATVVAALVEARRLRVARDTGLVDRSDATVPMDVWWLVPQHVLVGVAEVLAVIELE >ORGLA02G0286500.1 pep chromosome:AGI1.1:2:25678426:25679361:1 gene:ORGLA02G0286500 transcript:ORGLA02G0286500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAFAACLLACALLFQMCVASRKLTALVQDQPITMTYHKGALLSGRIAVNLIWYGNFSAPQRAVITDFVSSLSTPPSPQPQPEPSVASWFKTAQKYYANSKARFPALSLGQHVLDQSYSLGKRLGEKDLIRLAARGSPSRAINVVLTADDVAVDGFCMSRCGTHGASPRSRAGRFAYVWVGNPATQCPGQCAWPYHQPVYGPQAAPLTPPNGDVGVDGMVISLASMIVGTVTNPFGNGFFQGDADAPLEAATACAGVYGKGAYPGYAGSLLVDPASGASYNANGAHGRKYLVPALVDPDTSACSTVG >ORGLA02G0286400.1 pep chromosome:AGI1.1:2:25677613:25678192:1 gene:ORGLA02G0286400 transcript:ORGLA02G0286400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPSISPLVTGELCANSTTNLLVRVTTAVRYIKAVVIHPKSPTFKTGMALAHATCHPNVRVPLLSALHSASCFKPPREANLLLPTPAVPTLPIPPAIPKLPMPLRRIHHAIEPLIYSIRGASLRGRMVRCPLSNSWEQAGHAKPAGQ >ORGLA02G0286300.1 pep chromosome:AGI1.1:2:25667315:25668301:-1 gene:ORGLA02G0286300 transcript:ORGLA02G0286300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRRVCTVHHMSHAAIVLVSVLLLCSAHPSAGARRLMELYKPPPSEQLTYHNGTVLRGDIPVSVVWYGRFTPAQKAVVSDFLLSLTVASPAPTPSVSQWWNTINQLYLSKAAAQGKNGGGGGKITTQVRLAGQLTDDQCSLGKSLKLSQLPALAARAKPKKGGIALVLTAQDVSVEGFCMSRCGTHASNAKARTAYVWVGTSATQCPGQCAWPFHQPVYGPQTPALVPPSGDVGMDGMVMNIASMVAGVVTNPFGDGFYQGPKEAPLEAATACPGVYGSGAYPGYAGNLAVDPATGASYNANGAHGRKYLLPALFDPATSTCSTLV >ORGLA02G0286200.1 pep chromosome:AGI1.1:2:25661878:25662819:-1 gene:ORGLA02G0286200 transcript:ORGLA02G0286200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAVMLALLVLVSTAQVSMGARRRMELYKPDPADMLSYHSGAVLQGNIPVSIYWYGKFTPAQKSILFDFLLSLSVAPYAAAPSVAQWWSSIDELYLSKAVQTNSNGQSKKTQVLVASQVSDINCSMGKSLTLAQVAALAAQAKPKKGGIALVFTAQDVTVEGFGMSRCGLHGSDAKSGTAYIWVGNPATQCPGECAWPFHQPMYGPQGAPLVAPNGDIGADGMVMNLASMLAGTVTNPFGDGYYQGSRDAPLEAATACPGVFGSGAYPGFAGELKVDQATGASYNANGANGRKYLLPALYNPSTGTCNTLV >ORGLA02G0286100.1 pep chromosome:AGI1.1:2:25655811:25656737:-1 gene:ORGLA02G0286100 transcript:ORGLA02G0286100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRLMIAAVSLSLVQLSMGSRRLMELYIPPASDQLTYHHGSVLSGDIPVSILWYGKFTPTQMSIIADFVVSLTGAPNAATPSVGQWWGTIEQLYLSNAATNSQTSTRVLLDEQVSDEQCSLGKSLTLAQIDQLAARVGTKRGGVALVFTDEDVTVEGFCSSRCGKHGSDASAGTTHIWVGNSAKQCPGQCAWPFAQPVYGPQGTPLVAPNNDVGADGMVMILASMVAGTVTNPYGDGFYQGPQDAPLEACSACPGVYGSGAYPGNAGKLLVDATTGASYNANGANGRKYLLPALYNPATSSCDTLV >ORGLA02G0286000.1 pep chromosome:AGI1.1:2:25643068:25643501:-1 gene:ORGLA02G0286000 transcript:ORGLA02G0286000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSPHRAFLVAMILVSFLLGAAAGIRSTTLSSSQSLAEDKSRLGSTPPSCHNRCNACNPCTPVQVAALPGVSRPASAADRVDVAGFAQYSNYKPLGWKCRCAGRLFDP >ORGLA02G0285900.1 pep chromosome:AGI1.1:2:25634276:25635899:1 gene:ORGLA02G0285900 transcript:ORGLA02G0285900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1P4F3] MGSLGAAGKPHAVCMPYPAQGHITPMLNVAKLLHARGFDVTFVNTEYNHARLVRTRGEAAVAGAPGFRFATIPDGLPPSDDDDVTQDIPSLCRSTKETCLAPFRRLLAQLNDPATGHPPVTCVVSDVVMGFSMAAAKELGLPYVQLWTASSISYLGYRHYRLLMERGLAPLKDVDQLTNGYLDTPVEDVPGLRNMRIKDFPSFIHTTNPEEYMVGYVIEETERCKDASAIIVNSFGDLEGEAVAAMEALGLPKVYTLGPLPLVARKDPPSPRRSSIRLSLWKEQEECLQWLDGKEAGSVVYVNFGSITVMTNEQLVEFAWGLANSGREFLWIVRRDLVKGDTAVLPPEFLAETAERGLMASWCPQQDVLNHPAVGAFLTHSGWNSTLESLAAGVPVISWPFFADQQTNCRYQCNEWGVGMEIDSNVKRGAVAGLIAELMEGQKGKEMRRKAEEWREKAIRAAKPGGSSHRNFEELVRHVLLAKES >ORGLA02G0285800.1 pep chromosome:AGI1.1:2:25622835:25624481:-1 gene:ORGLA02G0285800 transcript:ORGLA02G0285800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCEARRAHAVLIPQPAQGHVTPMLQLAKALHARGFFVTYINSEYNHRRLLRSSGPGALAGAAGFRFEAVPDGMPESGNDDVTQDIAALCVSTTRHSAEPFRELLVRLNSTPGTPPVSCVIADGVMSFAQRVAEEMGILALVFWTTSACGFMGYLHFAELIRRGYVPLKDESDLTNGYLDTPIDWIPGMRGIRLKDVPSFIRTTDPDDVMLNFDGGEAQNARKARGLILNTYDALEQDVVDALRREFPRVYTVGPLPAFAKAAAGEVGAIGGNLWKEDTGCLRWLDAQQPGSVVYVNFGSITVMSPAHLAEFAWGLACCGRPFLWVIRPDLVSGEKAMLPEEFVGETKERGVLASWCPQELVLSHPSIGLFLTHCGWNSTLESTCAGVPMICWPFFAEQPTNCRYVCDKWGVGMEIDSNVSRTEVARLVREAMEGERGKAMRVNAMVWKEKAKEATEEGGSSSRNLDRLIEFLHSSGSDAR >ORGLA02G0285700.1 pep chromosome:AGI1.1:2:25618245:25619794:-1 gene:ORGLA02G0285700 transcript:ORGLA02G0285700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1P4F1] MGSNSRLHAVLIPYPAQGHVTPLLHLAKVLHSRGFHVTFVNSEYNHRRLLRSRGTGALAGLDDFRFETIPDGLPPPSESDNDDVTQDIPTVCTSFLTHGPAAFGALLARLNSEPGTPPVSCVIPDGVMSFAQRVASDMGILAPAFWTTSACGFMGYLHYAELIDRGYVPLKDESYLTNGYLDTVLDWVPGMPGIRLRDMPSFIRTTDRDEFMLNFDSGEAQNARHVQGLILNTFDAVEHDVVDALRRIFPRVYTVGPLLTFAGAAAARRPEVGAIGGNLWKEDASCLRWLDAQQPGSVVYVNFGSITVMSPAHLAEFAWGLARCGRPFLWVIRPDLVAGEKAMLPEEFVSETKERGIFLSWCPQEQVLEHPATGLFLTHSGWNSTLESISAGVPMICWPFFAEQMTNCRYACTKWDIGLEIDTDVKREEVARLVQEAMDGEKSKDMRAKAMAWKEKAVAATEEGGTSSAGIDRLVEFLLARGDHAS >ORGLA02G0285600.1 pep chromosome:AGI1.1:2:25612539:25617674:1 gene:ORGLA02G0285600 transcript:ORGLA02G0285600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTSSAAGSAAPAHAPAAGGAGGGGSSGVPNHRTRFGDTTLTKVFVGGLAWETPSKGLQNHFQQYGEILEAVVITDRETSRSKGYGFVTFREPESAREAVRNPNPTIGGRRANCNIASMGPPRPSPSRGRAPRGSHFPNQPHMGPQPYMGGRLPPQHMTAPPQQMYYHPQFGYWYPQDYPYQQHAVYNSQALQHYYPQLYGPTSPSTPSYQFMGYMPGALGPRAGFSPMQQQAPRPPFIQQPAPQFDGGSFPPGPSLPPDFRLQLPPHALSRQPDETTGAQSAPPVSASAAATPTTDSKEASKTVESNSDLNTSN >ORGLA02G0285500.1 pep chromosome:AGI1.1:2:25604519:25607068:-1 gene:ORGLA02G0285500 transcript:ORGLA02G0285500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1-like 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) TAIR;Acc:AT1G62830] MEEGSEAQPPLQPEAVSAEASEPPPPVPMDQDEGQAAAAEAMEGEAEGAAAAAGTIEGEAGYAAADADPMEDEAADEAGAAEPMEDDPPTSSAPSATAAVDDSTIARKRRRRKKQFPGMIPTAGVRVLRAAASAPSAAHLNGVPRRRGRPPTSSSLRLARELDAEALIALAAGFPADSLSEDEVAAAVLPRIGGVDQTNYLVVRNHVLALWRSNPLSPVASNAALASIRAEHAHLVAAAHSFLSDHAYINFGLAPSVISLPPCPPPSLPPPSVLIVGAGFAGLAAARHLMSLGFKVAIVEGRLRPGGRVFTKSMRSTAAEYPDIAAAADLGGSVLTGINGNPLGVIARQLGFPLHKVRDKCPLYLPDGRPVDPDMDARVEAAFNQLLDKVCQLRQVVADSIPHGVDVSLGMALEAFRAAHGVAAEREERMLLDWHLANLEYANAAPLADLSMAFWDQDDPYEMGGDHCFIPGGNSRFVRALADGIPIFYGQNVRRIQYGCDGAMVYTDKQTFRGDMVLCTVPLGVLKKGNIQFVPELPAQKREAIERLGFGLLNKVVLLFPYDFWDGRIDTFGHLTEDSGQRGEFFLFYSYSSVSGGPLLIALVAGESAIEFEKTSPAENVEKVLETLRKIFSPKGIEVPKPLQAICTRWGTDKFTYGSYSYVAIGSSGDDYDILAESVCDRVFFAGEATNRRYPATMHGALLSGYREAANIVRAARRRAKKVDSPKKMDVNDEVKYEVKVDNIDLDDLFRTPDAAFGGFSVLHDPSTSEPDSISLLRVGIGARKLGSGSLFLYGLIMRKNVANLAAMEGDEQRLSTLYRDFGTKLVGLDGLGDSGSSLISRIKAAARK >ORGLA02G0285400.1 pep chromosome:AGI1.1:2:25601472:25602042:1 gene:ORGLA02G0285400 transcript:ORGLA02G0285400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETAALQLSGEKARALTSIRRYSNAPILAAQRLDTDNIHLPHLTIQVHPLDRDADAVGEEKRGKRRRVVTDLKMIDGSLDPSPWRQNRTAKDF >ORGLA02G0285300.1 pep chromosome:AGI1.1:2:25594489:25599187:-1 gene:ORGLA02G0285300 transcript:ORGLA02G0285300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78240) TAIR;Acc:AT1G78240] MSRALYRGASGIGGKPAAGYDAADEARYDPKEPSENGIGGAGGRGRKRHLAVAAVKIGVLVLAAAALVGSVAWAGSLYAGRGAAAAAAAAAASRGYRRLQEQLVTDLLDIGELAGGGVRAREAEVCVPEYENYVPCYYNVSDAVDVADLGGGVVISYERQCSREGKIACLVAPPRSYRIPVRWPSGKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMADGVEDYAHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTLGAHLFQRDLLTMCIANYEASGSQVQITLERGIPAMIGSFASKQLPYPYLSFDMVHCAKCNIEWDKNDGGFLVEVDRLLRPSGYFVWTSSLNTHRALRDKENQKKWRTIRDFADSLCWEMLSQQDETIVWKKTNKLDCYSSRKSGPVLCTHDPESPYYQPLNPCIAGTRSQRWISIEHRTTWPSQSRLNSTELDIHGVHSEDFAENTANWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGFLSLEKHQKHRCSTLDIFLEVDRILRPEGWVIIRDTAPLIEAARSVVTQLRWDARILDLDIASDEKLLVCQKPFIRKQ >ORGLA02G0285200.1 pep chromosome:AGI1.1:2:25590097:25592168:-1 gene:ORGLA02G0285200 transcript:ORGLA02G0285200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLCWTALLLPIIAATAAASPLPEACPVPTAAEEILGPGGTCTPLDRRGDPVGVIEGDEVTLAKAITLLHMNKDDYIAVLFYASWCPFSQECKPNFEILASLFPSIRHFAFEESSIRPSIISRYGIHGFPTLFLLNSTMRVRYHGPRTVKSLAAFYRDVSGFDVSMTSEAVLHSVDGIELKKDAEQENCPFWWARSPEKILQQDTYLALATAFVILRLLYLLFPKIGSFAKRAWRRHTLFPNLVGVHEYFFTYLEQARQKFFRLYPSKRGNLQEGARNATAWASKSLASVSIGEPSTIGRTNSTNELR >ORGLA02G0285100.1 pep chromosome:AGI1.1:2:25588161:25588733:1 gene:ORGLA02G0285100 transcript:ORGLA02G0285100.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVIPAIVNAFSKAIKKATENQEVVEAIGVPIVRGPWYDASLAVGHRRRSVSCTFPVSGPQGSGIFQFKAIRNGDDGVLSFLRHHDWDILVMEAHLHVPSDDDKQKTLKINLIDCPDHPSRVSDCESIPWEREEQES >ORGLA02G0285000.1 pep chromosome:AGI1.1:2:25577097:25577779:1 gene:ORGLA02G0285000 transcript:ORGLA02G0285000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMAAAAAAGVRKLAGTLRLLAAGRGSGCIPRARASPCETSFLPHRSFSAAKTGENGGATGGGKRSRIRRIVSIGVISIAGGVALSALNDLAIFHGCSSQLLM >ORGLA02G0284900.1 pep chromosome:AGI1.1:2:25575453:25576825:-1 gene:ORGLA02G0284900 transcript:ORGLA02G0284900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASASLRHHLLLRRRPRFPLGPSPTFRTSSLPRRHQRLFASAPSSSTVENGEPSSPVEPSDGASVVDVNPPRGTRDFPPEDMRLRTWLFDQFREVSRVMAFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGMPKVRAESELLQAIILLFERLGITSSDVGIRVSSRK >ORGLA02G0284800.1 pep chromosome:AGI1.1:2:25571956:25575170:-1 gene:ORGLA02G0284800 transcript:ORGLA02G0284800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHEYMLTENVLQAVLNMYSVPEHLFTEVCVIVDKLGKLTREEIEKKLVTIGLSSEAVLGIIEVLSLKSLPKLEEVLGSDVEAVADLKKLFSFAEQYDYADWICFDASVVRGLAYYTGIVFEAFDRDGKLRAICGGGRYDRLLSTFGSEDIPACGFGFGDAVIVELLKEKGLLPDLSRQIDDIVFPLDEELEGPACSVASSLRKQGRSVDLVEDKRLKWVFKHAERINAGRLILVGKSEWERGMVRVKILSTREEFEVKAGELQ >ORGLA02G0284700.1 pep chromosome:AGI1.1:2:25570083:25571484:1 gene:ORGLA02G0284700 transcript:ORGLA02G0284700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLQQRRNQLRIPMEPLASFAASFFAALSPPSPSAAAADSSTVLLLPLPVAAARALTVLRRLLLLATQSFISLFFMFLSVLSPPPPPPPPALAPALPRADPGCPAGASCVGRALAHVLSVASRLPVASRKYELVRGLAERLLDDNARGGGARIGAVNRAALSGAFARTLRQLDAAAGGEWPGMELAVRAVRTGMRWWRPTAAALDDGFGGPAAEKLAAELLWLGQKMAECGAAREAAAQFGAASRLGSRALVAEPTLQVALLRLAVFLFKHANSREFELSPGGNEDKGAIAEQRVSLLRSWLPLLCRGSNGTDAPVLSSKERTEMVAVLDELIGKLGWEQQEEILALWLHHFAACPDTDWPNLESCYTRWYAESRRLLE >ORGLA02G0284600.1 pep chromosome:AGI1.1:2:25561708:25569455:1 gene:ORGLA02G0284600 transcript:ORGLA02G0284600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocon at the outer membrane of chloroplasts 64-V [Source:Projected from Arabidopsis thaliana (AT5G09420) TAIR;Acc:AT5G09420] MDSSARSGGGGTGGYTSTRVWIVAGVAIAGAIVFVEAARRRRRWLRDRSEVPPDFGAFCYRFEIAPAPQPPPPAARQLLSGLTFAASDNFEIEGYVAGFGNPDWKRTHKAATRTAVPVTMLQKQGGTYVGSTVMDELGFGVSGGNLHNGTPINPASPSLFPGGSCSGSAVAVSAQLVDFALGTDTTGDVRIPACFCGVLCFKSSHGVVSTLGTIANSQSLDTIGWFARDPSVLHRVGDVLLPAATGGLTQTRQLFFADDCFQLLKVPNEKTVNVIENAIQTLPGYQPPKHINIGEYISSHVPSLKDFCEPTVEMLEGMSALKALSTVMLLLQRYEFKTNHEDWVNTVKPKLGLDTSTRVLQAVNSKSDNIKSLYIVRNELRAALKNLLKDTGILVLPTTAGYPLKRNARQRLSPGFEDRMSAFVGIATLSGCCQAVIPLGSHNDHPISLSLLAAHGSDKFLLRNVLYMFSSIKEQVVLASKLVTAPVINRDADFGAAELLKEKGNSAFKGRKWSKAVEFYSDAIKLNGTNATYYCNRAAAYLELGRYKQAEADCEQALLLDKKNVKAYLRRGIAREAVLNHQEALQXXXXXXXXXXXXXXXXXXERRLQKKLR >ORGLA02G0284500.1 pep chromosome:AGI1.1:2:25546442:25547943:-1 gene:ORGLA02G0284500 transcript:ORGLA02G0284500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTTEEDEKLMEYIQKNGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFTSAEKDTILQLHAVLGNKWSAIAKHLPGRTDNEIKNYWNTHLKKDLIQKGIDPTTHRPRTDFFAALPQLIALANLRQLVGQLPWDDPAAAAAGLQQAEAAQAAKFGQYLHLQALLQPPPPSAATSPRSGCIGGAAAAMPGGDMEQMGAGLLSPPAMSSAALSPMSTSSLSPLPSPMSSTALSPLPPSSFFGNGGQGSFLAGQLPNIQMHGSSLFDQSAAIINDANHNQDYAASTGEREIINGATKTTTTTLLPEGSLPPLADYPTISNLGDVYSTPSCDGNITEFPLLPDALFDELMRDYVSPSSSF >ORGLA02G0284400.1 pep chromosome:AGI1.1:2:25541381:25542820:1 gene:ORGLA02G0284400 transcript:ORGLA02G0284400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L29 family protein [Source:Projected from Arabidopsis thaliana (AT5G65220) TAIR;Acc:AT5G65220] MATMSLAAASPLASTPRGLAVSTPRTAFLGLRTGGASLAPFPGLAAAAAPPRLPAGRGDAAVARMAKREQELEEIRAMPTEKIEEEVVDLKGELFMLRLKRSARQEFKSSEFGRMRKRIARMLTVKREREIEQGINKRLSRKLDRKWKQSIVVRPPPSLRENNEE >ORGLA02G0284300.1 pep chromosome:AGI1.1:2:25533423:25537777:-1 gene:ORGLA02G0284300 transcript:ORGLA02G0284300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II/R family protein [Source:Projected from Arabidopsis thaliana (AT1G77680) TAIR;Acc:AT1G77680] MRATGEHTAAVPIPAPPQLPAPGAVTEDAAEKERRRRRRAPRRTKQAAAQQEEPAAAAGPRSCRSMPSMHVSAPLDAEAVEEEEAAGTSRSCPLLPTPSPVEALPVAGMGRGAAGRRFFQSHWPEQAVEEAIKGGNAFVGKFRVNAHNRNEAYCTIEGIPVDVLITGVAQNRAIEGDLVAMTLEPVAYWTRMKGPNITCNPAIGEGSAVREVGETNGNHDGKKGQTDANCRFGNCSNGQPVSDRTHYRHKNSGFSKAVTCENGHATVPENCGKDSGEGKSEASRALHRICSMVYSNPSRRPTGKVLAIIKKSPRRDTVVGFLAPFAVCPDPEQQKNQMSMLSKRMNHRASSYFSGLILLLPTDSKFPHMVVNVSTLPDSVRNHLEEGDAAKELVAARISDWNEESLYPCAHVIQLLGKGGQVKTHMDAILFENAISDAEFSPESMECLPDICWKIPQEELEVRKDLRNVLTFTIDPPTATDLDDAISIEMLSGGIVRIGVHIADVSYFVHPETALDAEAQIRSTSVYTLRRKISMLPSRLSEDLVSLNPGVDRLTFSIIWDIDPHGNIINRWIGRTVISSCCKLSYDLVQDLICSDGTKFKSASSLQVHGIFEQDDVIKSLRCLYEISKNLKEIRFKGGALSLETAKLMIQFDEGGAPCDSFRYERNDACFIVEELMLLANMSAAEVISNAFPNCALLRRHPEPNLRKSREFEAFCARNGFELDGSSSGQLHLSLSRMKEKLKDDPVLFDILMFYASKQMQSAEYFCTADLISKRDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEKMYLKHRRSLTGQNGFNTASCEMMHGCFTCLQFSKDAAESKEGSEALSAAAKKFKVPSPDNLGEVAEHCNERKWASRRAEDAGQKLYMWALIKRKEVLVANARVLGLGPKFMSVYVPKLAMERRIHYDEVEGLSIEWLEATGTLVLDSCRNRPPQRRGNQVKYRAFEEVAMMVNPSESIFSEEDEESGATEAGCDTAKSVLLSDEAVKAQVAPAVLPLVIQYLSEIPVVLHAIGGEDSALDIGVRLYMSSYFK >ORGLA02G0284200.1 pep chromosome:AGI1.1:2:25529056:25532305:1 gene:ORGLA02G0284200 transcript:ORGLA02G0284200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSSLGSKAAHFVSDLTTVILNPISEREPSSPLPEVDKDEEKSEDDKDSEQNSDTPDGPDTSSFRAFLISFLSSSGSSNGSMEIIPDQNGELGYPTLTPMGKSKKGKSGLLSRGKHSIGKIISKAARIGGFKQNVEPKIDREVVDHVESVSPVLELEESKEVASFINLPAMSEPSVLLSEVMRFNIYASFPVLAKGMNWVLLYSTWRHGISLSTLYRRSMLCPGYSLLVVGDKEGAVFGGLVEAPLQPTSAKKYQGSNSCFVFTNLHSNPSIYRPTGANNYFTVCSTDYLALGGGGHFALYLDADLLSGSSSNSETFNNMCLSHSPDFAVKDVELWGFVYPSKYDETLALCRTEKPGICRW >ORGLA02G0284100.1 pep chromosome:AGI1.1:2:25525389:25528158:1 gene:ORGLA02G0284100 transcript:ORGLA02G0284100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVGRRWGFDPCDEVTHVVMNDFALMNDHTVLLQGHDKSRISPAGYLTRSGPTQGGGIRNNIGYCDGRSINESCGKRSTYPPTYKKDVTVPKSTKPSIFDADEYVSVSNVSDVPSSEGNTMQDEHRNKGKDLLYCDWSELLNLDDLEADLRSFESTFEIGSNHFEDPLWSSVCLPDAQLVPSSCLLDNTNLSTVSNESTTKSILSSVSVSDTTSAEPLFLDQSNMANPINIQQPPSKGRSSATLNHEALACSSGEIERFSQHSDVDVFYPFDNVTSSERISGCEGLEAIFCTNQEMLAPTTSSIMCDDEIVSSSTFSAPDLVATYVPRSMKRSHDPLNGTPDMILDEMAGNPLEMYFPPSLTAYEHPEHLNNVTLTQTHQFPEGFAGDDVLKSADLQFLSKGKTSADLCVNPCSPLILEAVPVKDLGFHKLQEGMNQLDVASKARIRDALYRLANCVEHRHRIASTTETVNQLGVMESSASKRWREIQMMNPMDRSVAQLLLQKPLHHKSPPDSALGIGP >ORGLA02G0284000.1 pep chromosome:AGI1.1:2:25519473:25521431:-1 gene:ORGLA02G0284000 transcript:ORGLA02G0284000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:I1P4D4] MATLTVPAAVPPVAEDCEQLRKAFKGWGTNEKLIISILAHRDAAQRRAIRRAYAEAYGEELLRALNDEIHGKFERAVIQWTLDPAERDAVLANEEARKWHPGGRALVEIACTRTPSQLFAAKQAYHERFKRSLEEDVAAHITGDYRKLLVPLVTVYRYDGPEVNTSLAHSEAKILHEKIHDKAYSDDEIIRILTTRSKAQLLATFNSYNDQFGHPITKDLKADPKDELLGTLRAIIRCFTCPDRYFEKVIRLALGGMGTDENSLTRIITTRAEVDLKLIKEAYQKRNSVPLERAVAKDTTRDYEDILLALLGAE >ORGLA02G0283900.1 pep chromosome:AGI1.1:2:25517694:25518266:1 gene:ORGLA02G0283900 transcript:ORGLA02G0283900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCDHECTAPTHTAFGAKYQPCIGVSGRPDHHAVGRLQQPAGKAAAAAVVSAAAQPQRAAKKRHAAAAPPRPSASTSRRSSTTVVATDVSNFRAMVQELTGFPAAAIFRPLPRRIPVHAVNPSPAVRGYGGGALQGHGSDTATAAGSSSSSSPGVPTVQLMQCSPPGVFDGLPDLGSPEFDSWPDLSDE >ORGLA02G0283800.1 pep chromosome:AGI1.1:2:25508231:25511398:-1 gene:ORGLA02G0283800 transcript:ORGLA02G0283800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRRPPSGCAMFGIYSGMFRRRRSNSMSSIARINGVPPATAEHEHEAEAKAASAPANQAHRKGGGVHDDSSLAHRPAKLLPGTNNGAQRAHAPASDRAVHATKAANGGARNAASAAPAAEYTGMAAELDKMILDHQRVKGTTQLVRATSGNMMLHRNLGNLNAGVPGASARSSLERNPANKPANERKATNGYAFSGLGNIVKEPRAPPASSELCRALSHRTDPEKLKEMGNEEYREGHYAEAVALYDQAIMVDPTRPAYWSNKAAALAALGRLIEAVGDCREAVRIDPSYGRAHHRLGGLYLRLGEPDKAIHHFKQSANDSTGADVSRAQSVKSRVAKCGDARKLRNWITVLQESQAAVADGADCAPQVMALQAEALVKLSRHDEADAVLGGAPRFGVDESTKFFGTVAHAYVLMIRAQVDMAAGRFEDAVATAQTACQLDPSNREIANVHRRAKVVASARLRGNDLFKASRFAEACAAYGEGLDRETGNAVLLCNRAACHARLARYEKAVEDCNGALAMRPAYSKARLRRADCNVKLERWEASLRDYQVLIQELPENEDVKKALSEVEAKLRSQRNGGIASRSQQ >ORGLA02G0283700.1 pep chromosome:AGI1.1:2:25504664:25505602:1 gene:ORGLA02G0283700 transcript:ORGLA02G0283700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRGSNGAATDLLVCFPSRAHLALMPPKAICSPSRPSASEPVKRRHSTSRSGPPPPSAALFKAAASGRNPSRRAADVPVDDEPSSPKVTCAGQIKARRPAAKPKISGAGGGAGGAKAAKKATWLQALGIKKDALPFLNAVHGAFRLNVAGCFGSFPGAVVEYTSGEDDDDEELAAGKDTEHGAALAKWFMVLEEGKKVSSRKREQEPQKQQEEEEQDKKEADVAPPANALMLMRCRSAPAKGLPRRLGGDAEEEVIKNSKKEEEEEDEKEERLVLMSYAPDFFKVSVDIAKETWIVGGDDAVLRCRSWKR >ORGLA02G0283600.1 pep chromosome:AGI1.1:2:25497602:25498249:1 gene:ORGLA02G0283600 transcript:ORGLA02G0283600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAASLLFLLAVGSSATVPAHGRRDLLPTRIKLVRGADAGAGAVAGGDKMECVYTVYIRTGSIWKAGTDANITLELAGADGNGVGITDLPSWGGLMGEGHSYFERGNLDIFSGRGPCMAAPPCWMRVASDGTGPHHGWYCNYVEVTVTGAHKGCAQQLFTVEQWLATDAAPYKLEAIRDKCSAAGAGAAAA >ORGLA02G0283500.1 pep chromosome:AGI1.1:2:25494654:25496559:1 gene:ORGLA02G0283500 transcript:ORGLA02G0283500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLETNTEMSLSLLQGYSSADEDDPAAAGAGAGELSDSGDSSAEDAGSDGEEASAAPRPAAKPRPRPNPSRGDAGGGGGDGSLLPSALDAFAEISGPPEFLNNRVAEPEEAVEALGVLDRRGKGGRGSDSKQPPPGAVVVAKPQLVAIRERVSSGTNGANPPVSTEGKRIIGAANPGPEDAADLLRMCLQCGIPKTYSHARGMVCPVCNDRPEQAREPEKKKGSTVKDKEKIKRMRGQSSHASWKSETEMALRQQFD >ORGLA02G0283400.1 pep chromosome:AGI1.1:2:25484702:25487120:1 gene:ORGLA02G0283400 transcript:ORGLA02G0283400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:I1P4C8] MTNQDVVVSEMGIAAGAALPGGPAGPAGGLFACRSAAASMRQTYLDLAAAAVAARSASCTSWADAMRASSPTRSSRSASDVDEFTAWVRKHPSALSKFEEIAAKSRGKKIVMFMDYDGTLSPIVADPDTAYMSDAMRAAVREVAKTFPTAIVSGRCRDKVRNFVGLSDLYYAGSHGMDIKGPSSNPESALCQPASEFLPMIDEVYKTLVEKTKSTPGAKVENNKFCLSVHFRCVDEKRWNALGEQVKAVIKEYPKLKLTQGRKVLEIRPSIKWDKGKALEFLLESLGFANCGDVLPVYIGDDRTDEDAFKVLRKRGQGLGILVSKCPKDTNASYSLQDPTEVMEFLLRLVEWKRKSSSSSLMIRPRV >ORGLA02G0283300.1 pep chromosome:AGI1.1:2:25469103:25470113:-1 gene:ORGLA02G0283300 transcript:ORGLA02G0283300.1 gene_biotype:protein_coding transcript_biotype:protein_coding QISLTTGHDTAVRSRRDPSPPRRRAGAMQRLQKQLLPALRAAFPLPASHFSSRLLSASTATLSPTRFVDEDALVAACGLTGAEALKASKRLQKVPSNLDAALTFLAFLADFRLSKDDIAAASSRYPRFLHLKVDETLTSQVARLRDIGLSTPEIGRLITIAPCILSNPRTISRLEFYLSFLGSYPRVHSALRNNSSLLRRNNIESEVKPNIAFLEQCGLTTCDIAKILMSGSRILIMQPEHVKEIVACADKFGMPRESAGFRYALMAVTGISPVRVSAKLDFLRMVIGCSDAQLHIAVSRFPLILTYSEVKLSRSLEFLKAEVGLEPQYIVLRPALL >ORGLA02G0283200.1 pep chromosome:AGI1.1:2:25465497:25466507:1 gene:ORGLA02G0283200 transcript:ORGLA02G0283200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDMYKYNTSTHQIASSDQELMKALEPFIRSASSSSASSPCHHYYSSSPSMSQDSYMPTPSYPTSSITTAATTTTSSFSQLPPLYSSQYHAASPAASATNGPMGLTHLGPAQIQQIQAQFLAQQQQQRALAGAFLRPRGQPMKQSGSPPRAGPFAAVAGAAQSKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEDAALAYDKAAFRLRGDLARLNFPTLRRGGAHLAGPLHASVDAKLTAICQSLATSSSKNTPAESAASAAEPESPKCSASTEGEDSVSAGSPPPPLSPPVPEMEKLDFTEAPWDESETFHLRKYPSWEIDWDSILS >ORGLA02G0283100.1 pep chromosome:AGI1.1:2:25462940:25463194:1 gene:ORGLA02G0283100 transcript:ORGLA02G0283100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQATQHDLLLEAYRSLARRYAALEARLAEEGVTSVDTVSWDSGRLCHASHLSSHSSRGSARTPSGPRSPSSRAPYSPVYSPYPHP >ORGLA02G0283000.1 pep chromosome:AGI1.1:2:25455064:25456827:1 gene:ORGLA02G0283000 transcript:ORGLA02G0283000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPAATSSEEEIKKEVAAVDVVVAAPDDDVAADREKEEEQKKRRRRKEKKRRRRAPSEEEEAALRSVLRWARRGEAGDDEADEQRPAATGRRRPRVAVELHAHSTCSDGSLSPAALVQRAHRNGVKVLALTDHDTMAGVPEAIESAKQCSIRIIPGVEISAMYSPSDGIGAEEPVHILAYYGSLGPAKPQELDRFLGSIRDGRYTRAKGMLLKLRSLDMPMELEDVCTIAGDGVAPGRLHVARAMVEAGYVENIRQAFSRYLYDGGPAYATGNEPAGESVVQLVCRNGGVAVLAHPWALKNPVAVIKDLKAAGLHGIEVYRSDGKLSGLSDLADTYGLLKIGGSDYHGRDDKDEPDVGSVDLPVLAVSGFLDAAQPIWHNATKEILANITERAPNGSKGLQRTNSAKDLCNLRLLSSDLEVTDSTEVEVLQTELSDVVLSN >ORGLA02G0282900.1 pep chromosome:AGI1.1:2:25445398:25448749:-1 gene:ORGLA02G0282900 transcript:ORGLA02G0282900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENAQGSGRRPFGDLTNVLGKRPAPSNLEKSAGGIKIVRVEKAVEPRKEFDETAKASGGATRNTLPLFDSIAKENLMRPSIFRETKMQHMAAEAAVLLSKESDDMRSCAMSLGSSGLHDKEQESSLESEGGCEEDDDDDMDSEYLAYTRDSTKTATNDGECLTQEEMAGSSGNQKPLSSLDFTTGCDDMPCSDVHHHSLGNSELENDDTTKSCACSFCLKAAFMWTDLHYQDTRGRLAALKKSIKFARLLGKRSQGDEYSVNAGRYNLKRAAEMEFELYQQQRSLFLHTENVLIRESAQLHSSLVKLKEFRENCKTDLETASSSLLGK >ORGLA02G0282800.1 pep chromosome:AGI1.1:2:25436292:25440268:-1 gene:ORGLA02G0282800 transcript:ORGLA02G0282800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRARALLHAPAPLLLLLALAAAAAAVAVASGPPFSCGAPSSAAFCNPRLPIEQRADDLVSRLMLEEKISQLGDQSPAVDRLGVPAYKWWSEALHGVSNAGRGIHLDGPLRAATSFPQVILTAASFNPHLWYRIGQVIGTEARAVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTVTGKYAAVFVRGVQGYALAGAINSTDLEASACCKHFTAYDLENWKGVTRYAFDAKVTAQDLADTYNPPFRSCVEDGGASGIMCSYNRVNGVPTCADYNLLSKTARGDWRFYGYITSDCDAVSIIHDVQGYAKTAEDAVADVLKAGMDVNCGSYVQEHGLSAIQQGKITEQDINRALHNLFAVRMRLGLFNGNPKYNRYGNIGPDQVCTQEHQNLALEAAQHGVVLLKNDANALPLSKSQVSSIAVIGHNANDATRLLGNYFGPPCISVTPLQVLQGYVKDTRFLAGCNSAACNVSSIGEAAQLASSVDYVVLFMGLDQDQEREEVDRLELSLPGMQENLINTVANAAKKPVILVLLCGGPVDVTFAKYNPKIGAILWAGYPGEAGGIAIAQVLFGEHNPGGRLPVTWYPKEFTSVPMTDMRMRADPSTGYPGRTYRFYRGNTVYKFGYGLSYSKYSHHFVANGTKLPSLSSIDGLKAMATAAAGTVSYDVEEIGTETCDKLKFPALVRVQNHGPMDGRHPVLLFLRWPNGAADGGRPASQLIGFQSLHLKSMQTVHVEFEVSPCKHFSRATEDGKKVIDHGSHFMMVGDDEFEMSFTP >ORGLA02G0282700.1 pep chromosome:AGI1.1:2:25431170:25433267:-1 gene:ORGLA02G0282700 transcript:ORGLA02G0282700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASGVNNGDGKEAALFEQRLSKIGEVRAALGQLSGKAALYCSDASIARYLVARNWEVKKATKMLKKTLKWRSEYKPDEIRWDEIANEAATGKIYRTDYFDKSGRSILVMRPGVQNTKKAKGQIRYLVYCMENAILNLPHDQSQMVWLIDFAGFSLSNISLHVTKLTADVLQGHYPERLGVAILYNAPKFFESFWKIASPILEPKTFNKVKFVYPDRPETNKIMEDLFNMEELESAFGGKNQATFNINDYAARMREDDIKMPLFWSPENSALASEPYVMVNKDMAQEGSSGLKSEETASEKREETDTESENREETESESERAEIDSVSGKREETVAVSDKREEKETESENGKAAVTSSNGVELTSLPGEGKGITPAD >ORGLA02G0282600.1 pep chromosome:AGI1.1:2:25427659:25430492:1 gene:ORGLA02G0282600 transcript:ORGLA02G0282600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHNSPCDIPKPASVDEFVKNGKKKKSFMSSIFRKKGRSGTGSSDKKLLSRRDIVFGLDEKCDDRSELLDSSPAVRKSFSDRHCATKIESLTLSCLDSPHRQFDTREYRVFVGTWNVAGKPPNSSLNLEDFLQIEGLPDIYVLGFQEIVPLNAGNVLVIEDNEPAAKWLGLIYQALNKPQDQSSGDELSPPETSDSRQGGGSGSRDSIPKSSSGGMLFFQKPSLKMLSKNYRVDSALVKTCTCLTDPSTMQRRAREMREFLYRIEASPPPSLASAAAAADEDGGPDAGGELARSSVNYCLIASKQMVGIFLSVWVRRELVQYIGHLRVDSVGRGIMGRLGNKGCIAMSMTLHQTSVCFVCSHLASGEKEGDEVRRNSDVAEIIKSTQFPRICKVPGQRIPDKILDHDRVIWLGDLNYRVALSYDETKTLMGENDWDTLLEKDQLMIERQAGRVFKGWKEGKIYFAPTYKYKQNSDSYAGETAKSKKKRRTPAWCDRILWHGQGIEQLQYIRGESRFSDHRPVCSVFVIEADVDNGSMIRKGYSTLDSRIHFESPIPQRHSFYDDF >ORGLA02G0282500.1 pep chromosome:AGI1.1:2:25424334:25426333:1 gene:ORGLA02G0282500 transcript:ORGLA02G0282500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSQHALVSVKSLCTGANFGFEKRTSKVRFVLVGRCCSGTRKLGLVCASNSHSSVMEPAQLPLSPESGNTPKKSSESALILIRHGESLWNEKNLFTGCVDVPLTPKGVDEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIVVHSESEQAHRWSKIYSEETKKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIVPQLVAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPSEAGVYAYTRSLAQYRQKLDNMFQ >ORGLA02G0282400.1 pep chromosome:AGI1.1:2:25417476:25418813:1 gene:ORGLA02G0282400 transcript:ORGLA02G0282400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1P4B8] MAPPPISSSLSLMASNPIPSPPVAKPGRPLTVAACSNSSSSSSSSSPSSTSCTAAWSLPVATSAGRRGLLALGAGFLASPGLLCPAGDAGATRIEYYATVGDKLCDMNVVKSGLGYCDVEVGTGAQPPRGQLINVHYTARFTDGIVFDSTYKRGRPLTMRLGAGKILRGLEQGISGGGGVPPMLVGGKRKLMIPATLAYGPEPAGCFSGDCNIPGNTTLLYDIFLVGFYK >ORGLA02G0282300.1 pep chromosome:AGI1.1:2:25410136:25410463:1 gene:ORGLA02G0282300 transcript:ORGLA02G0282300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYETGYYQEKYAESTSLCVMALERTVWYSMAFVTAGLLRKPPVSPQSHAALPTSPSPAVEEETSHSLGGVWKGGTKGVFGWRDMGG >ORGLA02G0282200.1 pep chromosome:AGI1.1:2:25406487:25407807:1 gene:ORGLA02G0282200 transcript:ORGLA02G0282200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKMLGKRQRSQGTMHRTTSMASVPAAAKQGRRHVVEGPPRAPPASLLAGGGPATADHGGVETAAFLKNCALCGRDLGPGKDTYIYRGEVAFCSKECRECVIEYYERKERNCSLTSIKDTPAVSGASGSDQSGASGSETVAAA >ORGLA02G0282100.1 pep chromosome:AGI1.1:2:25395930:25401232:1 gene:ORGLA02G0282100 transcript:ORGLA02G0282100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT5G10080) TAIR;Acc:AT5G10080] MRLPVLLPLLLLLLAAAAAVAAAEAATLSARMVHRLSDESRLAAGARGGRRWPRRGSGDYFRALVRSDLQRQKRRVGGKYQLLSLSQGGSIFPSGNDLGWLYYTWVDVGTPNTSFLVALDTGSDLFWVPCDCIQCAPLSSYHGSLDRDLGIYKPSESTTSRHLPCSHELCSPASGCTNPKQPCPYNIDYFSENTTSSGLLIEDMLHLDSREGHAPVNASVIIGCGKKQSGSYLEGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKKDDSGRIFFGDQGVPTQQSTPFVPMNGKLQTYAVNVDKYCIGHKCTEGAGFQALVDTGTSFTSLPLDAYKSITMEFDKQINASRASSDDYSFEYCYSTGPLEMPDVPTITLTFAENKSFQAVNPILPFNDRQLQGEFAVFCLAVLPSPEPVGIIGQNFMVGYHVVFDRENMKLGWYRSECHDLDNSTTVSLGPSQHNSPEDPLPSNEQQTSPAVTPAVAGRAPSSGGSTTLQNLLANSNMLLLLTMSVFFIS >ORGLA02G0282000.1 pep chromosome:AGI1.1:2:25376895:25379412:-1 gene:ORGLA02G0282000 transcript:ORGLA02G0282000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPSTTVSALKEFILARWPQGERQKIPASIRXEXFCXHYRTXHIFGPLRQYDCWAIHKXTLEQLSWCFLSXXREAACEFPQAEQMWVYHTVIRRLPDDDVQCLALGFCICFPVFAALVNSF >ORGLA02G0281900.1 pep chromosome:AGI1.1:2:25373644:25375367:-1 gene:ORGLA02G0281900 transcript:ORGLA02G0281900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G36310) TAIR;Acc:AT1G36310] MLQIFSRIATRIPQRVICASNSYSSNYRSTSTSSPMNSNEMLGAQETVSTEGNNSCSSVQSTPDIEKKYVHRVYDAIAPHFSSTRFAKWPKVAGFLNSLRPGSVILDAGCGNGKYLGFNPDCLFIGCDISPPLIDICAGRGHEVLVADAVNLPYRDNFGDAAISIAVLHHLSTDARRRKAIEELIRVVRKGGLVLITVWAVEQEDKSLLNKWTPLCEKYNEEWVDPSSPPVRNQSNTVLESISETDEDTGAVKQRNDDLKISNDGLDDNADVTCSNSNIDEHHKTQQEYFVPWHLPFHRAEIGGASAAALENGFAKRDDKKGTVVYNRYYHVFVEGELQRLVAGINNAVVVDQFYDKSNWCIVLEKL >ORGLA02G0281800.1 pep chromosome:AGI1.1:2:25370980:25372738:1 gene:ORGLA02G0281800 transcript:ORGLA02G0281800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39620) TAIR;Acc:AT4G39620] AAPAARRHMAAAAARGKRRGAGAAAAEGADEAAEAADLVRFFLRRTSGGKERLVAVLDRHVKVVRTEHCFLLFEELGRRDGWLQCLEVFRWMQKQRWYVADNGIYSKLISVMGRKGQIRMAMWLFSQMRNSGCRPDTSVYNSLIGAHLHSRDKSKALAKALGYFEKMKTIDRCQPNIVTYNILLRAFAQAGDTKQLDILFKDLDENPVSPDIYTYNGVMDAYGKNGMITEMESVLVRMKSNQCRPDVITFNILIDSYGRKQAFDKMEQVFKSLLRSKEKPTHPTFNSMITNYGKARLREKAECVLDKMTEMGFKPNYVTQECLIMMYAYCDCVSRARQIFDELVSSQNNVHLSSVNAMLDAYCMNGLPMEADQLLDSVIKKGAVPSASTYKLLYKAYTKANDKKLIQKLLKRMNSQGIVPNKKFFLDALEAFGNTDKKPRTVPSKNSASKPDVESANNSGTDTSSKPNLSVWQVAA >ORGLA02G0281700.1 pep chromosome:AGI1.1:2:25365284:25365502:1 gene:ORGLA02G0281700 transcript:ORGLA02G0281700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYSIQKRLMPRYHVMKVLNEKGLLKKDTDFYSMVKIVEESFFKKFLLPYHRSVPGLEKAYLAAREGKMFPEI >ORGLA02G0281600.1 pep chromosome:AGI1.1:2:25363428:25364627:1 gene:ORGLA02G0281600 transcript:ORGLA02G0281600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMLLAPMPLLLLASTPLAAVHLPARCRLRLQLLSRAAPEAASATTTTTTAPDNHFSVEEYLISNCNLTQPQAHKASKSIAHLKSRSNPDAVLAFLADFGLSPKEVAAIVASNPRILCARIDRSLAPICSELRAVGLSPSQIARLAQITGRYFLCRSFVSKVRFWLPLFGSSERLLQASDWNYWLLTSDLEKVVEPNVSFLKECGLSARDISKLLVAAPRLVTMHPDYVKDAVRRAIQLGVAPGSQMFRHALSTAGCIGQDKIDAKVAVLKESLGWSQEEVNLAVSKAPRILVASEERLRRNAEFLIDEVGLQPQYVARRSVLLMYSLERRLVPRHLVVKLLKERGLIEQDRCFFNAVAPTEEKFLEKFVVPFEGCVPGLADAYESACAGKTPVQAE >ORGLA02G0281500.1 pep chromosome:AGI1.1:2:25356442:25358588:-1 gene:ORGLA02G0281500 transcript:ORGLA02G0281500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:I1P4A9] MGTAKLPALLWLLAGVVLALAVNPAHGAKTRHYDFFITETNYTRLCHEKSILTVNGQFPGPTIYARKGDLVIVNVHNNGNKNITIHWHGVDQPRNPWSDGPEFITQCPIRPGGNFTYQVILSEEEGTLWWHAHSDFDRATVHGAIVIHPKRGTTFPFKKPDKEIPVILGEWWNDDIEHVLDKAQLLGGDVDPSNANTINAQPGDMFPCSRDDTFKVAVQQGNTYLLRIINAGLTNDMFFAIAGHRLTVVGIDARYTKPLTVDYIMIAPGQTMDVLLEAKRTLGSNSRYYMAARTFITLPLDTIPFNNSTATAIVEYTDSATARPVGPPKFPIQLPAIKDENAAMAFITQLRSLGNQEHPVHVPTHVDEHMLINIDINVLPCDPTNMAEKCKEGPQGNRFAASLNNVSFQSPAIDVLDAYYYSSGHGVYEEDFPNKPTAFVDPLVNNGSGPLMTKRGTKVKVLEYGTVVEVVFHDLSSENHPMHLHGFAFYVVGRGNGTFDESRDPATYNLVDPPFQNTVSVPRSGWAAIRFRADNPGVWFMHCHFDRHVVWGMDTVFIVKDGKTPQAQMLRRPPNMPQC >ORGLA02G0281400.1 pep chromosome:AGI1.1:2:25342148:25353207:-1 gene:ORGLA02G0281400 transcript:ORGLA02G0281400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 [Source:Projected from Arabidopsis thaliana (AT1G71820) TAIR;Acc:AT1G71820] MEDLGIEAKEAAVREVAKLLPLPELLSSIASIKSDYLARQQTNDAQLSTMVAEQVEQAHAGINALALSQETINKLRENFIDIDKLCQECQTLIENHDKIKLLSNARNNLNTTLKDVGGMMSISVEAAAARDSLSDDKELIRTYERLTALDGKRRFALAAAASHKEEVGRLRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQTLVRAIRVVEMQEILDQQVAEEAAEAEGAGAMATIANQRRTANRKGGGATSTPRSTQDKSKVQGKGYKDKCYEYIRKAVEARFDKLLTELVFSEDLMEALEEAKAIGDELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANDIPNINILKVTGWVVKYQENLIGLGVDESLAQVCSESGALDPLMNMYVERMQATTKKWYSNILEADKTQPPKSTEDGKLYTPAAVDLFRILTEQVQIVTENSTDVMLYRIALAVIQVMLDFQAAERQRLEEPASDVGLESLCALINNNLRCYELSSELSSSTLEALPQNYAEQVNFEDTCKGFLEVAKEAVLQTVSVIFEDPGVQDLLVKVYQKDWMEGMVTEYLVATFADYFGDVKQYIEERSFRRFVEACLEQTIVVYVDHLLTQKGHIKEETIERMRLDEEVLMDFFREHINVTKVETRVRILADLRELASAESLDSFTLIYTNILEHQPDCPPEVVEKLVGMREGIPRKEAKEVVQECKEIYENSLVDGNPQKSGFVFGKLKCLTARKGIWSKLGQ >ORGLA02G0281300.1 pep chromosome:AGI1.1:2:25338331:25339762:-1 gene:ORGLA02G0281300 transcript:ORGLA02G0281300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dolichol-phosphate mannosyltransferase-related [Source:Projected from Arabidopsis thaliana (AT1G48140) TAIR;Acc:AT1G48140] WWWCWCIERQLVARDPRSLSSKHLVSQMKHIFKIIAMLVAVSAIWIALLETATVPRSYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAVLLQQDILEAKEFLSKKGVDVGSE >ORGLA02G0281200.1 pep chromosome:AGI1.1:2:25337026:25337442:1 gene:ORGLA02G0281200 transcript:ORGLA02G0281200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILPFIGFFAVTIFFFNSTIPIILKICGATMLKLNLSLLTSDMWAVLILIFAYHEKVDWICFVAFAGMAAGLVIYSYKPS >ORGLA02G0281100.1 pep chromosome:AGI1.1:2:25336327:25336644:-1 gene:ORGLA02G0281100 transcript:ORGLA02G0281100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKAVLVGRGPRRREREERGCVRRRREGGRRAPDPARGRVVGRRIGVGVGRRLLDPASPPPEGAAVRGGARRRPPRAVAHTCRCPLLPSPSHMPATASMAGIEE >ORGLA02G0281000.1 pep chromosome:AGI1.1:2:25332699:25335106:1 gene:ORGLA02G0281000 transcript:ORGLA02G0281000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGVGLALQSRAAGFGGSDRRRSALYGGEGRARIGSLRVAEPAVAKAAVWARGSKPVAPLRAKKSSGGHETLHNSVDEALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVGKIMSEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMKRLVVATGGGAVIRPVNWKYMKKGLSVWLDVPLDALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSMLAEQRGDAYANADVRVSLEEIASKQGHDDVSKLTPTDIAIESFHKIENFVIEHTVDNPVGDSQADSRAQRIQTL >ORGLA02G0280900.1 pep chromosome:AGI1.1:2:25325002:25325262:-1 gene:ORGLA02G0280900 transcript:ORGLA02G0280900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSWSRLPCLLPFGFPFLPLSPRPLTRPPSAGAEQDESTRGYSRRHDLLCWCRARHRRIHPDLAAGRGGGQNRPRWHQICRPRLATA >ORGLA02G0280800.1 pep chromosome:AGI1.1:2:25313617:25323416:1 gene:ORGLA02G0280800 transcript:ORGLA02G0280800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAMRLRCLLTRPPVSLAISASAGGGEGCFARRFGAAVAPRPWGAGRRLCRFYGSSKGGVGSAEARSAAAAEGSSGRCSEQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFMRRVVPWEKGNLTWQNFPYYVKYDARTTCSVLCLRTELYRERLVRALAHELQVPLLVLDSSVLVPYDYGDDYSESDEEDEHDESEDEESDIEDEGDEDWTSNGEAKTDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVAGAEEGTTSESSESPESSEEDKRPYQRGDRVKYVGSSEAFEADQRIILGKVPTKDGSRNAYTFISGRTLSKGQRGEVYEINGDQVAVIFDPLAEKLHDGDNDATSKEENTEASIYWVDSQDIVHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQKVEEMFDKLTGPVVMICGQNILEAEPKDKDKEPPALMFHNLSRLSSLPSSLKRLVGGRPKYSRSSGISKLFTNSLIVPLPEEDEQRRIFNNQIEEDRKIIISRHNLVELHKVLQEHELSCVELLHVKSDGVVLTRQKAEKVVGWARSHYLSSAVLPNIKGDRLIIPRESLDVAIERLKEQGIKTKRPSQNIKNLAKDEYERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIASAYRPVHELLEEEKKGGPCSQNTGLRPLRLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTRSPFGFGN >ORGLA02G0280700.1 pep chromosome:AGI1.1:2:25306120:25306540:1 gene:ORGLA02G0280700 transcript:ORGLA02G0280700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XSTGNMLADLSSINGYHEGLPMVLAHIGTYAALALPPTVDARHHSRRGQEDLDHLVIFGAMNPATSANGAAAIIDPPSRTVQWASSHAYASCPSLLAGHQVEKPSIC >ORGLA02G0280600.1 pep chromosome:AGI1.1:2:25298017:25299126:-1 gene:ORGLA02G0280600 transcript:ORGLA02G0280600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSRHAFRGGVRGGKTAYARSRSGPAAVHHTVSLKSSTLGSLSLERDRDEEMMKWRDDGGAAKTTPPPQQMARRQRQLVLATTAPAKTPAREPEVINVWELMEGLDDKDEEGDVRGEERRGQSTPGSPEFDPDIIAAFRKALDEVPPAGECPGDEVCVKKREIQRFPGIVRERVSAFQKRIDAKLAKMAPPPPSPSPPPEPEPQLPPPPPDSDRKVVLYLTSLRGIRKTYEDCWATKSILQGYGVLVDERDLSMHAGFKEELHAALGAPGSLPQVFADGRHLGGAEEVRRMHESGELSKALGDCEMAPPAAAGKGIALDACSGCGGVRFVPCEECSGSCKVFLEELDTFRRCPDCNENGLVRCPLC >ORGLA02G0280500.1 pep chromosome:AGI1.1:2:25281236:25282516:-1 gene:ORGLA02G0280500 transcript:ORGLA02G0280500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRSLPSSCDQESRLAYMTYHLLEITRSKRVSGTPRIEPDSVAAVAVLTKRPKSARNHECEQLDFQGSNDQGFSDSSTLISSIGRDNSISCLARCSRSDYGSIASVNRNFHSLVRSGELYKERRQLGIAEHWVYFSCNVQEWEAYDPYRSRWMTLPKMPHNECFMCSDKESLAVGTELLVFGKEILSHIVLSYSILTNSWSPGVDMNAPRCLFGSASFGEKAIVAGGMDAQGRVLRSAELYNSETKRWITLPCMNKARRMCSGVFMNGKFYVIGGMASNTEVLTCGEEYDLEKGTWRVIENMSEGLNGASGAPPLVAVVENELYAAQYAGKLVRKYNIKDNTWTTLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVMGGGMIELHSWIPREGPLRWNMIGSKPSGNFVYNCAVMGC >ORGLA02G0280400.1 pep chromosome:AGI1.1:2:25279104:25279361:1 gene:ORGLA02G0280400 transcript:ORGLA02G0280400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARHMSSRAARLVRARLRVGGCWEENLRMNLPRRPRASGRTDHARQMEGGKSNAGAYVGIPRKRGHRREARPAAGHSANADENG >ORGLA02G0280300.1 pep chromosome:AGI1.1:2:25268930:25273998:-1 gene:ORGLA02G0280300 transcript:ORGLA02G0280300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1 / TIP-1 family [Source:Projected from Arabidopsis thaliana (AT3G47700) TAIR;Acc:AT3G47700] MEAAVLPRPADITPELRRFLDSRFRSQADLAAAADVEAEIRGRYAELEASVSDLSVRLAAAAAAYSSSCSAAGTALSNVRGCLAALNASTSEPGVTEAVEVGSEEMLFEQLSSLAKEVARVELVRDYAETALKLDSFVSDVEDAVSSSVTGKLKSRAESSLKTYHVLIGYLKTIEDILSSVTKTRPQWTRLVSAVDHRVDRSLALLRPQAIVDHRALLASLGWPPSLSGTNFSSINSGKPSEIVNPLFSMKGDLKSKYSESFLSLCSLQELQKRRKARQLEGHIVNNQLRQPLWVIEELVNPIAAATQRHFSKWVEKPEFVFALAYKITRDFVDSMDEILQPLVDKANLVGYSCREEWISGMVITLSTYLVKEIFPKHIELLQEISSADASSKQSQARVSWLNLIDLMISFDKQTQALISSSGLLLSVKDDDNWQRISVLSVFCDRPDWLEIWAEIERQDTHDKLRLSMENEKNWNTRFQGTVLEYGSDDYKSPAITGVIQKGLSLLIDRARPIPNIALRAEFIKISTSPLLSEFLGWMLQRCQEAEGLTALADDNALLKVSQSINAARYLESTLTEWCNDVFFLEMENIDGRSECIFQVEINQLKDFRVQWTEKISTVILRDFDARSRDYLKNKRQWQEKSEGLTLSRAFVECLDYMQGRIAKLEDGLNVLDFVTVWRTVASGVDQLLFSGIFSGSIKFSNGGVERLHGDLSILFAAFSAWCMRPEGFFPRLSEGLKLLDIDEKQLRDGACTDNNRLREYGIRRLTAAEVEKIIKNRIYES >ORGLA02G0280200.1 pep chromosome:AGI1.1:2:25259676:25260168:-1 gene:ORGLA02G0280200 transcript:ORGLA02G0280200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSRGSISEEEINELISKLQSLLPNSRRRGSSQASTTKLLKETCNYIKSLHREVDDLSDRLSDLMATMDHNSPGAEIIRSILRS >ORGLA02G0280100.1 pep chromosome:AGI1.1:2:25252181:25252866:1 gene:ORGLA02G0280100 transcript:ORGLA02G0280100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVGKERKRPRCALVGVGGHGSDVADEPGGVRARRTSTARWYIAFYDIQDRLGVNRPSKSIEWLICAALVARLLLHPPQRRPAPHPRRPSSGRPSEEQARTRKATVVASPGKLGEKRRKTRSGCAARKAERIAKLRVWREGWMPRREASTSAASGGQSWIRKPSTPGSGDSCSCSDAKPAKRRWAEKGGEVVAREAGGAERGGGGRRR >ORGLA02G0280000.1 pep chromosome:AGI1.1:2:25246663:25249945:-1 gene:ORGLA02G0280000 transcript:ORGLA02G0280000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLKEEKAAGESGDDEKAERSSPINLNSLPATAACAAAAPDEDGLHSAVESGAKDSNTTKGVESLGTGHKKIPKREVVDEVDVQTCAEGKNDSVVPSSSKNPINDKNAKANVAENGQSADGIPEDQRVTILSVVKKDEPADDVRDSVNPVTVVGYRDEKGGTSGTAGTTAVRPAGTRSSSFHGVTRHRWSGKYEAHLWDSSCRMEGRRRKGRQVYLGSYDTEEKAARSYDVAALKYWGQNTKLNFSVSEYERELEDIRDMSREECVTYLRRRSSCFSRGASIYRGVTRRQKDGRWQARIGLVAGTRDIYLGTFKTEEEAAEAYDIAAIEIRGKNAVTNFDRSNYVEKGMHCIEGAGLKLLASKPE >ORGLA02G0279900.1 pep chromosome:AGI1.1:2:25243617:25245609:1 gene:ORGLA02G0279900 transcript:ORGLA02G0279900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:I1P493] MGSGSGSFLKVVVKNLDVLAGPIVSLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFSPVLEWLPLWSYAKLFFNCWLVLPYFNGAAHVYEHFVRPMVVNQQIVNIWYIPRKDESDRPDDVISAAQRYIEQNGSKAFESLVNKFKASNTRRSILEEVEAERRAKAELEAEARDENPFFNQNYRY >ORGLA02G0279800.1 pep chromosome:AGI1.1:2:25233369:25234604:1 gene:ORGLA02G0279800 transcript:ORGLA02G0279800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDVAGDAGGGRRPNFPLQLLEKKEEQPCSSSAAGGGTGPSSAGGNGNNGSGPGGAGGEMQVRKAAPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSLSAPAHLRALPSPAAAARFGSRADAWDRVVSLGFPSEGPASSSSSPSPLLLNFHSGSVGLDVQPSPSAAAAAADLSRKRRWEQEMQQQQQQQQQQQQQQQQQQYQQQMAGYTQSQMPGTVWMVPSNSTQGGGPSGGGGGGGGGSGESIWTFPQMSSAAAAAAVYRGSVPSGLHFMNFPAPMALLPGQQLGLGPVGGGGGGGGGGEGHMGILAALNAYRTQAATDAAGQQGGGGGGGGSSQQQHGGGGGGGERHQSISTSDS >ORGLA02G0279700.1 pep chromosome:AGI1.1:2:25225870:25226385:1 gene:ORGLA02G0279700 transcript:ORGLA02G0279700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSASSTDSTTLPRRFGSDISGVMTTTAIGRGMTAAATTSRHLFHTRRGSRHLSPTLTCGSVINDKGRDRSGGLSSSVQILPPLRPRCTRIHRWQRQERRILWPLLLPCGSRHLSTPTHSNLPPAVTGEVDPTTSQYNGNIGRPRGEGGMMMWRVTTTVDFGQQGFRCWG >ORGLA02G0279600.1 pep chromosome:AGI1.1:2:25191744:25194455:-1 gene:ORGLA02G0279600 transcript:ORGLA02G0279600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGPPKKRNFKIELFKHRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYDGLERTMTWRLKEISKSIEAAQGGLFLEELNAKWMDHNKALQMIRDILMYMDRTYVPQSRRTPVHELGLNLWRDHIIHSPMIHSRLLDTLLDLIHRERMGEMINRGLMRSITKMLMDLGAAVYQDDFEKPFLDVTASFYSGESQEFIECCDCGNYLKKSERRLNEEMERVSHYLDAGTEAKITSVVEKEMIANHMHRLVHMENSGLVNMLVDDKYDDLARMYNLFRRVFDGLSTIRDVMTSYLRETGKQLVTDPERLKDPVEFVQRLLNEKDKHDKIINVAFGNDKTFQNALNSSFEYFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMIDFYAKKSEELGDGPTLDVHILTTGSWPTQPCPPCNLPTEILAICDKFRTYYLGTHSGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNSTDGLTYKDIEQDTAIPASDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVAEVTKQLQARFMPNPVVIKKRIESLIEREFLERDKADRKLYRYLA >ORGLA02G0279500.1 pep chromosome:AGI1.1:2:25190174:25190805:-1 gene:ORGLA02G0279500 transcript:ORGLA02G0279500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTQAQLEAEVEGNYCRSRVPEGRRAFAMKRHLYTYAHASNMIVQNRFGVWSHRDQGYIRRTRYFKFVKIGWGLSRLRRVCQQHGVFAWYQCTPRREELEAAEAGVRRLFSRHTPAWMKKQKRLQRRERERDERRLNKQKRPLALKQQQLKAQAPMTRAVLLFAYTKWK >ORGLA02G0279400.1 pep chromosome:AGI1.1:2:25189088:25189381:1 gene:ORGLA02G0279400 transcript:ORGLA02G0279400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSFSFSLQGHRGLSSLESYLWGLYGFDSARLGIADDAAIWWGAPKSTAADRWLLPAASSSSSQKIMLSLFFWRKLRHRPCVVCFCFCRSLVCNC >ORGLA02G0279300.1 pep chromosome:AGI1.1:2:25186818:25188827:1 gene:ORGLA02G0279300 transcript:ORGLA02G0279300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT2G02910) TAIR;Acc:AT2G02910] VPCQIEFLPSVDDLVEPGIYNNFTGFSLNYISKENVSSSNGIFEPLFGGHQSLQEREETYYARNQTLHCGFVQGPEDYPNTGFDLDENDKIYMASCHVVVSSCIFGSSDYLRRPTKSKIGPYSKKNVCFVMFLDELTLGTLSSEGTGPDETGFIGLWRIVVVEKLPYKDMRRAGKVPKFLAHRLFPFAMYSIWLDSKLRLNADPMLIVEYFLWRNKAEYAISVHYDRTCVSEEVLQNKRLNKYNHTAIDEQFYFYQSDGLVKFNESGREPVLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVNRFTSRDQLSFAYTYLKLRRMNTGKPFHLNMFKDCERRAITKLFHHRANETADPPPANP >ORGLA02G0279200.1 pep chromosome:AGI1.1:2:25181671:25183278:1 gene:ORGLA02G0279200 transcript:ORGLA02G0279200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGASDELLGTFVPIAVYWLYSGLYLALDGVERLDVYRLHPREEEAAKNVVSRGTVVRGVLVQQAFQVAVSLTLFAVIGDESGIEQKQPSALVILLQFAIAMFVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILHALFNNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYSIEHRKGGGFESRPVKLNIAEQTKTD >ORGLA02G0279100.1 pep chromosome:AGI1.1:2:25173110:25180387:1 gene:ORGLA02G0279100 transcript:ORGLA02G0279100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSANPNAKGKAAASSSGLPSPKTTPRGVRPKAFKKKAKADPEMQKVAAAEAAATAETASAPPLKPAEVSPAAAVAKENGGQRMSRKEKTKMKEGDQMKEDKKGRIKGKEKKDDKARERKGEAGFIFMCSAKTKPECFQNGVFGLPKGKIDVVEKIRPGAKLFLYDFDLKLLYGIYKAKTKGGLDLVRGAFHGKFPAQVKFKVDKDCLPLPESSFKHAIKENYNSKGKFTQELSLKQVHRLLELFKPISLPQSSIQYVKERHRRLDVSEGRLPHYVEGRRLPRHVEEMHHLRHVEERRLPYDHEERRLPYDHEERRRPRYVEDIRHPQFLKERHAIIDSLHDPFRSRHVTHLPELQHAPPTYYHHVAHTFDERYHQPQVDIVYERSAPRAIVEATDREAFLARDYRVPEEIVARSDHVDELYRSYRLATRAMDLHQGPSYVTAAYENPGPAYSESIHQMPVSSTRPNVPGAPVSSLYSFAGAPAYR >ORGLA02G0279000.1 pep chromosome:AGI1.1:2:25166694:25168272:1 gene:ORGLA02G0279000 transcript:ORGLA02G0279000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1P484] GGGGGGGGRASAQRRAALAALITLLLLASLAFLLSATGTASAPNSAPFRLAAIRRHAEDHAAVLAAYAAQARKLSAASASQTESFLSISGHLSSLSSRISLSTVALLEKETRGQIKRARALAGAAKEAFDTQSKIQKLSDTVFAVDQQLLRARRAGLLNSRIAAGSTPKSLHCLVMRLLEARLANASAIPDDPPVPPPQFTDPALYHYAIFSDNVLAVSVVVASAARAAAEPARHVFHVVTAPMYLPAFRVWFARRPPPLGTHVQLLAVSDFPFLNASASPVIRQIEDGNRDVPLLDYLRFYLPEMFPALRRVVLLEDDVVVQRDLAGLWRVDLGGKVNAALETCFGGFRRYGKHINFSDPAVQERFNPRACAWSYGLNVFDLQAWRRDQCTQRFHQLMEMNENGTLWDPASVLPAGLMTFYGSTRPLDKSWHVMGLGYNPHIRPEDIKGAAVIHFNGNMKPWLDVAFNQYKHLWTKYVDTEMEFLTLCNFGL >ORGLA02G0278900.1 pep chromosome:AGI1.1:2:25162917:25165074:1 gene:ORGLA02G0278900 transcript:ORGLA02G0278900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMRSSDIEKQDEVMLPGFRFHPTDEELVRFYLRRKIQQKSLPIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDPSLPQKKPLEKIIPPNDSWAICRIFKKTNSTAQRALSHSWVSPPLSSTNEACTAPVSQTTQRSQRISDNTSSVMTDVISSTIQFTGSSYLPSTVPSCHNPLSMIDSNSRPDASVPLPSPVVEHQTMGILSAIPLDITAGIDIASMVFNASSFTLPNMDRMTANIEFGQPQKCNSSSSMTNRCVVDMPDVANNINSGPRSINFNLQGTLSDDWRMTLPWESLPCTTEVSTNFQSTKCYT >ORGLA02G0278800.1 pep chromosome:AGI1.1:2:25148723:25151819:1 gene:ORGLA02G0278800 transcript:ORGLA02G0278800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNSRTNSRANYSNEIHDLSTVQNGTMPTMYYGEKAIADFFPPHLLKKVVSEVVATFLLVFMTCGAAGISGSDLSRISQLGQSIAGGLIVTVMIYAVGHISGAHMNPAVTLAFAVFRHFPWIQVPFYWAAQFTGAICASFVLKAVIHPVDVIGTTTPVGPHWHSLVVEVIVTFNMMFVTLAVATDTRAVGELAGLAVGSAVCITSIFAGAISGGSMNPARTLGPALASNKFDGLWIYFLGPVMGTLSGAWTYTFIRFEDTPKEGSSQKLSSFKLRRLRSQQSIAADDVDEMENIQV >ORGLA02G0278700.1 pep chromosome:AGI1.1:2:25135607:25138502:-1 gene:ORGLA02G0278700 transcript:ORGLA02G0278700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAAPRLRAAAWGSLSPPAPVRAQFVSLPLSLPGRYASLRLRASAAAAAAAKEGAEEMGFEEMAAGTRRRYYMLGGKGGVGKTSCAASLAVRFANNGHPTLVVSTDPAHSLSDSFAQDLSGGALVPVEGPEAPLFALEINPEKAREEFRAASQKNGGTGLKDFMDGMGLGVLAEQLGELKLGELLDTPPPGLDEAIAISKVMQFLEAQEYSMFRRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRSKIASATSAIKSVFGQEVQQQDAANKLEQLRERMVQVRELFRDTESTEFIIVTIPTVMAISESARLHSSLRKESVPVKRLIVNQILPPSSSDCKFCAIKRKDQTRALDMIRNDPELMGLNLIQAPLVDMEIRGVPALKFLGDIVWK >ORGLA02G0278600.1 pep chromosome:AGI1.1:2:25131668:25133105:-1 gene:ORGLA02G0278600 transcript:ORGLA02G0278600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWRFYGGHQFQSYSFHEEENLFQDWSLDYLLLGEDEPFFTHHFSTSVHSNFVQDELYTLFDGDILSIWGDMKEDAYHRSDKDGGEKEEKLDHEKAMELQLQRLPSGRQSGEKTLTFELVSQYFCLPIKQAAQELNVGLTLLKRRCRVLGIPRWPHRKVKSLETLIKNVQELGMETGQDEDNTRNAVEMLQQTKKLIEQSPDAKLDDWTKMLRQACFKENYKRRRLLAIEG >ORGLA02G0278500.1 pep chromosome:AGI1.1:2:25128814:25130953:1 gene:ORGLA02G0278500 transcript:ORGLA02G0278500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSSSAAAARATFVMPSSVRGGMSRGRRMARLVTRAAASSPKLPSGRRLRVAVVGGGPAGGAAAEALAKGGVETVLIERKLDNCKPCGGAIPLCMVSEFDLPLDLVDRKVRKMKMISPSNVAVDIGGTLAPHEYIGMVRREVLDAYLRSRAEGAGAEVVNGLFLRYEAPKEPNGSYVVHYNHYDSSNGKAGGEKRTFEVDAIVGADGANSRVAKDMGAGDYEYAIAFQERVKIPDDKMKYYEERAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKPDIKKFQAATRLRAKDRIEGGKIIRVEAHPIPEHPRPKRVAGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSANGTRMVEESDLRRYLAEFDRLYWPTYKVLDVLQKVFYRSNAAREAFVEMCADDYVQRMTFDSYLYKRVVPGNPLDDIKLAVNTIGSLVRATALRREMEKVTL >ORGLA02G0278400.1 pep chromosome:AGI1.1:2:25119935:25124140:-1 gene:ORGLA02G0278400 transcript:ORGLA02G0278400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:I1P478] MSGAIASSPAATLFLAGSSSSSPRRRRSRVSGVWWHSYGGTGLRLHWERRGLVRDGAVVCSASAAGGEDGVAKAKAKSAGSSKAVAVQGSTAKADHVEDSVSSPKSVKPAVAKQNGAVVSRATKSDAPVSKPKVDPSVPASKAEADGNAQAVESKAALDKKEDVGVAEPLEAKADAGGDAGAVSSADDSENKESGPLAGPNVMNVIVVASECSPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYAEAKDLGVRKRYRVAGQDSEVSYFHAFIDGVDFVFLEAPPFRHRHNDIYGGERFDVLKRMILFCKAAVEVPWFAPCGGSIYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYTRSVLVIHNIAHQGRGPVDDFATMDLPEHYIDHFRLYDPVGGEHSNVFAAGLKMADRAVTVSHGYLWEIKTMDGGWGLHEIINHNDWKLQGIVNGIDMAEWNPEVDEHLQSDGYANYTFETLDTGKKQCKEALQRQLGLQVRDDVPLIGFIGRLDHQKGVDIIGDAMPWIAGQDVQVVMLGTGRPDLEEMLRRFESEHNDKVRGWVGFSVQLAHRITAGADVLLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFADTGLGWTFDRAEANRMIDALGHCLNTYRNYKESWRGLQARGMAQDLSWDHAAELYEDVLVKAKYQW >ORGLA02G0278300.1 pep chromosome:AGI1.1:2:25114947:25118483:-1 gene:ORGLA02G0278300 transcript:ORGLA02G0278300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDLALRAGGDRLLVADTVAAVVESLVQAWRQVRMELLVPLLRGAVVACMVMSVIVLAEKVFLGVVSAVVKLLRRRPARLYRCDPVVVEDDDEAGRASFPMVLVQIPMYNEKEVYQLSIGAACRLTWPADRLIVQVLDDSTDAIVKELVRKECERWGKKGINVKYETRKDRAGYKAGNLREGMRRGYVQGCEFVAMFDADFQPPPDFLLKTVPFLVHNPRLALVQTRWEFVNANDCLLTRMQEMSMDYHFKVEQEAGSSLCNFFGYNGTAGVWRRQVIDESGGWEDRTTAEDMDLALRAGLLGWEFVYVGSIKVKSELPSTLKAYRSQQHRWSCGPALLFKKMFWEILAAKKVSFWKKLYMTYDFFIARRIISTFFTFFFFSVLLPMKVFFPEVQIPLWELILIPTAIILLHSVGTPRSIHLIILWFLFENVMALHRLKATLIGFFEAGRANEWIVTQKLGNIQKLKSIVRVTKNCRFKDRFHCLELFIGGFLLTSACYDYLYRDDIFYIFLLPQSIIYFAIGFEFMGVSVSS >ORGLA02G0278200.1 pep chromosome:AGI1.1:2:25114011:25114945:1 gene:ORGLA02G0278200 transcript:ORGLA02G0278200.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSLAAAAAAVAITGDSIVSAACSTRFLSPRVLFTQPEAPGGPRVAIRNPQALSFPVTCKAQEFPIDCFNMDPASGADLAIALP >ORGLA02G0278100.1 pep chromosome:AGI1.1:2:25112766:25113546:1 gene:ORGLA02G0278100 transcript:ORGLA02G0278100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERPLPPPMSSLLPPTQLESAHPRRLPRFLPRGQPLPPGARPISRVAAGGGGVHHGADVGGHETSIGVSLHHLEQLRRVKAVVCLHSSGIDWMLAGSNITCSCGSNLKEHFTKLLEVMQAEADAGLVPSGCRPAVAILAAVDAVNDDVLCAGGLQVGGVRPGGPIGVVVGGCXPRLRLARGVMQRADGVRRRGRAPGRGVLRPPPVVRSAPPPVGRRARERKERRETDRGEIGS >ORGLA02G0278000.1 pep chromosome:AGI1.1:2:25106876:25107941:1 gene:ORGLA02G0278000 transcript:ORGLA02G0278000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRDFLAVVLVAALLPPALSRGLWLGHHGLGHGHGRWRAPHVGGHGQGQGPQQHAPLGGGGWSSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEVRCDAGGGGSHSCLPGSVVVTATNFCPPNNALPSDDGGWCNPPRAHFDMSQPVFQRIALFKAGIVPVSYRRVACQKKGGIRFTINGHSYFNLVLVTNVGGAGDVHAVAVKSERSAAWQALSRNWGQNWQSAALLDGQALSFRVTTGDGRSVVSNNAVPRGWSFGQTFSGAQFN >ORGLA02G0277900.1 pep chromosome:AGI1.1:2:25096485:25098771:1 gene:ORGLA02G0277900 transcript:ORGLA02G0277900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGAAALTPATGLGSRPSLTVKTSRVCTTAALPPLCRCGRRHLIGSTTATALLPLLALPSPAASPVDPEVMLERVHPARPEWYEKFYATAMDKFMKPYEAEQIAQYKSKLFSQLMTAGKNILELGVGTGPNLKYYANADGVNIVGVDPNKHMEEYARAAAVSAGLPPSNFTFRRGVGEALPAEDNSMDAVVGTLVMCSVSDVEMALREIKRVLKPGGLYIFIEHVAAPDGSFLRFVQGALNPLQQFVSDGCHLTRETGEIIREAGFSSLDLNTTRLSTAFILSPHVYGVACK >ORGLA02G0277800.1 pep chromosome:AGI1.1:2:25088486:25089558:1 gene:ORGLA02G0277800 transcript:ORGLA02G0277800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH dependent flow 6 [Source:Projected from Arabidopsis thaliana (AT1G18730) TAIR;Acc:AT1G18730] MALPLLKSSPHFSALHSANRAQLHGRCRATLGGFHDPRSNSSRCKKSAGRGSVKANASPLDVVTLMVTMVEHVDLQRDYVVHKSIWHLSDAALKSVYTFYAFFTVWGICFFASMKDPFYDSEAYRGQGGDGTVHWYYDRQEDLEASAREELLREELLEEIEQRVGGLRELEEAAKEEQLTN >ORGLA02G0277700.1 pep chromosome:AGI1.1:2:25084811:25085581:-1 gene:ORGLA02G0277700 transcript:ORGLA02G0277700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1P471] MEGKAAVTTSTEHGDGEASKTAARTVVSGSSRGGAASRALSVADLILRVVAVVAIVDSAIAMGTTNQTLPFFTQFLRFKAQYSDLPTLTLFAVANSAVTAYLVLSIPLSVVHIIRSRASYSRLVLIFLDSVMLALVAAVASASAAIVYLAHKGNVRANWFAVCQQFDSFCERISGPLIGSFAAMAVLLLLVLLSAAALARR >ORGLA02G0277600.1 pep chromosome:AGI1.1:2:25080393:25083463:-1 gene:ORGLA02G0277600 transcript:ORGLA02G0277600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSQTLDAMVVTIDLREEDEAAAAAAMKAIAGRSDEEVLAAVLARKGGPVPFLKAAIDAAAAAATKAIAGRSDEEVLAAVLARKGGPVPFLQAAIDVAQRRSDLFLDPSAPGVVAEMAVEAQAKAEAEERRKRAKGEPRKAAEMLKKEEPMKTEEMLKEEEPMKAEEMLKEEPRTPMREAGRDKVERAAVVERVRDPKPNAGNGLDLEKYSWTQERPEVTITIPVPQGTKSSLVTYEIMKNHLKVGLKGCSFIIDGELFEPVKVNDCLWTIEDGNTLSILLTKENQKEWWTSVIKGDPELDPRDMKVPELRDCDVEAKETIVRILSHGLPKRAMDPATSDDIQQEELLKNAQYSHMDS >ORGLA02G0277500.1 pep chromosome:AGI1.1:2:25076791:25078909:1 gene:ORGLA02G0277500 transcript:ORGLA02G0277500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAEDALEESGVAVYLPRLLAGVISGALTGLFALAGALTGAVTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWCSDRLGSHGTSSMADFIEQLLQARFVQEQIVPSGYATHRWQVSISDFGHDDLYDIFGDCSSKGLSRESLNKLPHYVVTDQTRNSFGEDLSCTICLQDIVTGETARRLPNCSHTFHQPCVDKWLVGHGSCPVCRQCV >ORGLA02G0277400.1 pep chromosome:AGI1.1:2:25070621:25071325:1 gene:ORGLA02G0277400 transcript:ORGLA02G0277400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFWGSGHPADEVADFDEYDPTPYGGGYDIALTFGRALPPSDEICHPISTASSSSSYDRPQQGRRPPAEETHLSAGHGRRPDDDEATHGGGYRKPKPAYGDDEQRRRHTSGGGRKKHGGDDDDGSGDERKPRYKKHDDDDDGERKPRYKKRDDDDDDSDGERKQRYEKNNRRRHDYDD >ORGLA02G0277300.1 pep chromosome:AGI1.1:2:25060332:25067866:1 gene:ORGLA02G0277300 transcript:ORGLA02G0277300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFFRKLHIGEGSGDGASSSPPPPPSSRKGSGGVGGNHHPHAEQRQPSASAVSSWLDSVPGRLQPPTPSTPSEAEGSPFSSSVGSGAGAGAGAGAEERRQSVAAERRRSQEEEWERRRSQEEEAVREMRRSQEEDEVEERVIRESSEAEERKRVREKEDDDLEEFQLQLVLEMSARDNPEEMEIEVAKQISLGFCPPQSSTAEALAARYWNFNALGYDDRISDGFYDLYVTGNGPASITMPSLKDLRAQSLSHRVNWEAVLVHRGEDPELMKLDQTALIMSLELRESKPSEFVGNDLVQKLAGLVARHMGGTFFDSEGMLVKYQKMMRYLRSVVVPLGQLKIGLARHRALLFKVLADNIGIPCRLLKGRQYTGSDDGALNIVKFDDGREFIVDLVADPGTLIPSDGAVLSTEFEESSFSNNHHFNKDNDIRQLGSSNSLSNSACSSFECELLDRRSTWINVGPSDSDGATTSQTSKNNQQNTLSDSFGILSVSTFTSENRPITNESRRTDDIAAAKNKERSSVTINSSSTSPSPSSPEVGSTPAVRRMKVKDISEYMINAAKENPQLAQKIHEVLLENGVVAPPDLFSEDSMEEPKDLIVYDTTLFQSKDEMKKRMNELGSREYADRGHGPLLPHHPGHELPSKVPHRAPLDSLKAVEGLGIDHPPDIQDNTSFISQYEPSAPPQEASSQLTKQLPVTAAAVATAAVVASSMVVAAAKSNNDVNFDVPVAAAATVTAAAVVATTAAVSKQYEHLEPGNQLHSLPSPSEGNESIEKSADEFWDKQNFEIDHGQDNTLDQEKDSAEVRQDAERTSDKSSGTESAKSEITLDDVAEFEIQWEEITIGERIGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEVRIIKRLRHPNVVLFMGAITRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERKRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSKMKNKTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNAMQVVGAVGFQNRRLDIPDNTDPAIAEIIAKCWQTDPKLRPSFADIMASLKPLLKNMTAQAPRQRVQQTDE >ORGLA02G0277200.1 pep chromosome:AGI1.1:2:25049985:25052450:-1 gene:ORGLA02G0277200 transcript:ORGLA02G0277200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:I1P466] MITAADFYHVMTAMVPLYVAMILAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLMVLAMLTAWSHLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLITEQFPDTAANIASIVVDPDVVSLDGRRDAIETETEVKEDGRIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFGAADAFGVRTGATPRPSNYEDDASKPKYPLPASNAAPMAGHYPAPNPAVSSAPKGAKKAATNGQAKGEDLHMFVWSSSASPVSDVFGGGAPDYNDAAAVKSPRKMDGAKDREDYVERDDFSFGNRGVMDRDAEAGDEKAAAAAGADPSKAMAAPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIVLKSISILSDAGLGMAMFSLGLFMALQPHIIACGNKVATYAMAVRFLAGPAVMAAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYSVHPSILSTAVIFGMLIALPITLVYYILLGL >ORGLA02G0277100.1 pep chromosome:AGI1.1:2:25040948:25042470:-1 gene:ORGLA02G0277100 transcript:ORGLA02G0277100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIRERKLCFLSLTSRSVDVDDSVISDGKRLQNLHCESSHFKMHQLPEKGSRAICFQPLKIPASPTNIHYQLRWKGKLSTSGSCKHSETEKLLYRGLSKSERYTSTFWMVCMDNQSIKKLDNNYMHAAQQYCP >ORGLA02G0277000.1 pep chromosome:AGI1.1:2:25035791:25036297:-1 gene:ORGLA02G0277000 transcript:ORGLA02G0277000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDLGGGGGASGMDEAEAAFFARRGRRCCCFPWPSSASSHQRVGGAEEESWWQRAVDAVLKVREWSELVAGPRWKTFIRRFGRGGGGGGGPRPHNYGRKLNYDALSYALNFDEGHGASPEGDYTGYRDFSARFAAPPASAKSSMDLGGRDAPPLFNPPPPHDGAGRA >ORGLA02G0276900.1 pep chromosome:AGI1.1:2:25031276:25032466:1 gene:ORGLA02G0276900 transcript:ORGLA02G0276900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVAASLRSLAPLSAYRSPSHGIHAVVRDSSAYTTRPPPPPPTADGGGNGGRISPAVLFIIVILAVIFFISGLLHLLVRLLMKKQHRRGGAENAAPSPHSRHVGRDAAMDRQLQQLFHLHDSGLDQAFIDALPVFAYRDIVGGDKEPFDCAVCLCEFDGEDRLRLLPVCGHAFHLHCIDTWLLSNSTCPLCRGTLYVPGLTIESLMFDFDERLEEGRLSEECEDGFQSSRQKKPMDEEQTVTEKRVFPVRLGKFKNVGNTGVGGVDNGNAAGIVSREPGESSSSSLDTRRCFSMGTYQYVLGASELRVALQPGRNKNGVGSRLKGRATGISSVNAEIMESKRICAKSKGESFSMSKIWQWSNVKGKLPAGSDNCSETASFPWMKRDATGDKSNM >ORGLA02G0276800.1 pep chromosome:AGI1.1:2:25009566:25018462:-1 gene:ORGLA02G0276800 transcript:ORGLA02G0276800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60930) TAIR;Acc:AT5G60930] MEGSEAAQQKDSVKVAVNIRPLITPELLLGCTDCVTVTPGEPQVQIGPHVFTYDHVFGSTGSPSSLIFEQCVHPLIDSLFRGYNATVLAYGQTGSGKTYTMGTNYTGEANCGGIIPQVMETIFKKADALKDGTEFLIRVSFIEIFKEEVFDLLDASHAALRLDSGSVAKATAPARVPIQIRETGNGGITLAGVTEAEVKTKEEMASFLARGSSSRATGSTNMNSQSSRSHAIFTISMDQKKTSSASDKLSNDDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTAMIACISPADSNAEETINTLKYANRARNIQNKAVVWSFSLKINRDPVTAEMQKLRSQLEQLQTELLFSRSGSAALEELQLLQQKVSLLELKNSELNHELKERELSYEQLAQSALAAQLEKDQLMLKIESARNGKSWDDIENTDTDQDVEVMKRYILKIQQLESELTRQKFSSTCKNDLHDRFAMDKDLLLDDLGSGCEVGTPDASSAVNFRITPVPAGEADEEKERDHSSMQDKLDKELQELDKRLQQKEVQFPLLNKRAAISKEIAKLKECPQAMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERAFNGKGRWNHVRSLPDAKNTMNYLFQLASSSRCQQLDKEVMCKEKEHLICDLKEKVVALNGRIRQLETQVKDLNNQNMLLFTAISEAKNPVGTSRKGTVGSEDGQHYAMRKSIRASHSLHYSKNSFLWSDDMDISDSEKSEGSDADWEASDADYGASDADWECSKKVRRRRQTVSSHLNPNPGSGTTQKSAKSEMASQEKSTSLDLAPQCCSCSKYSSCKTQKCECRASGSHCGGDCGCITSRCSNRVDMKEEKEGGGVVEVSSSDDVDDAKVQEIVKEGVMLLENSMSEKEAQETKSRKPLADIGNGVVKQTGAKPKQRKNWRKSTVQLVPSAPPLPPTAPQNTEPVPRNRDIPLRLPRAMSSPAVDSIPLTDRNAAKPDESMSSNKENVTAVRARSPARPRKNANEKENHLR >ORGLA02G0276700.1 pep chromosome:AGI1.1:2:25000779:25002979:1 gene:ORGLA02G0276700 transcript:ORGLA02G0276700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLNPLAVTQLLQHTLRGLCTQGDSQWVYAVFWRILPRNYPPPKWDLQGGVYDRSRGNRRNWILAWEDGFCNFAASACDQEDTPAAAGYTDYAAAGHEVKGLQPELFFKMSHDIYNYGEGLVGKVAADHGHKWVSQEANEHEINLVTSWNNPADSHPRTWEAQFQSGIKTIALIAVREGVVQLGSMKKVAEDLSYVVALRRKFGYLESIPGVLLPHPSSAAFPGAGGLQDAAWAPSPTMDLYDPYYGAHAAAAQMHHIVPSMSSLEALLSKLPSVGPTAAPGAIRGAIGGGSVAKEELDDAMDAAGNGGGESTSAATTPLVPYYVDVAKPDEGF >ORGLA02G0276600.1 pep chromosome:AGI1.1:2:24994954:24995193:-1 gene:ORGLA02G0276600 transcript:ORGLA02G0276600.1 gene_biotype:protein_coding transcript_biotype:protein_coding FXXEFQDMGFNADAVGGNEGMGVRDGKGYAFVHIATGFSNCPHPLYLKQMQQCRRGHHQKQKQKALECKKLQKPKDETL >ORGLA02G0276500.1 pep chromosome:AGI1.1:2:24987728:24988632:-1 gene:ORGLA02G0276500 transcript:ORGLA02G0276500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKAMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTGQSHFLKP >ORGLA02G0276400.1 pep chromosome:AGI1.1:2:24981882:24982232:-1 gene:ORGLA02G0276400 transcript:ORGLA02G0276400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNVDGSFDPNYENGGIGTILKDERGTVIFSSCRFFGRCYDALEMELLACKEEIVFGDSWTLKLIVVXSDCLEAVRMLQSAERSIYSGLXPGRSMLLCVEIGRLLFIKSTVVKIVL >ORGLA02G0276300.1 pep chromosome:AGI1.1:2:24973497:24976615:-1 gene:ORGLA02G0276300 transcript:ORGLA02G0276300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDSTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPIVLVGTKLDLREDKHYLLDHPGMIPVTTAQGEELRKQIGAAYYIECSSKTQQNVKGVFDAAIKVVIQPPTKQREKKKKKSRQGCSMMNMFRGRKMSCFKS >ORGLA02G0276200.1 pep chromosome:AGI1.1:2:24966820:24971908:1 gene:ORGLA02G0276200 transcript:ORGLA02G0276200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREFRVGDCALFRAVDVPPFIGLIRWIEKQEEGYPKLRVSWLYRPADIKLNKGLQLDAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGAELPAGTSSFVCWRVYDIDNKCLWWLTDRDYINERQEEVNRLLHRTRQEMHTAVQQGGRSPKRLTGPSASQQLKAGSDGAQNCGSSKGKKRERGEHGIDQIKRDRDRTLKTDDTEPGNLKGDNMKSEIAKITEKGGLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATENPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDAKPVVSGQAVSWPGKPGFAEISSAGNRRSGSSEPNLKSPVSQLSSSKALTVKPGASDTTVKSSPLISGSSKLQHIQPGNAVTNLKEQPCKSSGGTCSPELPTVKEEKSCSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGIAGRTSGSSSRVHRRTNNGILGSGGQKEATVARSTSLDRSLLPEKASQSVTACEKGTDTPSDQGNGHRLIVRFPNPVRSPARSASGGSFEDPSFTGSRASSPVLADKHEQSDRRVKMKTENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPYAMLTDDRSRTTEEAGKDACASRVVCSDANEKAACSSENGGRNSFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSASPRNSPANEEGCEGDNIGKLKVQCDMGLSRHAGPTNDAEKIISDKGEKIGAGLVAKEEQHLGDAKDNKVTSSGQPSPPGIDVKAVESPAKTENHEVRTTDKGGDGDKSVTKQPVDIKIDAKLNIYPSTTSELRGGDKQSHGLLKSTDQKNRQCLPDNSEAIDRSGDSAAVKLDVEPSFSSSTVEVNKADGLLVGNAVLREDEKKEQPSSTSADATKLAVPAGVPFGPENGISSKELKDNSSESSSHARPGTTVSQDTERSARRSSKKSSDDASGNEDLVSSDDGSSFAAKIRPSATAKLDFDLNEGIPGDDVHQSEAETSPAVCSSAINLPCLSPFISPMSSGLPAPIKVAATAKGPFVPPENLLRFQPETGWKGSAATSAFRPAEPRKIFEMTLSAPGIPVSDAADKHRPALDIDLNVADEQFLEEDISQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENHFVSNTPHRVEVPLLSSRPLPAIFSSADTNGSRDFDLNNGPTLDETGTEHAARSLSSKNTSSIPFIPQVAGGRMNSAEMSNISPWFASAHPYAPVAAQPFLPSRGEQPHPVETAAGCGTQRIITSLADGVQRGSDPCRAPVISTSPTMVFNPPAYQYAGFPFTPGVHLQAPGFSIGSTSYANSAPAGVPYFPSIAPTLLGPAGALPAQHTRQYAINLPEGSSTVGHDSNRKWGRQGLDLNSGPGSVDAEIKDERVSLPVRQNLITPPHAFGEEHTRMYQMPSVGIKRKEPEGSWDAERSSYKQLSWQ >ORGLA02G0276100.1 pep chromosome:AGI1.1:2:24965659:24965973:1 gene:ORGLA02G0276100 transcript:ORGLA02G0276100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRRQGGGEGCGNRRLLVRGMWPATRVEAATPPAQGPASPPPRLPVPPPLTTPCLPAPTTPPAAAHNQDWVDSPRPVSPDSFVKVPTLWKHFVICWCCRFVLY >ORGLA02G0276000.1 pep chromosome:AGI1.1:2:24953171:24959212:1 gene:ORGLA02G0276000 transcript:ORGLA02G0276000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWREGGGEGCGKSRRLVRHMWPVTRVEAAAPPPAQGQASPPPRFSVPPPLTTSYPPAPTTPPAAAHKKERVDSPRPASSDSFLKDGREFRVGDCALFQAVEVPPFIGLIRWIEKKEEGFPKLRVSWLYRPADIKLNKGTQLCAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGVELPAGISSFVCRRVYDIDNKCLWWLTDQDYINERQEEVNRLLHRTKLEMHAAVQSGGRSPKRLNGPSSAQQKSGSDGAQNCGLSKGKKRDRGEQGTDPAKRDRERPLKAEDGESGNFKVENLKSEITKITEKGGLPHAEAVEKLVHLMQLDRTERKIDLPGRVILADIIAATESPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEAGSPKETDKPMEDLVLALLRALAKLPINLTALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDVKPVVSGQAVSWPGKPGFPEISSAGNRRSGSSESSLKSPVSQLSSSKALTSKPVAADAAAKSSPVISGSSKLQHMQPGNAVTNLKEQPSKSTGGTCGSELPAVKEEKSSSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGVAYKTSGSSSRVHRRTNNGLLGSGIQKEAAVARSSSLDHSSVQEKVSQSGTACEKGADIQSDQGNSHRLIVRFPNPGRSPARSASGGSFEDPSFTGSRASSPVADKHEQSDRRVKMKTENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPRAMLTDDSKTTEGAGRDVPVSRVACSSYANEKGICSSETGLTKLFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSPSPRNSSANEQGCEGDNIGKLKVECDVSLPQHAGPSNEVEKVISEKSEKSGVGLVGKELHREDVNMLSVNNLLSQGDKGTTSAGSSPLPEIDSKAKTENREVEDTDKCSHPPSRGDDGVKSNAKQPIGIKIDTNSNIKSSTTSEYRSAFTVRGKVEDGCTTSSDVGSTLGDQCKLAVSNRKMLPAEEPLCGGDKQAHGLLKPTDQKHLLGLPDYSEHIDKSGDSTANKLKLKPSFSSSTVEVNKADGLLVGSNTVLKEDEKKEHPADVTKLAVATGVKHGPENGISSKESKDNSSESSSHTRPGGTVSQETEHSAQRSSKKSSDDAGGKDDLVSSDDGSSFSAKTRSNATAKLDFDLNEGIPGDEGHLSEPATSPAVCSSAIHLPRPSPFVSPISSGLPAPIAAPAKGPFVPPENLIRLKSETGWKGSAATSAFRPAEPRKIFEMTLSAPGIPVSDAAGKNRPALDIDLNVADEQFLEEDVSQSSVQTTGSESGNTRRSNGPVRSVGFELDLNRADEVAENGQIVSNTNHRVEVPLLSRPLPGVFSSSDANSSRDFDLNNGPTLDEAGTEHAPRSLSSKNTSSIPFLPQVASMRMNSAEMSNISPWFASANACAPVAIKSFLPSRGEQPHPVETAAGSGTQRIITSMADGVQHGSDPSRTPVISTSPTMMFHPPAYQYAGFPFTPSVHLQAPGFSIGSTSYANSAPGGIPYFPTIAPTLVGPAGALPAQHTRQYAINLPEGSSTVGHDNNRKWGRQGLDLNSGPGSVDVEVKDDRVTLPVRQNFIAPPHAFVDEHTRMYQMPPVVGIKRKEPEGSWDAERSSYKQLSWQ >ORGLA02G0275900.1 pep chromosome:AGI1.1:2:24943152:24949894:-1 gene:ORGLA02G0275900 transcript:ORGLA02G0275900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIYLFLVFFCIKNALPQVRELNLLRESNIQLREENKHNFEECQKFHDEAQKAKMEAERLHNLLLEKQVDAEICKKEIEMQKTEIANLNQKISELVENSRGVDLSTYETMKDELQNIKATLRENSAELERTKKLLSEKDSVIRNLEEKLAGCQSELDAREKKLNDVEASLKSEIDRHRKININIKRKLDASAKEKEELTKEKQSLSKQLEDLKSSQKTTTENSNEQAIKEKDFRIQTLEKVLEKERDDNKKEKAFRRRNEKVFTTAIQNMNQQERKQVEESIEKHRQAVKEVIEHYTGISSQIPSGSAIDEQLRSYFLAIKAVEESPSPFQDGAASQTPSVESADVDASAATAGRQVATPPRPAQVKVVEERAVSTLPKPSTEVRRPGGRRPLVRPSLERVEEPQADIDTTIVEGSTEKGGLLMERETPGGVSTLQPSSRKRLIPSPQMRDDASQGETTDANPPLKKPKEGSSQGTSELKTEQSPHEDVMAPVPVLPSTELDEQQPGEEMDTDQSSLPVEEVEETREDDLGDKDDMETHIDASMDIQGQDAETGIDNDATTVEDVPVKSEAVMESLEEDLKTEDGKEEGQFTATTDVEDEREEGELPDEPEQPDSTPPVLDVGEQAGDSFRAASPAGPTEKSDVDMPEETGEGDGTIESDQSPVPQSGGADASPSQMADASPSPAREPSPNPVQAGAPPEQQNPTPPNPVQAGASSEQQNPATAAEGVETRSTRTINLTERARQNRQARILRSATQQNARGRGNQSLTYRKDGGRGTRGRGGRGQS >ORGLA02G0275800.1 pep chromosome:AGI1.1:2:24938835:24942654:1 gene:ORGLA02G0275800 transcript:ORGLA02G0275800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein [Source:Projected from Arabidopsis thaliana (AT1G27520) TAIR;Acc:AT1G27520] MRSPPRPRRPVPARLVAAAVLLSALAGGAAAGAAIAGDGYGRGRRLYMRNKVLEMFYHAYDNYMTYAFPHDELKPLTKSFTDSLSELGNLNLEHLPNDYNGSALTLVESLSSLVVLGNLTEFERGVLWLSENLTFDVDARINLFECNIRLLGGLISGHILAKEHISHLKDGPYQDQLLHLAENLGSRFLPAFETPTGLPYAWINLKPCNYFFQYGVMENETTETSTSGCGSLILEMGALSRLTGDSRYEAAALRALRKLWSMRSSLNLVGTTLDVLTGKWIEYSSGIGAGVDSFYEYLIKAYVLFGSEEYWDMFHSAYLAVQKYFRHGPWYHEADMRTGEATHWQLTSLQAFWPGLQTLLGDVAAANISHREFYNVWQRFGVLPERYLLDFGMLHPTEKYYPLRPEFAESTFYLYQATKGDYRLFFIVQI >ORGLA02G0275700.1 pep chromosome:AGI1.1:2:24934836:24936185:1 gene:ORGLA02G0275700 transcript:ORGLA02G0275700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1P451] XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLVPGQPLPGQPLPGQSLPGQPLPGQSLPGQPLVGEKPPGQPLGGDKLSPDYYAQTCPRAERIVAEVVQSKQMANPTTAAGVLRLFFHDCFVSGCDASVLVAATAFEKSEQSAEINHSLPGDAFDAVVRAKLALELECPEVVSCADILALAARVLITMTGGPRYPISFGRKDSLTSSPTAPDKEMPQSNFTMDQVIKLFQDKGFTVQEMVALSGGHTLGFSHCKEFAQRIYDYQGKPGNVDPTMNPVLSKGLQTACKEYLKDPTIAAFNDVMTPGKFDNMYFVNLERGLGLLATDEEMWSDKRTQPFVKLYASNPTAFFDDFSRAIDKLSLFGVKTGAAGEIRRRCDTYNHGPMPK >ORGLA02G0275600.1 pep chromosome:AGI1.1:2:24932332:24933334:1 gene:ORGLA02G0275600 transcript:ORGLA02G0275600.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEDSVKTGKVTSAGMFFLGFPVYRFEQNNSVAAAKDPDSAFFKRLDGFQPCEVNELKAGTHFFAVYGDNFFKSATYNIEVVCAEPFSTEKEKLRCVEAKILAKRSELSKFESEYREVLAKFTEMTSRYTQEMQTIDELLKERNAIHASYTNNTTLQRSSSSNKGKTSSKESKSDDDQTVKKEKKSKSKSMEGSRSDDDGPRKEKKPKERLRRKKWFNIHLKVDKRRPC >ORGLA02G0275500.1 pep chromosome:AGI1.1:2:24924451:24925674:1 gene:ORGLA02G0275500 transcript:ORGLA02G0275500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGAMSLASQPSLRSLPSLDVHDLNTSPSLHQCIATIKGHSSASAYVSALAVDGDSLYIASSDGSIRLWALDGASRSQEEQQQDDGCSSSSSSTTVADTDSSVKSLLATGNGGLLLSSHQDGKIRAWRAGSRRRDGETRPQLVLRAVLPTAVDRLRTCLLPWSYVEIRRHRRCTWVHHVDAVTALAVSPDGALLYSASWDRSIKVWRLPGFRCVESIAAAHDDAINALAVSPDGRVYTGSADKKIKAWTRGPGQRKHALVGTMERHRSAVNALALGANGKVLYSGACDRSVVVWESAGGGDGGMEATGTLRGHARAILCLAAAGELVCSGSADRTVRVWRRGGAENNGYTCLAVMESHGAAVKSLALVRGGRDDDGSCSSEGSSALVCSGALDGDVKIWSVFIPCL >ORGLA02G0275400.1 pep chromosome:AGI1.1:2:24918294:24919412:-1 gene:ORGLA02G0275400 transcript:ORGLA02G0275400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTSPRLALLVVVAAAAFVFVSPAMAFPMGLPATASPFPNPWSAFQNLSGCHAGEEREGLGRLKDYLSHFGYLPPPPSSSPYSDAFDDSLETAIAAYQRNFGLNATGELDTDTVDQMVAPRCGVADVINGTSTMDRNSSAAALRGRHLYSYFPGGPMWPPFRRNLRYAITATSATSIDRATLSAVFARAFSRWAAATRLQFTEVSSASNADITIGFYSGDHGDGEAFDGPLGTLAHAFSPTDGRFHLDAAEAWVASGDVSTSSSFGTAVDLESVAVHEIGHLLGLGHSSVPDSIMYPTIRTGTRKVDLESDDVLGIQSLYGTNPNFKGVTPTSPSTSSREMDGSAAAAGIRPWSGFVGLVVPAVVLLLAP >ORGLA02G0275300.1 pep chromosome:AGI1.1:2:24915066:24915344:-1 gene:ORGLA02G0275300 transcript:ORGLA02G0275300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSFGTSWADQWDYGGDPSPRAAARRDGHGGGKKQGGVEKTKAAAATGLRKVKEGTAHGFQWIKDKCQKKNAGGGKKQQADEESGIAGY >ORGLA02G0275200.1 pep chromosome:AGI1.1:2:24912241:24913556:1 gene:ORGLA02G0275200 transcript:ORGLA02G0275200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVCLACSHGYVPRFSSATLQKETRPVFPLQSSVPNIFYGTDTSRQQLQFLTTTPSPSSLPSSLPGLLGKSPVRPALCNDALLSRFLSTEKDENTVTEIGEKARSTAEQFLKVAKEKTDEVSEKTKETMHETKEAMVGESDDEKEKFKQRVEEGSLQEFNWPSSNQKGIGKRRKGSDGDDLWL >ORGLA02G0275100.1 pep chromosome:AGI1.1:2:24910932:24911090:1 gene:ORGLA02G0275100 transcript:ORGLA02G0275100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALAAHARAHCCSALCRPRINGETRTYDVTMRGTLTCVRPYAAFMEDWAFGHGA >ORGLA02G0275000.1 pep chromosome:AGI1.1:2:24906492:24907937:-1 gene:ORGLA02G0275000 transcript:ORGLA02G0275000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLKVTILVVAFVLSAGVHISAAAAAAGQREEVHLVPAVYVFGDSTVDVGNNQYLPGNSPLQLPYGIDFPHSRPTGRFSNGYNVADFIAKLVGFKRSPPAYLSLTPQTSRQLMRGYRGANYASGGSGILDTTGTTVVTLTKQIVYFAATKSKMKSNGGGDGNSSSASASAIDDLLSKSLFLISDGGNDLFAFLRQSNRTASQVPSFYADLLSNYTRHVQALYSLGARRFGIIDVPPIGCVPSVRVTSPAGATRCVDAANDLARGFNSGLRSAMARLAGSGALPGMRYSVGSSYNVVSYLTANPAAAGFKVVNSACCGGGRLNAQVGCGAPNSTYCGNRNGYLFWDGVHGTQATSRKGAAAIYSAPPQMGFASPINFKQLVSS >ORGLA02G0274900.1 pep chromosome:AGI1.1:2:24905156:24905720:-1 gene:ORGLA02G0274900 transcript:ORGLA02G0274900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRRRLPLARLLRSLQAEAAASTTSSPPPLQNRPAAASPSHALGSRLGFLNGVPGAPGAREASAFPTAGFLAAGAAAALASLPVAYADANEGVVDSAVSSDAAVKPVNPDAAVSSDVAVGEDLAHKERKRIMELIQSRGMPHGSYPQFDVAVKGQK >ORGLA02G0274800.1 pep chromosome:AGI1.1:2:24899538:24904558:-1 gene:ORGLA02G0274800 transcript:ORGLA02G0274800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G04180) TAIR;Acc:AT4G04180] VVVKFNVPSTCSLSDLIVDLVTHIGLEAEQGGGGSEMLLHAWNSVAARQITLNPHKKTTSNGDDNEDDLCVLIFEPLVGSQYSVSSYEVEFIKRGEFSLRELEALTSVLKLVGQKDVKQSSGKGNKSYTTRKGNGQRSKHVPSMEKTISDLEGMGVRVYGFDETSSIPMDGSGTVMWENIAGYEPQKREIEDTILLALQSPEVYDEIARATRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFASARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFDLPDQQTRAEISAQYAKHLTKSELFQFSLATEEMSGRDIRDICQQAERHWASKLIRGQVPKNDKGEPSLPPVEEYVACSEQRRRSLPNRTRQESRLPALKLA >ORGLA02G0274700.1 pep chromosome:AGI1.1:2:24878099:24881673:-1 gene:ORGLA02G0274700 transcript:ORGLA02G0274700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGRARGGEPLKLRVNRCLLKLSDRDTEAMAAAELEAIARALAADELGAFVSAVSDARPTDKTPLRRHALRALALVAAAHPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXALRPLTDALLHEQDQCAQTAAALATAAAVEASAPTADLASYIHKLQPRLLKLLRSNAFKAKPALISLIGVSASAAGAAEVTASVPCLRDTIASDDWAARKAAAEALAALALEHKDLLVSYKSSCITMFEARRFDKVKIVRDSMNRMIEAWKEIPDVEEDECSSGAPPASHSQRRSSLAVGHVLDSIGSASDGRYPVASSTRRNSLPASRSPPPDASPSVNKRHSSSSARNKKQSPPSYRKAGQAKNRDYKVDIAVTPDATPIKVVTEEKLLKGGNVRSRLEARRMLFQDGDDRATKVAGLKAGSRVVPYEEGGNMEEISEIGGGSERFQTGYKDEGLSEIRSQLLQIENQQSSLLDLIQKFMGKSENGMNSLETRVHGLEMALDEISRDLAASSGRTSNSEAHVNSCCILNPKFWRRHDASRYSSSFSVSDGRNSSEGSRTSYKWGRQKFGVQGGFVTNPLAEPNISSAARTATAQEGRRKDLTLPKSRMG >ORGLA02G0274600.1 pep chromosome:AGI1.1:2:24871740:24874949:-1 gene:ORGLA02G0274600 transcript:ORGLA02G0274600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVMAAVPSSSPADAAEAVVMTEAVPSLPQRQQPVFVEGRGGKLRDHAYSRKQKSLGLLCSNFVALYNRDDVESIGLDDAARRLGVERRRIYDIVNVLESVGILVRKAKNRYSWIGFGGVPMALRELKERALREKSGLAPLPVEEPSAAIMSDDEDEDKMGDADGDTESEKLSQPVDNPSDNKPGAPRCRLRSDHRKEKSLGLLTQNFVKLFLTMEVDTISLDEAAKLLLGEGHAENSMRTKVRRLYDIANVLSSLNFIDKIQQADSRKPAFRWLGSAGKPKAENGVTIAVPPPGKTISNKRAFGTELTNIDINRSRLDSTIPKKAKLTQSGGEILKNCKLSVQKQLGQGSKGGFVYGPFHPAGARKQELDNGNKGHTDNVQNWESLAASFRPQYQNQALGDLFAHYMEAWKSWYSEFAQGSSMMQQHFGMPVINQFL >ORGLA02G0274500.1 pep chromosome:AGI1.1:2:24866205:24869898:-1 gene:ORGLA02G0274500 transcript:ORGLA02G0274500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1P439] MAAAILLRRVPPARAQATALIAARSISDSTAPLTIETSVPFTSHIVDPPSRDVTTTPAELLTFFRDMSVMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRSDSIITAYRDHCTYLARGGDLVSAFAELMGRQAGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYRKEETATFALYGDGAANQGQLFEALNISALWKLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHAIANGPIVLEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLILAHDLATAADLKDMEKEIRKEVDDAIAKAKESPMPDTSELFTNVYVKGFGVESFGADRKELRATLP >ORGLA02G0274400.1 pep chromosome:AGI1.1:2:24858657:24858878:1 gene:ORGLA02G0274400 transcript:ORGLA02G0274400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCVYGMQSRIWKESKFGTIGYVKFLSCTRGFPKVFSTLSMSLVRGFRLPTSCINRGGA >ORGLA02G0274300.1 pep chromosome:AGI1.1:2:24847159:24848280:-1 gene:ORGLA02G0274300 transcript:ORGLA02G0274300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1P437] MGGNPAMRAGLALVVVVVAVVVGDVGAALPRFAEAPEYRNGEGCPAAVAGAGVCDPGLVHIAMTLDAHYLRGSMAAIYSLLKHASCPESIFFHFLAAADGGEGGGGGVGELRTAVAASFPSLRFEIYPFRADAVTGLISASVRAALEAPLNYARNYLADLLPKCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTETFWSDPQLGDRVFAGRRRAPCYFNTGVMVIDLRRWRVGNYRRRIEVWMELQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVLGSCRPLHKGPVSLMHWSGKGKPWDRLDAGKPCPLDHTWKSYDLYIAEGDSSSASAPFALSSSALPAAAFSW >ORGLA02G0274200.1 pep chromosome:AGI1.1:2:24842319:24845683:1 gene:ORGLA02G0274200 transcript:ORGLA02G0274200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPVNRSPKATHLSIILVGKFGPSNPNPICGWASGSFCLELVGPDHGNELLPVSRTRPVLQREVCICDNSINLGDKQGQNTRFFSDYLTGLLEWINCCTLQKIWGDVNHLACDVKVFAVLGACGVKGTSMHYSSAGIFGNINSKMASIF >ORGLA02G0274100.1 pep chromosome:AGI1.1:2:24835010:24835294:-1 gene:ORGLA02G0274100 transcript:ORGLA02G0274100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLPFPSHTAAEAAPARFPPRLRSAPLLSFLHSNNASSLPFPSILFSSHPTTHPKYPTMSRPPSSGARPSKQPTPNPARSNVRHATRRSPAQR >ORGLA02G0274000.1 pep chromosome:AGI1.1:2:24830939:24834934:-1 gene:ORGLA02G0274000 transcript:ORGLA02G0274000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:I1P434] MALAPSPSPTPLPLFLLLLLLLVGVAPLAAAQGQNIQTRFPSTRTPAFATPPPITSPSPSPGTPTATPSSSPPSSSGKRSDIAVAVVSTALSSFAVSGLAFFLFLRHGKKRELTEAGGAGQHYGGAQGGALTGKRPEREPKRPARGNMVDENGLDAIYWREFEKEGDGGRGRKPPASRRPPQPPPPRPYRAERRQDAHESSAPSPPRSRKNRIDQEPLIPRGSLDSASAEFDESLYAPSAGSTSSFSVAAAEAYARPPSTPAITAVSSVPRPSPSPAPAPAARPASPSPSLPLPPGRESPSRPQSIAAAAVASPAPPPPPPPKPAAAAPPPPPPPKAAPPPPPPKGPPPPPPAKGPPPPPPPKGPSPPPPPPPGGKKGGPPPPPPKGGSSRPPAAPGVPTGSADQQAKLKPLHWDKVNVAATDHSMVWDNITGGSFNLDEGIIEALFGTAAVNRKTKPADSKDASGGSTSAGLGRSNSPEQIFLLEPRKSHNISIILRSLTVGREEIIDALLNGHTELSTEVLEKLSRLNISKEEENTLLKFSGNPDRLAPAEFFLLRLLLDVPSPFARVNALLFKANYAAEVAQLKQSLRTLEMASQELRTKGLFFKLLEAVLKAGNRMNAGTARGNAQAFNLTALRKLSDVKSTDGSTTLLHFVIEEVVRSEGKRLAINRNYSLRRSGSLAKSTDGGNPAASSTSQGPSREERQNEYLNLGLPIVGGLSTEFANVKKAALVDYDTVVNECAILGNRLAGTKKLLETYGDDGFARGLRGFVKAAEQELNELKGNQEKVLELVQRTTEYYHTGATKDKNSHPLQLFIIVRDFLGMVDQACVDIKRKLQQQKKPTPPPSSSQPAAPAATTKGAADDAPAPAQKPPEEVDSKRKRVMPRFPNLPAHFMKDNADSDSSSDEE >ORGLA02G0273900.1 pep chromosome:AGI1.1:2:24821505:24830603:1 gene:ORGLA02G0273900 transcript:ORGLA02G0273900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLAPHFLLFLPLSSASRHALPHTPPAPLLLPSHPAQAQAQARAGPLRLPSARSPSRAAAPVSDDDEDEEDDEEIDIRDDADVDEEYEDDDDEELDEESGGEEEEEEEGDDGVEELEEEEGGREGTAARRRRSEEYKSQRVGKLVAEVREFGEDIIDYNELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMAASEGRLFQVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSHKRPVPLTWHFSKKFALVPLLDGKGKKMNRKLRMSHFQNLSSPKSEFYYVKGKRKLRTTKNEQGNRSPLDISKQVQLSKHELTNMRRSQVPLIRDTLSQLWENDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDCEASEVELELKRFRMQYPDAIRESAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTSVISSLSKRIDAGRQLLTPNELFQMAGRAGRRGIDTVGHSVLVQTTYEGPEECCDVIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNQKESDDIKVKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEELERIQSEIQYLSSEITDESIDRKCREELSEEDYAEISLLQKKLKEEKQMRNELKKKMELERMVAWKTRLEEFESGHLPFMCLQYKDKDSVQHTIPAVFIGSLSSFADQKIVSLVENDSPVAGKQKVDNEGQQYYPSYYVALSSDNSWYLFTEKWIKAVYKTGLPAVPSAEGGPLPRETLKQLLLREDMMWDKIAKSEYGSLLCMDGSLDTWSWSLNVPVLNSLSEDDEVERFSQEHQDAVECHKQQRKKVSQLKKTIRSTKGFKEFQNIIDMRNFTKEKIERLEARSRRLTRRIRQIEPTGWKEFLQISKVIQEARALDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLLDLKPSQLAAICGSLVSEGIKLRPWKNSSYVYEPSSVVTGVINYLEEQRNSLVDLQEKHSVKIPCEIDAQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTIDLLAQIPKLPDIDPVLQKNAQIACNIMDRVPISELAG >ORGLA02G0273800.1 pep chromosome:AGI1.1:2:24810764:24819798:-1 gene:ORGLA02G0273800 transcript:ORGLA02G0273800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAMEAMDELVQLAESMRQAASLLADDDPSDEASPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASALRHSLQDRLSKGASGGSGKGRNDEIYLKLRTSTAPPIKLVDLPGIDQRVMDDSTISEYAGHNDAILIVVIPAMQAADVASSRALRLAKDIDPDGTRTIGVLSKIDQASADAKTVSCVQAILSNKGAPRAAAEIEWVALIGQSVSIASAQAGSVGSENSLETAWQAEAETLKSILTGAPRSKLGRIALVDTIAKQIRKRMKIRLPNLLSGLQGKSQIVQNELARLGEQMVSSAEGTRAVALELCREFEDKFLAHVTSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLKSLIKGVLEMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVVEIATNALDVFKSDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDEVKNRSSKKAQDAEQSNKGSGTGSEQSGGALKSLKEKFSQQDKDKDAKEGPNLQVAGPGGEITAGYLLKKSAKNNEWSKRWFVLNEKSGKLGYTKKQEERHFRGVIVLEECNLEEIEEEELSKSLKDSKKANGAEKGPSLVFKITNRVAYKTVLKSHSAVILKAETIADKVEWMKKIRGVIQSKGGSVKGLNTPEGGSMRQSHSDGSLDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSKISAQTNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRASVASYTNDSSGAESSPRTSGQSGEDWRSAFDSASNGSVDRSSSHNETRSRSADSRGKRYENGDVNGGNSGSRRTPNRLPPAPPGQKY >ORGLA02G0273700.1 pep chromosome:AGI1.1:2:24798101:24801175:1 gene:ORGLA02G0273700 transcript:ORGLA02G0273700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:I1P431] MRAAVQGRAHAWTTTTTTPPGAMRGRALVLVAALLLQLLLLAAAGGAGAAATERKAHNYEDALRKSLLYFEAQRSGRLPHNQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSMIEYGDDVEAAGELGHALEAIKWGTDYFIKAHTKPNELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRERPGSDVAGETAAAMAAASIVFRKSNPHYASLLLHHAQQLFEFADKYRGKYDSSIAEVKSYYASVSGYKDELLWAALWLHRATGKAHYLDYVVDNADCFGGTGWAITEFSWDVKYAGVQILAARLLLRGEHEERHRSTLEQYRAKAEHYVCGCLGRNADGGADANVERSPGGMLYVRQWNNMQYVTNAAFLLAAYADYLGDDADGAVSCAGGETAGAGEVAALARAQVDYVLGTNPRGISYLVGYGAKYPNRVHHRAASIVPYKHSKEFIGCTQGFDHWFGRRSSNPNVLVGAIVGGPDRRDRFRDNRENYMQTEACTYNTAPMVGMFAKLNRMARQEREQEEVAAPARSTAADV >ORGLA02G0273600.1 pep chromosome:AGI1.1:2:24782170:24787679:1 gene:ORGLA02G0273600 transcript:ORGLA02G0273600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPEARSGWRNAAAAAWVLVAVACAAYMHWHLLRETMDRAEERLVSMCEERARMLQEQFGVTVNHVHALAILISTFHFEKFPSAIDQDTFAKYTARTSFERPLLNGVAYAQRIFHHEREMFENQQGWIMKTMKRQAAPPQDEYAPVIFSQDTVSYLARIDMMSGEEDRENILRARATGKAVLTNPFRLLGSNHLGVVLTFAVYRPGLAADASVEERVEATAGCLVVVVSSNQIPTNRYLGGAFDVESLVENLLSKLAGNQDIVVNVYDVTNASEPMAMYGPQSPDGKVSLFHVSTLDFGDPFRAHEMRCRYRQKPPLPWSAITNPLGTFVIWMLVGYIICAAWSRYDKVSEDCRKMEELKTQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLGTDLSMTQKDYAQTAQMCGRALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKCIELAVFVCDDVPKVVIGDPWRYRQILTNLVGNAVKFTERGHVFVRVCLAENSKVEANQVLNGTMNGKDGKVETTANGAFNTLSGFQAADERNNWDYFKLLLSDKEPHMDELECDRSYQNDCDCVTLMISIEDTGVGIPLHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPFVGSTFTFSAVLKRSCKDTSSDSKRSLSEALPTAFKGMKAILVDGRPVRGAVTRYHLNRLGIVAKVVNNLSMGLQTLAGQNGVKESREKLSMLFIENDIWRPETDILLLNRLHELKNNGQVHELPKLVLLVTSEADKDRYGSAFDIVMYKPIRASTIASCLQQLLKVVMPERKDNQNRPSFLRSLLIGKNILIVDDNKVNLRVAAAALKKYGAKVHCVESGKDAVSLLQQPHCFDACFMDVQMPEMDGFEATRQIRQMEVKANEERKALDLMEGSTFVESHLPVLAMTADVIQATYEECIKSGMDGYVSKPFDEEQLYQAVSRLVVGTKESAV >ORGLA02G0273500.1 pep chromosome:AGI1.1:2:24772313:24772778:1 gene:ORGLA02G0273500 transcript:ORGLA02G0273500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTAGAGAAEYTHPTPGSSRSFPPPEAEAAVEATTGAAARRGNSGDVGHAPRRRRPAAWSELVRLAAAAEVPPAGVVRGRHARRVPQPSRRQTGTHGQRHARRPPPGRRTAARRGSRRSA >ORGLA02G0273400.1 pep chromosome:AGI1.1:2:24764526:24765896:-1 gene:ORGLA02G0273400 transcript:ORGLA02G0273400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1P428] MVTPMTRARARARAVRLAVSEIPLAVRRSARQQQQPPEPAADVPDHFLCPISLDMMRDPVTAPTGITYDRDGVEVWLERGRPTCPVTGRPLRPEELVPNHATRRMIQEWCVANRALGVERVPTPRVPVSAADAREILEGVAAAARRGDAAACGRMVARARALGKESERNRRCLASAGAERALALAFSRLAAASTDQQAEARACALEEILAALVVFFPLDEESRRCIASPPSLDALVSILSHGEQVTRVSAVVVLREIASSCDNQCLEAMSKANAMYDALVNLVAKPVSPQATKAALVTAYYLVKNDIEHAASRLVDLGTVELLVELLADADKGTTEKALAVLDTVLVAAKARDRAYAHALAVPVLAKKTMHVSDMATEFAVSALWRLCKNSPADGGCKAEALQVGAFQKLLLLLQLGCDGVTKERASELLRLLNASRDSTECIETADFKGLKRPFI >ORGLA02G0273300.1 pep chromosome:AGI1.1:2:24757651:24760889:1 gene:ORGLA02G0273300 transcript:ORGLA02G0273300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVAVAMFFVPMVMSASWSPAMARPYADQASGAGGSGNIALPPPPSPHWTGGHRLPPLEQKFSLCNHVPQVPNLYKFYMKNAIVFIEIEDKKSKKLITASPLARTRSALLRSPDLLALAATYGLTPALLTFGHLSCWASLGPXGIFRAGLTQARPNGRAVPCRPACLNLRPRHGPTTGRAGPAHSRAVFGVLSLVLGHRALGRPKKARPKSQLYTHVASNNRSIAPPWINIT >ORGLA02G0273200.1 pep chromosome:AGI1.1:2:24748596:24749415:1 gene:ORGLA02G0273200 transcript:ORGLA02G0273200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKRFVLPLMMVVLLLSAVSGSARPMGGDKWVGMVTSGDHPLIQFLQNLYLQQLAQPEMYGLFALTNLASTGIDGLGSDGKAAMHVHRNVYINCIDGQKYLTYGTPESQADCIITLFFPSLFCELRRLSLRMCIKRTGRLTLT >ORGLA02G0273100.1 pep chromosome:AGI1.1:2:24747269:24747547:1 gene:ORGLA02G0273100 transcript:ORGLA02G0273100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDREGDGDLGEECEGGEERPRRQQQRGAPVEEVAPQRAEDGVEEARRDHPRRRPDLADAVPSSSTSAAGDDDDDPFLLAGFISMLLDFS >ORGLA02G0273000.1 pep chromosome:AGI1.1:2:24744105:24744344:1 gene:ORGLA02G0273000 transcript:ORGLA02G0273000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAAQAPVWHGVWMVEDAVAGDEFPAWHGYGRRRMQPPGQAPAWCGAWIAKDVVWGVAAEAATTSNKPSVGRGSEGRGC >ORGLA02G0272900.1 pep chromosome:AGI1.1:2:24743296:24743463:1 gene:ORGLA02G0272900 transcript:ORGLA02G0272900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKRFVLPLMMAVLLVLVVSGSARQLGGDKRVGVATSGDHPVIQFLKHLYLHS >ORGLA02G0272800.1 pep chromosome:AGI1.1:2:24740635:24740865:1 gene:ORGLA02G0272800 transcript:ORGLA02G0272800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLIRKLVLSLIVMVMVFLVVSGTAAARPLAGQEWAGEDTAGDDSVVVRFLRQLYLHKLAGPGHSCKTYSPNGGC >ORGLA02G0272700.1 pep chromosome:AGI1.1:2:24734666:24735202:1 gene:ORGLA02G0272700 transcript:ORGLA02G0272700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLIRKLVLSLIVMVMVFLVVSGTAAARPLVGQEWAGEDTAGDDSVVVRFLRQLYLHKLAGPGIEIFLLKRSCGVEISMDNPAAVYRKAYIK >ORGLA02G0272600.1 pep chromosome:AGI1.1:2:24721938:24722228:1 gene:ORGLA02G0272600 transcript:ORGLA02G0272600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSARLRLNFFGGGFRRWKLASSHRPCGAMAVAHGRGKSSTGPSDLRLNRSRRRLSAALAIKFIIFSSSSCTVGRRKHLQEQCVWPCVEVGENRIQ >ORGLA02G0272500.1 pep chromosome:AGI1.1:2:24721118:24721747:-1 gene:ORGLA02G0272500 transcript:ORGLA02G0272500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGGVGGGGKKPPPRGVHPRYVPKRGSVLKGIVRRMLGLFVFLLPQQGGGGAANGGGRVRPAAPPVDDGGEQGKSADDFYRNTQFFIFRCCEQTNNRTLCLNKGKWGNFCHHNYH >ORGLA02G0272400.1 pep chromosome:AGI1.1:2:24715363:24720361:1 gene:ORGLA02G0272400 transcript:ORGLA02G0272400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G33390) TAIR;Acc:AT1G33390] MEDSNALILPCKRKNKGQGKDKDGKKIKEDPKMSKSKLKKLQKLEEEKQKKLLLAQSIEILRKHKISDDAYSLLHASGTIGQAETLKEKRRRAVQFSKAGLNVPEELSLLKKDGDQKASENSEAAEEDYLDKIVDSAKNEDPQRKCNNHINNVAMKPVECKLVIDVGLSDQEPKTEGSDGVPNISANQAIQSCVPSCSGEEILQDKEPGQEERTVQECFNPPIVVPVSRPHEVEKTRRDLPIIMMEQEIMEAIYENSVVILCGETGCGKTTQVPQFLYEAGFGTSNRSDRKGIIGITQPRRVAVLATARRVSYELGLKLGKEVGFQVRHDKMVGSKCSIKFMTDGILLREVQSDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKIRKSLYIEQQEKIRCGLSINPEDKISQLKVVLMSATLQLKDFISNRRLFDVIPPAIKVPVRQFPVTVHFSKSTHDDYLGQAYKKVMSIHKKLPQGGILVFVTGQREVDYLCKKLQRASKQQTDKKTEKVEGDENGSSQEVVEREISEAYDIDRDESEHQDDMFSQYDEDESNAGPSVDSSDIEMEPEMDTDSEDDDSVVYETTEEDGPVLAFLKGAEGSSGLKASFKAISRVSGEPESTDVPSNATILEESSHVPCTSKCTEPRSVSHGKLRVLPLYAMLPASQQLRVFQDIPDGERLVVVATNVAETSLTIPGIKYVVDTGKQKVKNYNHATGTASYEIQWISKASASQRSGRAGRTGPGHCYRLYSAAAYGKDELFPEFSEPEIKNIPVDGVVLMLKFMNINKVENFPFPTPPDKESLVEAERCLKVLEALDSKGEPTSMGKAMAQYPMSPRHSRLLLTIVKILNSQRCFSRPNFILGYAAAAASALSFTNPFLTQNEFSGESKQDNPDSEDKDRQERKRQKKLKAMVREAHTKFSNPSSDALSISRALQLFELSENPVEFCRVNSLHLKTMEEMSKLRKQLLRLIFHHSKFCEEFSWKFGVSEDVEEAWRHESDKKPMQLNEEELLGQGICAGWADRVAKRIRAFPGPSKDDKKVQAVHYQSCAFNDTIYLHRSSSVARIAPEFVVYSELLHTKRSYMHGVTSVKPGWILKYASSLCTFSAPLEDPKPYYEPQKDQVYCYVSPIFSRHNWQLPLHSLPIQDGTNRLQVFACALLKGDVLPCLKVIQKFLALSPSVLLGPVSQRRVGDLLDRMKIGSKLIDSRAALRDVWNFNPDFLYPEIKAWIQDKFHSQFGAIWEQMHKEVVLEGDELFAKRYKKVKGNQF >ORGLA02G0272300.1 pep chromosome:AGI1.1:2:24709562:24714838:-1 gene:ORGLA02G0272300 transcript:ORGLA02G0272300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52640) TAIR;Acc:AT3G52640] MGGGSTAPLLAAFACVFLAVFPPVASGDAATLESVPDLVKAMYINVESFPCVRLLNHSGQVGCSNPGHDKVIAPIVRFGNRNDQLVQPSAVLLPLNQMTDFFLRVSNDPELYRKIAGVLVEANGVDNMLEFSPDRKFPQQAFAPYSNLSHHWNPTGSGIMWNKYDFPVFLLSEESTQTLQNLADKNEKSANGYLANVAEFDLVMQTTKAGTHDSESCLREQSCLPLGGQSVWTSLPPISNSSTKHQKPIIMVTASQDSVSFFRDRSLGADSPISGLIALLTAVDALSHLHDISNLKKQLVFAVFNGEAWGYLGSRKFLQELDQGADSVNGISSLLIDQVLEIGSVGKAISQGYPLFYAHAAGNSSISMKMVDALQSASESLGSDNVKVKPAASSNPGVPPSSLMSFLGKNSSTPGLVLEDFDSQFSNRFYHSTLDGPANVNSSSIAAAAALIARSLYILASADLPIDLITLNTIKVNVSLVEELIGCLLKCDPGLSCGIVKSFISPSNSCPSHYVGVFQDLPAGTQFPSYADDISRFIWNFLADRTSSLAGNSSSCTGQCHDEGEICVGAEVEGGGRCVVSTTRYVPAYSTRLKFEDNVWHVLPVNSSDPFSAADPVWTESFWNTIGLRVYAVQATSYDWLVLLIGIIITAASYFAVIVGRSYISKIIKRD >ORGLA02G0272200.1 pep chromosome:AGI1.1:2:24704254:24707651:-1 gene:ORGLA02G0272200 transcript:ORGLA02G0272200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidine 1 [Source:Projected from Arabidopsis thaliana (AT3G17810) TAIR;Acc:AT3G17810] MESLTLRASPSTAAPLRRVPGRRHAAVSVRASAGAGEPDLSVRVNGLKMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAEKVINVTPRYARLRADPNGSTKSPIIGWQNIELISDRPLETMLNEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCDLLEEVCGWINEKATVPVWAKMTPNITDITKPARISLKSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMQIARMMKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMMHGYGLVKKLCAELQDFMRQHNFSSIEDFRGASLPYFTTHTDLVHRQREAINQRKAIRKGLESDKDWTGDGFVKETESMVSN >ORGLA02G0272100.1 pep chromosome:AGI1.1:2:24695846:24702473:-1 gene:ORGLA02G0272100 transcript:ORGLA02G0272100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAEKAVRCLGRGFDMAGDLRLKYCKGGGAGCLVERRDETTPLTVPGVGVIADVPADVRCDKGDRVRFKSDVLEFNKMSELFNQRSSVEGKIPSGQFNASFDLDSGSWAHDAPHTRCLAMDGYFISLFDLRLDHRHLALAAGVLADVPPAWDPSAIARFIEKYGTHVIVGLSMGGQDVVYVKQDKSSSLSPSEIKEHLDRLGDQLFTGTCAMPPLHCRSKDKFKIPEAFNVFDAQVAQQRLHGITTLVSSKEGVTVIYSKRGGNTTVSSHSEWLLTVPAMPDVINVKLVPITSLIRGVPGTGFLSHAINLYLRYKPPVADLRYFLDFQHHCVWAPVLGELPLGPCSHRQGSSPALHFSLLGSKLYVSSTEVVVPKLPVTGMRLHLEGKKNNRLGIHLQHLSTTPTFVAAARADKPPVWRGTEAVTDDRYYEPVQWRMLARVCTAPVKYDPRWCAGDRRRRPAACVVAGAQLHVVAHDAANNVLHLRLLYSQLPGYAVVQSKWARGAARPPSGRSSSFLSIPFSGSPSTSGGAAEKGGRPEQGASPVGVANVNSGVFAGGPPVPVGAQKLLKFVDTSQVTMGPQDSPGYWLVTGARLDVDKGKIMLHVKFSLLAQVS >ORGLA02G0272000.1 pep chromosome:AGI1.1:2:24691234:24695310:1 gene:ORGLA02G0272000 transcript:ORGLA02G0272000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 1 [Source:Projected from Arabidopsis thaliana (AT1G14790) TAIR;Acc:AT1G14790] VQAAPKIYEQTPRRSGVMYEDPLFNYFRDHTDDQWTRTTDFTSSSSIGQSYILCLEVPRRCDLPNIRDHFFYYHEYNHDFECRSGGYPYSSDTRFVPIVKSRGYVPYEILFKINHLVQNGTLSGPTVDDSFFRLVSPAFVPIDHIKRALEMMSYLKKTCLNPTSWLSEQYSKFRRSRYVQPSPNISLDDGLVYVYRVQVTPAKVYFYGPEINVSNRVVRNFSSDIENFLRISFVDEDCEKLRATDLSPRSASGHDANRTALYKRVLSVLSDGITIGGKNFEFLAFSSSQLRDNSAWMFASRQGLAASDIRTWMGDFRNIRNVAKYAARLGQSFSSSTETLKVQKYEVEEISDIKNGTQYGGYKGVVAVDPTSRWKLSLRKSMLKFQSDNITVDVLAYSKYQPGFLNRQLITLLSTLGVRDSVFEQKQEEAVNQLNKMVTDPQAAIEAIELMPMGEITNAVKELLLCGYQPDDEPYLSMLLQTFRASKLLELKTKSRILIPKGRAMMGCLDETRTLKYGQVFIRATSGVNDNDRFTVTGKVVIAKNPCLHPGDIRILHAVDVPVLHHMVNCVVFPQQGPRPHPNECSGSDLDGDIYFVSWDPSLIPPRMVTPMDYTPAPTETLDHDVTIEEVEEYFTNYIVNESLGMIANAHVVFADKEDLKAESSPCIELAKLFSIAVDFPKTGVPALIPPELHVKEYPDFMEKLDKVTYESKGVIGKLYREIKKHTPHIKHFTREVARRSYDTDMIVDGYEDYITEAMALKDEYDFKLGNLMDHYGIKSEAEIISGCILKMAKNFTKKSDADAIRLAVRSLRKEARSRFSEMSLDDNGHGHDASEAKASAWYHVTYHPEFWGCYNEGYERPHFISFPWCIYEKLLRIKQRRKFVRKMQPELFSLHNLRI >ORGLA02G0271900.1 pep chromosome:AGI1.1:2:24689889:24690422:1 gene:ORGLA02G0271900 transcript:ORGLA02G0271900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKTLQVSGFALDDSADYVKDLLERIVGCGNVYAVKLRHPKNVTATSRAYAIVQFQTEEHASLVKNAAQRKILRRGHYYLKVHPSDRDIVPRPRVSMFKLEDVTLHFGCLLKETILSALWSRTGVSVEFGFNLKKIYFYLQLPNSSIEYKLELSYESIWEIQLQRPPKSQTKFLLIQ >ORGLA02G0271800.1 pep chromosome:AGI1.1:2:24680778:24685648:1 gene:ORGLA02G0271800 transcript:ORGLA02G0271800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGEEGSASALRGSVRRRGAVQPAGLDADELLTLMHGSDPVKVELNRLENEVRDKDRELGDAHAEIKALRLSERAREKAVEELTAEYEKLDEKLKLTESLLESKNLELKKTNDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKQKEAALLEAERTVEIALAKAAMVDDMQNKNQELMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKILDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRPTEGKSIGNGPSRRLSLGGADNMSKISPNGMLARRSPSFNSRSSLSTSSSLVIKHAKGTSRSFDGGTRSLDRGKVLGNGPHLLNRSTDAVRDCETTDDWKAANTEEKGSEATNSSSTDTVSGVLYDMLQKEVISLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRLDKDQENKAKRPGNFKGPGTTSQAPHGSRNAPRGGLTRNLQ >ORGLA02G0271700.1 pep chromosome:AGI1.1:2:24668461:24668871:-1 gene:ORGLA02G0271700 transcript:ORGLA02G0271700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAKAAVVAEEEEEATVGAQPPLPARSPGKGTTLLDVYEVEWITRELERLLLRESGCRGGGGGGRAGGDGPRRRKGTTTKAAAGSYTRPATDKGGFLTELLGRHAVSVCGDTAAVVSGGRARRGRGSFREVEKV >ORGLA02G0271600.1 pep chromosome:AGI1.1:2:24665647:24666437:-1 gene:ORGLA02G0271600 transcript:ORGLA02G0271600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFIGIALCCCLPCVIAILYALAGQEGASDADIGFLPRYRYSDPSEDGQKGTDEGVMIPVLNNSGTSTSERILLHEDAECCICLSSYEDGAELSALPCNHHFHWTCITKWLRMHATCPLCKYNILKGSESA >ORGLA02G0271500.1 pep chromosome:AGI1.1:2:24662769:24664700:1 gene:ORGLA02G0271500 transcript:ORGLA02G0271500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCRLLHPYPQPLPLPPPPPTSTPRPTHLQWGALRRRRRHHFLRCVAASAATLQKELTVPRTPTATQSPGPVNPPTLFDRMPERSVATVSAASNLLDEMSRTCGAGQRGRPVEAPPRDGGGKSASAAIVALAHAGRHAEVVELFCRMRRGGVPVSRFVLPSVLAACAGLRDIGMLRAVHALVIKCGLCQHVIVGTALVDGYTDFGLVDDARKAFDEITDANIVSWSVLIGGYARSSRWEETLDAFSAMRRAGVLPNDSVLVMAIQACGALGRLVHGKQLHGLAVVLGFDRNATVWNCLMDMYGKCGDIDSCKMVFETMIGRDQVSWNTLISSYARVGLCEEALDMIVQMQESGYIVDRFTLGSGVTACARLADIDSGRAFHGYLVRRLLDTDVIQGSALVDMYGKCHNMELAHIVFDRMDERNYVSWDALLSGYVENEQVDLALEIFRQMGCANIKYNQHNFANLLKLCGSQRYKEYGRQIHGHAIKTINKMNVVLETELIDMYAKCGCIEVARLLFLRMNERNLISWNALLSGYAADGQPVATINIYRQMELACIRPDKYTLAGLLSLCRYQGLLHYGRQIHAHLIKMGSEMNVVMQTILVHMYIKCMRQQDAENVCIMIEERNSYVLDAFSKVYGDDYLI >ORGLA02G0271400.1 pep chromosome:AGI1.1:2:24659114:24661792:1 gene:ORGLA02G0271400 transcript:ORGLA02G0271400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G01680) TAIR;Acc:AT2G01680] MDLPPLSHQALFAAVRSADAAAVAGLLADAGASGPTTQALAAAQTDAGETALYVAAEAGSEEIVRLLIPLYDLEAATVRSRLDLDAFHVAAKQGHTGAVKEFLGRWPELCSICDSSNTSPLYSAAVKDHLDVVNAILDTDDSCIRIVRKNGKTSLHTAARIGYHRIVKALIERDPGIVPIRDRKGQTALHMAVKGKNTDVVEELLMADVSILNVRDKKANTALHIATRKWRPQMVQLLLSYEALEVNAINNQNETAMDLAEKVPYGESKMEIIEWLTEAGAKNARNVGKIDEASELRRTVSDIKHNVQAQLNENAKTNKRVTGIAKELRKLHREAVQNTINSVTMVATLIASIAFVAIFNLPGQYYVDRDSGGDIGEAHIANLTGFRVFCLLNATALFISLAVVVVQITLVAWETGAQKRVIKIVNKLMWSACLSTCAAFISLAYVVVGPQNAWMAFTISAIGGPIMIGTLLFLAYLLLRPRFKFGEDRQRRIKRASGSKSFSWSIHEGISDLEAFSDHEKRIYAL >ORGLA02G0271300.1 pep chromosome:AGI1.1:2:24652995:24653810:1 gene:ORGLA02G0271300 transcript:ORGLA02G0271300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAVVDDDLRELIDELMNTGPEDEADNRDFEESMATVLSMVTDYLDDPDPPSPELADWAAAAESGAQKVADGLASRVENLRRGLSVFAGTGRPEEAVLRKHAAWTDARRLREKDLRSLAARGGLVNPRMAELIASVSDAMESDVRHGHVPSPAQLAEVERLEGRVAVASVRAILLKVKLTRGAAAFAGRPGEEALAQALRGHAAAGEAQLAAARAFAGALRRFRALSADANSNPTATRVHKRPSPETEDDLAAPPPRQRRRTLDSGVQD >ORGLA02G0271200.1 pep chromosome:AGI1.1:2:24642811:24646075:-1 gene:ORGLA02G0271200 transcript:ORGLA02G0271200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:I1P406] MASLTDLVNLNLSDTTEKIIAEYIWIGGSGMDLRSKARTLSGPVTDPIKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRKGNNILVMCDCYTPAGEPIPTNKRHNAAKIFSSPEVASEEPWYGIEQEYTLLQKDINWPLGWPVGGFPGPQGPYYCGIGADKSFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDQVWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRNDGGYEIIKSAIEKLKLRHKEHISAYGEGNERRLTGRHETADINTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVVTSMIAETTIIWKP >ORGLA02G0271100.1 pep chromosome:AGI1.1:2:24636904:24640193:1 gene:ORGLA02G0271100 transcript:ORGLA02G0271100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLKNSRSVLSRLLRHKPTGCPRLPPSPPLPQAPPPGYYFTSPSRPEAVRFGRVLLRSPPPPPRPAQAPPSRYFYTSPQRQKVVHFNRRRGSRWYHDPRKLTTVVVVSGGAAAAVYFGNLETVPYTNRTHLILLSPPLERQLGESQFNNLKKELGPKILPPLHPDSIRVRLIASEVVRAVHRGLAGRHHDAFAADDASYGDISTDVVIKNHEAGAEDVMLGRSRGNKNASVAAAAQRDEEVLDDRWVTESRDRGKARGAQPETRHLDGLNWEVIVVRDDLINAMCLPGGKIVVFTGLLNHFKTDAEIATVLGHEVGHAIARHAAEMITKNLWFWILQIVIMQFIYMPDMINAMSTLLLKLPFSRRMEIEADHIGLLVLGAAGYDPRVAPSVYEKLGKIAGDSTLSNYLSTHPSSKKRAQLLRQAKVMDEALRLYREVSSGQGTEGFL >ORGLA02G0271000.1 pep chromosome:AGI1.1:2:24628813:24631961:1 gene:ORGLA02G0271000 transcript:ORGLA02G0271000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVRCLACRFLWRSTPSPHPALGRSTTPPHAARWYKRPLPRAAAAASVVASAAVWLFGHQTNASPRPNPSLTYCSEAFLARSALPESHPDTVRVRRVAANIVSTTLEDAVFGQRRLSERIGHRFVPGINWRVHVINDDKSLAGCLESEPNAYCVLPCDHRRTELEADRIGLMLQAAAGYDPRANPNFWEALMKFGNGEGTTHPPLQRRAAEVRQEKVMGEALEVFREAVQRHVHA >ORGLA02G0270900.1 pep chromosome:AGI1.1:2:24625965:24626363:1 gene:ORGLA02G0270900 transcript:ORGLA02G0270900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMLLLLTLPGLDGLRRGMISVVRSALKPMMSVVPFCLFLLMDIYWKYETRPTCDDEHHCTPSEHLRHQKSIMKSQRNALLIAAALLLYWILFSVTSLVVRLDQLQQRVDKLKKRDD >ORGLA02G0270800.1 pep chromosome:AGI1.1:2:24622310:24623658:1 gene:ORGLA02G0270800 transcript:ORGLA02G0270800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATATSVAAALLLSLLLARARGDDDYSGFVYAGCSQGRYASGTQYASDVDSVLTSVANSAPYSPYANFTSPTSNSVVGVYQCRSDLPASVCTGCVRSAISRLSSLCAWATGGAVQLRACFVRYGNDTFLGKQDTAVLFKKCGGSPGDAGGAAMRDSALGALVAXXXXXGGGYRAGGSGGVQAMSQCVGDLGAKACSDCVSAAAGQLKAGCGYATAGEVYLGKCYARFWGNGGGGFSSGAAGDAYGSGHRVSGNRFVLAVAGGFFTSLAYIFVLM >ORGLA02G0270700.1 pep chromosome:AGI1.1:2:24619607:24621266:1 gene:ORGLA02G0270700 transcript:ORGLA02G0270700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRRRLSPAVAVAAALLFFPMFFGSHTPAAAAAYGEASGDAGVTALQKHAAFFDKDGDGIVSLSETYDGLRALGLGSGLSSLSAAFINGVLSPKTRPDNGTAPRLSIYIENIYKGIHGSDSGAYDSEGRFVAEKFEEIFAKHAKTVPDALTSDEIDELLQANRKPGDYTGWVAASSEWKILYKIGKDKDGLLRKEAVRELYDGSLFTKLAAARINDENQA >ORGLA02G0270600.1 pep chromosome:AGI1.1:2:24611199:24613871:-1 gene:ORGLA02G0270600 transcript:ORGLA02G0270600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESQRDDITQVMDVENELLSESFKELEVKEANFQMEHNKAPGPDGFPAKFYQVFWDVIKDDLMALFHDFHEGTLPLHRLNFGIITLLPKQKDASRIQQYRPIYLLNVSFKIFTKIMANRIALVAQKVIKPSQTAFLPGRNIMEGVVILHETLHELHKKKKNGGRSVAVKVNDEIGSYFQTRKGLRQGDPLSPILFKLVANMLAILIQRAKDQGRFKGVVPHLVDNGLSILQYADDTILFMDHDLDEARDLKLVLSAFEKLSGLKINFHKSELFCYGKAKDVEHEYVKLFGCDTGDYPFKYLGIRMHHKRINNKDWQGVEERIQKKLSSWKGNFLLVIFRGTYWARQWSLLLKEDERDVMKEGCKLLETSVMYFFEAGFYKFPLSKRGDVALSCVNST >ORGLA02G0270500.1 pep chromosome:AGI1.1:2:24606407:24607791:1 gene:ORGLA02G0270500 transcript:ORGLA02G0270500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVNEDADGSWFSIYVQNIHKGIHGSDTGAFDSEGRFVSEKFNEIFTRHAKTVPDGLTSDELDEMLHAYADHTEHKDSSGCLEKNFETKLGLKIEKMQAPGCNRVAGDIRGRQGQGRHPSEPSTTGASSVNWRRRMTT >ORGLA02G0270400.1 pep chromosome:AGI1.1:2:24601723:24603023:1 gene:ORGLA02G0270400 transcript:ORGLA02G0270400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLFASSSSWSPAAAVGAATELQKHVAFFDSNHDGIISFSETYEGFRALGFGVVTSRFSATVINGALGTKTRPENATASRFSIYIENIHKGVHGSDTGAFDSEGRFVNEKFDEIFTKHAKTVPDGLTAAELDEMLRANREPKDYKGWVGASTEWETTFKLGKDKDGFLRKDTVRTVYDGSFFSKVASKKKGPSANQA >ORGLA02G0270300.1 pep chromosome:AGI1.1:2:24596095:24596709:1 gene:ORGLA02G0270300 transcript:ORGLA02G0270300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVARQGRELQRYTSAGGRIVVGCIPYRVRSGGEMEVLVITSQKGHGMMFPKGGWELDESMDEAARREALEEAGVRGDTETSLGCWYYKSRRYDTTYEGFMFPLRVTDELLQWPEMSSRKRTWATVQQAMDGCQHGWMREALERLVSRHATNKLQSAL >ORGLA02G0270200.1 pep chromosome:AGI1.1:2:24577514:24577756:-1 gene:ORGLA02G0270200 transcript:ORGLA02G0270200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGRDVDAGQQQRRLVVVHSQVRRIKQEEGEKVKVDETYQHQVSEMRRMVVLRDMEARQRSRSPLGRAARPAISIGGDS >ORGLA02G0270100.1 pep chromosome:AGI1.1:2:24574449:24576913:1 gene:ORGLA02G0270100 transcript:ORGLA02G0270100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G24610) TAIR;Acc:AT1G24610] MAAAAAAGATPATARKALLTTTATLLSSSLARSRRSLSCSAAAASAAPRIAPQPPDLLRWVQREGGFVHPALRVVDHPEHGLGVSAAAAEGDIPPGDVLIALPGRLPLRLRRPAGAADAVLVQLAEQVPEELWAMRLGLRLLQERAKSDSFWWPYIANLPETFTVPIFFPGEDIKNLQYAPLLHQVNKRCRFLLEFEKEVKHKLGRVPLEDHPFCGQDVNSSSLGWAMSAASTRAFRLHGEIPMLLPLIDMCNHSFNPNARIVQEGNVDSPDMSVKVVAETKIDQNAAVTLNYGCYPNDFFLLDYGFVITSNSYDQVELSYDGTLLDAASMAAGVSSPNFSAPAKWQQDILSQLNLYGEGAILKVSIGGPEIVDGRLLAALRVIIAADPDAVSGHDLKTLMSLKEKAPLGPAVEASALRTVLALCTFALQHFHTKIMEDEAILKGEPPLTTELAVQFRLQKKLLLLDVIQNLSRRIKMLALDKSTV >ORGLA02G0270000.1 pep chromosome:AGI1.1:2:24571977:24572612:-1 gene:ORGLA02G0270000 transcript:ORGLA02G0270000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLSCGCLCRSRSRSRSLSPPPPSDRLDVHPSFWKWETEPERGRVFRCFDTDGDGRHLSAAEIREFYGCGKAKETVAAADRQNGDGFLSIEELRAVMEDGDSEALHAVFDEYDEDSIEELRAVMEDGDSEALQAVFNXYDEDGDGVVTAEELRRAMHRLGGVDLTAEECAEIVAAADSDGDGVISFDEFKAMVAKYAETASSPSTSSSP >ORGLA02G0269900.1 pep chromosome:AGI1.1:2:24569453:24570161:1 gene:ORGLA02G0269900 transcript:ORGLA02G0269900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGSVGAAALEAVMAVEGQEATPSAEGGMRGAGVVEARLAARPRARLCHCLRGMRSSYESMTVDGLSRFKSFHTLVFGEAFVFLGPLSSCGGQHALRLFLLMKSELLADGVRRCSATMTCCSLFYGVGASRVKEVAPWWLG >ORGLA02G0269800.1 pep chromosome:AGI1.1:2:24566401:24566925:-1 gene:ORGLA02G0269800 transcript:ORGLA02G0269800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVSAAASCGRLRRSRSRSPPPAVLDPSQSPLSLEREAEPELIRVFRCFDTDGDGLISAAEMREFYGCSVDEAEEMVAAADRDGDGFVSIEELRAVMEGGGLDALRAAFDEYDEDGNGVITAEELRRALRRLNLDGMDLTAEQCAEIVAAVDSDGDGVISFDEFKAMMSKQA >ORGLA02G0269700.1 pep chromosome:AGI1.1:2:24563823:24564474:-1 gene:ORGLA02G0269700 transcript:ORGLA02G0269700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAGMIPTSSSAETSPSSSDVDTESTGSSFFRDRSTTLGTLMGVSFDDDEEQQQQQGEAARDGGEESERPRAAAAEEEEDGRRWRRRWRRRRWRGAGGSWWRLCRDDAGGTTSLGHFLHMERQLAGTGLLSGDGVEERKSSTPLFDNGRALPAREERAKWQLRRSAQATSSSLVRLPVLLTAICSGGA >ORGLA02G0269600.1 pep chromosome:AGI1.1:2:24559934:24563125:1 gene:ORGLA02G0269600 transcript:ORGLA02G0269600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:I1P3Z0] MLAASLRVEAVAVVAAAVLVLLLSPAAVVVVAGQHDYGDALHKSILFFEGQRSGRLPPDQRLRWRRDSGLHDGAAAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGPHKEEARKAVRWATDYLMKATAKPNTVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDPSHPGSDVAAETAAALAAGSIVFRDADPAYSKRLLDRAIAVFEFADKYRGPYSSSLHDAVCPCYCDFSGYKDELLWGAAWLHKASRRREYREYIKKNEVVLGASESINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFMCSLLPGISNHPQIQYSPGGLLFKVGGSNMQHVTSLSFLLLAYSNYLSHAGARVSCGAGGSASPTQLRRVAKRQVDYILGDNPLRMSYMVGYGARFPRRIHHRGSSLPSVAAHPARIGCKGGAAYYASAAPNPNLLVGAVVGGPSDATDAFPDARAVFQQSEPTTYINAPLMGLLAYFSAHPNPAEWADD >ORGLA02G0269500.1 pep chromosome:AGI1.1:2:24551989:24552288:1 gene:ORGLA02G0269500 transcript:ORGLA02G0269500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPTLVLFVGSFPCSSRRSQLANALDAGADRCTSPSAAAAALALRRPCFLALVVGPSSLDPQEGGKRRSSQQQTAVLCTRDGAAATTGQSREEKEMEVAV >ORGLA02G0269400.1 pep chromosome:AGI1.1:2:24546524:24548727:-1 gene:ORGLA02G0269400 transcript:ORGLA02G0269400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQEDGGLQAAGRRGGVVRALLGLGAIAEGAAAAEGGVPRKAGAGDGGGEERKAVVRVVAADMPPALQRRAFRCARDELAGMPRSPRRLEPKRLALALKKLSSYAKTLCIA >ORGLA02G0269300.1 pep chromosome:AGI1.1:2:24542979:24545967:1 gene:ORGLA02G0269300 transcript:ORGLA02G0269300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF794) [Source:Projected from Arabidopsis thaliana (AT5G57930) TAIR;Acc:AT5G57930] MTGALAMMPSTSSPYPLLAPPTCSSRLPPLRCFVGLRWSAPRIQVREYPDAVAGIAKGAGGRGGRFRAPASSISQPCSRTIIITNEHVQNADFPPNYSKREKKPFPIPVLELRRRAKERAKKAEGKPKRSLPPPKNGMLIKRLIPVAYKVYNARILLINNLKRLMKVIPVKGCKHCSEIHVGSVGHPFRTCKGMSSDKRRGQHDWGGTLVEAVFVPVEAYHLEDRLGKRIPHDQRFAVPRIPALVELCIQAGVNLPEYPTKRRRKPIIKIGKNEFVDANEDDLPDPEPYKLEHPILEELNDNEIIAPASPEEIVALAEETLEAWEVVRDGALKLMKGYAVRVCGYCPEVHIGASGHKARNCGAFKHQQRNGQHGWQAAVLDDLIPPRYVWHLPESGEELQRDLKSFYGQAPAIVEICVQAGAKVPEKYKATMRLDIGIPTSLREAEMVV >ORGLA02G0269200.1 pep chromosome:AGI1.1:2:24539945:24541566:-1 gene:ORGLA02G0269200 transcript:ORGLA02G0269200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALAAVVAIAVLAQSAAAAAPPPAKGPVTYVFGDSMSDVGNNNYFPLSLAKSNYPWYGIDYPNGVATGRFTNGRTIGDYMADKFGVPSPPPFLSLSMVDDDVLGGVNFASGGAGILNETGVYFVQYFSFDQQISCFEMVKKAMIAKIGKEAAERLYGLGARKVVFNSLPPLGCIPSQRVHSGNGKCLDHVNGYAVEFNAAAKKLLDGMNAKLPGARMALADCYSVVMELIVHPEKHGFTTAHTSCCNVDTTVGGLCLPNSRPCSDRKAFVFWDAYHTSDAANRVIADLLWDAMPSAGSGGAATTPLAASPAPSPSRAP >ORGLA02G0269100.1 pep chromosome:AGI1.1:2:24527940:24538788:-1 gene:ORGLA02G0269100 transcript:ORGLA02G0269100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Mediator complex subunit Med23 (InterPro:IPR021629); Has 187 Blast hits to 184 proteins in 67 species: Archae - 0; Bacteria - 0; Metazoa - 135; Fungi - 0; Plants - 43; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G23230) TAIR;Acc:AT1G23230] MDGAHGQRQPMSPAISASAVLPQQRQMQLHHHHHHPARSSIADLFTLYLGMNSKQRVEDRTRETSNKLQKRVTAMNRDLPPRDEQFISDFEQLHMQFPDQEQLQAVTESVLISFVLQCSSHAPQSEFLLFATRCLCARGHLRWDSLLPSLLNVVSSVEVPMGQGVSVTTGGPATSSSSAIAVPNAPSFHPSNPTSPLSAMNTIGSPTQSGIDQPIGANVSAIKGAEFSSPGQLGLTARGDQSRRGAEISYLHHLSCRIILAGLESDLKPATHAVIFQHMVNWLVNWDQRPHGVDQADALQLQTLRLERPLHEWMHLCLDVIWILVNEDKCRVPFYELVRSNLQFLENIPDDEALVSIIMEIHRRRDMVCMHMQMLDQHLHCPTFATHRFLSQSYPSIAGESVANLRYSPITYPSVLGEPLHGEDLANSIPKGGLDWERALRCLRHALRTTPSPDWWRRVLLVAPCYRQHPQQSSTPGAVFSPDMIGEAVADRTIELLRLTNSETQCWQDWLLFADIFFFLMKSGCIDFLDFVDKLASRVTNSDQQILRSNHVTWLLAQIIRIEIVMNTLSSDPRKVETTRKIISFHKEDKSLDPNNISPQSILLDFISSSQTLRIWSFNTSIREHLNSDQLQKGKQIDEWWKQMTKASGERMIDFTSLDERAMGMFWVLSFTMAQPACEAVMNWFTSVGVADLIQGPNLQPNERMTMMRETYPLSMSLLSGLSINLCLKLAFQLEETIFLGQNVPSIAMVETYVRLLLITPHSLFRPHFTTLTQRSPSILSKSGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKVKRGEHRLFRLAENLCMNLILSLRDFFLVKKELKGPTEFTETLNRITIISLAITMKTRGIAEVEHIIYLQPLLEQIMATSQHTWSEKTLRYFPPLIRDFLMGRMDKRGQAIQAWQQAETTVINQCNQLLSPSAEPTYVMTYLSHSFPQHRQYLCAGAWMLMNGHLEINSANLARVLREFSPEEVTANIYTMVDVLLHHIQLELQRGHQIQDLLSKAITNLAFFIWTHELLPLDILLLALIDRDDDPYALRLVINLLERPELQQRIKAFCTSRSPEHWLKNQPPKRVELQKALGNHLSGKERYPPFFDDIAARLLPVIPLIIYRLIENDATDIADRVLAVYSTFLAFHPLRFTFVRDILAYFYGHLPSKLIVRILNVLGVSTKTPFSESFAQYLASSNSSICPPPEYFANLLFGLVNNVIPPLSCKSKSNPSDAAGSTARTTYNKPYTSSAGGISNSDGQRAFYQNQDPGSYTQLVLETAAIEILSLCVPASQIVSSLVQIIAHVQAMLIQSNSGHGMSGGLGQNSGVPTSSGGGVEPVGANRPNTTASGINASNFVSRSGYSCQQLSVLMIQACGLLLAQLPPEFHTLLYAEAARIIKDCWWLADSSRPVKELDSAVGYALLDPTWASQDNTSTAIGNVVALLHSFFSNLPHEWLESTHTVIKHLRPVNSVAMLRIAFRILGPLLPRLAFARPLFMKTLALLFNVLGDVFGKNSQASPPVEASEIADIIDFLHHAVMYEGQGGPVQSTSKPKLEILTLCGKVMEILRPDVQHLLSHLKTDPNSSVYAATHPKLVQNPS >ORGLA02G0269000.1 pep chromosome:AGI1.1:2:24525370:24526349:-1 gene:ORGLA02G0269000 transcript:ORGLA02G0269000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCEKVGLKKGPWTADEDQKLVTFLLSNGHCCWRLVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEEKLVIDLHEQLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLKKMGLDPVTHRPVMSLAQPDPLKQQQEPSVSGGTGADDKEEEEETPTSAQPQGVACAASSASAVSSSCSSSASASAATPGADVDWPGLFEVDAILDIDWAGLLSACGDDGGCSAIGIDFDQCSDVGFDQDVWM >ORGLA02G0268900.1 pep chromosome:AGI1.1:2:24519260:24521809:-1 gene:ORGLA02G0268900 transcript:ORGLA02G0268900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor-like A1A [Source:Projected from Arabidopsis thaliana (AT5G37680) TAIR;Acc:AT5G37680] MGLWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSIPIAKSELHDLLTKQSLAGIPLLVLGNKIDKSEAVSKQALVDQLGLELIKDREVCCYMISCKDSVNIDVVIDWLIKHSRTAK >ORGLA02G0268800.1 pep chromosome:AGI1.1:2:24514090:24514790:1 gene:ORGLA02G0268800 transcript:ORGLA02G0268800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAVASLTLQVPGGAHDVTSLATSPRTMAVPGTTEQLTIFYSGSMVKFDNVPREKIRYACRLRRLCSSLQRSLQTQDTSMFPSSSSLHIQNKKKRIFCYQAPERDADGLFIHENKADACSQRQHRSPETGTPPSRRRIHARGKSXXXXXXXXXXX >ORGLA02G0268700.1 pep chromosome:AGI1.1:2:24509136:24510937:1 gene:ORGLA02G0268700 transcript:ORGLA02G0268700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGKRKGAKVMQLDGTSFRVKPPAVAADVLRDHPGFQLLESEEVKLLGARARPLAPDAPLRRGRLYFLVALPRRPAAGPPRRAWSGNLRVGARERLESLMLARRSTSDLSSFPAAQASASAPTSPLPGGACSGAATPVRLKMRLPRAQVEKLMGESKDASEAAAKIMELCAAAGAKSASVTPERPPGILRSPRFAATPEWGAGFMVPPPAPGAAKTPQRWPTLPRTKEKKARFVALPDELIA >ORGLA02G0268600.1 pep chromosome:AGI1.1:2:24502352:24506281:1 gene:ORGLA02G0268600 transcript:ORGLA02G0268600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1P3Y0] MAAEAAAEIVREIAAVGAADLAAAAEPLRADCLRLARKVSLLSHLVAEVAEAGGGGGDADAADSWLGDLVRALQAARRFVELGRAPARPSRASDQDAVCNNVAVQFKFVTWQLQTVLARLPQSCFQISDEVQEEVDLVRAQLRREMEKKGDIDVNIFSKFHDILALHVSTVGSQSEQSHGQPDTPQMENLCNGHLELQNIIMLVSEISGVPKSDAERITSQLIEGLENMRVTDSKKPVSVSQSSDETKASPETHKKSDAVAIPEDFRCPISLELMRDPVIVSTGQTYERAFIQRWIDCGNRTCPKTQLKLQNITLTPNYVLRSLILQWCEEKGIEPPTRSKNDGAYLEVGGERVAIETLVRNLSSSSLDERKSAAAEIRSLAKKSTDNRILLAESGAISALVKLLSSKDLKTQEHAVTALLNLSIYDQNKELIVVAGAIVPIIQVLRKGGMEARENAAAAIFSLSLIDDNKITIGSTPGAIEALVELLQSGSPRGRKDAATALFNLCIYQANKVRAVRAGILAPLIQMLQDSSRNGAIDEALTILSVLVSHHECKIAIAKAHAIPFLIDLLRSSQARNKENAAAILLALCKKDAENLACIGRLGAQIPLTELSKTGTDRAKRKATSLLEHLSKLQVL >ORGLA02G0268500.1 pep chromosome:AGI1.1:2:24498684:24498851:1 gene:ORGLA02G0268500 transcript:ORGLA02G0268500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMDDLSGQKRIYVIRDVCGHHRLGFPSGSGLLCCWAAGLQESAKMKKAPLGAA >ORGLA02G0268400.1 pep chromosome:AGI1.1:2:24482589:24484046:1 gene:ORGLA02G0268400 transcript:ORGLA02G0268400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1P3X8] MTSSSGQFKRLKPLYQLAVNNILAVVAVPLAAAVVLKAAELGHEEILARARALPPAHLFLAAFVPAAAAVVYLMLRPRAVYMVDYACFRTSPNCRVPFATFLEHSRVWPGFEERSVRFMTRLLERSGLGEETCLPYAQHYIPPSRDLESSRAEAELIIFSAIDDLLAKTGISPQDIDILVVNCSLFAPTPSFTDMIINRYKLRKDVRNVHLSGMGCSAGLISVGLARNLLQVVPKGAHALVVSTETITPNYYMGQERAMLLPNCLFRMGGAAVLLSTNGAKARFRLARVVRTLTGAQDGAYHCVYQEEDGRGNVGINLSKDLMSIAGDALKANITAMGPLVLPASEQLLFALSFMARKVLSGRIKPYIPDFRTAFEHFCIHAGGRAVIDELQRSLTLSDEQVEASRMTLHRFGNTSSSSLWYELAYVEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIRPAANADGPWATCIHRYPVDIPDVLKH >ORGLA02G0268300.1 pep chromosome:AGI1.1:2:24472646:24478193:1 gene:ORGLA02G0268300 transcript:ORGLA02G0268300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XWLAWQRCIVYGLRRLNDVPGNSDEMACDVAGAGDALRAPSSDAPAGILLEQPADEFEEDDDISTHTRKIDFCVRVTDDSAQLPVMFAGIIVKDIVKVAMLVVAQPQSCINILTKLSINVLQSNTERPVYYIFHFLVHNLKAVYYGLV >ORGLA02G0268200.1 pep chromosome:AGI1.1:2:24453396:24454848:-1 gene:ORGLA02G0268200 transcript:ORGLA02G0268200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSEKAAGAVGGKAARACDSCLRRRARWYCAADDAFLCQGCDTSVHSANPLARRHERLRLRVSSPPPLTARASVEEEAAAAVGTTTTTTSKREGGVTPAWSKRKARTRRPQVKSVGQLLSRRLVVPEMAVESSDERKADEDGAHEELEGQLLYRVPVFDPSLAEFCSPPPIDDAAAASSSCFKEDAADGAVEDAKYPAAAASSPVQQLPDSFVNFEPTDAELREFAADMEALLGQGLDDSNELQDSFYMETLGLITPPVEESGRVKMELDGGVASNSRVSLPSCRAHPKPEDVESADVLDIDFNCTSPDEQKSSASNGAAADSQFFHRSLDLRLNYEAIIESWGNSPWTDGRPPHGQLDDFWPNDHHYSGLWAAGGGGHGAEVGMMTVRPRMDGPGREARVTRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRPSAAAAPCAVT >ORGLA02G0268100.1 pep chromosome:AGI1.1:2:24451220:24451999:1 gene:ORGLA02G0268100 transcript:ORGLA02G0268100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATACSTAPLLGGARLPAVGAALPPPSVLLLPQRNFPSPLRLHDAPRLSLLRARASSDDTSSSAATGDELIEDLKAKWDAVENKSTVLTYAGGAIVALWLSSVIVGAVNSVPLVRLHYIAPVNFVSVLVEQCSKFTCMWICYLLQLPKFMELVGLGYTGWFVYRYLLFKESRKELADDVDSLKKRIAGTE >ORGLA02G0268000.1 pep chromosome:AGI1.1:2:24447877:24448404:-1 gene:ORGLA02G0268000 transcript:ORGLA02G0268000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTACRRYIGPLLCVNLVMHAAVLGLAGWSLNKFIDGETHHHLGGNTSSGYLLVFSLMAGVVGVCSVLPGLLHVRAWRGETLAAAASTGLVSWALTALSFGLTALCALTPAAYSLTHYYNPTTLGWRFDP >ORGLA02G0267900.1 pep chromosome:AGI1.1:2:24446012:24446530:1 gene:ORGLA02G0267900 transcript:ORGLA02G0267900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGRGSACNGAAVLGAAAAVVIVGFLVMSAAPLAEAARYTVGDSGGWRFYAEGWAKGKTIRAGDVLEFKYNAVVHDVAAVDLAAYRSCTVPKGVRKMRSGRDKVTLRKGTHYFICTEPGHCKAGMKLAVRAI >ORGLA02G0267800.1 pep chromosome:AGI1.1:2:24434990:24440452:1 gene:ORGLA02G0267800 transcript:ORGLA02G0267800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEVGLVVFSSTGRLYEFSSTNMKTVIDRYTNAKEELLGGNATSEIKIWQREAASLRQQLHNLQESHKQLMGEELSGLGVRDLQGLENRLEISLRNIRMRKDNLLKSEIEELHVKGSLIHQENIELSRSLNVMSQQKLELYNKLQACEQRGATDANESSSTPYSFRIIQNANMPPSLELSQSQQREGECSKTAAPELGLHLP >ORGLA02G0267700.1 pep chromosome:AGI1.1:2:24425935:24427401:-1 gene:ORGLA02G0267700 transcript:ORGLA02G0267700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGCSLLSPATSGLPPKSPFSKRASNGAALRCRAAFSGDAALRAFRRHHLDGRALHANPALVPALAACARLLPSAAAEAEQIHALLVKSGDPPSVSGVYASTSLVRVYVRLGRLGDARKVFDGMPVKTVVSWNVLLDGIVRASDLDAAWEVFVEMPERNVVSWNTVIAGFVRHGWVQEAVDLFAEMTVVYGLAPDEATMVGFVSAVRDMGVLGIGRCAHGYVIRREFSLDGALGVALINMYTRCGSMGDAFRCFSSVASKNVEQWTSVIGGFAAHGHPGMALRLFTEMRQLGIEPNGVTFLAVLNACSHGGLVNEGFKYFNLMRSMGIKSTMQHYGCLIDLLGRAGFLEEAFELASSLPEDPGLVIWSSLLAACQSHGNVEMAEVAARKLAHAEPRHGSSYVLLSNTYARAGQWEDLKRTRRKMEEYGVMKQPGLSWIELDGRVHSFVSADKLHTESEDIYQMLEDLKVNLVSAGSEPETLALPEI >ORGLA02G0267600.1 pep chromosome:AGI1.1:2:24422861:24425635:1 gene:ORGLA02G0267600 transcript:ORGLA02G0267600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G20930) TAIR;Acc:AT3G20930] MDAARASLLLAGGLAVSTSTSAVATAAQTVSIPHLSPHTRRRRQRRFLRLASAAASSPPPLPAASAQPHCSRWVVVMERPPAPAGGGEVSRAEAVDHYVATLARVLGSQEEAQMRIYDASWDGSYEFSCEIDDEASRDLAKMPGVLAVKPDTDKVDMSEKDNHGSGLSAANLGNFSDAVSNHSSSSGENEFWLVRMEKPGVEVVTKAQMVDHYTQTLMKVLGNEKDAQVSIYHISWERNYGFCCHIDEECAKELADVSGVLSVQPDTNFGSDNKNYKGDDSFKSSEATQAEVKTKRLFVTGLSFYTSEKTLRAAFEPFGELVEVKIIMDKISKRSKGYAFIEYTTEEAGGAALKAMNGQIINGWMIVVDVAKHRSRDRQPPYSASGRSNQVLRSRYHTG >ORGLA02G0267500.1 pep chromosome:AGI1.1:2:24416050:24419260:-1 gene:ORGLA02G0267500 transcript:ORGLA02G0267500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPPPAAPDPPQLHGVVIITLPPPDQPSKGKTITAFTYTDDDVTPPPPTPPPTHPPTRALVPAGAGAGAEARRSRRGFSPRRAAAMVLVLGALAVAAQYYTSIFVGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPAKEKIVPPKDLLCQELQGNQNYCETCKQCDYEIEYADRSSSMGVLARDDMHIITTNGGREKLDFVFGCAYDQQGQLLASPAKTDGILGLSSAGISLPSQLANQGIISNVFGHCITRDPNGGGYMFLGDDYVPRWGMTSTPIRSAPDNLFHTEAQKVYYGDQQLSMRGASGNSVQVIFDSGSSYTYLPDEIYKNLIAAIKYAYPNFVQDSSDRTLPLCLATDFPVRYLEDVKQLFKPLNLHFGKRWFVMPRTFTILPDDYLIISDKGNVCLGFLNGKDIDHGSTVIVGDNALRGKLVVYDNQQRQIGWTNSDCTKPQTQKGFPFFL >ORGLA02G0267400.1 pep chromosome:AGI1.1:2:24412855:24415382:1 gene:ORGLA02G0267400 transcript:ORGLA02G0267400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPVTMPPPQSPELRSLRSTLDQRGELRAPLLSFDWGFPGGIARWEGEEGRLRRAAGAARAAATEMWAFARKDPRKPVFAAKVATALALITLLVFLREPTDLANHAVWAILTVVVVFEFSIGATLSKGLNRGLGTLTAGGFALAVSELSSSMGNFGNVILIICTFVVAFGATLTKLHPKMKPYEYGFRVFLLTFCYVTVSGYNTGKFIATAISRFLLIAIGAAVSLALNIGIHPIWAGEDLHNLVAKNFDGVAKSLEGCVDGYLKCMEYERVPSTILTYQASDDDHLYSGCRAAVESSAQEEALLGFAIWEPPHGPYKMMKYPWMNYTKVGGALRHCSFSVMALHGCILSEIQAPPESRQVFSAELHRVGQEGAKVLRELGHRVKTMTRLSSQNILSEVHFAAEQLQKKIDQKSYLLVNTEKWQALIRRHGGGAKDGELVPGRRAIASPGAVHKSSSFASSTSHSSLNSAPRTDASYKPQPPWPIRQPSFHPSLPFEAAAAEARTYESASALSLATFASLLIEFVARLRSLVDAFEELSESANFKEDPVEEPSAISRENGGVLYRLRRFFGLEELRQRAGEP >ORGLA02G0267300.1 pep chromosome:AGI1.1:2:24410363:24411755:-1 gene:ORGLA02G0267300 transcript:ORGLA02G0267300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPIGLSWAPKLPSLPTTSGGKKDTGASSSRAQGSLWKPESELVDGLFVPPRDPRKANKLARKNVKDTSGKGWFDMPAPTITPELKKDLEILQLRHVMDPKRHFKRAGKSKALPKYFQVGTVIEPASEFFSSRLTKRERKTTLVDELLSDQHLKNYRMRKVREIQESRTPGGNQKWRNKGKKTLKRAKDRRK >ORGLA02G0267200.1 pep chromosome:AGI1.1:2:24405751:24409343:1 gene:ORGLA02G0267200 transcript:ORGLA02G0267200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRGGGPVVAVLLLAALLLAAVAPASASSYPAKVVSGFLSNAASSVMKRLWSLKSTTKTGSGGKSMVKYEGGYTVETVFDGSKLGIEPYAVEVTQGGELLVMDSMNSNIYRIALPLSRYSRPKLVAGSPEGFPGHVDGRPREARMNHPKGFTVDGRGNIYVADAMNMAIRKISDTGVTTIAGGKSSRGGHVDGPSDDAKFSTDFEVRYIGSSCSLLVIDRGNQAIREIQLNFDDCVYQYEAGFPLGVAVLLAAAFFGYMLALLQSRVLGMVSTEDEPETQTPLKASIASIPPYQKPLKQSVRPPLIPNEDESEKQEVEEGFFTSIGKLIVGAKSSVAEIVGAAFSRKKRLNIHQQQARVRSWPVQESYAIPRDETPPPLDTRTPTPRKNYAFMSKEPEKIHHIRHGRSQFNGWNGDAPQQQQQQQQQQQIHHQQYLQHHRQYSSGPQTFYEPSCEATNEIVFGAVQEVDSKRRAVEIKPMNHGDPQYDQDGLRHRSSYTGYSNNW >ORGLA02G0267100.1 pep chromosome:AGI1.1:2:24396785:24400549:1 gene:ORGLA02G0267100 transcript:ORGLA02G0267100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1P3W5] MDLEAAHGAAAAPGKQRRRRARESWGASLLLAYQSLGVVYGDVATSPLYVYKSAFAGDDIQHSAGNEEIYGVLSFVFWTLTLISLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGGGAGDELAVGGRRDARAMSRLRAMLERYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVYSAVSGLELSMEHEHHKYVQLPVTCAILIGLFALQHYGTHRVGFIFAPIVCVWLLCISAIGVYNIVHWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIKIAFMSVVYPALVLAYMGQAAYISQHHSFENAYHIGFYVSVPEKLRWPVLVIAILAAVVGSQAVITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEINWILMILCLAVTLGFRNTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLIFFGTIEVLYFSASLVKFHEGAWVPITLSFIFMIVMCVWHYGTIKKYEFDFQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDIEFEKDLVSSIAEFIRSGDSHHNGVLEDTDKSCEKLSSISNGIPLWMEDGEVDASASPHKETDTQIISPNRKKARFVLPKNAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRRNSRGPSYAATIPHASTLEVGMVYQV >ORGLA02G0267000.1 pep chromosome:AGI1.1:2:24380236:24384176:-1 gene:ORGLA02G0267000 transcript:ORGLA02G0267000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWVLISLVWSRMAGLWKLCGETVAIPLLQTYPVTVLCWWLLCPWGILVDSYIIFFSEEGGFCGVESCAQASLPDSFLADLDELSDNEAYPEGEDEEARNMEEDGDGGMPCCEFLNHDDLNSASELHKTQRYNDIMQLLGAKKKNLSGFSTAASQFRVGYLEQTEVFQSTIPSLRTHACRIISAKSTVAARIDSIRGDPTGKAGHSLLEEICKKIEKLQELPPAKILKPLPVPDCMPKKKRGGCRLRKMKERYAQTDMMKLANRMQFGVPEESSLGDGLGKGYGLLGQAGSGKLRLLAGQSRLAAKVAKRFKARSCDRSESRSGLTSTLAFTPVQGMELSNPLVHNDHSVSGTQSTYFSDVGTFSSIRGKDAIPIQSSEIQNPGV >ORGLA02G0266900.1 pep chromosome:AGI1.1:2:24375191:24378234:-1 gene:ORGLA02G0266900 transcript:ORGLA02G0266900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAASSLLSRGLIARPSAASSTGDSAILGAGSARGFLPGALHRFSAAPAAAATAAATEEPIQPPVDVKYTKLLINGNFVDAASGTRVFSPSGKTFATVDPRTGDVIARVAEGDAEDVNRAVAAARRAFDEGPWPRMTAYERCRVLLRFADLIEQHADEIAALETWDGGKTLEQTTGTEVPMVARYMRYYGGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNAVVLKTAEQTPLSALFVASLLHEAGLPDGVLNVVSGFGPTAGATLSSHMGVDKLAFTGSTGTGKIVLELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHRALFFNQGQCCCAGSRTFVHERVYDEFVEKARARALQRVVGDPFRTGVEQGPQIDGEQFKKILQYVKSGVDSGATLVAGGDRAGSRGFYIQPTVFADVEDEMKIAQEEIFGPVQSILKFRFAALEFPRIPCDRDRLSTGIYTNTLRAMCSTVEEVVRRANATPYGLAAGVFTQRLDAANTLARALRVGTVWVNTYDVFDAAVPFGGYKMSGVGREKGVYSLRNYLQTKAVVTPIKDAAWL >ORGLA02G0266800.1 pep chromosome:AGI1.1:2:24374497:24374934:1 gene:ORGLA02G0266800 transcript:ORGLA02G0266800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDNSNVFLVAGLSLVVVVHVLVLLWALWWGYGRSRLALARARVVGQHDVARGGLSAEQVGELPCHVVKEGAGECAVCLEAFRAGDRRRVLPRCEHGFHAQCVDSWLRVSRLCPICRAEVAASRGKEGDAPVAEAASLEIVAER >ORGLA02G0266700.1 pep chromosome:AGI1.1:2:24372502:24372978:1 gene:ORGLA02G0266700 transcript:ORGLA02G0266700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGATLSVLLLVAGVVLMLVLHVVVVFWALRRGVFLRGAFRVEERRDQRAAGLTPDEIAVLPCHERKEDGGGGGGGECAVCLEAFQAGDRCRVLPRCEHGFHARCVDSWLRQSRVCPICRAEVEVSGYAGKPAAAVAEASQATTLEIVTERLGGTER >ORGLA02G0266600.1 pep chromosome:AGI1.1:2:24362464:24363837:1 gene:ORGLA02G0266600 transcript:ORGLA02G0266600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLIFSTAGSGAGQMLFLDCGAGGAGGGGGGGVGGGAMFHRDEGARPVLGMEEGGRGVKRPFFTTPDELLEEEYYDEQLPEKKRRLTPEQVHLLERSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKQLERDFDRLKASFDALRADHDALLQDNHRLHSQVMSLTEKLQEKETTTEGSAGAAVDVPGLPAAADVKVAVPDAEEPALEEAAAAFEEQQEQQVKAEDRLSTGSGGSAVVDTDAQLVVGCGRQHLAAVDSSVESYFPGGDEYHDCVMGPMDHAAGGIQSEEDDGAGSDEGCSYYADDAGVLFADHGHHHHHQHADDDEEDGQQISCWWMWN >ORGLA02G0266500.1 pep chromosome:AGI1.1:2:24345626:24346309:1 gene:ORGLA02G0266500 transcript:ORGLA02G0266500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVHPFMDVFHVTDRLGCKLTNDSVITYIEQSLGMWNRPTRPMALEGLTALELTGAGRTGLISEVFAVLADMDCGVVEGRAWMHRVHLGCLIFLRNEETDTERMARIEAASDTSSAATPSAPAAAPWPPSPPPPSRTPSAASTLDKTTSETTEGGDLLWFLEKKEVLYPVFRLRDVIHTSAGDEGGKMDLFLTNGIVD >ORGLA02G0266400.1 pep chromosome:AGI1.1:2:24338925:24339608:-1 gene:ORGLA02G0266400 transcript:ORGLA02G0266400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASLPSSWPALQPAHPTLLHHHKPVIPSQPLSGPPLSPRSSTPRRNPQFWLESPPPPPSRSAVPFSADDVSHVSESPDPRSSPTPAMPRSFADAVRLDFNPAKGDSLPVFKSPHGQPPPRPKLKSAITIPAWSTFQRRAYRATPGEEMGVRRIHGTARPGRFNGEWKEVKAAPLVAPLAAFTRVPAASFQATRRTFKALVGSRCIGIAAPLQRENGWKMLQLPCA >ORGLA02G0266300.1 pep chromosome:AGI1.1:2:24329149:24330479:-1 gene:ORGLA02G0266300 transcript:ORGLA02G0266300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNNLLVRRAASTTGLLLILLLLVAFTVCNYSSLKLSTRQYIDGTSARSSSTRASYASGGGGGAACDVARGEWVPDPAAPYYTNETCPLIDSRQDCMKYGKPGLESILRWRWRPHGCDLPRFDAAAFLRLVRGKSMAFVGDSVARNHMQSLMCLLSKVEFPTEIEAKDCIHCTRKYHYRAHNFTVCVFWAPFLVRWNLTRAGALQFMDPHNVFLDEADPEWSRGVAGYDYVVLNGAKWFTRPTILYEGGRLVGCNNDCHGGDPNATAATAPPEYAVRASFRTALRALREHPVFRGTVIVRTVAPPHYENGKWYDGGNCLRTRPMRSDETGLPETEAAFHAAQVEEFRAAAAAAAGGRFLLMDVSGMMQMRGDGHPGQYGHWPHEKVGFGIDCVHWCLPGPVDAWNELLLHLLRG >ORGLA02G0266200.1 pep chromosome:AGI1.1:2:24322943:24327140:1 gene:ORGLA02G0266200 transcript:ORGLA02G0266200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAVVAAKGCKCGSFSGGQRLGGVHLPAVPAGGDDGRRAQEIKRRRRYDLREQEGRAARPAAADQKLCRFCSANGTIMSGCSLQGALVSTAIMRRNVLTRIVGTQKNNLPLPKRSAAIAAPIALLLVVGLISLYDFTFADRYPNIDAASSSSSSSSSPSPATVSKCNLTRGEWVPDGEAPYYTNLTCPFIDDHQNCMKFGKPSLEYVSWRWKPDGCELPRFDAARFLEAMRGKSMAFVGDSLARNHFKSLLCLLSKVAQPVELVGAAPEIDVTGRAVRRDFRYDSHGFTASLFWSPFLVKANLANATLGLWDLHLDTADARWAAHVAEFDYVVLSDTNWFLRPSVYYEGGRAVGRNGAAPVTNATEIAVPRAVRAAFRTALGALAAAPGTFRGKAILRSVTPAHFENGEWNTGGDCVRTRPFRRDERALGAVEAEYLAVQVDAVREAEAAVRRNGGELRLLDITEAMDLRPDGHPSRYGHPPGGSVEGSFVVDCLHWCLPGPIDLWSELLFHMLVDQ >ORGLA02G0266100.1 pep chromosome:AGI1.1:2:24320057:24321570:1 gene:ORGLA02G0266100 transcript:ORGLA02G0266100.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRLNHVEQRIVQLMQLAGAVMEEFGNSQGPRPEKVVAHCREYMLAIKEIQTTLREEIKSACEYRPFEKSDYSARIANEISCKKVEYVLEKLDAMQTNIEKCTS >ORGLA02G0266000.1 pep chromosome:AGI1.1:2:24312231:24314623:1 gene:ORGLA02G0266000 transcript:ORGLA02G0266000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSNGVLGALSFAALLASVPLIGAGAYLLDHPASECQRLVRVPAVALGSAALLLSLMAIAGVTCCRGAALLWAYASAMFLLIVGMFFVTAFVFVVTNRGVATAVSGTGYGDYRVRDYSEWLRARIEDYETWHRIESCMADAAVCGGPLAGINPGEFYRLHLPLIQCELNTTVVSDYLCDVPTVQSGCCKPPVYCGYERVNETFWIAPARGLDAADVDCLEWSNDQAVLCFRCNACKASVLDTVRRNWRAVAVLNVAVLAILMLAYSLACCSVRDRSRVRLGKKEPILAVPAISIKTSKLKLWNNQAPTTTHRCVTVVSY >ORGLA02G0265900.1 pep chromosome:AGI1.1:2:24308381:24311416:1 gene:ORGLA02G0265900 transcript:ORGLA02G0265900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKDKKASREAKADKKLVLGVKRKDLKRKKDRTLNGPVENEVAAEHGTAEDKGLVRKKKVVAMKQKKQMKLKSSQTDSDDMLELLTSKKDETKLKNKKKSKKNLKEGSNPVEEHQSLSDRINAGTPKLKKDRRSSDEPNNADEVLHGNQDEETPTARVNQLTAESGDMDIGEPEEVKRGNKSKTKKTKKSGKSSKKDKHESSRENKLDRHGEVDAANVDEIQSVDEDCSRGMKKWVLEYKQKRPGLKVLQQRIDEFITAHEEQEEQERKEREARAAEDGWTVVVHHKGRKKTTDTETGTAVGSVSLAAMQEKMANKKPKEVDMNFYRFQKREAHISELAMLQSKFEQDKKRIQQLRAQRKFKPY >ORGLA02G0265800.1 pep chromosome:AGI1.1:2:24305815:24307134:-1 gene:ORGLA02G0265800 transcript:ORGLA02G0265800.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFRDVTDGHSNQRIGLCVIAGNISPIDVITHVPILCEEANIPYIYVPSKEDLATAGTTKRPTCCVLVMTKPAKGEINEEVKEKLKSDYDHVVAEVAEVTSSMF >ORGLA02G0265700.1 pep chromosome:AGI1.1:2:24298791:24301924:-1 gene:ORGLA02G0265700 transcript:ORGLA02G0265700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVALAVYSLVLLASFSFPCRLASALLSPKGVNYEVQALMMIKTSLKDPHGVLKNWDQDSVDPCSWTMVTCSPENLVTGLEAPSQNLSGQLSASIGNLTNLEIVLLQNNNINGPIPEEIGRLTKLKTLDLSSNHFSGGIPNSVGHLESLQYLRLNNNTLSGAYPSSSANLSQLVFLDLSYNNLSGPVPGSLARTFNIVGNPLICAAGTEHDCYGTLPMPMSYSLNNTQGTLMPAKSKSHKVAIAFGSTIGCISFLIPVMGLLFWWRHRRNQQILFDVDEQHTENVNLGNVKRFQFRELQVATENFSNKNILGKGGFGNVYRGKLPDGTVVAVKRLKDGNAAGGQAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPYMSNGSVALRLKGKPPLDWITRQRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKSSNQKGAMLDWVKKMHQEKKLDVLVDKGLRSNYDRVELEEMVQVALLCTQYLPGHRPRMSEVVRMLEGDGLAERWEASQRADSHKFKVPEFTFGRCYSDLTDDSSLLVQAVELSGPR >ORGLA02G0265600.1 pep chromosome:AGI1.1:2:24292899:24295327:1 gene:ORGLA02G0265600 transcript:ORGLA02G0265600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPVDWEAESYPAYSDFAAIPLFAVFLFAVRYLLDRFVFEWLARRLIFEKDEKLDLATHAGRIKIRKFKESAWKCIYFLSAELLALSVTYKESWFTSTKNFWVGPGDQVWPDQRIKFKLKLVYMYAAGFYTYSIFALQFWEIKRSDFGISMVHHVVSVILIALSYIFRFARVGSIVLAIHDASDVFLELGKISKYSGYQLLADISFLIFVCSWAVLRLIYYPFWILWSTSYEVVPMLDKKKHKFDGPLYYYVFNCLLFSLLVLNIYWWVLMYRMLVGQILSKGHVGDDVRSDSEGEEEHED >ORGLA02G0265500.1 pep chromosome:AGI1.1:2:24291069:24291620:-1 gene:ORGLA02G0265500 transcript:ORGLA02G0265500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAEEEAAASPSATAHGDCVLATACRVFDSEPVELSAKVLLLQLRPAEATAGLHGGYWCTVIASGIDGSAAVEVLAQVEATCAEHGVHLASQSVSLVVECDCDDSPWHVARRRAHTTVPLHIPADGRGSFFSPDHWPLFVQLVRAMERPAEAHEDDLPAAGRLAVASGGRTAEDATAKHV >ORGLA02G0265400.1 pep chromosome:AGI1.1:2:24279736:24288291:-1 gene:ORGLA02G0265400 transcript:ORGLA02G0265400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:signal peptide peptidase [Source:Projected from Arabidopsis thaliana (AT1G73990) TAIR;Acc:AT1G73990] MARLLVLRSAPYHRSHLSATATFLLSPSNSKHPHSASASASFPSTARRILLPSPLRVPARAIESSPGTTKQEPTPAAGEGEAQEPPPPAASAFEVEELGWGTQLAVKLRMLVAPPWKRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQICENFVKAAYDPRISGIYLHIEPLRCGWGKVDEIRRHIVDFKKSGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVQQTFLRGVLEKVGIEPEIQRIGRYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLDTISSNHGKKKEEIEEFINSGVYQVARLKEEGWITDLLYDDEVMAMLKERVGQKDKKSLRMVDYSKYSRVSKWTLGLQGGGEQIAVIRASGSITRTRSPLSVPSSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLADTKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFILQKLYERIDFNKEIISKGRYAELNAADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMNVDQMETVAQGRVWSGQDAASRGLVDSLGGFSQALAIAKQKANIPHDRKVQLVEISKPSPTLPEILSGIGSSLLGVDRAVKGVLQDVTSLNGVQARMDGILFERLEDLSGENQLLLLVKDIVNYFD >ORGLA02G0265300.1 pep chromosome:AGI1.1:2:24277863:24278372:1 gene:ORGLA02G0265300 transcript:ORGLA02G0265300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAEIASFPYLSPASAVSFKTHYHVDTNDFLLQYNSLLVPQATSYQHVAHLPHETNLPVGNKSNSDESDDYQRSLAEERRRRRMISNRESARRSRMRKQKQLSELWAQVVHLRSTNRQLLDQLNHVIRDCDRVTHENCQLRDEQAKLQKQLEKTPVENTESTFMGPDN >ORGLA02G0265200.1 pep chromosome:AGI1.1:2:24262434:24263369:1 gene:ORGLA02G0265200 transcript:ORGLA02G0265200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSSSFPRSLLIPLAAGGCSDDDDGYDEGPSDTVSFPSFWPPFPAILSDSDSDVALFPPPPPHVDHCPAPQGAASAFFGLGFREEDDHDGGEWAPPGEVELPLCWDCLQLEEPDHQRWDIGVNGGGGDEWEQVGIRVEEEEEEAAAAVRSLEWEVLLATNSLGSLVVDGADYDGGIDTFFLDDADDVLFGQLAAEHEPPAAKGARAAAKAAVESLPTVVVDAARGDTQCAVCKDGMEAGERARRLPCAHLYHDGCILPWLAIRNTCPLCRHELPTDDPEYENWKARRAAAGGNGDGDRYGIIRQLSMID >ORGLA02G0265100.1 pep chromosome:AGI1.1:2:24261251:24261614:-1 gene:ORGLA02G0265100 transcript:ORGLA02G0265100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDRVMFLVAIIGALLLSSSGGKWINFAAMATTAEGGGDVPPPGGEACRRVYDPPDENCDPDSCKAICSLRYNGVGVCDPVGCQCTYCHPPSPPPKFRTSGQ >ORGLA02G0265000.1 pep chromosome:AGI1.1:2:24257020:24258442:-1 gene:ORGLA02G0265000 transcript:ORGLA02G0265000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYQNVVGGRLKLKGKALDVKEGGVKKKKKKKQHREESSEAGHGELHQGGSSEVLADPNDELTEADKMGEEGNLQGDYDHLTPAERRYMEQKQKIDMHKLAKVANKSHRDRIQDFNQYLANLSEHYDIPKVGPG >ORGLA02G0264900.1 pep chromosome:AGI1.1:2:24250009:24253669:1 gene:ORGLA02G0264900 transcript:ORGLA02G0264900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1P3U3] MLLVVSGDVRQQNFNESGAAIMERQTARRTPSSVTQMPEFPPACVNLAKDLTARCRARALQLTDDEILSITQDLESVIENLCDYIGRVPTSALGSNAYTDGAVKALSVRGFLEDDMLTNAVNSMPNRKYFHDSNMPRLVEFLQGMYHESDEFGGNLFSSLPEVSEYIEPLYDALFCPLTNQVMTDPVLTESGVTYERRAIEEHFERFADSSENVICPVTKMPLQSKTLMNNASLKSVIAEWTMRNEAMRIRIARTALSLSSTEAMVLEAIHELKSLAKLRGKNREQMHKIGVTRLLARLFDNHNVQIRHSALELLCFLVEDEEGKDIIGKTKAIARTIKLLSTNGTDERHAAISFLLELSKSQLLLEDIGSTAGSILILTTMRINDSDDPIAAQKAGEVLKNLEKCSKNIKYMAESGYLEPLQSHLVEGSEEMQMEMVGYLAELIQEQELTIDINGSTSGVLIKMVHSCNTVARKAALDVLVQLSSHRPNSKTLVEAGVVPVMVEELFIRKVDDEPLSYKAMAAAVLANVVESGIDPDTTVVNKEGHVLTSKYSIYNFVHMLKCFMPDELNLSIIRVLLALTAHAKPLAAVVSVVRENHRGHSIVELMSSWTEALGVASTRLLITLSAHMGHTIAERLCKTQGQPRRLVRSIVRAGRAAITERHAAAVTLLSRLPYRNVSLNLALVQEGAVPAILRGIEEMGSGAARMTTSRHAAPYMEGLVGTLVRLTATLYNPDVLKAAMDHDFASVLTALLAGAAGSGEVQRLAAVGLENLSYHSIKLSRPPPPPEDEPRPKKMTILKRLKDARVHNHSSSKNPPLNVCPVHRGVCTPATTFCLLEAGAVEGLLACLENDDARVVDAALGALCTLVDDRVDVEKAVVALAGQGAARRVLAALRQHRGNSLWHRCFSVVEKLLVHGEDVCVREVTGDRMLPTALVSAFHRGDANAKQAAESILRRLHKMPDYSATYMSVEF >ORGLA02G0264800.1 pep chromosome:AGI1.1:2:24243207:24245217:-1 gene:ORGLA02G0264800 transcript:ORGLA02G0264800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPMPTEGSEPLLPRKQRDGGDDGAASSHDHFDGASFSGAVFNLSTTIVGAGIMALPATMKVLGLVPGLILVMLAAVLTDASIELLVRFSRAVGATSYGEAMGDAFGAFGRGLLQLCVVVNNVGVMVVYMIIIGDVLSGKSSSGGVHHHGVIEGWFGPNRWNGRFSILVIVTLGVFTPLTCFKRVDSLKYTSALSVALAVVFVVITAGITTIKLMRGQIPMPKLFPDVHDWSSTWRLFTAAPVLVTAYICHYNVHPIHNELKDHSQIRPIVRASLLLCLAVYTTTSFFGFLLFGEATLDDVLANFDSDLGIPYSLVLDDAVRVSYVLHLMLVFPIVFHALRFNMDGLLFPSARPLSSDNRRFGAITAALLMVIFLAANFVPNIWDAFQFTGATAAVAIAYIFPAGMALRDRHGIATKGDKYLAVFMIVLALVANGVAVYSDACLGTF >ORGLA02G0264700.1 pep chromosome:AGI1.1:2:24236210:24238253:-1 gene:ORGLA02G0264700 transcript:ORGLA02G0264700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLRAGGGSSSPPFQHREEQHSSHSSRGYGMEIRRSLRPLELAKQRGRSSGNGTAAGSAVDGASPAGSDSEEHVLPGGVGTFSIRHASGTPSREEAGSHGGVRRSAFAFAPALHGARMENAHETGGRSGSRAHRAPSTMWQDSAIDQRSIGQTPYEATRAEGRSSASSADQGPSTPRSKHSATEQRRRTKINDRLEILRELLPHTDQKRDKASFLSEVIEYIRFLQEKVQKYEEADPERNHEDSKSMPWAKVYYRSCWRNTKNTSQVQGEDLSPSTQDMNNEQYGPKHISAAQPALFNTQSVTSTTTSSSHMATGTPQNLEKNSTPSNQPPWLSMSTMRQESEPGNKMPNKHEKQTLHDENHSISSAYSQGSVP >ORGLA02G0264600.1 pep chromosome:AGI1.1:2:24234690:24235373:1 gene:ORGLA02G0264600 transcript:ORGLA02G0264600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast thylakoid membrane, chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 14 growth stages; BEST Arabidopsis thaliana protein matc /.../Uncharacterised conserved protein ycf60 (TAIR:AT2G47840.1); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G55710) TAIR;Acc:AT5G55710] MASAVSLLLLSSPRPLRRAAPVPALRSQARHPLLLGHAGETALGVWATRARLPAPPPRASNPNNDNDNSGAVEAPDRLVAAVAYLYPFLDGVHHGRFLLAQFPLFSTLLSPLAPAARLFRSSPLTPFLLFLTLYFAVVRNQQAFSRFVRFNAMQAVALDVLLIFPDLLVQSFAPSTGGGIGFELFQSMESTVFLFLLVCLVYGGGACLLGKTPRLPIVADAAERQVM >ORGLA02G0264500.1 pep chromosome:AGI1.1:2:24229619:24232758:1 gene:ORGLA02G0264500 transcript:ORGLA02G0264500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKATMGGVKVAGGGGGMEKVRCPSVAAVARSRMRPWVLRATTTVLLWTCVMQLTAVGERWGPRVLKGWPSCRTAQEAASAALAATRFPMPVPVVEKAPLPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLIVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVELGMFHSMPPISWSDISYYRNKILPLIRKHKVLHLNRTDARLANNGLPLDVQKLRCRVNFGSLKFTSDIEELGRRVIRLLRQNGPFLVLHLRYEMDMLAFSGCTEGCTREEADELTRMRYAYPWWKEKVINSYAKRKDGLCPLTPEEIALVLRALDIDRSMQIYIAAGEIYGGKRRMAALTSAYPNVVRKETLLQPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTILLDRKLIVELVDQYNSGSMLWDEFSSLIKSVHANRMGAASKRTVIHDKPKEEDYFYANPQECLRDPNLLRTS >ORGLA02G0264400.1 pep chromosome:AGI1.1:2:24223366:24226315:1 gene:ORGLA02G0264400 transcript:ORGLA02G0264400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWERARAFAGEAAKRSQELSAEAAKRSSALVSETAKKSKEIFSETATKSREIAAEATKQADLLAGQIKRLSTDLPVPSIPAIPPIPTAVAPEPDAAELERYGITEDLREFVKGMTISTFRDFPLQDEPEMSDVPTVSNVRQDLNEWQARHATLVLSAVKEISKFRYELCPRYMKERKFWRVYFLLVNNYTSLYENKYFEELKVKAEEEKMDAKKEVTETSQATTAEHKDMKVQSKTSTSTNPEHDLDVFLLGDLGSDDEGPDGDDDGLDDDFDKIDGTSGLESDDDDDKEKAAGKAVSAKE >ORGLA02G0264300.1 pep chromosome:AGI1.1:2:24209545:24210396:-1 gene:ORGLA02G0264300 transcript:ORGLA02G0264300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFQSIPGLAGRLFGGAAAADIRRAQAQQGPASRCGGIPSPEAVKCPRCESTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKTKRSGSSSAASSAPSTPTAATDNAKNQRRASASSPRSSSGGSGNTSPTAAAATTPTTPATPSSNTIAVINHATTTTTTTTNPFPTDVPPPAPIFADQAAALASLFAPPPPPPLPVFSFAGQAKTEDGIASVLLAGQTTAPTAATVADMTPFTSLDAGIFELGDVPPAAYWNAGSCWTDVPDPTVYLP >ORGLA02G0264200.1 pep chromosome:AGI1.1:2:24201051:24201851:-1 gene:ORGLA02G0264200 transcript:ORGLA02G0264200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARVVHVMLLLFVALGACRATHNITAILADHRDLAEFGRQLTATGLADDIDGRNTITVLAVDDAHMAQLRARGLPREALRHVLSLHVLVDYYDDAKLHRLPGGSAVVSTLFQASGDAPGSEGMVKIAVRRGGRVAFVPQDVDDARANVFYVKSVHEAPYNISVLQVSAVITSPAAEAPSSATESKPNSTDASSKHGPPNAGAHAAPSPVGQGSSSDDGADEGKKSGDGGDGGKKNGASVGAAPRGLPFALAFLMAASAILVVNW >ORGLA02G0264100.1 pep chromosome:AGI1.1:2:24193826:24194526:-1 gene:ORGLA02G0264100 transcript:ORGLA02G0264100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRKRGGRNMDQVEKAAVRSDGVGGSATNAELPMANLVRLMKKVLPGKAKIGGAAKGLTHDCAVEFVGFVGDEASEKAKAEHRRTVAPEDYLGSFGDLGFDRYVDPMDAYIHGYREFERAGGNRRVAPPPPAAATPLTPGGPTFTDAELQFLRSVIPSRSDDEYSGSSPAIGGYGYGYGYGKNM >ORGLA02G0264000.1 pep chromosome:AGI1.1:2:24171477:24172241:-1 gene:ORGLA02G0264000 transcript:ORGLA02G0264000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGYPGAAANGAAADGNGGAQQAAAAPAIREQDRLMPIANVIRIMRRVLPAHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSRLGFDDYVEPLGVYLHRYREFEGESRGVGVGVGAARGDHHHGHVGGMLKSRAQGSMVTHHDMQMHAAMYGGGAVPPPPHPPPHHHAFHQLMPPHHGQYAPPYDMYGGEHGMAAYYGGMYAPGSGGDGSGSSGSGGAGTPQTVNFEHQHPFGYK >ORGLA02G0263900.1 pep chromosome:AGI1.1:2:24165850:24168370:1 gene:ORGLA02G0263900 transcript:ORGLA02G0263900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: tRNA (guanine-N1-)-methyltr /.../ase (InterPro:IPR016009), tRNA (guanine-N(1)-)-methyltransferase, metazoa (InterPro:IPR016653), tRNA (guanine-N1-)-methyltransferase, eukaryotic (InterPro:IPR007356); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G47680) TAIR;Acc:AT5G47680] MADDAASEQATDVAAAGAADEGQPPAMSKSARKKLLKQERQAAQKAARKAAEKERRRADIERRRREWEEALAAAPSEEARAEMVEARRQTRRERVGRXXXXXXXXXXAERLRRAAEGAGQKVVLDLEFGDLMRPNEIHSLTQQIMYCYAVNGRSTNPAHLWLTGCNGEMATHLQWIPGYDKWMIEKEAKSYLEAFEDRKENLVYLTADAETVLDDLDMSKIYIIGGLVDRNRWKGITLKKAVDQGIQCAKLPIGNYLKMSSSQVLTVNQVFEIMLKFVETRDWKTSFFHVIPQRKRGEAEAGNDGVDISMNNVDAAEGAENQGDLTKVFDEDVDDDDVDDDDAVDEELQEEDTDMAKKKQCIRHENGEAEDASTRPAEDHSPGAAAETTTPTGGALPQAEQSKESNGADD >ORGLA02G0263800.1 pep chromosome:AGI1.1:2:24164499:24165220:-1 gene:ORGLA02G0263800 transcript:ORGLA02G0263800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAIFAAVAFVLLAASVSSSSAALYTVGDARGWAVPPTGSESYNHWGLKNRFRVGDVVEFKYVNESVVVVNHEGYRNCSSLSPVIRFTDGDTKYLLDRPGLVFFISGVQERCERGLRMRLRVRPAAPGPAQAPAPGPTRAALTLRRPPIGAPRPAAVTAAFTPTSPSASRPSARTSPSPSPGPAQAPSGASGRALTGFSMAAALLVVCVVSVFILV >ORGLA02G0263700.1 pep chromosome:AGI1.1:2:24161906:24163008:-1 gene:ORGLA02G0263700 transcript:ORGLA02G0263700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDETGRTIPKFGAWDVNNPASADGFTVIFSKARDEKKGPVNVDASTRSNSDMKDSNNNKATEKINPYHRRTNSASKKWFCCVSPSPTQP >ORGLA02G0263600.1 pep chromosome:AGI1.1:2:24155319:24160431:1 gene:ORGLA02G0263600 transcript:ORGLA02G0263600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase like E1 [Source:Projected from Arabidopsis thaliana (AT1G55850) TAIR;Acc:AT1G55850] MAGSGGGVVSGGRQRGPPLFATEKPGRMAMAAYRVSAATVFAGVLLIWLYRATHLPPGGGDGVRRWAWLGMLAAELWFGFYWVLTLSVRWCPVYRRTFKDRLAQRILIANSYSEDELPSVDIFVCTADPTAEPPMLVISTVLSVMAYDYLPEKLNIYLSDDAGSVLTFYVLCEASEFAKHWIPFCKKYKVEPRSPAAYFAKVASPPDGCGPKEWFTMKELYKDMTDRVNSVVNSGRIPEVPRCHSRGFSQWNENFTSSDHPSIVQILIDSNKQKAVDIDGNALPTLVYMAREKKPQKQHHFKAGSLNALIRVSSVISNSPIIMNVDCDMYSNNSESIRDALCFFLDEEQGQDIGFVQYPQNFENVVHNDIYGHPINVVNELDHPCLDGWGGMCYYGTGCFHRREALCGRIYSQEYKEDWTRVAGRTEDANELEEMGRSLVTCTYEHNTIWGIEKGVRYGCPLEDVTTGLQIQCRGWRSVYYNPKRKGFLGMTPTSLGQILVLYKRWTEGFLQISLSRYSPFLLGHGKIKLGLQMGYSVCGFWAVNSFPTLYYVTIPSLCFLNGISLFPEKTSPWFIPFAYVMVAAYSCSLAESLQCGDSAVEWWNAQRMWLIRRITSYLLATIDTFRRILCISESGFNLTVKVTDLQALERYKKGMMEFGSFSAMFVILTTVALLNLACMVLGISRVLLQEGPGGLETLFLQAVLCVLIVAINSPVYEALFLRRDKGSLPASVARVSICFVLPLCILSICK >ORGLA02G0263500.1 pep chromosome:AGI1.1:2:24150720:24154647:1 gene:ORGLA02G0263500 transcript:ORGLA02G0263500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G07670) TAIR;Acc:AT3G07670] MATAAALALHTQFRPPRSPRRLRQHLALPSGVLIRSPVRASAASASAPAQREAAAAGVPWGCEIESLESAVSLERWLTDSGLPEQRLGIQRVDVGERGLVALKNIRKGEKLLFVPPSLVITADSEWGCPEVGNVLKRNSVPDWPLIATYLISEASLESSSRWSSYIAALPRQPYSLLYWTRPELDAYLVASPIRERAIQRITDVVGTYNDLRDRIFSKHSDLFPEEVYNLETFRWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDYDKSSGGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVSLNKSDKCYKEKLQALKRNGLSEFESFPLRVTGWPVELMAYAFLVVSPPEMSQRFEEMAVAASNKSPSKPGLNYPELEEQALQFILDCCESNIAKYTKFLEGSSGSLQLSTNSKQANRTLLLKQLARDLCISERRILYRTQYILRRRLRDMRGGELKALSLFNGLRKLFK >ORGLA02G0263400.1 pep chromosome:AGI1.1:2:24146475:24149860:-1 gene:ORGLA02G0263400 transcript:ORGLA02G0263400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFPKLPKRFPFQLLFLPSQHARRRSHMADPSRSSPTAAAAGDALLAAAAAPGDAPDATALAVAADADAEFGFQRAELGTEKLAGTVQFHERHVFLCYKGPEVWPSHVEAAESDRLPRLLAAAIKTHKSDLKKKTKLTICEGEDGTESSNGDVLIFPDMIRYRGLTHFDVDNFVQEVLVKDTEWLPGSPEAIKGSYVFVCCHASRDKRCGVCGPALIKRFKEEIGVQGLADQVSVSACSHVGGHKYAGNVIVFSADAKGEVTGHWYGYVSPDDVPVLLHKHIGQGEIVDHLWRGQMGLSEEEQRKALESKHVTNGVTEDGAHESPEETTNGSACNPVAAGGCCQGNGGFTCCQSDLPKEDKSITAEQNQKSSEKGADKECAAGSKKRHMKMCSMPTWFETWETADTYAALGIVAAAASVFVAFRIYKNLN >ORGLA02G0263300.1 pep chromosome:AGI1.1:2:24142942:24144927:1 gene:ORGLA02G0263300 transcript:ORGLA02G0263300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASKTIPQTLPEIPTTPEPQQYTKTAPDIPKSNNSRSSVPKLLQESDRGKLNHKLEPKEQKPNHHLKESVDVSSAKCPTEVSEEMVSEGIASQEKKVVEYESVNGSSTSFHTCEGSGPGKASGSARMTDRSETGERGSSSRCRPSTSSDISDESSCSSMSSTTKPHKSNDSRWEAIQTIRVRDGIIGLSHFRLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFPEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSNPDAEALRKNSQGYCVQPACVEPSCVIQPSCAAPTTCFGPRFFSKSKKDRKPKPEIATQISPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPIVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPVEIERPPKLPPSTSGTTDVSSGAPQKGSDGYLEFDFF >ORGLA02G0263200.1 pep chromosome:AGI1.1:2:24134679:24135779:-1 gene:ORGLA02G0263200 transcript:ORGLA02G0263200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKLAAALFFAFAVVAATLAPAAEARVQGFEPAIAVEKTAVSGGAQQPPTTLPGLLPGLPFPLFPFLMFPIPGSPAGAGGAPPSAGSGGFPFPLPFPLPLPAPGSPAAGAPPSSGSSGFPFPMPSPLPLPAHGSPAAGAPPSSGSGLPFPLPFPLPQPSSPAQPQPKECMTPLMSVMPCADYLTNTAVPTPPATCCDGFRSLVSTAPICLCHGMNGDLNSFLPTPVDPMKMMLLPITCGAMPPLQTLFMCSCVSPWYI >ORGLA02G0263100.1 pep chromosome:AGI1.1:2:24127922:24132670:1 gene:ORGLA02G0263100 transcript:ORGLA02G0263100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGAPRRQPPPPPPRQLAAGKKGKASPKAAKRAAPKKQRLLESSSDDSELEQQQGQLQEVESGSDLDVPSDSGAEELSDSDDASFEGGDSGDDEEEEDDEDGDDDPLADDFLAGSDDESDGGDDSGVDSDESDDLEAKSRAIDEEKEKAEEEAEEELKLNIRSESDEFRLPTKEELEEEALRPPNLPNLKRRISEIVRVLSNFSKLRQKDVPRKDYVNQLKTDIMSYYGYNDFLVEAFIEMFPAVELVELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRDCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSLMIPENEAVVDYALKKRNVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVASESSNVPEEAIEKADPSSDDPQKQPIQSKKHKDVKTTNEETSILDGVTKDKRQTHETLKNHKKGEKKRNGPESTKIKGDQKETHNEQEEPTSEKKQPVSAKIKKSVPKRISGNKGKKLDTGKGEKRKRNWMVRRDWEAYKKSRSKQV >ORGLA02G0263000.1 pep chromosome:AGI1.1:2:24123033:24126990:1 gene:ORGLA02G0263000 transcript:ORGLA02G0263000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLRWWRVDASEVAAVTAMGVWEAVLAGGGRRFLKRKDSDAGETGRALEELRSSLYNEMHSSEGAKRQQQRFCGPSVALTFNFAVAVGIIMANKMVMGSVGFKFPIALSLIHYAVAFVLMAILKTMSLLPVAPPSKSTPFSSLFALGAVMSLSTGLANVSLKHNSVGFYQMAKIAVTPTIVVAEFMIFQKRVSSQKVITLAIVSFGVAVATVTDLEFNFFGAVVALAWIVPSAVNKILWSNLQQSGNWTALALMWKTTPVTIFFLLALMPLLDPPGLLLFDWNFRNSLAIIISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIMLSGYLIFSSDPGITSICGAIVALGGMSVYTYLGLKESTTTGKKPPLAQKPKAAGDGEKPGLEHEDSV >ORGLA02G0262900.1 pep chromosome:AGI1.1:2:24121082:24121933:-1 gene:ORGLA02G0262900 transcript:ORGLA02G0262900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKEEIAIPAVPVDFFQLMIDGSSKQWRWGRRGESSNRSQWREERLLIEKMSMRKKMQLGRYPCSRCNQPRSRGGETSMATAAAAAAAAAAKCRCSRRRRLPEREWTAEEDELLRRLAKENLFRQWWKVARGMPGRSGDSCRARWRHHLARDVYHRPFTARDDEELVRLHRHTGGSWRKISRSVYGRTSGIMRDRWIQLRRSGLVPDAAKTAENAGCPPPAADDSEYMGSEAESKSPPPPPQQQHPLADVLASSLDSCTLASDATDPRDGILALDFAFMSV >ORGLA02G0262800.1 pep chromosome:AGI1.1:2:24116509:24117237:-1 gene:ORGLA02G0262800 transcript:ORGLA02G0262800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSMDITAIDDPKEFDMLLGRWHPIEGSSGYDGSPFCEKRIQIVTGKTTTPPPPPATPLCAAARGRFAACPAVRHRTPKENARLRYVDSGRQRRAAEGCTPLGRSRDVRRHRRVYRITVFAAVDHDSFAARGAEGTMLLHRHVQRSVVDGRASRISVGCRIKHHRLSGLVPAAETGATCPPPAADSAAMEGGVAESESPPPPQHPLADALASSLDSCTLASDTADPGDGDLALDLPFMSV >ORGLA02G0262700.1 pep chromosome:AGI1.1:2:24112913:24115772:1 gene:ORGLA02G0262700 transcript:ORGLA02G0262700.1 gene_biotype:protein_coding transcript_biotype:protein_coding NIYQEELPSNSSGSFHGLYQYTYISPTLTKEWKQTHHYPGRIPLNSTVEQKARKVAVLVALSASGSAPVLYRTMDALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCVGQPAAVRCLEENTSLCQNCDWNGHGAASSAAGHKRQTINCYSGCPSSAELSRIWSFSMDIPTVAAEPNCEEGINMMSINDNDVNNHCGAPEDGRLLDIASTALMSDLPTGDKFKPLIGSSSGDGMNLLPLNSDQPAEPVSTTPKAPCVTDKDMFNDGSVYGDFCVDDADLTFENYEELFGTSHVQTEQLFDDAGIDSYFEMKDVPADESNEQPKPVQPECSNVASVDSGMSNPAARADSSHCIPGRQAISNISLSFSGLTGESSAGDFQDCGVSSMILMGEPPWHPPGPESSSAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >ORGLA02G0262600.1 pep chromosome:AGI1.1:2:24104257:24104797:-1 gene:ORGLA02G0262600 transcript:ORGLA02G0262600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEDWAGKFILEIDKVTRDASLLTAWMVHHSAICISATVPLRLLEGTVEKNRETLVLSTRHLGHVDVEYPALAAKHP >ORGLA02G0262500.1 pep chromosome:AGI1.1:2:24097124:24099533:1 gene:ORGLA02G0262500 transcript:ORGLA02G0262500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSLGAARPSSVKFRMPTRDNLVPIRVDVEVDGQRYRDAFTWNPCDPDSEIISFAKRTAKDLKLPANFVPQMLHSIQGQLAEFRSYEGEEMQIREKIVPLKIDLRINNTVIRDQFLWDIGNLDSDPEEFARTLCDDLNITDPEVGPAIAVSIREQLYEIASQSVSAMREAARVSKKGRRAPEFASNSKAMNNSLDLFKYFGSKGSVVRKRKEWYLYEPVVDVVTNEEVGVTDATEEINSRNA >ORGLA02G0262400.1 pep chromosome:AGI1.1:2:24087390:24091246:-1 gene:ORGLA02G0262400 transcript:ORGLA02G0262400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKSSKDTDDDHEPKQQEKKLPEHLEVQRTRVVCKADAPVNTEGFQYASAFSAMGIDNSVSAEKFCKNFKVEISRLTEDDMEFDMIGIDASIANAFRRILIAELPTMAIEKVLMVDNTSVIADEVLLHRLGLIPLDADPRHFEYMSENDVPNERNTIVYKLHVSCKKGSPWLTVKSGDLEWLPEGSRLPLASPAQSRDKQKTYTSFSRSQNDILEKPLGVKFKDITIARLGPGQAIELEVHAVKGMGKVHAKWSPVATAWYRMFPEVVFRKEIKGDNAEKLVKKCPVNVFDIEDLGNGEKRAVVARPRACTLCRECVMGPSREQVELRRVRDHFIFTIESTGGLPPEALFTEAVRILEEKCERVISELS >ORGLA02G0262300.1 pep chromosome:AGI1.1:2:24084210:24085504:1 gene:ORGLA02G0262300 transcript:ORGLA02G0262300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1P3R7] MECMASTEESLPASSSMDSCSGELPTTTTTAPQSTASSGCRPPATAAKRRSLISTDLRLGLTLSSVVHIDGNNPSTPRSSLTTATVTADRGGGGGGHGRRRSLFVKVYMEGVPIGRKLDLLPLDGYKGLVARLASMFRASITYHHCHRQFAVVGMKTNKVHHVLTYEDQEGDWMMAGDVPWELFLTSVKRLRIARADDKYCYSC >ORGLA02G0262200.1 pep chromosome:AGI1.1:2:24073917:24078278:-1 gene:ORGLA02G0262200 transcript:ORGLA02G0262200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGSRGFSAASKGKAIASKKDLPMNVFTGSLRQNSSANIPHVNIDSDSDSEGFVEELPRVNSKTNGKAASESLKTGGKASSKGQTGKGGNAGKGGKGSASARVSAKSDAELKLELDMPPNSCILMNCEVAELLQEIHEHMAILSEDPKIKIPESFDKAFQYVKEGNQFSTAQSVKQVLDPLRKYGVSDGEMCLIANVGPETIEEVYALVPSLKATRSLNESPIMEALTALADIKAAK >ORGLA02G0262100.1 pep chromosome:AGI1.1:2:24071659:24073002:1 gene:ORGLA02G0262100 transcript:ORGLA02G0262100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATTGARHLHPPWRRGLRHHRQSTMPPRASRGRLADAALFTAGAVLGSVLLLTLASPFSSSSSPSSGVGSGEVDRLGGGRTFYDDPGVAYTIDRPIVGWDEKRAEWLRAHPELAGGGGERVLMVSGSQPEPCGSPAGDSLLTRLLKNKLDYCRLNGVQLLYNTALLRPSMDRYWAKIPVVRAAMVAHPEAEWVWWVDSDAVLTDMDFRLPLSRYRDHNFVAHGWPHLVYESRSWTSLNAGVFLIRNCQWSLDFMDAWAAMGPDSPEYQHWGAVLTSTFKDKVFNESDDQSALVYMLLQSGSPWRDKVYLESDYYFEGYWLEIAGRLGNITERYEAMERGAAPLRRRHAEAEHASYAAARDAALAGAGLAESGVSGWRRPFVTHFTGCQPCSGHRNEHYTGKSCDEGIRRALSFADDQVLRAYGFRHAGPLSDAVSPLPFDHPTQTA >ORGLA02G0262000.1 pep chromosome:AGI1.1:2:24047136:24047856:-1 gene:ORGLA02G0262000 transcript:ORGLA02G0262000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLLNVSFRRALVGQNLVCWHELCASIVHIQLNDSSDSFRWNFHQNGLFSVIFRATHWLRFLAQLQRCDEDEEFLKVACRKLETTVMQLFANYG >ORGLA02G0261900.1 pep chromosome:AGI1.1:2:24037202:24046688:-1 gene:ORGLA02G0261900 transcript:ORGLA02G0261900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTGNPNPNPNPSTPFEVSMLFKPPSNAPAAAPIFPGGPAAGPPPPSAAYSYPPATPPFHRAPFLHYPQDPMAAPHMPRPVISFPMPTPNPAAIPSAAAAAAAAAGPSQNHGARLMQLLGSSGPAHLDSSASMPPPASEFAAAQPPQPIPAMPSAPPARMLSSTSSKMPRGRLLGGGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEDSKPQITGKIEIAIQIVGDAESYHPRICWHSHKQEILFVGIGNCVLRIDTTKVRRGRDVSAEEPIKCHLDKLIDGVRLVGKHDDDVTDLSLSQWMTTRLASGSKDGTVKIWDDRKPVPLSILKPHDGQAVYSVAFLTAPEHPDHINLVTAGPLNREVKIWASANEGGVLLPSDSETWNCTQTLELVSSLEPRVEEAFFNQVTVLPQASIILLANAKKNAIYAVHVEYGTDPASTRLDYIADFTVAMPILSLTGTHESQPGNDQVVQVYCVQTMAIQQYGLDLSLCSPPTSETTGLGRDPSISRVHETPLEVVGAESSMPTSFTDSYSVGSPSKSSTVDQQSELDPKPSAPPLTYTEGDGSVHLPSASLASNMDPSGSGSSLGNLEMDQPAFDYAMNRNVEPKILTRQDTPMPKDNFGKDDPRDGRNDVTMLPNPHLMFKVGGNTTHLVTPSEIISGALSSAESNHVPKSDGVKIQDGTSSGHQMAEVEPKHTNEHTSDQNLDLEVAQVVCENTKQAGSSEQTVKMISERSVTTDKYSVEESQTSCDRSISERTGAADESVTKKPVEVPEKSDYSSASVEQSSSYTKKEKIMHPQASGQSSPSTSAFNSTESSHEPPSSAYPPINSFPEVTTQGMLQQLIAMHKDLQKQLGTIVVAPLAKEGKRIEASLGRTMEKSIKANLDSLWVRIQEENAKREKAERERMQQMITLITNSISKDLPATLEKSLKKEISSLGPVIARAITPIIEKCSASAVADSIQKVVGDRVVNQLDKSVSAKLEATVARQIQMQFHTSVKQTLQDALRASLEAFLVPAFEQSCKTMFEQVDSAFQKGMSEHTVAIQQQVEAAHTPLAQTLKDTISSASSITQNLTAELLDGHRKLLALLASGNAKAHSTNVLQPNNVPVTGPPEVEAPLDPMKELGRLISERKFDEAFTMALQRSDVSIVSWLCSQVDLRALCSMVPVPLNQGVLLALLQQLAVDIATDTPRKIQWMTDVAMAINPTDPVIAMHVKPIFEQVYNALLHLRSLPTTSPSDSTSIRLFMHVINSVLLSYK >ORGLA02G0261800.1 pep chromosome:AGI1.1:2:24032046:24036023:1 gene:ORGLA02G0261800 transcript:ORGLA02G0261800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRYMAYSPSPSTTPHSPRIPGLRAASSAVADQEKYLAELLAERHKLSPFIPVLPNSVRLLNQEILRVSTLLENASLLNQSGLEHGSPLTTGGLYSNGAAADMNGWTSAFQSEGSPAYSWRGGSQGSSSGLIVKKTMKVDIPVDKYPTFNFVGRILGPRGNSLKRVEATTDCRVLIRGRGSIKDPAREDMMRGKPGYEHLNEPLHILVEAELPVEIIDTRLIQARDILEDLLKPVDESQDFFKKQQLRELAMLNGTLREEGMQRSGSASPFHNSLGMKRAKTRG >ORGLA02G0261700.1 pep chromosome:AGI1.1:2:24019447:24022328:-1 gene:ORGLA02G0261700 transcript:ORGLA02G0261700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNHYQHIKSNKPVLGKARKLKDLMLKSDNRICADCGAPDPKWASANIGVFLCLKCGDVHRALGPDVSKVLSVTLDDWSDSDIDSMLEIGGNSYANSIYESFLPKDHPKPKMDSTMEYRTKFIRAKYETQDFLKPSLRITSKGSFDATNAVKSVTSSISSASGKHVVDDTREFVGELNITVVRGIQLAVRDMLTSDPYVVLTLGEQKAQTTVKPSDLNPVWNEVLKISIPRNYGPLKLEVYDHDTFSADDIMGEAEIDLQPMITAVMAFGDPSRVGDMQIGRWFMTKDNALVKDSTVNVVSGKVKQEVHLKLQNVESGEMELELEWVPIP >ORGLA02G0261600.1 pep chromosome:AGI1.1:2:24012817:24018832:1 gene:ORGLA02G0261600 transcript:ORGLA02G0261600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGEGDGQTEPLLEKLSNSSSSEIDKRTGTAWTATAHIITAVIGSGVLSLAWSVAQLGWVGGPAAMVLFAGVTLVQSSLLADCYIFHDPDNGVVRNRSYVDAVRFYLGEKSQWFCGFFLNINFFGSGVVYTLTSATSMRAIQKANCYHREGHDAPCSVSGDGYYMLMFGLAQVVLSQIPGFHDMAWLSVLSAAMSFTYSLIGFGLGVAKVITNGVIKGGIGGIAMVSATQKVWRVSQAIGDIAFAYPFASVLLEIEDTLRSPPPESETMRTASRASIAVTTFFYLCCGCFGYAAFGDATPGNLLTGFGFYEPYWLIDFANLCVAVHLLGGYQVYSQPVFAAVERRMGGAGAGVVEVAVPAAVAWPSRWRRGCRVNVYRLCFRTAYVAATTALAVWFPYFNQVVGLLGAFTFWPLSIHFPVEMYLVQKKVAPWTPRWLAVRAFSAACLATGAFASVGSAVGVFSSKTS >ORGLA02G0261500.1 pep chromosome:AGI1.1:2:24006224:24008185:1 gene:ORGLA02G0261500 transcript:ORGLA02G0261500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:I1P3Q9] MAKGRIFSALLMVFLVLAPHCEAFYLPGSYMHTYRQGEEIWAKVNSLTSIETELPFSYYSLPYCRPQGGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTNPLDEADVKLLKQRSRDLYQVNMILDNLPVRRFTEQNGVTIQWTGYPVGYTPEGSNEVYIINHLKFKVLVHRYEGGKVKVVGTGEGMEVISETETDAKSGYEIVGFEVVPCSVKRDLEAMSKLKMYEKVDPTSCPVEMEKSQLIREKEQITFTYEVEFVNSDIRWPSRWDAYLKMEGAKIHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTSSKLLCVMIGDGVQILGMAIVTIFFAAFGFMSPASRGMLLTGMIFLYMLLGIVAGYAAVRLWRTLKGTSEGWRSVSWSTACFFPGIVFVVLTVLNFMLWSRNSTGALPISLFFTLLSLWFCISVPLTLLGGFFGTRAEPIEFPVRTNQIPREIPAKNYSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVVVCAEVSVVLTYMHLCAEDWRWWWKAFFASGAVALYVFLYSINYLVFDLRSLSGPVSATLYIGYAFVVSLAIMLATGTVGFLTSFSFIHYLFSSVKID >ORGLA02G0261400.1 pep chromosome:AGI1.1:2:24000706:24003200:1 gene:ORGLA02G0261400 transcript:ORGLA02G0261400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGSEEFLKLYIHGVLADGTTTSDYRLLPAWEFSSSERVVAASTSTTSRPSPADEGEPTGWEGPKLEVEKLASSTDVDAGESSQPQQPASVCVQEMVITMVSADDCVYSLLPMVPIALSDPGTALPDANSYFSISIYPVEGRCVLKHYQNRGSEKQWVSTSIYYLALNSLEDDLGEDPIELDSPEDALGKDPIELHGQISMEIHMPPLMMKFQKDYRCEKQIGKGSEGRVYKCTSRFSPHCFAIKEVESSELTMASTHCEPTDVSTLALLDHVNIVDLYSAWIEKKKSFGSVTNVIYICMKECARSLSEYLNKRQELGLQNEHNMFAQLIDSLIFMHRHGIVHRDVKPGNILLEENFTVKLADFGIGMNHHLHMFNIIQVTTDADNSVFSFSQKKKNSHHISLTFMGVGMAVCPTGPQSL >ORGLA02G0261300.1 pep chromosome:AGI1.1:2:23998195:23999498:-1 gene:ORGLA02G0261300 transcript:ORGLA02G0261300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQFSCRDNVVINSDEKFAVLRSIGDECIYEDELRGLLKNKPSPTCCVWFEPSTNMDIEQGIMKTIYVNRMVKAGCAVKIVTADWFLQRHYKIGNNLSKIRNIGYLNIEMWKAAGMDLDRVELVWLSDELNLHAVDYWPVAMDVSRRYTMTRIARIFWSNAEHGPQILPAAEIIYPCMQVASILCEKKTRGLGDLLNSSAGPKLAFECMSVPVDLIL >ORGLA02G0261200.1 pep chromosome:AGI1.1:2:23973239:23977635:-1 gene:ORGLA02G0261200 transcript:ORGLA02G0261200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAESNVDAIEISASNDERRDRGDAEISEDEPRQTRIRSLKKKALHASTRLTHSLKKRGKRKVGCRVPKITIEDVRDAEEEQAVSSFREVLFARDMLPERHDDYHTMLRFLKARKFDVEKAAHMWADMLHWRKDFGTDTILEDFEFHELEEVLQYYPHGYHGVDKEGRPVYIELLGKVEPSKLVQITTVERYIKYHVQEFERAFREKFPACSIAAKKHIDTTTTILDVHGVGWKNFSKIARDLVRCMQKIDGDYYPETLHQMFIVNAGPGFKLIWSTVKGLLDPKTSSKIHVLGTKYQHRLLEAIDSSQLPEFLGGSCTCSSQGGCLRSNKGPWSDPLIMKLVHCMESSALKDIGQVSDIEEAITGSVRLRALKLPERISYTSNAESGSDVDDLGSPIGQEDFEYHSLAPVHEEARESGSTCSGSDDKVVETNTRYNPPGNGSGQYSARQNSSINRVSPEPGHVPSDGEGNADHGILKYISKKVLGVILEVLSFLRIFIRHRQQLENVPQHTTTVHSNQADLQIIKEDRVNPCLERLERLETMFNQLSRKPPEIPQDKDRAIQDSFDRIKCIEFDLEKTKKVLHATVIRQMQMAETLEAVKESDLRIRVXVGF >ORGLA02G0261100.1 pep chromosome:AGI1.1:2:23969067:23970870:-1 gene:ORGLA02G0261100 transcript:ORGLA02G0261100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRGLLLLVVSAAVLVGLASASPFISDSVFLGSVGSTGRSLLQAKKNCPVNFEFQNYTIITSKCKGPRFPAKQCCDAFKEFACPFNEYINDESNDCASTMFSYINLYGKYPPGLFANECREGKLGLSCEGVSQKDSVVSSAGQQAQSSLLAFIMLTFGLAALWFH >ORGLA02G0261000.1 pep chromosome:AGI1.1:2:23954940:23960909:-1 gene:ORGLA02G0261000 transcript:ORGLA02G0261000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYHEDHIEEMEDDYDMDDTADDMGEENYERGMRDSDSEDEEHGQSNDKIPDTSSADARKGKDIQGIPWEKLAITRDKYRQTRLDQYKNYENMPNSGEAAAKECKPTEKGGMYYEFRRNTRSVKSTILHFQLRNLVWATSKHDVYLMSHFSVLHWSALSGLDTELMNVQGHVAPREKHPGSLLEGFSGTQVSTLSVKDNLLVAGGFQGELICKWEMQHLDREGISFCCRTTYDENAITNAVEIFNTTSGAVHFMASNNDSGVRDYDMERFQLYKHFQFEWPVNHTALSPDRKLAVIVGDDPNGLLIDANSGKTLHSLKGHFDYSFASAWSPDGRTFATGNQDKTCRIWDVRNLSKSLHVLRGNLGAIRSIRFTSDGQFMSMAEPADFVHVFDVGSDYTRRQELDFFGEISGMSFSPDTDMLFVGVWDRTYGSLLQFGRLYNHSYLDSLC >ORGLA02G0260900.1 pep chromosome:AGI1.1:2:23951799:23953999:-1 gene:ORGLA02G0260900 transcript:ORGLA02G0260900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVPEPEPQPELSTAAARRQDEERKTALRIIRNELRLLWRDPPPYLRPGPEPVTDPFHWEVVIDGPAGTPYAGGTFPVDIQLPAAGYPFVRPKVTFKTLVYHPNIDEEGNMVLDAESWSYATKLRGLLTGFVSVLYDPLLDYPINYDIAEQYAYDYERYEAEARAWTREFSSAPVVSHYPPNAVVGRTPPAVPHFPATAARRRAEAEARRRAAAAAASSGSGTKLEQNTAPFLRCSHLLIASSNRTKIVEANMVTSLTQLMWRSPGQH >ORGLA02G0260800.1 pep chromosome:AGI1.1:2:23947916:23950759:1 gene:ORGLA02G0260800 transcript:ORGLA02G0260800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWESAGGQRNCQQLGGGVFGGDAHHLFDEMSTYPRGDSAAVLRVTVSQIIYPVTYEVLHQVYDTYGAVAVQVLAAFHHTKVDLSLSKDSTRDVAALSANTDPTSIALEVSTEAGSTNHVDTAKLGMGTTIKCSMKCENQLADDDGGKDMAKEEWMELMEVDTKFTTMYLCFRDPLLIINAIPPRNWSWCLSRDYFGVIGLSFVSLKLEVLYGCFDRSSEYTASPPPVPPWRAAIPWNKAEMTSGSRPLPWPDPQLCQGSGGVVVQSNNNDVLDDTSWTQFGSNNVCEDNIVLHTWAYRVVKLVAARLVGDQGSHGVYADSSGEEGVKAWWLRQQKHGRECGCSAQVLCMLDKWIQQWAGSTSDGSKVIKQLFXDSAQQDISLQEARQVGWLWAHSAREKETRKEAKQPAARVIDESITRTPQAIASSSLLLLPLSIPIL >ORGLA02G0260700.1 pep chromosome:AGI1.1:2:23942620:23943048:-1 gene:ORGLA02G0260700 transcript:ORGLA02G0260700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIIDEPPPAPAPPQPKQSTTARRWWMKADPRKAAQRRISRELEDLWLDPPAYCRPGPEPVTDLLHWEVIIDGPPGTPYAGGTFPVDVWYPNEYPFQPPKLTFKTKVKSLAQSLSRSLACVHRHISQLMLQSRTYECNSIAA >ORGLA02G0260600.1 pep chromosome:AGI1.1:2:23937963:23938786:-1 gene:ORGLA02G0260600 transcript:ORGLA02G0260600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELPAAAPPQPKRSTAAAAVARRRIGRELWQFWLDPPPYCRPGPSPVKDHFHWEVVIDGPAATPYAGGVFPVDVWFPYDYPFRPPKLFFKTKVYHPNIDGRGRMALDIFQDNWSPAFTISKLLLGFVSVLFDPLLDHPTNRCIAKQYKHEYEVYEEKARAWTQKHSSTPIVSHYPPYAVIGSTPPAVPHFPATAARRKAAASSASGSVSSSRIPLLMKDESIRRRTMKFFQG >ORGLA02G0260500.1 pep chromosome:AGI1.1:2:23929834:23934180:1 gene:ORGLA02G0260500 transcript:ORGLA02G0260500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLLHLLAFSLLFAVATPIRDITDVCSSQIKDFQYLNSTGLHLELHHPRSPCSPAPVPADLPFTAVLTHDDARISSLAARLAKTPSARATSLDADADAGLAGSLASVPLSPGASVGVGNYVTRMGLGTPATQYVMVVDTGSSLTWLQCSPCLVSCHRQSGPVFNPKSSSTYASVGCSAQQCSDLPSATLNPSACSSSNVCIYQASYGDSSFSVGYLSKDTVSFGSTSLPNFYYGCGQDNEGLFGRSAGLIGLARNKLSLLYQLAPSLGYSFTYCLPSSSSSGYLSLGSYNPGQYSYTPMVSSSLDDSLYFIKLSGMTVAGNPLSVSSSAYSSLPTIIDSGTVITRLPTSVYSALSKAVAAAMKGTSRASAYSILDTCFKGQASRVSAPAVTMSFAGGAALKLSAQNLLVDVDDSTTCLAFAPARSAAIIGNTQQQTFSVVYDVKSSRIGFAAGGCS >ORGLA02G0260400.1 pep chromosome:AGI1.1:2:23920189:23924421:-1 gene:ORGLA02G0260400 transcript:ORGLA02G0260400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:I1P3P8] MSSRGGEDDDHASLLRSHPAAGAGAGSGSSSPCPSPRAVGGHHHNQHADVEAVGEATVTASPRRSGGVRGLLRHLDRRLSARGSGGRRSQQPPQQLDRPEPSPTSSPQQRERVGEELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFDQLKLVKPPQKQGINFLAAIFPTVNAVQAAVTLGTGCSLGPEGPSVDIGKSCANGCSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENSPPFTTAMIILASVISSTVSNVLLGERPAFIVPAYELKSAAELPLYLILGMLCGAVSVVFGRLVVWFSNFFGYLKERYDFPIVVYPALGGLGAGLIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAGYLINSAVPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQSKDGEPSRFRTPRRGYSSLSSEDRNSKSWRRGDSVNDLELTSLRTDNYDTYNEEMLLDDLKVSQAMSKSYVKIPTSAMVTEALKLLHDKQQNCGLVVDCEDFLEGIVTLGDIRRMGFELHGDSFTSGDQLKPAENSSTISLCLTRGFQYEGNERGLLTCFPDTDLTTAKNLMEARGIKQLPVVKRGVGHRTEGKRKLIALLHYDSIGHCLREEIENWKAIYQIKEDFHLIANGH >ORGLA02G0260300.1 pep chromosome:AGI1.1:2:23916792:23917013:-1 gene:ORGLA02G0260300 transcript:ORGLA02G0260300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFGTFGYVKFLSCTSGFPKVFKTPSMSLVRGFRLPTSCINRGGA >ORGLA02G0260200.1 pep chromosome:AGI1.1:2:23904855:23906337:-1 gene:ORGLA02G0260200 transcript:ORGLA02G0260200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSPLLLLLLCSYHSVVAHAGDGQSYKVLELNSEAVCSERNAISSSLSGTTVALNHRHGPCSPVPSSKKRPTEEELLKRDQLRAEHIQRKFAMNAAVDGAGDLQQSKVSSSVPTKLGSSLDTLEYVISVGLGTPAVTQTVTIDTGSDVSWVQCNPCPNPPCHAQTGALFDPAKSSTYRAVSCAAAECAQLEQQGNGCGATNYECQYGVQYGDGSTTNGTYSRDTLTLSGASDAVKGFQFGCSHVESGFSDQTDGLMGLGGGAQSLVSQTAAAYGNSFSYCLPPTSGSSGFLTLGGGGGASGFVTTRMLRSRQIPTFYGARLQDIAVGGKQLGLSPSVFAAGSVVDSGTIITRLPPTAYSALSSAFKAGMKQYRSAPARSILDTCFDFAGQTQISIPTVALVFSGGAAIDLDPNGIMYGNCLAFAATGDDGTTGIIGNVQQRTFEVLYDVGSSTLGFRSGAC >ORGLA02G0260100.1 pep chromosome:AGI1.1:2:23901321:23902827:1 gene:ORGLA02G0260100 transcript:ORGLA02G0260100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLTLILLSGLGFLPRHADAARSYVTVSTSSFAVSSTCADELPGRDWDSLRVSAASPRNGTSAVLRLTHRHGPCAPAGKASALGSPPSFLDTLRADQRRAEYIQRRVSGAAAAAPGMQLAGSKAATVPANLGFSIGTLQYVVTVSLGTPAVAQTLEVDTGSDVSWVQCKPCPSPPCYSQRDPLFDPTRSSSYSAVPCAAASCSQLALYSNGCSGGQCGYVVSYGDGSTTTGVYSSDTLTLTGSNALKGFLFGCGHAQQGLFAGVDGLLGLGRQGQSLVSQASSTYGGVFSYCLPPTQNSVGYISLGGPSSTTGFSTTPLLTASNDPTYYIVMLAGISVGGQPLSIDASVFASGAVVDTGTVVTRLPPTAYSALRSAFRAAMAPYGYPSAPATGILDTCYDFTRYGTVTLPTISIAFGGGAAMDLGTSGILTSGCLAFAPTGGDSQASILGNVQQRSFEVRFDGSTVGFMPASC >ORGLA02G0260000.1 pep chromosome:AGI1.1:2:23898367:23899230:1 gene:ORGLA02G0260000 transcript:ORGLA02G0260000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKKATAVLDEAARARLRGPFASGAASLRRDQDDDDDDLLVDLVHEFYDDGERGADATARGGVSSSPEPEPTEWKDALREALADATSDAAAARIRAEAERAVRDAVRNGGDVIRKRVVERLRARGFDAGVCRSSWERTGSVPAGSHEYVDVTAAASATGRRARYIVEVNVAGEFEIARPSAEYQDLLLSLPPVLVATPEAFRGVAAAMCAAAAESIRGAGMHLPPWRRARYVQAKWSAPYERVAAAAPPEGARTAPSGGRKRCGMEIGRREMAIGKERLVPFFRGL >ORGLA02G0259900.1 pep chromosome:AGI1.1:2:23894343:23897109:1 gene:ORGLA02G0259900 transcript:ORGLA02G0259900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:indole-3-butyric acid response 5 [Source:Projected from Arabidopsis thaliana (AT2G04550) TAIR;Acc:AT2G04550] MRKRERENPCEICGHYHNSEEGERCGVCGHRSGPVAGEPPATLDPAFPTEVLKDFLFLGSYNNASRSEVLKTLSITHILNTVPDCQNLYRNSFTYHCIQDERSLDFDGANRFLEQCERETSRVLVHCMSGKNRSAAIVIGYLMKSRGWRLSQSYQWVKDRRPQVQLTDASQHQLVEYEQKLFGPNVGAPAQSSVPTESFRPLGFGFPKPAGDIQAPVFNQQPVPSIFERVNPSNIPSNFTFGAMEANTPMDDNGAPAPTSGDNPMDSS >ORGLA02G0259800.1 pep chromosome:AGI1.1:2:23890272:23893347:1 gene:ORGLA02G0259800 transcript:ORGLA02G0259800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLRETSCGSLLQKLQSVWDEVGESEEDRDKVLFQLDQECLDVYKRKVDQATKSRDLLLQALDYSKTELARLASALGEKSIDISPEKTARTIKEQLTAIAPTLEQLGKKKKERIKELANIQSRIEQIRGEIAGTLEMGQQVALPQINEDDLTVRKLREFQLQLQELEKEKSRRLEKVLEHVGMVHDLCNVLGMDFFRTITQVHSSLDDSIGNEHKNISNETLSKLDRTIGTLNEDKRLRLEKLQELATQLYDLWDLMDTPVEERSLFDHVSCNRTATVEEVMVPGALAVDVIDQAQTEVERLDQLKYSKMKEIAFKKQAILEDIYASTHVVLDTAVAHEKIQALIESGNMEPSELIADMDSQILKAKEEALSRKEILDKVERWISSCEEESWLEDYSRDDNRYNSGRGAHLNLKRAEKARILVSKIPALVETLVAKTRAWEENHGLPFMYDGVSLLAMLDEYVILRQEREEEKKRMREQKRQTEQLLNIDREGPFGTRVNPYRVTSAKKVAGTKPNGGASNGTPSRRLSTGNQLNESKSTGRSAGKDDKKGASKNTATSLNEAAPADKEAADSSTENFDADPVPGST >ORGLA02G0259700.1 pep chromosome:AGI1.1:2:23888074:23888803:-1 gene:ORGLA02G0259700 transcript:ORGLA02G0259700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRVTTVCHHPSTIPRVRSSLLRHSVVPRAYDSFDDMAIVSVTTATAAAGVLILVVAAGAAAAAGARRHHVVGGDPGWAVASDVLAWSADRLFTVGDTLWFAYSAEDGGVAEVGGEEEFESCDAGSPVRMYTEGLSRVDLGGEGSRYFVSADPDKCGGGLKLRVDVRAPVAGTTPPPGSSRKGDRAAAPAPAPLASSGGRGVATSRTCVMLCCLLFLAI >ORGLA02G0259600.1 pep chromosome:AGI1.1:2:23883511:23886448:1 gene:ORGLA02G0259600 transcript:ORGLA02G0259600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKESPVQPTTSTAQTITSSGTADFQGFHGAPKFAVGVPRNLPAVTALPATAPVTSASLVTLKPPGSSPVKSVNNPSVVTMPHTAPSHLKSDKGVNGPPNLVRTGATYGNLNKSFHDTCARSNLNAVQSSNPVAKNQDTKTASIDATAGNPLTGHHATPSVAPVPPKPTFANHSEIAKSVQRVLHQPANHPSWIPPSTEYMRSRLDCQICKVAIMDMESLLVCDACEKGAHLKCLQHYGNKGVPKAEWHCPTCLTKSKGKPLPPKYGKVTRTAVEPKATPPPAGTQVSSQGAAENIAVKENHEKVAVNGNLLNQNSTQAGSVAQSSTVLALGVTAAVAQSQPLSISRLPEGNLNNDAALSSEKTGNVGPCSSIAHRNEKPPDELQSSGLPANSKTGTQSGKSPNEEVSSVLVSGSADSTNDTLHEQKSHEISGEKCSDNSSIVASEANIKSKADSELISGRDVEMVDNDIPPKDQTNNIATDDKPSTQETSEAHKMEVVEVSANTGIQISQGGSAGTEENLHTEVTSVPHMINDVAMATNAGTPICPSNNVAIEEKPKSTVISEVCTTKDTEMTTDATLDQNTNVATEEIPLPESISATEDADMTTDTGIPTNQRQEVNGLAENGRKEHPLGETDKHKSDHSTMPDMSTAPQITSNGVMHSKDEAACGHEGEIVDISAAATEENN >ORGLA02G0259500.1 pep chromosome:AGI1.1:2:23880400:23881578:1 gene:ORGLA02G0259500 transcript:ORGLA02G0259500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAASPPASLGKRRRRGGAGGGDGEGLRRVAEIVMVLAAAGEVRGGREPTAAERALAAEARERLAAVVTEGAVRPKDLFPGEAVRALVEDLGLNRARDPAAMGFRPPKASIADRLMLTKRKKTAHVLHAGGVVAGADRLQHCVPVPGESMRVLLQPHSSIPGGRCCPFYC >ORGLA02G0259400.1 pep chromosome:AGI1.1:2:23872951:23878678:1 gene:ORGLA02G0259400 transcript:ORGLA02G0259400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQDPFNSRGIAVPPAVKMRNMAGNNSPWIDPLPPPMNARNGLANASLFSTSLPVLPHEKINFLDSARGTPLMDDASAKLKELDDDPEGKDYKFDFDLRQIDDLLPNEDDLFAGITNEIEPAGQTNSMEELEEFDVFGSGGGMELDTDPVESITAGLGNTSIADGLRGNGVNHFGPSNSASTVAGEHPYGEHPSRTLFVRNINSNVDDTELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRAARGAMRGLQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGTYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQLGHDIDQDEPRSYRIPHVGSPIASSPPGAWAQYSSPTDNNLLQAFNASPTGNGMSPIGMPPSLISNAVKIAPIGKDSNWSKYDKVFSNNNQPHGAAFQHSHSYQDHKSEHMSSSPGTLTGPEFLWGSPKPYSEHAQSPIWRPPAIGHAIPSNSRSQGQGLLYGGRQASLFGSQDQLHHHHVGSAPSGAPFESHFGFLPESPETSYMNQVRFGNIGNIGSGRNGTGLMLNMAARASVNPVSALSGNMSDNNSSSFRPILSPRLGQSFYGNPTYQGPGSFGLDNSIERGRNRRVDSSVFQADSKKQYQLDLEKIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDEFHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHSNGPDAGNQEPFPINGICIHMPLEDGAIVTGEPFGNEEDNNQNERTAGEEL >ORGLA02G0259300.1 pep chromosome:AGI1.1:2:23864931:23865557:1 gene:ORGLA02G0259300 transcript:ORGLA02G0259300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 5 [Source:Projected from Arabidopsis thaliana (AT1G12060) TAIR;Acc:AT1G12060] MGSYHYTSTSHFFFAGGGEGEYSSYKGTGSTDTHRPTTVRIPVTTPGPERPPDDAAAARIQAAFRGHLVRRHAAAVRGADDEATRLERLLRRQETVDAVRGDERERARFSEALMAVLLRLDAVPGYYPAVREARRAVTRRVVGLQEVFDAVLAAPDAADTCGVPASLDQVLEGIWGESPAAPPPPPAAVEVEEEVVRSPCWRRFFGGV >ORGLA02G0259200.1 pep chromosome:AGI1.1:2:23861737:23863385:1 gene:ORGLA02G0259200 transcript:ORGLA02G0259200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEQDLHMSRGDGETSYAANSRLQEKAILKTRPLLHKAVEEAHASLSGLSRAPAGGKMVVADLGCSSGPNTLLVVSEVLSAVANRSSCDHKSSLVADVQFFLNDLPGNDFNLVFQSLELFKKLAEKEFGKALPPYYIAGLPGSFYTRLFPDRSVHLFHSSYCLMWRSKVPDKLASGEVLNAGNMYIWETTPPSVVKLYQRQFQEDFSQFLALRHDELVSGGQMVLTFLGRKNMDVLRGEVSYMYGLLAQALQSLVQEGRVEEEKLDSFNLPFYSPSVDEVKAVIRQSGLFDISHIQLFESNWDPQDDSDDDDVATLDSVRSGVNVARCIRAVLEPLIARHFGRCIVDDLFDMYARNVAQHLEQVKTKYPVIVLSLKARR >ORGLA02G0259100.1 pep chromosome:AGI1.1:2:23859152:23859637:-1 gene:ORGLA02G0259100 transcript:ORGLA02G0259100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLSQSSFGSPTPSSRCPLPPIPVVVSTFLQTLAIALRRRSVTALRRCIAAEIGYGSTRSGTITTTFASAAALHSRPCICLEGHQIRLALSVSGRQGPATAVHHFILPRXGRASSGLLVSTAAFPAGCSAFPAGRSTFRRRTPTEARCQGWVGGGGTGG >ORGLA02G0259000.1 pep chromosome:AGI1.1:2:23845051:23849047:1 gene:ORGLA02G0259000 transcript:ORGLA02G0259000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVVVSDPSLQGQFTQVELRSLKAKFLSLKRDSGGHATTKNLPGLMKKLRGLNEVISEEEIAAHLSQSYPDADQEIEFESFLREYLNLQSRVSAKEGGGGGGSGGKTASSFLKSSTTTLLHNLNQAEKSSYVAHINTYLREDPFLKKYLPIEPTDNQLFDLVRDGVLLCKLINLAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLILGLISQIIKIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLRWMNHHLKKAGYKKTVSNFSTDVKDGEAYAYLLKALAPEHSPETTLETKDPSERAKLVLEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRNGLTSDTRQVTLNQTASRDDVLLSREERSFRMWINSLGVDSYVNNVFEDVRHGWVLLEVLDKVSPGSVNWKLASKPPIKLPFRKLENCNQVVKIGKELKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNMLQLLNRLRSHSQGSQGKEITDADILKWANSKVKASGRTSQMESFKDKSLSNGRFFLELLSAVQPRVVNWKVVTKGEDDEEKKLNATYTISVARKIGCSVFLLPEDIIEVNQKMILTLTASIMYWSLQKQPQSQSETSEQSEPSSMASDAASDIASEDAASITAASEGEEVNSLSDSMSNLTTYDAISNAPPAENGNGVAG >ORGLA02G0258900.1 pep chromosome:AGI1.1:2:23839014:23841447:1 gene:ORGLA02G0258900 transcript:ORGLA02G0258900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADRAELRELKPPYPLSAPGLPLLDPTICPRHASSRASRLACVRARRAAPSFWSLAPRYFPLVPNPESASASVLALLLWYLCSRLLCLATLLPPPHCLLPWFGSFCSWVDAGCVRACVRAEAMSSAVDAISCSKGIAAPPTEEATKERVAVVGKNGGVEHGGDAATMNGKQCGEAPHCRKESNEEEEDDEEKAPKAIDLGPRVSIKDQLEKDKDDESLRRWKEQLLGSVDLNSVGETLEPDVKIMSLAILSPGRPDIFLPLPVEPNAKGVWFTLKEGSLYKLKFTFSVSNNIVSGLRYTNAVWKTGIKVDSHKEMLGTFSPQPEPYTYVTPEETTPSGMFARGSYSARTKFLDDDRKCYLEINYTFDIRREWPALS >ORGLA02G0258800.1 pep chromosome:AGI1.1:2:23834751:23836259:1 gene:ORGLA02G0258800 transcript:ORGLA02G0258800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQANQPTVLQKFGGQFHLGSSFSEGVRARNICPSVSSYDRRFTTRSYMTQGLVNGGINVPMMSSSPIFANAPAEKGGKNFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFGRTIKDEGFASLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFGGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKGGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGSLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >ORGLA02G0258700.1 pep chromosome:AGI1.1:2:23820942:23821411:1 gene:ORGLA02G0258700 transcript:ORGLA02G0258700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAVLLAVAAAVAVMFASVASAQVDGGVPPAPAPVTGAAAGGAASAALAVACSAVLSILVAGGIMH >ORGLA02G0258600.1 pep chromosome:AGI1.1:2:23817309:23817698:1 gene:ORGLA02G0258600 transcript:ORGLA02G0258600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGIRQSTHAPAERTPQRLHEAGRGEDEDRHAPGRVSVEAGEEHGVKEVQTLDNAVPEHLLLLVEDMDDVKRLDDAEQDLLLRIEDGVVAAATAGDEAWVDDLEERTAGLGEQGAIWSHQAIFQRKWN >ORGLA02G0258500.1 pep chromosome:AGI1.1:2:23808477:23809082:1 gene:ORGLA02G0258500 transcript:ORGLA02G0258500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHLSRRLLSPAAETARLLPKPHPLLFLHPHRHFSAPSQPDGGGGPAPVSPESMKHQEIEGPTVERDTSPLADETRRELDALRAAVQRISGSLALLGGAHLAAGAWIASGAAPFGVGSAAAVQGVVAFAFPFTAALVLRRAIKPIAFFQKMEANGRLQVLTLCLQATKNVNLMLLRTRVVAISCALGVSVGSVATILMR >ORGLA02G0258400.1 pep chromosome:AGI1.1:2:23807430:23808020:-1 gene:ORGLA02G0258400 transcript:ORGLA02G0258400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYTQEHVYRHPWDRVTAAAWRKFTDPASRTALSHVADVHTLHRRVDPEAGRLQAARSITVRSPPLPFILRRLLPAAASSPSGAALCHCVETSLVDAPRRAMDVVVRNVSLRGLIEVEERSTYRPHPERPEEWTQFRQETTIRCRPLSALAAVAEKVETRCAERFLQNSAKGREVVERICRYLEAEAAGAASSAI >ORGLA02G0258300.1 pep chromosome:AGI1.1:2:23803321:23804770:-1 gene:ORGLA02G0258300 transcript:ORGLA02G0258300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRGAGTRKDEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRIDVKLNKAIWTNGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPAEGLKGLGTKVVEDEE >ORGLA02G0258200.1 pep chromosome:AGI1.1:2:23800509:23801021:1 gene:ORGLA02G0258200 transcript:ORGLA02G0258200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPEPSAAAAAAAAVAETGCSVQIRRLEATDHEKGFVALLSQLSACPDLTASEFAACFADLAALGDDHVILVAEDPAAPESRILATGCLFVERKFLRGGGKVGHVEDVVVDAAARGRGLGLRVVRRLVEIAKEAGCYKVILDCTPELRAYYAKCGFVEKGVQMAIYF >ORGLA02G0258100.1 pep chromosome:AGI1.1:2:23794165:23797353:-1 gene:ORGLA02G0258100 transcript:ORGLA02G0258100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEHGRRQGVVAIECVAGGSRAEEWGPGSSETVQTGDVVEEILIGVGGRGGPAAHAAPFKGGRAAVQRLLHSAYKRGDTSVEVRVRRPAHAQQLVTTSGELLPSPAAGAATTTTARMQACIVPQEAAVGGGGAMMAVVGRSRQYVLRSIRDPNYAVGFVDRMESECIAIRGSRSSRVVCALSKAQLQDGYVAYPWEKKMREALPIPNSSSFLSMLVLPTALDRAASRYNSVEDTLARANAWILSSQSSGVPISFLNVQTEALLTKISGETASATVNSGSLADLPNLANASLYGFEDYHGVDIGVVKAVRVWYTAAAGEMPVEITLEAGDTKLGFAISRTEEGFIYISSVMEDDSGFLAPSTRSGLRDLYREAKRASKLLVISRVSGQKVLPWMVSTSGAIRCFDTVSLSQKLSLHRHALRPILLHLLMWEGKSADAPARPHEPRLPPQPAPYPEFAAGLVRQDSFGGGELPRQDSFAIGELRRQGSYGVELVRQDSFACTEPPPVRRGDLQGRDTAGDTSFRFHNFSLPNNWV >ORGLA02G0258000.1 pep chromosome:AGI1.1:2:23791250:23793374:1 gene:ORGLA02G0258000 transcript:ORGLA02G0258000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48020) TAIR;Acc:AT5G48020] MDLPLVDLAPYLDRAVAGGGAAGEEAVRALCATVSASLRDTGALLVKDPRCPAADNDRFLDVVERYFARSADSKRLQERPNLHYQVGVTPEGVEVPRSLVDKEMQDKIKSMPEEFQPATPKGPDPKWRYMWRVGPRPANTRFKELNSEPVIPDGLPEWKETMDSWGSKMISAIEVVAEMAAVGFGLPKDAFTSLMKEGPHLLAPTGSDLERHGSEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKMEVKVPVGCLLIQSGKQLEWLTGGECLAGMHEVVVTKRTLEAIALAREQNRSLWRVSSTLFAHIASDATLKPLGHFAEASDAHSYPPICAGDYVEQELSVINLKGKNGF >ORGLA02G0257900.1 pep chromosome:AGI1.1:2:23771598:23782344:-1 gene:ORGLA02G0257900 transcript:ORGLA02G0257900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clustered mitochondria protein homolog [Source:UniProtKB/TrEMBL;Acc:I1P3M3] MAGKSKGARNKAKAQGGSQAAVAAEPEVPVTDGVEDAKPENEEVSEPAVVEGSDTGAEKEQGDAAGETQAAKKPAEGEVHLYPVSVKTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLLLHTKDGSTHELEDYNEIAEIADITAGGCSLEIVAAIYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQEKSADTAGDSGKTANQELDGLNFMDDSTGAVINLLPSVPAEIKCVGSIVFSSFNPPPSYRRLHGDLIYIDVMTLEGNKYCITGNSKSFYVNSSNGSILDPRPSKQALEDSTLVGLLQKISAKFKKGFREILDRKASAHPFENVQALLPVTSWLGAYPVPEHRRDAARAEDSVVLSYGTELIGMQRDWNEELQSCREFPHSNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEQLPKDQKPNGQNGSGRSVIGSSDPGSKPNKNHADPSGTTNSKTEEPNGVLDNTSDASAEAQIADSEQATYASANNDLKGTKAYQESDIPGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNESFHSKVVEAAKRLHVKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGLQHRFCVLRPELVASFIEAESTNKSTTQKVADAPGESNGQLATTAEGPAKSDENSVPRPDKFDEDSGPRPGTSDDSSATKPAEHNESTAEILFNPNVFTEYKLAGSPEEIAADEALVKKVGSYLLDTVIPKFVQDLCSLDVSPMDGQTLTDVLHSNGINVRYLGKVADMIKHLPHLRGLLSSEIIVRSAKHVVKEILRQSADHDIGPAIAHFLNCFIGNVLAPSTKGSVDSTDTKTQKGHEKTQNQKSAKGQKSSLSASSKNIVPTFSHLTSDGIWSNIKEFAKHKYLFEVTDDAKTGAKRVAVLRNLCQKVGITIASRKYDLDAAAPFQPSDILNLQPVVKHSVPVCTDARNLMEAGKIRMAEGTLNEAYALFSEAFSLLQQITGPMHKDAANCCRYLAMVLYHAGDIPGAIVQQHRELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPNHPDVAATLINVAMMYQDAGNMSTALRYLQEALTKNERLLGPDHIQTAICYHALAIAFSCMGAFKLSIQHEKKTYDILVKQLGSDDSRTKDAESWLNTFKSREQQVNAQKQKGQQGTNPPANPIELLKANPDLVRALKAAAKQPGEGSANVNRSLNAAVVGEGVPRVRGVDERAARATAEVRKKAAARGLNVRSGQAPDYMSNLSQILNYLGSAKAPTASGSTPATAAATSATASTQNASEGQQSNGPPQNGTAGNTNGPSSKKSGGSTPVGLGTSLELKKQKSKQKS >ORGLA02G0257800.1 pep chromosome:AGI1.1:2:23763919:23765894:1 gene:ORGLA02G0257800 transcript:ORGLA02G0257800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEDTPVTKTVKAAATGLAAGTIWGTVVATWHDVPRVERHVALPGLIRTLKMCGSYGATFAAVGGLYIGVEQLVLSQRKKNDYVNGAVGAFVAGATIFGYRGRSIPSALIAGSCLAFTSAALDVGGNTTRVDNGKEYYPYTVENKPAH >ORGLA02G0257700.1 pep chromosome:AGI1.1:2:23760777:23761205:1 gene:ORGLA02G0257700 transcript:ORGLA02G0257700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGKKLTIVRSHEDKGSGAEEAMPARKRRGRPQKRFADKIDQVDVENFVDNVIDGEEVEGDDVKLKASRLDKNTTASAAGNKRGRQLKESSNLVLEESNSSVRSSSDESTRTNGFRQIGSRRKNKPRRAAEAGLECK >ORGLA02G0257600.1 pep chromosome:AGI1.1:2:23753863:23755366:1 gene:ORGLA02G0257600 transcript:ORGLA02G0257600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19630) TAIR;Acc:AT5G19630] MEWTTVEAANGAKLSVRLFKPAAGAEAAAAEDVAVVLVHPYTILGGVQGLLRGIAEGVARRGYRAVTFDMRGAGRSTGRASLTGSTEVGDVEAVCRWVADNLNPRGVLLVGSSAGAPIAGSAVDKVDQVIGYVSIGYPFGLMASVLFGRHHNAILKSEKPKLFVMGTKDGFTSVKQLQNKLKNAAGRVDTHLIEGAGHFQMEGPAFDARMVDLIVNFIKSLPK >ORGLA02G0257500.1 pep chromosome:AGI1.1:2:23744623:23747679:-1 gene:ORGLA02G0257500 transcript:ORGLA02G0257500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAVDSKRISDITEDGSMDRRGNPAVKAKTGNWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPTGCGAAGEHCDAPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPREARSKVAFFSYFYLALNVGSLFSNTVLVYYEDEGRWVMGFWVSAAAAAMALVLFLLGTPNYRHFKPTGNPLTRIAQVFVAAFRKWRAEVPRSELLHEVDGDESQIAGIRKILHSDQIRFLDKAATVTEEDYCTPENMQDPWRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGAFHVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVGAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAVWYKGIKLDSNEEKANKITVHV >ORGLA02G0257400.1 pep chromosome:AGI1.1:2:23734362:23735528:-1 gene:ORGLA02G0257400 transcript:ORGLA02G0257400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMTEKEREEQQKLLGRAGNGAAVQRSPTDKPPFTLGQIKKAIPPHCFQRSVIKSFSYVVHDLVIVAALLYFALVMIPVLPSGMEFAAWPLYWIAQGCVLTGVWVIAHECGHHAFSDYSVLDDIVGLVLHSSLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKQKSAMAWYTPYVYHNPIGRLVHIFVQLTLGWPLYLAFNVSGRPYPRFACHFDPYGPIYNDRERVQIFISDVGVVSAGLALFKLSSAFGFWWVVRVYGVPLLIVNAWLVLITYLQHTHPALPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIRPILGEYYQFDPTPVAKATWREAKECIYVEPEDNKGVFWYNNKF >ORGLA02G0257300.1 pep chromosome:AGI1.1:2:23722145:23722809:-1 gene:ORGLA02G0257300 transcript:ORGLA02G0257300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVDSVWSSNDHPPFWALAPPPPAPERRFCCPYCPEGPQRGRFRSHLRRHYRAAVRGMVRGGLAPRARLAVAAFRDSREARRRIRRDRRRRRRRGMMVAALSPNHAFWAAHRLRGTHPAEIDFLGLGLGVQQGAGMLDLPGAPPAAAAAAAAGISSGDVAASANAPVPAPAVVLAAADDDGEESVGDQAEEDVDGGSSAGSN >ORGLA02G0257200.1 pep chromosome:AGI1.1:2:23714948:23715208:-1 gene:ORGLA02G0257200 transcript:ORGLA02G0257200.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQWIQRPWVLGAHIDDSGTESCGSRWWWALGVTDPGHVFQKQWIKDGRPRSTPLSLSPNLAFWAAHRLHGTHPVEIDFLDLGLQGMG >ORGLA02G0257100.1 pep chromosome:AGI1.1:2:23711042:23711527:-1 gene:ORGLA02G0257100 transcript:ORGLA02G0257100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNGAPSFRPPRAPVPTGSRVYRCPVCPGPVILYSCQDLRNHLCIIHPNEAVELVTPFMRYMEASRRGRVGLPPRPPTSAPVVPQARMPTPTVQNSFVPLPPNHAFWEEYRKGGSCPVEIDFFVPSVIVVSALELVAPAMVSGSNLSNSESSELDILV >ORGLA02G0257000.1 pep chromosome:AGI1.1:2:23701212:23701517:1 gene:ORGLA02G0257000 transcript:ORGLA02G0257000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGGGGQREGNALKTAVVVTGGLVLAWFTMESAFKPFLDRLRGALTRNTDPARDPDEENSAAPADRAVEEPAAAAAPVEEGEGKGVELEEKGEGAAMTE >ORGLA02G0256900.1 pep chromosome:AGI1.1:2:23699393:23699950:1 gene:ORGLA02G0256900 transcript:ORGLA02G0256900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILENIQKARFLPTRPLKDELPTFQGGGGGGGGGGEESHLMGLRKRLSSFSDKIQPISSASAEWAFRRSKSAPSLGAFAGGPLKRWWDWGVGWLMSKKPGFATDLEMNEEEVAALGRGSRGSWGHILYKMRSGVRRLVTSHSLPTTHRAAAAASASAQCKPAAAAATFNYTQSFHSGQTAMAY >ORGLA02G0256800.1 pep chromosome:AGI1.1:2:23694031:23697703:1 gene:ORGLA02G0256800 transcript:ORGLA02G0256800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33630) TAIR;Acc:AT2G33630] MHLSENEGIEGVRFAVTGGQGFVGSALCLELLRRGAREVRSLDLRASSPWSDQLLDAGVRFFQGDVRKKEDVGKALRGVDCVFHLASYGMSGKEMVRAGRADEVNINGTCNVLDACHEHGVRRLVYVSTYNVVFGGEPIVNGNEALPYFPVEDHVDAYARSKSIAEQLVLKSNGRQTKSDKSSRLYTCSIRPAAIYGPGEERHLPRILSLAKLGLAFFKIGDPNVKSDWVYVDNLVLALILASMGLLDDIPDRKGIPVAAGQAYFICDGSPVNTFEFLSPLFQSLDYTVPRVRMDTSVALAISRFFVFMYTLLYPWLDSKWIPQPLLLPAEVYKVGVTHYFSYLKAREEIGYVPMVSPREGLAATISYWQERKRKELDGPTIFPWLFVTIGMLALFSAAYLPPVGPLKWVLDLHLFVFRSKLVIRLVFVIATALHVGEAVYAWFLAKKYDPRNATAIYLPLNWKSQELQAYYSLMAE >ORGLA02G0256700.1 pep chromosome:AGI1.1:2:23691027:23692669:1 gene:ORGLA02G0256700 transcript:ORGLA02G0256700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNSLLAMDSKLSMLFLLLGFVARSATASHHDPSVVGYSQEDLALPNKLVGLFTSWSVKHSKIYASPKEKVKRYEIFKRNLRHIVETNRRNGSYWLGLNQFADIAHEEFKASYLGLKPGLARRDAQTHGSTTFRYANAVNLPWAVDWRKKGAVTPVKNQGECGSCWAFSTVAAVEGINQIVTGKLVSLSEQELMDCDNTFNHGCRGGLMDFAFAYIMGNQGIYTEEDYPYLMEEGYCREKQPHSKVITITGYEDVPENSEASLLKALAHQPVSVGIAAGSRDFQFYKGGIFDGECGIQPDHALTAVGYGQDYIIMKNSWGKNWGEQGYFRIRRGTGKPEGVCDIYKIASYPTKNVTGWGS >ORGLA02G0256600.1 pep chromosome:AGI1.1:2:23687372:23687665:-1 gene:ORGLA02G0256600 transcript:ORGLA02G0256600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPRVKXGQQHGGGERRGGQGGRVVVKKTSPRLEGGHEWVVRCGTRVAEDPVGGEACIGRRDGWIKGRALLREKIKASLVHRSELSESKETHGRFS >ORGLA02G0256500.1 pep chromosome:AGI1.1:2:23677121:23677405:1 gene:ORGLA02G0256500 transcript:ORGLA02G0256500.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRTASRRRGPSPDSSLLRQHASFLELRFSRLNTDCTSSWWNADRPPPWWSPSRWCCPRGSRIPRSPWQIRAGIDKQGAAADAGELLSGQVKAVRN >ORGLA02G0256400.1 pep chromosome:AGI1.1:2:23638857:23641533:1 gene:ORGLA02G0256400 transcript:ORGLA02G0256400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLIYTFSKWQTLPVGSGLAPILAQLLRPNKQKEKRALRLGLDIIGIFVRLLPEFVLMGFAVIQNFGTSTRWPGYLLLRFTLYLGIVSPICKMLPKDTFDNLQAKVQMCFVRIIAMSAGKPTLFAPGIVIAKKKLSCYVITHKGTFCYGSEGLYAAVFPGLNMESVAINFTDVSIADSFASFMLSKPKCTNPLAAVKICESGPLINEDVYTLGYQNPKVPFISWIGPVCRKGGFYS >ORGLA02G0256300.1 pep chromosome:AGI1.1:2:23628840:23630648:1 gene:ORGLA02G0256300 transcript:ORGLA02G0256300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G42910) TAIR;Acc:AT2G42910] MEVVVARQPKAKKQINLFYCSECEELALKVAASSDAIHLQSINWRSFDDGFPNLFINNAHDIRGQHVAFLASFSSPSVIFEQISVIFALPKLFIASFTLVLPFFPTGSFERVEEEGDVATAFTLARILSMIPKSRGGPTSVVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLRQLPDADNITIAFPDDGAWKRFHKLLLNFPMVVCAKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQKVLAAHGAAKVSAYVTHAVFPKQSYERFTHTNSAGSADKFAYFWITDSCPQTVKAINQQPPFEVLSLAGSIADALQI >ORGLA02G0256200.1 pep chromosome:AGI1.1:2:23621894:23627303:1 gene:ORGLA02G0256200 transcript:ORGLA02G0256200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 25 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Protein of unknown function /.../83, C-terminal (InterPro:IPR012880), Foie gras liver health family 1 (InterPro:IPR021773); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G65950) TAIR;Acc:AT5G65950] MEDYPEELRTPPLSLVSIVGCPELHPAISAALSSRQPPMNLLALPDFSKASILARTAKARDPLAPPQAPAGILKKDWLLKHRTRVPAAVAAMFRADQVSGDPAQWLQACSDLENLKSVIQGRNTKLVVILVQSQAGDELSEDVTVALRKRAEIDSKHLVVLVERDEMEWTKSLNKLTTVFAELCTTYYKDEGRRVKARIEKRNFSSVELSIRYCFKVAVYAEFRRDWPEALKFYEEGIRVLREMIGTSTRLPPTQRLVEVKAVAEQFHFKISTILLHGGKVVGAITWFRKHIRSYERVVGSPEVAFLHWEWFSRQFLVFGELIETTSTTVPDTLSPRFGTADNALTEWEFQPAYYYQLAANYLREKRYALEFSSSSVSLTKGVNGLPESVMPSVYVGQYVRLFEQGDTVAVLPLSDTEYTSYALSEAERFQDSYEIIALFRKAYESFRSLGATRMASACSGGMAIEYYAAGDFSNAKQLFDGVAGLYRQEGWATLLWENLGYLRECARKLKSLKDFISYSLEMAALPLFSGSGQGNSENKRKNGPAGSPTISSRELIQQEVINILEGKHASENTDDEFDLHLMEESTHLDIDQISPLRIVFLASVAFHDQSVKPDSPMLVSVSLQSHLPCPVMIDKLEVQFNQSDCNFVIVSAQEDCSASNSHVHDGAVQTPLTLFTDKWMRLTHEVKPGQSGKLECLAVKATISKRLVVCCQAESPVSMGEFSLWKFEDQVEALPMKDNVLAFSGQKLIQVEEPDAQVDLVLDCPGPALVGELFTVPVTILSKGHAVHSGELKINLVDAKGGGLLMSPREAEESESHHVELLGVSDVTTENGSKEEVDSIRKIQHSFGVVSVPTLYAGDSWSCKLEIKWHQAMSVMFYVSLGYSLDSTEEAALHRLNVHRSLQIEGKIPMIVSQQFLRPFRREPLLLSRIRSSSDDDKKSSLAFNESNMLILSARNCTEVPLRLHTMTIASNDDGKQLCSVQQISGISNEYAVIAPSEEYKGIFSVNPHTISPSFFLGEICLSWSRDSSIGESQDSRVIMKERLPEIHIEEPPLVVTMECPPYAILGIPFTFHVKIYNSTSLLQEIKYSLVDSQNFVFSGAHNHAASILPKTEHIVSHKLVPLGSGSQQLPRITITSVRYSAALTPSASAATVFVYPSEPKFNLEKGYSSTDPCVS >ORGLA02G0256100.1 pep chromosome:AGI1.1:2:23614960:23619364:-1 gene:ORGLA02G0256100 transcript:ORGLA02G0256100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGATAGSGSGSDHDDARAAEEARGEEAAGRAVEVEEEEEEEEAVPVAEERAAWGGGGTPPTPEPKSDADAEGAGSLDRVELNAGAGGGREREDDEGEDEAVGARGVEMNGEDAMSHDGHDGDEDGDDEEDGDDGEEEEEDDDEEEDDDDDSTPDASPRAEAKAEGESSTGMAGCANQAAEPDPFLDGDDSGTEEEQAAFMVELERFHREHGLEFKPPKFYGKGLNCLKLWRQVAHLGGHEQVTICKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKYKVRTGQLQVPLSALPQPGGTGREIGMNQSSSARVRRDSAARAMQGWHAHRLLTNGIYGDQMLKEKDSVPSSSRGVLKRKKASSPECAIKVSRTKINKSQDDSMVTDVGEPAEWVKINVRQTKDCFEIYALVPGLLREEVHVQSDPAGRLVITGDPEQPDNPWGITPFKKVVNLPLRIDPHQTSAVVTLHGQLFVRAPFGHADM >ORGLA02G0256000.1 pep chromosome:AGI1.1:2:23607914:23613271:-1 gene:ORGLA02G0256000 transcript:ORGLA02G0256000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1P3K4] MDSDYGVPRELSEVQKKRALYQPELPPCLQGTTVRVEYGDAAIAADPAGAHVISHAFPHTYGQPLAHFLRKTANVPDATVISEHPVVRVGVVFCGRQSPGGHNVIWGLREAIKAHNPNSKLIGFLGGSDGLLAQKTLEITDEVLSSYKNQGGYDMLGRTKDQIRTTEQVNGAMASCQALKLDALVIIGGVTSNTDAAQLAETFAEAKCATKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFIRMMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKYHGVVLIPEGLVESIPELYALLQEIHGLHGKGVSMENISSQLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVEDEMNRRMKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHVCYHILAAGLNGYMATVTNLKSPANKWRCGAAPISSMMTVKRWSRGPAATQIGKPAVHMATVDLKGKAFELLRNNSTSFLIDDIYRNPGPLQFEGAGADSKPISLCVEDQDYMGRIKKLQEYLEKVKSIVKPGCSQDVLKAALSAMSSVTETLAIMTSSSTGQGTPL >ORGLA02G0255900.1 pep chromosome:AGI1.1:2:23602738:23604943:-1 gene:ORGLA02G0255900 transcript:ORGLA02G0255900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGNGEVRYGGGGAGAGEAAVMADDGTTVFRGTAQPPVRTTVALALWLGAIHFNAFLLLASLFLFPRRVAAMVLATQLFFMFAPVNDMSRLGRKIARFISKCVIGYFPVTLHVEDYKAFDPNRAYVFGYEPHSVLPIALGVLLELVGFMPLPKIKVLASSAVFYTPFLRQIWTWLGLVPASRKNFYSYLKAGYSCVIVPGGVQEMLHMDHDSEVAFLKSRKGFVKIAMETGSPLVPVFAFGQSYVYKWWRPGGKLIVKIARAIKFTPIMFWGKFGTPIPFATPMHVVVGRPIEVKKNAQPTFDEINEVHEQFVVALQELFEKYKTKAGYPNLHLRVL >ORGLA02G0255800.1 pep chromosome:AGI1.1:2:23598057:23600183:1 gene:ORGLA02G0255800 transcript:ORGLA02G0255800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQQQGSGSGSASASSSSSGLHLLASPFGDTTYTKVFVGGLAWETTSERLRRFYDRFGEILEAVVITDRHSGRSKGYGFVSALRFFAAVTFRDPESARKACEDPTPVIDGRRANCNLASLGRAQPAVPLGRPRSAGSYFGVPVPRGVYVGGYGQHRTLPLGYYQGFPVPQYSYTTYGTEYIYPQGTLNPYVGQQYVPIYGVSSAANTASQPFSQFSPSISGGGNGYVAIHGYNVPGNPFVQLTGSNFSSASPTPRPTIQAPFLVAPVPTHPHLVLPTHSPQFTQASGSDQRAS >ORGLA02G0255700.1 pep chromosome:AGI1.1:2:23590569:23595123:-1 gene:ORGLA02G0255700 transcript:ORGLA02G0255700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl coenzyme A reductase [Source:UniProtKB/TrEMBL;Acc:I1P3K1] MDVRRGGGGGRIVGAARRALTWGALPLPMRITNGLAMVSLVLSSCDLLRLCSDRERPLGGREFATVVCQLASVVYLLSLFAHPDAPATTTGDDDDGQGGSRRARPAAVAAAAEPAPMHGHGGGMMEADDEEIVAAVASGALPSHRLESRLGDCRRAARLRREALRRVTGRGVEGLPFDGMDYQAILGQCCEMPVGYVQLPVGVAGPLLLDGREYHVPMATTEGCLVASVNRGCRAISASGGAFSVLLRDAMSRAPAVKLPSAMRAAELKAFAEAPANFELLAAVFNRSSRFGRLQDIRCALAGRNLYMRFTCITGDAMGMNMVSKGVENVLGYLQNVFPDMDVISVSGNYCSDKKPTAVNWIEGRGKSVVCEAIIKGDVVQKVLKTTVEKLVELNIIKNLAGSAVAGALGGFNAHASNIVTALFIATGQDPAQNVESSQCITMLEEVNDGDDLHISVTMPSIEVGTIGGGTCLASQAACLNLLGVKGSNHGSPGANAKRLATIVAGSVLAGELSLLAALASGHLVKSHMMYNRSSKDVAKAAS >ORGLA02G0255600.1 pep chromosome:AGI1.1:2:23577402:23578412:-1 gene:ORGLA02G0255600 transcript:ORGLA02G0255600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDPGGGGAGAGSSRYFHHLLRPQQPSPLSPLSPTSHVKMEHSKMSPDKSPVGEGDHAGGSGSGGVGGDHQPSSSAMVPVEGGSGSAGGSGSGGPTRRPRGRPPGSKNKPKPPIIVTRDSPNALHSHVLEVAGGADVVDCVAEYARRRGRGVCVLSGGGAVVNVALRQPGASPPGSMVATLRGRFEILSLTGTVLPPPAPPGASGLTVFLSGGQGQVIGGSVVGPLVAAGPVVLMAASFANAVYERLPLEGEEEEVAAPAAGGEAQDQVAQSAGPPGQQPAASQSSGVTGGDGTGGAGGMSLYNLAGNVGGYQLPGDNFGGWSGAGAGGVRPPF >ORGLA02G0255500.1 pep chromosome:AGI1.1:2:23561404:23563478:-1 gene:ORGLA02G0255500 transcript:ORGLA02G0255500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGGYLSVLAPIETTPTIKQDHITSNAVLQDRISQLPDDILLAILDGLNVRDAARTSLLSKRWRPLPTMISHLTIDVSDFDPKSMSSFSDDELGRINATVVKATKSILACRKSNEHTISLLSMRFYLRDDDCISFGHTVGHVMATQKVEMIEFTILTEKDDNQCIDDDFIVYGRRFALFFSYCPNTFGGLTGLQLENLRFGEPEIIDVLNTCKRLHYLRLYNCSSGISTFLEVEHLQLSELSIINCRFGIIKLSSLPKLTRMIFGGWIAFQDPLSFGHVPLLESVTLTNVGLSWHNAVKLSKFLSNISIRDLTLDFNSEKVWDHLCEIETDDERRKAYSYSENKNADWNASASDFKNHSLSTLVMFGFQSNDENLIRYIRLVMDAAVNLEDIFLYKRMACEKCKGKNPRPLRYPSTKKQRQALRNRIALGTHSLATIHFPTVLRANHYAKLLY >ORGLA02G0255400.1 pep chromosome:AGI1.1:2:23557288:23558220:1 gene:ORGLA02G0255400 transcript:ORGLA02G0255400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:UniProtKB/TrEMBL;Acc:I1P3J8] MEGSAGAPLRTRVCIIGSGPSAHTAAIYAARAELKPVLFEGWLANDIAAGGQLTTTTDVENFPGFPEGILGGELMDRCRAQSLRFGTSIISETVTAVDFSARPFRVASDSTTVLADAVVVATGAVARRLHFAGSDAYWNRGISACAVCDGAAPIFRNKPIAVIGGGDSAMEESNFLTKYGSHVYIIHRRNTFRASKIMQARALSNPKIQVFWDSEVVEAYGGEGGGPLAGVKVKNLVTGKISDLQVSGLFFAIGHEPATKFLGGQLELDADGYVATKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGL >ORGLA02G0255300.1 pep chromosome:AGI1.1:2:23554581:23555033:1 gene:ORGLA02G0255300 transcript:ORGLA02G0255300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCASSPESYVRIGRRWRRRRPPARGFRLCPRNRFSVRRLRAKLLTFLGLVGRYVRQLLTVRRPRSSSSSSSSAGGGCSRSSSTRFLVGGGKHQVDGGRRTVPPSFMRSNSFYAQAIADCLEFIKRNSVPVEDYASSSGRRSSVDLTTI >ORGLA02G0255200.1 pep chromosome:AGI1.1:2:23551507:23552267:1 gene:ORGLA02G0255200 transcript:ORGLA02G0255200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARCSSLQELLAQQQGNAVSSLVYEANDRMRDPVYGCVGAISFMQNQVSQLQMQLAVAXGEILCIQMQHRDGNENEKNLRDLGCTFSASCGPSRSVAGQAERFGGLQFIMGRNHFWASIWPIGI >ORGLA02G0255100.1 pep chromosome:AGI1.1:2:23539450:23540381:-1 gene:ORGLA02G0255100 transcript:ORGLA02G0255100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRFLSCSLDVQQVKQGNFSSDALDINMSSCQLFLVERQYLTFNSRRREAICIRELQNLLNFWAMLHSCSRTKDLPARAVKGTSRSGLQMRATSKDNAYPAGFAEGSMRRNSLIQTL >ORGLA02G0255000.1 pep chromosome:AGI1.1:2:23534566:23537823:-1 gene:ORGLA02G0255000 transcript:ORGLA02G0255000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGRRHRGAMAGRALVVLLWCVSASVFLPSARAQTTTFTSAIDGKKATTFSFPTFDKSLMQLGANLTFSSNATVSQSALQVTPDSSNNPLAYLVNQAGRVFFPTPFVLWSSNSSNSTADGKYVASFSTVFRANLYRSNTTMKGEGLAFVIASTNAINPPPGSYGEYLGLTNASTDGNATNGFAAVELDSVKQPYDIDDNHVGLDINGVRSNASASLTPFGIQLAPSNTTTDDGSYFVWVDYNGTSRHVWVYMAKNDTRKPSTPVLDAPLDLSTVLRGNKGYFGFSASTGETYELNCVLMWNMTVEKLPDEGATKKKAALPGWKLGVVVGVSSCAVAVVLGLFAALYIRKRRKRIGDDPSSVFNTTIDFRSIPGVPREFDYRELRRGTNNFDEKMKLGQGGYGVVYRATVVGENGQNMEVAVKQFSGANTKGQEDFLAELSIINRLRHRNLVKLVGWCHQNGVLLLVYDYMPNGSLDTHLFGGPESEVLNWQQRYNVVTGVASALNYLHHEYDQMVIHRDIKPSNVMLDSAFNARLGDFGLARALESDKTSYTDIIGVPGTLGYIAPECFHTGRATRESDVFGFGAVILEIVCGRRISCSNPAGCSQLLEAVWKLHGAAGGGGGGRILEAVDQRLAGEFDEAEAERLLLLGLACSHPNPGERPRTQAILQILTGAAPPPHVPPSKPAFMWPAMPVALDGDDDDETSRSSTVMNSSSSYYVSSSGWTQNYQVSKEHEVADRDVATV >ORGLA02G0254900.1 pep chromosome:AGI1.1:2:23530619:23533378:-1 gene:ORGLA02G0254900 transcript:ORGLA02G0254900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLMLMWCVSVFLLLPSATAQATTFTSNVDGKEFTTFSFPKFDKPLLQLPDNLTFSGNATIAQDGLQLTPDSGNRPEIFLVNQAGHAFFTAPFVVWESKSSSSSSNSTAAAAADGKYVASFSTVFKVNLFRSNLNKTVKGEGLAFVVASSNARGPPVGSHGGFLGLTNASTDGNATNGFVAVELDTVKQRYDIDDNHVGLDVNGVRSTAAAPLAPLGIQLAPRNTTVDDGICFVWVDYNGTSRRMSVYIAKNESKPSAAVLNASLDLSTILLGKTAYFGFSASTGAATYQLNCVRMWNMTVERLHDGTTTTATKLAGTSGWKLAVGVLCGVAVVLGVVATLYTRKRRRRSGGDPSSAFNAAIDFRKIPGLPKEFDYMELRRGTNNFDEKMKLGQGGYGVVYRATVVGEDGRSTDVAVKQFSGANTKGKEDFLAELRIINCLRHRNLVKIVGWCRQNGRLLLVYDYMPNGSLDRHIFGEPGAAALDWKQRYNVVAGVASALNYLHHEYDQMVIHRDIKPSNIMLDSAFNARLGDFGLARALESDKTSYTDMAGVTGTLGYIAPECFHTGRATRESDVFGFGAVVLEIVCGRRVSCSDLPGWLSLLEWVWKLHGAAGGGRILEAVDQRLAGEFDEVEAERLLLLGLACSQPNPGERPRTQAILQILTGAAPPPHVPPAKPAFMWPAMPVALDGDDDDSETPTSRSSMLLTSSSTGWTKIFQVSKEHDVAEKDVAAV >ORGLA02G0254800.1 pep chromosome:AGI1.1:2:23520777:23521028:1 gene:ORGLA02G0254800 transcript:ORGLA02G0254800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLGVRVRRGPVLPLVGRLSLGSACCKAKAWPYSLVSSPRVSSRFTVSAVGSSQPRIEEREQIIDTKAMFRGGKVEKLEESC >ORGLA02G0254700.1 pep chromosome:AGI1.1:2:23514367:23517713:-1 gene:ORGLA02G0254700 transcript:ORGLA02G0254700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGARKDAGGGGGGVFVPCVDIKSFVLSLAFLTVFVALWQLQPYGSLLTAARSTASVSASPCSLMATPAAAAASAGVVRSENSTADTAKTAPAAVASAVPARLARAARPARVEDPNKRELRPYGSAAALFVQMGAYRGGPRTFAVVGLASKPTHVFSNPYFKCEWLPNPTAGSPSPRPVRTKAYKMLPDWGYGRVYTVVVVNCTFPSNPNADNAGGKLLVHAYYSTTSRRYERFVALEEAPGSYDESRFSPPFPYDYLYCGSSLYGNLSASRMREWVAYHAHFFGPRSHFVFHDAGGISPEVKAVLDPWVRAGRLTVQDIRAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPNGQTLDQVLGKLSGYSQFTIEQNPMSSKLCVQDPSKDYSREWGFEKLVFRNSITKVRRDRKYAIQARNAYSAGVHMSQNVYGRTTHKTESLIRYYHYHNSINVMGEPCREFVPVPVNGSKLMFEGIPYVYDDNMKRLAGQIKRFEKEAIGSAHT >ORGLA02G0254600.1 pep chromosome:AGI1.1:2:23513337:23513513:-1 gene:ORGLA02G0254600 transcript:ORGLA02G0254600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEKRVWEMAMWMRKRRESGRTKVAAMRAKRGRSATMMMSFVGDGWRERGEREEGDRR >ORGLA02G0254500.1 pep chromosome:AGI1.1:2:23496008:23507727:-1 gene:ORGLA02G0254500 transcript:ORGLA02G0254500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 7 [Source:Projected from Arabidopsis thaliana (AT3G03380) TAIR;Acc:AT3G03380] MESPAKEEAGGELAMEIESTVTAEDWRRALALVVPSVVVLRTTAPRAFDTEVAGASYATGFVVDKSRGIILTNRHVVKPGPVVAEAMFVNREEIPVYPLYRDPVHDFGFFRYDPGAIKFLKYDEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDREAPYYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDCQGRAVALNAGSKSSSASAFFLPLERVVRALNLIRDSWEAFGSKPESDYIPRGTLQVTFQHKGFEETRRLGLRNETEQMVRLVSPSGETGMLVVDSVVPEGPAHKHLEPGDVLVRMNDEVVTQFLAMETLLDDSVGKEIDLQIERGGTPLTVKLEVEDLHSITPNHFLEVSGAVIHPLSYQQARNFRFKCGLVYVAEAGYMLSRASVPRHAIIKKLAGEDIENLGDLIACISKLSRGARVPLEYVKYTDRYRNKSVLVTIDRHEWYAPPQLYTRNDATGLWTAKSAIPPESPFIASAHHAGPIDANSNSVSSLPESSPMDLKCQHESENLTDGCIKTQTDDEINVDGSHSSEDSLVEKKRRRVDEEIAAEGTISSSGDLDEIKGGGLRHLSSVDGSDLARTISSNASLAEQVIEPALVMFEVHVPPVCMLDGVHSQHFFGTGVIIYHSDCLGLVAVDRNTVAVSISDIMLSFAAYPIEIPAEVVFLHPVHNFALVAYDPSALGAGASVVRAAKLLPEPALRRGDSVYLVGLSRSLQATSRKSIITNPCTAVNIGSADCPRYRAINMEVIELDTDFGSAFSGILTDEQGRVQALWASFSTQLKYGCSSSEDHQFVRGIPIYAISQVLEKIISGTPGPFRIINGVRRPIPFIRLLEVELYPTLLSKARSYGLSDSWVQALAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPITCFLDIENACQKLDQSVDSDGVLNMTIFRQGKEIDLIVGTDVRDGNGTTRMVNWCGCIIQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKPTPDLETFIQVVKGLENGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFEPETSTWKRGIIKALQSTVA >ORGLA02G0254400.1 pep chromosome:AGI1.1:2:23484256:23484672:-1 gene:ORGLA02G0254400 transcript:ORGLA02G0254400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSIAQAVAALMGTCARRLSRAARRLHLRPREGIAASFSSRAIVPFLGGGGGGKKAISSSRRRRKAGAELSFRAEDGVWRKEILMGERCQPLDFSGVIYYDAEGRRLEQPPPPRSPLRSPLPSSIKLAANAGSGGGY >ORGLA02G0254300.1 pep chromosome:AGI1.1:2:23480505:23480999:1 gene:ORGLA02G0254300 transcript:ORGLA02G0254300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLSRMLLDRFFPGAGGVVAGEARPPMDWRETPVAHVFEMDLPGLAKDQVAVEVVDGHILRVRAGGEHEDANNAAKAGKASGEEEEENDGVRWHCRERAAGRRRAAVTQFRLPEDAAADEASARMADGVLTVTVPKRKGKKRHAGNGKAAGDDKPVCCRFWP >ORGLA02G0254200.1 pep chromosome:AGI1.1:2:23477825:23479883:1 gene:ORGLA02G0254200 transcript:ORGLA02G0254200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26090) TAIR;Acc:AT1G26090] MAPSLLASAASQILAAPRRGGRGRRRLVIACSAGAGAPPKLVTFLGKGGSGKTTAAAVAAQYYASEGLKTCLVIQSQDPTTEQLMGCKIGNSLTECAANLSTMKLETSKMLLEPLDRLKKVDSQINLTQGVLEAVVGEELGVLPGMDSVCSVLALQKLLNFFSTQRNSSQEEFDVVVYDCNNTEEILRLIGATERARSYLRYVKDLAEKTDIGRLASPSAMRLIYDSARPNGKTSEGRLSSELWNDIEQLIQRISVWFADPSKFACFLIMDPRRSISVSSALRYWGCTTQAGGNICGAFGYTEQRFEIHQGVAEKFLPMTFSFLPFLPTDSSADWSRVLNSLSQNAKDLLRNTSNKVYPSVSFDSVQKSVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVVKLPPAMQGKVGGAKFVDRNLIVTIR >ORGLA02G0254100.1 pep chromosome:AGI1.1:2:23476125:23477594:-1 gene:ORGLA02G0254100 transcript:ORGLA02G0254100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQRPKRPSDSVAAASPAAKRARDPSAPAFPTYRDAPDLPPKIRLLCEILASSAPDVDAALDDADVRVTSSDVEQVLRFSYAHPRAAVAFFRWAGHRHLRHQHSPYSWNLVVDLLGKNRLFEPMWDTVSSMRTQGLLSLATFASVFSSLAANPASSPLRAFVELSMYGMDRDTPALNSLLSALCRASRLDDARAAIPVARAEAGTRPDADSYAILLEGCEAAGDPHVAREVFDEMRHVIGFDPANVPAYDSFLTTLISSGSSTALMDAMDYLAILHRQRCSPGEKFFRAALAAHLKARELRGAVVLWNDFVVRRGLIPDKEMYSTMIMLQGTLGHPEVIVEYLDEMTFDGVFPDADTYNMVFQFLLKGRKLREASAIFSEMVKNEFWPNEANCSLALRMFLDTRDWDSGIKLWKCMLENGLPPLEESGNMLVSKLKDERLPEACKYAEDMIDRRIKLSSSTMSKLKQCLMKVYKGHIHDHLLRKWKAH >ORGLA02G0254000.1 pep chromosome:AGI1.1:2:23469778:23471565:-1 gene:ORGLA02G0254000 transcript:ORGLA02G0254000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPDESTIWDEVLEEADELAYVHKVPPSISFLSDGTGKRRKGEHRLKFSIRGSSSVSLNVKTENPYIGEQEVSSVMPTNRAPEAMMAEQLENIKEETEDLPSEFACSTKKANVSISELLDSLQDRSASSAGTPFLLHQHTRPKEEKPKVPTSVKRTLALLGQRNLETENPLEHVIGETSSEEEDDAQNNLALINKDVKGQTMADIFQQVFNATSMDCFTLPVRSYGSGYYGRMQQILQMEKDRHAEFLRQYNREQDYLGDPKGVTVQILSRSLEGKLTICRCLFMEKSNLATTGEVSTDRDMDNSSVKRTIIFSPKICDNVDLLAGNIIHIFPPWKEVKVKEETVILCTYFSHRGV >ORGLA02G0253900.1 pep chromosome:AGI1.1:2:23463479:23468787:1 gene:ORGLA02G0253900 transcript:ORGLA02G0253900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock protein 70 (Hsp 70) family protein [Source:Projected from Arabidopsis thaliana (AT4G16660) TAIR;Acc:AT4G16660] MAPPRTSGLLLLLAAVVAAAAVVLVPPAEAAVASIDLGSEWLKVAAVHLAPGRVPIAVAINEMSKRKSPALAALADGNRLAGEEASGITARHPSKVFARARDLLAKPFPYVRSVAEALFLPYDLVPDARGAAAVRADDGQVYTVEEIVAMVLHYAAGLADAHVGAPVRDAVVAVPPYFGQAERRALTQAAQLAGVNVLALINEHAGAALQYGIDKDFSNESRHVIFYDMGAGSTYAALVYYSAYKAKEFGKTVSVNQFQVKDVRWDSKLGGLEMEMRLVNYFADQFNKQLGNGVDIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLYNDLDFRSTITREKFEELCEDLWEQALTPVKEVLAHSGMKIDDIYAVELIGGATRVPKLQAKLQEFLGRSDLDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYGFVFEINGPDYVKDESTDQLLVPRMKKLGIKMFRSIRHTKDFDVSISYEKASELPPGVTSHKFVEYSVSGLTDASEKYSSRNLSAPIKANLHFSLSRSGIISLDRAEAVIEITEWVEVPKKNLTLESNSTSQTLSSEGGAANDTSDSKENVSSDGDANKSSAPIDESNVQDIVTEKVLKKRTFRVPLKVVEKMAGAGSILSKELYSEAKTRLEALDKKDAERRRTAELKNNLESYIYSMKEKLEENTEILTVSTEQERESFAEKLNEVQDWLYMDGEDAQANEFKERLDQLKAIGDPILFRLSELKARPAACENARLYLAELQKIVKNWDSNKPWLPKKRVDEVVSEAEKVKTWLEEKEAIQKSTPVYSPPAFTSEEVYEKVLDLQDKVSSVNRIPKPKPKIEKKPPKEEESANKEKTDSSESESKEAESTETSSESAAPEESQSEPQKTDDLEPEAHDEL >ORGLA02G0253800.1 pep chromosome:AGI1.1:2:23453709:23462592:1 gene:ORGLA02G0253800 transcript:ORGLA02G0253800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G01440) TAIR;Acc:AT2G01440] MRSSNALGSKLFRWCSRENHTSVRKLLEVDGTSERSKLLNKVSVLMGYSNTQELIEQERARRGSATELISVCKEIEFPEMCAKFPCIKIGDSSPIELYAAAASMSQKETVLSENLTNFMRESGGNFGAAYEFSDKCHPLDPTLTNVDHLSISEESSLTAQSVSLEPAVDTDACPESLAVADATVSDSSILDRSIRCLPETTSRQYRQLEDGGFHTVLAADTLQYFFFFXFDRILSMQYISSLQVRKLLQHFPRTYADLQNPQGSIEEGQYIMLFGTVVSSRGARLKHTLGYLEVVVSCSIIESELSSSVKSCNSQAEQKKTIHLHLKKFFSGTRFSSPSFLKCISSKYKEGDLAYVSGKIKKALTKDHYDLREYTIDMLEEEEQQCTLLDRKPYPIYPSKAGLKPSLLSLSISRALKMLTPDIDPMPHEVLVEFNLPNLFDAYMGIHKPKNRDEADFARRRLIFDDFFYLQLGRLFQMLEAVGTRVEKEELLLKCKNHELNAVGADEWSPLARKLLKVLPYLLTPSQLNAVKEIIWDLRRPVPMNRLLQGDVGCGKTVVAFLACMEVISSGFQAAFMVPTEVLALQHYEHLTSLLEKFDGDECKPNIALLTGSTSARESRIIRNGLKTGEIAMVIGTHSLIGDKTEFSALRISVIDEQQRFGVVQRGRFNSKLYTPSMKSSDDDTISDENSASEIFMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRQPIETLALEGNDAGFESVFQMMSDELVDGGKVYLVYPIIEESEQLPQLHAAKAEFDSIKQKFEGYPCGLLHGRMRSDEKDGALSSFRSGETRILLSTQVIEIGVDVPDASMMVVMNAERFGMSQLHQLRGRVGRGERKSRCVFLCSTPSALPRLKVLEKSSDGFYLANADLLLRGPGDLLGKKQSGHLPEFPIARLEIDGSILQEAHLAALNVLGTSNDLAQFPGLKVELSMRQPLCILGD >ORGLA02G0253700.1 pep chromosome:AGI1.1:2:23449723:23452040:1 gene:ORGLA02G0253700 transcript:ORGLA02G0253700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRRLLLLCLYVAAASRLAPCSTAAALPDPAPLDPALVFPSATPAQPGSATIPAFPEQSDAASGTSSTCPLTPSPSLLPAVTSSCVDGGGALTTRLRCCPPLAAWLFAAYAPAALAQRPAKSAAAAAVDMPVPPDDSEACAGAADRALRAEGAALPRPPGANGTCDVAFCYCGVRLRRLTCGPPAAEGGQWSPADAAARRLEKDCAEPGVPGCSKCLRALTTIKAGSGGAAAAAAAAAKKKQQQGGAGVTGERECQLMGIMWLLQRNATRYGAAATAVIQALMAADEASAAGVAAAADGPAACSLPVDDMPLAAEYARFSDAGGPPAVSRLYVLLLLVALFGVVAYAL >ORGLA02G0253600.1 pep chromosome:AGI1.1:2:23445657:23445986:-1 gene:ORGLA02G0253600 transcript:ORGLA02G0253600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKDKGVVDHNDGGEAESESGIVVPPHKCSNFSLAVMLTARQVCPVVEGHDAAGSASLTELGPPELVRRGDDDEPLKFPWNQSSSKGWGQQGQRQSPFLLSPPSPRAL >ORGLA02G0253500.1 pep chromosome:AGI1.1:2:23441291:23443542:1 gene:ORGLA02G0253500 transcript:ORGLA02G0253500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGEEGEAGSSLYRFRHRRLMDTTPATDSGGHSSHNGMPIMVSILVVVIICTLFYCVYCWRWRKRNAVRRAQIESLRPLSNSDLPLMDLSSIYDATNQFSKENKLGEGGFGPVYRGVLGGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGCCVEKEEKMLIYEYLPNRSLDAFLFDSRKRAQLDWKTRQSIILGIARGLLYLHEDSCLKVIHRDLKASNVLLDNKMNPKISDFGMAKIFEEESNEVNTGHVVGTYGYMAPEYAMEGVFSVKSDVFSLGVLVLEILSGQRNGAMYLQNNQQTLIQDAWKLWNEDKAAEFMDASLAGDYSKEEAWRCFHVGLLCVQESPELRPTMSNVVLMLISDQMQLPEPAQPPLFAAREMKKVSASEFSLAMKTETTKTQSVNDVSISMIEPR >ORGLA02G0253400.1 pep chromosome:AGI1.1:2:23438367:23438645:-1 gene:ORGLA02G0253400 transcript:ORGLA02G0253400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKDDDDVDDAVGEVVDDGDGFARPPLGPQAECLLHLREPEMAYQNRHAECVGETAAGNGAVVDLRASVVVTAVPTPSGVATNKGTGATDE >ORGLA02G0253300.1 pep chromosome:AGI1.1:2:23432895:23433989:1 gene:ORGLA02G0253300 transcript:ORGLA02G0253300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMALVRERAMVYGGGFDAEAFGGGFESSQMGYGHDALLDIDAAALFGGYEAAASAGCALVQDGAAGWAGAGASSSVLAFDRAAQAEEAECDAWIEAMDQSYGTGGEAAPYRSTTAVAFDAATGCFSLTERATGGGGGAGGRQFGLLFPSTSGGGVSPERAAPAPAPRGSQKRAHAESSQAMSPSKKQCGAGRKAGKAKSAPTTPTKDPQSLAAKNRRERISERLRILQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGGKAPEISQVKEALDAILSSSSPLMGQLMN >ORGLA02G0253200.1 pep chromosome:AGI1.1:2:23413498:23417987:1 gene:ORGLA02G0253200 transcript:ORGLA02G0253200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRSRTAAAAAVIAASAASLADVAYADSGYSFFRRSSPPPPPPAAAAAAAASEDSGTEVAVEVDSSGFDPESLERAARLLRKLNSSKYAKQLFELMRMQEKTRLAELEAEKVQYIIQQHLRDIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQTEREAQRRQDAELVKMQEAAALRKEEVRRTTEKKILEKMLEDEKEKALLKKQNIQANAEAKGEALTREAKALEDYNRKMLLERINGDKEKWIAAINTTFSHIEGGFRMLLTDRSKLLMGVGGVTALAAGIYTTREGAKVTWGYINRILGQPSLIRESSMPKFPLSRFKALKSTSASLSGGAGFENVILHPSLKRRIEHLARATANTKSHDAPFRNMLFYGPPGTGKTLVAREMARKSQGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSRKGMLLFIDEADAFLCEYVHNLIFKHLSDH >ORGLA02G0253100.1 pep chromosome:AGI1.1:2:23407703:23409348:1 gene:ORGLA02G0253100 transcript:ORGLA02G0253100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWTTKQNKVFERALAIYDRDTPDRWQNVARAVGGGKSVDDVKRHYEKLIKDVDRIDSTGGHQGSHYNSSNASSSSSSSSNSRGSANEDQRRRYHNFQ >ORGLA02G0253000.1 pep chromosome:AGI1.1:2:23388290:23391737:-1 gene:ORGLA02G0253000 transcript:ORGLA02G0253000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1P3H4] MENRNKEDMGPSQRNSCPKVHSSMCSELTMMLDKVSSILPLIEAARPGCKAGIQELCNLYNIVEKGKLIIQHCVECSKLYLAITGEAIVSRCERIRDSLRRSLFLIENMVPPALANQIVDVHDDLGDIKFVIDPTEEEAGKTILEMLRQSDVTEELELETFLQAASNLNLTSPKSMLIERRAIKKLLDKISGTDPKKEGVLKFLLYLVKKYGKNIKPETGERKENMQSESQSSTPSSSFVSDTSTPGKWYTPTDIQRNEDQTSMSGAATPPAEFCCPISTKLMRDPVIITSGQTYERENIERWFREGYDTCPRTHIKLENFAMIPNTCMRDLIFNWCKEHGFIISDILPPSKNAYSYLPEQLHGYSMSSLHNVSVPLIAGKVRDFVIDHSTSSVALSEASYMSDSYHVRDMEEPKDSFSQFSWSADYQECLSFRNFNQDKFLRFFYELSKLPLELQDRSIGDLKIILNEENEASCAMVSNGFVEAFFDFLMNEDGSYSMQAQKVGFQFFRVFLSNSRTNILHMNEEAFRLFASFIDSELKTEALLTLHELVQHLSCRQSHLMASIITPLLESEDAEGLELCLKIVCNLSSDSDVKPYLISLGIVSRLSPILSEGTFAECCLEILRNLCDVEEATVLITKTDRCLGSVAEYLDTGSPKEREHAVVILLAVCSHSSEDCLLVMKEGVIPALVDLSVNGIDEAKGCSMKLLHLLRDLRRTDQLNNSCSQEVVVTDVVEGPPDSSIHKQPIPKSSRFFQRKLNIFSKPRSLTLF >ORGLA02G0252900.1 pep chromosome:AGI1.1:2:23370244:23372120:-1 gene:ORGLA02G0252900 transcript:ORGLA02G0252900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCGGGDVSRHRKGHLDTVESLCQGLLDDVMLDDDKCRAMFGYLQEWQDLASMCYGSLGGEPPLAPEASNGSGSSGGGGSFRKRRPDDAKGESNSICKRQRGKQQQQQQPCHPDQMAAAVGKGRPERARPGAKKKAEVASPKDSPATSASTVTAGQKTDYIHVRARRGQATDSHSLAERVRRERISERMRYLQELVPGCNKVTGKAGMLDEIINYVQSLQKQVEFLSMKIAASNPVVNFNIVEDLFGRQLSQAACNPAALPAMALPMAQVEPSCLQMSPLQQMQTSAGSSGYGLEMVVSNQYSPPGGPMSVPAGASVEPCLNVNGAAGWDIGSHGLFSGFDAPFQSVQSDCLLDNLKMEM >ORGLA02G0252800.1 pep chromosome:AGI1.1:2:23366580:23369928:1 gene:ORGLA02G0252800 transcript:ORGLA02G0252800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21620) TAIR;Acc:AT2G21620] MDRSMETVEEEVEEYSWREVLLPRLVPVVSDAAPELERETGERRRGRELLVAVDFGPNSKHAFDWALVHFARMADTLHLVHAVSSVNNDLVYEKSQELMEDLAIEALKTSLVRTKARIVEGDAGKVICREAERLKPAAVILGTRGRGLIQSVLQGSVSEYCFHNCKAAPVIIVPGKEAGEQSVL >ORGLA02G0252700.1 pep chromosome:AGI1.1:2:23362129:23364622:1 gene:ORGLA02G0252700 transcript:ORGLA02G0252700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:I1P3H1] MSLKSIVRELREMRDGIGSMSRRAADGRGGGGRGGSRHSWPGLWSEQQQPPQQQQLQRQEHQQQQGRWANLPPELLLDVIQRVEASEATWPARRQVVACAAVCRSWREVTKEVVKTLEECGRITFPISLKQPGPREHPVQCFVRRDRATSTYLLYLGLSPSLHGENDKLLLAARKIRRATRTSFVISLVSNDFSLSSSTYVGKLKPNFLGTKFTIFDSQPPCDAVVLPNNRPSKRHFKQVSPRLPLGNYNVATVSYELTVLRNRGPRRMQCTMHSIPALCIQEGGKAPTPTGIIHSLDEQVPALSTSKGKEPAIEFSSTSLSADLSGPVCTNEVPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLGIPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >ORGLA02G0252600.1 pep chromosome:AGI1.1:2:23352204:23354075:-1 gene:ORGLA02G0252600 transcript:ORGLA02G0252600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G36530) TAIR;Acc:AT4G36530] MSVACAAALPTASARRRHRGSPQTALEGGGKLVMMQRRDLVTKGVTLSVCCSMLSSSNSSAQALERLPFKADGYNFWTWRGRRIHYVEQGAGQPIVLIHGFGASAFHWRYNIPELAKKYKVYAIDLLGFGWSEKALVEYEATIWMEQVRDFLRDVVKDPAVVVGNSLGGFTTLFAATEVPELVRGVVLLNSAGQFGDPNRPPEAAEAAAGEESAVTRLVVRPLKEAFQRVVLGFLFWQAKQPARVEKVLKSVYKDATNVDEYLIGSITAPTADPNAGEVYYRLMSRFMANQSRYTLDRLLGKLSCPLLLLWGDLDPWVGPAKAAQIKKFYQDTTVVNLQAGHCPHDEAPEQFNGALLEWLASLDAGKPADQPEPALQSV >ORGLA02G0252500.1 pep chromosome:AGI1.1:2:23348103:23350275:-1 gene:ORGLA02G0252500 transcript:ORGLA02G0252500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like negative transcriptional regulator family protein [Source:Projected from Arabidopsis thaliana (AT4G34540) TAIR;Acc:AT4G34540] MCEEATRSRVLVVGATGRLGGCLVRASLAAGHPTFALVRPHHLAVPDSAPLTSLAGATVVKGSLEDYPSLLEAVRQVDVVICAVPTKQALEQKLLIRAIKDAGCVKRFIPAEYGLDPTKVQICGMDHGFYEKKIEIRHLIESECIPHTYICCNFLMRYLLPSLVQPGLDAPPRDEVKIFGDGNTRGVFVEETDVAKFTICTIDDPRTLNNTLYLRPSGNVYSMNELVDLWEKKINKFLNKIYITEEQLLKNIEDAPLPLKMDLIFIYSTFIKGDQTYFEIDSRKEGTQLYPHVSYTTVDGYLDKLV >ORGLA02G0252400.1 pep chromosome:AGI1.1:2:23341693:23345654:-1 gene:ORGLA02G0252400 transcript:ORGLA02G0252400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAERSSGHNRHSRNDSSTRHKSGYEPSDTETEWHESPWNDAVLPSGRNTQIGARRQNLSPNHTREYPNEKTSNLRNSRTPPRFTEQVHQNSSHSGGKNELRKKSNRTPPRFRPSMESFSRSSIKEKFSQNRSISTPKLRPHEKEHPPRAPAFLGTNLISKQGEMDSADNIKEDSHAENCSQEINELIANGKWPNSRYNEYACTSTESIPTGDIFFSRDCRAPIQRTPTKHNNDKSLTSETARAENYGTEANSNNLAQTPKSISAQTGLSRTIRNSNYGTSRHTQINSGATLSSQFNSGRYSGDSGKFSDFTGKLVGGVMKFTSNMQKAQNDSWLPCVTGKTCRKPKSPNSKTTDESESSFIQKALVVENIRLFWADKYRPRTLGGFTCHREQIEQLKQLVSTEFCPHIIFKGPPGSGKSSLCRAVVTEIFGDSSLNVSHYLKSCSGQGSTSMPVLVPLSSSDHHMELNLRYYSKNAGYVLMDLANEITNKKKTTDPSVRKKFKVIVLYDVDKVSESNQRLIKWMIDSSSDTHKILMTCQDESHILDSMKSRCKLICIGVPNTREIVDILTYISKKESFDLPSSFAATIASQSRQNMREAILALEACKANNYPFIDGQAIPLGWENVLQEIAAEILDDPSPKRLFLVRGKLQKLLVEFVPPKLILQKLAELFLKGIQSSIKREVYYWHAYYDKRLPVGASALLKLEEFVAKFMSIHRKTLPVRSTRPV >ORGLA02G0252300.1 pep chromosome:AGI1.1:2:23335054:23337283:-1 gene:ORGLA02G0252300 transcript:ORGLA02G0252300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrophosphorylase 2 [Source:Projected from Arabidopsis thaliana (AT2G18230) TAIR;Acc:AT2G18230] MAGEADGKAPLGSRYPPAALNERILSSMSQKHVAAHPWHDLEIGPGAPAVFNCVVEIPRGSKVKYELDKATGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFRDIKEIPPHRLQEIRRFFEDYKKNENKEVAVNEFLPAEDAINAIKYSMDLYGAYIIESLRK >ORGLA02G0252200.1 pep chromosome:AGI1.1:2:23330789:23333869:1 gene:ORGLA02G0252200 transcript:ORGLA02G0252200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ornithine carbamoyltransferase [Source:Projected from Arabidopsis thaliana (AT1G75330) TAIR;Acc:AT1G75330] MSAAISGASLVLSSPPAPGXXXXXXPARVSVAAAAPAARRGVVAAAAVSSPAVSSSAGKDAKQIPKDFLHIDDFDKDTIMKILNRAIEVKAMIKSGDRSFQPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSGYNDIIMARVFAHQDILDLAKYAAVPVINGLTDYNHPCQIMADALTMLEHIGRIENTKVVYVGDGNNIVHSWLRLAALFPLHFVCACPKGFEPDAKTVEIARSAGSKIEITDDPMEAVKGADVVYTDVWASMGQKEEAEYRKKVFQGFTVDEAMMEMAGPNAFLMHCLPAERGIEVTDGAIEAPNSIVFPQAENRMHAQNAIMLHVLGA >ORGLA02G0252100.1 pep chromosome:AGI1.1:2:23325308:23328104:-1 gene:ORGLA02G0252100 transcript:ORGLA02G0252100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITHYVLDPLLETGSATQSQKAASKPPPAPPLPQEKAAEKPIPVPVAPVRRAQTASATLYATPESTSLPDSPSSFPGTWSPYLINHKRRGPCLVKTLSQGDVGSDGSQQPMLSVTLPPLPKRSQSFEVQEPEFEFQQASNGVLEGDSGVVETLDWQNGMLQKGKGTVSGEYGHDQAEFEFQHGNPDATVRPVNVGRPANGGTPRKINNDAFFELQDSLSMASNSEAEDAGGHERWWKPSSPLGTSVGTPGAEFYDAFEEISSDGATRSSQCMDDDLREMRLSLLMEIERRKQAEEALENWQKEWKKLSDHLSLIALTLPPPSLAEDTDDSSMDPGAELCQQITVSQLVAAAIARGFARAEVETDMETKIAAKNFEIARLSDRVQYYEAANREMSQRNQEAIEMSRQQRNIRKKRQKWFWGSVGLAVTLGTAAIAWSYLPAAQPQASQDSNTNSD >ORGLA02G0252000.1 pep chromosome:AGI1.1:2:23321968:23324260:1 gene:ORGLA02G0252000 transcript:ORGLA02G0252000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPPAPPPLKPPVRLNRLPQRQPGGGGRFLLPRRRPRTQRSFGVVVCMAEMARVGTGPSWEEELGVPEESDAILGGGGGDGQRRQATRWELVEARLNQTSKWLVAGCYTSAAIWKHDALIMWAMIGAVLNSMFSNLLKRIFNHERPASALRSDPGMPSSHAQSFLYSAVFLILSLFYWLGRTYLSVILGVAILAMCCYLSWLRVSQRLHTLNQVLVGAIVGSAFGAMWFALFNLLVQEAFASSVPVQIAVTIGTAILCIGFVIHVVRHWFKDE >ORGLA02G0251900.1 pep chromosome:AGI1.1:2:23312301:23316993:-1 gene:ORGLA02G0251900 transcript:ORGLA02G0251900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLLPCRFLLSPSAAVRVPSRRAITLLSAGASPSTPSRSAGAPSVRQRRVRAAPSVPAKQAARPRPAGENGRTTTTRRRRRRKQQGEEGEERGERGCVPSMEEASIRVGTLYQNGDPLGRKELGRCVVEWLRQGMQSMASKFASAELQGDMADLDAAALAQEWGSADGRLGFVIQAQPYMSAIPMPKGLEALCLKACTHYPTLFDHFQRELRDVLQSCQNQGLISDWRSTESWKLLKEMANSVQHREAVRRTVPRPKAVHGSIGVSLNKVKLMQRRIEQYVTHMSDLLCIERDVELEFTQEELNAAPMLDDDSEPPKPVEYLVSHGQSQQEQCDTICNLNVISSSTGLGGLHLVLFRIEGGHKLPPTTLSPGDMVCVRTCNSRGEGATSCMQGFVYNLGEDGCSITLALESRHGDPTFSRLFGKSVRIDRIQGLADALTYERNLEALIILQRNGLQKSNASIGVVATLFGDNKDVMKMEQNHLTDWSESSAPDPRISERYALDASQLKALSLGLNKKRPVLIIQGPPGTGKTVLLTELIVRAVQQGENVLVTAPSNAAVDNMVERLSNTGLNIVRVGNPARISPSVASKSLAEIVNGRLEQFRKELERKRSDLRKDLSYCIEDDSLAAGIRQLLKQLGRDLEKKEKEMIREVLSEAQVVLSTNTGAADPLIRRTCCFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDHCQLAPVILSRKALDGGLGKSLLERASSLHNGLLTTRLTMQHRMNDSIASWASKEMYHGLLKSSHSVASQTLADSPVVKATWITRCPLLLLDTRMPYGALDTGCGEQIDLSGTGSFYNDGEADIVTQHVLNLVHCGVSPTAIAVQSPYIAQVQLLRERLEEYPGLSGVEVSTIDSFQGREADAVVISMVRSNPLGAVGFLGDSRRMNVAITRACRHVTVVCDTSTICHSTFLARLLRHIRRYGQVKHVVPGSLDGVSGLGFSQPTLPSIS >ORGLA02G0251800.1 pep chromosome:AGI1.1:2:23307772:23308098:-1 gene:ORGLA02G0251800 transcript:ORGLA02G0251800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGAWQLSKVDPKSCAIVKDVNQELPFGPNDVNAVFGLPCSGQPIIPCSQDELDGKKQILCEIFEIPNFSHLKISLLERILKKQYGYPKTIDEKSVFMAAFVLYVFF >ORGLA02G0251700.1 pep chromosome:AGI1.1:2:23298629:23300557:-1 gene:ORGLA02G0251700 transcript:ORGLA02G0251700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRICPAHCSVTHSLTMKSVRLSYIPPAASAAPQSPSYGRKKNASTAPPSAAASTTVLTSPPVTTTRTPKQTEQEDEQLVAKTKTTRTVTATANGRAAPSQARPRPRPRRRPAPAAAASAASLPMTFCNALEEVINTFIDPPALRPAVDPRNVLSSNFAPVDELPPTPCPVVRGAIPRCLAGGAYIRNGPNPQHLPRGPHHLFDGDGMLHSLLLPSPASSGDDPVLCSRYVQTYKYLVERDAGAPVLPNVFSGFHGVAGMARGAVVAARVLTGQMNPLEGVGLANTSLAYFAGRLYALGESDLPYAVRVHPDTGEVTTHGRCDFGGRLVMGMTAHPKKDPVTGELFAFRYGPVPPFVTYFRFDPAGNKGADVPIFSVQQPSFLHDFAITERYAIFPEIQVVMKPMDMVVGGGSPVGSDPGKVPRLGVIPRYATDESEMRWFEVPGFNIMHSVNAWEEAGGEELVLVAPNILSIEHVLEHMELVHACVEKVRINLRTGAVTRTPLAAGNFDFPMINPAFLGRRNRYGYFAVGDPMPKIGGVAKLDFDRAGEGDCTVAQRDFGPGCFAGEPFFVADDVEGNGNEDDGYLVCYVHDEGTGENRFVVMDARSPDLEIVAEVQLPGRVPYGFHGLFVTQAELRSQHQ >ORGLA02G0251600.1 pep chromosome:AGI1.1:2:23294155:23296893:1 gene:ORGLA02G0251600 transcript:ORGLA02G0251600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1P3G0] MASPAKERDGDGRRAHATMVGVQFINAGYHVIAKQALNVGVNRVVFCVFRDVLALAVLAPLAFLRHRGSPSQARTPLTWRLLASFFILGLTGIFANQLLFLFGLSYTNPTYAAAIQPSIPVFTFILAALMGTETVNLSTHEGRAKIGGTIVCVMGAVFMVLYRGPALFGSGELELDDAHSHGVIADMSQPEPVGPLSIVFGLQKWHIGVLCLIGNCLCMATYLAFQAPILVKYPCSLSLTAYSYFFGAVLMVISGVFATNSKEDWTLTRSEFGAVVYAGFISSALNTGLLTWANKILGPAMVSLYMPLQPVVSALLSKFFLGSSVYLASIIGGFLIISGLYLVTWARHREKLTIGVPYETCASELLESTSHVVKSRNMASVPYISLSRPSNVPHES >ORGLA02G0251500.1 pep chromosome:AGI1.1:2:23292118:23292927:-1 gene:ORGLA02G0251500 transcript:ORGLA02G0251500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALPDRTFYSLPNSAFRRLPLHLHRHQQLPHAQSSCGEWLVFQRRDGAYTLVSPFSTATTMVLPRMPTDPPVTHDTPPPLQKLVVCSPTLVAAVVGKRPSQLLLCRPRSASWSCRHDRLQALEIQDMVSYQGKLHALVNSGDLLSISISEDDDGSHAGGEPTVSSVDCLVRVSPGRRTEPPLYLVESDGALLMVRKENHSTREGSYSDDEQSNVILYPDDDDSYVPLYSAEQIELQTKFEVFAADMAGSRWRKVRSVGGDRVLFVGRW >ORGLA02G0251400.1 pep chromosome:AGI1.1:2:23272630:23274556:1 gene:ORGLA02G0251400 transcript:ORGLA02G0251400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFLLFVCVLAPFLLFCAVRGRRRQAGSSEAAACGLPLPPGSMGWPYVGETFQLYSSKNPNVFFNKKRNKYGPIFKTHILGCPCVMVSSPEAARFVLVTQAHLFKPTFPASKERMLGPQAIFFQQGDYHAHLRRIVSRAFSPESIRASVPAIEAIALRSLHSWDGQFVNTFQEMKTYALNVALLSIFGEEEMRYIEELKQCYLTLEKGYNSMPVNLPGTLFHKAMKARKRLGAIVAHIISARRERQRGSDLLGSFVDGREALTDAQIADNVIGVIFAARDTTASVLTWMVKFLGDHPAVLKAVTEEQLQIAKEKEESGEPLSWADTRRMKMTSRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHNPDHFPCPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEMLVLFHHLATKYRWSTSKSESGVQFGPFALPLNGLPMSFTRKNTEQE >ORGLA02G0251300.1 pep chromosome:AGI1.1:2:23255614:23256435:1 gene:ORGLA02G0251300 transcript:ORGLA02G0251300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWSRGLSCRAAICGIVVLLCATAFSCSLAAEFRKVKEKDMKLDGSLCSLPKSSAFELGVAAIAFLSVAQLVGTTAAATTMCAASKRSKSSTTRRRAASVAILVLSWVSFALAVVLLATAASMNHGQRYGRGWMDGDCYVARNGVFGGAAALVVVTALLILGLTSTTKSSSCATSAASATTTIRLDAAATDAEQASGRSKQ >ORGLA02G0251200.1 pep chromosome:AGI1.1:2:23250292:23251068:-1 gene:ORGLA02G0251200 transcript:ORGLA02G0251200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADLEAGAVRAPRRARGPDATRALVFQITTAVASYRRLLNSLGTPKDTPALRDQLQKTSHNILQLAKDAKEKLRRAAEADKSADTSADKRVADMKLAKDFATTMEEYGKLQNLAIQREMAYKPVVPQTSQPK >ORGLA02G0251100.1 pep chromosome:AGI1.1:2:23247420:23248589:-1 gene:ORGLA02G0251100 transcript:ORGLA02G0251100.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTTGGIEARDSGKIPEQHALLAESKRQEVLQLDNEIVFNEAIIEEREQAIQDIQQQIGEVHEAFKDLATLVHIQGVTIEEIDTNIENSAAATKEAKTEIAKASKTQKSNSSLLCILLVIFGVVLLIVIIVLAT >ORGLA02G0251000.1 pep chromosome:AGI1.1:2:23229034:23233261:-1 gene:ORGLA02G0251000 transcript:ORGLA02G0251000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 14 [Source:Projected from Arabidopsis thaliana (AT1G31650) TAIR;Acc:AT1G31650] MRMKTLACCRRRPQDFSIDMDQEPDRVMTYNGLESCIINSSSYDDDSGLSATTGADGCVTTDSVDDEVSSCSSSKDVSSSSFSSQCHPLRKQEEHSLYELDTLSAVHLLPLKGKKPITYTLSASDIETMKEKFGKLLLGDDASGGARGVCAALALSNAIINLSATIFGELWKLEPLCEEKKVRWRKEMDWLLSPTTYMVELVPTKQNGADGCTFEIMTPKARSDVNVNLPALQKLDSMLIEVLDSMVDTEYWYVESGSRANGRGKKNGLRQTKKWWLPSPRVPDIGLSQFQRNRLVFQAKLVHQILKAAMSINEEVLLQIPIPPAVTDALPKSGRASLGEDLYHAITTEYIPIEEIFLSLSLKTEHTVLETMNRLEGAVFAWNQRISEEKSKKSPGRHSWNFMKDSSSELDKMSMCIERVETLMQLLKSRFPSLPPTFIEVVKIQYNVDVGHAIVEAYSRVLVGVAFSILSRVAEILLEDDLIKKPNTPLATLKFDLSSDVYLAGITETPPGHIRRSLMDQISLVDGSLDAVVRKKGVKQLRW >ORGLA02G0250900.1 pep chromosome:AGI1.1:2:23215830:23223612:-1 gene:ORGLA02G0250900 transcript:ORGLA02G0250900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSWEVDAAGPPWRPSESTAFLPFAAAAAAGDRAGASLSGRRNGLAARSSNLSSVRKRPFVARLTTDIVQTFGKCNPEFKYSDSLNPKRFLTNPSVPAHNDGLDNANSDLILYVNLELVNKKSDRRYVIKEMLGQGTFGQVAKCWDGETNSYVAVKVIKNQPAFYQQAIMEVSLLSMLNEKYDPDDQHHIVRMLDFFLYQNHLCIAFEMLGHNLYELLKRNSLRGLQLKYVRTFSRQILDALVVMKDAGIIHCDLKPENILITPNVKTAAGVKVIDFGSACLEGKTIYSYIQSRYYRSPEVLLGYPYTTAIDMWSFGCIVAELYIGLPLFPGASEYDVLCRMIEILGGQPPDDLLREAKNTGRFFKQVGSIYPGIEMQNGPISAYRILTEEEIETRESKKPKVGRWYFPRGRLDKLIYTYPWKNLNGENLPETEKTDRLALVDFLRGLVEFDPNKRWSPLQASYHPFITGEAFTGPYEPIQETPRIPVGRVAAVDHNPGGGHWLAAGLSPQVVGSINRGLPFNNAFAPKIPFSYGSSCGSFGSHGSFNDNVGLASSYGSYDVNSVNMYHSPLGPSGFNLHSQAGGTFLGSSPDIRRRSYLYHGGGIRLSPGCPGPMSLGASPSQFTPPNSQMQIPSTATGKYGSTSPARSSHGSLGKAAAVGQYNRRRNLGHPPISMPPHEYTSQLIQGHHGDGTISNHFDGYARGHSGYPQSALPNPGHFSWRPHTCAGSGLSTDTLNHGSFPPSRYGGFPPSHSSNVSADTLASTSSIPDPADWDPNYSEESLLQEDTSLSDALSDLHLKDASGQTNQSSRLAHIQSHAIANSNSLSMNQRGDRLFHASTLTESSASTGHVTYDGYHNANYSQLSFQSRHGQPFQRYNHMTASYLRPMGNHHNGQPVWPNYGMAEPPPATMADGMPWGGRPGHSFTAGGLPSSFAGKDFGRIF >ORGLA02G0250800.1 pep chromosome:AGI1.1:2:23214766:23215008:-1 gene:ORGLA02G0250800 transcript:ORGLA02G0250800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRNIIPSSSSCCIPRSVHQRRPYVRRRSSRRRGQKWIWHSLTCRRGDMRWRYGGSHAGALHRWPAGTRNGGGEAPLTG >ORGLA02G0250700.1 pep chromosome:AGI1.1:2:23211478:23214357:1 gene:ORGLA02G0250700 transcript:ORGLA02G0250700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:I1P3F1] MANGGVCLSCSALVCALVFLTVDGDFVDITYVASAVAKGAVCLDGSPPAYHLARGFGSGVNSWLVHFEGGGWCSNVTTCLQRKRTRLGSSKQMAKQIAFSGILSNTPDYNPDFYNWNKVKVRYCDGSSFTGDVEKVDPATKLHYRGARVWQAVMDDLLAKGMNSANNALISGCSAGGLTSILHCDRFRDLFPVDTKVKCLSDAGFFINEKDIAGVEYIAAIFNGVATTHGSAKNLPSACTSRLSPGMCFFPQNEVKQIQTPLFILNTAYDSWQVRNILVPGFADPHGKWHSCKHDIDQCPASQLQILQGFRDDFLKALKEQGTPSTRGLFINSCFVHCQSETQETWFASGSPMLETKTIADAVGDWFYDRNPFQKIDCPYPCDSTCHNRIYDDPSEA >ORGLA02G0250600.1 pep chromosome:AGI1.1:2:23205054:23205473:-1 gene:ORGLA02G0250600 transcript:ORGLA02G0250600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TYECHCWVPARCRQVRRPMIPSLRHAGASWFAVIAPFVRNVFTSSSRRRRDVSSPHQHHIVTSVRDAFSSSCRDEMSVHSAFPLSVKIGVVRPWRFPPLVKNRCHSSVILSFVEIDVAVRPCIGASLVYINIKQKWCRL >ORGLA02G0250500.1 pep chromosome:AGI1.1:2:23197854:23198183:-1 gene:ORGLA02G0250500 transcript:ORGLA02G0250500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGKPTSGGSGTSRSSNIRGLLQDFLEQQHRLDVRRQEALERHAQERAAIEQQWRQSMQALERERLMLEQAWMEREEQRRVREEARAERRDELLTTLLNRLLQDDDL >ORGLA02G0250400.1 pep chromosome:AGI1.1:2:23194329:23197283:1 gene:ORGLA02G0250400 transcript:ORGLA02G0250400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonucleases [Source:Projected from Arabidopsis thaliana (AT2G15820) TAIR;Acc:AT2G15820] MATTSPCAAPSPSLRCPLALSHPFASPPPPPALRLAGPKLLPGRLAVSPPPGIPAVASALESLILDLDDDEEDEDEETEFGLFQGEAWAAADEREAVRSPELVVPELEELPEQWRRSRIAWLCKELPAYKHSTFTRILNAQRKWITQDDATYVAVHCLRIRNNDAAFRVYSWMVRQHWFRFNFALATRVADCLGRDGKVEKCREVFEAMVKQGRVPAESTFHILIVAYLSVPKGRCLEEACTIYNQMIQMGGYKPRLSLHNSLFRALVSKTGGTAKYNLKQAEFVYHNVVTTNLDVHKDVYAGLIWLHSYQDVIDRERIIALRKEMKQAGFDEGIDVLVSVMRAFSKEGNVAETEATWHNILQSGSDLPVQAYVCRMEAYARTGEPMKSLDMFKEMKDKNIPPNVASYHKIIEIMTKAREVDIVEQLMNEFIESDMKHLMPAFLDLMYMYMDLDMHEKLELTFLKCIARCRPNRILYTIYLESLVKVGNIEKAEEVFGEMHNNGMIGTNTKSCNIMLRGYLSAEDYQKAEKVYDMMSKKKYDVQADSLEKLQSGLLLNKKVIKPKTVSMKLDQEQREILIGLLLGGTRMESYAQRGVHIVHFQFQEDSNAHSVLRVHIHERFFEWLSSASRSFDDGSKIPYQFSTIPHQHFSFFADQFFLKGQPVLPKLIHRWLTPRVLAYWFMFGSSKLPSGDIVLKLSGGNSEGVERIVNSLHTQSLTSKVKRKGRFFWIGFQGSNAESFWRIIEPHVLNNFASLVTQEGSSIGSDGTQDTDTDSDDDVQMSDTERDE >ORGLA02G0250300.1 pep chromosome:AGI1.1:2:23185835:23187295:-1 gene:ORGLA02G0250300 transcript:ORGLA02G0250300.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEIIDELNVPVDQDHLDIYTSLNDEQRAGFNEIIDHVTNKKSQVFFIDGPGGTGKTFLYKALLARVWSERLIAIATATSGIAASILPGGRTAHSRFKIPIKIAHNSMCNFTKQSGTAELLHMASLIIWDEATMTKRQAVETLDRSLQDIMGCSLPFGEKVIVFGGDFRQLLPVVTRGTRAQITDATLQRSYLWENIRKIRLSRNMRAQSDPWFSEYLLRIGNGTENTIRDDYVRLPDEIVIAYGNSEDSVHELINHVFHLLDDEKNASSASYMSTRAILSTKNDYVDKLNANMIDRFPGQAKVYHSFDSVDDDPHNSYPLDYLNSITPNGLPPHELIVKINCPVILLRNLDPNNGLCNGTRLMVRAFQDNANDAEIVGGQHASKRVFIPRIPLSPSDDISLPFKFKRKQFPIRLSFAMTINKSQGQTIPNVGIYLLEPVFSHGQLYVALSRGVSRLTTRILAKPKKEIDSTGKSTRNIVYKDVLDW >ORGLA02G0250200.1 pep chromosome:AGI1.1:2:23183860:23184222:1 gene:ORGLA02G0250200 transcript:ORGLA02G0250200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVATVTRPLPSLFMVRTADGVPHGLLHAGLAENVDEEVPGVAGVIHRPPRPDEEADGGLGTGVADAGPEHLLRDLRFRIPRLDPARISRNASARGESSSPSSRPFSVAALIGDKRRD >ORGLA02G0250100.1 pep chromosome:AGI1.1:2:23181051:23182155:-1 gene:ORGLA02G0250100 transcript:ORGLA02G0250100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit G [Source:UniProtKB/TrEMBL;Acc:I1P3E5] MDANRRQGGIQQLLAAEQEAQQIVNAARSAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETDTKIAQLKEQAANVSPEVIQMLLRHVTTVKN >ORGLA02G0250000.1 pep chromosome:AGI1.1:2:23177650:23179505:1 gene:ORGLA02G0250000 transcript:ORGLA02G0250000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-tocopherol methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G64970) TAIR;Acc:AT1G64970] RVSNDTEKKPKSVVDVGCGIGGSSRYLANKYGAQCYGITLSPVQAKRGNALAAEQGLSDKVSFQVGDALEQPFPDGQFDLVWSMESGEHMPDKRQFVSELARVAAPGARIIIVTWCHRNLEPSEESLKPDELNLLKRICDAYYLPDWCSPSDYVKIAESLSLEDIRTADWSENVAPFWPAVIKSALTWKGLTSLLRSGWKTIRGAMVMPLMIEGYKKGLIKFTIITCRKPETTR >ORGLA02G0249900.1 pep chromosome:AGI1.1:2:23175261:23175886:1 gene:ORGLA02G0249900 transcript:ORGLA02G0249900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAQRHGPWGNGCSAKWPLDQFSCAWKLANSSIAMGAAGRIERERTRSCTSIRWGALGSTCFGACHDDLATGYLLNEFTDDCHANVWIHR >ORGLA02G0249800.1 pep chromosome:AGI1.1:2:23136521:23140555:-1 gene:ORGLA02G0249800 transcript:ORGLA02G0249800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSTKDGGEGGHRSATATPNSGKSLTSQLKDMVLKFSGSGRHQYKSGGSPSLRSSRFHRSSRLAAYPGIIDESGFTSDGAGEAYTYMRTTTASAGARAAPSTWDLPPKVNHRSFQPRVIRSPSASGVPSIGEEDYDDDDDDDDDDEETVVLEEDRVPREWTAQVEPGVQITFVSIPGGAGNDLKRIRFSREMFNKWEAQRWWGENYDRVVELYNVQTFSRQQGLSTPTSSVDEAMQRDSFYSRVGSTRESPAMMMPPPPPLPSSGAGREHPISRTASSKAQLSSSSSVAAARPPFYPSTAVPDPSDHVWAHHFNLLNSAAAGPAAPYDPSRGTTSSRDEASVSISNASDLEATEWVEQDEPGVSITIREFGDGTRELRRVRFSRERFGEERAKVWWEQNRDRIHAQYL >ORGLA02G0249700.1 pep chromosome:AGI1.1:2:23132542:23135644:1 gene:ORGLA02G0249700 transcript:ORGLA02G0249700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFKMIKEVGDGTFGSVWRAINKQNGEVVAVKKMKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVTSVPPYTEYVSTRWYRAPEVLLQSSIYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETMKFQFPQVSGNQLAEVMTSVSSEAVDLISSLCSWDPCKRPKAAEVLQHTFFQGCTFVPPTVRSKAGVLPKTPPCVGVKGVSEHGMPRRYSTGTLSTTKPHSNASLKSSGLSKTGVQRKLQMDRQAPQKIKKPTESNNKLTTNRVPARNSPGHPVLRHSRSLPETGRATMHKVSTLTERLSHMSVTSRTRTTPKPAAPLLKAGLGKSDLLGKTDEIPPAKRLTRKLVS >ORGLA02G0249600.1 pep chromosome:AGI1.1:2:23125376:23128086:-1 gene:ORGLA02G0249600 transcript:ORGLA02G0249600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGGRPEVSLATVRSPGHPAASTTAAAAADPGHADTGQEKPTVESAQPANGAAPMGECGTEYRGLPDGDAGGPMPSSARTVSMIPLIFLIFYEVSGGPFGIEDSVGAAGPLLAIIGFLVLPVIWSIPEALITAELGAMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGVPALGGGAPRAFAVVGLTAVLTLLNYRGLTVVGWVAICLGVFSLLPFFVMGLIALPKLRPARWLVIDLHNVDWNLYLNTLFWNLNYWDSISTLAGEVKNPGKTLPKALFYAVIFVVVAYLYPLLAGTGAVPLDRGQWTDGYFAHIAKLLGGAWLMWWVQSAAALSNMGMFVAEMSSDSYQLLGMAERGMLPSFFAARSRYGTPLAGILFSASGVLLLSMMSFQEIVAAENFLYCFGMLLEFVAFILHRVRRPDAARPYRVPLGTAGCVAMLVPPTALITVVLALSTLKVAVVSLGAVAMGLVLQPALRFVEKKRWLRFSVNPDLPEIGVIRPPAAPDEPLVP >ORGLA02G0249500.1 pep chromosome:AGI1.1:2:23121665:23123008:-1 gene:ORGLA02G0249500 transcript:ORGLA02G0249500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:I1P3D9] MGSRAAGSVLLRHLCPRVSSSTSAAAHAHAQRPPLAGAGGGGVALWARLLSTSAAAAKEEAAASKENTGSTAAAKAEATKAAKEGPASATASPVASSYWGIEASKLASKDGVEWKWSCFRPWETYSPDTTIDLKKHHEPKVLLDKVAYWTVKALRVPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEHSGGWIRALLEEAENERMHLMTFMEVAKPRWYERALVLAVQGVFFNAYFLGYLLSPKLAHRVVGYLEEEAIHSYTEYLKDIEAGKIENVPAPPIAIDYWRLPAGATLKDVVVVVRADEAHHRDVNHFASDVHFQGMDLKDIPAPLDYH >ORGLA02G0249400.1 pep chromosome:AGI1.1:2:23118307:23120717:1 gene:ORGLA02G0249400 transcript:ORGLA02G0249400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGMERAGYGVGVGGAGAVGAGVVLSRDPKPRLRWTPDLHERFVEAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGKQNKKDTGLEASRGAFAAHGISFASAAPPTIPSAENNNAGETPLADALRYQIEVQRKLHEQLEVQKKLQMRIEAQGKYLQTILEKAQNNLSYDATGTANLEATRTQLTDFNLALSGFMNNVSQVCEQNNGELAKAISEDNLRTTNLGFQLYHGIQDSDDVKCSQDEGLLLLDLNIKGGGYDHLSSNAMRGGESGLKISQHRR >ORGLA02G0249300.1 pep chromosome:AGI1.1:2:23112178:23113940:1 gene:ORGLA02G0249300 transcript:ORGLA02G0249300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSISSEKSHGLAPRPPLQEAGSRPYMPSLSTASRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPKKDKENAAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVSAADTASTHQAKPAKQRRYIPQSAERTLDAPDLVDDYYLNLLDWGSKNVLSIALGDTVYLWDASSGSTSELVTVDEDSGPITSVSWAPDGQHVAVGLNSSDIQLWDTSSNRLLRTLRGVHESRVGSLAWNNNILTTGGMDGNIVNNDVRIRNHVVQTYQGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSVPSAGRNQWLHRLEDHTAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGSPEAPKPAAKASHTGMFNSFNHLR >ORGLA02G0249200.1 pep chromosome:AGI1.1:2:23105129:23109280:-1 gene:ORGLA02G0249200 transcript:ORGLA02G0249200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSEGGGGDGEVACCGVGDTSPGTIVWVRRRNGSWWPGRILGPDELPPSQIMSPRSGTPVKLLGREDASVDWYNIEKSKRVKAFRCGEFDACIEKAEATEGTSVKKREKYARREDAILHALELEKKLLASKHQTQGSRPANVSVCSKHNKDLGSTRYKSKKSKKRKGITASSDIKKEAEQYVLHAGSKRNFQDSPTWGISDNLFGNHLGDISHVRHIQAGENLDSKEKITTAEKIRSDGSDFDESIEKCDRRQPLVQILHSSPKLPHQSQHNDGYGDVLTQGEMDRSPANYRAKRSRYVFLPTDSGETHSHSDLPSVQVASTGGDFETESYLHHPAFSEEQTSSDLVEKHIYESSERECSESETEDDAELLQCSDLILHPASHAHDPYFLPASVKFRHANIDADADELTYSSYMCQVNESEEDGSSELGVSQWHMKGKRNSRNAPKRSDMADGNPWLDKSDGFMEGSPYKINGRNPREGSMQIPNQQLLGQNFYQNEEVNYDSEETDFFEDTGHSEVNLYHGRTYSSCLKATRDFSRSYSYFNDYGNDSSKVSPLNRDSDKIFHFDRNAYWSGPSFYQKYSSRLGGRGPMLFDVDLKVQASYQGEHVPLVSLMSRLNGKAIVGHPVQIEILEDGSTDHLVFCGDVSLEGRTGGQPAWCTGRRTAMQRIPRSNPSGALDCDDEGTLAYPDWEMKPDFRKYSNSDFRKYSNSNHQVKVDKKSISNVRRPSASKSQKKQSKKASLSSQKVRTLSSISTGKKHHGVGGQAKAHKQSGIFGGLIKPGGVPLVTCVPAKVAFTRILEAVGRPPLAVAHRVRMASPALRDPS >ORGLA02G0249100.1 pep chromosome:AGI1.1:2:23099193:23103790:1 gene:ORGLA02G0249100 transcript:ORGLA02G0249100.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSPTGAIIALPVTITTTASCIYWSFKKRERNRKRAELFKKNGGLLLQQRFAAFTSQGMMDLSARLFGAEELKVATNNYSENRILGRDSYDNCSRNNWIPYSQLTEKSDVYSFGVVLAELLTTEKPVSFARPEDLRKLAMYYLVMLVNKGCILQAVKPIILAEAREEQLYDVAHLSIMCLSLKGEQSTMKEVASVLNGLRRSLAKDKAIKGKEVYPQNKNEEEEYLLPGSGDAVSRVSTAQILHSSGYTAAEPAALRALSDIAGRYVASLGRAASAIAEARGRTEPNLADLTLALEDHALGGFPGASDPARPVLRSGALSELAGFVRVVREVPFPKPVPRRGGAPRGKAWESFAAAGKEPPPKHVPRWLPRFPDKPEPEPEPKAAYDEATARWEARVRHEEEANAEEAVVLKPSVDGGGERRGVVPEKRGKVSFRVRAERKKRRVGLDQQ >ORGLA02G0249000.1 pep chromosome:AGI1.1:2:23096969:23097157:-1 gene:ORGLA02G0249000 transcript:ORGLA02G0249000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELPWHAVAQVVMLAAADGHQRVPPGEGERRPGKVHESGSVGLCAVAEGEGSIQAANVRV >ORGLA02G0248900.1 pep chromosome:AGI1.1:2:23085737:23092606:-1 gene:ORGLA02G0248900 transcript:ORGLA02G0248900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 2 [Source:UniProtKB/TrEMBL;Acc:I1P3D3] MAAAAARLPLQSSSGHNAAAGGGGGKTIEEMYQKKTQLEHILLRPDTYIGSVEKHTAQLWVYEDGAMVSRSVTYVPGLYKIFDEILVNAADNKQRDPSMDSLRVEIDADEGRISVYNNGDGIPVEIHQEEGVYVPEMIFGHLLTSSNYDDNVKKTTGGRNGYGAKLTNIFSTEFVIETADGRRQKKYKQVFSENMGKKSEPQITKCKQGENWTRVTFKPDLAKFNMTHLENDVVALMRKRVVDMAGTLGKTVKVELDHQKVPVHSFSDYVKLYIKSASKDRDDVNELPSISQKVNDRWEVCVSLSEGQFQQVSFVNRIATIKGGTHVDYVTNQIATHVMNIVNKRNKNAHMKAHNVKSHLWVFVNALIDNPAFDSQTKETLTTRQASFGSKCELSDDFLKKVGSSAIVLNLLSWAEFKLSKELQKTDGSKRSRLTGIPKLEDANGAGGKDSNNCTLILTEGDSAKALAMAGISVVGRDYYGVFPLRGKLLNVREASHKQIMENAEIQNIKQILGLQHGKQYDSTKGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLIKIPSFLVEFITPIIKATNKRDKKIVLPFYSMPEYEQWKESLGGNASGWSIKYYKGLGTSTSSEGRQYFQDIAKHKKDFVWKNDQDDNDIELAFSKKRITDRKEWLTNFQSGTHLDTEGKYIKYSDFINKELIQFSMADLLRSIPSMVDGLKPGQRKILFCSFKRNLVKEIKVAQFSGYVSEHSAYHHGEQSLASTITGMAQDFVGSNNINLLQPNGQFGTRDQGGKDAASARYIFTLLSPITRSIFPKDDDILLNYLDEDGQSIEPTWYVPILPMVLVNGSEGIGTGWSTFIPNYNPRDIVANLRRLLNDEPVEPMDPWYRGFKGSIQKTGTKAGGVSYTVTGIIEVVDDTTLRITELPIRRWSQDYKEFLISIGGTDKSKDKDKDKGKGKGKVKEKEKKEKDIEPFIEAFDTYSDDKNVEFLITLSKENMAIALQEGLEKKFKLTTTIGTTNMHLFDSNGKIRKYDTPEDILKEFFGLRLEFYEKRKRALLENIELELKKLSNKVRFILAVVEGDIIVNNRKRAELFVELKQKGFDPFPRKKQRAGPSAVGAIEEDEENEESPEAANVGSSDYEYLLSMAIGTLTLERVQQLIAEKGRMENEVAELKRTRPKSLWMRDLDAFEKELDALDEKDSMDAEERRATRNAGGGAAPKAAPKRRPRKTATNTQAAESSDGNAAAPAVPKPAAPRKKPAGKASLADSEDEDYVAAIPKPAAQKKQPAKKASTQLSDDEDDEVLALKDRLAAYNLDDHSEDTAMETETTEEQAKGKKGRKEPSKRGAAKKAISSLAVISDDEEDETVPIDENDEDDFAMEEVPVKKGRGKKLAAEKPKAATRKRAPAQGKSMRQKVMEEMFKPTEDSSTSAPSPEKKVRKMRASPFHKKSGSVLQRASTASTSTEETESSSPSGSSAEPVAARPKRQTRGNKKSYQEVQELSDDDTEDEVQDISDDSDFAGSDFGEDDD >ORGLA02G0248800.1 pep chromosome:AGI1.1:2:23081165:23081665:1 gene:ORGLA02G0248800 transcript:ORGLA02G0248800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIARVMRPRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEISDGEVEIPSA >ORGLA02G0248700.1 pep chromosome:AGI1.1:2:23076609:23079831:1 gene:ORGLA02G0248700 transcript:ORGLA02G0248700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAATAAAMDFHALSRRELQALCKRNGVRANMTNAAMADALQSLPTVDGVDEIGTAALCLPTPSRSTMKSAFKAAAAIGEEQQHGSPLPRGRRVSVMSPEAIRMDVEEGEDEMKRDLVKEIVRTPGVALRSTSRRARATPAPIPTPATTRRTAAARKVEEAAPTPATLRRSQRTAARKAAAPVVEEVTATKTTTWRSARSKVMVDLEQEVEDMAVALQEVKVQEEDPKDVASDEKCDEEEEATKILGGNSKEEESEEGEEVVSSAAPTELAVISVMSCDDPKEEEIVATGEEPAKTQEVMEDSPILGVLSKPEPVEPLSEKIEDASVGDGLGFGKLSALKEITGEMNDKEVDADEVPEEKLPADVTDDKTSEEDDLNEVEKLSAVEIPQADLTGDKTSEEEDLNEVKEGSAYENPQADRIDAESSEEDDLDGEYSEESDIDEESNEEGMLDEESAAEEYASSEETDDESDPSEVATDSDEVEVEKLQVAMEDGLTAEANQVDDEEDDFSGDLPSDFDNADNFSDDETESDATVVISSASKAAVVKTLDDSSVTEASSEEEVSQQEVEASVNSIVKSLDEFTFTVEGTQKDELTEEMKSTDDAEDVGAKELKKEKKKKKPTVQELNATSMRKLKTMLKEELIAKAAAGEGKRLALAELDDNAGGVDC >ORGLA02G0248600.1 pep chromosome:AGI1.1:2:23067996:23074651:1 gene:ORGLA02G0248600 transcript:ORGLA02G0248600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGACLSTKVDFLWPRIEQLPDTLIFEGVEVTGYPIFEDPKVQKAIVFASTAHIGQFRKTGDPYVTHCIHTGKILAALVPSTGERAINTVVAGILHDVIDDTAENLKSIEEQFGDDVASLVSGVSKLSYINQVMHMLEKTLLSADYCAGIDKKTPVEALLLQKKYVLFYASKYFSYALPIRKAEAVAQETLAVWCSLASRLGVWALKAELEDLCFAVLQPHVFKKMRSELTTMWNSTNKTKSTRRSSIRSGLPASTKDVHTTSVHDFFSLSNQEKPNMKDLLQAVLPFDLLLDRKRRSYFLNNLHGSSETSVPKPKIVDDAAVALASLAACEEELEQELLISTSYIPGMEVTLSSRLKSLYSMYCKMKRKHVGIKQIYDARALRVIIGDKNGALHGPAVKNCYSVLDIVNRLWTPIDGEFDDYIINPKGSGYQSLHTAVQASDNSPLEVQIRTQRMHEYAEYGLAAHWLYKESKVDYRSGTSNKIGQSTSYPSSSSEDENYIQDVMPPKYSSMKMGHPVLRIEGSQLLAAVIVSIDKGGKELLVAVSFGLEASEAVAERRSCFQLKRWETYARVYKKVSEKWWCAPGHGDWSTNLEKYTLCQDGIFHKQDQFGRLLPTFIQLIDLTEEEEEEYWMVVSAIFEGKEASSLTPDSSNTERSTSEPPSSTPLSDPINNKVHLLRTMLQWEEQVRRGASLAEKSLSVGTCTEPILREVAIICWPYGKIMRMSLGSTAADAARRMGVEGKLLWVNGQLVLPQTELKDGDIVEVRM >ORGLA02G0248500.1 pep chromosome:AGI1.1:2:23040617:23044667:1 gene:ORGLA02G0248500 transcript:ORGLA02G0248500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFLQRMEGEQAAGDLADIVLRAGGAAAAAAAVAGGGIPSTEWQLPPAEEEEEEPGLFPLPPSSSDGSGMSGADAFGDPFAGLPDPFGGDYPSSSGGAAAAADFFDAVVAKAGFVDVGVLGGGGGGGCDGGGVDGGGGGSSLLGMSKPILPRAAMQLPSVSPRAIRPYPVMAGDTVKLGAPMAGGPCAFDGAAAAGLHMSSSPRGAVGGIKRRKNQARKVVCIPAPAAAGGRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSHHAKNSSSNSSSSGASSASKNNSSHSGYHHHHHQKPLVKAEPNDQSAAAAAATTAATVPVKEEAAMVGTSSEALAKTTQKSMEDAAAAASATAAAVEHSDLMQQMFSQSYRPMIPEAAAGGHHDDFFADLAELESDPMSLIFSKEYMATNYKPAGDPAGKEMNAVDKGLDPAYMLDWSSTTVVTRAGGSSFMQGEGGL >ORGLA02G0248400.1 pep chromosome:AGI1.1:2:23027344:23028492:-1 gene:ORGLA02G0248400 transcript:ORGLA02G0248400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICASPTGGGAVMDPSPEFRDWANLPELPLSEVLRGLLPCLRSVYAFAAACQPWRRLLQDSAADLVRPRIPPLLLLCPTYRVVPFSQLVVAALLSSYPVPGDATLLSASRGHLVLLRRRDPFHGLHLVDALTGATRHALPLPSPHFAYHYAALAPSRRLLLFHSKHAFFSLPVGDAGHNPRLDWTKHSLPRAASFVRSILEFRGRVLGLTDRAQLLEFHLDANPPNKSAQMLHAAGLPEVSTFDRWHFGPHLVAAGDRLLLVLFMMGPKLGHMFETLVSVKKVGVYALDMVKMRWEEVDNIGAYSLFVDCAGRSTAACVDVENCGVEANRIYIAAPGCRDWYAWRPGREVPLGGQGLGPLSIQAMNHLPWPSQIWIYPRLLF >ORGLA02G0248300.1 pep chromosome:AGI1.1:2:23024325:23026314:1 gene:ORGLA02G0248300 transcript:ORGLA02G0248300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase [Source:UniProtKB/TrEMBL;Acc:I1P3C7] MAISSLHATTSLHSPCTTNTSFRQNQVIFFTTRSNRRGSTRYGGARTFQVSCSVDKPVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKAIKEGKAIEKPIYNHVTGLLDPPELIQPPKIFVIEGLHPMFDERVRDLLDFSIYLDISDEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVKNFNPVYLFDEGSSITWVPCGRKLTCSYPGIKFAYGPDTYFGHEVSVLEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQIIAERAGAPTEAAKV >ORGLA02G0248200.1 pep chromosome:AGI1.1:2:23018898:23022464:1 gene:ORGLA02G0248200 transcript:ORGLA02G0248200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:I1P3C6] MHHDPNPFDEGADENPFSNGGGGGARRGGGGGGGGGGGGGGKSQFSFGFGGLGGGGGGGSKGGATVDIPLDNMSDSKGKGKELLQWEADLKRREADIRRREEALKSAGVPMEEKNWPPFFPIIHHDIANEIPANAQKLQYLAFASWLGIVLCLFWNFIAVIVCWIRGGDSKLFFLATIYGMLGMPLSYLMWYRPLYRAMRTDSAFSFGWFFLCYMLHIAFCVFAAIAPPVIFRGKSLTGILAAIDTFSDHAIVGIFYFVGFALFCLETLVSIWVLQKVYMYFRGHK >ORGLA02G0248100.1 pep chromosome:AGI1.1:2:23017029:23018400:-1 gene:ORGLA02G0248100 transcript:ORGLA02G0248100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSAGAHRRAKSAVEVVETLAPNMNSGEPDSQAVPADSPHGIEVGVEFKPVEHPVEPVNLDQPVKCPLPEPSILHDGRIWKERIATAGVRVMTDLPVVKEGSQLESDSSGARSRSAVPKRAILPSVSAPENNILALLDECDVPESLRPAE >ORGLA02G0248000.1 pep chromosome:AGI1.1:2:23014042:23015874:1 gene:ORGLA02G0248000 transcript:ORGLA02G0248000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGVFYSREGARVVWGYVDRILGQPSLIRESSRGKYPWSGVFSRAMSTMTSKLNKGSNLGNNGNGFGDVILNPSLQKRVKQLANATANTKLHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEDERSKLLKLYLDKYIMKAGEKHEKSWLRFFRGQPQKIEVKGVTDDLIREAAAKTEGFSGREIAKLMASVQAAVYGSKECVLTPDLFREVVDYKVAEHQQRRRLAGYEQKNA >ORGLA02G0247900.1 pep chromosome:AGI1.1:2:23012614:23012862:1 gene:ORGLA02G0247900 transcript:ORGLA02G0247900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPGSSSTSVPGDEADAGNWDAGVETAARLEAMVHAEDELSEEQIQANNQTQEDEVGQGLPLLFLGSSFLLYFAQLRILGF >ORGLA02G0247800.1 pep chromosome:AGI1.1:2:23007551:23009827:1 gene:ORGLA02G0247800 transcript:ORGLA02G0247800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSEDEGQVSSGSPSPISLNIKASEQSIPWEIPMSSEASSSSSAAVAVRDLGDDNPSASPEVDTTYWAAQEEATALLESMAARVRGEEELSEEQMQANDQLQEDEVIALEAIFGGDMVILENKDSLRFIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVALSLQSFCYTQLCYFFHKKKDENDIYLMERQKLHSMPAEQLLKERRELEELMNIQEALRSSKQCPHCKMAISKIEGCNKMICVNCGGYFCYRCNLAIKGYEHFWGGNCVLFGTHAHYQIRNPQQQRDENPGDHAELLEQRVQLTYPCPNCGSRNEKVSSTELISIEGVFFPFPP >ORGLA02G0247700.1 pep chromosome:AGI1.1:2:23002874:23005107:1 gene:ORGLA02G0247700 transcript:ORGLA02G0247700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYSEKRSHKIFLESLLVCGICLSEDVGRNFIKLPCHHSFCLKCMEFHCKIHVKEGNLTQLACPDTNCRNPLPPSVLKSLLRDDGYAQWESFALQKLLDAMPDLVYCPRCSAACLEVDNDAQCPGCFFTFCTLCKRRRHVGDTCITPEEKIRILKQERQKLYSIPEEQLLKEQREIDELINIQEALRDSKQCPRCKMAISKIEGCNKMTCGNCGRFFCYRCNKAIGGYDHFWNGNCDMFEREQDENPQQQDDENFDGDPDEDAELLEPEWVLLTYPCPNCGRRNEKLGTNNHILCIGCRGHYCALCRKRVLRGEQHFGPRGCQQHTED >ORGLA02G0247600.1 pep chromosome:AGI1.1:2:23001576:23001863:1 gene:ORGLA02G0247600 transcript:ORGLA02G0247600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGGSSSNPMSSEASSSAVAAAAGVAVRDVGDDKPLPSAEVDITYWAAQEEAAALLESMAARARGEDDLPEEQLQANNQLQEDEVMLSTSTSY >ORGLA02G0247500.1 pep chromosome:AGI1.1:2:22991676:22995022:1 gene:ORGLA02G0247500 transcript:ORGLA02G0247500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAASIGPPQPPPPPTSPEEEKCLNLELWHACSSPLVCLPSVGTRVVYFPQGHSEQVLWLSAMGCSLLSRGNHQRPWWIALPSSFWCFFSKTLAEREAFYYVVDNAAVMDFITVNTSGSILINMLKPEYRKFGEWGNRCIWFTTIQFSVISKDLASYISIQPVSGQTICVGLLWEYIIGHFLSSTALVSFICCSKTHGYIASAFYNAPQEIHL >ORGLA02G0247400.1 pep chromosome:AGI1.1:2:22985745:22989310:-1 gene:ORGLA02G0247400 transcript:ORGLA02G0247400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPTIAYRPIQPSDLEVLENIHLALFPIRYEREFFLNVVNGNGIISWGAVDTSRSDDRRDELIGFVTTRIIAAQDSEIEDLFRYNSSRKDLTLLYILTLGVVESYRNLGIGCYLLVQLSFTVCDYDLINPHKHSRLQHPHWSESVISYNQPAISFYNKMLFKLVRRLPHFYYIRGQHYDSYLFVYYVNGGRSPCSPLAFLKMVVARFWNKEERSTPRWSRCKESTTLLVSQNNKRIIGGDDTRCHV >ORGLA02G0247300.1 pep chromosome:AGI1.1:2:22981667:22983558:-1 gene:ORGLA02G0247300 transcript:ORGLA02G0247300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKHLIPHHAAGYTYARAYATTGGGGGDGGDGGGGGDPFEQFPEAVLGLIVSKLPFRSAVAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDGLFDQAFAASAADHLASWLAAAAARGVEQLELHLPRSRLALLPPSLIACTNLTSLTLRLDHYAHPLPSLCSLTRLSRLHLASIPLAGDDFFADLFSHCKQLRYLILEQCRIGALCLAGTTQLCSLAITDCSWTPQSSVAFSDMPALRTLHYSGAMATRHIIDNVDSLEEVVLAIKKPQVKLQEPNLRELLSLVGNVQSLMLSPWCIEQFARPEEWSKVRLNKVRQLSCIIERREEGASSIAPLLANCQNVEELSVSVVPSQCKRRWGSDDGANHWVMGGKGVVLRHLRAVRMVYIDESKSGLDLVKLLLKNTPMLEMMTIVPSMDGLEQAKFRRRVLKLRKASRDADIQFSATG >ORGLA02G0247200.1 pep chromosome:AGI1.1:2:22959104:22968027:-1 gene:ORGLA02G0247200 transcript:ORGLA02G0247200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVHGVQEHRQSGGGEKKAEQGEKEAAAKVEKVPFLKLFSFADRWDYMLMAVGSLGACAHGASVPVFFIFFGKLINIIGLAYLFPTTVSGRVAKYSLDFVYLGIVILFSSWTEVACWMHTGERQAAKMRQAYLRSMLDQDIAVFDTEASTGEVINAITSDILVVQDAISEKVGNFMHYISRFLAGFAIGFSQVWQISLVTLAIVPLIAIAGGIYAYVTIGLMARVRKSYVKAGEIAEEVIGNVRTVQAFVGEEKAVRTYREALLRTYKYGKRGGLAKGLGLGSMHSVLFLSWALLIWFTSVVVHKNISNGGESFTTMLNVVIAGLSLGQAAPNISTFLRARTAAYPIFQMIERNTVNKASSKAGRTLPSVDGHIQFRDVRFAYPSRPDVVILDRFSLDFPAGKIVALVGGSGSGKSTVVSLIERFYEPLTGAVLLDGHDIKDLDVKWLRQQIGLVNQEPALFATSIRENILYGKGDASMDEINHAAKLSEAITFINHLPDRYETQVGERGIQLSGGQKQRIAISRAILKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDSGRIVETGTHEQLMANPRSAYASLIQLQEAAQLQNKQSFSDSASLSRPLSSKYSRELSRTSMGGSFRSEKDSVSRYGTVEAHDEGGHKSKPVSMKKLYSMIRPDWFFGVSGTVSAFVAGSQMPLFALGVTQALVSYYMGWETTKREVRKIAVLFCCGAVLTVVFHAIEHLSFGIMGERLTLRVRERMFAAILRNEIGWFDDTSHTSSMLSSRLETDATLVRTIVVDRSTILLQNIGMIVTSLIIAFIINWRITLVVLATYPLMVSGHISEKMFMKGYGGNLGKSYLKANMLAAEAVSNIRTVAAFCAEEKVIKLYADELKEPAKQSFRRGQGAGLFYGVSQFFLFSSYALALWYGSELMSKEMASFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMVSSVFEILDRKTDVLIDAGNDVKRVEGVIELRGVEFRYPARPEVVVFKGLDLLMKAGKSMALVGMSGSGKSTVLSLILRFYDPIAGKVLIDGKDIRKVKLKSLRKHIGLVQQEPALFATTIYDNILYGKDGSTEAEVVDAAKLANAHSFISALPEGYRTRVGERGVQLSGGQRQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMRNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGAHHQLIENRNGAYHKLVSLQQQQQQQDQMQRH >ORGLA02G0247100.1 pep chromosome:AGI1.1:2:22953721:22954710:-1 gene:ORGLA02G0247100 transcript:ORGLA02G0247100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGEKVPKLRGMVIGDDGAIGLTTSDGLVMAIGTMRGTWLGGEGEGIGREEPLETGKMTTKALSVASLRRRRPVLCSEISSLINEVIFEQFLSIFVCNLCIHARKQQPQKPLRLSA >ORGLA02G0247000.1 pep chromosome:AGI1.1:2:22949512:22951812:-1 gene:ORGLA02G0247000 transcript:ORGLA02G0247000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREERFPVWEAALLAGVAAAFATGLVGVYLSMPHSDYSFLKLPKNLQELQILTDHLEDYTSDYTVQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLGGVALVVFAATAGASSCYFLSKLIGKPLVFSLWPDKLGFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHIFFLATFIGLIPAAYVTVRAGIVLGDLSSLSDLYDKQSIALLFLIGVVSVTPTLLGKNEKQQGKGPEMVASSS >ORGLA02G0246900.1 pep chromosome:AGI1.1:2:22943074:22948524:1 gene:ORGLA02G0246900 transcript:ORGLA02G0246900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDGAAAVSEAEAAPVQVETGAESGDAESEQYQPFFSMCQPIRSVSYSNSWDMVCAPTIKSNEHNSNLDSMDDKYMLSAPWPENGQPQSETPQHRACDPVICLMVHTISLELKYLIQLFYINCDEIDIWDGKTKQDSSSLLDSKLYNSGSGAEPCNMEGQQFSLSFSRNTQSQLVMIKMVSVHSVLLKNILMNQSEDYPELSSDFRPGQQEDAHEFLRCLLDNLDKCTTDPKPKNKPSSFDEESIVKQVFGGRLKSKLTCCECGHCSETYEPFLDLSLEIDQADNLIDALESFTKLERIGDAEDKLNCEHCNAKVCKNKQLMLDRSPDVVAIHLKRFTSLDRSVEKIDKHVVYPLELDLKPFHCDPDINKELKYDLYGVVEHSGSPSYGHYVCSVRSSPSTWHLMNDSHVDLISEASALNQEGYILFYIRQGKFQWFSSLLEQKDDLHPENTSGASPVSVLENIDVDCPTSSGEATNSSGDKLEKDETSQCKTSFLEEPAKGCPIDAINKADLKDEIVPCISSHHDCVAIRCPGSAADITNLDRPSTPSPKRKRFFSDDNEFNVFEFEDFGDEDETPLLGNLKFTSKAKKAKVESASKSTKGPCIDKNVTRLVRSMPSTRRKGIVDCLSQLNAEQDSGSCPRSHPLGKKKLGIPVPIKW >ORGLA02G0246800.1 pep chromosome:AGI1.1:2:22933956:22936115:-1 gene:ORGLA02G0246800 transcript:ORGLA02G0246800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16650) TAIR;Acc:AT5G16650] MDGASEKPPHKDYYKVLEVDYDASDDTIKLSYRRLALKWHPDKHKGDNDVTAKFQEINEAYTVLSDPAKRLEYDLSGCYELNRYTLREYLTRFKGMILTCNGLGIDHSSKWARHLRELEPH >ORGLA02G0246700.1 pep chromosome:AGI1.1:2:22930237:22930833:1 gene:ORGLA02G0246700 transcript:ORGLA02G0246700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEKAAVAVGGGGGGDEWRCRKHPVARSGGGVCPHCLRDRLLRLCPNCAHVRPCPCTCASPSSSSSASGEAVGRVHTLIEREHRIARSRSVAASSSLAAASTASATAGAVGGRRKARVWGWPPFWKPAAKDGVAAAAEEDDEEEEGMGLARSSSVSATVVEAKAAAAAAKARWGWHFPSPLKAFRHRRSSASMPERG >ORGLA02G0246600.1 pep chromosome:AGI1.1:2:22912057:22913105:-1 gene:ORGLA02G0246600 transcript:ORGLA02G0246600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHASPFSLKNRGDMGGRGYEEEEVENQRWPPWLKPLLSTSFFVQCRIHADAHKSECNMYCLDCMNGALCSLCLSHHRDHHAIQQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSGGYRPRKKHGGCGGGGGGGDGGKKKKKRAALKDARYESEDSCTSTSGGSSDKSSVVQSFTPSTPPPTSASYRTGNKRRKGVPHRSPFGSLIVEF >ORGLA02G0246500.1 pep chromosome:AGI1.1:2:22897198:22898367:-1 gene:ORGLA02G0246500 transcript:ORGLA02G0246500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASSPPTTPNLGSQPTWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPAFDITGSSSDDSSGPTFSPLVIAIIGVLASAFLLVSYYTIISKYCGTFSSLRNRLLGSSAHRGSGGGADGGDNSRSQEPWSVALSDGMDETLINKITVCKYRRGDGFVDSTDCSVCLGEFRDGESLRLLPKCSHAFHVPCIDTWLKSHSNCPLCRCNIAFVTVGMVSPEPEARVPREDRRDNHELVLTIDNPEHVREEPQNVVTGVAVGNGGRNHEAKDGPGRSEDANGTAEIREDGALMPPTRAPSSLSDTHREGRMSIADVLQASLEDELMVARESGLLAGSSGSSRRCHGEHSKDGGGRSGRALPDGANMKRLAPAGRSCFSSRSGRGKDSVLPM >ORGLA02G0246400.1 pep chromosome:AGI1.1:2:22883552:22886190:1 gene:ORGLA02G0246400 transcript:ORGLA02G0246400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVDALCAPCSDTALIYDTFNASAAASFLFDNAAAFCDADILGATATGEKEATSSAAAAAADAAPPRKKRRRRAKSCKSREETETQRMTHIAVERNRRRQMNEYLAVLRSLMPEPYVQRGDQASIVGGAIEFVKELEQQLQSLEAQKRTLLPHHKARCDDATPMHNASGSNVGAGGCMEPTTTTSNCSSSVTEDAPSADAPPFAQFFAYPQYVWCHSPRDSTTTTTAASASASASASSSSPATVAAALQSEHRSGLADIEVSLVETHASVRVMSPRRPGQLLKMIAGLQALRLTVLHLNVTTLDSLVLYTLSVKVEEGCSLTTVDDIAAAVHHVLCIVDAEAAASEHLLAAGQLTTTATAAAVAKRELATYMY >ORGLA02G0246300.1 pep chromosome:AGI1.1:2:22881336:22881659:1 gene:ORGLA02G0246300 transcript:ORGLA02G0246300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTSPSCKATICRTPGNHHHGTTSELRAASRRASSLWEEMVLPYNFPPLSPSYFPSSSSCQHHLAVMGVEVANVTKVVGGEGRKIRREEEDEGWLNGGSHAILDTT >ORGLA02G0246200.1 pep chromosome:AGI1.1:2:22875874:22876110:-1 gene:ORGLA02G0246200 transcript:ORGLA02G0246200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGSAGLKAATVTRGDAMAWQRHTGREALMRWRQRRDWCGARRDAGDGDGDTSGAAQPTATATARSGLETRGDGDAT >ORGLA02G0246100.1 pep chromosome:AGI1.1:2:22854866:22855780:1 gene:ORGLA02G0246100 transcript:ORGLA02G0246100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCYKEKILADGRQIVFKEVHHEECAKQINGKYHTNFTSRQVYHKFHKLKAQWKAKDKRAKFINVPIRWYDEMEFIFQDKHATGEFNVLQTPYDRPMEDDDFIGDKNGSPGDVDPSSNYDSDCLPDQENNTGSSSSSRRAKGRKTDKGKRVRVDDNVVYEITGAMDNMSETMRFTHMTHPNESLFKIIDEMTEYPVMVRLELQTYLATHENIAAMLKGRPLDSIKEYVAQWIIQNYPAAM >ORGLA02G0246000.1 pep chromosome:AGI1.1:2:22842351:22846715:1 gene:ORGLA02G0246000 transcript:ORGLA02G0246000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT5G46630) TAIR;Acc:AT5G46630] MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLMYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKEAQLKSRPAKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEINVKVKSVFGAKMFALGVVVKVPVPKQTAKTSFQTTSGKAKYNASIDSLVWKIRKFPGQTEATMSAEVELISTMGEKKSWNRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITRAGSYEIRC >ORGLA02G0245900.1 pep chromosome:AGI1.1:2:22838655:22839932:1 gene:ORGLA02G0245900 transcript:ORGLA02G0245900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1P3A3] MAAMDEPPQLFLCPISMELMEDPVTVSTGVTYDRRSIEEWLFVYGRTTCPATMQPLSNFDLTPNHTLKRVISSWLDRGSSSSSSSSPSTSTLSSPIHELATPLSRALEQERLLAALAELEETPFKVTKLKSMRARMAGDVAMQGEFVASGGVRVVGRVMAQALAESGGDFSAFAACEEAAAVLAALPLSDEASVRVVLAPECIRPVMALLQRGGAEARLHAMDILTKISSSGSGGDWTAGVDIDDVIKSLLELLSDEASTRLSSRALDVLLDVVERARGARAKAVEVGAVHVLVELLADADDRRVTERVLLLLKRLCKCPEGRLAFAEHDLSVAAVARTMLRVSELSTQLAVKVLWLVSVVAPSEKVLEDMMLTGAVAKLLGLLHVESSPSTKQKTVRMVRIHGVVWRQYACFPTDFRDYLRLLD >ORGLA02G0245800.1 pep chromosome:AGI1.1:2:22832971:22836180:1 gene:ORGLA02G0245800 transcript:ORGLA02G0245800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:poltergeist like 1 [Source:Projected from Arabidopsis thaliana (AT2G35350) TAIR;Acc:AT2G35350] MGSGASRLLTACTCSRPAPASVDAEPCLDDALGHSFCYAAAATATAHSSSFRHGISGAALSANSSVPVPLYNASAAAGGVAPGYSSAFHTSSSFSSAPLQLSNLSSGPLFLSGPIDRAGQLSGPLDPAVPFSGPLPAKPPKPASSSSRGFSRRFRKPSFGSLRRSVSEKNRPCAVPLRRDDGVQWAHGRAGEDRVHVVVSEDQRWLFVGIYDGFNGPEAPDFLVTNLYRFLLRELRGIFYKEADADNKKLWQFLVDGDDDDSELDFSGSGRFALSLDRLKESRFHMWAHAAADESGREWGSRRLAPAPAVRDHAAVLAALTRALASTEAAYLDMTDQSMGTHPELAVTGACLLVALVRDDNVYVMNLGDSRAIVAQRPDDGDDGCVFGTMRRMEDVGAGLEIETRPGGCAIIGLKPLQLSTDHSTSIEEEVHRIKREHPDDDQCIVNDRVKGRLKVTRAFGAGYLKQAKLNNGLLEMFRNDYIGDTPYISCTPSLCHHKLTARDQFLVLSSDGLYQYLSNEEVVLHVENFMERFPEGDPAQSLIEELLSRAAKKAGMDFYELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGTYV >ORGLA02G0245700.1 pep chromosome:AGI1.1:2:22821298:22821456:1 gene:ORGLA02G0245700 transcript:ORGLA02G0245700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVRVWHSISVLYLTFSWKDGNGILLGAYVSNPKKHSGEKKEQIVVQMTL >ORGLA02G0245600.1 pep chromosome:AGI1.1:2:22821035:22821289:1 gene:ORGLA02G0245600 transcript:ORGLA02G0245600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAPTATEFTQEAARQSLIEISQSLPEKPSAQNLEVKSPSPRAANEDHEDGAEKYRSKLISISYLSPDAQPTPCPPKNVLT >ORGLA02G0245500.1 pep chromosome:AGI1.1:2:22803654:22803899:-1 gene:ORGLA02G0245500 transcript:ORGLA02G0245500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WAHQVLRRRRLPRPRVRALSPWTRCSSWSLGCATSRRSLPCSCTSLRATPLLPLHRPCRRLHRCPPPRRVVSLFVFVAPLS >ORGLA02G0245400.1 pep chromosome:AGI1.1:2:22797716:22800815:-1 gene:ORGLA02G0245400 transcript:ORGLA02G0245400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrate transporter 1.5 [Source:Projected from Arabidopsis thaliana (AT1G32450) TAIR;Acc:AT1G32450] MSGNDGDMKMRVIVVEGDETSNAPKDVCCEYTLDGSVDIKGSPAVKGKSGGWLAGGLILLNQGLATLAFFGVNVNLVLFLTRVLQQSNGDAANNVSKWTGTVYMFSLIGAFLSDSYWGRYKTCAIFQAIFVLGLALLSLSSRLYLIRPVGCGTEHVPCEPHSGAELGIFYIALYMIAFGNGGYQPNVATFGADQFDGEDPAESHSKVSFFSYFYLALNLGSLFSNTFLSFLEDEGNWALGFWVSTAAAATALLLFLGGTLRYRYIRPSGNPVGRIFQVAFAACRNWKAGESPGAVTLYESDEKADSGGRKLLHTEGFRFLDRAAVVGANPKLGTCTQPRDPWKLCTVTQVEEVKSILRLLPIWLCTILYSVVFTQMASLFVVQGAAMRRTTRFPGFSVPPSSMSAFDILTVATTIFLYRRAVCPLVSRLTGRRTGPTELQRMGLGLVLGAMAMATAGTVEHFRKAGATTAMSSDLHIMWQVPQYALIGVSEVMMYVGQLEFFNGEMPDALKSFGSALCMMSMSLGNYFSDVIVSAVTKATAVRGRPGWIPADLNEGHLDKFFFLLAVLAVADFAVYLVCASRYRSGTVDVDRSDGEEEDGVAGRQMAATV >ORGLA02G0245300.1 pep chromosome:AGI1.1:2:22778878:22784034:-1 gene:ORGLA02G0245300 transcript:ORGLA02G0245300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSSLADLVLSSVDRFKTMLDFIESFTFAIPAARAPAPLFWCNKGKSPVLIEPAYREKCMNEFSPVFSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDILEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHSSLHAENQQKDCSLSAGPSNGTNLALSNVDVEAAIRQAEDEADYMALKRLEQEEAVDNQEFSEEAAGRLEEDDLVNEDDTKPDEHTNEEHKYQCSDLVKDKHVALSINQLDEEKAITLAGGDGDIDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAINYQVNVEEEEWELERIEKLKEDLEAEIDEDQEPLSYESWDVDFATTAYRQHVEALAQKQLLEEQERQAREAAKELEEKNDNSTQRKKSKKNKKKAAKFKSLKKGRLSSESEVMVEETSVDTMSIDDNAPSPELMSDESAHHYCNKHKRIMSTNEEENSNSRSLKKLKKAPKSSFSSEALSPKHFLEGKQLKLKDELNDSDPKSGARIKSDGRISVQCMPVKRVMVIKPERLKKKSLWSRDCASDSWTTEEDAVLCATVNEYGPLWELASDSLHSVPGGAFYRGRYRHPVHCCERFRELVCKHILSATDNSNSEKVPSGTGKAILKVSEDQTQMLLNVISELPNNELLLQKHFMAVLSSVWRSKSARESHCVLSYSNTLQKPGRLSENWSMTNFRPNFNLVRTALADAQVQCPRMVVPTSNHESRRNFLELELDFLTDRDDYEADFPSVVNVSILEPEPLKHAVEPVEHSLLSTLSYRHAENRFRMVSETCFEGEGSHWASSAFHTYDAGRHKSGPKSIGKHKASSESGRPPKSKIQRTTEPQEVPVTNNFHRIPGQLLHNSAEFHITQSLSDLGISDSEFTYFDNLPQEAETEFVPYQYDSDVLSGIEELDPLTDFTDIG >ORGLA02G0245200.1 pep chromosome:AGI1.1:2:22775467:22776012:-1 gene:ORGLA02G0245200 transcript:ORGLA02G0245200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT3G20230) TAIR;Acc:AT3G20230] MAAAAAYPTAATLPPRRAPPPSLRPSPPLSWSASIAHLALSPPPVPSNRASLVVRAAWTRRSRKEAEERPNRKSWKQRTDMYMRPFLLNVFFSKRFVHAKVVHRGTSKVISVASTNAKDLRTTLPSLVDDNACRTIGRLIAERSMDADVFAMSYEPKKNERIEGKLGIVVDTIKEHGIIFV >ORGLA02G0245100.1 pep chromosome:AGI1.1:2:22763488:22764369:-1 gene:ORGLA02G0245100 transcript:ORGLA02G0245100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRCKKHPYQAGGGVCATCLNDRLLALAAPQNGASSSPPPPAAPPAAAAPAFPRSVSPYVSRRKSDSSGGGGGGALKHHPSLLFFRTPQVGPAYGSSGGLEEGDIGYEKRRAGKFSVIATLFGHHHHRHRSEEKDKGGDNRESRNRSWLAGFMPRRRKKQPPAPAAAAAASSPPPRRSCRVVSNRGLSPERDCDGSDEESSSPTDPPWQPSPSPMRRTPCRRRQTSTMPSGFAVCLSPLVRPSPGRRHRHAHPPDPGTFSCELRPSPLHNLSSAASITRCRSRKLADGGRFR >ORGLA02G0245000.1 pep chromosome:AGI1.1:2:22757791:22758495:1 gene:ORGLA02G0245000 transcript:ORGLA02G0245000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVFPDEFARPVKNRYRSQLTSFSGPSKKYCSLSVKNKKKKKKKKCCSTAEITKHSVRILSHRTVRPFCSTRLHSLQKQRNQLDRCHRKWRIYDINTLNSQFRRSCLGHRHALARQQEDAFILEVGGMATWRPSPYLSLEMKYSVHQTLEDSSTPPRKLQSASHSAGSVALPCMQPG >ORGLA02G0244900.1 pep chromosome:AGI1.1:2:22756610:22757146:-1 gene:ORGLA02G0244900 transcript:ORGLA02G0244900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWMQERLSMTRNGAARGQRRARWWEEEADLEPVVKVGGVTVDKRRESQVRRHALLLKIDGREGTGALWEEVEEGCTWSSSAAARPIVRVHGGGEVAAAAPPQDRWERREGAEDAEEEGARGGERGMELVAAAHRPRCRRGLWVSGRSPLPVAPLWSADARMGKRRERGKGKEEGMFH >ORGLA02G0244800.1 pep chromosome:AGI1.1:2:22752121:22754058:1 gene:ORGLA02G0244800 transcript:ORGLA02G0244800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSGNNNNGNSDGGSNRDEEVQIQIADSSKAATSSTHEVPIQNSPVKSWQWWLMVGVNMFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIALFLFRSKTSSTQTVTSSPAPTISIPKITLIYVVLGLIIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYFLNAQKFTPLIFNSVVLLTFSASLLGVDENSQGTTSISHGKYILGFLLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTALVATLASLVGLFASGEWMTLQGEMHAFQSGKLSYVMTLLWTAISWQVASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGVKIIAMLMAIWGFMSYGHQLYVDGKKGRKTTVSVEETS >ORGLA02G0244700.1 pep chromosome:AGI1.1:2:22742713:22743276:1 gene:ORGLA02G0244700 transcript:ORGLA02G0244700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLVPVLLLMLGLSTCESSVLQDTCKSVAAGHKYVTYNDCIKAFQADSASATAADARGLAAIAARIAEKAANATSARIAALRAAEKDARRQDRLGVCAEVYSDAVDQLGEAAEDIARGGDEATQDAVTQLSGALDAPGTCEDAFGEADDASPLAPEDAEFAKLATIALAVAASLSPPPSTPATMD >ORGLA02G0244600.1 pep chromosome:AGI1.1:2:22737536:22741482:-1 gene:ORGLA02G0244600 transcript:ORGLA02G0244600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNFWSMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSGSMYHGSPLLLLVLGPLTNKRSVGHHDHIYCSLIFVAVDFIAAMLIRATGRRLQIARNRNLKSLELTEAVSNSVNVSAGDIASLIYLWNPWAIVTCVGSCTSPIENLMVVIMIYGACSRLAPLAAFGYVMATHLSLYPAILIVPIILLLGYGPDAPPTKVFRIKSSSASKTDVSDNDKSSSSRDVQQFLWKPVFHFILWMLFWTFYVLLLSSIVLNKVGGLQEMFEKTYGFILTVKDLSPNIGVLWYFFAEVFDFFRSFFLIVFNMNIVFMVLPLAIRLKHRPCFLAFIYTAIVAILKSYPSVGDSALYLGLLGLFANELAEMQFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLVVESVSSMIKHDRKLRLLVTA >ORGLA02G0244500.1 pep chromosome:AGI1.1:2:22733480:22734116:1 gene:ORGLA02G0244500 transcript:ORGLA02G0244500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TFHRFGQHRRHSLFLFAVAFFHSPPVLASILANFGGIQAQIVTTGDCLCRCVDSESLVLGGMDPWPWLSALVRRRLAVKNVSNPLRTEDTPLQHAPGLSLSWSRGSC >ORGLA02G0244400.1 pep chromosome:AGI1.1:2:22732223:22733344:1 gene:ORGLA02G0244400 transcript:ORGLA02G0244400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPPPAPPAIGGDPLAATIPPSLPSPAPSSSSLNLSPSLLIITALLAFVFFASVSIHFLLRCLARPSHPAPSPLPRASAAAQRATTASAVEAGEATAASAVGRSHEGEAAAGGGEEVDDEKERLIASLPLFTMASALAALPKSSPDCAVCLSPFAPEAELRLLPACRHAFHASCVDAWLRTTPSCPLCRATVSLPHPPLPTAAAAAASNAAQQDPLDSRSSNNSRSFRVEIGSISNRRSSAAADDRRTYSLGSFDYRVDEEVEAVVSRIARPAAAKSTTGSVTPAPGEALAEAAGSRGWLREYVDRLASSASSLSGRWSGRWSARWSQSHHSNRQEDSWRWDPEAAVMSAPRGVDDDEPGFVTLYRWIVGV >ORGLA02G0244300.1 pep chromosome:AGI1.1:2:22719012:22722077:1 gene:ORGLA02G0244300 transcript:ORGLA02G0244300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALG6, ALG8 glycosyltransferase family [Source:Projected from Arabidopsis thaliana (AT2G44660) TAIR;Acc:AT2G44660] MAAAAATSPARAAAWAFAAATCVKLLLVPTYHSTDFDVHRYWLALTHALPARRWYTDASSQWTLDYPPFFAYFSRLLALPAPLVDASLVFLPVPDAPPSFAYLLYLRLTVAFSDLLLLLASVLLLATDALTRRRRRPFLSLALVLWSPALLAVDHVHFQYNGFLMGLLLLSLHFLEQGRDLAGGVVFAALLCSKHLFLVAAPVYFVYIFRHYCCGRGVVRGLGRLVLMGAGVAAVFAAAFVPFLYYGQMQQLFNRLFPFGRGLCHAYWAPNFWVFYILLDKILAFLLRRLGFNIQIPEASFTGGLVGNSSPFAVLPKVTPITTFLLVILAMSPCLMKAFSKPQPRHIIRWVSYATTCGFMFGWHVHEKASLHFTIPLALIAMDSLEDAKHYFVLSIVSCYSLFPLLFENQEYPIKVMLLLTYATLMWVGFSSHFAAKSPQEGKKVNESSSVVRKNSFIGWISFSYLLGIVAIELWSQVFHHYVFGSRFPFLPLIMVSLYCGVGMMYSWMWQLAWIVRH >ORGLA02G0244200.1 pep chromosome:AGI1.1:2:22717119:22718034:1 gene:ORGLA02G0244200 transcript:ORGLA02G0244200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1P386] KVTVNFSKPNVTLQGQGFESTIIVWNNSAKNTGTFYSATVDVFATGFVTNNISFKNASPAPKPGDRDGQAVAIRVSGSIDFIFGNGRSFYEKCILNSVATGDGINGAICAQGREYAADDTGFAFVNCRITGSGLILLGRAWRPYSRVVFAHTDMPGIIVPEGWSDWDDPQRDAYHGVLR >ORGLA02G0244100.1 pep chromosome:AGI1.1:2:22713583:22714061:-1 gene:ORGLA02G0244100 transcript:ORGLA02G0244100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TREARRPSIVRRARDAFLHGASDPHCALHLQVRATPAGLDGWRLATVEADGGDWTVVADGGGWTMVASGGGGGGDGGWRWQLATVVAVALAVAAVDGGARPSSGADGASSSAAACGGGEGGVRVRARRQELWAVALAEFLKG >ORGLA02G0244000.1 pep chromosome:AGI1.1:2:22711642:22712139:1 gene:ORGLA02G0244000 transcript:ORGLA02G0244000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIIATLLLAAAIAPGLTVGSPSLINETCTATSNYGYCLGVLSADPAGASAMDKRGLAIAEANITMRNVTSTVRVLTELVEELKLCIKYYQDMDDLVASAIDDLCVGRPAVTSFYKLHRASDEPGNCDIMLFEGSAHKNPVSSENMYNEAISKLTSDIVYQLVH >ORGLA02G0243900.1 pep chromosome:AGI1.1:2:22698810:22703300:1 gene:ORGLA02G0243900 transcript:ORGLA02G0243900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLLWLHADGHCQCHLSLLLRLVACLALVLLLPPPPAQAATVVTHLPGFDGPLPFYLETGYVGVEEETGTELFYYFVESERSPRSDVVLLWLSGGPRCSVFSGFVYEIGPVMFVAERYSGGTVPRLEYNPYSWTKLASILFVDSPVGSGFSYAHDPKGYDVGDISSSMQIVKFLRKWLDDHPKYLSNPFYIGGDSYAGKVVPLITQYLSEGIEEMHHPIINLKGYLVGNPSAGDKIDVNSRVPYSHSFGVISDQLYEAALANCKGDYENPTNKPCTDVMQTINNLMSEVLEPACPFDWPWPMPGRDASNRKSLTEEHYWLGDPPVEPPFSCFAAYRYYLSYFWANDNATRAALGIKEGTVTEWIRCATGLPYTRDLPSSIECHFNVTTRGYRALVYSGDHDPIVPFSGTQAWIRSLNFSIVDDWRAWHLDGQAAGFTIKYANNLTFATIKGGCHIPPENRPKESFIMAKRWLAGGPL >ORGLA02G0243800.1 pep chromosome:AGI1.1:2:22669503:22670849:-1 gene:ORGLA02G0243800 transcript:ORGLA02G0243800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSSLLFHDRGEPERTKEMDLPRPQMFAGVSPEVYFPSGGASGINRRNKRSREAIAMAPPPAKEELVNLFTLQPQQSTSFVNMAQLHNRVSASPSRAPAALVSTGLRLALDEQQQQQQQQESKRLKALCYSSSPMPFVSFSDELAGQMKRQDEELDRFIKEQGEQLRRAMADRVRRHNRALLVAAERSAARRLREKALEAEREARRGAELEERLARLRSEAAAWQAKALSEQAAAVSLHAQLQQAAAAARASGDELRGGEAGPAESSSSAYVDPRRNGSDRACLTCRLRPATVVLLPCRHLSLCGDCFAAGDVDVAMACPVCHCVRTGGVEAILC >ORGLA02G0243700.1 pep chromosome:AGI1.1:2:22662749:22663219:-1 gene:ORGLA02G0243700 transcript:ORGLA02G0243700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSSSFFDIEPLDGGEACLSGHAMDACSLCRKPLTRNCDIFMYRGNTPFCSEECRDHQMEMDEAAVRVSATNARERAARNEQRHRLDAGSVAVAANVPVLS >ORGLA02G0243600.1 pep chromosome:AGI1.1:2:22653751:22654224:-1 gene:ORGLA02G0243600 transcript:ORGLA02G0243600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYFYFSATLELEPAGNLESVSPSLSPRRTTSRDVDVAGELRGRHHHYLDACFLCGRMLAGNKDIFMYRGDTPFCSEECRQRQIDADDASEMMKKRAKKQPAAARGEQQPQRRQSPHGIPVWAR >ORGLA02G0243500.1 pep chromosome:AGI1.1:2:22646953:22647473:-1 gene:ORGLA02G0243500 transcript:ORGLA02G0243500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSSYFHAFGNPDFAAVFSGGGSAQAIRPGTTTSSSGGAKAVNVGRGGAARQGTPSVFCVQDAEVEEAHHFLDECTLCRKGLAGDIFMYRGDTPFCSEECRREQIEMDRNRHRRKKQQYSPTAQAAAHHHRSERAPQRQLQPQR >ORGLA02G0243400.1 pep chromosome:AGI1.1:2:22643165:22643553:-1 gene:ORGLA02G0243400 transcript:ORGLA02G0243400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGHTHFLDACFLCRKRLAGNRDIFMYRGDTPFCSEECRREQMEADAAAERTEKARRAGKLTRGAPSSRREVEGPQERGNSVRAGSILAL >ORGLA02G0243300.1 pep chromosome:AGI1.1:2:22634024:22638259:-1 gene:ORGLA02G0243300 transcript:ORGLA02G0243300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAWRLSQRAVTFIPRVRSQTLNPLPMAAAAAAAASPKRLRVYSSVSGDGRSANGAGSGKRVGTHNGSFHCDEALGCYLIRLTSQFAGADVVRTRDPQILDTLDAVLDVGGVYDPSRHRYDHHQKGFNEVFGHGFNTKLSSAGLVYKHFGKEIIAKELEVSEDHEDVHRLYLAIYKSFVEALDAVDNGINQYDTDQPPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMMLAGSEFMESVRFHVKSWLPARSIVLECLLSRGKVDPSEEIMVLDRFCPWKLHLFELEEELKIDPLTKYVLYQDERSKSWRVQAVGVAPDRFESRKPLPEKWRGLRDDELSNDIGIPGCVFVHMSGFIGGNKTYEGALEMARAAIKC >ORGLA02G0243200.1 pep chromosome:AGI1.1:2:22632665:22633500:1 gene:ORGLA02G0243200 transcript:ORGLA02G0243200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPAELCIKIFHLLDHQSLASAPQVCRKWNTLTSDDELWRRLFKDRWGADAAAFYAPEGSRSWKDVFIVQDRCDRYGLGVRIIREGSDYYLIYQGEIQRYLGSRQHVSCDSKDAPAQSSEDEQRQISDRILFFLGDLEAACADAKRVKV >ORGLA02G0243100.1 pep chromosome:AGI1.1:2:22623610:22628445:1 gene:ORGLA02G0243100 transcript:ORGLA02G0243100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPDAAAAAASSAGDLAADLSSATISKKQLKKDARKAEKAEKASQRQQQQQPQADADDPFAANYGDVPVEEIQSKTISGRVWTEVGGLDEAAAGRSVLIRGAAQAIRPVSKKMAFVVLRESMSTVQCVLVASADAGVSTQMVRFATSLSKESIVDVEGVVSLPKEPLKATTQQVEIQVRKIYCINRAIPTLPINLEDASRSEAEIEKAEQAGEKLVRVGQDTRLNYRAIDLRTPANQAIFRIQCQVENKFREYFLSKNFVGIHSPKLIAGSSEGGAAVFKLQYNGQPACLAQSPQLYKQMAICGGFERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVAIFKHLNENCKKELETINRQYPFEPLKYLEKTLKLTYEEGIQMLKEAGTEIEPMGDLNTEAEKKLGRLVKEKYGTEFFILYRYPLAVRPFYTMPCYDNPAYSNSFDVFIRGEEIISGAQRIHLPELLTKRATECGIDASTISSYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRLVP >ORGLA02G0243000.1 pep chromosome:AGI1.1:2:22620691:22623012:-1 gene:ORGLA02G0243000 transcript:ORGLA02G0243000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPNQTVARTSATSLRHFPGHSKPSLPLSAPALRKAAAALLLAAAVALPCAVLYRAEVLDAVQPVQVGWDRGPWWERGQPPPAVVVPEEDGDVDPAAADDLDSDDLKLEQVLQEASMDNKTIILTTLNAAWASSGSVIDLFIDSFRRGVRTSSLLRHLVIITFDWKAYKRCMKIHAYCFALATENVDFSQEKRFLTAGYLDMMWKRLDFLRLVLEKGYSFIFSDADITWFRNPFPHFYPDGDFQIACDHYVGNATDLGNIANGGFNYVRSNNQSIEFYKFWYSSRLRYPGYHDQDVFNFIKHDPYITDIGLKIKFLSTTYFGGICEPSRDLNKVCTMHANCCIGLQSKLHDLRVIMEDWRNYMSMPPSLKRFGALSWGVPQNCRFDATLEFEFHMLLFPQNVVREVQLVFMS >ORGLA02G0242900.1 pep chromosome:AGI1.1:2:22600093:22605170:1 gene:ORGLA02G0242900 transcript:ORGLA02G0242900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCGVTLRSKYFASFRGASQRRDEAGYAPVATSAAAAAADEPAGKKAPRGSAAAADAPHAASMKRGAPAPAELTANVLGHPTPSLSEHYALGRKLGQGQFGTTYLCTDLATGVDYACKSIAKRKLITKEDVEDVRREIQIMHHLAGHRNVVAIKGAYEDPQYVHIVMELCAGGELFDRIIERGQFSERKAAELTRIIVGVIEACHSLGVIHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLRKCYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRRMLNPRPKERLTAHEVLCHPWICDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKAMDTDNSGAITYDELKEGMRKYGSTLKDTEIRDLMEAADVDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVIKEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMR >ORGLA02G0242800.1 pep chromosome:AGI1.1:2:22592264:22594564:-1 gene:ORGLA02G0242800 transcript:ORGLA02G0242800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT5G06750) TAIR;Acc:AT5G06750] MFSWLLRIGSACLGPARRYARTRKDEDGGDNGGGVADGLLWSRDLGRHAAGEFSFAVVQANEALEDHSQVETGSAATFVGVYDGHGGADAARFISDHLFAHLIRLARESETVSEEVVRGAFSATEEGFLTLVRRTQFLKPMIAAVGSCCLVGIIWRGVLYVANLGDSRAVVGYLGRTNKITAEQITRDHNACKEEVRQELISRHPDDSQIVVLKHGVWRIKGIIQVSRTIGDAYLKRREFALDPSITRFRLSEPLRRPVLTAEPSICTRVLSLQDQFVIFASDGLWEHLTNQQAVDIVYKNPRAGIAKRLVNTALKEAARKREMRFVDLTKVEKGVRRFFHDDITVVVVYIDHELLQEKNVSVPELSVRGFVDSVGPSRISGFDAIS >ORGLA02G0242700.1 pep chromosome:AGI1.1:2:22589153:22590287:-1 gene:ORGLA02G0242700 transcript:ORGLA02G0242700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLVLLQHWCWSRLRPGRPVDQDRNPISWEEPDPESCPTFGAKWCGQELYPLPHNSGVAFYWNLLDQVQDNEVSWEPYNGLLMSMPRRVRAERAFWQVCHNIVIGFNSMGCTLFFWMLVPLKALRFIKQVAWGIKCAMSRGWRKIGKILICTCYNNVQDINMEAKLSNMLSESGLPLCVDDIRSDDSASPFSTPKPIKPEEINMDVMDDWLYSNRGFTRYLSLGTDSDVLESQDKH >ORGLA02G0242600.1 pep chromosome:AGI1.1:2:22574885:22577308:-1 gene:ORGLA02G0242600 transcript:ORGLA02G0242600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMACLQGNAMATDENGADDRAGGESTVDHLRSHMNYGDMDLSGEEHVPKARKPYTITKQREKWTDEEHRLFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVVRESSGSNTGSGGASAAAAAAAIQIPPPRPKRKPAHPYPRKVDGAAKKHVPALRQLEKPPLWMQSLSEQEEGSPTSVLTAAQIGTEALGGGFSNNSSGSGSLAPSAAGTDEHVDGGGSPASSVDREDGCLSPSIPTAELAMQAPNTKMSIATTDAKEASSEASVFRLFGKSVVVKDSEQLHLLNGSNIVTSGSVERATRNILVPSFAAAPEGSSSNPWPSSMQQFLYFLPRSDGFAAQPVMPWFSYNGSLPCALFYPAAAAAANQQCHRDSEGVEFRVSQREGSLTGSNTASSVVLGSSAAVPPAAAAAQNSDVAESRGQGNSREAAASPRLIKCESSASVTLLQRGFMPYKRCAAESELLRSEAAGGEEAVADGELTRLCL >ORGLA02G0242500.1 pep chromosome:AGI1.1:2:22554647:22559659:1 gene:ORGLA02G0242500 transcript:ORGLA02G0242500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family with FYVE zinc finger domain [Source:Projected from Arabidopsis thaliana (AT1G65920) TAIR;Acc:AT1G65920] EKDIFLHYAIXFSGSFLQALVALKKGAHLLKCGKRGKPKLCPFRLSSDEKMLMWYSKDREKRLNLSSVSSVVLGHKTVHSIYGSPRLMQKNVLQSNLDFSEPFFSPRQRTWSDLDYYMEKVTPDVVNRVKHSCRDIKVADKLNEQIITQLPKQKSSEGLHVAYGATSLKDIFVWGDVPGNVLDHGDVSKANVSLPRLLNTTHIIDVQSVACGEKHAAIVTKQGEVFSWGVDNGGRLGHKVSVSVSDPKIIESLASTRVKAIAFGAKHTCAVSISGELYEWGEGTHCLGLWGDQYQRSQWFPHKLFGPLDGISILKIACGHWHTAIISSAGQLFTYGDGTFGVLGHGDTLTVARPKQVESLKGVRAKAIACGPWHTAAIVERMGTVKSNAPSGKLFTWGDADRGKLGHADKKMKLVPTCVEPLNDFDFAQVSCAKAQTIVLTITGVVFTIGSKEHGRLGNPLSEDTSICLIEGPLKTEFVREISSGSSHIAVLTMNGKVFTWGKGTEGQLGLGDYVDRSYPTLVEALEDKQVHSIACGFNFTMAICLHRPLSSKDQSVCSNCQLTFGFTRKKHNCYNCGSMFCNSCSNNKVSRAALAPDRSKRYRVCDACFSQMQKVEEHSKLDPQQKIQKDEVCPIEIRSYTPKLARIFKEANAIMEKMASAQSPHQRSQNLAVPDHVRTLRWGLVECPSQFRCVRESIPYCSTLNKQTVSGSIVRVMNETMAPKPANSLLKSANDSKAELDLMENILLEEVKQLQEQVTTLAKQCRQRSLKVQLYKRKVEETWLIAKDEAAKCKAAKDIIKVLIDQHDFLSKNLLAGEKLDNSRIMPSHIASAKSLKAELPDPPDKNVFTSEFQQSKSNRDHHNSRQVDRECTQPSIASMVDYSVTHQNCRRTSNGSTGCTEGTDATTAPTDSNGVIEQIERGVYATVVTSPGGKKCIKRIRFSRKHFGEDQAQKWWEANESMIFGKYSSMEQTVG >ORGLA02G0242400.1 pep chromosome:AGI1.1:2:22554218:22554583:1 gene:ORGLA02G0242400 transcript:ORGLA02G0242400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFEGRSPAARGVEQVCNMKRFSFASSFKALWYIHAYANDSSFALLQFQQCIFAKLDGFALLVCLQGANKHCILIEKVLDTIISFSGYSKLYARHFFSKMLSEHDICFCGRPKSQMRLI >ORGLA02G0242300.1 pep chromosome:AGI1.1:2:22552668:22552995:-1 gene:ORGLA02G0242300 transcript:ORGLA02G0242300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGATCIYALTFAHDMSIHCAFILLQSYCDQLYCWCCFGRVHPQGFSAVATDQLEVKRWMVGKKR >ORGLA02G0242200.1 pep chromosome:AGI1.1:2:22545080:22548171:1 gene:ORGLA02G0242200 transcript:ORGLA02G0242200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAPSARRVSAVLYHYPCPDGAFAALAAHLYFSAAALPVCFFPNTVYDPIRSDALPFDEIKDVYLLDFVGPPGFVTDIAPKVESVTILDHHKTAFESLCGNPTLGENVNKVIDMQRSGATIAFDFFSNKLLTIGSSLWNHRSGNSFNGVKYLPDNKLETVHKLFKFIEDGDLWRWTIPNSKAFSSGLKDLDIEFDVNINRKLFDQLLELDPEEVISRGQATLSHKQKLIDECLEKSYEIALGCGRFGNCLAVNADAISNLRSELGNQLADKSRNLNLRSIGAVVYKVPELNNDNMLKISLRSLNEEDTTSISKEYGGGGHRNASSFLLSVTEFDRWKVGAEPCNTKM >ORGLA02G0242100.1 pep chromosome:AGI1.1:2:22544198:22544509:-1 gene:ORGLA02G0242100 transcript:ORGLA02G0242100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:I1QBF3] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ORGLA02G0242000.1 pep chromosome:AGI1.1:2:22539578:22540210:-1 gene:ORGLA02G0242000 transcript:ORGLA02G0242000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYAWCRRGGAADCKEQEEDIGSPSTSAGSSARSSGSSSELADDASSSSSSSAERRFEMSDLMTQLPFKRGLSRFFDGKSQSFASLAAVASLEDLAKPPRKRLKPSQSCGGGLDAHRGRVLSPRRHCPKAVVAGAKKATARAALSMLAASPRRPPLAAPARPEGVAAKFLVVN >ORGLA02G0241900.1 pep chromosome:AGI1.1:2:22535102:22538713:1 gene:ORGLA02G0241900 transcript:ORGLA02G0241900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nucleoporin protein Ndc1-Nup (InterPro:IPR019049); Has 36 Blast hits to 36 proteins in 17 species: Archae - 0; Bacteria - 0; Metazoa - 1; Fungi - 0; Plants - 35; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G73240) TAIR;Acc:AT1G73240] LAASLLAAAGALCVGAAASLVPEDSGWAAVAGMEFRGAVLGAVFAAHYFGRRRWLLQFPVVQRPPFYGLKMGLLPSGKRALKVSLQAFFLSFILIFVLPQQFRIRGSIGSQIIAQIGIFIMSTAVAFCWEISHHFVQVVHTRRCSFAPPQSTAAAETNPTEYILETLELSDPRSLMQYLAYQDLCAVSECNLEPWRRAAFFEESGETYKRIVTACLKPLEEFTSKIAEALEGFSSEKPELLSQQFKLAAAFNDSQICTWCARTLSSLTARSRQEDRYGVAQLTGCNAAVMSTLLSALVAVEACLGKKTNPQPAHSLGPASIKWANFSTGRKGNVTAIASTQRGGLHTKAFSMADVLRTSIYQMVSAFEHDMRANAKASSLEKNWISEGRKPVFGSQAVLVQKLSLFIEYRAV >ORGLA02G0241800.1 pep chromosome:AGI1.1:2:22528317:22530540:-1 gene:ORGLA02G0241800 transcript:ORGLA02G0241800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYYDSDDDDVVLVQQGAGTRSARAKDGRYSSWSQSELEKQMFSWSLKDVLNKDLLKKKVKKIPTIFSSLKEYMGSFTVPLIEETRADLCSALEGIKHAPAAEVTRIKLCSDEQLIYSFFAKKADPKDIFQEVYAPKEADTLLLTDRKPRHISDLGRGEKPLVIASVLKAEDAEGNTVVRLSSKHVEQQFGLESSLFAVFLINMTTYNRIWSELDAVVASVRNTDIIRMIVNCNPKVGQECSYSSELPLHLPDRALGGLEDFKLNKSQKVAVLDCVSAMQQRSSSVRLIWGPPGTGKTKTISTLLWAMLVKNHRTLTCAPTNTAVVEVASRVLNLLEDPSAGSGKACFLSDVVLFGNEDRMNVDGNLTKIFLEKRARRLQKCLSPGSGWVHSLSSMIRILEQPLVQYDSYVEQIEREIEEDLAEKKRNKNKNKDNDKKQVKEDVPKVIPIMPSIYYILKVH >ORGLA02G0241700.1 pep chromosome:AGI1.1:2:22521661:22522384:-1 gene:ORGLA02G0241700 transcript:ORGLA02G0241700.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTYLITLNFRTFDKRSIEDFLVRNAKSILCTASSSSRLHYLPEASPFDLLVVDEAAQLKECESLIPLQLPGVRHAVLIGDEFQLPALVKSRVCEDAEFGRSLFERLSSLGHPKHLLDVQYRMHPGISKFPVSSFYENKISDGENVLHRDYERKPLAGPMYGSYSFINVDAGKESKGKHDKSLMNPIEVAAVTRIVQRLFKGTRIWHGIAELR >ORGLA02G0241600.1 pep chromosome:AGI1.1:2:22518333:22519406:-1 gene:ORGLA02G0241600 transcript:ORGLA02G0241600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1P360] MATAGVTEHLLSPRAGAGDDMGAGDGEGWCNALAKYEALASSLPSCHGLGSAPYRRYGGFWYPAHLMPATLAARDTFVARPSDVILATMPKSGSTWLKALAFCVVHRGRHAPAAGQHPLLHSSPHDLVPFLHSIYEISRSCRVAPGHRLDAMPSPRILAVHEPLSSLPASVKASGCRVVYLCRDPKDAFVSLRHYLDEIKPEGSTMTPFDEAFELLCDGVSPYGPMWDHAAEYWKESLARPEEVVFLRYESLKEDGVGSVRRLAGFLGCPFTGEELAGGVPETIVELSAWKGXETWRQIETASTGLPGRRSRTRPSSGRVRSETGRSTCRRRWRGGSTTSWRRSCEAPGCRXSATASI >ORGLA02G0241500.1 pep chromosome:AGI1.1:2:22516164:22516865:1 gene:ORGLA02G0241500 transcript:ORGLA02G0241500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAAASTRAPFAVAASSSRRRTVLAAAVKATGGSNPAAPHPVLSSLRLAASAAVILAATSPVAIACTPAPPPPPSEVTVAASPDDPVTDDPHPFEKLIVETAALASFGGAEAARARLSAAAAAGVVGGREQYARLLAAQELFVDGKVDDAIAAFEELAREDPGDYRPVFCQCVLYSVLGRAAESESMLRRCRELAGEESVADFVMPVSPLPVDSSEAEAEPDSPEAETEKL >ORGLA02G0241400.1 pep chromosome:AGI1.1:2:22512920:22515502:1 gene:ORGLA02G0241400 transcript:ORGLA02G0241400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPMPVAGAGWWDLVNGSTAWQDGIFLSLAALYGLVAASSFIQVVRIQYRVPEYGWTTQKVFQLLNFIVNGARCSIFAVRRQVQQVNPEIFQHVILDLPGLAFFTTYAMLALFWAEISYQARGLETEGLRSGFYTINGVIYVIQVLLWALLWHNPNPYMIVLSKLFIAGLSFSAALGFLLYGGRLFFMLKRFPIESKGRQKKLREVGRVATICFLCFLARCIMMCFDAFDKKADLDVLDHPILNFIYYLIVEILPSSLVLFILRRIPSKLRLAQYHPLNSG >ORGLA02G0241300.1 pep chromosome:AGI1.1:2:22510428:22511060:1 gene:ORGLA02G0241300 transcript:ORGLA02G0241300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFWRTQRSVTSSDALSVSKTYRGDERPHGLRGLREAREEGHVPARRREHGGDRHGHAEGDGDGVRGPAGGAPGGAADGEGGGVLAVAVRRRVLPVRDPVPGGRHLHGDAQVLRPRLQRPGDRLLPQPRLHPHRRRPRPRLLPRRQRPRLLHHVT >ORGLA02G0241200.1 pep chromosome:AGI1.1:2:22490363:22490584:-1 gene:ORGLA02G0241200 transcript:ORGLA02G0241200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGEDSIWHTMEVLCAYGIRSRIWKESKFGTIGYVKFVSCTRGFPKVFRILSMSLVHGFRLPTSCINRGGA >ORGLA02G0241100.1 pep chromosome:AGI1.1:2:22484523:22485758:-1 gene:ORGLA02G0241100 transcript:ORGLA02G0241100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTSSRFSKEEEDEEQDEAGRREIPFMTATAEAAPAPTSSSSSPAHHAASASASASASGSSTPFRSDDGAGASGSGGGGGGGEAEVVEKEHMFDKVVTPSDVGKLNRLVIPKQYAEKYFPLDAAANEKGLLLNFEDRAGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFSRGIGDEAARHRLFIDWKRRADTRDPLRLPRGLPLPMPLTSHYAPWGIGGGGGFFVPPSPPATLYEHRLRQGLDFRAFNPAAAMGRQVLLFGSARIPPQAPLLTRAPSPLHHHYTLQPSGDGVRAAGSPVVLDSVPVIESPTTAAKRVRLFGVNLDNPHGGGGAAAAAGESSNHGNALSLQTPAWMRRDPTLRLLELPPHHHHGAESSAASSPSSSSSSKRDAHSALDLDL >ORGLA02G0241000.1 pep chromosome:AGI1.1:2:22464546:22466509:-1 gene:ORGLA02G0241000 transcript:ORGLA02G0241000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSSSGSPPNTEALMDQIKAQLAQAYAQEFLETVGNKCFAKCVTKPGSSLSGSESSCISRCVDRYIEATGIVSRALFSSTR >ORGLA02G0240900.1 pep chromosome:AGI1.1:2:22462777:22463844:-1 gene:ORGLA02G0240900 transcript:ORGLA02G0240900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24520) TAIR;Acc:AT5G24520] MEQPKPPSVAASAAEAQNPNAFTCELPHSIYALAFSPSAPVLAAGSFLEDLHNRVSLLSFDPVHPTAASFRALPALSFDHPYPPTKLQFHPRAASAPHLLASSSDALRLWLAPLDDLAATATAAAPELRSVLDNRKTSASEFCAPLTSFDWNEAEPRRIGTASIDTTCTIWDIERGVVETQLIAHDKAVHDIAWGENGIFASVSADGSVRVFDLRDKEHSTIFYESPRPDTPLLRLAWNRYDFHYMATLLMDSSAVVVLDMRAPGVPVAELHRHRACANAVAWAPQATRHLCSAGDDGQALIWELPATPGAVPAEGIDPVMVYDAGAEINQLQWAAAYPEWISIAFENKVQLLRV >ORGLA02G0240800.1 pep chromosome:AGI1.1:2:22460307:22460498:1 gene:ORGLA02G0240800 transcript:ORGLA02G0240800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGYN >ORGLA02G0240700.1 pep chromosome:AGI1.1:2:22437863:22439719:-1 gene:ORGLA02G0240700 transcript:ORGLA02G0240700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGAPWCDPRRGYGGYGVGSVALQAAARQQSQQPRSDGAGGAGVTGGVLKRSLGEMERWQQQRQVAAQQAMYLRSVRQRMDIGAVLGGAASSPAYGISGLSSGFGGISQQQPSSTMSSLTTASRTVMPGMQQQRRMMAVPTAQNQAVARAPAARPATATELVLLQELEKQLLGDDEEADAAGSGCGSGITSSDWGDTIQRLNSVTAASSPSLPLPTSVNSTALLARSPTNSSSSTASSSASSSPPISAASSRQLLSEAAAAVADGNHTAAASLLSALKLSANPRGDAEQRLVAMMVAALSSRVGTGPSQHLADLYSGEHRAACQLLQDVSPCFGLALHGANLAILDAVAGHRAIHLVDFDVSAAQHVALIKALADRRVPATSLKVTVVADPTSPFTPAMTQSLAATCERLKKLAQQAGIDFRFRAVSCRAPEIEASKLGCEPGEALAVNLAFTLSRVPDESVSPANPRDELLRRVRALGPRVVTLVEQELNTNTAPMAARFSDASAHYGAVLESLDATLGRDSADRTRAEAALASKVANAVGREGPDRVERCEVFGKWRARFGMAGFRAVAIGEDIGGRVRARLGPALPAFDVKLDNGRLGVGWMGRVVTVASAWR >ORGLA02G0240600.1 pep chromosome:AGI1.1:2:22433285:22433506:1 gene:ORGLA02G0240600 transcript:ORGLA02G0240600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGEDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFPKVFRTLSMSLVHGFRLPTSCINRGGA >ORGLA02G0240500.1 pep chromosome:AGI1.1:2:22419756:22423058:1 gene:ORGLA02G0240500 transcript:ORGLA02G0240500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRRLALPALLLWCLCALPAPARSQRAATPAPASNEGFNCTANATYPCHAYALYRAGFGGVPLEFAAIGDLFAASRFMVAHANNLSTSAVLAAGQPLLVPLQCGCPSRSPNAYAPMQYQINAGDTYWIVSTTKLQNLTQYQAVERVNPTLVPTNLDIGQIVTFPIFCQCPTAADNATALVTYVMQPGDTYASIATAFAVDAQSLVSLNGPEQGTRNLSSPEILVPLRRQVPEWLPPIVRVNNISTTPASPPPSNTPAPTVVSNNRDGVVTGLAIGLGVVGGLWLLQMLLLGCLWRRLKARGRRAEAVASGDGGEGGRFTKAASGGGGGGGGRFLVSDISEWLDKYKVFKVEELESGTGGFDDEHLIQGSVYKAYIDGEVFAVKKMKWDACEELKILQKVNHSNLVKLEGFCINSETGDCYLVYEYVENGSLDLWLMDRDRARRLDWRARLHIALDLAHGLQYIHEHTWPRVVHKDIKSSNVLLDDRMRAKIANFGLAKTGHNAVTTHIVGTQGYIAPEYLADGLVTTKMDVFAYGVVLLELVSGREAVSDDSGEPLWADADERLFRGREERLEARVAAWMDPALAEQTCPPGSVASVVSVAKACLHRDPAKRPSMVDVAYTLSKADESFGDYSGESVSVASSGGIAAR >ORGLA02G0240400.1 pep chromosome:AGI1.1:2:22400765:22401403:-1 gene:ORGLA02G0240400 transcript:ORGLA02G0240400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYSELLLPRLLLQVLLLLGHLHRFLLWAFHAVGLGDLIDNPPGLAATEQDLMLQGRGGGMAEGWASSSALQHRRPEFRAIPPMAIEEALPVVRFDELVASAPAAVCGGGDCAVCLSGICGRDEVRRLSNCRHVFHRGCLDRWMAHEQRTCPLCRAPLIPDELLPAASGLPDPSDYDLSYYPSPLPLAPTPTLLRPHELLLNGLGGFQ >ORGLA02G0240300.1 pep chromosome:AGI1.1:2:22397143:22398802:-1 gene:ORGLA02G0240300 transcript:ORGLA02G0240300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARAMKAAGGGGKETLAATLLRYLIILIVPFTVLYILYTLHAILSSTPSCPPDRPIVTSSVSLSQLSTTRNHTPSSSSLSTPPPAPVSMAATTLQHVVFGIAASARLWEKRKDYIKIWWRPNAGMRGFVWMDQPVRESGVPDGLPPIKISSNTSGFPYKNRRGHRSAIRISRIVSETFRLGLSGVRWYVMGDDDTVFLPDNLVAVLQKLDHRQPYYIGYPSESHLQNIFFSYGMAFGGGGFAISQPLAARLERMQDACIHRYPSLYGSDDRIHACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLVSLHHLDVVRPLFPNARSRPAALRRLFEGPVALDSAGAVQQSICYDARNRWTVSVSWGFVVMASRGRISAREMELPARTFLNWYKRADYKAHAFNTRPLARRPCEKPSFYYLSSARRTVARDGETTVTTYQRWRHRNDMRPPCRWKIADPDALLDTVVVLKKPDPGLWDRSPMRNCCRVLSSPKGQEGNKTMTIDVDVCKDWEFSQV >ORGLA02G0240200.1 pep chromosome:AGI1.1:2:22393045:22396872:1 gene:ORGLA02G0240200 transcript:ORGLA02G0240200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTAIILHRFRQAAASQSLVETSLQSCPYFGVPLRWLSCTEQTSKWETSTSYQIDDVDQYSPISSVAKICTHPLSSHVNHCYHHSRSLGFSSVSSSRRMYSSDARAKPEDYKNAMAKVSSTETSEVGATDHSGNTWIDILDSAPHSTIDATAAALKKLKAMTDPIVPCIQELYATYPDLQRMVIPLGGTLMGTAVAWFVMPIVLRKLHKYTSENPLITLEGESTKKYMSYQTSLWSALEDPAKCIITFMAFSQMAAIVVPSISVYLPQAWRGAFVVSLLWFLQKWKTNFIANIMTNQSAIGMDRDRLLTFDKVSSLALIALGGMALAEACGVPVQSILTVGGVGGVATAFAARDVLGNILSGLSLQFSKPFLVGDNIKAGSIEGKVIEIGLTSTSLINPENLPVVVPNSLFSSQIIVNKSRAVWRASVVKIPVIIEDLEKIPTISEEIKVKLRSNPSIDAPYCYLSRLESSHGELTIGCNIKSMRRDEWTTVEQDILLKAASIVKQYES >ORGLA02G0240100.1 pep chromosome:AGI1.1:2:22379248:22383325:-1 gene:ORGLA02G0240100 transcript:ORGLA02G0240100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANQPPPDAAAAAAGSAGEDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPGYTIKADSSSMLRNSGMNATVSSWTHNSIPPIVASSMVKEDLGAGAMAPNNFCSSSTEGPARAWQPGETNDQINQVPSLRLMPDFAQVYSFLGSVFDPSTSGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQRKLLSSYSTPSDGLELGSTRSSVLADRPLSAPFMIKGE >ORGLA02G0240000.1 pep chromosome:AGI1.1:2:22375691:22377555:-1 gene:ORGLA02G0240000 transcript:ORGLA02G0240000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 2,4-cyclodiphosphate synthase [Source:UniProtKB/TrEMBL;Acc:I1P344] MLVSPSPCRKYFGASGAHKKTAGFLSIPQPKKPPNKTAKLARSLRAISPRDDAMATASSLFLASPVATAPTARARSTPSASPARPSLRLRRPSTLAAAAVQAEHQPAVAAAPKPPALPFRVGHGFDLHRLEPGLPLIIGGIDIPHDRGCDAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPRWKGADSSVFMREAVKLMHEAGYELGNLDATLILQKPKISPFKETIRSNLCDLLGADPSVVNLKAKTHEQVDSLGENRSIAAHTVVLLMRK >ORGLA02G0239900.1 pep chromosome:AGI1.1:2:22373149:22375151:1 gene:ORGLA02G0239900 transcript:ORGLA02G0239900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPPRSTLPSSSPARSTVPSLSPRRTLSCTPTSRSLKYGKGIHAHLIKMNDLPSISIENSLMGMYARFEQVDAAYVVFKGMQIKDIVSWNTMISCLAKSDHVDEALELFSILHGGDGLVPDFVTVLSVVQACSNAGLLQQGQMLHGYIIKSGSLYDVSICNALISMYAKLGRIDFSEQIFEQMDIKDIVSWNSMINAYGIHGDGLSSLRIFNELQDDGTCSPNAITFVSVISACSHSGLVSEGYRCFQSMKNDYRIEPSMDHYASVVDLLGRSGRFAEAEQFIRNMPVHPNSSIWGPLLAACSLYGNIDLAEKAAIELSILEPESDIWRVSLSNVYAVVGRWKDSAKIRTEMKRVGLKKEAGWSFVDVGGVEGFKFVAADTRHGDSEQIYAVLRSMNKHMADVAGDVHQSSLVSVIS >ORGLA02G0239800.1 pep chromosome:AGI1.1:2:22366828:22372444:1 gene:ORGLA02G0239800 transcript:ORGLA02G0239800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase family M48 family protein [Source:Projected from Arabidopsis thaliana (AT4G01320) TAIR;Acc:AT4G01320] MALPYLEAVLCFMILMYIFETYLDIRQHRALKLPTLPKPLVGVISGEKFERSRAYSLDKSKFHFIHEAVTILMDTTILYYRVLPWVWKKSGELATNAGLNAENEILHTLAFLAGVMIWSQITDLPFSLYSTFVIEAKHGFNKQTIWLFIRDMIKGILLSILLGPPIVAAIIIIVQNGGPYLAIYLWGFMFALSLVMMTIYPIVIAPLFNKFTPLPEGVLREKIEKLAASLSFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCSSEDEIVSVIAHELGHWKLNHTVYSFVAVQLLMFLQFGGYTLVRNSKDLFESFGFEDQPVIIGLIIFQHTIIPVQHLLSFCLNLVSRAFEFQADAFAKNLGYAPQLRAALVKLQEENLSAMNTDPWYSAYHYSHPPLVERLSALEDADSKKEN >ORGLA02G0239700.1 pep chromosome:AGI1.1:2:22361402:22361767:-1 gene:ORGLA02G0239700 transcript:ORGLA02G0239700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARKELENLEVVTADDGGGSGGGGNNVHGDKAPIDGKGEAATLAKRADVSGGQEYGVVRVEDVQRVETPAAEITNAMKDMEIVKNKDQERGGSEREEEETVAMAGTGSREEALLVLFDTAWQQ >ORGLA02G0239600.1 pep chromosome:AGI1.1:2:22356310:22357351:-1 gene:ORGLA02G0239600 transcript:ORGLA02G0239600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTVDVTAVNPDELCPLSKARSIARSISWRSEMSVYTTTSTSVSGDRDDAREDAGDGSGDKRLRAKQAAEEATCIARALAVSSDGPGLLLFPNVACTTSLHASWSWTPKFSCQFLNRRGY >ORGLA02G0239500.1 pep chromosome:AGI1.1:2:22352551:22353393:1 gene:ORGLA02G0239500 transcript:ORGLA02G0239500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPGVSAKKRHASAGFTLRCGCKDAKSVSVSASAAGTPSTTATRRRSAGTNPSGSTTTDTLTMTSASSSFLWEHSVVEFDHDGGGGCGPESFSGLLRELSELEQSVASWGRKSHHQHHDKKHSPAPSSPLPPQEDRKEKNGGNGDATDKPGDCRDDGGGDGVGVALDGSVAVVKQSDDPLGDFRQSMLQMIVENGIVAGEDLREMLRRFLTLNAPHHHDVILRAFAEIWDGVFAATASLVHHHHPPPSSRREPVAPAARPPAPRTPPRHRHPSPRAWRV >ORGLA02G0239400.1 pep chromosome:AGI1.1:2:22345394:22348273:1 gene:ORGLA02G0239400 transcript:ORGLA02G0239400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQIRFGHQIPFSRPDSDEEEEEDDEDEEVEEEEEEEEEEEEYEGEEEEMEGEVPVSSPLMLPAARGGGGGGVSVVETVAAALRRSLLLCSSVRAAEDEGAAAAAAAAAGMQIGRPTDVRHVSHVTFDRFVGFLGLPADLEPDVPRPAPSASVSVFGVSPTSMQCSYDNRGNSVPTILLTMQRKLYQLGGLQAEGIFRINADNSQELYVREQLNMGVVPDGVDMHCLTGLIKAWFRELPSGVLDSLTPEQVMHCNTEEECALLASTLPPVEAALLDWAINLMADVVEHENYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTVKGREETATPSSAFPSSSGSPSDKDEPQALEHLDKPTICSTQQNNDFPMISGATLDHFLFRAEPLRHNDAQGSAGRPKKRDNKDHDNSSREFSPIDSDSSSQASNSASKFSNDNVEGLFDRFKFRKGVGRLCRHPVFQLSRSMKKSGEAGQACV >ORGLA02G0239300.1 pep chromosome:AGI1.1:2:22338865:22340340:-1 gene:ORGLA02G0239300 transcript:ORGLA02G0239300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74900) TAIR;Acc:AT1G74900] MPPLPRPPPTPTSAAPAPCPRQIAAVVLNHPSSALTPASTRSLSASLLAVAPSLPTPVADSVLKLLWHHAPRALLFFHSLLHLPPRAHAVGPSTLDLALDLSARLRRPRQLTNSILGLFPRHRLAFTPRTFPILFERLAVSQRRPDLAVRLFLSLHRSHRVAQDLPLFNSLLDALSKSRHAGKAASLVRALEQRFTPDVVTYNTLADGWCRVKDTSRALDVLRLMVESGIAPTKTTYNIILKGFFRAGQLRHAWDFFLQMKKRGSKDESCKPDVVSYTTMVHGLGVAGQLEKARKVFDEMAKEGCAPSVATYNALIQVICKKGNVEDAVTVFDGMLVKGYVPNVVTYTVLIRGLCHAGKIDRGLKLLERMKNGGCEPIVQTYNVLIRYLFEEGEMEKGLDLFEKMSKGEECLPNQDTYNIIISAMFMRKRAEDMVLAARMVEEMVDRGYLPRRFMFNRVLNGLMLTGNQELSRKLLRMQEKYRRLQREIRL >ORGLA02G0239200.1 pep chromosome:AGI1.1:2:22329668:22330512:1 gene:ORGLA02G0239200 transcript:ORGLA02G0239200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKEADSPQPPSKLPRLSGADPNAGVVTMAAPPPPVGLGLGLGLGGNSRGERDVEASAAAADKATALTFMQQQELEHQVLIYRYFAAGAPVPVHLVLPIWKSVASSSFGPHRFPSLAVMGLGNLCFDYRSSMEPDPGRCRRTDGKKWRCSRDVVPGHKYCERHVHRGRGRSRKPVEASAAATPANNGGGGGIVFSPTSVLLAHGAARAT >ORGLA02G0239100.1 pep chromosome:AGI1.1:2:22321546:22327008:1 gene:ORGLA02G0239100 transcript:ORGLA02G0239100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEMEGMAAAIGVSVPVLRFLLCFAATIPTGLMWRAVPGAAGRHLYAGLTGAALSYLSFGATSNLLFVVPMAFGYLAMLLCRRLAGLVTFLGAFGFLIACHMYYMSGDAWKEGGIDATGALMVLTLKIISCAINYSDGMLKEEGLRDAQKKYRLAKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLEYTERKGLWASPTPSPLLPTLRALVQAGACMGLYLYLSPQFPLSRFSEPLYYEWGFWHRLFYQYMSGFTARWKYYFIWSLSEAAIIISGLGFSGWSDSSPPKAKWDRAKNVDVLGVELATSAVQLPLMWNIQVSTWLRYFLL >ORGLA02G0239000.1 pep chromosome:AGI1.1:2:22314368:22315648:-1 gene:ORGLA02G0239000 transcript:ORGLA02G0239000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWRRYVEMAFERSWPLVIFVSVQEKDINVSMQTEDVEGPSNAGDVVGPSMQNEENQPREEQAMGMADEGERVGIIVDEMEREDSDNEQADDDASSDEEGDVMATDWANEDFSGLVISEGDHVPWEYKENEVIEGARYAHKDEMKEAVKHWAVSLQREFRVVKSTNYVYEVRCMKEDCPWRVHAYKGKWNDYWKVSIVTEHKCYLQGVEKYHRNITSAFVASEMYSSVVGNIGFEPKSIIRHIENKFKYTISYAKAWRAKQKIIEMRYGTFEASYDNLPRLLATIAQRNNNTYYDLHTFTSVDDRTKSVLQRAFFLIGCLHQCFCALSTCSMHRWNFFDR >ORGLA02G0238900.1 pep chromosome:AGI1.1:2:22312917:22313658:-1 gene:ORGLA02G0238900 transcript:ORGLA02G0238900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKFSNLQNHANCNSIPSLLQPVQMTKANIDHISLVLLTRHIAGPLLSVSSVLLLDKSIAKNSFQRAGGCTAGGCTTASLASCRSHSAASPSSTQRSRGRSSTTCSSGKRQLPQLWRDWPLQRVLQQPQLVQPVAALELRWYNAGEAILLQVQNVERCQLCDLRQDAPDPKAAYGQLEQARAIAKLHWNTAGEHVRGEVQVAEQPKVIEPRRDGVG >ORGLA02G0238800.1 pep chromosome:AGI1.1:2:22308885:22311121:1 gene:ORGLA02G0238800 transcript:ORGLA02G0238800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSILGADGEWGVTSLGDMPESCVAAVLLYLDPPEICQVARLNRAFRGAASADCVWAGKLPVNYRYLLAFAAAADDEGGDGGHGNGKRSSPSSKKDIFARLCRPTPFDFGNKEFWIDKNKGGICLSISSKAMVITGIDDRRYWSQLATEESRFHHIAYLQQIWWLEVDGELDFCFPAGSYSIFFRLHLGRPYRRMGRRICGTEQVHGWEAKPTRFQLSTSDEQHATSEYYLEQEGSWILYHVGDFVVLNSDELMKLKFSMLQIDCTHTKGGLCVDSVLIYPKGYRHEKANIVHM >ORGLA02G0238700.1 pep chromosome:AGI1.1:2:22290687:22293939:-1 gene:ORGLA02G0238700 transcript:ORGLA02G0238700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRPAATKLNISPAAARRPSSFLPIATVALLCSASYFLGAWQHGGFSSPSASPSSVSVATAVACTTTTTGQALDFSAHHAAAADGAVLSSSGDSAATRRYQACPARYSEYTPCEDVKRSLRYPRERLVYRERHCPTGRERLRCLVPAPAGYRNPFPWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKFRFPGGGTMFPHGADAYIDDIGKLIPLHDGSVRTALDTGCGVASWGAYLLSRDILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARAFDMAHCSRCLIPWHLYDGLYLIEVDRVLRPGGYWILSGPPINWKKYWKGWERTKEDLNAEQQAIEAVARSLCWKKIKEAGDIAVWQKPANHASCKASRKSPPFCSHKNPDAAWYDKMEACVTPLPEVSDASEVAGGALKKWPQRLTAVPPRISRGSIKGVTSKAFVQDTELWRKRVQHYKGVINQFEQKGRYRNVLDMNAGLGGFAAALASDPLWVMNMVPTVGNSSTLGVVYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKNRCEMDIILLEMDRILRPEGTVIIRDDVDMLVKVKSAADGMRWDSQIVDHEDGPLVREKILLVVKTYWTAKEQDQ >ORGLA02G0238600.1 pep chromosome:AGI1.1:2:22280054:22280377:-1 gene:ORGLA02G0238600 transcript:ORGLA02G0238600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGLGVRLQVPTYPHLWRPERSRHAAFNAVCSALNRSVTGQMIDGTQDVRRTIACIRRRVARHIPSNNDGERFSSSYPRRSRSSRSGQSKAPVSRCNRSPEVFIHKM >ORGLA02G0238500.1 pep chromosome:AGI1.1:2:22265140:22268994:-1 gene:ORGLA02G0238500 transcript:ORGLA02G0238500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVCVAVWAVAMAMVVASVMWAYRWSHPRANGRLPPGSLGLPLLGETLQFFAPNTTCDISPFVKERLNRYGSIFKTSVVGRPVVVTADPELNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKSLVLRLYGQENLRAVLLDETDRACRASLASWAAQPSVELKDSISAMIFDLTAKKLISYEPSKSSENLRKNFVAFIRGLISFPVDIPGTAYHECMKGRRNAMKVLKKMMRERMEEQGRQCEDFFDVLIEELGREKPVLTEGIALDLMFVLLFASFETTSLALTLGVRLLAENPTVLDALTEEHEAIVRGRKEGCDAAGLTWAEYKSMTFTSQVTLEMVRLANIVPGIFRKALQDIEFKGYTIPAGWGVMVCPPAVHLNPEIYEDPLAFNPWRWQMQLTLVVLMLSGLSVGLIPKIYRVQQDKVEITGGSKHFMAFGGGLRFCVGTDLSKVLIATFIHHLVTKYRWKTVKGGNIVRTPGLSFPDGFHVQFFPKN >ORGLA02G0238400.1 pep chromosome:AGI1.1:2:22261288:22261590:-1 gene:ORGLA02G0238400 transcript:ORGLA02G0238400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAASRRRVCSACHRCSAPTTAVARSRAASRQQAHSAPSNAVARTGRAISRRRERSAWPSPLRPCEDERRASPLRGRPRTDGRRREEGGETRAGTRLLH >ORGLA02G0238300.1 pep chromosome:AGI1.1:2:22246889:22252885:-1 gene:ORGLA02G0238300 transcript:ORGLA02G0238300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGLFDGGGGGGMQFPFASGFASSPALSLALDNAGGGIGGRMLGGGAGAGSSAGGAMTRDTEAENDSRSGSDHLDAISAAGEDDVEDAEPSNSRKRKKRYHRHTPQQIQELEALFKECPHPDEKQRAELSRRLSLDARQVKFWFQNRRTQMKTQLERHENALLKQENDKLRAENMTIREAMRSPMCGSCGSPAMLGEVSLEEQHLRIENARLKDELNRVCALATKFLGKPISLLSPPPLLQPHLSLPMPNSSLELAIGGIGGLGSLGTLPGCMNEFAGGVSSPMGTVITPARATGAAIPSLVGNIDRSVFLELAISAMDELVKMAQMDDPLWVPALPGSPSKEVLNFEEYLHSFLPCIGMKPAGYVSEASRESGLVIIDNSLALVETLMDERRWSDMFSCMIAKATVLEEVSTGIAGSRNGALLLMKAELQVLSPLVPIREVTFLRFCKQLAEGAWAVVDVSIDGLVRDHNSGTAPTGGNVKCRRVPSGCVMQDTPNGYCKVTWVEHTEYDEAPVHQLYRPLLRSGLAFGARRWLATLQRQCECLAILMSSATVTANDSTAISQEGKRSMLKLARRMTENFCAGVSASSAREWSKLDGATGSIGEDVRVMARKSVSEPGEPPGVVLSAATSVWVPVAPEKLFNFLRDEQLRAEWDILSNGGPMQEMTQIAKGQRDGNSVSLLRASAVSANQSSMLILQETCTDASGSIVVYAPVDIPAMQLVMNGGDSTYVALLPSGFAILPDGPRIGATGYETGGSLLTVAFQILVNNQPTAKLTVESVETVNNLISCTIKKIKTALQCDA >ORGLA02G0238200.1 pep chromosome:AGI1.1:2:22238976:22243007:-1 gene:ORGLA02G0238200 transcript:ORGLA02G0238200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSPSPRGDARLDSAPLLGGGGGGGGRRHGGALRRPSLRGAARFLRRSGRRAMREPSLLVREAAADQLEERQADWAYSRPVVALDLLWNLAFILVAAAVLALSREESPSMPLRLWIVGYAVQCVLHMACVAIEYRMRRGQSGGSPMAADEETGTDGSSSSSDEDAGERAPRGRNGDYVRIAKHLESANTMFSFIWWIIGFYWVSAGGQVLTHDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRMDEPEKQSVNMTGSSGGIMIECGTNQPIEKVLAAEDAECCICLSAYDDGAELRELPCGHHFHCVCIDKWLHINATCPLCKFNVRKNSSSSGSEEV >ORGLA02G0238100.1 pep chromosome:AGI1.1:2:22234288:22234602:-1 gene:ORGLA02G0238100 transcript:ORGLA02G0238100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLAAALARGEGAWTEEQHAAFLDRMELSFVQQELAAVAVSDERRASRRLCRRPAPPPAPAAAGGCGQHQLSLPLDRPLPDSAVESNRAAPSSRPAARGRGTG >ORGLA02G0238000.1 pep chromosome:AGI1.1:2:22217935:22218204:-1 gene:ORGLA02G0238000 transcript:ORGLA02G0238000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTEATLALGRRARVACAWASGRSARSGVRAVEDGDKADHRLQLGLPSLRMMLAGDVDVASCDRRKIDRWRGVMLLMKMIRKLHLLFS >ORGLA02G0237900.1 pep chromosome:AGI1.1:2:22197093:22198326:-1 gene:ORGLA02G0237900 transcript:ORGLA02G0237900.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSLIFTLLFFFPLYFYLSPFPLLALISLCPXXXXXXXEGGSLRNVPVGGGSRKNKRSSSSVVPSAAASASTSAAVSGSVPVGLAAKNPKLMHEGAQDLNLAFPHHRGRALQPPEFTAFPSLESSSVCNPGGNLAAANGAGGRGSVGAFSAMELLRSTGCYVPLPQMAPLGMPAEYAAAGFHLGEFRMPPPPQQQQQQQAQTVLGFSLDTHGAGAGGGSGVFGACSAGLQESAAGRLLFPFEDLKPVVSAAAGDANSGGDHQYDHGKNQGGGGGVIGGHEAPGFWNSSMIGNGSSNGGGGGGSW >ORGLA02G0237800.1 pep chromosome:AGI1.1:2:22184607:22188682:1 gene:ORGLA02G0237800 transcript:ORGLA02G0237800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVPPPDLNRNTEWFMYPGVWTTYICILFFSWLLVLSVFGCTPGMAWTVVNLFHFAITYHFFHWKKGTPFADDQGMYNTLTWWEQMDNGKQLTRNRKFLVVVPVVLYLIASHTTDYQHPMLFLNTLAVAVLVVAKLPNMHKVRIFGINAGN >ORGLA02G0237700.1 pep chromosome:AGI1.1:2:22178114:22183032:1 gene:ORGLA02G0237700 transcript:ORGLA02G0237700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLGLGALCRGGGWCYAAIWRSDRRDPRLLTIGEFHSEDGTRNVVEKMLNQVHVVGEGIIGRALVSGECQWISDTSFSFAQTSDADNQDLFQGYTWWQHQFLCGIKTIAVIPIADLGVAQFGSMQKISECLEFLAQVKGIFCQREIVPWDLSAEEIQRNVLPYHQQFQLSSLSSADGLTNIKTDPENKKLLENSASVESLRSLASFSSKYSQSSSNGFTSYESCNSMNPHIVAMPVNSKSINTVRAFNSTGKLLQHNIGSENPLQIKFCQHPDSNLASATDVFLSLNNLPRIENEISCPPNKLGYCIQSEKPYSFQSSFSSCFSVGDELKPILFDSATSFVQNDLMQEFNLTGFTSQADSAVHELPKQILGETATGALYSDRKSNNGSSDLLDGTIFDPFVQEWCDNNALLAGNTPHFGATTADSVTEHASSYPLSVEERSLFSESVFEELLGVSGNVNTDAPGGSAVVMAGDPLVGLVSGCQLPTYTLQDSLSVCKPQQEPSLDFPSGSDTSEHVPNGSSKVIPLSLGALSMDDCCSLNTAHSKVSQVKRPEEVKVVKKRARPGESTRPRPKDRQQIQDRVKELREIVPNSAKCSIDALLDRTIKHMLFLQSVTKYAEKIKQADEPKMISNKDSGAVLKENSSGVVLKDNSSAGSNNGGATWAYEVAGRTMVCPIIIEDLSPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQISKVIRNGVPSFAEHQQSPISVPVGLADR >ORGLA02G0237600.1 pep chromosome:AGI1.1:2:22159588:22160655:1 gene:ORGLA02G0237600 transcript:ORGLA02G0237600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVASTLGQRITVADKDAASSVHARSLAVKYQCTGVSCCAFDFTQGAERVRVAVTITCTQVVAFSTEQSQSYGTHRTARSGRVPDEPAKYGEHARCRKTHLRIFSALDRDPRSSLCSESHHNQIVRTELTPRSQHNQQRVVSYACLLRARVGCSAFGSTLLRLPCRRRVFPASSMGGCQAPRHTAY >ORGLA02G0237500.1 pep chromosome:AGI1.1:2:22149684:22151408:-1 gene:ORGLA02G0237500 transcript:ORGLA02G0237500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAREAQVQGSLEWRVTVPEGSSVTVEHEAGVAERAWAWVVRMLVAVRAAVAGFARKVWKIGADDPRRAVHSLKVGLALTLVSIVYYTRPVYDGVGGNAMWAVMTVVVVFEYTVGGCMYKGFNRAVATASAGLLALGVNWVADKSGDKLEPFILSGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTFSLVAVSGYRVDQLLDLAQQRMSTIGIGIVICLAVCVVIWPVWAGQELHLLTVRNMEKLAGAVEGCVEDYFAAKPAAAKSEGYKCVLNSKASEDSQANLARWEPPHGRFGFRHPYAQYTKVGAAMRHCAYCVEALNSCVRAEVQAPEHVKRLLGDVCTRLASQCARVLREASTSVAAMTSPKTLDFAVADMNTAVHELQGDLRALPPVLALEPAAEMSLMDAMPLFTVASLLIEISARIEGVVDAVETLASLASFKQVEDDDDKKGQTEMKVHPLNVPDDHDASTQESQTTTKHPEQV >ORGLA02G0237400.1 pep chromosome:AGI1.1:2:22144224:22144457:1 gene:ORGLA02G0237400 transcript:ORGLA02G0237400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPRTGGSASDTRTTSTPRSAPRCASARAASRRSSAAPARRRASEPRPRVSSAMRVRGLARGARGCSRRRPPASPR >ORGLA02G0237300.1 pep chromosome:AGI1.1:2:22134063:22134688:-1 gene:ORGLA02G0237300 transcript:ORGLA02G0237300.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKVLQSTLAPPTPSTLWLHGKLHLENAQHVGPNVAIACGDELDGMCYQPITLAGNMFTYPLHLSRIHCHLPDGHNFNNTDALRKHVVAMSQVTNNGCSFSLVNQIDNHH >ORGLA02G0237200.1 pep chromosome:AGI1.1:2:22128401:22132311:-1 gene:ORGLA02G0237200 transcript:ORGLA02G0237200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGDLDKVDDAECVEVDPTRRYIRYNEVLGRGAMKTVYKAFDEVEGIEVAWSQVEIDEVMQSPDNLERLYSEVHLLKSLKHENVMKFYNYWVDDQKKTINVITELFTSGSLRQYRQKHPRVDLKAIKNWARQVLRGLDYLHTHQPPIIHRDLKCDNIFVNGNHGEVKIGDLGLATVMLTPRAKSVIGTPEFMAPELYDENYDELVDIYSFGMCMLEMFTLEYPYSECTNAAQIFKKVSKGVKPAALAKITNIQAKQFIEKCLVPASERLSAKELLQDPFLCSDNSSVLVGTKFPSSLPKSVDVSLEALHMDVDTNESMCTSTCKRNDLGGPHRSVLEFTRTNKNTELKLTGEKLDDNSVSLVLRIADLCGHARNIHFLFYLDSDTAMSVAAEMVEQLELADCDVTFIADFIDLLIVNLVPGQQLMNDAVMSTSSESKMGESEHVITSQQHPSELTHDYVLVEGMMHSKEANASPSDYIDSLLNATNLGGPNSSEGSDISVQLDGSSKSLSEYGVDEYRTLECGAYKGTDKLGCRHPLSNGSSNFAIFQMDQASHHSELVIGASVSITENRDVLNGELGLIEAQYEQWFRELTRMREEALEGARKKWLPDK >ORGLA02G0237100.1 pep chromosome:AGI1.1:2:22126168:22127715:1 gene:ORGLA02G0237100 transcript:ORGLA02G0237100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:I1P315] MEFCPGCGMLLQIQPATGGNRLRFYCPTCPYVCPVKNKIVKKARLVKKEVEPIFSDSDAMKNAPKTTTTCPRCQNGEAYYRQMQIRSADEPMSTFYKCCREECQFDWRED >ORGLA02G0237000.1 pep chromosome:AGI1.1:2:22116153:22121552:1 gene:ORGLA02G0237000 transcript:ORGLA02G0237000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNAadenosine methylase [Source:Projected from Arabidopsis thaliana (AT4G10760) TAIR;Acc:AT4G10760] MEAQADAGGDDLAAMREQCRSLEEAIGFRRETQMGLVASLQRLVPDLVPSLDRSLRIIAAFNDRPFVPTPNPDGGHGKSPAALKPHHRRALPDPARSTRRKTSPGSSPASVAAAPGGLDAVRTMVAVCLLELVPFAEIDAAALARRLQAESSSASEAERTALADLAAELGGSAASAVVLALRRIAEDTGGVQIEEAMIGGKSMTMVWAIDRNKLLKELPESATLPLLQPPPAPQMPPSETDAGSAMIPRTPQQQQPQPDMWPHSMPPIFPRPRGMTMQGMQRVPGVPPGLMPLQRPFMGPAGVITMGGGVGPSPNQQKQKSEEDELKDLELLLNKKTYREKQNTKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCTNLTKEDCRRQSGSFVACDKVHFRRIIAPHTDTNLGDCSFLDTCRHTKTCKYVHYELDQTPDIPPMMAGALAPPRQIRLQRAEYCSEVELGEAQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPLVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNAHAGWLSLGNQLNGVRLVDEGLRARYKAAYPDSEVQPPSPPRASAPIDGDQGTSTETYCIRRREACLM >ORGLA02G0236900.1 pep chromosome:AGI1.1:2:22114493:22115092:-1 gene:ORGLA02G0236900 transcript:ORGLA02G0236900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVDAQQEPTEGRRFYSPDRPEGLAFPTSYRALYNLPTSPECLFEEDKFRQTRTWGENLTFYTGVSYLAGATSGALVGLRLAAAEAERGESAKLRINRALNQSGSVGRAFGNRFGIVAMLFAGTESFVRDQRDGADDWVNTVAAGASAGALYRIASGPRSMIVAGILGGVLSGAAVAGKPMLQRFAPKLSARLDYLR >ORGLA02G0236800.1 pep chromosome:AGI1.1:2:22113480:22113751:-1 gene:ORGLA02G0236800 transcript:ORGLA02G0236800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQQLTTCSGEFGGSRQFVTYAPMMASPANTSSRVAPSQPFSQQVWVQIRTRLSLSCSPSSSDFPSWWLTA >ORGLA02G0236700.1 pep chromosome:AGI1.1:2:22108008:22112404:-1 gene:ORGLA02G0236700 transcript:ORGLA02G0236700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEPEPKPEIETPPPAREPPTEPPAAAPAPAAAASDRPLQPWEQHAAVINLPRYDYRASGSLLLRSHSGFLITCPIKREKSATKEAISILENSIGHANSYSSEKSEPSDTEVSAKKRKICSETPDIENSGDAVTYEKGDASETTGSVEKDSVSPHSKTSKNVDDTSNLSLVKLSRSGLLFFSFPSGGLCVVQMLTQMFHSLHSGKLKSPQWCHRIFPIQETCVLSEAELHTTVSKLFLDFVKSKEDQDEPIKFAVGYNRRGIDETEMKGQKNGNEGSKQQTLMDRDQCFKVVAGAVKSVAENSIVDLRSPEVAVLVEMLPISGVSLGSSVAGVSVLPSELISTKPRLCVKALVPDAKAAKKK >ORGLA02G0236600.1 pep chromosome:AGI1.1:2:22102954:22105772:1 gene:ORGLA02G0236600 transcript:ORGLA02G0236600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGERKGAARQYNRSKVPRLRWTAELHRSFVRAIDCLGGQQKATPKLILQLMDVRGLTISHVKSHLQMYRGTRHGIGQNDMQPQLHLKQHSFGSDEQSPKEFMCPPIKRAKVGTEASGKYRCMEGSSDMRSSAPPAGTRYFIDDCMRLQEVSMDRRRSDQHDAAAAARARAPAAAASSLHQALGFWVQGRREEPFMVHQISKPKAHQLNHMVRNMKISCKENHESRFFMSLVMMLLLISADLVSRETPSKL >ORGLA02G0236500.1 pep chromosome:AGI1.1:2:22086186:22097158:-1 gene:ORGLA02G0236500 transcript:ORGLA02G0236500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLDNGYYSHQALAMMRKKKTEPRNAGESSGTQQATGAPGRGPSQRPERAQQHGGGGWQPANPQYAQQAGRGGGQHQGRGGRYQGRGGPTSHQPGGGPVEYQAHEYYGRGVQRQGGMPQHRSGSGGHGVPASPSRTVPELHQASQDQYQATVVAPSPSRTGPSSLPVEASSEEVQHQFQELAIQGQSPTSQAIQPAPPSSKSVRFPMRPGKGTFGDRCIVKANHFFAELPDKDLHQYDVSITPEVPSRGVNRAVIGEIVTQYRQSHLGGRLPVYDGRKSLYTAGPLPFTSRTFDVILQDEEESLAVGQGAQRRERPFKVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTARYSPVARSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPIDNHGTVKTVVQYFQETYGFNIKHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILQTVHHNAYHQDPYAQEFGIRIDERLASVEARVLPPPWLKYHDSGREKDVLPRIGQWNMMNKKMVNGGRVNNWTCINFSRHVQDNAARSFCRELAIMCQISGMDFSIDPVVPLVTARPEHVERALKARYQEAMNILKPQGGELDLLIAILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWKDPQRGTVSGGMIRELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLFYELDAIRKACASLEADYQPPVTFVVVQKRHHTRLFANNHKDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGAHTRGGGPLPGVRSTKPAGNVAVRPLPDLKENVKRVMFYC >ORGLA02G0236400.1 pep chromosome:AGI1.1:2:22071983:22079973:1 gene:ORGLA02G0236400 transcript:ORGLA02G0236400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAVAALFGIRDGDHEDQIKPLFAQQQQHHHHQPPMAPSNAAAAASAAGSAAGQAAVAAPPAKKKRTLPAVLACIACADPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPAAAGHLYGSAGAANMALSLSQVGSHLASTLQDHGHHHHHHGASPDLLRFGGSGGGAMAARLEHLLSSSSASAFRPLPPPQQQPPAPFLLGAAPQGFGDGGDGSGPHGFLQGKPFHGLMQLPDLQGNGTGGPSPSGPGLYNLGYIANSANSSGTSSHGHASQGQMTNTDQFSEGGGGGGGGGGSETSAAALFGAGGNFSGGDHHQVSPAGMYANDQAMMLPQMSATALLQKAAQMGSSTSSANGAGASVFGGGFAGSSAPSSIPHGRGTTMVDQGQMHLQSLMNSLAGGGNADHQGMFGSGSMIDPRLYDMDQHEVKFSLQRGGGGGGDGDVTRDFLGVGGGGFMRGMSMARGEHHGGGGSDMHGTLEAEMKSASSSFNGGRMQ >ORGLA02G0236300.1 pep chromosome:AGI1.1:2:22039242:22042128:-1 gene:ORGLA02G0236300 transcript:ORGLA02G0236300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHAGAVQLQYFMPQQGAAAAAAADHHQADSATACSASTSPAAAAAATMWEYHHQLSTHAALQPSSSFPYSYWSPYSGSTALAGSAFAADSSSSSTDVMRLPAAGEHAHGHGWSHGELSNSTTGGGYRENFLDLLASKNVTPEMFEEVPASEHYNVAPAGTTLTTTARSFDHHARSDVSPIKYEIAGSPLYLGGTNTVLQVQDMTMMSSTPACYGEHHHHHHHQLTKDGSCNHQQQEQHELAISPMASFLQQISSGSASVGVHNSSLDYSGLGDQPDKICCQDGREMEASPFGMRSLPDLGSFAGYTPAIESTSVQPYMRCANSSDSNRQEQETVPARSSSSGSGAAATDRKKRKSEERQESTVKKSKQEASKASPPKQPVPKVKLGEKITALQQIVSPFGKTDTASVLFETIKYIKFLHEQVQVQLLSEPYTNSSRSNKQGNSVPWGDQAEASKGETMEHDLRNRGLCLVPVSWTPEVYRDGNAMDYWTPAYRGCLYR >ORGLA02G0236200.1 pep chromosome:AGI1.1:2:22035042:22036121:1 gene:ORGLA02G0236200 transcript:ORGLA02G0236200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGWSDLPSELLSEIAGGLVELGDIARFRSVCSSWRAAAGGAAAAPPPQPPWLLLPSSPSRLFFCPREDRLYPDLRMPALTAEAHHRRRRRRLYASPHGWTLAIDPTDLAASLLHPFTGAVCALPPLPAFFAETDDLAWDWSPHGVMASCGEGLLFYASDPPAAAWAPIPAMADCNASSINYSGGEFFVFEEDVCRTTIVDALTLAIAAVIPAPAVELPSEARIAVAGDELFLLVKSKWMYLFGDDIDFSKVFRVDHRSVDSAWQELTGIGGRALFVDSLHGFAMETAGFENLEGNTIYSVTTKEVNDRRSTTVKYSVSVFSLEHRSSKKLACRLNKLEMALRGETPSWIIPSLNEG >ORGLA02G0236100.1 pep chromosome:AGI1.1:2:22021941:22023074:1 gene:ORGLA02G0236100 transcript:ORGLA02G0236100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPGKTGRRDAGGTAPAVEDRWTQLPPELLPLICKKLPDSADFVRFRTVCSAWRDAAPLSDVPPQLPWVVERRGSAFQARVHFRFYSPSSGRTYGVRGYGGRSWLVMGGACQEHLVTTVDLSTTALYNPLTGERLALPPAPYPQWRHGVVHVVADGRRRGGAPLVVNASTRTGHFGYCRQGDTKWTLVDGRQDMGHRAYHGGRFYVNTNAQETLVIDASTGAVESVLPPPPRSADAGAGVSCGDYLVESRGKLIRAVLFPRDSVVATSAEDYYLNVYQLQEDGKAAAAWAKVESVGDSVLFFDKHGHGFSLEPNGAAELKRDCVYFMHEKRTWLDAGEYRFLCRYNMETGEVDRVVSLPDTFGDTWIVPGLCPSE >ORGLA02G0236000.1 pep chromosome:AGI1.1:2:22018153:22019421:1 gene:ORGLA02G0236000 transcript:ORGLA02G0236000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNEASSSSSRLLDPAPLLPHHGGDGAGKLSSQPKTFANVFIAVVGAGVLGLPYTFSRTGWAAGSILLLSVAALTFYCMMLLVACRRRLADEHPKIASFGDLGDAVFRGPGRLAVDTMLVLSQASFCVGYLIFISNTMAHLYPVFAPSSNALLSPKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGEDVSVWLAKPPPVFAFGGLSAILYGIGVSVYAFEGIGMVLPLEAEAANKKKFGTTLGLSMGFIAVMYGLFGAMGYIAFGDATRDIITTNLGTGWLSAAVQLGLCINLFFTMPVMMHPVYEVAERLLHGKRYCWWLRWLLVLAVGLSAMYVPNFTDFLALVGSSVCVLLGFVLPASFHLKVFGAEMSWPGVLSDVLLVLLGLSLAVFGTYTSLLQIFHSSSA >ORGLA02G0235900.1 pep chromosome:AGI1.1:2:22011703:22013766:-1 gene:ORGLA02G0235900 transcript:ORGLA02G0235900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLIILELVIQRKLLSCTKGXNYTRLVWMGKLLLLFXKAALSLRICTWVKECMWIHLNLVCLGINSSVPPSLCCTPSFIGWTIHRECFREIIDKDVVAYTSMITGYSEIVDSVAWNAFDIAIDMLQGNLEVNRVTMISLLQIAGNLGALKEGKSLHCYSIRRAIVVSDYILETSIVNLYTXCGAYQSAVATLQNSKGTAASWNAMLSGLTRAGQSFNAIHYLSVMLHEHKITPDSVTFANVISACVEVCYFGYAASIHTYLIRRYIPLDVVLATALVKVYSKCKKITISRHLFNQLIVKDAVSYNAMMYGYLHNGMANEATSLLNYMMAEGIAPDFATVLSLLAAFADQRDLVRGRWIHGFAIRHGFCSDGDVENHILYMYSVCGKPAAARVIFDLLEQKSLVSWTAMMKGCLPYGHGDEVVQLFHLMQKHGEKPDSMSLVTAVQAVSELGHLNGLKQIHCFVYRSLLEKDKITANSLISAYAKCGRLDLSVGLFFSLEYRNLDTWNAIISAYAMHGFYINMLEMFKQMEEENIQPDELTFSTVLTACSHAGLVKDGWRMFNSMTSVYSVLPQEEHYGCMVDLLGRAGHLEDGYKFIKLSTLKDKSTIFCVLLSACRTHRNTQLALAISKELLEHGPQKPGIYALISEVYAQEGQWNEFTNTRARANLSGLKKHPGSSFIELMEQGMC >ORGLA02G0235800.1 pep chromosome:AGI1.1:2:22006955:22007314:1 gene:ORGLA02G0235800 transcript:ORGLA02G0235800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAATVAAASSALLLALLLALAWGAAAGAGEVPLGWELGVGVGGGSGDDDGFGFSGAAADGAAVVRRVLQGRGYISYGALRRDTTPCSVRGASYYNCRPGGQANPYSRGCSAITRCRG >ORGLA02G0235700.1 pep chromosome:AGI1.1:2:21993899:21996303:-1 gene:ORGLA02G0235700 transcript:ORGLA02G0235700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSDDGEVEAVKVMARHALPQAAMARPVMVAIAQPNARVAMSPGRPPTGKLPSQATATSTGGGRSVAGGGGRTNAGGDASAEGRILEAPNLRIFTFAELRAATRNFKADTVLGEGGFGRVHKGWVDERTMSPARSGSGMAVAVKKLDPESLQGVQEWQSEVNFLGRLSHPNLVRLLGYCWEDKELLLVYEYMAQGSLENHLFRSEPRKGGSASPQQPLSWSLRLRIAIGAARGLAFLHSSEKHVIYRDFKASNILLDTQFHAKLSDFGLAKDGPAGGSSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLELLTGLRALDAGRPSGQHHLVDWAKPFLSDRRKLARLMDPRLEGQYSSRGAQRAAQLTLRCLAADHKNRPSMREVVAVLEEIESMSRGGSGGGAPGSASPRPAARGGGGAHGYG >ORGLA02G0235600.1 pep chromosome:AGI1.1:2:21988045:21991261:-1 gene:ORGLA02G0235600 transcript:ORGLA02G0235600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNPALFLPLMAEYAAPTWAILISGFFMLLSASLSMYLIFQHLSAYNNPEEQKFVLGVILMVPCYAVESYVSLVNPDTSVYCGILRDAYEAFAMYCFGRYITACLGGEERTIAFLKREGGGDSGEPLLHGASEKGIIHHHFPVNYILKPWRMGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGAYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGIMIAIMYSLGLVRSPLAQSLELKSSIQDFIICIEMGIASVVHLYVFPAKPYSLLGIHRSPENISVLGDYAATDPVDPDEIKDISRPTKLRLPQLEPDEIIVTNVKESVRDFVIGSGEYVIKDLKFTMKQAVRPVGKRFEKLMKKKGKFGQSRDDNWVSTSTPQRAIHGIDDPLICGSSSDSGIGRGKRHRRDVSSAGVVDSWEGSDQTSDGYVIRGRRWEIKKS >ORGLA02G0235500.1 pep chromosome:AGI1.1:2:21983166:21986874:1 gene:ORGLA02G0235500 transcript:ORGLA02G0235500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLEQQEAVALCRGRADLLAAAVRHRYALAEAHAALADSLASMSASLHLVLAPAVPSARKDVDAAAEAAASPPHSSSHINFAPSSGSESGSVSSSPSRRVAAGHEQLYQPSALPFPHYAYGYGYAPEPPFGYPPGSLQLYYARSRPPPPSVAVEQRAPASERVYFGSFEPAQYHPYGGETRRADRAAAPPPSPPRASSWDFFNVFDNYEVYDNYCYDAPGTGATTPAPYTPSRSSREVREEEGIPELEEDDAVVKEVSSEYSAHGSGGARSRRSSIGGVSSSIAEVDEEENPVVDKGVVGGGVARQQTPAHGNVAASVPTPRRTADGADVAGEIKAQFVRAADAVRALAPILEVGRRSYHPRSSVYHVSSRMVSVIALPHSGYGGSDLLDVGGGEKVVGARSLSLTLQKLYIWEKKLYDEVKAEEKMRLLLAKNSKRLKFLDQKGAEAPKIDATRNLVRKLSTKIRIAVRVIAKVSKKINRVRDEELWPQVNTLIQGFVKMWQDKLNCYHIQCQAISEAKNLDSIISGGTSRDLAMELELELIKWIVNFSSWVNEQRSFIKALNGWLALCLNYQQEETADGVPPYSPGRVGAPLVFVICNSWSQAMDRISEKEVITSMQALVSSVRSLWEKQNVEQTEQLIAIREREKWNKILERKTLEINKEADTLNRKLALVPGRQSLLPTAQTYQVHFLEANSVQVSLKRVLEALESYSSNSLRALDETLRHAEEERLSRERAKVS >ORGLA02G0235400.1 pep chromosome:AGI1.1:2:21967745:21968962:-1 gene:ORGLA02G0235400 transcript:ORGLA02G0235400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEDAKKGYADFEERVKRTIYIDHLSPQVTSSVIEAAISQCARVVNVDFIVNYTIPYDIPSAALVELDDEIQAKAAIDLMNNFPFIIGGKPRPVRAIYAKHEMFQDRPPRPGIKKEFRWVKQEDGIEYEGMNKLRLLARRQETENMALIKNLLEEDKDLAQQQQELLDGIYKKYCILDSDVMNDIKNLSRRYGVNLAGD >ORGLA02G0235300.1 pep chromosome:AGI1.1:2:21946130:21947096:-1 gene:ORGLA02G0235300 transcript:ORGLA02G0235300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERNTESHQGGEAAEQVEVKDRGLFDNLLGRKKDDQPEEKKHEEELVTGMEKVSVEEPKKEEHHAEGEKKENLLSKLHRSSSSSSSSSDEEEEVIDDNGEVVKRKKKKGLKEKIKEKLPGHKDHAGEHAPPPAATGFPAPAPPASVVTAAPTPAPAPVVTHGDHHHDTAVPVEKIEGDHAKTEATLPHAPEEEKKGFLDKIKEKLPGGHKKPEDATAVPPPAAAPAAPATTPAPAHPPPATEEVSSPDGKEKKGILGKIMEKLPGYHKGSGEEDKTAAAAASEHKSSA >ORGLA02G0235200.1 pep chromosome:AGI1.1:2:21938851:21942811:-1 gene:ORGLA02G0235200 transcript:ORGLA02G0235200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQMLPPIALVAVAICITAAAAAKVPAIYVFGDSTADVGNNNYLTGAAVPRANFPHNGIDFPTSRPTGRFSNGYNGVDFLALNMGFRRSPPPFLAVANKTSNPLFRGLQGTNFASAGSGILDSTGQSIIPMSKQVQQFAAVQRNISARISQQAADTVLSRSLFLISTGGNDIFAFFSANSTPSSAEMQRFVANLVSLYTNHVKDLYVLGARKFAVIDVPPIGCCPYPRSLHPLGACIDVLNELARGLNKGVKDAMHGLSVSFSGFKHSIGSSHAVVQSIMKHPQRLGFKEVTTACCGSGKFNGESGCTPNATLCDNRHDYLFWDLLHPTHATSKIAAAAIYNGSLRFAAPINFRQLVDDQH >ORGLA02G0235100.1 pep chromosome:AGI1.1:2:21936757:21938149:-1 gene:ORGLA02G0235100 transcript:ORGLA02G0235100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHSFSYVLVALCLLGVAAEATQLAPAVFVFGDSTVDVGNNNYLNITKQARANYPKHGVDFTGSTPTGRFSNGYNLADQLAQQLGFPMSPPAYLSLTAKTIVSQMYKGINFASGGSGLGDKTGQGAGDVIPMFQQVQYFSKVVAMMQKLSGSRTTNTLLSKSIFLISTGSNDMFEYSLSGGNGDDREFLLGFAAAYRSYVRALYRLGARKFSVVSITPLGCTPSQRARRLSEDGTRGCYGPINTLSLRSYPTLAASLRDLADELPGMAYSLSDSFAMVSFIFANPRTNAWSFTELESGCCGSGPFGALGCDETAPLCNNRDDHLFWDANHPTQAASAIAAQTLFTGNRTFVSPVNVRELALL >ORGLA02G0235000.1 pep chromosome:AGI1.1:2:21935659:21936273:-1 gene:ORGLA02G0235000 transcript:ORGLA02G0235000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDPRGPHCQPPLSFQSSLPSSSLSLFSGSGQTGAGARPREAGEEVRRRGGGGSGDDAGSGEQGGGDDDNAGAGEGIGGGEGEEAASATVSDLRTALRSSFTLALVSPDFHLFLNGTKLMADARGRKPPRRPRRVHLFHPCQRQVNFAASSRLEADVELEHAESMEKTQVFLARQRRRRRRRQEANEPSAAAAAALLPWMCSSGM >ORGLA02G0234900.1 pep chromosome:AGI1.1:2:21917116:21917941:-1 gene:ORGLA02G0234900 transcript:ORGLA02G0234900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLIHETDDAMAEAELLLERSRAITLNGRDKRGRAVVRIVGKYFPGKLSSASLVRSPQFRCFCRRKSDPVSFVAAARALGGRAEAALRGYVRRRVLPEIGEREFVVVYVHSLVDRGDNFPGVAAIRAAYEALPAAAKERLRAVYFVHPGFQARLFFATLGRFLFSSGLYEKLRYMSRLEYLWEHVSKGEMEVPECARRHDEELERRPLMDYGIEVTDRRCMFDAASMDTSASLHSLRCIS >ORGLA02G0234800.1 pep chromosome:AGI1.1:2:21914258:21916095:1 gene:ORGLA02G0234800 transcript:ORGLA02G0234800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPASPPHPKRHKMSSSSDPDQEPTSPSAAGADGAQPRAPRYKRRKVAILLGYCGAGYQGMQKNPGARTIEGDLEEALYRAGAVPEADRAAPRRYDWARAARTDKGVSAAAQVVSGRFYVDPPVFTDRLNAQLAPQIRAFGYVRVTNSFNAKKFCDRRRYVYLLPVFALDPSAHPDREAVMASVGSGSELTKCLECSERGRKVPGVMGREGKVPDPALQEEKSVEASREEAAVGSNEDANCDPASTGDRSVDLPIPDNETNTQITEMRSNGAEKCDVQLSGGTLCSEAVPASISETVCPILNSDSNTNSDSVDVDPSFVAEKKGSIETVVTEDGKKQAMDVVTEDEKKQDVDYEGSSLEEMPLRSAFSYTHEVKERFNRILKKYVGTHNFHNFTTRTKAEDPAAKRFIISFAADRVVNLDGIDFIRCEVVGQSFMLHQIRKMIGLAVAVMRNCAPESIYDVAFRKDVNLNVPTAPEVGLYLDECMFTSYNKKWKDSHEAVSMEPYSEEAEEFKLKYIFPHIAAMEHKEGAVALWLHSLNSRNYPDFRYMDNAGTEASIAAAVESVDEGTVPSDNISE >ORGLA02G0234700.1 pep chromosome:AGI1.1:2:21911371:21912399:-1 gene:ORGLA02G0234700 transcript:ORGLA02G0234700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:geranylgeranyl reductase [Source:Projected from Arabidopsis thaliana (AT4G38460) TAIR;Acc:AT4G38460] MALSSFSMSLPFAKLPSTSKSTRFLPIRASSAAAAASPSFDLRLYWTSLIADVEAELDAAMPIRTPERIHSAMRYAVLPGAGNEGTAKRAPPVLCVAACELLGAPREAALPAAVALEMLHAASLVHDDLPCFDAAPTRRGRPSTHAAYGTDMAVLAGDALFPLAYTHVIAHTPSPDPVPHAVLLRVLGELARAVGSTGMAAGQFLDLAGATALGEAEVMKVLTKKFGEMAECSAACGAMLGGAGPDEEAALRRYGRTIGVLYQLVDDIRSASGNGKMRSNASVLRALGMDRALGIVEELKAQAKMEADRFGDKYGERVLPLYSFVDYAVERGFELQDAATTP >ORGLA02G0234600.1 pep chromosome:AGI1.1:2:21905886:21909877:1 gene:ORGLA02G0234600 transcript:ORGLA02G0234600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVRRKSSLRSHGSGKSSPRVGSGVFDERSGLAADRDGGEEGEVVVRIDGEGPCREPFAFPGQDGGGAGNVSPNTDSTASTPRGASRTWSSGTNSPRSPPKVRRDGSVEFWKNDGGGDGGSDGGGGGGGGGGGGRRACEAFSFKNRAPPRPSSSQASSPSLSPQPAHAAGAVDGGEDPPTRLIGNFLRKQKASGAELSLDLDMEELGRPSQLHAQPSFSNSLEREARVSFQPKRRVASSSDSDSDTGGSRRRGGDDGEVVRCTSSSTAAGHLMRAKTRSRLMDPPPQPQPASAVPPVGDEERRSSVLRTPTKSGQFISGLMTGKSGQIAKSGPLEEEEEDPFMDEDIPDEFKRGKLDALTILQWLSLVLIIAALACSLTIKALSGKKVWGLHLWKWELLVFVLICGRLVSGWVIRIAVFCVERNFLLRKRVLYFVYGVRSAVQNALWLGLVLSSWHFMFDKNVQRETNSPVLPYVQKILFCFLVATLIRLVKTLLLKVLASSFHVNTYFDRIQEALFNQFVIETLSGPPLVDENQFLAEMHELQRAGATIPAELRSTVPTKNLSGQRSIRMSGVIPKGEGSKQLSKEKGEHQIEEGITIDKLHKLNQKNISAWNMKRLMRIVRFGTLTTMDEQIQQATGEGDESATQIRSEYEAKIAAKKIFHNVAKPGSKYIYLSDLLRFMRQEEAIKTMDLFEGAQEHSRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLNQMVNVVVGIIVFALWLLILGIATTHFFVFLSSQVLVAVFVFGNTLKTIFEAIVFLFVMHPYDVGDRCEIEDCQVVVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIMNYYRSPDMGEGIDFSVHVATPVEKLALMKERLLRYIDNKKDHWYPGAMVVLRDVDDTNKLKVSIWLRHTLNWQDMGMRFVRRELVLQEMIKVLKDLDIEYRMLPLDVNVRNAPPIQSTRMPTTWNYS >ORGLA02G0234500.1 pep chromosome:AGI1.1:2:21903497:21903967:-1 gene:ORGLA02G0234500 transcript:ORGLA02G0234500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCMRKGRTVQLYGPDQSTRHAPAVAWRVSAEIVVVEFNERTKRTCKQLRQTKKKDRHAGSRARTPVGSIYQVSWQMKRRYMLRQSQVSPV >ORGLA02G0234400.1 pep chromosome:AGI1.1:2:21899667:21901574:-1 gene:ORGLA02G0234400 transcript:ORGLA02G0234400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAEDGAGLAAAAPATAAANLEAYGTGPCSCTAGHCGGGPCSGGGDDSCGRGAARMSPPVRRRLQKRSPSSSDEHPCGGASKRRKGRATPSTSMDCRLRGDEAEEGFWKGGSEARRKRQLAAVKAELPPDALGALHVEVLDNIVDRLHIYEVVRTSVLSCAWWGCWESLPFVDLTWSPDVAASDVDVILLRRSATDGRYAPFLSHQPQRSSAGSTHSGKPRASRTGRMS >ORGLA02G0234300.1 pep chromosome:AGI1.1:2:21893005:21898258:-1 gene:ORGLA02G0234300 transcript:ORGLA02G0234300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAKTDSDVTSLAPSSPPRSPRRPAYYVLSPAASHPDVVVASGGAGGGGGGVAAAEKMSFAGSTPAESPLHYHYHHSGAAMHHSRESSTGRLLFSDQLRSGAAAGVPWRRLAQGSGAGSVGDDDDDEGGLAGAASQWRCYALGAFAFVAVFAFFLLVLWGASKSYKPHVVVKMAEFYQPRRSGRVVTVSVVGKQVPLYGAGAELHSKPNNGRLGPAVVPVRMAFVLRARAHILGLLVRSKFYRRVLCRLDVREASLGKPVHGVAADCEYHDGR >ORGLA02G0234200.1 pep chromosome:AGI1.1:2:21889600:21892492:1 gene:ORGLA02G0234200 transcript:ORGLA02G0234200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLAVLGHLFVAAFMFHFASYMVIPAITDVTMDAVCPGRDECSVAIYLSGFQSAITGMGALVVTPIVGNLSDKYGRKALMTLPVTVAILPLFILACNRSKVYFYVYYVVKVLAGIFCEGSMHCLLLAYVADQVGARRRAAAFGLLSGVSAAGFVSGTLTARFLQTSTTFQVAAAVAAATAIYLRAVVPDSGGANSFVDEACDPFLQGSSCSAATSSSSSSDEEISPRLPPHKGGVPSLSDMVSLLTGSLTLSGAAIVTFFYSLGEHGLQTALLYYLKAQFGYSKDEFANLLLIAGAAGMLSQLTVMPVLARFVGEDILLIIGLLGGCTHVFLYGIAWSYWVPYLSAVFIILSAFVHPSIRTNVSKSVGSNEQGIAQGCISGISSFASILAPLIFTPLTAWVLSETAPFKFKGFSIMCAGFCTLIAFIISMRMRAGQSGASEKLAIVQHEQA >ORGLA02G0234100.1 pep chromosome:AGI1.1:2:21884920:21885312:-1 gene:ORGLA02G0234100 transcript:ORGLA02G0234100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAATPVPRSDGRKLARCPRLQMDAKTVTAIEQSTGAAIADAAAAGAEGAGGGMRVKIVLSKQQLKQVTAAVAGGGAFALPPALEQLVSVLKRQHAKKQVAAAADVVVGRRRCRWSPALQSIPEECFS >ORGLA02G0234000.1 pep chromosome:AGI1.1:2:21878650:21879233:-1 gene:ORGLA02G0234000 transcript:ORGLA02G0234000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCFFPREAEAGGRHHHHQSKAAAEALEQLHHGGRVLSREDVGGAVRVKIVVSKRELKHMVAALGSGTGGGGAVAXXXXXXXXXXXXXXXXXXXXRLQSLRRRSMRRAAEAARRMQANGEWEPGLQSIPEEVY >ORGLA02G0233900.1 pep chromosome:AGI1.1:2:21871150:21871491:-1 gene:ORGLA02G0233900 transcript:ORGLA02G0233900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFMDLRAFILRARVLKLYRQALRMTRRAPVHARDELRQTVRAEIEKNRRCDDKQKIKFLISEGLQRLKGLDEMLDMTGNS >ORGLA02G0233800.1 pep chromosome:AGI1.1:2:21869324:21869794:1 gene:ORGLA02G0233800 transcript:ORGLA02G0233800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQELWALYVGVASLAIGMLGVLGVWLCYLFQAVARGPPPDPPPPPTPPCTPETEEDDKNGLSEEELRMLGGICVAVAGDGEEEEEQLCPICLDGMEAGRAVRVLPGCSRAFHQDCVDRWLTISPRCPVCNAWVTTQSPGTSPPPPPPTKPALNS >ORGLA02G0233700.1 pep chromosome:AGI1.1:2:21865438:21868475:-1 gene:ORGLA02G0233700 transcript:ORGLA02G0233700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGVKKTRDANKKRMDLILRLILAANVIYIVVRMAVMHSSFTWKHWIGLAVTSAAYFLPYKQLANMSEPEYSENGELINAGYDLNAGGMSEYLQDVIYITLFVQLMSIFSDKFWWTYLVIPAYGGYKISGLLRGAFFGGSSEGEEEDEKTRKKREKMEKKASRGKIIKTRTR >ORGLA02G0233600.1 pep chromosome:AGI1.1:2:21863240:21864856:1 gene:ORGLA02G0233600 transcript:ORGLA02G0233600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:permease, cytosine/purines, uracil, thiamine, allantoin family protein [Source:Projected from Arabidopsis thaliana (AT5G03555) TAIR;Acc:AT5G03555] MAMSMAMSRALAARHRSHLGHRIEVKSHHASPPRLPLLPRSPGLTLASRPQMLPARPRMSSSESDLSPTPPSERTMTAWDLASLWVGLVVGVPSYYLAGSLVDLGMSALQGVATVAFANLVVLISLVLTAAPSVTHGLPFPVLARAAFGVRGAHLPAVIRALVGCGWFGIESWIGGRAVFLLLPSRLKSYQPLLAPVPGLGAAPLEFACFLAFWAAQLGVIMHGMEGIRKLEKYSAPVLIVLTSALLAWAYVSAGGFGRILSLPPRLTRAEFWKVFFPSLTANISFWATVAINIPDFARYARSQADQVLGQAGLPVFMGMFTFAGLAVTSATEAIFGHVISDPIELLGRIGGPVTTVLAIVGISLATITTNIAANVVAPANALVSMSPRKFTFAKGALVTALLGIAFQPWRLLSSSESFVYTWLLGYSALMGPIGGIILADHYIVRRTALDVDALYSEDSHGPYYFQGGFNVAAMAAMAAGVAPIVPGFLHNVGVLPSVSKAFETAYNNAWFVSFFVAGAVYCLLCRRNRNELKHQHD >ORGLA02G0233500.1 pep chromosome:AGI1.1:2:21859192:21859785:1 gene:ORGLA02G0233500 transcript:ORGLA02G0233500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGSTTRRPRPTTSKCIAAALLAIVVLLVIIVILWLTVRPAKPLLVYVDHAAVTGFNFTSGGGLNGTFDITLRAYNQNKRAAVWYKSVEVGVWYGGTYLAGAVAPGFDLPPLNVKRIDVAAPAVRAALPRGVEATVKKDRSDGKLPVDVHIKGKVRFRYGLVRTRRYKLRASCSPVVVDFSSPSSFDRVNCHVHI >ORGLA02G0233400.1 pep chromosome:AGI1.1:2:21845836:21847434:-1 gene:ORGLA02G0233400 transcript:ORGLA02G0233400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGTWAVVVAVAAAYMAWFWRMSRGLSGPRVWPVVGSLPGLVQHAENMHEWIAANLRRAGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKSRFDNYPKGPFWHAVFRDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHHRLLPILEDAAAGQAHVDLQDLLLRLTFDNICGLAFGKDPETLAKGLPENAFASAFDRATEATLNRFIFPEYLWRCKKWLGLGMETTLASSVAHVDQYLAAVIKARKLELAGNGKCDTVAMHDDLLSRFMRKGSYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVERKVVHELCAVLAASRGAHDPALWLAAPFTFEELDSLVYLKAALSETLRLYPSVPEDSKHVVADDYLPDGTFVPAGSSVTYSIYSAGRMKTVWGEDCLEFRPERWLSADGSKFEPHDSYKFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRHRLAVAQGHRVEQKMSLTLFMKNGLRMEVRPRDLAPVADELRGADVRATAPCA >ORGLA02G0233300.1 pep chromosome:AGI1.1:2:21833205:21842790:-1 gene:ORGLA02G0233300 transcript:ORGLA02G0233300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWWGKSSSKEVKKTAKENLIDTFHRLLSPNEQKGRTKSRGNRRHSKDPTAEKGCWSTAQSRSASPSKEVSRCQSFAAARAHAQPLPLPRSRAMVARTASDITESKVVLEKRGKGQQLPLPTTNWVKERPETTEPVAELSTASVSSHGSIDSDDPGDLRLQGPVANDTDNVAKVATTGNSSVVHKECSSAITRKGTKEVTMPTNAFLSNQILSTSPRGTVVADSYQSNLQNSRKVVLDSAPNSVMSSPSRSPRILCPDQIPSSAFWAVKPHTDVTFVGSAQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRAGGMAPESPTRRLDEGKRKQTHRLPLPPLSICNNSTFLPNNSTPTSPISHSPGRVENPTSPGSRWKKGKLVGRGTFGHVYIGFNSDKGEMCAMKEVTLFSDDPKSKESAKQLCQEILLLNRLQHPNIVRYYGSEMVDDKLYIYLEYVSGGSIHKLLQEYGQFGEPAIRSYTKQILLGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHINGQQCAFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAVFKIGNSKELPPIPDHLSEEGRDFIRQCLQRDPSSRPTAVDLLQHSFIRNASPLEKSLSDPLLQLSTTSCKPDLKVVGHARNMSSLGLEGQSIYQRRAAKFSSVHSDIQMNTK >ORGLA02G0233200.1 pep chromosome:AGI1.1:2:21826591:21829479:1 gene:ORGLA02G0233200 transcript:ORGLA02G0233200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGAGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSSSKCATVGIQGIAWSFGGMIFALVYXXXXXXGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFQQGLYMGNGGGANVVASGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWNDHWIFWVGPFVGAALAAIYHQVIIRAIPFKSRS >ORGLA02G0233100.1 pep chromosome:AGI1.1:2:21820060:21822465:1 gene:ORGLA02G0233100 transcript:ORGLA02G0233100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSPCTKAATYTLANHARSQDTELPKAHANPNLFPNSKPMILHLPLFACYMWFGFAKLLDCFSSEILENDLFFGGKIEVVQSSGPKLTCMIVVIEIQQRNICKIILATIMPCLGVKDESFHA >ORGLA02G0233000.1 pep chromosome:AGI1.1:2:21817026:21817319:-1 gene:ORGLA02G0233000 transcript:ORGLA02G0233000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYCYACMHASGEGDVAEPGREAREVGLGGDGPSEREEVVLDLGPELVVPAAEEGEQGCAVVGEEPAMQLRRQQPAGSPPAACVHACPPALRLLSA >ORGLA02G0232900.1 pep chromosome:AGI1.1:2:21806681:21808907:1 gene:ORGLA02G0232900 transcript:ORGLA02G0232900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEDLNTEFSSYELEQATRGFDQELKIGEGGFGSVYKGTLRNTTVAIKLLHPHSMQGQSEFDQEIAVLSRVRHPNLVTLIGSCREAFGLVYEFLPKGSLEDRLACLNNTPPLTWQVRTRIIYEMCSALSFLHSNKPHPIVHGDLKPANILLDANFVSKLGDFGICRLLIQTNTGAAAAATTRLYRTTTPKGTFAYMDPEFLTTGELTPRSDVYSLGIIILRLLTGKPPQKIAEVVEDAIESGGLHSILDPSAGSWPFVQANQLAHLGLRCAEMSRRRRPDLATDVWKVVEPLMKAASLTAGRPSFVARPDDSPPPSYFVCPIFQQEEMNDPHIAADGFTYEAEAIKGWLDSGHDTSPMTNLTLEHRELIPNRALRSAILEWQQQRQRHQQQEEDDDCT >ORGLA02G0232800.1 pep chromosome:AGI1.1:2:21798702:21801077:1 gene:ORGLA02G0232800 transcript:ORGLA02G0232800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGAVLCIRVALVVVLLPSLLATVAVAHNDTGEHKNYLIIVRKPYEYDHNVYKTVSSWHASLLASVCDTAKEELATDPGAETRLIYSYRNVVNGFCARVTREEVYEMAKKDWFVKAIPEKTYKLMTTYTPKMVGLTGAPAAYHGGLWNRSNMGEGMIIGVLDDGIAAGHPSFDAAGMGPPPARWKGRCDFNSSVCNNKLIGARSFFESAKWKWRGVDDPVLPVYELAHGTHTSSTAGGNFVPGANVMGNGFGTAAGMAPRAHLALYQVCSEDRGCDRDDILAAMDDAVDEGVDVLSISLGDDEAGDFAGDPVALGAYTAIMRGVFVSSSAGNNGPNPLTVSNEAPWLLTVAASTTGRKFVATVKLGTGVEFDGEALYQPPNFPSTQWPLIADTRGDGTCSDEHLMKEHVAGKLVVCNQGGNLTGLRKGSYLHDAGAAGMVLIGPEFMGSMVQPKSHILPVAQIVYLSGEELKAYMKSTKSPTAALIYKGTVFGDRKTPEVAPFSSRGPSRQNQGILKPDITGPGVNIIAGVPVTSGLATPPNPLAAKFDIMSGTSMAAPHLSGIAALIKKAHPKWSPAAIKSAMMTTADTLDRRRRPITDQKGNNANMFGLGAGFINPTKAMNPGLVYDLTAQDYVPFLCGLGYSDHEVSSIIHPAPSVSCKQLPAVEQKDLNYPSITVFLDREPYVVSVSRAVTNVGPRGKAVYAAKVDMPATVSVTVTPDTLRFKKVNQVSKFTVTFRGANGGPMKGGVAEGQLRWVSPDHVVRSPIVVSAQKFLNGNTSSSDHAGH >ORGLA02G0232700.1 pep chromosome:AGI1.1:2:21795552:21795860:-1 gene:ORGLA02G0232700 transcript:ORGLA02G0232700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGAAAPTRASCSRRAAASCWGRFGLGLAALWRRLRWIGLPRRRLRTYVLSASGLNYDPLGYSQNFDNGGLGDGECEANFSVRFARHAGATRPHAPSVAS >ORGLA02G0232600.1 pep chromosome:AGI1.1:2:21787149:21788094:-1 gene:ORGLA02G0232600 transcript:ORGLA02G0232600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGERSERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQDLYISLKDKGKILEVLKNWPERSIQVIVITDGERILGLGDLGCQGMGIPGKLSLYTALGGVRAPCLHLHPSATIIAAITSVLAPSLTHRFPLRFALSPLRVASLHPHRIAPLIIPSRVTSFHIAASSGFVCSRPLADHP >ORGLA02G0232500.1 pep chromosome:AGI1.1:2:21777073:21780280:-1 gene:ORGLA02G0232500 transcript:ORGLA02G0232500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTTAADVAAAPPPPPGPTPPPEPVVTATVVAEQKVSPTAPPLDVPAPAPPPPKKRKVEEAGFHNSAYYKIRATVADLRVRFVQVYEATDFRNSDAAREILKEIKVVMELAKKMRHDLGATFEPAKPPEKPLAGVVKDGPVEPPPSAENNHAPQTEKMGETPSSEIAQGSCVTGGSPIGWNFLVWPGGEVVYYGRTKEVFRAGQAEN >ORGLA02G0232400.1 pep chromosome:AGI1.1:2:21770101:21772365:-1 gene:ORGLA02G0232400 transcript:ORGLA02G0232400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDDGTNLVDDEYDDLDDFIVDNDDDVVLGEEQQDEFEEEEEEQEEEEEEEEEPPVGQVEILTLREQLKADIRRKNQAQQGATAGRASCSSSVQPLAKDRFGSFFGPSRPSLARRVIEEGCSSIIKEKQNVPSNKSSVSSASKKQPIPSGQQQKPKFVKEEKRKVDALRQNRDYSCLFSDDADTPQATKEQPDNMPVLPMKSDVGDIASTSKLISQTDKVSKDSGLKGPSIQSRVGLVGKEPHPNTKRTIASSAKNGSSLPAMKKIQRVQPSSNGQKMQQTLQSKRPQAMLSQSHGQQSLQSRKPKPSLNGQNFRQKVSAPLAQKHLAPSSRPKPSSAVHNDHGKGKTRRLVKRKSKEDGCDEEEVDYKSIIRGMFNYNPAKFVGRDEDDRDMEANYASIQMEERRSARLARQEDDEELRRIMDEERREKQERKRKKLAQKAVVDASQD >ORGLA02G0232300.1 pep chromosome:AGI1.1:2:21746297:21763204:1 gene:ORGLA02G0232300 transcript:ORGLA02G0232300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tripeptidyl peptidase ii [Source:Projected from Arabidopsis thaliana (AT4G20850) TAIR;Acc:AT4G20850] MWHLRGRSSVTAAAAAALHKPVAHLRLLLAVSAWSVPAAASNVAAASTTTRGGPSPSAGVAPRAMPSSSSSPPSAAEGTTAAAGGFRLTEPSFLESLMPKKEIGVDRFLAAHPEYDGRGALIAIFDSGVDPAAAGLQTTSDGKPKILDVIDCTGSGDVDTSKVVKADDDGSIVGASGTHLIINPSWKNPSQEWHVGCKLVYELFTDTLTSRLKKERKKKWDEHNQEAISEALKQLNEFEKKHSKSDDAKQKMAREDLQNRLEYLRKQAEGYDDRGPVIDIVAWHDGDVWRVAVDTQGLEGNKNCGKLADFVPLTNYRLERKFGIFSKLDACSFVANIYDDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTLLPDYGRFIDLASEVVDKHRIIFISSAGNNGPALNTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVQAPAEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMSSPSACGGVALLVSAMKAEGIPLSPYTVRKAIENTAASISDVPEEKLTTGHGLLQVDRAFEYAQQAKELPLVSYRISINQVGKPTSKLRGIYLRGSNTCRQTSEWTVQLDPKFHEDASNMEQLVPFEECLQLHSTDSSVIKIPEYIMVTNNGRTFNIVVNPVNISSGLHYYEVYGIDCKAPWRGPIFRVPITVIKPIALSGEPPALTLSNLSFKSGHIERRFINVPIGASWVEVTMRTSAFDTPRRFFLDTVQICPLKRPIKWEAVVTFSSPSLKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIVFHGISVDQKIIGLDGSEAPVRVVARSLLASERLVPVATLNKVKTPYRPVESNLCSLPPSRDRLPSGKQIIALTLTYKFKLEDGAEIKPRVPLLNNRIYDNKFESQYYRISDSNKCVYSSGDVYPNYVKLSKGEYTLQLYIRHDNVQLLEKLKQLVLFIERKLEKKDFIQLSFYSEPDGPTVGNGTFKSSILVPGEPEAFYVGPPSREKLPKNVLPGSVLVGSITYGAVSSFSKKDDQNQHAPASYSISYLIPPSKVDNDKEKGVSSGRKSISERLDDEVRDTKIKFLSGFNQETEDDKSSWTALVASLKSEYPKYTPLLAKILECIVQKATSDDKFSHQKEIIAAADEVVDSIDKEDLAKSLSLKPDPEDEEAQKNKKKMEETRDQLADALYQKGLALAEIESLKTDESTEASAKDVFEENYKELIKWVDAKTTKYGSLTVLRERRCGRFGTALKVLNDMIQDDSEQPKKRLYDLKIQLIEEIGWVHVSAYEKQWMHVRFPPSLPPF >ORGLA02G0232200.1 pep chromosome:AGI1.1:2:21726154:21744055:1 gene:ORGLA02G0232200 transcript:ORGLA02G0232200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glycoprotein glucosyltransferases;transferases, transferring hexosyl groups;transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT1G71220) TAIR;Acc:AT1G71220] MEAARGARSRVPVAAVVAVVLVAGLAAGGSAAEIRRQKNVQVALRAKWAGTPLLLEASELLSKEWKDLFWDFIDHWKELDKASECLTAKCCVQKIVEDARSFLSEPLASIFEFSLTLRSASPRLVLYRQLAEESLSSIPVKDGTLEQTSGPSTGENFHEAVKGTCCWVDTGSALLLNSADLRKWLDGLGKLAVDSTQQPELFEFDHIYPQSNITAPIAIFYGAFGTKCFKELHVHLAEASKQGKVRYALRHVLPSGCQATSSFCGSVGAIDAVTLSGYGVELALKNMEYKAMDDTAIKKGVALEDPKTEDLSQEVRGFIFSKILERKPALNAEIMSFRDYLLSSTVSDTLEVWELKDLGHQTAQRILHASDPLQSMQEINQNFPSIVSSLSRMKLDDSIKDEIIANQRMVPPGKSLMALNGALINIEDLDLYLLMDMVHEELSLADQFVKLKIPGSAVHKILSAAPPTESNSFRVDFRSSHVHYLNNLEEDALYKRWRSNINELLMPVFPGQMRYIRKNLFHAVYVLDPASVCGAETIDMVLSLYQDSVPIRFGIILYSSRLISVIEENDGNLPVNNGSKTEEDISILIIRLFLYIKETYSTQLAYQFLSNIHKSQNSGDDYNEESVEAHHVEGAFVDSLLSSAKSHPQDVLLKLQKENMHKQEAEESSRFVHKLGLYKLQCCLLMNGLVHESSEDATMNAMNDELPRIQEQVYYGHIQSHTDVLEKFLSESSYKRYNPSITGKSTENKRFVSLVGPYHQGDSTLHDITYLHSHGTTDDAKPVTHLVAVDISSKIGIKLLHEAIRYLMAGSNRARVGLLIYVRNDNPSPILHMKDIFDRTISSFSYKEKVLDFLHELCKFYEGQHVPSSGVGDKISTMREKVYSIAAETGLPVDNYKAWFTSYSHDAVLRGMNKLSDFLFGKLGLEFGSNAVITNGRVFVVNEGDSFLTDDLGLLESMEYELRTKHIYEIIEEIEWTGVDPDDLTSKFYSDVAMLISSSMSIRERPSERAHFEILHAEHSAIKLNGMSSGVHIDAVIDPLSPAGQKLAPLLRILWRQIEPSMRIVLNPISSLADLPLKNYYRFVLPSMDDFSSTDYSVHGPKAFFANMPLSKTLTMNIDVPEPWLVEPVIAIHDLDNILLENLGDVITLQAVFELEALLLTGHCKEKDRDPPRGLQFILGTKRRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPSKLIAIDSLRGKLMHIEVQKRGGKEHEDLLNADDDNHFQEKMDNKGWNNNLLKWASSFISGDSSSKKKDEKISDLKAARQGETINIFSVASGHLYERFLKIMILSVLKQTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELVTYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRADMGELYDMNLKGRPLAYTPFCDNNKEMDGYRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTASGDTLRVFYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGAKRIVPEWVDLDSEARQFTARILGDNPESPGTTSPPSDTPKSDDKGAKHDEL >ORGLA02G0232100.1 pep chromosome:AGI1.1:2:21722435:21724790:1 gene:ORGLA02G0232100 transcript:ORGLA02G0232100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:I1P2W5] MPSRTAPFISRLRLLRTAAALSPFSSSAPPRHSPRILRASPVGAPHPPRVSAAVSPLVRPVAAGFALFSMATATSSAASVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGDNTAPIYKFLKSSKGGLFGDNIKWNFSKFLVDKEGRVVERYAPTTSPLSMEKDIKKLLGSS >ORGLA02G0232000.1 pep chromosome:AGI1.1:2:21717380:21719836:-1 gene:ORGLA02G0232000 transcript:ORGLA02G0232000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLPRSYARPAGMLPPNNEFGIREITPGLACSGPGGAYELVERMEYLYVRVVKARGLKWSGEFDPFAELRLGGYSCITRHVEKTASPEWDDVFAFSRERIHAPFLDVLVRGRGFAKDDYVGSTRLDLGILPDAPASVQPDSSPAPQWYPVFDKKGEFRGEVMMAVWFGTQKDSYFDSAVHADAAFPVDDKLAAHIKHIRYDVPRLCYVRVKVTEVRDIVFADKARVGEVFVRSRILGQVHRTRTSMDHRWKDEENGHLFVAAAPFKDYLNMSVVGVKNGKEEVIGHVNVLLDSFERRCDARPISPRWFSLMQPEGAAKIDKYSAKISVVLCLECGYKVLSEPVHYLSDVRPAAREQERERKCIGLVELGIREAILTATRTRDGRGSCDAYCVAKYGVKWYRTRTVTDSISPRFHQQYHWEVHDHCTVLTVAVFHNSQIGDKGGLVAGDPVKDVLLGKVRIRLSTLETGRTYAYAYPLMSLHGGGVKKMGELRLAVRFSSTSTLGLFQTYAQPHLPPMHYHRPLTVVQQEMLRREAVTIIAHRLGRMDPPLRRECVEYLCESHALRWSMRRSKAHFFRLAEALEPLSAASAWFYHVCRWTNPVTTVAVHVIFTMLVCYPSLVLPTFFLYKFMLGMRNYLRRPKHPWHVDMRVSHADTAHPDELDEEFDEFPTARPPEVVRMRYDKLRSLNARIQEIVGDIATHAERARCVMTWRDPRATGLYLLGCLCLAVITFSVPFQAVALLTGFYLMRHPILRQRLPDVVANFFRRLPCKVDCLL >ORGLA02G0231900.1 pep chromosome:AGI1.1:2:21715175:21717178:-1 gene:ORGLA02G0231900 transcript:ORGLA02G0231900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39350) TAIR;Acc:AT5G39350] MKPSPLVRQCLAVTIFHSKNTAPLAPAAAAQLHALLLTSGHLLRYHGLHPLFMVYCACGRPSSAHNLLAQMPQPPPVSFSNSLLRSYTGLGCHREALAVYSAMRAFDHLTFPFVAKACAGLRLGRHGRAVHCRALAAGFGGDTYVQNALISMYMSCGDVGAAEAVFGAMRNRTVVSWNAVIAGCVKNGYAERALEVFGEMAADGVGIDRATVVSVLPACAQAKDLNTGRAVHRLVEDKGLGDYVAVKNALIDMYGKCRSLEDARRVFDHCKHDKDVVSWTAMIGAYVLNDRAFEAISLGCQMLMSGAAWPNGVTMVYLLSACASMPSGKHAKCTHALCIRLGLKSDIAVETALIDAYARCGKMKLMRLTLERGSWRAETWNAALSGYTVSGREKKAIELFKRMIAESVRPDSATMASILPAYAESADLKEGKNIHCFLLTLGFLRSTEIATGLIDVYSKAGDLDAAWALFQWLPEKDVVAWTTIIAGYGIHGHARTAILLYDRMVESGGKPNTVTIATLLYACSHAGMIDEGIKVFKDMRNVHGLMPNGEHYSCLVDMLGRAGRIEEAHRLIQDMPFEPSTSLWGALLGACVLHKNVEFGEVAAKRLFQLDPENTGSYVLLGNIYAAADRWRDVQDVRRMMVERGLLKEPGSSLVEARSVQCRTAMP >ORGLA02G0231800.1 pep chromosome:AGI1.1:2:21712931:21714560:-1 gene:ORGLA02G0231800 transcript:ORGLA02G0231800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSASGMAVCDECKLKFLELKAKRSFRFIVFKINEKVQQVVVDRLGQPGESYDDFTACLPADECRYAVFDFDFVTDENCQKSKIFFISWAPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKSRAL >ORGLA02G0231700.1 pep chromosome:AGI1.1:2:21685954:21705138:-1 gene:ORGLA02G0231700 transcript:ORGLA02G0231700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDAPEMPDASPRLPWEPGGVEHFDAALLGDAAYIVDEEEVSDVEMSEGSPVAPSESSALPSPPSLRKRLAPVVPSDVPEEVVRAVDAVIMGGGLERLREMVSGEDGELSHFVVDVLMLTMGGVDGLDEGAGNGAVTLPSIMSSSRAAAIAAELLPYIPCGVEPSPRTRMALALLATLSSCTRNRTMCTSSGLLAILLDAAEKLFVGMGQRSKWDGAPLVQCIQMLGGHSVSVKDLHSWLLLIKKTLGTCWATSLTLALEKAVGCKEAKGPAVTFELGGEGSGLLAPAESRWPFSNGFGFATWIYVESFSDSLNTGMATAAIAAAAASTSGKSSPSAAAAAASTLAGEGTKHMPRLFCFLTMDNHGVEAYFHGQFLVVESGAGKGKKASLHFTYEFRPQCWYFVGLEYTSKQALLGKVESELRLYVDGELHESCPFELPRILKPLAFCCIGTNPPPTIAGLQQRRRQCPLFAEMGPIYIFTESIGPERMSRIASRGGDALPSFSNGAGLPWKATNAHIRHIAEDNYTLDIEIGGSLHLLYHPSLLNGRFCPDASPSGSTGTHRRPAEVLGMVHLSYRVRPAESLWALAYGGPMALLPLTVSNVEMDNMEPILGDLSSSLATASLSVPIFRIISLATQHPGNKEELCRAHGPELLSQVLHYLLETLSRLESGEKEILSDEELVAAIASLCQSQKNDHGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESACMHDANALQMLLDGCRRCYWVIHEADSIDTFTFTGTERPLEKVNSLVDELLVVIELLLGAVSSTLASDDVRSLVGFVVDCPQPNQVARVLLLIYRLIVHPNTSRANVFAQSFISRGGVEALLVLLQREAKSGDNNISDSCIVPQNSLWNAGSDPKSTSSDLDLKTTAGEANCNGHKTQPLEHHEPPCHEGSTEPGFSSKWCLLKNQFLKNLGGIDFLNIADNVQNDIYNIDNGDGVLVGIVHVLGALVASGHLKFNLPAAKSKLPSSFLTTSNGEGNSMFEDRVSLLLFAMQKAFQAAPRRLMTRNVYKALFSAVINVPSANGSLKLHDSGHRVKHTPLLSVLLRSLPFASRAFQAHAIQDLLYLASTNNENRIALTSIAEWPEWILEVLISNHEDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGNLLEFSAQELQVQQTEGINAAAAGVAEEGMMPKETKIQADKATHLSLALAENAIVLLMLVEDHLRSRSQHYFLSCSIDSAASPASGASSAATRSNSLSRTGSEPLDAGGSRQSLSSDAGGLPVDVLASMADANGQISSEVMERVTAAAAAEPYGSVRHAFVSYGTCISDLSEGWKYRSRLWYGVCIPPKSNIFGGGGSGWESWKSVLEKDSNGSWIELPLVKKSIAMLQALLLDSQLGGGLGIGGGSGAGMDAMSALNQLLDSDQPFFCILRLTLASIREDDNGEDDIFMRNISMKNVISEGLGCQTGSMMIHDDNSCSPSRKPQSALLWRVLGPILNMPVSESKRQRVLVASSIIYSEVWHAVSSDRKPLRKKYVGLIMPPFVAVLKRYRSVLAGIHELTSSDGQNPLVVDDCALAADTLPIEAAVSMISPGWAAAFASPPVAMALAMIAAGTSGTETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPIDATPNLPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSGQRRAAGDIERAQRWNTSEAMCAAWLECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEIFWKLDSTETSSRMRRFMKRNYKWSEHLSMANNYEENMLLCDGAESNYSHREDADSLFANALRTNSSIIVADAISVDGGHGNAKQTETETIYSSVDDSTSSDFSSVHNLVRSTVVAPCYSSSKNNERIIVELPSLMVRPFKVVRGTFQVTSKMINFIIDEHMSDSDSYMDDAASTSGQYDQQDKDRSWFISSLHKIYSRRYLLRRSALELFMVDRSNFFFDFEDTEACKHAYRAIVHTKPPYLNSIFLATQRPEKILKKSQLTERWARWELFMQYPVFPWVLADYHSKTLDLEDPSAYRDLSEPIGALNPARLKKFQEHYSSFNDPTIPKFHYSSHYSCPGTVLYYLVRIEPFTALSIQQQGGRFGQDDHMFSCINKTWISVLEDMNDVKELVPEMFYLPELFTNVNSVDLGSTQLTGKLGSVQLPPWAENPVDFICKHRKALESDYVSAHLHEWIDLIFGHKQRGKDAVMANNVFPYATYEGMVDIDQITNPVQRRSMQDQICNFGQTPSQLLTIPHTKRRPLADILKLQTIFRNPTEVRSYVLPNPENCNVPASAMLVSDDSIVVVGANVPAAHLALHHWQPNTSNGPGTPFLFHHGRNAINLSGGAIMRIFKGSAGSVDDYQFPRAIAFAASAIQNSSVVVVTCEREVITGGHADNSVKLISPDGARTIETAFGHLAPVTCVALSPDSNYLVTGSRDTTVILWRIRQIGSLRKKSTPEPPPSTPTTPNDSASGDSSTSNSSKVLETSRRRRIEGPMHVLRGHLGEVTCCSVSSALGLVASSSSTSGVLLHNLRTGRLIRKLDKQEAHLMCLSSEGIILIWNESEKRLSTFTVNGIPIGTSVLTPFSGRVSCIEISVDGKFALIGTCLSNSCNRDGFSAIDDYELDKPNGDDDLPELNETKPSVHVPSVCFIDLYKLEIIHMLKLGEGQNVTAIALQKDNTNLLVSTADKQLIVFTYPALSSKIGDRMLQEGNGVLES >ORGLA02G0231600.1 pep chromosome:AGI1.1:2:21671424:21673571:1 gene:ORGLA02G0231600 transcript:ORGLA02G0231600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALFGAERSGVVDLGGIGGGNRGLFWPAGKGGLVVVEPRSVLDCTRSPSPRNSTSTLSSSQGGGGADSTGVAAVSESSAAAAEATKWGAPGEHGGGGGGGGGGGKEDWSSGCELPPIPGTLDVGLVGGEGWDTMLGNAAAAAAGQDQSFLNWIIGAAGDLEQPGPPLLDNAGFGIPAVDPLGFSLDHSLSGVASDLSSSGAHTATGGAGGGKASLGFGLFSPEATSLEQPPPPMLFHEGIDTKPPLLGAQPPGLLNHYHHQPPNPAATFFMPHPSFPEHNHQSPLLQPPPKRHHSMPDDIYLARNQLPPAAAAAQGLPFSPLHASVPLQLQPSPPPTRGAMKTTAAEAAQQQLLDELAAAAKATEAGNSVGAREILARLNQQLPPLGKPFLRSASYLREALLLALADSHHGVSSVTTPLDVALKLAAYKSFSDLSPVLQFANFTATQALLDEIGGTTTSCIHVIDFDLGVGGQWASFLQELAHRRAAGGVTLPLLKLTAFVSTASHHPLELHLTQDNLSQFAADLGIPFEFNAVSLDAFNPGELISSTGDEVVAVSLPVGCSARAPPLPAILRLVKQLSPKIVVAIDHGADRADLSFSQHFLNCFQSCVFLLDSLDAAGIDADSACKIERFLIQPRVHDMVLGRHKVHKAIAWRSVFAAAGFKPVPPSNLAEAQADCLLKRVQVRGFHVEKRGAALTLYWQRGELVSISSWRC >ORGLA02G0231500.1 pep chromosome:AGI1.1:2:21661344:21663473:1 gene:ORGLA02G0231500 transcript:ORGLA02G0231500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALFGAERSGVVDHGGGDKEELFWPAGKGGLVVVEPRSVLDCTRSPSPPYSTSTLSSSLGGGSADSTGVAAVSESSTAAAGATKWGAPGEHGGGGKEEWGGGCELPPIPGALDVGLVEGEGWDATATLGNAAGPDQSFLNWIIGAGGDLEQPGPPLPVLQQPLIDNAGFGIPAVDTMGFSLDHPLSGVASDLSSSGAHTATGGGGKASLGFGLFSPEATSLEQPPPSMLFHEGIDTKPPLLGAQPQFLLNHYQPQPPNPAAALFMPLPPFPEHNHQSPHLQPPLKRHHAIPDDLYLARNQQQSSAVAPGLAYSPPLHGPAPFQLHPSPPPIRGAMKSTAAEAAQQQLLDELAAAAKATEAGNSVGAREILARLNQQLPQLGKPFLRSASYLKEALLLALADSHHGSSGVTSPLDVALKLAAYKSFSDLSPVLQFTNFTATQALLDEIGGMATSCIHVIDFDLGVGGQWASFLQELAHRRGAGGMALPLLKLTAFISTASHHPLELHLTQDNLSQFAAELRIPFEFNAVSLDAFNPVELISSSGDEVVAVSLPVGCSARAPPLPAILRLVKQLCPKVVVAIDHGGDRADLPFSQHFLNCFQSCVFLLDSLDAAGIDADSACKIERFLIQPRVEDAVIGRHKAQKAIAWRSVFAATGFKPVQPSNLAEAQADCLLKRVQVRGFHVEKRGAALTLYWQRGELVSISSWRC >ORGLA02G0231400.1 pep chromosome:AGI1.1:2:21650874:21651125:1 gene:ORGLA02G0231400 transcript:ORGLA02G0231400.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRLGVILAWDVFFCSHRIRSELRCTQSPRYSSPGLAALSAPSVAIRIPRRRLLVHFLSRIVRLGADECGGVRASDDLEQEFWR >ORGLA02G0231300.1 pep chromosome:AGI1.1:2:21649502:21649992:-1 gene:ORGLA02G0231300 transcript:ORGLA02G0231300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQSSPQTLNGKLKLNTSTTILLFIVFLFTLCIISCEARHDHLRISDKYSSKKSSLVPKDVAGDDVVGSKQPIDQSVGKEVTLNAKMELAASSGGVRNTGPAVRVSQQLLRHRKHEDDQGIHLDYAQPKTHTPCHN >ORGLA02G0231200.1 pep chromosome:AGI1.1:2:21644746:21647381:1 gene:ORGLA02G0231200 transcript:ORGLA02G0231200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YbaK/aminoacyl-tRNA synthetase-associated domain [Source:Projected from Arabidopsis thaliana (AT4G16510) TAIR;Acc:AT4G16510] MDAAAADLEARQLRILRRVADLELAAQQHRLEALSISAAPSGGEAETGATEARLSSILTASGVLDFTFRRVPADYYDRALEERRDLLRADSVNQLCKSIVMVNTQAAADVVDCSNPKNSKYYVVVVQYMARLNADSIKNFIYSLNEKQIPKKRFNMRLAPEEESLKLTGFVHNAVTCIGMETDIPVIIDEAITKLDKDFFWLGGGEVDLKLGVRTSQFLNAFKPFVVKCS >ORGLA02G0231100.1 pep chromosome:AGI1.1:2:21639641:21640027:1 gene:ORGLA02G0231100 transcript:ORGLA02G0231100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAFLLVALNLVLFFTVASACGKYCPTPSTPSTTPSTPSYSTKCPKNALKFAACADVLGLVSAEVGQPPYEPCCGVLGGLADLEAAVCLCTAIKANVLGITLDIPVKLSLLVNYCGKNVPSGFICA >ORGLA02G0231000.1 pep chromosome:AGI1.1:2:21624579:21627409:1 gene:ORGLA02G0231000 transcript:ORGLA02G0231000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLLRIYPSELKIPFELKRQNSGILELTNKTDHHVAFKVKTTNPRKYSVRPTTGIVLPRGSCGITISMQPPKEIPTDYHCKDKFLIQSVVVEDGTTQKDIHSDMFSKEAGKVVEEFKLRVVYIPANPPSPVPEEEEDEIDSLDSDVDHEVQMPSTFDAASRKGYTSGSQASHDEDLLKKKRSSSDGGFTALFVPFVFAFFVFIGYLMAGSNV >ORGLA02G0230900.1 pep chromosome:AGI1.1:2:21621922:21622539:1 gene:ORGLA02G0230900 transcript:ORGLA02G0230900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase (PAP2) family protein [Source:Projected from Arabidopsis thaliana (AT4G22550) TAIR;Acc:AT4G22550] MAPASTSPPAKAQVTTAPAKSPLVGGLGDLDAAVSLRLHALFLPVPRLLLKALEVAGDGRIWLPVPISLLLISTTTSSKVSPLLVGLVAGLVLDILFVGAAKLIVRRPRPAYNAADMYVAVAADHWSFPSGHSSRAFLVAAFLAAGGFPREALFLWAASTSASRVLLGRHYVLDVVAGACLGVFEAWLSSLLLRAMCARISFLVC >ORGLA02G0230800.1 pep chromosome:AGI1.1:2:21614594:21615478:-1 gene:ORGLA02G0230800 transcript:ORGLA02G0230800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSWVRSFHCKSTAIGDVAAAITALPKKPHLHLPRSSCASSGDAHNHKNVSSSSSSSSKAKPAASSKVSPAKPRKPKAKAASVPPSSPPPVPLGPVPALTELPAGHSSRQVVEIIFLSSWSPLPATQAALPAAVASTAAAGASTAEVEMLFRVHNPARAVARFEDYRAAVRARAGGASRSAADGNEMMRFSPAPPDDGCSSAAGEDALRIRTFDGSGGAHANGRGPASGRRAMFLCRVIAGRVADGPATSGAGADAEPVPSKEYDSVRAGKGELMVFDRRAVLPCFLIIYKL >ORGLA02G0230700.1 pep chromosome:AGI1.1:2:21610294:21610662:1 gene:ORGLA02G0230700 transcript:ORGLA02G0230700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKCTEFNRSQFLSTHIRGLSVNCEKVNMSMFLSSGLLHSINFSRPYVFSEAYIAYRYVLKTFLCLHSQSHLHGCRQRVGIWMRSNHVYCAISVRQVSLIMQRICTTAVCMWMAALRRDMS >ORGLA02G0230600.1 pep chromosome:AGI1.1:2:21606156:21607192:-1 gene:ORGLA02G0230600 transcript:ORGLA02G0230600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPAVRGAPQWLRGLLSEEFFDSCGAHPGERKNDKNHFCVDCAAALCRHCLPHDASHGVLQIWKYASCFVVRVDDLKLFDCNGIQTYCTDHESYSYMVSGMQSHTLSDHEVVFLNERTARKRSASVENPCAACARPLPSGHDYCSLFCKVKHLGESDQGLRRALRVNRRSAAAAGGGGGEDPAVAEASQSGKRRASSSESGRSCGGTLRKRSRKQPAPARSPSC >ORGLA02G0230500.1 pep chromosome:AGI1.1:2:21603822:21604520:-1 gene:ORGLA02G0230500 transcript:ORGLA02G0230500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPPPPPPGRGAPGARRPMREFFAAWLSTLRSPLLPLLRRALSSSSSSSSGGWDDPLSSSAAAVEAHFQAHWSALDAAARQDPAQAVSAGDWRSPLELPFLWVGDLHPSLVTSLLRSLSPSPRLLAATDRVDRRIRAAVPSISDRLRRVQEAFISAEVSGAADVEAFLEELKDVALDANRLRRGVLSELVAAAGGYQAALFLEALSRFVLSMHDPEVLRRFDQCRASPGS >ORGLA02G0230400.1 pep chromosome:AGI1.1:2:21597729:21597878:-1 gene:ORGLA02G0230400 transcript:ORGLA02G0230400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDGDGLDALSTHSASNAQRRWALGTRLPAGQYSTLALLVVVQVVIKR >ORGLA02G0230300.1 pep chromosome:AGI1.1:2:21591891:21593995:-1 gene:ORGLA02G0230300 transcript:ORGLA02G0230300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:I1P2U7] MDLSNSSPVITDPVAISQQLLGGLPSNLMQFSVMPGGYSSSGMNVGVSRLKIEEVLVNGLLDAMKSSSPRRRLNVAFGEDNSSEEEDPAYSAWMAKCPSALASFKQIVASAQGKKIAVFLDYDGTLSPIVDDPDKAVMSPVMRAAVRNVAKYFPTAIVSGRSRNKVFEFVKLKELYYAGSHGMDIMASSANHEHSAEKSKQANLFQPAHDFLPMIDEVTKSLLQVVSGIEGATVENNKFCVSVHYRNVAEKDWKLVARLVNEVLEAFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLNDSENVIPIYIGDDRTDEDAFKVLRQRNCGYGILVSQVPKETEAFYSLRDPSEVMEFLNFLVRWKKHSV >ORGLA02G0230200.1 pep chromosome:AGI1.1:2:21586829:21589972:-1 gene:ORGLA02G0230200 transcript:ORGLA02G0230200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAASSSSSGSGDAKPVKGLGLGLPDPRAPRRRAAKQPTAAPPPLPPRGAYASEALEKLTRETREAVRGLETATGAMAGLDDDAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILHEPMKDIVEKYPKWLEENKSKISKEEYERYNNQLELMMKLNEVYEKEPENMAKIFEIMQNMQECGQPPSDLVQDIAPDLDLSKLGQLSPEMLESAPNCCVM >ORGLA02G0230100.1 pep chromosome:AGI1.1:2:21583727:21584251:1 gene:ORGLA02G0230100 transcript:ORGLA02G0230100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAHCSSLHVVLAGLPPSVSLAPAAACHDSSLPSAVVVARVGPLSSVVPASCCCGLRLPSASRYATARTSHRPQRPLNLPPSHRRHSRGRLLLQSNPTTDTRSSGGDKYDDGGSAASRYTVTQPSQRVVPPPHAEGGLQLGFWLRRRHPGSRVDFGDSEAGRGGEGGGCRE >ORGLA02G0230000.1 pep chromosome:AGI1.1:2:21579974:21580978:-1 gene:ORGLA02G0230000 transcript:ORGLA02G0230000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSVSLAPNTSCHPQHAGSAAGSSRRSHGHLGVLLHVGHSDGRRAGALYAATNLRSLEAIPATGPTLRSLEEAIAAPNLLSHEAVISANNTYQDAKPRARKFFELEMTVQDCDLDQYGVVNNTVYPSYIERAREELISGLGMSRTSIACTGNAMALTELNIKYFTPLKV >ORGLA02G0229900.1 pep chromosome:AGI1.1:2:21571860:21574309:-1 gene:ORGLA02G0229900 transcript:ORGLA02G0229900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLSPAPITIPTTTPRKFFEMEMSVRDCELDQYGVVNNVVYGSYVERAREELAEFLGVSASTAACTGNAMAVSEQNFKYFTPLKRGDNFVVKVTIQIKGVRIYADQFIETLPDRKLVLEAKATIVCLDGEYRPTRVFPELSSKVLDFFSHREASD >ORGLA02G0229800.1 pep chromosome:AGI1.1:2:21564360:21568690:-1 gene:ORGLA02G0229800 transcript:ORGLA02G0229800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSVGIVVPNACSHPRNDAVPRAGSSRSRRSSLHLAGRHSHRCHARALCAAPDLRSREAIVSAKDNPNQDAKLRARKFFELEMNVSDWDIDPYGVVNNAVYANYVERAREELAAILGASASTVACTGKALAISEQNLNYLAPLKRGAKFVVKVTLHIKGVRIYAEQFIETLPDRKLVLESTATIVCLNGEYRPTRVFPELSSKLLDFFSPQESCSD >ORGLA02G0229700.1 pep chromosome:AGI1.1:2:21561242:21563570:1 gene:ORGLA02G0229700 transcript:ORGLA02G0229700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTNARRRRLVERGADRLAFITGQAQTLPSDPLPDSPLNSVDAATPQISERNASEGGISGDKFSNITRLHKSQPSDVVPESQLSAKARQEIHDGDLLREFKTSSTVPEIQPVNETPMQRHGEETLGKRINHDRTATVTRKEMETRPKSVPPNQSNQAENAAWSVETLKEHLNFTPHEITQAISATEYNRFLASVAVAFLAVLSNWGLDIGGTITRVLVGTRPLLFLIITNVTIVFTLLMENKDPNVRGRSAGSNLGSADSLGQMLEIGLLLQKSLGALLMDCSICAVILICFL >ORGLA02G0229600.1 pep chromosome:AGI1.1:2:21552051:21553082:1 gene:ORGLA02G0229600 transcript:ORGLA02G0229600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNACKLCYRRFASPRALAGHMRSHSVAAANAAAAAAAAAAAKLQISSASSASTSFTAADEEEEEEEEEEEDVGFKKPLSIYALRENPKRSLRVSEYAFSDRESEAESTPTPAAKGLRAGGGGGGGGDGEPMSSLSYAGTPEEEVALALMMLSRDTWPSVERGGGGGEYSDDGSDDGYALPPPSPAPAPAPVPEKRTRFQCPACKKVFRSYQALGGHRASHVRGGRGGCCAPPVAPPPQPHPQPPLLEHDAGEEDMDGKAPPHECPYCYRVFASGQALGGHKKSHVCSAAAAAAHAQTPGGGAPPPQPKILGMIDLNFAPPVDEVELSAVSDPHFPSNPPGP >ORGLA02G0229500.1 pep chromosome:AGI1.1:2:21532594:21534453:1 gene:ORGLA02G0229500 transcript:ORGLA02G0229500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVAGSHRPPPPPQSLRLVPPPPPQPPPPPLTYRHHCKVCKKGFMCGRALGGHMRAHGIGDDNDTMDDDDGRDDDHSLSPCDGGGEPSEAAGSPTTTTTKRMYALRTNPGRPRNCRTCENCGKEFTSWKTLLDHGRCGLDEEDGRLDVSLRSPPLHDGGDENDGEDEEEGDDLTLAAGGWSKGKRSRRAKVMAVGTGSVSELQLPAPSTEEEDLANFLVMLSSSSSSSLRVAQPAIVVDDADQESCASGSKDEERNRFLVPQPISMAAPMMAQMTVIAPQVVPQHISTVPRGMFECKACKKVFTSHQALGGHRASHKKVKGCFAAKLESSRNETSQTQTQQQHVSAAPHDNTRATTSHVITSDISMDANTIGASADADGKAAASGVGAGEIVLAGASSTDMAMMMSVEDFAPTPLAPSAVSPFKKKGKVHECSICHRVFTSGQALGGHKRCHWLTSGATDPLTKLQPVAQDHAMMAAMCHQLTLGRPIFDPTDQRILDLNVPTNPLAEAVAARQQQQQQVAALNDGALCLNAAASVYLQSWTGHSNGSHVNKTTATSSRINDAAGGVTTEDDEADSTSAKRAKIGDLKDMKVAGESLPWLQVGIGISSESKEKNTQE >ORGLA02G0229400.1 pep chromosome:AGI1.1:2:21527276:21529882:-1 gene:ORGLA02G0229400 transcript:ORGLA02G0229400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec20 family protein [Source:Projected from Arabidopsis thaliana (AT3G24315) TAIR;Acc:AT3G24315] MDKVTQAVENLKEEWNQAVAQLEGCIAAIESCGKMGKGTEEASSLPRLNGSAQDALQLLNSLQCRLDPLAEQLPTFEEVQSGQATLQSWKEQYQKLRMRLRNANLQANANIKKAAQEERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRSRQLMVQEVERSANTLATFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILTIGFLIFSLAVLYVVSRRIGLLTLQRKLADAIRSGSISAEDVVAKVKNVPVPAPAAPAPAPPIYDEL >ORGLA02G0229300.1 pep chromosome:AGI1.1:2:21515915:21517161:1 gene:ORGLA02G0229300 transcript:ORGLA02G0229300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCTLVLLLVALVGLSLLVSPIACSRKLSKPKPKPKPSMKKPVVRAHNNYTGSPSVTVTTGWAAAGATYYGAPNGDGSDGGACGYQTAVGQRPFSSMIAAGSPSLYKGGKGCGACYEVKCTTNAACSGQPATVVITDECPGGICLAGAAHFDMSGTSMGAMAKPGMADKLRAAGILQVQYRRVPCKYSGVNIAFRVDQGANPFYFEVLIEFEDGDGDLNAVDLMEAGCGWTPMVQNWGALWRYNSNTGKALKAPFSLRLTSDSGKVLVANNVIPASWKPGVTYRSLVNYS >ORGLA02G0229200.1 pep chromosome:AGI1.1:2:21505617:21507002:1 gene:ORGLA02G0229200 transcript:ORGLA02G0229200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAKLLPSIVAFVALACCVLRSSVASVDHHRKLSGWSIGGATWYGPANGSGTDGGACGYQGDVGQPPFNSMIAAGSPSIYESGKGCGSCYQVKCSGNPSCSGKPVTVVLTDLCPGGACLEEPVHFDLSGTAFGAMAKPGQDDQLRNAGKLPVQYARVPCKWQGVDIAFRVDAGSNQYYLAVLVEDEDGDGDLSAVDLMQSGGSGGGGSWAAMQQSWGAVWKYNSGPAPLQAPMSIRLTSGSGRTLVASNVIPAGWQPGGTYRSIVNFRRED >ORGLA02G0229100.1 pep chromosome:AGI1.1:2:21499316:21502750:-1 gene:ORGLA02G0229100 transcript:ORGLA02G0229100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPDVGVLGGRGLHRDGLKGWPDLRSLSGKKMTTPPPPPVEKQQQQARPAAVAPPDQTLEFSDGLLLRVLACLPEPHLTGAASLVCRRWMRLAGRLRRRLVVRDWAFVTHRLHHRFPELADLDLFPASIVAPAVPSPTSPLLTCAEVSLTLDTGADPPLGACRFLADDVLDRGLVAVAASFPNLRRLSATAASESGGLMDIAGGCATLQELELHRCTDLALRPVSAFAHLQILRLVAASSALYGTSEDGGVTDIGLTILAHGCKRLVKLELVGCEGSYDGIAAVGRCCAMLEELTIANHKMDNGWLAALAFCGNLKTLRLQGCCRIDDDPGPAEHLGACLTLESLQLQQCQLRDRRALHALLLVCEGARELLVQNCWGLEDDMFAMAGLCRRVKFLSLEGCSQLTTRGLESVITSWSDLQSLKVVSCDKIKDEEISPALSELFSTFKELKWRPDNKSRLAASLAGTGMGKKGRVLCKRILPGHQRVKGTMLNYSTGVAA >ORGLA02G0229000.1 pep chromosome:AGI1.1:2:21496324:21498685:-1 gene:ORGLA02G0229000 transcript:ORGLA02G0229000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVPIPIPCVAEPTAASRVSPGSSPARSDASEGAAFYAADTEAEPEASVGRSTQMLLAMAAMGGRGGPYGRRPASSYGSCAAWSAGSLTDHRPASPSPICSPVSSNGGEGCRDGDDASSFVTPRLEEDQERLPNRGDFINPSTTPRHIRLQTPRQPSLLDRRFERTNPVPPRFIHKATPARLMRRARSSHNYRRRLGAMDAINEWRLPKVSEEEDEAVDQTDWQADTLSSHISSARDWNFEAGGAYEGSDHNGGAFNHSDGENSPVAVQRMGRWPQGSAVKHKENFVHAKLVAWKNAEIEKLIDKLRRKEADIDEWQMNQVTQAKEKMKRIEIKLEKKRARAAEKMQKAIKDAQKKADKKKIKEHAATDNQIASVERAMVKMSRTGKLPWSLAFL >ORGLA02G0228900.1 pep chromosome:AGI1.1:2:21491336:21491715:1 gene:ORGLA02G0228900 transcript:ORGLA02G0228900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCFRAAARMASSACRSAASRSIPSAARRGAPRISRLPVELGCSAGLSLLPLHSAVAAARLTSRLSTASRSCCALSQGTLCRTYPGL >ORGLA02G0228800.1 pep chromosome:AGI1.1:2:21487674:21488172:-1 gene:ORGLA02G0228800 transcript:ORGLA02G0228800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASGGTVCSMCGDVGFPDKLFRCARCRRRFQHSYCTNYYGDGAPVEAGAGVCDWCLSDAAVVAGKKGPSSEGNEEPFSREYRGRSKQAASTGGGEQEGGGGGGRRVSKAGAVRRYKLLKDVLC >ORGLA02G0228700.1 pep chromosome:AGI1.1:2:21480880:21481824:-1 gene:ORGLA02G0228700 transcript:ORGLA02G0228700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSLGDSFSATSVKAYVAEFIATLLFVFAGVGSAIAYGQLTNGGALDPAGLVAIAIAHALALFVGVSVAANISGGHLNPAVTFGLAVGGHITILTGLFYWIAQLLGASIACLLLKFVTHGKAIPTHGVAGISELEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIGSYQPVADQDYA >ORGLA02G0228600.1 pep chromosome:AGI1.1:2:21472862:21474368:-1 gene:ORGLA02G0228600 transcript:ORGLA02G0228600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHMLGRMRRRDHLLLMDAAPPPAAVAAAVQTLMEPMEFLSRSWSVSASDISRVLTGGVGARRSTNFVVDRLSGMLMPETLALAAASGTNLSPRKRYSRCRSAISAHQHTIGRWFHHRDGSSSSSSSRVDKARAERARVHAAVTVASVAAAVAAVASGAANDPDDLDDAKMDAALASATQLLASHCIEIAELAGADHDQVASAVEAAVDVRSPGDLMTLTASAATALRGATALRLRAQREARSKAAAVAPYEKTGSCRGDIWCKEGTLLKRSRKGALHRKRVAVYINKKSQVIVKLKSKHIGGAFSKKKKSVVYGVDDDVQAWPAPHACGGGAVPPAPETASSEKCQFGLRTAQGVVEFQCESRAQKQDWVESVKNLLRQAAGGTAQLEHSFESLRLSAS >ORGLA02G0228500.1 pep chromosome:AGI1.1:2:21462061:21462792:-1 gene:ORGLA02G0228500 transcript:ORGLA02G0228500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADRPLFLNLLFRTGYFHLSGSGIEIFLIMPKVGAFCSSWRRGEYLIRESNGTWGLK >ORGLA02G0228400.1 pep chromosome:AGI1.1:2:21458873:21459915:-1 gene:ORGLA02G0228400 transcript:ORGLA02G0228400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIYCKKDPNVHKMVMSLSCDRAVWDAVMNNEAVQEFRRSFQDDKEAAGRKGNPGGPAGVLKWILGNTQAKIMEFMNNIMKIVNMLFHSDDDEAKPDLYTDAVKVSFMLSVFIFIVVAIARINFEPWDFKVW >ORGLA02G0228300.1 pep chromosome:AGI1.1:2:21457169:21458209:1 gene:ORGLA02G0228300 transcript:ORGLA02G0228300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTKPHPSSRLLLPTTTALPNPSPNPSRVTPLASGRGRRGHHRVCASSVAPAQPASVAQPTAPALSRVDVLSEALPFIQRFRGKTVVVKYGGAAMKSPELQSSVIRDLVLLSCVGLRPVLVHGGGPEINSWLGRVGVEPQFRNGLRVTDALTMEVVEMVLVGKVNKQLVSLISVAGATAVGLCGKDARLLTARPSPDAAALGFVGEVTRVNPSVLRPIIESGHIPVIATVAADETGQAYNINADTAAGEIAAALGAEKLLLLTDVSGILADRNDPGSLVKEIDIAGVRQMVADGKVGGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >ORGLA02G0228200.1 pep chromosome:AGI1.1:2:21455025:21456710:-1 gene:ORGLA02G0228200 transcript:ORGLA02G0228200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTDDPDPERQRSSSVAPAPPRRLRWQPPIGPCLPRAPTKRRNQKLLE >ORGLA02G0228100.1 pep chromosome:AGI1.1:2:21453177:21453527:-1 gene:ORGLA02G0228100 transcript:ORGLA02G0228100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPNLHSALTEPIVEGWLIDRACYQDVINAFLVLVAKNTAIRVWQPSTAQTVSGLASVNCDQPQEEAASRRSERLPNXGGKEGDTTKKQGLIGGF >ORGLA02G0228000.1 pep chromosome:AGI1.1:2:21422016:21422897:1 gene:ORGLA02G0228000 transcript:ORGLA02G0228000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAIHAPTTTTSSDSGSCVTNGTREKREISKHKQLKRKRSTSPAPPPGCGGGQGQEAAVETDADAGAAGRGGGAGEEESSSCGGAGAGEGERNRGDAGRHPSYRGVRRRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRAAHLNFPSLAHTLPRPASTSPSDIQAAAALAAAAAATDQCESSSSAVAAATATDAEAAESTSSAAASPCAATSSVEENALFDLPDLLLDLSDGLWCSPVWTTAPADQYDAGDDGDDAAAPLLWAEQCWMDAPAAPVQPD >ORGLA02G0227900.1 pep chromosome:AGI1.1:2:21417057:21420070:1 gene:ORGLA02G0227900 transcript:ORGLA02G0227900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRMPKKSNNTKYYEVLGVSKTATQDELKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLNDPEKREIYDQYGEDALKEGMGGGSSSDFHSPFDLFEQIFQNRGGFGVGRGHRQKRGEDVVHTMKVSLEDLYNGTTKKLSLSRNALCTKCKGKGSKSGAAATCHGCHGAGMRTITRQIGLGMIQQMNTVCPECRGSGEMISDKDKCPSCKGNKVVQEKKVLEVHVEKGMQHGQKIVFQGEADEAPDTVTGDIVFVLQLKDHPKFKRKFDDLFIEHTISLTEALCGFQFVLTHLDGRQLLIKSNPGEVIKPGQHKAINDEGMPQHGRPFMKGRLFVEFNVEFPEPGALTPGQCRSLEKILPPRPRNQLSDMELDQCEETTMHDVNIEEEMRRRQQHRRQEAYDEDDDEDAGAGPRVQCAQQ >ORGLA02G0227800.1 pep chromosome:AGI1.1:2:21411593:21411802:-1 gene:ORGLA02G0227800 transcript:ORGLA02G0227800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAISVTNYQASAIGRDHHAHKVNISSNMEFSGKDTNNKDYIMKLGSGCSTGTGDTQSTSFIRWVSVWP >ORGLA02G0227700.1 pep chromosome:AGI1.1:2:21407971:21411063:1 gene:ORGLA02G0227700 transcript:ORGLA02G0227700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:anaphase-promoting complex subunit 8 [Source:Projected from Arabidopsis thaliana (AT3G48150) TAIR;Acc:AT3G48150] MASSKETYRVELRAAARQLGERGLYSAAKWAAELLVGIEPDATPAPSSVMDTPSSSGSAASGGRLLHLHRSGGSSFRRRLRPGAAEAGTPLGGVSYVSTPIPDDDAFDVGVDRYLLAKTYFDCREYRRAAHVLRGQTGRKAVFLRCYALYTAGEKRKEEETVELEGSLGKSNAVNQELVALERELATHRRTGAIDSFCLYLYGIVLRDKGSEALARTVLVESVNSYPWNWSAWLELQSLCTSSDILNNLNIKNHWMKDFFLASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDVYSNLLYAKESSTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEFVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYAVYYFRKSSYLQPNDARLWNAMAQCYESDQLQMIEEAIKCYERSANXXXXXXXWNGANRLLLIYWLC >ORGLA02G0227600.1 pep chromosome:AGI1.1:2:21400974:21406610:-1 gene:ORGLA02G0227600 transcript:ORGLA02G0227600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G51290) TAIR;Acc:AT5G51290] MEGGGEALFLDGVGEVTVAVGDDGFSFQPLHQDGVRKFVASGLRVLESKKRKEVSSSCWSSIIMQPKLESKLKFSDVYAVELLEVGPVCEPWNARATVQGKINTEMNRFVIHTVTRPRKRPSPWVPCEYIFGHKDQQTCKTWVEHIKTCINKEQDRPKSLMVFVHPLCGKGRGCKNWETVAPLFERAKVKTKVIVTQRAGHAYDTLASLSDKDLKKFDGVIAVGGDGLFNGILNGLLSTRHTNSYPPTPEGFGYFRNNMKCQEHRNNDLSNSELTGDDANAISGSSNTPDDHEPLLSTTRSTGLDISSSDSSDEPCNGDQVPLVSFPNNWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRISLDIAQVVRWKSSPSAEVSPTVRYAASFAGYGFYGEVIRESEKYRWMGPARYDFSGTMVFLKHRSYEAKVAFLENGNTHSLTASAENNANGVQTLQYHQNRHRKTICRTNCLICKGTSTSEQNSEDENPDSSRTACETPKWVWSKGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPFYLWHLTQFTKKGSDPLSFKFVEHHKTQAFTFISSHDESVWNLDGELLQACEVSVQAFRGLVNLFASGPEKMENTAPRLRNAEAWVASILPPERAGM >ORGLA02G0227500.1 pep chromosome:AGI1.1:2:21398093:21399025:-1 gene:ORGLA02G0227500 transcript:ORGLA02G0227500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVVEAAASSSPSTQGGGGGGGIHVVAKNAMNSSKQFSSALVPVAVLLAVAVGAVSLLPSLAQAVWEVPHLFLLGLVVSYGVFAQKISTGGSGGGGGGDDGARAWNSRYLSDDPLVVVADNAASDDDDGGSASGRPLSLPVRRLKPPPPAPTMPGIESEAGDASDDGIGAETDSSASTAGFWGAAPSPPSVLDAVCRSRKPAATATAAAPSAMSKGFPSYISPAPRRDQSFSDDGEVTDWDDDDDDDEKADVQDEMAASPQRSYVDHDDYNGDGDELSELAAEVEDEVDRKADEFIAKFREQIRLQRL >ORGLA02G0227400.1 pep chromosome:AGI1.1:2:21396700:21397053:1 gene:ORGLA02G0227400 transcript:ORGLA02G0227400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKATVTLRGCDSTGIALKVKCAMGRDRDHAPDEAIVSDVIIIPDVTDRYILVMSSRFFRRSDQTELRVKMKVCVLPLRSGCILHGCRDGCVGPNQA >ORGLA02G0227300.1 pep chromosome:AGI1.1:2:21395499:21395981:-1 gene:ORGLA02G0227300 transcript:ORGLA02G0227300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTPSLFLLLFPSRLPFTPCPHGVIVVVLPVPPSQHRRHHPLRSPAQHAAFPVLPPLELQVKKGQAAAPLSPPRTSSPLVAGFTPLVELITVAVSVVVAITIAILYRCTDQPLSFSPLSPLPSSSHEHMVLPSLSPREELEDGHGRRRRGERGGRRMRK >ORGLA02G0227200.1 pep chromosome:AGI1.1:2:21391578:21394124:-1 gene:ORGLA02G0227200 transcript:ORGLA02G0227200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTAATAPPGDEPEAKGRSNSILLPILGILLVYLLYRYLRPRLRGLRLDRLTSRLPACLRRSRTANTMLPYFAPIADRLGALQPYLAPIADRLGVGAQGGVGAGAYGRADALVKFPGGEALSVAAILEAPGEVVAKSSHSTLYRAAMRSGEAAVLLRFVRPACALTSDEASAAARRIGAVSHPNLVPLRAFYVGPRGEKLLVHPFYAAGSLRRFLQEGIVDSQRWNIICKLSISIVKGLDYLHTGLEKPIIHGNIKTNNILLDANYECKISDFGLYLLLNPAGAQEMLETSAAQGYKAPELIKMRDATRETDIYSLGVVLLEMLAQKESAKDNTPNPRDILLPVSFKNLVLERKISDAFSSDLVRQSKKSGKEKNLNAFFELATACCSPSPSLRPNTKFILKKLEEIAK >ORGLA02G0227100.1 pep chromosome:AGI1.1:2:21384001:21387418:1 gene:ORGLA02G0227100 transcript:ORGLA02G0227100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cationic amino acid transporter 9 [Source:Projected from Arabidopsis thaliana (AT1G05940) TAIR;Acc:AT1G05940] MTQEPTSLLPVWARAPTRRPKTTRRHPLHLHEAAMEEEGADHRPPSSSSGGRGFLSGLCAAALRRKPISAHAAHAASSEGLVRQLGVFELVLLGIGASIGAGIFVVTGTVARDAGPGVTISFVLAGAACVLNALCYAELASRFPAVVGGAYLYTYAAFNELTAFLVFTQLMLDYHIGAASIARSLASYFVQFLELIPFLKGHIPTWIGHGEEFFGGVVSVNILAPILLIILTTILCYGVKESSAVNTFMTTLKIVIVIVVVFAGVFEVDVSNWSPFMPNGFKSVVTGATVVFFAYVGFDAVANSAEEAKKPQRDLPIGILGSLLACVLLYVAVCLVITGMVPYTLLGEDAPLAEAFAAKGLKFVTVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFAKVHPTRHTPLHSQIWVGCVAAVLAGLFNVHELSHILSVGTLTGYSVVSACVITLRWNDKTTSRRSLGNMSIWQEGVLCLVIIALCGFVAGMCYRFSYAIAFMIIALLIAVAAGFALQFRQVYVDPPGFSCPGVPIVPMVSVFFNMLLFAQLHEEAWYRFVILSLLAVGVYAGYGQYHAVPSSSDHPHPAVAYHGIPSEAP >ORGLA02G0227000.1 pep chromosome:AGI1.1:2:21373747:21377798:-1 gene:ORGLA02G0227000 transcript:ORGLA02G0227000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51180) TAIR;Acc:AT5G51180] MGDLGGAWHEAAAAEEEEAQAPGGGVGPEPDHLVVMVHGIVGSAADWKFGAEQFEKLLSDKVIVHRSNRNMYKLTLDGVDVMGERLAQEIVEETNKRPQIRKISFVAHSVGGLVARYAIGRLYRPPKQTSQSSQNLNNTNKGTIHGLEAVNFITVASPHLGSRGNKQVPFLFGFTAIETFASYIIHLIFGKTGKHLFLTDNDDGKPPLLLRMVDDWGGVQFMSALKVFKRRVAYSNVGHDHIVGWRTSSIRRNSELPKWTDSGSKIYPHIVYEELSKAETMNQYTDVADVDSCMLEERLLRGLKLVSWEKVDVSFHNSKVRSAAHSVIQVKDPVMHSEGADVINHMIDHFVL >ORGLA02G0226900.1 pep chromosome:AGI1.1:2:21368020:21369572:1 gene:ORGLA02G0226900 transcript:ORGLA02G0226900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGNKGTATPVLLNVYDLTPANDYLYWLGFGVFHSGIEVHGMEYGFGAHDFPSSGVFEVESKSCPGFIYRKTVWLGTTDMSHGEYRSFIEKLSGKYHGNSYHLVSKNCNHFTDDVCKNLTGKPIPSWVNRLARVGSFFDCLLPESVQVSPVGRVPTLRPVAEEDKHLLPAVSDNNEEDKHLLPAPSNDLHSVDVPLKLAKDVL >ORGLA02G0226800.1 pep chromosome:AGI1.1:2:21364790:21365569:-1 gene:ORGLA02G0226800 transcript:ORGLA02G0226800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAPALSLAEAAPVTAVLAPCPTPSRTFRRRSWVAAICRPALKCHHSRPLTAVAAAAAAAAAAGDSTSAGVFHGECFVVGDNIDTDQIIPAEHLTLVPSKPDEYRKLGSFAFVGLPTAAYPTPFVAPGEETTRYAVIIGGANFGCGSSREHAPVALGAAGARAVVAEGYARIFFRNSVATGEVYPLELADTGAWKECKTGDVVTVELDNCVMINHTSGKQYKLKPIGDAGPVIEAGGIFAYARKTGMIASKSA >ORGLA02G0226700.1 pep chromosome:AGI1.1:2:21352939:21353973:1 gene:ORGLA02G0226700 transcript:ORGLA02G0226700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGDIDDLISLQLLHDQLLGVEADACLPVAVHHDGVAAYTEHQQGFHPAAFLPQQPMTMTPAGYVDMANDQYLGAHAAAGEAEAVYRAAAAEPVMIRFGGEVSPVSDPRRPPLTISLPPTSHAWAAAEAVHPAALLQAQAAAAAADPNDFRKYRGVRQRPWGKFAAEIRDPKKRGSRVWLGTYDTAIEAARAYDRAAFRMRGAKAILNFPNEVGSRGADFLAPPPPPPPPTTSTHGKRKRHETAAADPDVEVIGESSKSVKTETYTSPASSSLASTTTSTVTSSSTSPSPSSEAAACGGGGGELFVPPMPSSWSWDQLEGFFGILSPLSPHPQMGFPEVAVN >ORGLA02G0226600.1 pep chromosome:AGI1.1:2:21338584:21340539:1 gene:ORGLA02G0226600 transcript:ORGLA02G0226600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKAFSSRSPAPPRTNSGERGLAAGSGGGGGGMDRWTGVLNVPLSRGGPPFRVAASLVLTPAKTLAVPCANAILFTGDRVRGSGDPAIERLSDAAYLARLLAGKLTGEANAWVVDAACFAGSFAVYRDLVPSVDAVGDPERYDPTGFPAAAGVTIILGHCIREIQSMVTKATMKGSSGNQSPTTSLLKYCPPKTVILGFSKGGVVVNQLVTELACLASESAKISDDVSGSSPPLLTRNLLVPISATEFLSSVSEFHYVDVGLNHAGAYITDHAVIKEIANYLMHTSKNLCFVLHGTPRQWSDPNRSWIQMEKEVMLRLLQDEAQRCEGRLVLSQKRYFDGKPRSLLMHFEILEVMDIG >ORGLA02G0226500.1 pep chromosome:AGI1.1:2:21331557:21332468:-1 gene:ORGLA02G0226500 transcript:ORGLA02G0226500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPASREVAAQDSIRHHLLEEEEETPATAPAPTRRPVYCRSSSFGSLVADQWSESLPFRPNDAEDMVVYGALRDAFSSGWLPDGSFAAVKPESQDSYDGSSIGSFLASSSSEAGTPGEVTSTEATVTPGIREGEGEAVAVASRGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFDSAEEAAVAYDRAAYRMRGSRALLNFPLRIGSEIAAAAAAAAAGNKRPYPDPASSGSSSPSSSSSSSSSSSSGSPKRRKRGEAAAASMAMALVPPPPPPAQAPVQLALPAQPWFAAGPIQQLVS >ORGLA02G0226400.1 pep chromosome:AGI1.1:2:21321837:21323906:-1 gene:ORGLA02G0226400 transcript:ORGLA02G0226400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVASRGQEDAARVAAGREHMKRCRERRRLMREAVRLRRHLAASHAAYLRSLTHAASALSRFAVGEPLPVSDHAPPAVIVHRPVVAPSTPPPLLRSIEQQQQLRRRAQELEEELVGAAVDDHLVGGGAGVPSVTREEGGGDEELRMVVRHRSLAEVAAGLEEYFLKASVAGDAVSSHLEASNDEFKRKPLATLLNSHIHIYCTCGHCLTGQYKGGPHSILGALCCLSAPSVAHDRVDSINGEQRHSSTLQQLLAWEKKLYREVKARERLQVQHDKKKAELSDQEYSRKIDVDVLKLKAAWEKARAQLATASQAVAATSASIAELRDTHLARQLLGLCHATLDMWRAMRQHHEAQGLIAQQLRGLSSRTSMDPTTEIHHETTRALEVAMSSWCAALGHLAKHQRDYVHALHGWLKLTLQEAPAVVDGAEAVASPVAAELAAFVERWGQALDRVHCADVLKSIKSFAGAVRSLYGLQSDELRVARRVSQYSRELDRKSRMLRQVEKSYYDSYVPPMGLSLWHRGMRHWGDDGMHARDASNEVAQRRDEIAACRRTVEDEMRKHAKAIDATRSAAVTCVQGKLPAVFQSMAVFSASLAHALEAVCRHGTHVQ >ORGLA02G0226300.1 pep chromosome:AGI1.1:2:21317005:21321257:1 gene:ORGLA02G0226300 transcript:ORGLA02G0226300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:I1P2Q7] MGKRWIPLEANPDVMNQFMWGLGVAEGEAQFCDVYGLDDELLAMVPQPVLAVLFLYPLTSLDDDEEESGAAATSTAGDKDLSKRVYFTKQTVGNACGTVGVIHAIGNAASKLKLVEGSYFDRFYKQTVDMDPVQRAAFLEEDDEMEDAHSVAASAGDTDANVEVNEHFVCFSCVDGELYELDGRKSQPICHGPSSPDTLLQDAAKVIKARIASNPDSMNFNVMALSKVV >ORGLA02G0226200.1 pep chromosome:AGI1.1:2:21308315:21313702:-1 gene:ORGLA02G0226200 transcript:ORGLA02G0226200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLTEHDHQPEQEPPHSENHLKQAEPNSFQFAEKETGYAGLQNFTGPKVDVGQTSGEQQHVKQMVGQQAPPGAQDARKRGYQPSIPFNMLIPILQAHLDRDKDMQLQTVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFAQMQAQAQRSGQANANQQANANQYSLQSQVSSSGSAQLHDQQVHVSTTPNQGQKNQALSSSQTFVQSGTQVQSSMTAPDNSIQRPDAKGMHVTPNRPPVMNSAISAQTMNKQQQPTQVQQASQQIYGTTNRPDQPYTRPIGGSTPLSSLSSESEIRPSSHPAKMEILPSHPMTQQNAAAQQMQQNKDVKTNASNPRSNAKQDSGTGKGRAVGTGGSSTKSQGKQGPPNVSTPPAAKSNKKTAGQKKSLETSGSTPPPPSKKQKTSGTFQEQSFDQLNDVTAVSGVNLREEEEQLLSAPKEESWASEEARKIAQEEDGKLFLQKGPLLKKLAAIVPKCNLKSIGGDVEHCLSMCVEERLRRFISTLIRVSKQRIDTEKSGHRLVITSDVGRQILRMNQKAKEEWDKKQAEETDKNKKQNEVDGGGTVELDKEKEETRSKNAKPNKEEDDKMRTTAANVAARQAVGGSDMLSKWQLMAEQARQKREGLDLAASSQRGTASRSHMAGKGPTDHHEASKRTHSAAFGTGGMNRQGRGPFAASHPKGPQRTISMKDVICVLEREPQMTKSRLIYRLYERLPGDSTRD >ORGLA02G0226100.1 pep chromosome:AGI1.1:2:21305198:21307784:1 gene:ORGLA02G0226100 transcript:ORGLA02G0226100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVADKIVEPKGPLVATAQLPNNVELLKSVVLNPSREGGSSQEQYEKDLLAEGEESFRSEESSDEGGRSSFSGVSHPPEPIDVDLMSTVYVAIDEEKPEPPECLMRGLSVKGASMEDLSVHAMDAKPDVIVCTVNVNGLVEERKVHCAVVAPDAVEAVSSTQASEEKDCVWDASFPPSGNVSPHSSSDSTGAVAATSIRGSSTSTCRSGVLTSESILTVEKTCESAKDSARGNSMESTKTSMSRASDSSGVSDDSNWSNITGSANKPHKGNDPRWRAILAVRGRGNVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPRKYFSEYAARFYAAEVLLSLEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRASASDSDPRRAGGSFCVQPACMEPSSVCIQPACFMPKLFGQRSKKQGRRPRSELGQGGGAALPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYAGRDLIRGLLVKEPQQRLGVKRGAAEIKQHPFFEGVNWALIRCSTPPEVPRHVEAELPAKYGVAEPVASGGGGGKRVVGAEVRSGGKYLDFEFF >ORGLA02G0226000.1 pep chromosome:AGI1.1:2:21302406:21302646:1 gene:ORGLA02G0226000 transcript:ORGLA02G0226000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRTPHEDQKLLGLSAAATFPDSNGYRSWHGQGAAARNTRHVLHVKNLSGTRESERVIPMV >ORGLA02G0225900.1 pep chromosome:AGI1.1:2:21299043:21300908:1 gene:ORGLA02G0225900 transcript:ORGLA02G0225900.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGXNAGYWLAMVSKYKCTHQLIKERRLMSPCEVREFVNSLRSTFLSFEAFSIPTIAIVEGAAFGGGLELALSCDLRICGENATFSLPETGLAIIPGAGGTQRLPRIVGKSRAKELIFTGRRFDAVEAVTMGVVNYCVPAGEAYKRALELAQEINQKGPLAIRMAKKAINQGMEVDLSAGLAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >ORGLA02G0225800.1 pep chromosome:AGI1.1:2:21293796:21297376:1 gene:ORGLA02G0225800 transcript:ORGLA02G0225800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLAASGRCAPATRTHHGLFARAIQILAPPDPVSLHKLSAPDCGIVELRLERPEVKNAINWEAMRLLRGVVEKVEADDTVKVVLVTSSVPGVFCAGADLKEQKLMSSSGVREYANSLRSTFSSFEALSIPTIAVIEGAALGGGLELALSCDLRICGENATLGLPETGLAIIPGAGGTQCLPRIVGRSRAKEMIFTGRRCNATEAVMMGLANYCVPAGEAHEKALELAREIAQKGPLGIRMAKKAIDQGMQAADMPSALAVEGECYEQLLHTEDRLEGLAAFAERRKPVYSGK >ORGLA02G0225700.1 pep chromosome:AGI1.1:2:21291562:21293196:1 gene:ORGLA02G0225700 transcript:ORGLA02G0225700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAAAAVTSPPAAAQVHGVVPRHASPTPQQRAAPRREQSPLNPSSQSIRSASSGTELAGSAATEASRAHIANLDRVLGKPPQVPRPASHAAASKQERQQQDGELEPLNVRHGLLNALNLSFFVPMPGMRARTAADEHMSPRSLMHMQQLLSADSPRASPRSTIAPRWRSLHGEDGWAGLLDPLDSDLRRELLRYGDFVQAAYQAFHSLPTASARHRGLMLPDRSYRPTRSLFATSALSMPPWAKRPNTPEWLTQQSNWIGYVAVCESEREVARMGRRDIAIVLRGTATCLEWAENLRASLVPLDGESGEGGGQEEPKVARGFRSLYKTAGEKVKSLSEEVMGEVRRLMEKYKGEELSITVVGHSLGGALALLVADEIATTVPDAPPVAVVSFGGPKVGNAAFVDKLQKSGRVNVLRIVNAGDMVTKVPGVAPRLPLTKEQYQHVGAELRIDSKNSPCLRPDAGPACRHDLEAYLHLIDGFTGTGRPFRHDARRSVIRLLQMQRGNVKKEYVNRARELGVDPSAPVDVGRSMAYGNCAVASPSS >ORGLA02G0225600.1 pep chromosome:AGI1.1:2:21277442:21281730:1 gene:ORGLA02G0225600 transcript:ORGLA02G0225600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKTSCCSS >ORGLA02G0225500.1 pep chromosome:AGI1.1:2:21275338:21275835:-1 gene:ORGLA02G0225500 transcript:ORGLA02G0225500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQALSSAPPPRGRAAAGGELGALTGDGCIFERCRCHRHGAWSSSTMIAPPPRGAAVSKLGILSDNGAFCSAPTHLSSQSHRCCQRRVRVPARSRLSSAMAYSSGPEDLARFVSLLGERERGNGEEKKTGKRAYDASKAFSQEWRIDKFDRDSDSFDDSSDLKT >ORGLA02G0225400.1 pep chromosome:AGI1.1:2:21265235:21269066:-1 gene:ORGLA02G0225400 transcript:ORGLA02G0225400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPAAAAAAAHVVHIEAVQTAVPTRVVEPXXXXXXXXXXXXXXXXXXXXXVRAVLYYRGAGGAAPGAWEDGVWVKESLSEALADHPEMAGRLRRRADGSWEVKLNDTGVRLLQATVDATLDEFLAGKGALARREAALAPWTDVNADDPDMCPPFFMQLTRFQGDGGYAVGVSCVLVLADPLTLARFLLSWARTHARIRAQGKATPLPMAQYLAYFQRPETTRKRIRSVPIDSFAGDGDGDAETVLFRASTAAAAAADGGHGDHRALAAACVDKASEALGKKDKVSRLSVVVVDAAAGDDSLAGKTTIETCTASPGGGAAAGGASLEAVQWSELGLEELVLSDSKPVHVSCSIVTGGGGDEGLVVVMPDDGAGSLLVMATLPK >ORGLA02G0225300.1 pep chromosome:AGI1.1:2:21263798:21264404:1 gene:ORGLA02G0225300 transcript:ORGLA02G0225300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLSLSLSLSLSLSLSLSLSPFGRRHHPLSLSQIWRAGSDGSGVVKAEAAAGLGSGGVKADPAVVVLTDHFKDDDGNLRSWLGSAAAVLAMRQWVMLGTFFFSAGSTISLAKL >ORGLA02G0225200.1 pep chromosome:AGI1.1:2:21258725:21260356:-1 gene:ORGLA02G0225200 transcript:ORGLA02G0225200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHGLAAAGLVVLLLAAVAPAFAVDYTVGDTSGWSSGVDYDTWAKSKTFSVGDSLVFQYNMMHTVAEVSSADYSACSASNSIQSYSDQNTKIALTKPGTRYFICGTSGHCSGGMKLAVTVSAAAATTPTPAASSSPPSTATPATPSSDPGMDTPSSTPDATTTPTTTTKSTGSTGGASGSEARSVMGLLVGAVGLAMMG >ORGLA02G0225100.1 pep chromosome:AGI1.1:2:21251807:21252451:1 gene:ORGLA02G0225100 transcript:ORGLA02G0225100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLVLALLVASAAAQQPPTQSPPAPNAPPSNTPPPTPPSPTTPPPAPPAPTTPPPAPTTPPPAPTTPPPAPTTPPPSPPATPPPAPTTPPRRRRRSLLXXXXXXXXXXXXXXXXXXPSPPLAPPPATPPPPATPPPALAPAPTPSTAPTVAPTTAPTVSPISPKTPAPTAATPSPSLSPTATPTTDNSGATTTARAAGVAALVALAGAILL >ORGLA02G0225000.1 pep chromosome:AGI1.1:2:21243947:21244258:-1 gene:ORGLA02G0225000 transcript:ORGLA02G0225000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFRPSGVARETTMVGLHQTTQEERSPQQQRGGSDARVFEVDATTGCAGLDATAAAAGSMRWRRPWWARCDGCRCDLDAMVCPGLDATCGRGGLNETSAAAD >ORGLA02G0224900.1 pep chromosome:AGI1.1:2:21238394:21240642:1 gene:ORGLA02G0224900 transcript:ORGLA02G0224900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17550) TAIR;Acc:AT4G17550] MAKPPPAPHRAHRAPPGLCALPAISYNSHRGLVLGLTFVAYALYHASRKPPSIVKRALSEAWPPFHDPALLGETDVAFLAFYSLGMFVAGHLGDRLDLRLFLAVGMIGSGTAVALFGVGYFLSLHSLAFYLAAQVIAGLLQSTGWPSVVAIVGNWFRGRRRGLIMGIWNAHTSIGNISGSLIAAAVLRYGWGWSFIVPGLLIAFGGVLVFFFLAPYPEDLGFSPTTLPKAVSEASTDEEDSSSSASAAGGAGKEERRDAVGMWKAFAIPGVITFALCLFFAKLVAYTFLYWLPFYLSQTAIGGKEMSVTSAGYLSALFDVGGIIGGILAGFISDHLNARATTAAIFMYLAIPSLYAFHAYGSTSEVANIGLMMIGGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTSIIDGTGSLGAALGPFITGFISKRGWDSVFTMLIFCALAAGVLLSRIVKSEIVHIIQNRRNHTLDMHNRTADSGAQPLLEGDT >ORGLA02G0224800.1 pep chromosome:AGI1.1:2:21232742:21235349:-1 gene:ORGLA02G0224800 transcript:ORGLA02G0224800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASATAAISGALLPHAVACHRLPPRPQLLAVSSSFRRLSLTASPLRASHLVPRADASAEAGEPEPAAEAEAEAVAASTDAEVEEGEAEAAVAVAEEEQEEEDEPPPPSKPPVKFGEIIGILNKQFIEEAEKVKTLPDLRPGDIIELRMQRPNKRRLSLFKGIIIAKHKSGVHTTIRVRRIIAGVGVEITFPIYSPRIKEIKVIRHKKVRRAKLYYLKHKLPRFSTFK >ORGLA02G0224700.1 pep chromosome:AGI1.1:2:21224330:21230804:-1 gene:ORGLA02G0224700 transcript:ORGLA02G0224700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGTLISIYPEDLTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNANIVQPWDSCTITITLQAQKEYPQDMQCKDKFLIQSTRVAASTDMDEIPPNTFNKEVDKVIEEIKLKVVYTVPSGSSDDSGITSLGSRSFKSLSDDFTMLKNASIEEIQTIQRLKDERDNMLQQNQQMQRELDVIRRRRSRKSDTGFSLTFAAFVGLIGLLVGLLMSLIFSSPQPAA >ORGLA02G0224600.1 pep chromosome:AGI1.1:2:21212431:21215762:-1 gene:ORGLA02G0224600 transcript:ORGLA02G0224600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANAGSFVAVRRLAGSERAAGAAAFHHSSSAEVVTGSTAWIGKGLSCVCAQRRDSDARLSFDLTPVQEECLQRLQNRLEVQYDSSNSDHQEALKDLWRASFPGAELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLVYFSKNFPKSFQELLRKQNGDRAIWEYPFAVAGVNITFMLIQMLDLQSVKPRSFIGAVFLKLLSENDQAFDILYCITFKLMDQQWLDMHATYMDFNTVMKSTRRQLERELLLEDIQRIEDMPSYKLLAR >ORGLA02G0224500.1 pep chromosome:AGI1.1:2:21205107:21205531:1 gene:ORGLA02G0224500 transcript:ORGLA02G0224500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTGASKTSSWTTGDLLFSPVTRAADLAAWRLGAADLAAWSSGCVDRAVHLKDLA >ORGLA02G0224400.1 pep chromosome:AGI1.1:2:21198103:21198837:-1 gene:ORGLA02G0224400 transcript:ORGLA02G0224400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTCMEGGGQLGTCLPNFYLLPDHHGMPLPPPLQLPCHPKLLQMPFDQEDQPGIHGVMLSSDHCGLYPLPALPLSNSAAAAATVALGKHSAAAGSMPNIGGAEEVATSVTKAGNESTTCNGSTTWWRGSTMVAAGEKGKMKIRRKMREPRFCFQTRSEVDVLDDGYKWRKYGQKVVKNSLHPRYICST >ORGLA02G0224300.1 pep chromosome:AGI1.1:2:21195406:21195660:-1 gene:ORGLA02G0224300 transcript:ORGLA02G0224300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELLQVHAQQLPREEAGGAAVDGLPHGDHHLRGPPHALPLRRQLLRRAHQLLQLLLKILALCIFVVDPSINIYTVVYLYVHHLID >ORGLA02G0224200.1 pep chromosome:AGI1.1:2:21190563:21192499:-1 gene:ORGLA02G0224200 transcript:ORGLA02G0224200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAELVGESAHQDMPRVVAALAGILERVAGRNDAAATPAELAAAPASPFRATAKPGISVRAYAARIARFAGCSPACYVVAYIYLDRLLRRGRRCLALALAVDSYSVHRLLITAVLSAVKFMDDICYNNAYFAKVGGISLAEMNYLEVDFLFGVGFDLNVTPETFADYCAVLQSEMLCAAALPPRLHYCCLSEDDAGSSSSSSLREAAMEAS >ORGLA02G0224100.1 pep chromosome:AGI1.1:2:21185828:21186250:1 gene:ORGLA02G0224100 transcript:ORGLA02G0224100.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVQHKYTEPRNRARVSERELAMERSGERRRERVVAPALAVLLLVFASAFVRCRGDADGEGDGAGAAEPGKTGPPLPPGWKGGSGSGQGSSPDGAWRYGWGWAASPGGKGSGFGFGYGGSRGEGGGGGGGGGGGSGRAYGFG >ORGLA02G0224000.1 pep chromosome:AGI1.1:2:21181826:21182119:1 gene:ORGLA02G0224000 transcript:ORGLA02G0224000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTLCTESNPVAIVLQHLKCSTMLSQVDFFSGMLNYITGLFESTPSLRARQGRRRGTRSTYPSYRKIVTIGCIGGEKSNHLSQLMETREGVEITD >ORGLA02G0223900.1 pep chromosome:AGI1.1:2:21164211:21167110:-1 gene:ORGLA02G0223900 transcript:ORGLA02G0223900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a close homolog of the Cauliflower OR (Orange) protein. The function of OR is to induce the differentiation of proplastids or other noncolored plastids into chromoplasts for carotenoid accumulation. Both proteins contain a Cysteine-rich zi /.../ger domain that is highly specific to DnaJ-like molecular chaperons. [Source:Projected from Arabidopsis thaliana (AT5G61670) TAIR;Acc:AT5G61670] MLCSARMLACSGLGGPGGRLRLSPRPGAYADRLRPPLPARRWRVASSAAASGGSPDLPSSSSSSSPPPTPAAASFGSGDEQATGSPGFCIIEGPETVQDFEKLDLQEIQDNIRSRRNKIFLHMEEIRRLRIQQRIKNVELGISVDVPEGELPDFPSFIPFLPPLSAANLKIYYATCFTLIAGIMVFGGFLAPILELKLGVGGTSYADFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGTLVLTEPVSTFSDGDQPLSTPRTERCPNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >ORGLA02G0223800.1 pep chromosome:AGI1.1:2:21162127:21162450:1 gene:ORGLA02G0223800 transcript:ORGLA02G0223800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEKAMGSPAAKELEKTPPPPATDGPSGDIMQRQYKKDADATHGTLVGDDADEARRLFLTDVVERLDAVCAEELEHADPSEQRLGRLDSARGCQWRHRPPTPPPQ >ORGLA02G0223700.1 pep chromosome:AGI1.1:2:21159102:21161285:-1 gene:ORGLA02G0223700 transcript:ORGLA02G0223700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 snRNA phosphodiesterase [Source:UniProtKB/TrEMBL;Acc:I1P2N1] MDALVANYASDSDSDGDAPAATAGEAAPVPPEPSALLPPPPLDLLQPPNFVDCSTMAQGSRVRSFPHVEGNYALHVYIPVVIPSDAKKHLALVMRRAASFVPDLYAIDADYALSELCKDEQKLEKVLLSREFHVSLGRTVAIQVHQIESLVAMLRQKFRSQQRYWMDFNKWEHFVNDDCTRSFLSLEVTSTGLPEISKQITMVDDVYRLHGLPEFYKNPQPHISLAWALGDVSCKLKQAIKEIEKSQSSLGTSQKSNLRYKFSHVVCKIGKKVYDICKLAD >ORGLA02G0223600.1 pep chromosome:AGI1.1:2:21155444:21158217:1 gene:ORGLA02G0223600 transcript:ORGLA02G0223600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1P2N0] MNALAATSRNFRQAARLLGLDSKLQKSLLIPLREIKVECTIPKDDGTLATFVGFRVQHDNSRGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAVPYGGAKGGIGCTPGELSRSELERLTRVFTQKIHDLIGINTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLTEYSESISGSTFVIQGLGNVGSWAAKLIHQKGGKIVAVGDVTGAIRNKSGIDIPALLKHRSEGGSLEDFYGAEVMDAAELLVHECDVLVPCALGGVLNRENAAEVKARFIIEGANHPTDTEADEILAKKGVIVLPDIYANSGGVVVSYFEWVQNIQGFMWDEEKVNRELQKYMKNAFQNIKDMCKSQNCNLRMGAFTLGVNRVAKATLLRGWEA >ORGLA02G0223500.1 pep chromosome:AGI1.1:2:21147869:21153462:1 gene:ORGLA02G0223500 transcript:ORGLA02G0223500.1 gene_biotype:protein_coding transcript_biotype:protein_coding APPEGEGEGGSRTRSRCTSRRPPPERVLIILDSSEDDLDLQEVRRSLMITGRGRARAAERVGEEAPRGSGRRAAPVVASRRRRRRSRSRSQSPRAARPRAESSRRPTARRARACARSPSLEIIDVDSGSDRGVVRVKEEPRSGSDSDYNGARGRARARARAPAAATAAKKKKRKRGKEAPSRAQESREVVRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSDGNVAGGRAVVPAADAKQGKRGKKTPSRGKGRRVVVRETSTPAAPSNGAPSVGRGKGRGPGRGRQRSKGAVRGRATPVNRVSTGVGSRTRSRLAEQGRAFAQEEEEQVEEREEEEEEQEQGRAFAQVKEEQVEEQEEDEEEGEEEMEMEVEVEVRSDDNDHGNGGIRGEGGGTDDVAEIEEEELGTDEDETSDDSDENFSDEEGDEEELEEEEEEEEEEEDDDDDDEEEEEPGDAPDQPGEAGEESPPRSRIMAMPLMGKRMFEGFSFLQQVDTSTGRDIRARTRSNFKRKKLLDKKLLKRGTFAKPYCIDVSSSGSEEDVPQPEQSAYGGDCADDDGGSDGNEEHRAVKRRKLNRRQSAHSDSEEDTTFVCDVKEGSGSRRVQEGAPRRQVKKEGSNKKKDGSTPQCVRNNGPKVGRQTNGLNGQGGVSFKRNVKIAQRRKRRRATADQEKYGHLLDPMFDEIESNQYEPVPEEQIDRRLPLVFAFGDDDKLEEISKHDKLQDEDELWKEFDFALESINVCSHNCEEGEKEDEQEIPADKAASCIQGKHELIIDEQIGLRCKHCNFVDLEIRFVLPSMVKSCTERDMRKDHELDLFFDDILTSAGYEGPRDFGGKKTGLVWDLVPGVREDMFPHQQEGFEFMWRKLAGGTSIEQLRNNANTIEGGCVISHAPGTGKTRLAITFVQSYFAFFPECCPVIIAPRGMLATWEQEFRKWKVKVPFHVLNSKEINWKEDRTIKQLAIMDENLAQSLARNKLDHKFRRKLKLASWRKGSSIIGVSYTLFRKLANQSSMDGNMVRNLLLEKPDLLVLDEGHTPRNKKSLIWKVLEEVRTKKRIILSGTPFQNSFLELSNVLYLIRPKFARHFASKSFKKIGLEDYWTSLTLNNITEKKIDEIRQILDPIVHIHNGDILQKSLPGLRESVVILNPLPHQKEIITAMENTVTMGTLDAEYKISLASIHPFLVTCAKLSEKETSSVDVSLLKSLRPNPCVGVKTKFVLEIVRLCEAMKERVLVFSQYLEPLSLIMDQLSKMFNWTEGEEILLMSGNVLVQNREALMEAFNDMKSNAKVMLASTKACCEGITLIGASRVVLLDVVWNPSVGRQAIGRAYRIGQEKIVYTYNLITEGTKEKDKYDRQAKKDHMSKLLFSKEPHAAGFNLSQEVIFNDKILEAMTSHRELKDMFVKILHSH >ORGLA02G0223400.1 pep chromosome:AGI1.1:2:21142323:21143322:-1 gene:ORGLA02G0223400 transcript:ORGLA02G0223400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDDMQRMRWSLASDHCVPPPCSPTRLNPDYSHYVSNSRALCAIVCFMIECNGGAEDNKHDLLCTVPHDSTTSTPMITITITTSNTTVTMISVXTAMANTSAATCFWPLAQTHHRFGVGVERDKRDGKGWRRVGPSEGGSRCSQVRGKIEEIVRKAVVLRSQGRRKASNEEGCAAMKDEEQGRQVAERRTIDAGGERRPVEWEEEN >ORGLA02G0223300.1 pep chromosome:AGI1.1:2:21137352:21140676:-1 gene:ORGLA02G0223300 transcript:ORGLA02G0223300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGRVRDMIKKRKGKKRPPPHEGPAPPPPPPSSLAGSSHSTSTVSSSAVATSQSTEDSSAAAARPAAGWSRSSGSVSSARSIPELYEERGASSLQEFGLRELQAATRDFSRLLKIGEGGFGSVYKGVVRLPGGPAGGTEVAIKKLNPNSRQGHKQWLTEVQFLGVVEHPNLVKLIGYCAAQSERGPQRLLVYEFMSNKTLDDHLFNKAYPVLPWDIRLKIALGAAEGLLYLHEGLEVQVIYRDFKASNVLLDEEFRPKLSDFGLAREGPTADNTHVSTAVMGTYGYAAPDYVETGHLTNKSDVWSFGVVLYEILTGRRSMERNRPKNEQKLLEWVRQYPVATKRFSRIIDIRLRHNYSKQGTREIAKLANSCLAKHAKDRPTMREVVESIKQVMQHNELDGDVEASGESSPPHEVPGKPTADDVAVAAARRRMLHLAALGENANNIARRRFMLMRAAAAPTPT >ORGLA02G0223200.1 pep chromosome:AGI1.1:2:21135206:21135442:1 gene:ORGLA02G0223200 transcript:ORGLA02G0223200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLLTKGQPVDVALDAAVGGEPRRSHRACNAAPVVARDREEGKPRATWAEALASCSMQCPRLPLVHVPVGGIEGVE >ORGLA02G0223100.1 pep chromosome:AGI1.1:2:21128640:21132520:-1 gene:ORGLA02G0223100 transcript:ORGLA02G0223100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERADADRTRVAPEIGSLHDEDAEADPARAREMERLQPWREQVTARGVVAAALIGFVFSVIVMKIALTTGLVPTLNISAALLAFLALRGWTRALERLGFSPRPFTRQENTVVQTCAVACYTIAFGGGFGSTLLGLNKRTYELAGNSPGNVPGSYKEPGIGWMVGLLLAISFAGNLSLIPLRKALVVDYKLTYPSGTATAVLINGFHTAQGDKNAKLQLHGFLKYFGLSLFWSFFQWFYTGGNACGFVQFPTFGLKAWKQSFFFDFSLTYVGAGMICSHLVNLSTLLGAVISWGIMWPLISKHKGDWYPANIPESSMTSLYGYKSFLCIALIMGDGLYHFVKVTGVTAKSLHNRFNRKSVSNTVAASEEGDMVSLDDLQRDEVFKRGTVPSWMAYSGYFLLSIIAVITIPIMFRQVKWYYVIIAYALGPVLGFANSYGAGLTDINMGYNYGKIALFVFAAWAGKDNGVIAGLVVGTLVKQLVLVSADLMHDLKTGHLTLTSPRSMLVGELIGTGIGCFIAPLTFMLFYRAFDIGNPDGYWKAPYALIYRNMAILGIEGISALPKHCLSLSVGFFAFAVLTNVARDALPARYKKLVPLPTAMAVPFLVGASFAIDMCVGSLVVFAWNKMNKKEAAFMVPAVASGLMCGDGIWTFPSSILALAKIKPPICMKFTPGS >ORGLA02G0223000.1 pep chromosome:AGI1.1:2:21115795:21116133:-1 gene:ORGLA02G0223000 transcript:ORGLA02G0223000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVLWSPRCRDSVTVVGGGIGAGGEAGGGGQKQEAVAAMAAVVPAISSEGGGLGEHKRREAEPKVAAAEARAAWSSGAPCGCRRPNRAVAAGGSAGGVPATDWRGKGVLRM >ORGLA02G0222900.1 pep chromosome:AGI1.1:2:21110994:21114295:-1 gene:ORGLA02G0222900 transcript:ORGLA02G0222900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPEIERCDAGDVESDHDGAAAAAERVPPWREQVTARGMVAALLIGFVYTVIIMKLALTTGIIPTLNVSAALLAFLALRGWTRAPALLLPGGGAASSSSRRRPFTRQENTVVQTCAVACYTMGFGGGFGSSLLALNRKTYELAGVSTPGNSPGSYKEPGVGWMTGFLFAISFVGLLNLLPLRKALIIDYKLTYPSGTATAVLINGFHTPQGENSAKKQVRGFLNCFGISLLWSFFQWFYTGGESCGFLQFPTFGLKAWKQTFYFDFSLTYVGAGMICSHLVNLSALFGAILSWGIMWPLISIQKGKWYPGNVPESSMTSLFGYKSFMCVALIMGDGLYHFIKVTGITAKSLHERSNRRHAKKATDEDTFVIADMQRDEFFNKDYIPNWLAYAGYALLSIVAVIAIPIMFQQVKWYYVVVAFVLAPVLGFSNAYGTGLTDMNMSYNYGKIALFIFAAWGGRDNGVIAGLVGCGIVKQLVQVSADLMHDFKTGHLTLTSPRSMLVGQAIGTAMGCIIAPLTFLLFYKAFDIGNPDGYWKAPYALIFRNMAILGVEGFSALPKHCLELSAGFFAFSVLINLMRDFLPRKYRDYVPLPTAMAVPFLVGANFAIDMCVGSLIVFAWHKINSKESALLVPAVASGFICGDGIWMFPSSLLSLAKVKPPICMKFTPGS >ORGLA02G0222800.1 pep chromosome:AGI1.1:2:21102381:21103066:-1 gene:ORGLA02G0222800 transcript:ORGLA02G0222800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome B5 isoform E [Source:Projected from Arabidopsis thaliana (AT5G53560) TAIR;Acc:AT5G53560] MAGGKAVYSFQEVSKHNDRKDCWLIIAGKVYDVSPFMEEHPGGDEVLLACTGKDATADFNDIGHTATAKELMPQYCIGEVDASTVPAKPAYRVVSEDASAKPDAASQGAWLTALQLAVPVVLLGLAYALQDFAKTKTA >ORGLA02G0222700.1 pep chromosome:AGI1.1:2:21095637:21101199:1 gene:ORGLA02G0222700 transcript:ORGLA02G0222700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAAAETLAAASLPVASPSRSLLRPLPRRASAGGGCSASVRISAVPPRGLGFAVVQRRVLRRPPAARANVEREGDGAEASGPGEASSSSSGDGDRDGAAAAAEAGGDGASTSTTSAAATPPQPPSSKRGENKWRRKLIKGGGVGRWLWEPIVQGREMGFLLLQLGFAIFALRMLRPEIALPGSEPRPQTTYVSVPYSDFLASIDKNQVKKVEVDGVHIMFRLRPEVEARAMEQPQVQRGTDSVADNAGVPRRIVFTTTRPVDIKTPYEKMVENSVEFGSPDKRSGGLLNSALVALIYVVLIAVVLQRLPISFSQHSAGQLRNRKNSNSGGAKVSESTDIVTFADVAGVDEAKEELEEIVEFLRNPERYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARVRDLFARAKKESPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMVEAPDRFGRESILKVHVSRKELPLGKDVDLSDIAAMTTGFTGADLANLVNEAALLAGRSNKEIVEKIDFICAVERSIAGIEKKHAKLKGNEKAVVARHEVGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEVVLSGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISVATLSNGGLDESGGSPWGRDQGHLVDLVQREVKALLQSALDVALSVVRANPTVLEGLGAYLEENEKVEGEELQEWLKSVVAPKELTSFIRGKQEQVLQLEAGS >ORGLA02G0222600.1 pep chromosome:AGI1.1:2:21086065:21090402:-1 gene:ORGLA02G0222600 transcript:ORGLA02G0222600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:esterase/lipase/thioesterase family protein [Source:Projected from Arabidopsis thaliana (AT3G50790) TAIR;Acc:AT3G50790] LAAPPTPSSPLVASTRRFVAPRLRSLLPAAAVSMSSTSSSSISAPSNGRPVSGGAGEQRPPPSPLLPHASLEVAGARCGLLAGFDSLRRPYRAFPIVASNRHVETIFAAFARSLPAVALRRECLRTPDDGAVALDWVSGDDRALPRDAPVLILLPGLTGGSDDTYVRHMLLRARNKGWRVVVFNSRGCAGSPVTTAKFYSASFTGDLRQVVDHVLGRFPQSNVYAVGWSLGANILVRYLGEETDKCVLSGAVSLCNPFDLVIADEDFHKGFNNVYDRALAKALRNIFKKHALLFEGLEGEYNIPKAANARSVRDFDEGLTRVSFGFKSVDDYYSNSSSSDYIKNVSIPLLCIQADNDPIAPSRGIPREDIKANPNCLLIVTPQGGHLGWVAGEDAPFGCPWTDPIIMEFLEYVHNEKNSSTKGSISYEQQIVTQTSAPDVSVHVQR >ORGLA02G0222500.1 pep chromosome:AGI1.1:2:21082474:21083346:1 gene:ORGLA02G0222500 transcript:ORGLA02G0222500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDCQFLVAPPQPHMYYDTAAAAVDEAQFLRQMVAAADHHAAAAGRGGGDGDGGGGGGGGGERKRRFTEEQVRSLETTFHARRAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQIEHDYAALRAQYDALHARVESLRQEKLALAAQVDELRGKLNERQDQSGSCDGGGAEGDDDDKRNRVMNASSSGLVEEDYVSCLAVPVVDVSEDGSAACGGSSYEYDHHLDYLGGGQLPDPFCGMPDLWETWPMVEWNAVA >ORGLA02G0222400.1 pep chromosome:AGI1.1:2:21075069:21075348:-1 gene:ORGLA02G0222400 transcript:ORGLA02G0222400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALCFVTLPAFCFLAPAALMLGYYHGSPELVVVGSGCSRLVETNSFIVQDIKVIILP >ORGLA02G0222300.1 pep chromosome:AGI1.1:2:21053492:21054102:1 gene:ORGLA02G0222300 transcript:ORGLA02G0222300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPCSPLCLTRSNSSTRPNPPSPSTLSGLKFLVAALSSANNMLSRTKGEEMPQAFEYLASVHGGLMLVPSRSQQLQPPRSRNSPPWDQLGAKLDQIELIIVRHLAAY >ORGLA02G0222200.1 pep chromosome:AGI1.1:2:21039585:21042744:-1 gene:ORGLA02G0222200 transcript:ORGLA02G0222200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPQGAGDPPYGVVPAPPTMAAAFDLPPVTTPAPAAPSDVLLPTQPQVSGPEEFPAAAVNSNDDDMMMVDDVVVAGGVGGSGSTGNRWPREETLALIRIRSEMDVAFRNATLKAPVWEELSRRLAELGYQRSGKKCKEKFENVDKYYKRTKEGRTGRQDGKSYRFFSQLEALHAAAPPPPPQQRQGMPVEDPQPLAMARMMLPGAADLGFLSMSSESESDDESDEEEEEEEEAVAPGGGGREGLGDDGDGDGEGGSSTRKLMAMFEGMMRQVTEKQDAMQRVFLETLEKWEAERTEREEAWRRKEVARINREREQLSKERAAAASRDAALIAFLQRVGGAGGEPVRLSPSSAGATRHDAAAAGLQLVPVPAPRAKAEDAWAAAGGDGSGTTAPSRWPKEEVQALIDLRMEKEEQYNDMGPKGPLWEEIAAGMQRIGYNRSAKRCKEKWENINKYFKKVKESNKRRPEDSKTCPYFHQLDAIYRKKHFAGRGGGGGGVTIAASHSSLAIVTVSEQDNPSQRELEGKSSNDVGNVQLAVPLLVHNAPDKKVEGSEGEPNVTAAAEETDSDEMGGEYTDDGDDDDKMQYKIEFQKPTAGGGGDGNDAAVPATTAAATSSAPTSNTSFLAVQ >ORGLA02G0222100.1 pep chromosome:AGI1.1:2:21030650:21031850:-1 gene:ORGLA02G0222100 transcript:ORGLA02G0222100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRCGLLAFARCCCVGGGEMESDARVSDEPGCGGGGGAGSVVEKGGGVVARRFGWAEIESVTGGFSSRVIGQGGFSTVYLASLTSSRLGAVKVQRSSERLHRAFCQERDVLLSLRHPHVVRLLGYCDERDEGVLVFEYAPNGDLHERLHHRSGGKSRTVLPWARRMAVAFQVAMALEYLHESRDPAVIHGDIKSSNVLLDANHDAKLCDFGFAHVGFSAAVRPPSGATRASGRPVMGSPGYADPHFLRSGVATKKSDVYSFGVLLLELVTGREAICAQTGHRLTAAVGPSIGEGKLADVVDRRLGGDYDVEEAATVAALALRCVSDGTGLRPSMAEVVRELQEKTTALISAVGSKPSDKMVS >ORGLA02G0222000.1 pep chromosome:AGI1.1:2:21020112:21025150:1 gene:ORGLA02G0222000 transcript:ORGLA02G0222000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1P2L4] MAPAMVAAMGEKPKPAVVLGGMVSGLREVYESGRTKDLEWRQSQLKALIRLLTDKEEEIFAVLHDDLGKHRGESFRDELGILVKSIKYTLQNLKKWAAPERAESPLVAFPATAMVVPEPLGVVLVFSCWNLPLGLALEPLSGAIAAGNAVVLKPSELAPSTAAFLAANIPRYLDSRAVKVVLGGPNVGEELMEHRWDKVLFTGSARIGRIIMAKAVKHLTPVALELGSKCPCIVDWLDSKRDRQIAVNRIIGAKWSTCAGQACIAIDHVIVEERFAPILIELLKSTLKRFMAKPGGMARILNAKHFERLSGYLEDNRVAASVVHGGYMDPKKLNIEPTLLLNPPADSDVMTEEVFGPILPIITVKKIEDCIAYLKSKPKPIAMYAFTNNERLKRRIVEETSSGSVTFNDAVVQYALESVPFGGVGHSGFGQYHGKYSFELFSHKKAVFKRSFLIEFMFRYPPWDESKIGTLRHVFSYNYFLLFFNLLGFRR >ORGLA02G0221900.1 pep chromosome:AGI1.1:2:21006347:21010033:-1 gene:ORGLA02G0221900 transcript:ORGLA02G0221900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEKVPSNAPIAPQEGNAPQNSGSNKQPDACSEETWRTPSDPFLMEITQVDPRDDDPDYIPIEQAMVVRRCSKRKAGRNRTEQEVEMDTATSAPERTETSTSAGGSGKKRRGERSKNKLPKETYNVIALDQDGKPVEPPIVRSKFSNACGTLVRTRCPINVKLWETVDDNIKTLLWNELQKYFVFPPGSEVRGRDYALKKMGDRWRQWKSDLNRDYVQKNLPPFTDYGHISQADWDTFVADHTTAEALALRKKMSELAKKNRYPHRLGSRRYAGHVDQWREIEQRFAAAGKPLLVDPMVERSKNWVWARSIGQVSDEGDILFETPDIEEVTTNLQQIVEKERSGQFVPRRERDQLTAALGTAEHSGRVRGLSSKTSWKVGFPQDAPSYKKRDKHKEQLSDKIYAQVKEHFYSLAAENPSAFPRLFPDGQQPTQYAQQTTNVASSVGSVQTTPFPVDSITGPTPCSLVIPIGRAGKTKEVATGLAIPGRQFHNTAIPEDYAWVQVAKVHSDHVSLELDIPAPEGIELLGDAMNQFILWHRRDIILSAAVPAAGSSTPSSSQAMTAAAPAPLSPPEPPSPRHPSSPPPLRSPPRQPTPPPSPSQQPPLPAPQPVQPSPTSPAKQHAPPAPPSVQTSPPTPQSALVEQVHIPDGTTSEPKSNTLEPRRIIPKLISTYNPKEIDKDKEKFMFSAFRNSEKRKELAHVLLDSQKSVLVAQDEVQSWLSADVPETYEYGKPFLPTYLMNKLPWEMRVMHEWYMKASRKGLGFISVAVPEGAFMSGPNGIFFISFRDLYALYKLDKMDVNLVAAFCLMQFHEADRTGAKVGYVDPTRICKTQHTYVKTLHKRKKLEVATYLAIAMLAHADKDVLMVPYQFTDHYILFLVYPKDQLIISLDPAHYDKETFMEFLTILNLAHKYYRKRGGPVHIPSQKKLSVRTGWPIPEIPYIAQRFNDTTILNVAADLCRFIRRDVCNARGLFYDNQSELAMDDKFKPLREWEKEHMQ >ORGLA02G0221800.1 pep chromosome:AGI1.1:2:20981845:20983167:-1 gene:ORGLA02G0221800 transcript:ORGLA02G0221800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVGASATMASSSAAPILLLELAAAVLLVLQRVAAADVVVTTFPGDAAALASLKSAVDAATVPAYSCLASWDFARDPCAAFPCGVRCYAPPNSSSYHRVTGVSLDPAGYSGTLPATVLASLPFLAFLSLASNRFHGALPAGSPLPPSLRVLDLSGNAFSGEIPASLFTPASSLEELYLSRNALTGAIPPQVASLGSLKRMELQHNGLTGTLPRMDAMRSLAYLDLSGNALSGSLLDAPGRLPGSLVSVVARGNGFAGPLQAAALAALPAMRVLDLTGNAVSGAVPGAAFAHPSLQQLRLGSNKLGAVEEAPDGGASSQLVELDLGGNRLTGRLPGCVAAMPRLAVVGLDRNMFAGGIPDQYAARAAADGPTDKWVPFVRLMLQGNFLCGALPSQLRQLKEDGAAVSLADNCLPKCPHKFSFCRGAPQKSNATCPKCFP >ORGLA02G0221700.1 pep chromosome:AGI1.1:2:20976116:20977412:1 gene:ORGLA02G0221700 transcript:ORGLA02G0221700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKPRVVVVGAGISCLTAAHRLCGAGGEEFEVAVVEAGDRVDCRILTSEFAGHRVEMGATWVQGVVGSPVYALARDAGAIGEEGGNLPYERMDGFPDRVLTVAEGGEVVDADTVAGPIEELYRGMIEAARDGEAGGGGGVEEYLRRGLRAYQAARSAGGGGKELEEVEEALLAMHINLERTDTSADDLDLTTEGEAISHGRAGALAGGEDEQEKEG >ORGLA02G0221600.1 pep chromosome:AGI1.1:2:20971832:20972242:1 gene:ORGLA02G0221600 transcript:ORGLA02G0221600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHGNGTPGGKTRSLGGGRSTVVEERQVAGGEACMATGWIRALPRQIQLGATKRSPRPMAVDKRTTAARLARSVAVGGHGYRELARTMLRVTSGGMRRRARGDSDVGGRLEAGVPWVIWMRWRRWWYREVGAGDL >ORGLA02G0221500.1 pep chromosome:AGI1.1:2:20963549:20970732:-1 gene:ORGLA02G0221500 transcript:ORGLA02G0221500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1P2K9] MEEKPQHGSLGGLVAGVREECESGRTKELEWRKAQLGGLIRMITEEEDAIFDALHDDLGKHRVESFRDEVGVLAKSVRNTLQNLKKWASPEKVDVPLISFPCNARVVPEPIGVVLIFSCWNLPIGLALEPLSGAIAAGNAVVLKPSEFAPSTAAFLAANIPKYLDAKAVKVVQGGAEVGEELMEHRWDKVLFTGNARVGRIIMTKAAKHLTPVALELGSKCPCIVDCLDSKRECQVAVNRIIGAKWSTCAGQACVAIDYILVEEQFAPFLIELLKSTLKRFFTEPEYMARILNEKHFHRLTNLLEDDQVKSSIVHGGNADPKTLWIEPTIVLNPPLDSDIMMEEIFGPLLPIITVKKTEDCIAFLKSKPKPLAIYAFTNNEKLKQRIVAETSSGSVLFNDAIVQQYGLDSVPFGGIGESGFGQYHGKYTFELFSHRKAVVRRSLLVEFMFRYPPWDEYKMGMLRRVFRFDYVSLVLALLAFWLLGIRR >ORGLA02G0221400.1 pep chromosome:AGI1.1:2:20960618:20962596:1 gene:ORGLA02G0221400 transcript:ORGLA02G0221400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:Projected from Arabidopsis thaliana (AT1G77370) TAIR;Acc:AT1G77370] MVAARAAVPIAVFLLLVLAEADPAAATRSPSAFVQNAIYSNRITIFSKTYCPYSMRAKRIFRDLKENPYIVELDLREDGREIQSVLLDLVGRHTVPQVFVNGQHVGGSDDTANAHSNGQLQKLLGNSQSQR >ORGLA02G0221300.1 pep chromosome:AGI1.1:2:20956976:20958290:1 gene:ORGLA02G0221300 transcript:ORGLA02G0221300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLNPSVSGRSPTSTTAPLCDICQEKRGFLFCKEDRAILCRECDVPVHTASELTMRHSRYLLTGVRLSSEPAASPAPPSEEENSSSFCCSADDAVPAPAAPATSHGGSSGSSSISEYLTTLPGWHVEDFLVDDATAEAAAAAAATSSGISANGPCQLIWLCTFGQGVTRIGGLQESAGYPAWMAQQQLCCDGLVAGDASPASRERWVPQMYADQLAAGSKRSRTSTASSYSYW >ORGLA02G0221200.1 pep chromosome:AGI1.1:2:20934616:20936014:1 gene:ORGLA02G0221200 transcript:ORGLA02G0221200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:I1P2K6] MSSFAHHHHGSLVEKDGRMSALRSSLRPYEAAEEMAAAAAAGGPAAAWGAVERGAGMMGDGFSVEDLLDLEELCEVDRDGGEQGEAAAAAAAAVEKERSSDSHGSSVVSYEPMPLLPPVMDLPAHDVEELEWVSRIMDDSLAELPLPQLPAAAAALAACGKPQHRRPHEGAASALLDPMRTPTICALSTEALVPVKSRRSKRSRASVWSLSGAPLSDSTSSSSTATTSSCSSSASFSPFLQYVDFPALVASDLLDEQPRSKKSKHGKNGKQKPKKRGRKPKHQQPPHLAAAAAAGGAALPATGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSLHSNSHRKVLEMRRKKETPVIVAAAAPAVASF >ORGLA02G0221100.1 pep chromosome:AGI1.1:2:20913314:20918529:-1 gene:ORGLA02G0221100 transcript:ORGLA02G0221100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDELVQWDKMGAAEAVNGGCGGAGKMDRIQVLVRLRPLSEKEVARGEPAEWECINDSTVMFRSTFPDRPTAPTAYTFDRVFHSDCSTKEVYEEGVKEVALSVVSGINSSIFAYGQTSSGKTYTMTGVTEYTVADIYDYINKHEERAFVLKFSAIEIYNEVIRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKGLISVCEAQRRTGETFLNEKSSRSHQILRLTVESSAREFLGKDKSTTLVASANFVDLAGSERASQALSAGTRLKEGCHINRSLLALGTVIRKLSMGSNAHIPYRDSKLTRILQPSLGGNARTAIICTLSPATSHIEQSRNTLLFGSCAKEVVTNAQVNVVMSDKALVKHLQKELARLESELRHPVQSSSLETLLKEKDNQIRKMEKEIKELKSQRDLAQSRLQDLLQSVGDHDLNRQVQGKHSVRSPPSVGMPPSVSRDDSSQVSHDDSDLYKEVRCIESNRTGGNDQLDLSAGESSSPQDSNMNSGLHGNDSNASVNSRHSRPSGEAPITLEEHLENIRRPFVSLAKDLGSSTRNSSNLRVIGRSRSCRSLTGSTMFDDMEMDDCTPLNRSLVEFPGRPVESHRRGSALHYDAETDTLSRAGSMSSEISTFKDAKTNGSVACDTEFTGIGEFVAELKEMAQVHYQKQLGDQNANGKSIGLDPIEGVSQSPSRWPLEFEKKQQEIIELWQACSISLVHRTYFFLLFKGEAADSIYMEVELRRLSFLRDTYSRGSTPSNAIVGSLSTSPVASAKKLQREREMLARQMQKRLSTEEREHTYTKWGVSLDSKRRKLQVARRLWTETKDLEHVRESASLVAKLIGLQEPGQVLKEMFGLSFAPQQQPTRRRSSNGWRYGIPSFA >ORGLA02G0221000.1 pep chromosome:AGI1.1:2:20910126:20911726:-1 gene:ORGLA02G0221000 transcript:ORGLA02G0221000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANINMADTAPSCDTYLLFNGETLLPNGVRAFIYTVVLAYCFIGLSAITGRFFKSMESIMRHSREVVTVDPHTNATIVKHEKVWNYTIADVALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDLFPIHAVCVVMPRAGSKKKISDLGVWLVELFWSFWAFIWLYIILEVWTPRVITLWEALLTVLQYGLLLLHAYAQDKRWPYVSIPLARGDRPEDWVPTEDASVDYDDNYDGIGDILPGQNEDIVDIFSAHSYSNEGYHHVSEKDVEESPTGLTLKNKWEDTHWFSIWWQQFVDAATWNYRGVRLG >ORGLA02G0220900.1 pep chromosome:AGI1.1:2:20903531:20904281:-1 gene:ORGLA02G0220900 transcript:ORGLA02G0220900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:I1P2K3] MAGSLAASAFFPGPGSSPAASARSSKNAAVTGELPENLSVRGIVAKPNPPPAAMQVKAQAQTLPKVNGTKVNLKTVKPDMEETVPHSAPKTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGMVFRQNFMIRSYEIGADRTASIETLMNHLQETALNHVRTAGLLGDGIGATPEMSKRNLIWVVSKIQLLVEQYP >ORGLA02G0220800.1 pep chromosome:AGI1.1:2:20888239:20891645:-1 gene:ORGLA02G0220800 transcript:ORGLA02G0220800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDSAGGGGGGRAGAELMVPQFHLKALHAILAVRAPRPLAAAPAPAASFRRRDRWFHLPLHAPPPPASAEHLPEPPPGEPLVVDVYLTPSGGGGGAEAVVERWTVSCEPWSAGARGGGAAAASGEGLAVNRAYKRCITLLRSVYTALRLLPAYRVFRLLCASGQAYNYEMGFRVGSFAAPFTRAEEAAMSTRRFAPVETQLGRLVVSVQYLPSLAAFNLEICSLAPAMLITDYVGSPAADPMRAFPASLTEAASSAPAFPPRRPNSWAPSPAPWPYTPGQQAKFSPPPALYASPTPSPPTFAGGYLQSRLSGETAPMIIPGGGRGPVHNRNMSDPVRGFMLPPPSPKNIRGDSGGHETPMETGRTGIRMADLYTNLPSVPKIKIKDSRDESGRFSGVFSSSGSPRLGFSRSSSRLSMQDDTDDLDFPFAVDDVDTPDSRPGSSGGKDVGDQASSSSHKSQDAAVGYLVHMLKSARPLRDSSNSPLTSRVESEGGNVSSFMSRRTSDALEELESFKEIKENLLARSRSRMQESLDKSLRHS >ORGLA02G0220700.1 pep chromosome:AGI1.1:2:20885138:20885836:-1 gene:ORGLA02G0220700 transcript:ORGLA02G0220700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLGCHVGCASPETKQEETADPTAAPVVVDDVEAAAGGRRPGDGGGVNYVARAQWLRAAVLGANDGLVSVASLMVGVGAANGTRRAMLVSGLAGLVAGACSMAIGEFVSVYAQCDIQAAQIERARGGKDADGGEEEEELPSPTMAAVASALSFAAGAALPLLAGGFVRPWAARVAAVCAASSLGLAGFGVASAYLGGAGVARSSVRMLVGGWLAMAVTYGVLKLFGMHGV >ORGLA02G0220600.1 pep chromosome:AGI1.1:2:20874923:20878923:1 gene:ORGLA02G0220600 transcript:ORGLA02G0220600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGRYEEAARHFTDAIALAPGNHVLYSNRSAALASVHRYSEALADAEKTVELKPDWAKGYSRLGAAHLGLGDAASAVAAYEKGLALDPTNEGLKAGLADAKKAAAAPPRRPPPSGVDGIGQMFQGPELWTKIASDPTTRAYLEQPDFMQMLRDVQRNPSSLNMYLSDPRMMQVLGLMLNIKIQRPEASESSQPSSSPPSQPQEQPEAKAREVEPEPEPEPMEVTDEEKERKERKSSAQKEKEAGNAAYKKKDFETAIQHYTKAMELDDEDISYLTNRAAVYIEMGKYDECIKDCDKAVERGRELRADFKMISRALTRKGTALAKLAKTSKDYDIAIETFQKALTEHRNPDTLKKLNEAERAKKELEQQEYYDPKLADEEREKGNQLFKEQKYPDAVKHYTEAIRRNPKDPKVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKAMETYQAGLKHDPNNPELLDGVKRCIEQINKANRGDLTQEEIQERQNKAMQDPEIQNILTDPIMRQVLVDLQENPRASQEHLKNPGVMQKIQKLVSAGIVQMR >ORGLA02G0220500.1 pep chromosome:AGI1.1:2:20869948:20874303:-1 gene:ORGLA02G0220500 transcript:ORGLA02G0220500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWCFALLLALSAAAAGAGAKRTWEPVIRMPGEVVEEEVATVPRGSEGTEKEEKDGVGTRWAVLVAGSSGYGNYRHQADVCHAYQILRKGGLKEENIVVFMYDDIANNILNPRPGVIVNHPQGEDVYAGVPKDYTGDEVTAKNFYAVLLGNKTAVTGGSRKVIDSKPNDHIFIFYSDHGGPGVLGMPNLPYLYAADFMKVLQEKHASNTYAKMVIYVEACESGSIFEGLMPEDLNIYVTTASNAEESSWGTYCPGMEPSPPSEYITCLGDLYSVSWMEDSETHNLKEESIKKQYEVVKKRTSDMNSYGAGSHVMEYGDRTFKDDKLYLYQGFDPANAEVKNKLSWEGPKAAVNQRDADLLFLWRRYELLHDKSEEKLKALREISDTVMHRKHLDSSVDLVGKLLFGFGNGPSVLQAVRPSGQPLVDDWDCLKRMVRIFESHCGPLTQYGMKHMRVFANICNNGISGASMKEASIATCSSHNSGRWSSLVQGYSA >ORGLA02G0220400.1 pep chromosome:AGI1.1:2:20863237:20864952:1 gene:ORGLA02G0220400 transcript:ORGLA02G0220400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSGCVVATAVVLVLISLGAAPPAAVDAATGTFIYAGCSPSKYEPNTAFQSNLNSLLSSIASTASSGAAYNSFTAGGGAGPDPAAGTAAYGLYQCRGDLSPGDCVACVRQTVARLGAVCANAYAASLQVDGCYVRYDAADFIGRADTTTAYRKCSSSTSRDGAFLSSRDGVLGELQAAAGYKLSTSGTVQGVAQCLGDVPANDCTACLAEAVGQLKGACGTALAADVYLAQCYVRYWANGYYFRSNSDNSGDDVGRTVAIIIGILAGLALLVVFISFLRKAFGLQSAKKKRLICV >ORGLA02G0220300.1 pep chromosome:AGI1.1:2:20858537:20859109:1 gene:ORGLA02G0220300 transcript:ORGLA02G0220300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRHRGFRLGRKLLGLWRWALCHRRRRRGRGYLRLQPCPGAAGGRSPLLAAGSVKKQPPPQQQQIVVHQRGGEKAVLKWGRSLARRMRLLRRRGSERLLEESPGEATTPKGQVAVYVGGGEPGESMRYVVPVVYFNHPLFGELLREAEEEFGFAHPGGITIPCAAARFERAAAVAAAGGGGRKVPTWW >ORGLA02G0220200.1 pep chromosome:AGI1.1:2:20839120:20842212:1 gene:ORGLA02G0220200 transcript:ORGLA02G0220200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentapeptide repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G12250) TAIR;Acc:AT1G12250] LSPPPPPLAAAAARPCAFPTPWRCRSPPLRRLPRVSCQANRGGSRDGNSSSTSPAAAAASPPPRWRAAVSAALAAAIVSAAPAYADLNKFEAEQRGEFGIGSAAQFGSADLKKAVHVNENFRRANFTAADMRESNFSGSTFNGAYLEKAVAYRANFTGADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGAIIEGADFSDAVIDLTQKQALCKYANGTNPLTGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPPKLLDRDGFCDEATGMCDAK >ORGLA02G0220100.1 pep chromosome:AGI1.1:2:20812294:20814512:-1 gene:ORGLA02G0220100 transcript:ORGLA02G0220100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAVFPLDHLAAPSPTEQLCYVHCNCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPAPAPAPANQLHFGPSLLSPTSPHGLLDEVAFQTPSLLMEQAASASLSSITGRSSSSCASNAPAMQMPPAKPVQQEPELPKNAPASANRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQGFKKTFKPQDGSEDILLKDSLYAAAAAAAAAAANMGVTPF >ORGLA02G0220000.1 pep chromosome:AGI1.1:2:20801937:20802254:1 gene:ORGLA02G0220000 transcript:ORGLA02G0220000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRWWSMVVGFRRTISFPAPKAATAAKGEAYRVRSASLPCRFHPLVVQLDEDVATMRELVGRLASAASAGSVAGAAEQLGRVLVSLSELLHHPQAQEPLRRLGRSPF >ORGLA02G0219900.1 pep chromosome:AGI1.1:2:20794643:20797212:-1 gene:ORGLA02G0219900 transcript:ORGLA02G0219900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAKKDSIFGGLASGAPVGADRFPFDPREYSPAMSSDSRELLGIDPPELIFPFELKKQISCSLHLTNKTDEYVAFKVKTTSPKKYCVRPNNGIVAPQSTSDVLVTMQAQREAPPDMQCKDKFLVQSAIVTQELTPKDITGDMFTKESGNVVDEVKLKVVYTQPHPTTLNGGSEEGLGSLSYQEATKGSRESETVTSEPLALISKLKEEKSSAIQQNMKLREELDLLRRQMGSQHGGFSLVFVLVIAILGILLGFLIKR >ORGLA02G0219800.1 pep chromosome:AGI1.1:2:20790111:20790527:-1 gene:ORGLA02G0219800 transcript:ORGLA02G0219800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGTEEGWRRRRPPGAGGEAMLGGSGAWGGFYELPEGYLSYCASYRRERASEEEERRATASGQGTVTSGGGAGELSGLGPGKGKKGWRPESSSASCTHAGFTSTRDGDDERSRRQWCGVQRRRDNERGRWEGLGFL >ORGLA02G0219700.1 pep chromosome:AGI1.1:2:20784747:20787154:1 gene:ORGLA02G0219700 transcript:ORGLA02G0219700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERARDTANFRLVVLRGRAYVERIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVQADRYQGENATAMPPLFRYCGDDETLDVVFPDWSFWGWPEINIKPWDALQKDLDIGNKRVKWVDREPYAYWKGNPDVATKRKELVNCNVSSKHEWNARIYKQQDWIKESKAGYKQSDLASQCTHRYKIYIEGSAWSVSEKYILACNSMTLVVTPKYYDFFSRVLMPTQHYWPVRDDNKCSSIKHAVDWGNSNKKKAQKIGKQASNFIQQELSMDYIYDYMFHLLTEYAKLLRFKPTKPPEAIEICPELLACQAIGRERKFMEDSMVKSANDAGPCDLPPPFSPEEFKELQQRKEKSMKQVETWQQKASQT >ORGLA02G0219600.1 pep chromosome:AGI1.1:2:20773348:20780525:-1 gene:ORGLA02G0219600 transcript:ORGLA02G0219600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLATDYFAPSSSSAAGKALALEFFSFPSLPVPALPPDPHFLPFTSADELPAATVADDGLDPLPIASALSDFLAAVIPQALPVPTMPAADEVLDDFLYDRGGYGEDFSSWEFGAFRIPKGYGVINREKDEKGEGSRSDGLEISSVMKRWEQLKELRFEVVEVDLLMALQEDIASFGEEESGGGVMLLLRVPDMKIHLDFIDIETDIKIRYQSDLAESVYQVEKVPVKDNDGNGHSSLREDCCLEIAALDHGAVIPRLEVSRNSWELDDCLTETDRYGVFDNVVRHLDEAQIQHSVFKSTEFLRSTDMDMLTFVCEDAPCHDIQVDKPAEIKAAVEMDVVRINGNILLEKNSALYPLKPDGTCSDLPCSILLEEVQIIDFPSDNVFKMLVQSETTKMNISDEIFKDDFDPARRLYESMVSCELALVDDTFRSLPTPILNDDIAVRSRVPPIQEILCSLKPHPLSASDGIYLDWHLLLEGPCNREICCSYASMVEEAKTCHLSSELQRSCQSTSVFVSDFLEDFQRSPKLQDEDKHSNIYVPAPLSHDPQKLEATQKCEQEGGTRNHSSMKRPSPEKSSSFPELISHSGDLNFYLNVRSATKSGTNNENTSTLDVPHSEEQALSLSTRAKVDKLIEIHPVSPSNLIQGLIEQIHASYTSALQESTYWRHSFSDGQGLGISKQKLLELITGEGSEGSYNHCEHKDKMELIVLYALKQVAYYLCFFGLHAAHLYISNLTRSLENTPERLKHILWSISEAQRKSERQLFESHPSLSCIETILRSNKQIDQKILIVADRAFWLPLGQKLASMRMTFVEFGQNPATTFVDLVNKTNSTAWVLEELLKSDCILLDNKNIPASFPFDKFGIILEYGGPNKSSTLLSLAPKLDGLPPLHFLYVKVDGKDFPVALVKDNHKDQDLKSTLDKVLLTLQKDLQERMNKMRIVDSLNFIPATNQLQGLQEKRSKHFAADATKELLPDDQPHRLQNLNKKNTFDSHNVVLADEQLHIQQTLSNKPVVNSQCVPTVEKSSSTSSVSANVLKDPQENQSTTDLPSCVKNDCIMPGRLSVPDVVIVVNTGNHGKTMLVSRRSSYQQILALEKGGMQVVERDIDLPVDLILSAAVCLVWYETALFEANELTTSAETSGIKENVENIATNILMSVSFSFTGCIMVFEGEADFLSAVMDSSDSLYTAAASLDMNLQLFFSHTPRSTDEIILNCITNVTSCYKAPLPDIPESESLAESFLTSFPSINPVSAYMLLSSGGSLVEFLSWPHERRIQAVGKYLLSPKIISLFNALCKFGELGESRSVMTECSSVDSDISSAFLQSPRKRKQRSLQACAVPTNKLLFSDSLNQIPGDYAEHAEVFSPSKLRKFSDMDNTIPELPDVFTFDESLNMRSEGFSYQQKKHDVDAIPGNQVINDDFSNGLTPNNQAYNRRTGNMVDTFDLPWQPEFGGTHPSKSTFHTSRPSCSRTHSNPVFSTAFEINDDPGEWNISGGTKQTWKGLAHGGTVDDSYRYDMDNRYHEPRDEIMQHPASSLAFQKLDFGSHATSQGSCWEIDYLRQMSAKRKARQERSRCSNSPGMSIPRMRDSNSKILNPPPKESFRYRGDRDTPSRDQSPSIGTQHYGKGKEGAKAQNRRARKDFNVQPTSHKKRIEPSIDPTWTPIDKRARQKLSFVTYGKEKQSKLVWRNQNSPGVGCGFRKRFREEGT >ORGLA02G0219500.1 pep chromosome:AGI1.1:2:20770261:20771010:-1 gene:ORGLA02G0219500 transcript:ORGLA02G0219500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGQNPRGNNAAPFGRYSNNHGAGFRRGSTGPNAPRNHHHPAAAAPPHVVMTPQQRDEVLLRAGRLAAEYLVNIGELPPDALLQGRHPPPPPHAPFQGYQQRQWPPPPPPRGHPWHEGPHPQHGFQASRSSAVGPIRNIAKRAVVRGGGGGTFRGRGGRFPSRRPGASGAAAPETTGEPGHGQGVAPGAGVGGVVGVRGDGSDATAPAGPSSGRQPSAAAQPGGAAHGQPEKGQPRGHSNSDGPVGL >ORGLA02G0219400.1 pep chromosome:AGI1.1:2:20766067:20768432:-1 gene:ORGLA02G0219400 transcript:ORGLA02G0219400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGERAAPFTYVAHALAVAAAAMVLVWCIHFRGGLAFEATNKNLIFNVHPVLMLIGYIILGSEAIMVYKVLPTWKHDTTKLIHLILHAIALVFGAVGIYCAFKFHNESGIANLYSLHSWLGIGTICLYGIQWIFGFVAFFFPRASPSVRKGVLPWHILFGLFVYILALATAELGFLEKLTFLQSSGLDKYGAEAFLVNFTALIVVLFGASVVVAAVSPARVEEPHEYAPIPES >ORGLA02G0219300.1 pep chromosome:AGI1.1:2:20759075:20759577:-1 gene:ORGLA02G0219300 transcript:ORGLA02G0219300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEALKEAGATSAAANAGEEKAVIPAASTSPVISKTDDDTEPPADDSKALVVFVEKVADKPHAEKATATATPTRTSNDRGD >ORGLA02G0219200.1 pep chromosome:AGI1.1:2:20756937:20758890:1 gene:ORGLA02G0219200 transcript:ORGLA02G0219200.1 gene_biotype:protein_coding transcript_biotype:protein_coding HFLSPPFHPLNHSKSSSRPRPCCLVRSGSFAGGGWGSARHGEGAVLRQEGAQEGAVDAGGGQAPRRLHPGQRPRQLAPPPQARRAEPVRQELPAAVDELPPAGHQARALHRRGAEVHRPAPRHRRQQVVHDRGAAARPDRQRDQELLEHSPQEAAAPDGPRRSAARAGGGLPGGASHGAVGDRAARGRGAPLPPLLLRRRGDDDDHRRHHHHLRLVVLHHRGA >ORGLA02G0219100.1 pep chromosome:AGI1.1:2:20750141:20751903:1 gene:ORGLA02G0219100 transcript:ORGLA02G0219100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADKLLSPEFQPSVEQLIRYLPASRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGIFSQGELTFKLLMLLSILISPKPLKHTSTGLVDLGDLAILAWLXIXSPMRIGLTCIGLSKSLGLR >ORGLA02G0219000.1 pep chromosome:AGI1.1:2:20744005:20744700:1 gene:ORGLA02G0219000 transcript:ORGLA02G0219000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSRIARHLPGRTDNEVKNYWNSYLKKRVESGGGKTSQGPPTTPASAASSPADSDDSHSLQQKPHEPANSDSSEPAHESSSASADSSCLTVTTDHPPVSRPHAAVTPKVMFADWLDMEYICGQVAAAPGLDTAGFAVIGGAAGDQQQQQQQQVMSQDGSVHQADGPSCGVDDSSLQQQQQEGFGGNGGCWDFQEQFDSIDQMQASGGGGGGGFCDLLSMSDFDLWAELERTA >ORGLA02G0218900.1 pep chromosome:AGI1.1:2:20741921:20742534:1 gene:ORGLA02G0218900 transcript:ORGLA02G0218900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKSCEKPRPNYRKGLWSPEEDQKLRDYILRHGHGCWSALPAKAGLQRNGKSCRLRWINYLRPGLKHGVFSPEEEETVMSLHAALGNK >ORGLA02G0218800.1 pep chromosome:AGI1.1:2:20732603:20733223:1 gene:ORGLA02G0218800 transcript:ORGLA02G0218800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAYFKKNQGLLLEQLIIDENTKDKTRIFSLEELEKATDNFDATRVLGHGGYGTVYKGILSDQRVVAVKMSKIVEQAEIDQFVNDVAILSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLCELLHNDVSAKCLLSWDDRIRIAIEAAGALAYLHSAAAIPIFHRDVKSSNILLDDNFTAKVSDFGASRSIPLDQTHVVTMVQGTF >ORGLA02G0218700.1 pep chromosome:AGI1.1:2:20717095:20717964:-1 gene:ORGLA02G0218700 transcript:ORGLA02G0218700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGAGAGGAVVGAAVAVGGGAPPHVLAVDDSSVDRAVIAGILRSSRFRVTAVDSGKRALELLGSEPNVSMIITDYWMPEMTGYELLKKVKESSKLKKIPVVIMSSENVPTRISRCLEEGAEDFLVKPVRPSDVSRLFSRVLP >ORGLA02G0218600.1 pep chromosome:AGI1.1:2:20703937:20712391:-1 gene:ORGLA02G0218600 transcript:ORGLA02G0218600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQVCSLLHQRYKDFSPCLTQGLLKVFFPGKSGEDLDADKNSRAMKKRSTLKLLIELYFVGIVEDASIFVNIIKDLTSLEHLKDRETTQANLSLLSAFARQGRFFIGLQSHGQEAYDEMLRLIRKKFFKKALNTYYDAVAELLQSEHASLRLMEAENAKVLTAKGELSDENTASYEKLRKSFDHLQRGVSSLAEALDMQPPVMPDDGNTTRVTTGSDVAPSTAKEPSALEPIWDDEDTKAFYESLPDLRAFVPAVLLGEVEPKLNEKGREQSEPVAEQDTDVHDNPQTSSITEYHLEGKADDGVKDSEEKDKDKGKGVDKEKSKEKDFDRKTEREKEKIRAVDGASLDNLLQRLPGCVSRDLIDQLTVEFCYLNSKASRKKLVRTLFNVPRTSLELLPYYSRLVATLSTCMKDVPSMLLSMLEEEFNFLINKKDQINIETKIKNIRFIGELCKFKIAPAALVFSCLKSCLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKSSVEHVLRQLRKLPWVECQQYLIKCFLKVHKGKYSHVHLIALLTAGLSRHHDDFAVSVVDEVLEEIRVGLELNDYGMQQRRLAHMRFLGELYSYKHIDSSVVFETLYLIIVFGHGTPEQDVLDPPEDCFRIRLIITLLQTCGHYFNRGSSKRKLDKFLLHFQRYIISKGPLPLDIEFDVQDLFAELRPNMARYSSVEELDAALLELEESERAASVEKPENEKLSDSESQKVQPHDTAFSANGRSSANGAEENGKDHEGADSESYSDSGSIDGHEDEEDLMFEDKSNDASENEGDDEDDGIPAGSDEDEGVEVRHKVVQVDPKELEDFDRELKALLQESLESRKSEVRPRATLNMMVPMNVLEGSKDPRAVESESGEETVDEEGGSAGGGSKVRVKVLMKKGHKQQTKQMFVPGDCSLVQSTKQQEAAELEEKQSIKRRILEYNEREEEEMNGGSSQMGNWGQGGSNTGSSIRSGGRGIWDGSIRGGRQRHHIAGGFYHSYGRRR >ORGLA02G0218500.1 pep chromosome:AGI1.1:2:20687757:20688553:-1 gene:ORGLA02G0218500 transcript:ORGLA02G0218500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSTNPNHYPVFPHSFCNQHVVSFQTSTIANGSGAIPVCPASSGGMNSDMTLLNTTPSTIVSTSSPNMLADSNQSLKYAAPMAVDWSYPELQLLNDGLLKYANEPGIMKYIKIAAMLPEKTVRDVAMRCQWMAA >ORGLA02G0218400.1 pep chromosome:AGI1.1:2:20685243:20685740:1 gene:ORGLA02G0218400 transcript:ORGLA02G0218400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKQALIAFAVVLLAAAFLVAASAADETQANKKEDTKVDVQDYWRGGGGYPPRGGGYGYPGRGYGYPGRGGGGGGYPGGGGGWHGCRCCGYGYRGGCRCCASPDEIPEPMYRPEVDPHN >ORGLA02G0218300.1 pep chromosome:AGI1.1:2:20681319:20681712:1 gene:ORGLA02G0218300 transcript:ORGLA02G0218300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKALLVLALLLASAVLLAAADQPHGKEQKKTESGGGAGVQDWHDDHGGGGHHGGGGGGYPGGQCHHGCCGGYGYRGGCRRCCATADEKPDPMYRPEAHN >ORGLA02G0218200.1 pep chromosome:AGI1.1:2:20676303:20678708:1 gene:ORGLA02G0218200 transcript:ORGLA02G0218200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARAAALSCRGVSPHPRAHSLLPGRRRRPVLPFADEAAAAPLRVSSVPHSRAYGCGGGGYFVHLEDRDDGEASRLLRALRRVLPDHQKWAQPDLLKAAVISTMSILAVPLEASASAETCQPANSMANMPIFIAVALIGAAVGGLLARQRKEELKRLNTQLRQINTALRRQAQIESFAPGLTYAPVGRATETEVIVDPRKQQLTVNLRNGKTFMRNQDLDMAVKEFRAALELAKSIGDRFEEKKAARGLGASLQRLGKYREAMNCYYKVLELSKETGEDSGCTEAYGAIADCYTELGDLERAAKLYDKYISRLQPGGGE >ORGLA02G0218100.1 pep chromosome:AGI1.1:2:20669730:20670254:-1 gene:ORGLA02G0218100 transcript:ORGLA02G0218100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHLXIQFRLGKGTSGCDSRLPRLLLHRLHHRPRAQHRHADSHGDGDRRLWRRDEQATGSGGDDDDDGARDLPTTHGGEGDDDAPAGAGDPLGQTAPSRHHHQLPAVPPQPSAGPIAEVAVIVEAVRIAALRRLLGCSGATPPPAPVQANCDVEMGLPSGESSASRPAMKPQPGS >ORGLA02G0218000.1 pep chromosome:AGI1.1:2:20666429:20668312:1 gene:ORGLA02G0218000 transcript:ORGLA02G0218000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVRQAEAVGVGVGVEPSSALGRKRSASASSRPTTARGRGAFGVRSVVFSVGGMSSSARRRLAARLRSELAGVRALLAKAAGFLAPEGAAPSASPPAAAPCGGGRKDGRFLAAAGIRSGGAPAVDAGGENSGRKRKVSFLLERTDDAPMEMAPDGRTRLAARPASLSPSPPPPPPRQRQAADGKIGVEEEEDVDICGGASPLATAPMSPLFPDVDLSELVGATGAKLLSPLQRKYIALADAERADARGGASTVAPPALSPLLPAGYSELVGATGVDLLSPLPREHVALADRADALGLGLVVSATATTTSPSLPPGFAELAIADAGGTKMLSPLPRKYVALAERGGVASTATTLPSLDELADATGVKMLSPLPRKYVALAEEEDDEYVDICGDASPVVLHKNHGEIIISNSSSSSPSSDSDSDSNSSSSSSSSSSSDSDSDESAAAATHAPAIPTNACVSSAQPSSAAPAAPEVAQSAKKQEEKLPDQRTAAAAAAAVVATAPPKPLTDLITRAQGAAARRRQDEKAQAREKARQELREMERTTAPAMASNFIHPLDMKLLGLAAVEHMVSADEEARCRALRSAAPSLLEKLGLFLKADDGGDKVQRQQQPSFVAGDDDDVEEGEIR >ORGLA02G0217900.1 pep chromosome:AGI1.1:2:20661696:20664759:-1 gene:ORGLA02G0217900 transcript:ORGLA02G0217900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTTVHGTITGVDISMNTHLKTVKLTMKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRVKAKKPTAGKPLGRGRGRGRGRGRGRGR >ORGLA02G0217800.1 pep chromosome:AGI1.1:2:20658683:20660870:1 gene:ORGLA02G0217800 transcript:ORGLA02G0217800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDVSNESYGIKVGYAVPNASGGGAGSSSQGGGCCG >ORGLA02G0217700.1 pep chromosome:AGI1.1:2:20654449:20655859:1 gene:ORGLA02G0217700 transcript:ORGLA02G0217700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHLSSTSLRWQRSRLRQVLVLPPPLAMASGSTVRFVGNGDGGGTDATFGSGGEQQLYPLPLLNSVSGDGQWPWPLMGGDGPGLWLCSMECVEEVKRVCVVFKDYVSAAFNVTSLVNNHIDIWYNDPNIKVSN >ORGLA02G0217600.1 pep chromosome:AGI1.1:2:20638140:20638944:-1 gene:ORGLA02G0217600 transcript:ORGLA02G0217600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAIIVFAFVLVAALCLVASAQDPQANKQGGSGPSGYGHYPPWNGGYPGRPDRPWDHPDRPWDHRPHPGPGGHCRWGCCGHRNHWGECLRCC >ORGLA02G0217500.1 pep chromosome:AGI1.1:2:20630585:20630920:-1 gene:ORGLA02G0217500 transcript:ORGLA02G0217500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRPTSREAAVAVAVAEAEEEEAVAVPAATAEEEEVVVAAVATTHHGTEVTTHQAQATTTTRTGTTTAAVGGAATVATGEIATAAAKVVPGLAIASLTPPIHRDHDAELIYQ >ORGLA02G0217400.1 pep chromosome:AGI1.1:2:20616357:20616890:-1 gene:ORGLA02G0217400 transcript:ORGLA02G0217400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKIVIKVHMSSDKCRRKAMALAASTGGVVSVELAGDDRSKVVVVGDVDSIGLTNALRRKVDGSAELVEVSDASKKKEEEAKKKEEEKKKKELAYYHHGYGYYHPGGVYHHHPGYGPHGCPCGCNPSPDSTCSIM >ORGLA02G0217300.1 pep chromosome:AGI1.1:2:20612952:20613359:-1 gene:ORGLA02G0217300 transcript:ORGLA02G0217300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKIVIKVHMGSDKCRRKAMALVAATGGVVSVELAGDDRSKVVVVGEVDSVKLTSLLRRKVGPAELLEVGDAKAAKEEKKEEKKPETVPPYYYYYPYHHYSPYGYIM >ORGLA02G0217200.1 pep chromosome:AGI1.1:2:20609040:20609570:-1 gene:ORGLA02G0217200 transcript:ORGLA02G0217200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVIKVEMSCDKCRSKAMALVASTGGVDSVALAGDGKDQVVVVGDGVDSIKLTAALRKKVGHATLVTVGEVKKEEKKPEPAAVVEYPWSYHPAYAYAPPAQHVVYQQYPASSPWWC >ORGLA02G0217100.1 pep chromosome:AGI1.1:2:20601579:20602158:-1 gene:ORGLA02G0217100 transcript:ORGLA02G0217100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVIKVNMASDKCRSKAMALVASTSGVDSVALAGDGKDQLVVVGDGVDSIELTTALRKKVGHATLMTVGEDKKEEKKPEPAIVEYPYPWNCYPYGYAPPPQHVVYQYPASSSWWW >ORGLA02G0217000.1 pep chromosome:AGI1.1:2:20594325:20595515:-1 gene:ORGLA02G0217000 transcript:ORGLA02G0217000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKAFVVFALLMLVAASAVVDVASADHGDHDGKKCGPCKCKGCCHYDECYEYCSGDSGPCCKWGKCHSCCS >ORGLA02G0216900.1 pep chromosome:AGI1.1:2:20583779:20584429:-1 gene:ORGLA02G0216900 transcript:ORGLA02G0216900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKAFVVFALLMLVAASAVVDVASADGKCGPCNCKGCCDYDGKCMSYCSGHSGPCCKWKGKCHGCCS >ORGLA02G0216800.1 pep chromosome:AGI1.1:2:20573851:20574989:1 gene:ORGLA02G0216800 transcript:ORGLA02G0216800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGVELMGCVCRIKNCAVELLEMEEDLVIGMDDDDRDLFWSELQLKTTFLYIDLSRVISSSESDERREALTLLTNKLFYFLEELTDAVTSGSVSFTKLCYGDAAQALREVVAFLAPPQ >ORGLA02G0216700.1 pep chromosome:AGI1.1:2:20570832:20573050:-1 gene:ORGLA02G0216700 transcript:ORGLA02G0216700.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKENTRRRKTDEHYLGKKTRDRKDKMVESSWATNRQVQTTDIRSPSTLACNTVRDNQFQSGASEIDRAMLNILEENARVLKQIGENIMTSQAQNNIDLFHHARRNISDLLQSMSQMPGIMSKMPPLPVSIDDRLASYILPGVNLAQVFGSSHLRS >ORGLA02G0216600.1 pep chromosome:AGI1.1:2:20560686:20564767:1 gene:ORGLA02G0216600 transcript:ORGLA02G0216600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPEAAAVGEEEKGKGKREEGRRGGGVLGRMWRALFGRREDYEKRLQYLSKEEAAVHARMRRRTQFSRTAVRNLIVLSVLAEVVAIVYAIMTTRNEDITWEMRAIRVLPMFVLPAVSSVIYSTVVKFTRMLERKDQKTLEKLRAERKAKIDELKERTNYYLTQQLIQKYDLDPAAKAAAASVLASKLGEETGLKVHVGEEPKLDSAVARSNDVEILPSEGLRNRKQSNARGSRTGGTTAAQNPAQGAESSLTSSSGLEQPPMVVEHFQGSGASDGGWIAKIAALLVGEDPSQSYALICGNCHMHNGLARKEDYPHITYYCPHCHALNTSKQSLGQHSGSNSGWSTPVAPADGISASSSVVESEVSNMTTIQELKNEENTEKQEVQAS >ORGLA02G0216500.1 pep chromosome:AGI1.1:2:20557379:20557849:1 gene:ORGLA02G0216500 transcript:ORGLA02G0216500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVLRELRLSPNDDSNRREPLARHAGAGNGVDDVAAATGHIGMARPDLRPYRLLPCWWFLAEFAYEAIHGEGSPDFSCLASVFENLHELLPSHIVLEVLKRKYGPEGGIWSIRVMLYTFLAGVPPFWAELENTIFTAILHRPPPSAGDVERVGG >ORGLA02G0216400.1 pep chromosome:AGI1.1:2:20532558:20538341:-1 gene:ORGLA02G0216400 transcript:ORGLA02G0216400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIADCAADPPLADSYYALLRLGGDDDDDACTKVTTTPQPVSECELPMIDVGCLTAPSPPPTGAAAAAAVGQQHQAEERAACAAAIAAAAAEWGFFQVVNHGVAQELLEAMRREQARLFRLPFEAKSSAGLLNDSYRWGTPTATSLRQLSWSEAFHLPLAGISGKSCNYGDLTSLRDVTREVADAMSRLARALARVLAESLLGHAAGERFPEGCDDATCFLRLNRYPPCPFPPDDAFGLVPHTDSDFLTVLCQDHVGGLQLMKGSRWVAVKPIPGALIVNIGDLFQAWSNNRYKSVEHRVMTNATTERYSVAYFLCPSYDSPIGTCREPSPYKAFTFGEYRRRVQEDVKKTGKKTGLSNFLV >ORGLA02G0216300.1 pep chromosome:AGI1.1:2:20529009:20530205:1 gene:ORGLA02G0216300 transcript:ORGLA02G0216300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPDEIIANILTRLPPKELVRARVVCKQWHALTSEHLFMHTNLLRTNAGHPVIAGFFLNDEIHETFSYNPLLRGYSSPDLSFIPTTADTANSETYVTSSCHGLLLCRRRRRIDGELGVYRARHYVCNPETMEFFEVDVPAGAGGAGQYLNLAYDPLKSRHQYRIVARGHDGIRVYSSRTRFWRTAVRYDGRCRRSPFAGLRHPRGVFWNGSLVWAMLSPRLLRFAIDSGELSEMPLPPRLRSEGWFHAGWVYAYVGESGGRLQVIGYTDEERRAACFDVLEMRDDEEGEWTVLYRVDLTRVEELYDPGDDDGARVARVTLEHFSWGGAPLHVVRGPGEAGRHGVLFFSVPGKIACYDAESRAVSVVWQDTATTSSPKHLLSYTWFNFYAYTPSFLRL >ORGLA02G0216200.1 pep chromosome:AGI1.1:2:20527865:20528149:-1 gene:ORGLA02G0216200 transcript:ORGLA02G0216200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLARQWLCPPPRHRRHPPLLSRLRKFSINSVDALWRRTTSEQVKQQPASSRVRRWRSPSASSPSCCHQPWKRCPSSCRNRRSWPRRYRWAYTA >ORGLA02G0216100.1 pep chromosome:AGI1.1:2:20525241:20526473:1 gene:ORGLA02G0216100 transcript:ORGLA02G0216100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAILFSDDIIANILAWLPPKNAARMRLVCKQWHAVTSEHHLMHTNFSRSRDGHSVAGFFLSNELHKKFSYNPLRDSSATHPAAPDLSFVPESGDTVPESGSTVPRKIYVTSSCNGLLLCRRPMDSSVASGARWCCYYVCNPATKRFVEIPTPPDGRGRHLNLAYDPSRSPVYKVVALGLAGVHVYSSQARSWRAALRYERGSNPFAGIHHSRGVHWNGSLVWMTSRSRSLLRFAVDDGEGELSSLPMPPARHLQPENRWICGYLGVGESAGAGRGHLRMIGYTEEEKLAARFDVVGMAGDCREWRVLYRVDLTRMKELYPDIQRKTRKHHLIWPRRARLVDCLDLWPLHVAEHGSLLLFGIPGKIMAYGMEDQAISVVWEDAAPPQPRFFRYAWFDFYPYTAGLFAV >ORGLA02G0216000.1 pep chromosome:AGI1.1:2:20523758:20524134:1 gene:ORGLA02G0216000 transcript:ORGLA02G0216000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRMVASAFLLLAILVATEMGTTKVAEARHCLSQSHRFKGMCVSSNNCANVCRTESFPDGECKSHGLERKCFCKKVC >ORGLA02G0215900.1 pep chromosome:AGI1.1:2:20517020:20518006:1 gene:ORGLA02G0215900 transcript:ORGLA02G0215900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWLRLMYRKVGRKVNRQRQETRVQPLSAMPGQRPDPTASARENTHGMHGSKNSKLGAYFTASLLTDRFLVKLLNSWRASVTSAVEVCRLSSYKNKNMDLSKVKTGQIRCNFTDGVHFAYMATQAGVASYRNATPPLTLQLCIQGNFHAFPLPPFLHERITSIVHETEGWTSTL >ORGLA02G0215800.1 pep chromosome:AGI1.1:2:20512187:20515309:-1 gene:ORGLA02G0215800 transcript:ORGLA02G0215800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFFFCLFFHLLFLFPTNSLNQSYCDPGDASALLGFMQGLSGSGSGWTVPNATSETANCCAWLGVKCNDGGRVIGLDLQGMKLRGELAVSLGQLDQLQWLNLSSNNLHGAVPATLVQLQRLQRLDLSDNEFSGEFPTNVSLPVIEVFNISLNSFKEQHPTLHGSTLLAMFDAGYNMFTGHIDTSICDPNGVIRVLRFTSNLLSGEFPAGFGNCTKLEELYVDLNSITGSLPDDLFRLSSLRDLSLQENQLSGRMTPRFGNMSSLSKLDISFNSFSGYLPNVFGSLGKLEYFSAQSNLFRGPLPSSLSHSPSLKMLYLRNNSFHGQIDLNCSAMSQLSSLDLGTNKFIGTIDALSDCHHLRSLNLATNNLTGEIPNGFRNLQFLTYISLSNNSFTNVSSALSVLQGCPSLTSLVLTKNFNDGKALPMTGIDGFHNIQVFVIANSHLSGSVPSWVANFAQLKVLDLSWNKLSGNIPAWIGNLEHLFYLDLSNNTLSGGIPNSLTSMKGLLTCNSSQQSTETDYFPFFIKKNRTGKGLRYNQVSSFPPSLILSHNMLIGPILPGFGNLKNLHVLDLSNNHISGMIPDELSGMSSLESLDLSHNNLTGSIPSSLTKLNFLSSFSVAFNNLTGAIPLGGQFSTFTGSAYEGNPKLCGIRSGLALCQSSHAPTMSVKKNGKNKGVILGIAIGIALGAAFVLSVAVVLVLKSSFRRQDYIVKAVADTTEALELAPASLVLLFQNKDDGKAMTIGDILKSTNNFDQANIIGCGGFGLVYKATLPDGATIAIKRLSGDFGQMEREFKAEVETLSKAQHPNLVLLQGYCRIGNDRLLIYSYMENGSLDHWLHEKPDGPSRLSWQTRLQIAKGAARGLAYLHLSCQPHILHRDIKSSNILLDEDFEAHLADFGLARLICPYDTHVTTDLVGTLGYIPPEYGQSSVANFKGDVYSFGIVLLELLTGKRPVDMCKPKGARELVSWVLHMKEKNCEAEVLDRAMYDKKFEMQMVQMIDIACLCISESPKLRPLTHELVLWLDNIGGSTEATK >ORGLA02G0215700.1 pep chromosome:AGI1.1:2:20511269:20511520:1 gene:ORGLA02G0215700 transcript:ORGLA02G0215700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALGCAVGRGLARSPPGSAAGTVVAGSDSRVASRACHLFDRMPDPRGYHQLKFTSDD >ORGLA02G0215600.1 pep chromosome:AGI1.1:2:20506488:20509726:1 gene:ORGLA02G0215600 transcript:ORGLA02G0215600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEASAPEGGEFSAKDYTDPPPAPLIDVEELTKWSLYRAVIAEFIATLLFLYITVATVIGYKHQSDATVNTTDAACSGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYIIAQCLGAICGVGLVKGFQSSYYARYGGGANELSDGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKDKAWDDQWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSYRSNA >ORGLA02G0215500.1 pep chromosome:AGI1.1:2:20501528:20504453:1 gene:ORGLA02G0215500 transcript:ORGLA02G0215500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQDKQAVADLQERRRPRRRSWWYMVQIDVKRWLHRRTMLLLRDNCGLNSLCEDRYIKLTRLGAHRILIHYARLMQCLYYNILINEVGMKSWEEYTEQDREEVRALIDNIVELCRSSIPRSPHDVVRERRRLEEDLLVVRAFTRLATSPSYKAAQPWPEPTQRFIVVGERMIEALVESGGHALGRDMMFNFIAISRYMDFVASSLERVPDYNNTNPIKADIPFGETMGLKTSLAQAPPLERRRESYESTCGMPLARRPFRTPPHMLTQSHSRSLTVQSISTTHHHKIHNADESCGLHTA >ORGLA02G0215400.1 pep chromosome:AGI1.1:2:20498600:20499112:-1 gene:ORGLA02G0215400 transcript:ORGLA02G0215400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTRSAATEQAYSRFAPTAASSRGRGVGGGNGFDEFDESDIWGSFEPAAEVAESPRAARHQVPAARPPGRKAAAAASKPAAHGSLPVNIPDWSKILGDEYRGHHAGDWEADDVDDDDIDAASAVAVLPPHELAWRRRAASLSVHEDGMGIGRTLKVRDAVWKKTGFQA >ORGLA02G0215300.1 pep chromosome:AGI1.1:2:20495452:20496107:1 gene:ORGLA02G0215300 transcript:ORGLA02G0215300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAKCRQDYMAIVKQSRQLIEKLDAKNEPKKRQKRSKNSEKEKAVAPSSSKKMDREELEKTIRGFLKELDSTEAVPMRTTGCTSAMRPSTIDQDFNTQ >ORGLA02G0215200.1 pep chromosome:AGI1.1:2:20491942:20493946:-1 gene:ORGLA02G0215200 transcript:ORGLA02G0215200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRKKGIPMSRQGRGSSEPQAHYCLAKVVEEEGGGTVQEGKPLLDKVFTAYHVNELWPTKTDVLNSALVSVYRLSCIKAEMHRSSHLILRSVLGRLNPPIGEVCSRVWFDWFCTSTNSRPVESETQFDTVSDPTRQTDSITEPTFLWSEEEKRKRKKKKKKGAEMIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRAEKIRIQKWYNIYKDHITLADYEIHDGMGLELYYD >ORGLA02G0215100.1 pep chromosome:AGI1.1:2:20478902:20481176:1 gene:ORGLA02G0215100 transcript:ORGLA02G0215100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1P2E5] MITFADLAEPAPGAERCVDRQLWLACAGGMCTVPPVGAAVYYFPQGHAEHALGLAAPELSAARVPALVPCRVASVRYMADPDTDEVFARIRLVPLRAAEDGDVEEDGAAAGEEHEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAADPPVQTVVAKDVHGVAWNFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRGDGGDLHVGIRRAKRGFCGGGGGAEEASLPGWDQYGGLMRGNASPCAAAKGRGKVRAEDVVEAARLASGGQPFEVVYYPRASTPEFCVRAAAVRAAMRVQWCPGMRFKMAFETEDSSRISWFMGTVAGVQVADPIRWPQSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSSMPAIHLSSFSPPRKKPRIPAYPEFPFEGQLLNPAFPPNPLAHGHHHYHHNHPSFFPFPDVSAPAGIQGARHAQFGPSLSDLHLTHLQSSLMYPGLRHPDHVGPTPIPPPRISTDLTMGSSPPARALSMGAKKPDDAKPPGLMLFGQRILTERQMSLSGTTSPAATGNSSLNWNTEKGASEGSGSGVIQNSPTDNTSSERLQWFRENSTVSELGLEPGQCKVFIESDTVGRNLDLSSLASFEQLYGRLSEMFCIDSAELRSRVLYRGATGEVRHAGDEPFSEFIKLARRLTILTDAGSDNLGS >ORGLA02G0215000.1 pep chromosome:AGI1.1:2:20466081:20468487:1 gene:ORGLA02G0215000 transcript:ORGLA02G0215000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGFQLGVIGSLTLSVASSVSIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRMRFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVMLETIFLKKRFSESIKFSLLILLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQILTNTIQKRLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTSRSVFAHKYTAPVVGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTMRNILGILVAIFGMALYSYFSVRESKKKSAGDPLPVSQMPEKEVEPLLATKDVNGDTKKANGVTHDC >ORGLA02G0214900.1 pep chromosome:AGI1.1:2:20455559:20459058:1 gene:ORGLA02G0214900 transcript:ORGLA02G0214900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:I1P2E3] MEIKDEETTAEVAMVVQSRFRRVCVFCGSSHGKKKIYQDAAIELGKELVARNIDLVYGGGSVGLMGLVSQAVHNGGRVIPKTLMPREISGETVGEVKAVSDMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNPLLSFIDKAVEEGFIRPSARHIIVLAPTPKELIEKLEEYSPQHEKVVSKMKWEMEQMSYPQNYDIPRPKEGKMIIEAQRGSRLWISDPKRMESEPVPDFQSEETVNSEISARENANLGLHNHKDQGTSSL >ORGLA02G0214800.1 pep chromosome:AGI1.1:2:20448722:20451010:-1 gene:ORGLA02G0214800 transcript:ORGLA02G0214800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLSAVLADLLSRSISFVIDRYCQQQQGVEENLQQLQRMLLRIQTVVEEANGRRITNQAMLLQLKTMRNVMYRGYYFLDNFRYQIALGHAPDEVDDHSLASFPFNPLKRFRFSTMARKIVSEDQEKKELLKMLGRLESIISEEFVMSLRSYPRMVRQPYCSYLLLENCMFGRQAEQERIISFLLESHRAGAEGVAVLPIIGPARVGKSTLVENVCHDERVRKYFSTIVFYYTGSTEGAVADTGVIKHQNPASTKQSLVVIELVDDMDDETWRRILSSLRGDNIAAPVSKIIITSQSNKIATFGTTETLQLDMLPKEAFWYFLKTIAFGSTNPEEEPKLTSICMEIAAQANGAFLHANIIGGILRSNFSVQFWYKVLKRMKIITNWHFRLLGEHPRDMFAAKSGRTIVWFSKLNRFVPVTYNEASSSRLNDHPTSNAFIAKKGQLDENIDALEWQSSIPPYYKYRTRYAMIEQPNMLSTGKRSRSLSXGCTLKLRTEFELTFLPASCASAALTTAKPVAPWYHRRDGPPKNLRLSILAVAGKQDRHCHNSAVVIL >ORGLA02G0214700.1 pep chromosome:AGI1.1:2:20436843:20438852:-1 gene:ORGLA02G0214700 transcript:ORGLA02G0214700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSGDGVEEDGTGDVPAQESKGGKAATDHLLPAFFTVFLFGGLSWRRRRRWGGVFLAAIVVAGGXSSRSLLCSCLVGVSLRRWGGVFLQPPGIRRALADVSNLVNGRAALPVVNRQKAPAAADKCRKPIKQRNENNKAKPEVIVISSDSEKQKKSPAQRAASRRAPIQTLTSILTKCSRASGGVISPKKELIYDIDASDAHNELAVIDYVEDIYRFYRSTENTYRPLCTYMVSQTEINERMRAILTDWLIEVHYRLMLMPETLYLTVYIIDQYLSLENVARNELQLVGVSAMLIAYKYEETWAPLVKDFLVISDNSFSRQQVLSTEKSILNKLQWNLTVPTMYMFILRYLKAALGDKELEHMTFFYAELALVQYSMLFFAPSVIAAAAVYAARCTLGPCPEPTMEQSSGVPHRLSRATIAPLXXXXXX >ORGLA02G0214600.1 pep chromosome:AGI1.1:2:20420026:20422826:1 gene:ORGLA02G0214600 transcript:ORGLA02G0214600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclic nucleotide-gated channel 15 [Source:Projected from Arabidopsis thaliana (AT2G28260) TAIR;Acc:AT2G28260] MACNGSRAVRFQNDMELPHWKTSSVPECTSSSRSTKHGKAQHQQQQHHDPRKWRRGGGGGGSLKDRVLSRAFSEELESLMSSGANHLFFDPRGQLIHLWSKIFLAACLASLFVDPLFLYLTGTRQNMCIELKYSLAFTLSMIRSLLDLFYAAHIFFRFRTAFIAPSSRVFGRGELVIQPCKIARRYLAGTFWFDLVTALPLPQFVIWIVIPKLKESATANRKNILRFSIIFQYLPRLFQIFPLSRQIVMATGVMMETAWAGAAYNLILYMLASHVLGALWYLFSVQRQEACWREACHVEGPSCQTLFFDCKTVSSNRTMWYELSNITSLCTPSNGFYQFGIYGEALDNGLTSSSFTQKYFYCFWWGLKNLSCLGQNLSTSLFIGEITFATVIGVLGLVLFALLIGNMQSYLQATMVRLEEWRTKRTDMERWMNHRQIPQPLKQCVRRYHQYKWLATRGVDEEALLEDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGYLDSYTTQGGRSGFFNSCRIGAGEFCGEELLPWALDPRPAASLPLSTRTVRAVSEVEAFALVADDLRFVASQFRRLHSARIRHRFRFYSHQWRTWAACFIQAAWRRNKRRRASMELRMREGGEARPGGSVRCRRHSCDGKALIKKPMEPDFTVEEED >ORGLA02G0214500.1 pep chromosome:AGI1.1:2:20389966:20392226:-1 gene:ORGLA02G0214500 transcript:ORGLA02G0214500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:I1P2D9] MECENGRVSANGMSGLCVAAPRADPLNWGKATEEMTGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVAAAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRENAVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKHAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTNSTGDLHVARFCEKDLLKEIDREAVFAYADDPCSHNYPLMKKLRNVLVERALANGAAEFNADTSVFAKVAQFEEELRATLPGVIEAARAAVENGTAAIPSRITECRSYPLYRFVREELGTKYLTGEKTRSPGEELNKVLVAINEGKHIDPLLECLKEWNGAPLPIC >ORGLA02G0214400.1 pep chromosome:AGI1.1:2:20382799:20384940:-1 gene:ORGLA02G0214400 transcript:ORGLA02G0214400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:I1P2D8] MACENGQVAADGINGLCMAAPRADPLNWGKAAEEMSGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVAAAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLSAEATRAAMLVRINTLLQGYSGIRFEILEAITKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRDKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVEILKLMSSTFLVALCQAIDLRHIEENVKSAVKSCVMTVARKTLSTSATGGLHAARFCEKDLLQEIDREAVFAYADDPCSANYPLMKKLRNVLVERALANGAAEFNAETSVFAKVAQFEEELRAALPAAVLAARAAVENGTAATPNRITECRSYPLYRFVREELGTAYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGAPLPIC >ORGLA02G0214300.1 pep chromosome:AGI1.1:2:20375267:20378926:-1 gene:ORGLA02G0214300 transcript:ORGLA02G0214300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:I1P2D7] MECETGLVRSLNGDGLCMSSVSAPPRADPLNWGKAADELAGSHLDEVKRMVEDFRQPLVKIEGASLTIAQVAAVAAGAGDARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAITKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSVAVAPDGRKVTAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAILAEVLSAVFCEVMTGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLIALCQAIDLRHLEENMKTAVKNCVMQVAKKSLSMNHMGGLHIARFCEKDLLTAIDREAVFAYADDPCSANYPLMQKLRAVLIEHALANGDAERVLETSIFAKVAEFEQHVRAALPKEVEAARAAVENGTPLVPNRIKECRSYPLYRFVREEVGTEYLTGEKTRSPGEELNKVLVAINERKHIDPLLECLKEWNGAPLPLC >ORGLA02G0214200.1 pep chromosome:AGI1.1:2:20363771:20367214:-1 gene:ORGLA02G0214200 transcript:ORGLA02G0214200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:I1P2D6] MAGNGPINKEDPLNWGAAAAEMAGSHLDEVKRMVAQFREPLVKIQGATLRVGQVAAVAQAKDAAGVAVELDEEARPRVKASSEWILNCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGTGSDGHTLPSETVRAAMLVRINTLLQGYSGIRFEILEAITKLLNTGVTPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAISPDGRKVDAAEAFKLAGIEGGFFTLNPKEGLAIVNGTSVGSALAATVMFDANILAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILAGSSFMSHAKKVNEMDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPITNHVQSAEQHNQDVNSLGLVSARKTLEAVDILKLMTSTYIVALCQAVDLRHLEENIKSSVKNCVTQVAKKVLTMNPTGDLSSARFSEKNLLTAIDREAVFSYADDPCSANYPLMQKLRAVLVEHALTSGDAEPEASVFSKITKFEEELRSALPREIEAARVAVANGTAPVANRIVESRSFPLYRFVREELGCVFLTGEKLKSPGEECNKVFLGISQGKLIDPMLDCLKEWNGEPLPIN >ORGLA02G0214100.1 pep chromosome:AGI1.1:2:20359065:20359484:1 gene:ORGLA02G0214100 transcript:ORGLA02G0214100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNLDRDPNSSPMGLGGPSDGSYIFVRGVTGGAWEYGEENARGGEGRASAIDYFLYIDARYFARVPSTSQRLLPPRSASSSNDL >ORGLA02G0214000.1 pep chromosome:AGI1.1:2:20345875:20349022:1 gene:ORGLA02G0214000 transcript:ORGLA02G0214000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSEEYISFYDSELEEGEFRECRAFEDQTVKVRQLALHGTCSGEYSQSYSSHVNSRSNKRHKQKEHDYYKYSDYLQVLKKIEKISSRRFDKLLVWHNEDREEFNVVRKSQEFEFFQEHLRSYEVQYTRVIPTIKRFRMKLPKLLFSVLHKTFHKHFQSQLIEFVKRQIKDRDKEKRVRNRWIFEAEAGYLKTDFDMIPLSYSGLKIEKLKCSSTDYLNGDAQLNYFNMECLSTEIEAIASSSTKSEETRAGKRSDTSEPILDNSEVLLEINVSTKDGASVGAAEEVFTCERSSQSTCGPTTMVFGQNNGRQIDFPVAAQSNVGDAELSYASQSHISAASAHANVVAADSENANLLSRAKGRCPSSTYNVSLGSCSGSQRKLPFESASSPCETALLHKEAPCADHQISLNTVSPQETPCANHQISLDTVSPQEAPSASPPSTNVIQMEQSEDISNVIRVEQSEDIRSEEAPNGQASSFAQVTEQPNMQANTSTCQAVTHQPPDGSIHSVRTEFINPRASNIESYSINQILTRSIFEQRPNEAGFQSDPVAVELSRLQMLRSLMTKRHEEKRQQIILAREIEMAETKRKYDELIHKLEMETSQRKKGLQILADKVYKQQTLAEGFQTMFVSHGSRARRSMPEPNRSSGQQALQIPASVSAPASAVMCQPSQQDAQSSMGSSPRHPFVTINHHSMDYLGRSATPLAHSRGAGMGSGIAYHAPESHLHSVVNPLPASGLQLGIASLEQ >ORGLA02G0213900.1 pep chromosome:AGI1.1:2:20316543:20322031:-1 gene:ORGLA02G0213900 transcript:ORGLA02G0213900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGVLLGMGNPLLDISAVVDDAFLTKYDVKLNNAILAEEKHLPMYDELASKGNVEYIAGGATQNSIRVAQWMLQTPGATSYMGCIGKDKFGEEMKKNAQAAGVTAHYYEDEAAPTGTCAVCVVGGERSLVANLSAANCYKSEHLKKPENWALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAANNKVFLMNLSAPFICEFFRDAQEKVLPFVDYIFGNETEARIFAKVRGWETENVEEIALKISQLPLASGKQKRIAVITQGADPVVVAEDGQVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQQKSIEDSVKAGCYAANVIIQRSGCTYPEKPDFN >ORGLA02G0213800.1 pep chromosome:AGI1.1:2:20310435:20311469:1 gene:ORGLA02G0213800 transcript:ORGLA02G0213800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTPKITGKTTSMSGELKRDYEIGEEIGRGRFGVVHRCTSRATGEAFAVKSVDRSQLADDLDRELAELEPKLAQLAAAGNPGVVQVHAVYEDDAWTHMVMDLCSGPDLLDWIRLRRGAPVPEPVAAAVVAQLAEALAHCHRRGVAHRDVKPDNILLDVVDDGIDGGGTPRARLADFGSAAWVGESGGSAEGLVGTPHYVAPEVVAGGEYGEKADVWSAGVVLYVLLSGGALPFGGETAAEVLASVLRGSVRFPPRLFAGVSPAAKDLMRRMMCRDTWRRFSAEQVLGKNNPTKSSKSSWISPHFPPSFRSKRTNHLIACRAVRNAGHPWIVSGGGARAMEQPT >ORGLA02G0213700.1 pep chromosome:AGI1.1:2:20298466:20299947:1 gene:ORGLA02G0213700 transcript:ORGLA02G0213700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRTERLASCLAAVAAKPADFRRAHAILLTSGHLSSRYSVNCLIRAASAPSASALLLRFLLNHRLLPDHLSLSFSLHPCSRLPSHPVASVVHSLAVRLGHARDVYVVNAAASAYFAAADVASAERLFSEASRDVADVVTWTTMVTGHANAGDVERARWFFDAMPEKNVVSWNTMLGAYARAGMLPKARKLFDRMPSRNAATWGSMITGLLQSDHCEEALRVFSDMVASGVVPNEPALVSTVSACTQLRWMEHGTWVHGYAERELNGAMSAVLATAIVDMYGKCGSIRDAVRVFAAMPVRNIYSWNSMIAGLAMNGSERQALSLFWKMQLAGVRPNDITFIGLLSACSHSGLVDEGRWLFYKMVNHFGIQPVPEHYGLMVDLLGRSGHVREAVDFVKSMPVEPHPGLWGALAGACKIHGEVELGEEVAKKLIELEPQHGSRYILLSNIYATSNRWDDMANVRRILRDRKVPKGTGNAIVGNDSQSSGYEIDS >ORGLA02G0213600.1 pep chromosome:AGI1.1:2:20291027:20295698:1 gene:ORGLA02G0213600 transcript:ORGLA02G0213600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cryptochrome 2 [Source:Projected from Arabidopsis thaliana (AT1G04400) TAIR;Acc:AT1G04400] MAGSERTVVWFRRDLRIDDNPALAAAARDGAVLPVFIWCPADEGQFYPGRCSRWWLKQSLPHLSQSLESLGCPLVLIRAESTLEALLRCIDSVGATRLVYNHLYDPVSLVRDDKIKKELSALGISIQSFNGDLLYEPWEIYDDSGLAFTTFNMYWEKCMELPIDVSPSFAPWKLVPVPGLESVRSCSVDDLGLESSKDEESSNALLRRAWSPGWRNAEKMLEEFVSHGLLEYSKHGMKVEGATTSLLSPYLHFGEVSVRKVYQLVRMQQIKWENEGTSEAEESIHFFMRSIGLREYSRYLCFNFPFTHEKSLLGNLKHYPWKVDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDSISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPVSRKRSFCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNCVDEVSLIIIFDRQVLKNLMRYFHLCSFFYQESKHPMKLPPQDL >ORGLA02G0213500.1 pep chromosome:AGI1.1:2:20273344:20278519:1 gene:ORGLA02G0213500 transcript:ORGLA02G0213500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRGAPSGIGLWAALLVAAAVLAAGGGAAAAAAKDEAYVTLLYGDEFVLGVRVLGKSIRDTDTSRDLVVLVSDGVSEYSRKLLEADGFIVKHITLLANPNQVRPTRFWGVYTKLKIFNMTSYKKVAYLDADTIVVKSIEDIFNCGKFCANLKHSERMNSGVMVVEPSETLFNDMMDKVNSLPSYTGGDQGFLNSYYADFANSRVYEPNKPTTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLVKPVAVWQDIRKNLEESLPGTGGGRNPHDQSMVKILFSLPLFMLICGYYGSCFQTNKELLCIRSLCAFARRARYKYKSEEALPSYSTIGAASSSFGISHQKSHNGAHLKLPSYFGAITVLVCFISALISLAFAFIIIPRQVMPWTGLLLMYEWTSVTFFLLFGSYLRVVYNWGSSSANHVGHNNLDSSENHAGAGHQRNTSDCDTDAAFYWSGMAILSSIALLSPTVLGITALFAKLGLMVAGGVVLASFMTYASEHLAISAFVKGQRNRNASRGSICFMC >ORGLA02G0213400.1 pep chromosome:AGI1.1:2:20257154:20258048:-1 gene:ORGLA02G0213400 transcript:ORGLA02G0213400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKRGPWTAEEDRILVAHIERHGHSNWRALPRQAGLLRCGKSCRLRWINYLRPDIKRGNFTREEEDAIIHLHDLLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLEPKPSSGREAAAPKRKATKKAAAVAVAIDVPTTVPVSPEQSLSTTTTSAATTEEYSYSMASSADHNTTDSFTSEEEFQIDDSFWSETLAMTVDSTDSGMEMSGGDPLGAGGASPSSSNDDDMDDFWLKLFIQAGGMQNLPQI >ORGLA02G0213300.1 pep chromosome:AGI1.1:2:20249364:20251835:-1 gene:ORGLA02G0213300 transcript:ORGLA02G0213300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLMAVLIASAWPAAASTTTAAAQPAAACQRRCGDVDIPYPFGIGRGCYLYTGEGDVTFGLTCNRTADGSYRPFCWEYEVLDVSLRRGQARVRNDINRWCYNTTTRSMDAESTWWWDVSDSWFHVSDEGNRLVVVGCNSLAYVTSVNETEYMTGCMATCPSVGRLENGSCSGMGCCEAAIPRGINSYVVGFEEKFNTTSGAVGRCSYAVVVEAASFEFRTTYVTTGDFVESTGGKVPLVLDWVVGKETCREARRNATGYMCVSRDSECVDSRNGPGYLCNCSAGFEGNPYLLDGCQDINECEDSRFKYPCSVPGTCINTPGGFRCSCPDKTTGNAYFGTCEAKKSQLGVHIAIGVSIGIALLVIIMSSAYMIQQKRRLATVKRRYFNQHGGLLLFEEMKSNQGLSFTVFTKEELEEATNKFDERNVLGKGGNGTVYRGTLKDGRVVAIKRCKLINERQKKEFGKEMLILSQINHRNIVKLHGCCLEVEVPMLVYEFIPNGTLYQLIHGGRHGSRISFAARLKIAHEAAEALAYLHSWASPPIIHGDVKSPNMLIDENYTVKVSDFGASTLAPTDEAQFVTLVQGTCGYLDPEYMQTCKLTDKSDVYSFGVVLLELLTCRKALNLQALEEEKNLSSHFLLAMSENRLEGILDSQIQSEQSIELIEQMADLAKQCLDMSSEKRPSMRQVAEELDRLRKLAEHPWGRHESEELKKLLVRGSPSTFSEIELSNGYVSLTDSAYLGIQSPR >ORGLA02G0213200.1 pep chromosome:AGI1.1:2:20227685:20239315:-1 gene:ORGLA02G0213200 transcript:ORGLA02G0213200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase [Source:UniProtKB/TrEMBL;Acc:I1P2C6] MEALRAWRASSNLLGFAASRAAKAAPAARPLHPHVRCCSPAAASTTKPPPPPPQDRRRRSASSSSSSTSDRESIRAIRLKKVEELRGKGYEPYAYKWDRTHTTKELQDGYTHLENGEVCADVAVSIAGRIVARRAFGKLVFMTVRDDSGTIQLYCEKDSLTEEQFEQLKTIIDIGDIIGANGSIKKTEKGELSVYVKNFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRTRAKVVSEIRKTMESFGFIEVETPVLQGAAGGAEARPFITHHNSLQRDLYLRIATELHLKRMLVGGLEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNLAEEIVTRCAMATHGKLRVDYQGTEISLERPWRRETMHSLVKEATGIDFNSFGEDLESAKNAARGIKTESNENISLQACSSVGHVLNEVFETVVESSLVQPTFVLDYPVEISPLAKPHRRYAGLTERFELFICGREIGNAFSELTDPIDQRGRFENQIKQHNAKRAAMTKEVKSTEGKHEEDDFSYEVTLDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKIQQ >ORGLA02G0213100.1 pep chromosome:AGI1.1:2:20209242:20210381:-1 gene:ORGLA02G0213100 transcript:ORGLA02G0213100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEEEPAVAAAAYTTASKAGLLMELSPPNHESSPPTAGGGGGGGGDGAGGSSSAGASSSAGGGAATPQTPSRYEAQKRRDWNTFGQYLRNHRPPLGLAQCSGAHVLEFLRYLDQFGKTKVHTSACPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQPADTSGGGGHPHPPPPPPPPPSAGAAC >ORGLA02G0213000.1 pep chromosome:AGI1.1:2:20195755:20198815:1 gene:ORGLA02G0213000 transcript:ORGLA02G0213000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFVLSKFIVVVICAYTNAEVAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRGSSSSSSSSSSSSRAVVGMRKTLVFYQGRAPNGVKTGWVMHEFRLDSPHSQPRCVCLSCVLQEDWVLCRVFQKRKGDGDGPQDSGGAASPTFTGSMSTTTLSQLQPPDHRRHAAAAAGGYYVGSQQLAAGYDSAAGFANPTQPAVPHYQYGGAVIGFPEEFGGGGGVADEYGFGTYLDLGFELDDTASVLGGIRSFPQGWN >ORGLA02G0212900.1 pep chromosome:AGI1.1:2:20186183:20188937:1 gene:ORGLA02G0212900 transcript:ORGLA02G0212900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQREAMSTTTSKSSRARTPRTGNKIPKERFCITAVDAVGLPTSPRKILSRFRSICGVIGRQKLSILQVDIKLVPAAEKDIAWLTFKESFDYPAEHEDRLRARSEAYHLLQTRNQHPHRLGTTGYAGKEDEWQGEDEEAEELNTPLVFGDIPHPWARNWARARYQKNDDGTIFMPNAKDQRVYEAIEPTSCTLTVRVMPTFMVPAAEGLAYKPTPETRVHGAQLRADCAKVQVDSVKPEYELFPLKYPSNDEVHSLGNARGTFIQWPKNLIEIRLQYDMNFGEDQTEADSKAIHEPPPMKKSRKAHSSSQRITLDKPEAKGRGRRGKVQASLLAPRKLDLGKVQEETKGKEVKKKYVAPQEFQLGMPLVGDDVLAAMGTACKYLHLYYMENSNVRKPNKATDILGEYDGKPFLGPTNYIMVDFKDLFDLYRLRAMDTSLLKCYSLLSWQWCQKHALEVAFLDPQVVTVTNLQNDRQGMVNYIYDTLWSRRDKEYIMCAYNQYAHWILLVITPKWTRIDKNAYDWTPIQLAIDEAWAQYVQRGGLRKTGYDTLIHKKDFPVKQQIGD >ORGLA02G0212800.1 pep chromosome:AGI1.1:2:20165237:20168045:-1 gene:ORGLA02G0212800 transcript:ORGLA02G0212800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G04500) TAIR;Acc:AT3G04500] MSTQSISPAAAAASAQYSYPAAAAAAVPSYFPVPFHLQNVQQPATWPAAPAAYNAVYPMPQVQQVQQLFQKDSQIITPEALATVKAAIANSEKDKKIEANKKAVPRKAAGQSWEDPTLADWPENDFRLFCGDLGNEVNDDVLTKAFSKYPSFNMARVIRDKWTGKTRGYGFVSFANASDLAAALKEMNGKYVGNRPIKLRKSTWKNRIDYEALQKPKTQPQKKFKAQKKSILHK >ORGLA02G0212700.1 pep chromosome:AGI1.1:2:20162350:20164251:1 gene:ORGLA02G0212700 transcript:ORGLA02G0212700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVFTPSLEGMKHVKSESSVILTKPFLDVCKQILPVLDKFGAAMALVKSDIGGNITRLENKYSSDPSKYEQLYSMVQEEVQNKTAKGSSSCTNGLLWLTRAMDFLVELFRNLLEHQDWTMSQACTDSYTKTLKKWHGWLASSSFTVAMKLAPNREKFMEVISGTGDIKADIEKFCMTFYPFLKENHDFLASVGLDDLKAS >ORGLA02G0212600.1 pep chromosome:AGI1.1:2:20159868:20161346:-1 gene:ORGLA02G0212600 transcript:ORGLA02G0212600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQINFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKNKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKTAAA >ORGLA02G0212500.1 pep chromosome:AGI1.1:2:20155533:20156423:-1 gene:ORGLA02G0212500 transcript:ORGLA02G0212500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylinositolglycan synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G34980) TAIR;Acc:AT2G34980] MNNECRPKWRKVAYGGRQPGYDDNHTDESFLEEMVMNANVVKRDLLKVMIDSVSISQYLCIVALVVSTWTYTLNLVIDEVTLLKLDTSLLLAGFSMLLLTASPFSLKLLSKYVLNTSFFISGLYVLAPIYQTLTRSISSDSIWALAVCLLLVHLFLHDYSGSTIRPPGALNNPKLTSNISLNASIVASVLVASRLPSRLHVFAIMLFSLQIFLFVPLVAFCIKKFSLRLHLLFSFALMIMTLGVTYQLHHMFFILLLALLVFISIVCPYWLIRIQEYKFEINGPWDEAKLCFDITE >ORGLA02G0212400.1 pep chromosome:AGI1.1:2:20149022:20153961:1 gene:ORGLA02G0212400 transcript:ORGLA02G0212400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQSSEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQMINRVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPTEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQLDYIFDWTKQGSESNRLRSSGRTSGLVGPSAERTERAAARQDVPDRFSGTVDPFARRTGSGSGHYGEHTKHRNILDSLLAPKTAVDLDKRRPTSSSRNGSTSRKALLSSSRPSSGDPIDPNRSNLIPTSSGSSRPSTMQRLHQSTGLETRSSLTKTARNVHDDPTLRTFERLSISADRRK >ORGLA02G0212300.1 pep chromosome:AGI1.1:2:20137975:20142919:-1 gene:ORGLA02G0212300 transcript:ORGLA02G0212300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol oxidase [Source:UniProtKB/TrEMBL;Acc:I1P2B7] MGEEKSQQRRRQGHPLLRGGGAGKQAGRRYTHGFSASQMVALAALCGALAPSLSPDTRDDDDDDAGGGRYGGAGASDAKAVRDFLLASAADPPVPDEVAELMTRMCLREALALVRAVLWLLGTRLGTLALCGGRCVSWGRWPFVLTFAEMPVERREEALRRWSRVTVLPPLRAFFLVVKVFCLYVFYSWIDESSENPHWRAIGYSPPTDEPPAEEHTEATKRPLDDGVVETINLTDASLPSSLAEKGLAVTDDAARNVCRVECDVAIVGSGCGGGVAAAVLAGAGHKVVVIEKGNYFTSRDYTSFEGPSINQLYESGGFVTTMNGGGLLLAGSTVGGGSAVNWSACLKTPEFVRREWAAAHGLPLFASPDYAAAMDKVFERLGVTSGCTEEGLQNKVLRKGCEKLGYKVDAVARNSSEGHYCGSCGFGCRTGDKRGTDTTWLVDAVGRGAVILTGCKAEKLVLERGGARGRRCVGVVARSTNPAITKTLEVRAKVTVSAAGSLLTPVLLQRSGLTNPHIGKNLHLHPTALAWGYFPDTMPDLKGKAYEGGIITSMHKVETSGAGAPHRAILETPMMAVAATGTQMPWLSGRDSKERMLRFARTVHIFSLVRDRGSGTVHGERRVAYRLDAADREDIRDGLRRALRVLVAAGAAEVGTHRSDGQRLRCEGLTEEALEEFLDGVTVVRGPQSRSETWGLFCSAHHMGSCRMGATAGDGAVDARGESWEAERLYVCDGSVLPTAVGVNPMITIQSVAYCLANGIADSLSAKTT >ORGLA02G0212200.1 pep chromosome:AGI1.1:2:20130209:20135649:1 gene:ORGLA02G0212200 transcript:ORGLA02G0212200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP citrate lyase (ACL) family protein [Source:Projected from Arabidopsis thaliana (AT2G20420) TAIR;Acc:AT2G20420] MVRGSLGKLASRALSVAGKWQHQQLRRLNIHEYQGAELMGKYGINVPRGAAAGSVEEVKNTLKNVFPSEKEIVVKSQILAGGRGLGTFKSGLQGGVHIVKAEEAESLAAKMLGQILVTKQTGPQGKIVSKVYLCEKLSLVNEMYFAITLDRNTAGPLIIACSKGGTSIEDLAEKYPDMIIKVPIDVFKGITDDDAAKVVDGLAPKTADRQSSIEQIKKLYELFCKSDCTLLEINPLAETADNKLVAADAKLNFDDNAAFRQKEIFAMRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGSASEGQVVEAFKILTSDDRVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKASVK >ORGLA02G0212100.1 pep chromosome:AGI1.1:2:20126841:20127302:-1 gene:ORGLA02G0212100 transcript:ORGLA02G0212100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICASAEPPRGGGTLGKRKERERPSSEEQRAPPPPLFPAMSARPQPPRPAHPARFVKPMPPPPPPFPKGGGGSSFKLMAGYLAHEFLRSGTLLGERPESNSKAPAPAASAGPAAPDPRTRYAEASLLLMAGGARVPGVVNPTQLGHWLRIKE >ORGLA02G0212000.1 pep chromosome:AGI1.1:2:20116847:20121214:-1 gene:ORGLA02G0212000 transcript:ORGLA02G0212000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteolysis 1 [Source:Projected from Arabidopsis thaliana (AT3G24800) TAIR;Acc:AT3G24800] MASEDGCGGGNPPGDAAAAPPVWNAAPAAGAGCGDLEEDLRFQCCVCLELLYKPVVIACGHMSCFWCVHNAMHIIRESHCAVCRQPYKHFPSICQLLHHLLIKLEPVEYKRREKEVLEDEKRVDTYSPQIIEFLNSKSNDCEIDGENRPEESNSRPPQEVTSDGNTINGHPKKVKLEDVSCALCKELLYQPAVLNCGHVYCMSCLSSLDDGALKCQVCGGLHPGDFPNVCLDLDHFIEDYFPAEYDLRREKIKLLKGECNQGSSSGTSCTKEGRGRPTNKENRAHQDDDLSDVHIGVGCDSCGMYPIRGKRYKCKDCTELIGFDLCEECYNTKSKLPGRFNQHHTPDHRMELDHSALFNRLMRLQGIHEEGPGEIIIEGAFVSPDAVVHIIADDHEEIEDNGEDDHLL >ORGLA02G0211900.1 pep chromosome:AGI1.1:2:20113154:20113549:1 gene:ORGLA02G0211900 transcript:ORGLA02G0211900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XGHRPVLISPPSSYLDRERSQKRWFGDELDGENDDELFNPWPPSSIVAVPHLLPPPATRSGRVAGSEQWGKRGGCSGDQRSEWRAVAANRAGGGQQRPVTGAAGGGRRXPVRRRRVAAMTSAASGGRWQPA >ORGLA02G0211800.1 pep chromosome:AGI1.1:2:20106841:20112297:-1 gene:ORGLA02G0211800 transcript:ORGLA02G0211800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWHRLGNFKYVVMAPVVAHGARRVMRNGWGDLDIAFSLILPSLLLRMIHNQIWISLSRYQTARSKHRIVDRSIEFDQVDRERGWDDQILFNGLVFYAGYLAMPSVRRMPVWRTDGAVVTALVHTGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHVVYFILFAIPILSTIYLGNVSAMGIVGYIAYIDFMNNMGHCNFELVPEWIFQIFPPLKYLIYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDELYESSLKGTEETPDLVHLTHMTNLQSAYHLRIGIASIASKPYSDSAWYMWTLWPLAWLSMVLAWIYGSSAFVVERIKLNKMKMQTWAIPRYNFQYGLTWEREPINDLIEKAILDADMKGVKVISLGLLNQAKQLNGNGELFRQKYPKLGVRIVDGSGLATAVVLKSIPSDAKKVFLRTGTSKIARAIAIALCDRGVQVIMNEKEVYHMLKSQIPENRASYLKLSSDNVPQLWIVHNIDDNEQKMAPKGTIFIPISQFPLKKLRKDCTYMSTPAMRIPEEMKNIHSCENWLPRRVMSAWHIAGILHALEGWNMHECGDEMMDIEKSWSAAIRHGFLPLTKA >ORGLA02G0211700.1 pep chromosome:AGI1.1:2:20099954:20105247:1 gene:ORGLA02G0211700 transcript:ORGLA02G0211700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICSTASRMAPPKISKDRFDAAIRAMADIGILKETAAPVLNNLLNLFDYNWVHIEADNYLALADAIFCDSDPKEGQKRQANETNLDADQSNKKLKTKKRSQNPTSKMHGNDNREFVEAPPQQGRGTQSARTVNGKKVTRAHLELPSSQLLIKEPHTCPSIAKNTTIVENNSAVLCHGQDLQTFEVPVATTCPQVVAPSTRKDARRTSGAHHDQKHEDVYGAHERNRAVACSNQEIVSSKDSPSNIEVVLSNYGAGKLSFTYNSSLANRSDFHLPDIKLICKKMEARCLRKYKSLEPNFSFKNLIKDTCQCIVESSGPRHEGIIQTVPALDILSKPSVPQILQSNQANSSFMPPNNVMSLGGTSSSCAVAGVSQNSSNMPVVPHQLHIGANRPPHDVNDITKGEERLRIPIINEYGNGILPPPFHYIPHNTTLQEAYVNISLARIGDDNCCSDCFRDCLAQSLPCACAAETGGEFAYTTDGLLKGAFLDSCISMIREPLKHPHFYCKICPNERMKIEVNSDSSNTEMNPGPCKGHLTRKFIKECWRKCGCTRNCGNRVVQRGITRHLQVFLTPEKKGWGLRSTEKLPRGAFVCEYVGEILTNIELYDRTIQKTGKAKHTYPLLLDADWGTEGVLKDEEALCLDATFYGNVARFINHRCFDANIIGIPVEIETPDHHYYHLAFFTTRIIEPFEELTWDYGIDFDDVDHPVKAFKCHCGSEFCRDKTRRSKSRARV >ORGLA02G0211600.1 pep chromosome:AGI1.1:2:20089407:20090123:-1 gene:ORGLA02G0211600 transcript:ORGLA02G0211600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEEEEEEPNMYNSEAGEENHVGGFYECTGLPRDADGFVAAVHEVNPVQFLAGVFRYLGEDMAGELLRSDDDDDDDVLHCPSIAASSSPEELLACAARAYTGRDRERCGGTVVEHLYMICACFCPHAAAAAAPVSAVDVAGADHLPAPCDYGVDLAFTQDELTAAAVAVGEVDEDEDEVAVAAAAATVDAAVDEIVLNALGFNEFARDLKETIEAKDREDALRAEGSSVSPGVRPPV >ORGLA02G0211500.1 pep chromosome:AGI1.1:2:20084540:20086132:-1 gene:ORGLA02G0211500 transcript:ORGLA02G0211500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33350) TAIR;Acc:AT1G33350] MPPAPPFSSHGDFVAALHRCATLAHLKQLHAHAVVTGRAAAQTTTFHLLRFASLRLSCLPYARRLFDATPSPNVFLYSAMLSAYAAASSHSQEHARDSLALFLRMLRRGRPAPNQFVYPLVLRAACDIGVQLVRSIHCHACKDGFYGHDFIRTSLLDGYSRYGMMGDARKLFDGLTDRNVVSWTALVSGYARAGKVGDAIVLFERMPQRDVPAWNAIIAGCTQNGLFVEAVGIFRRMVDEGFRPNGTTVSCLLSACGHLGMLKIGKVIHGYAWRSCVGFGSSVVNGLIDMYGKCGNLMEAKWIFDAFSDRGLTTWNSLINCLALHGCSESAIAVFNSMRNEGVQPDEVTFVGLLNACTHGGFVDEGLRYFELMCDEHGIEPEIEHYGCVVDLLCRAGRFQDAMNFINDMKVQPDEVIWGSLLNACRIHRHLELAEHAIKNLLDLNPSNANYVVMLANLYSEGGFWEEVRKVRKLMKEDVTGKKLPGCSWIEVDRKTHRFYSGDDGHPESEDIYDTLDKLATTMEMQATFA >ORGLA02G0211400.1 pep chromosome:AGI1.1:2:20078700:20080190:1 gene:ORGLA02G0211400 transcript:ORGLA02G0211400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:I1P2A8] MATCADTLGPLLGTAAANATDYLCNQFADTTSAVDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGAPSNGFIGKHFFGLKQVPQVGFDYSFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWIWSADGWASASRTSGSLLFGSGVIDFAGSGVVHMVGGVAGLWGALIEGPRIGRFDHAGRSVALRGHSASLVVLGSFLLWFGWYGFNPGSFLTILKSYGPPGSIHGQWSAVGRTAVTTTLAGSTAALTTLFGKRLQTGHWNVIDVCNGLLGGFAAITAGCSVVDPWAAIICGFVSAWVLIGLNALAARLKFDDPLEAAQLHGGCGAWGVIFTALFARKEYVDQIFGQPGRPYGLFMGGGGRLLGAHIVVILVIAAWVSFTMAPLFLVLNKLGLLRISAEDEMAGMDQTRHGGFAYAYHDDDASGKPDRSVGGFMLKSAHGTQVAAEMGGHV >ORGLA02G0211300.1 pep chromosome:AGI1.1:2:20071602:20073098:1 gene:ORGLA02G0211300 transcript:ORGLA02G0211300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:I1P2A7] MATCLDSLGPLLGGAANSTDAANYICNRFTDTSSAVDATYLLFSAYLVFAMQLGFAMLCAGSVRAKNSMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSKGFIGKQFFGLKHMPQTGYDYDFFLFQWAFAIAAAGITSGSIAERTRFSAYLIYSAFLTGFVYPVVSHWFWSTDGWASAGRLTGPLLFKSGVIDFAGSGVVHLVGGIAGLWGAFIEGPRIGRFDAAGRTVAMKGHSASLVVLGTFLLWFGWFGFNPGSFTTISKIYGESGTIDGQWSAVGRTAVTTSLAGSVAALTTLYGKRWLTGHWNVTDVCNGLLGGFAAITAGCSVVDPWASVICGFVSAWVLIGCNKLALMLKFDDPLEATQLHGGCGAWGIIFTALFARKEYVELIYGVPGRPYGLFMGGGGRLLAAHIVQILVIVGWVSATMGTLFYVLHRFGLLRVSTSTEMEGMDPSCHGGFGYVDEDEGQRRVRAKSAAETARVEPRKSPEQAAAGQLV >ORGLA02G0211200.1 pep chromosome:AGI1.1:2:20064713:20065151:1 gene:ORGLA02G0211200 transcript:ORGLA02G0211200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNPDTMDTDPPGGGGTLSIAVERNPPESRLLQLGVKSWPKWGCPTGKFPVKFDARETCYLVKGKVRAHIKGSSECVEFGAGDLVVFPKGLSCTWDVLAAVDKYYKFDSS >ORGLA02G0211100.1 pep chromosome:AGI1.1:2:20059458:20059670:1 gene:ORGLA02G0211100 transcript:ORGLA02G0211100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAITALMDIDDSPRSSGAGAVFLDDEEDAEVFPGHRAARANEARGPLPFAGFYNSFDGADFDDTDLA >ORGLA02G0211000.1 pep chromosome:AGI1.1:2:20054854:20058606:1 gene:ORGLA02G0211000 transcript:ORGLA02G0211000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDETAAEAALGLSAPFFVNSVLNAVDDVRYGAFEYCLQEGAPEAVGAATATQKAEELERGVISIHNLVKDVLDKRMSNWEKYCLRHCFAIPEGFLTREDDIPAKKSLNDGNSDSDLDAELVSLRKKLEDANNESEELQKELSSLERQAECQRNLDSSMAELVKVFESKAFQDNFQDLVNVIPLFQRKLEGVKRKIAENIDDQIDWNVNGHHKRLASGFTARTEDSQDVVNVLKKY >ORGLA02G0210900.1 pep chromosome:AGI1.1:2:20038335:20043218:-1 gene:ORGLA02G0210900 transcript:ORGLA02G0210900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMWRKAKKALGAGLCVRLPAVAGDWEDGVSERRASDALSQDASSAAATAAHVSAPNTPAAALPGAPLRRSKSGTKSAKGMCAICFDPMKSGHGQALFTAECSHMFHFHCISSSVKHGNYVCPVCRAKWKEIPFNRSLSSIVPCGRSGLNVNQARLPQQGTYMALLRQVPSHHREASGSHTSEPVDFNDDEPLQLIESGDSRDARCSRAVEIKTYPEFSAIPQSSSEDDFAVLIHLKAPCANPEQVTGRPFNATSIGYPTSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFHLRRMSHSGRQQALQAVNLLGAGGGTNIADALKKAAKVIEDRNYKNPVCSIILLSDGQDTYNISSNVRGTRPDYRSLVPSSILNHTICTVPVHGFGFGADHDSDALHSIAESSGGTFSFIEDESVIQDAFAQCIGGLLSVVVQDMRLTVECVHPSVQLHTIKSGSYLSKVAGDGRNGSIEVGHLYADEERDFLLSLSFPQSRDQTMLLKVACAYRDSVTNEAIKIQADEVKILRPKSPTSEPVCMEVDRERNRVRAAEAIEAARAAAERGALSDAVAILEHCRRILSESFSRKSGDRLCISLDAELKEMQDRMASRQRYEASGRAYLLSGLSSHSWQRATTRGDSTDSTTLVYSYQTPSMVQMLQHSQNQCPSPPGPRPQLRQTRSLLEKPHPR >ORGLA02G0210800.1 pep chromosome:AGI1.1:2:20036088:20036807:1 gene:ORGLA02G0210800 transcript:ORGLA02G0210800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSREYLGERLVDSYDGRGEDCGEMMKGFCWNILPGILGALTALVLMSALLYYPYKWSFDDGKSAEFSVAVAGVSGLDPDRDLGRATLDPTFDLTVRIKEPRRYSVACVERGTTAAVSYRGVQLASGPAPELCGRNENTTEARSVMAWGHAKAVPEFARERLAEELRRGDAAVDVTLTTPARYCRSCMQTVIECKPRVGSGEFSPRCGVPTDFPTFPDSPDVPYPQYQVLQPGRRLLR >ORGLA02G0210700.1 pep chromosome:AGI1.1:2:20035042:20035740:-1 gene:ORGLA02G0210700 transcript:ORGLA02G0210700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFPEQEQPPLLRRRSSFRPEEEEEDDEYTDAFGVCFGLFLAIFAIMLVVLCIRRPGTEGMAASPPDYFVAIDAVSGLDPSTDLGRPALDPAFSLTVRVASRSSTRGACVRAGTSVLVSYRGVPLAGGRAPADPELCAGPMGAAEDGSVVARGSGARVPGTQLDALAEDMRRGEALFEVTLAMPYYGQRKVASCWDRVGDAAALRVSCDVSLVDPRRLAGITGFSDLPSAV >ORGLA02G0210600.1 pep chromosome:AGI1.1:2:20028233:20028670:-1 gene:ORGLA02G0210600 transcript:ORGLA02G0210600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYSVEIAYVSGLDPATDLHAGAALDPVFNLTVGIASKGEYRGVCIEPRTAVKVSYSYLGLPLAGGRVPEVCAGPKEPAEKRTVVARGVGVSVPGYMLDSLAEDMRSGEAVFEVKLIKGDGDRYSRTVATCWARVGGGLDDRCI >ORGLA02G0210500.1 pep chromosome:AGI1.1:2:20024538:20025269:-1 gene:ORGLA02G0210500 transcript:ORGLA02G0210500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVIAPPAEHELPVHWAVAEPDNTQRRGVRLRIDTVCLVMVCMILIAVAFLAMMIVAIVKDWTQPASYSVAIDSVAGLDPETDLPRDTLNPEFNLTLRLASQRADMGVCFEAGTTVAVYYGGVLLAGAAVPALCAGPRPSAEEESVVAWGRGVPVPRLARDRLAGDLRGGGAAEFDVTLTVQRYTYAESWDVVLCSGKVGDAAALITPCSLYDENVQEPSLEPGYGGYSSQPESPPETGDDG >ORGLA02G0210400.1 pep chromosome:AGI1.1:2:20020205:20020939:-1 gene:ORGLA02G0210400 transcript:ORGLA02G0210400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPEERGMSAAAATEVEAHAADSPPRRRLSGRNHGDNCLTVLLVVLLLLIVGGVVFFVVDSNTRPRYSVAIDAVSGLDPAATGDDGRGPTLDPVFDLTVSISPRSRVRGTDCYEPGTTVEVNYHGVLLASGPVEQLCARATKTGRGRAVAWGTGVRLPGFVLDALVSDVRRGGVEATGFDVTVKIPSTGGGDRSSPAGTLVSCRARRAGDDAAAVLRTPCDALSANIVVPLPNTGRTQTGGAS >ORGLA02G0210300.1 pep chromosome:AGI1.1:2:20017731:20018375:-1 gene:ORGLA02G0210300 transcript:ORGLA02G0210300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQIEAPPEELPWGCCVCACVLIVSVVLFAGLMFFAIDDNDALYSAAIDAVSGLDPVSELGRGPTLDPTFDLTVRIRSRSWSRGDECIEPGTAVEVTYRGVLLASGPVEKFCSGGKKAKDQHVVAWGTGVRLPGFALDALVADAQRGAEAFDVAVKMPSKHRGYVTVDGTLISCKARRVGDGAALGTPCDVSSMDIPVPSPNTGKTQTTGGAN >ORGLA02G0210200.1 pep chromosome:AGI1.1:2:20008053:20008793:-1 gene:ORGLA02G0210200 transcript:ORGLA02G0210200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATTIQMEASPADHWSPRNRRRCGCHGVNWCRVVSTAIVLLFVGLAVGGLFYLIAVSTRDPVYSVSIDAVSGLDLDHPTDLAGRAPTLDPVFNLTVRLSSRNQVTNYPNCIWPGTTVEVTYRGVQLAIGSAEQLCVGARETKEQHVVAWGAGVRLPGSALDALAGEARRGAEAFDVAVKIPTVIHSGYHSYDPRHVHLGTLVSCMSRRVGDDPVAALRTPCHASSTDIAASYPNKGRTQPRGAS >ORGLA02G0210100.1 pep chromosome:AGI1.1:2:20006121:20007622:1 gene:ORGLA02G0210100 transcript:ORGLA02G0210100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRSPARSMDTSLSIAVGAAAVVLLLLVRGADAEIRTTLIVSDARPLILFEQFGFERGGKATISIRRSFWNLRRGSRRTAVDPSLMGFVLISGTQFPKINNASAYAAADPGDNGDDGGGSYCVLTSEYALPVLRLGDVPPGGVTTTVSIDDPDQYAVVFSNCQDGVEVTVDVYTEMYNVRDGISDGPRDYLPVGLRPLPTIYTVVSEVYFAFLALWACVCVRHRATVERIHAVMGALLLFKALKMACAAEDSWYVERTGTPHGWDVAFYVFGFFKGVLLFTVIILIGTGWSILKPYLQEREKNVLMIVIPLQVVENLLLVVIGETGPTGQDWVVWNQVFLLVDVICCCAVFFPIIWSIRSMREASKTDGKAALNLQKLTLFKRFYLVVVGYLYFTRIIASAFLALLSYKYQWGVNVAIEAASLAFYLFVFYNFQPVAKNPYLYIGDTVEDAAVEREMDDEGRF >ORGLA02G0210000.1 pep chromosome:AGI1.1:2:19991726:19993287:-1 gene:ORGLA02G0210000 transcript:ORGLA02G0210000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQQFAWGREEGGWRKGPWTAQEDKLLVEYVRQHGEGRWNSVAKITGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESVILELHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQQQSQLMQTGQQQQLGQDDDATSAVVDDNLAEVAPPAATSLTHDGELQIMQEMAPDMDDLLYYHPGDMSPYSYDDLLGSGGGECGAVAASAGAAASTSEGSSEELDGGAATWGSLWNLDDVVHDMMIDCAAGAGCCWGSFPPLQDQGLAFY >ORGLA02G0209900.1 pep chromosome:AGI1.1:2:19984595:19985918:-1 gene:ORGLA02G0209900 transcript:ORGLA02G0209900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleolar RNA-binding Nop10p family protein [Source:Projected from Arabidopsis thaliana (AT2G20490) TAIR;Acc:AT2G20490] MYLQYYINEKGDKVYTTKKESPLGVPTQSAHPARFSPDDKYSRQRYLLKKRFGLLPTQKPAPKY >ORGLA02G0209800.1 pep chromosome:AGI1.1:2:19980782:19982984:1 gene:ORGLA02G0209800 transcript:ORGLA02G0209800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT motif -containing response regulator protein [Source:Projected from Arabidopsis thaliana (AT5G61380) TAIR;Acc:AT5G61380] MVGAGEGDRVGGGAAVGGGQQFVDRSKVRILLCDSDPSSSREVLRLLCNCSYQVTCAKSPRQVINVLNCEAGEIDIILAEVDLPVSKCFKMLKYIARNKELRHIPIIMMSNRDEVSVVVKCLRLGAAEYLVKPLRMNELLNLWTHVWRRRRMLGLSEKNFFNDNFELALSEPSDANTNSTTLLSDDTDDKPKENINQETSTSNQHEYESNPSDAEPKQKGTREGLPVSTEGGDQASSPGVMFSRPIKTNLRVAESSAFLAYVKSSTPTTSSFDRELQKGGNRLDSSDHRGNFSSTTDRSDRTGTDVNIRDKEAFEMPVQYPVVCFSSSNLHLEQSNEGQNDASGTPPVYHFPFYYPGMMDHGMTHPPVQNFQGNINNAQVHTPQTLLPQYNVYPQCHGVSMMPPFQYNPAGMSIQSNQLPTQNMWPQASSTPMPEETCSRSERRAAALAKFRLKRKERCFDKKVRYVNRKKLAETRPRVRGQFVRQANYTDITSTGDDISEDEDDDPSSREVEMVSSPE >ORGLA02G0209700.1 pep chromosome:AGI1.1:2:19977189:19978880:1 gene:ORGLA02G0209700 transcript:ORGLA02G0209700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAQSSSSSSRPSDSEQLEEPSKPVMALDKAKEIVASSPVVVFSKTYCPFCARVKRLLAELAASYKAVELDVESDGSELQSALADWTGQRTVPCVFIKGKHIGGCDDTMAMHKGGNLVPLLTEAGAIATPSL >ORGLA02G0209600.1 pep chromosome:AGI1.1:2:19975125:19975295:1 gene:ORGLA02G0209600 transcript:ORGLA02G0209600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAAGHSAAVTYIRTPLETIVAIKSIFCCCSLCRSSLQFDSICWSLATVMSPTDL >ORGLA02G0209500.1 pep chromosome:AGI1.1:2:19970314:19974083:1 gene:ORGLA02G0209500 transcript:ORGLA02G0209500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLVGAAASLATAAASHGMWGHRMGLRPLPTRRSTLAARIIRKEEEDMPLGLILSSLGRSMRRKRLSSLGILSSKRAPRDYYKGKNCKPTGFHTRKGGYVMVDEKLPRFVVPDLTDFKLKPYVSQCARDITASSASSTSAETSENKK >ORGLA02G0209400.1 pep chromosome:AGI1.1:2:19949139:19957484:-1 gene:ORGLA02G0209400 transcript:ORGLA02G0209400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAVASLRLLARGGRRRVRFPAPLSVPGGRAAFLSGAAEEVPQADAPTPPGRKVLESFREEFEIGGRVISFETGKMARFANGSVVISMDDTHVLSTVAAAKSSEPVRDFLPLTVDYQEKQYAQGVIPTTYMRREGAPKERELLCGRIIDRPIRPLFPPGFYHEVQIMVNVISSDGKQDPDVMAANASSAALMLSDIPWNGPIGVIRVGRIDGNFVLNPTVDELGMSDLNLVYACSRDKTLMIDVQAREITERDLQAGMKLAHAEAVKCIDPQLRLAERAGKKKKEYRISLISDKSYEKIRTLSEAPIEEVFTDSTYAKFERGEALENITQSVKAKLEEECDEDSLKFLHKAVDTVRKQVIRKRIIEKGLRVDGRQLDEVRPLYCESSTYPILHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVAKRGGLNRREVGHGTLAEKALLAVLPPEGEFPYTVRVNSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVSEVDQTTGDISSYRILTDILGLEDHLGDMDFKIAGTRRGITAIQLDIKPAGIPLDIICESLEPARKARNQILDRMDQEISSARAFNDGSSPRLATLSFSSDSLRKLLFHRKKIEQETGARVSVSDGTVTIVAKTQPIMDKAVEKVEFLVGREIEVGRTYKGVVSSIKEYGAFVEFNGGQQGLLHISELSHEKVSKVSDVVSVGQVLSLTCIGQDLRGNIKLSLKATLPHAHEKKDLASKHTDPLPSQEVVGWTVVENMRSKDANAEPSISKDEDNMIEDTPGCSTPAVIIRSAAECDAQDVTDDPKKKRPKVAKSSPKPSKPASERQEVKRASAKKTSGASTAKKNKKEKADSSNDVLDVIPEQNKSNITNYSSPSNFRSGSMKLGDVVTAKVYQIRAYGLVLELSDGVRGMHKFAENGHKDFEVGEELLVKCSSFNAKGIPVFSLLD >ORGLA02G0209300.1 pep chromosome:AGI1.1:2:19946783:19948458:-1 gene:ORGLA02G0209300 transcript:ORGLA02G0209300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:I1P287] MSNTGRRRRSLTSIAPSPVSSSPFPSGAREAQAPPSQLPSWKFSQVLGELPLAAAAGEGHDNGTLQDDGDTISAIEFDGRGEHLAASDHAGRVILFRRIDDESHPPPPESRAELERTDYAAAAPPAYAYMAEFQSHEQEFDVLHNLEICEKVKKLRWCARPNRSSLCMLATNDRTVKLWKVSEHRSRKGNGQPRRRRSTPTPASLSEIALLGEGDSSVRNGYYYEWASKKARNYLSPDSAEHSEKAGEVGEGYSAKCRRVFSRAHVYNINSISNNCDGETFVSVDDLRINLWHLEVTDQCFNIVDMKPADMEDLR >ORGLA02G0209200.1 pep chromosome:AGI1.1:2:19936673:19945200:1 gene:ORGLA02G0209200 transcript:ORGLA02G0209200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding;ATP-dependent helicases;DNA helicases [Source:Projected from Arabidopsis thaliana (AT3G27730) TAIR;Acc:AT3G27730] MAAMGHLGDPYALRSVADLPPPFRSVFGFRYFNSLQSECFPACFLSDVNMVISAPTGSGKTVLFELCILRLLSRFLSSEWRFNLIKGTLKTIYIAPMKALVQEKLRDWNMKLGSLGISCLEMTGDNEFYNTKSIHDADLILTTPEKFDSVSRHGIRDGGLGFFSDIALVLIDEVHLLNDPRGAALEAIVSRIKMLSRLGTMKIAPLANVRFIAVSATIPNIEDIAEWLAVPSEGIKRFGEEMRPVKLTTKVFGYAPARNDFLFERRLQSFIFDILMQHSRGKSALVFCSTRKGAQEAAQCLSQTASSLGYSNPFMKSMQQYEHLKEAALTCSDKQLQACLVHGVGYHNGGLCLKDRSVVEGLFLKGDIQILCTTNTLAHGINLPAHTVVIKSTQFFNKEKGLYVEYERSMVLQMCGRAGRPPFDDTGTIIIMTRRETVHLYENLLNGCEMVESQLLPCAVEHLNAEIVQLTVSDITLAIEWLKCSYLYIRIKKNPQHYGIKKEIPCELLEKQMKDICVEKIHELGEYGLIWTDEDGFLLKPLEPGRLMTKFYLKFDTMKLIVKASACCTLEDLLHIICHSAEITWIQLRRNEKKLLNEINADKEGRLWFHVVGANGKRKKRIQTREEKIFILANDCLTGDPLVHDLSLNQEMNSICSNGCRVAKCMREYFIYKKNYKSAISSMLLAKCLHQKLWESSPFLLKQLPGIGIVTAKALKTAGIDSFESLATADARKIESVTGRNYPFGDSIKSYLPSLGPKIDINIEDAGNRQGKSTIIVTLTRLSQAVGSSKQNYADMVVGSEEDNAILFHEKINPYSVKLYVPCPPNARATLKVDVIFEEYVGLDIHKKHVVSREDFHVTKVFGIKKAEPLYNLPAESCLVSSKTTRTNQSKYHNGQNPLSKEVCVIEDDFRAKAPDKADNDLEILGTREYNNLASLEAPSFTLLHEEDYEDVPDVLASEPVEAECKSATNNTIFDHIRKKSRDFPNLMLSKSMDSSYEPLILKKMKTSGDQFGLDQSSLHAYEVTPMVFDRTEARVSPTNTDERCRNILTRTAETRSFQFTGKMDSISQKSEILNRTQGKNSTQLAGKKAFEKSKTPDENSLHFVGKRDSSSEKSKALSKTPDENSLQFLGKMDNSSEKSKFCFSSPLADFQPMQCTKQVAASVQPLTIQDYCKDILASAKSSGTGASFLDVKSVFSFL >ORGLA02G0209100.1 pep chromosome:AGI1.1:2:19934452:19935991:1 gene:ORGLA02G0209100 transcript:ORGLA02G0209100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALVVPLLVGLLAVAAAPAPASAARAFFVFGDSLVDNGNNNYLITTARADAPPYGIDYPTHQATGRFSNGLNIPDIISEHLGAEPALPYLSPELRGDKLLVGANFASAGVGILNDTGVQFVNIIRIGDQLQYFREYQRKLRALVGEEQAKRIVNGALVLITLGGNDFVNNYYLVPMSVRSRQYAIQDYVPFIISEYRKILSRLYELGARRVIVTGTGPLGCVPAELALHSRRGECAAELTRAVDLYNPQLVNMVRGLNRVIGADVFVTANTNRMNFDYISNPQNYGFTNVQVACCGQGPYNGIGLCTAASNVCDDREAFAFWDAFHPTEKANRIVVGQFMHGSTEYMHPMNLSTILAVDDEERRL >ORGLA02G0209000.1 pep chromosome:AGI1.1:2:19907238:19919935:-1 gene:ORGLA02G0209000 transcript:ORGLA02G0209000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKQRLAGVERLHEALEAAARRGLTSAEVTSLVDACMDLTKDGNFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLVTLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLLNDLNQSVRDAAISCIEEMYRNMGSQFHEELQRHNLPSYMLKDINSRLDKIEPKARSSDDARMQYKVIERSRSLADATCTQGDSDITEKPVEPIRVHSEKELLREMEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQVLFKLVVITVLVIAESADNCIKTILRNCKVSRILPLIADTAKNDRSAILRARCSEYALLILEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLREKGVQLSRASSHASGTHLAGYSTSAIVAMDKSAAISSESSLLSRSLLSQSKTIGRTAERSIESVLSSSKQKVSAIESLLKGVSGRQNFSAMRSTSLDLGVDPPSSRDPPIPLAATASDHLSLQNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRSPYLSSLSSESISASSLPYARSSSGRSPYGSTMEESNDTWSTRRMPQMQMDRHYLDMTYRDASHRNLHNHQVPHFQRPLRKQVASRTSASSRHSFDDGHISSNDMSRYTDGPTSISDALSGGLSASSDWVARVTAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSTFLDISTGRTSSDVSIDNVKQCFEPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGRLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIHQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >ORGLA02G0208900.1 pep chromosome:AGI1.1:2:19900691:19905615:-1 gene:ORGLA02G0208900 transcript:ORGLA02G0208900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRRRNPKSVPSGSSSMKPPRPPRGPSFQAAAVPRPLPEPSSPGGRPRKRVRFGSEASSHQICVRQDANTGKRPQESHNVMPGRKLPAQKSTLSSEETPATPCKNEEIYHEQVNAKASHSEHGNNDTPQLNPHDYLTVHVHTPITQVSFEAVGISRNTEFFADILQRLGANSMIKKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFDLRTKLRTEKESSSYATQESRQFMALPWGYNQGPSGSLDWKIDLPHRGNEARESMALPWVHTVGLPNSGWKRDTAHNQVSNLLLEDVQPHSEGKLASATELNCNVETRPCTYHGWVPMLSPGFSGSIPNRFFTPCQIEETHVVPYEISNTYRRPDPCNPLEQCFPPVGLDRQGQKEARFSHNYGAELLEQFTSSSVGLERQDQHGSELVNFDTGLLSSFDQLYAKCSASSFLDTRNGILNHSDFSYISNLAASESNDIVSNASMSCLNSIFSTSEHPFQLGSKRLHETSLAGLEEKYSKEAEIFDNSDIGPIQELDQLPAKFTYTSFSNYISGTLDHQHHLRYMPPKDSSSTLFMDANGACLNSLSPYTDHPCKQDGKGLCDSSTELWSSVHHLQSHGDDFGAVLGFMSEENTYNDLEDHCSFMLAEGNPNDLCTSDLPLFGLCSAMDGIREASVRLDGLRXWYFDECXGYRSVCRXCYEIFTDVHL >ORGLA02G0208800.1 pep chromosome:AGI1.1:2:19889277:19893060:-1 gene:ORGLA02G0208800 transcript:ORGLA02G0208800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKPAMGQTQGDSCPVQQIYSYLEKATDSDKFHADRKPAPCDGSSRRKSNTTSLKVLLAKEMTKEVELKRKPPSVVARLMGLEEDLPANGPVLHQAKSGFRKSQSCNQLKALNKDLKQQEQHRLIKSTTQDIHPFCQEAVQYNDVYEVSEAQTRMSYFQDKISQKGGSSGNTSNRVDILRGSVMEKKCLGMAEKPLHSKDLQEALRVVSSNKDLFLKFLEEPNSIFSRQLVGSHTNLAPPQKKRITVLKPLGSFESDDTRQTGTEQIQEQNGAAMREFHQSSNFKEENPSLPSRIVLLRPTPGKPSLTNAKLTPRTTPFLSINPSDFRVALDGNGATLRSTKVEPGIIHNQQDGCHQGDESFLSSSYSNGYGGDESSLGDSEIDQNSDSDIDYIEDEGGSFSDSGGCSPVSKRTWHYTKRHGNPYSGSSFIKISHFAESSVTKEAKQRLSERWATVTCDEISQEQVELPRSTCTLGEMLSLQDVKNDDFINELPSVSTSRCYDRENELPTQAKYATACRKDEKNGERPMGLPRSTSVPLIPSTLNNMVANVKTSNHQGHERTKHVVASNKEKSFFRGRVSDFFFPRSKRTTRQISANHTSDLSSGNTEDCGGDSQQDANHDLDGNEKSTICDYIHDICAVQSTSTSEGTTALTDVPASLDCRSGNLNKLGLHEVLNSTRDQPSPTSVLDAPSEDSSCNEPESSASTTSKDAKAVSRSSAIEAVACSLSWDDTTSESALPGTRGQSSFLPDVDDDESECHVLVQNIMSSAGLDDAQSSMLFTGWHLPDCPLDPILFNKVLELREQSSYQRLLFDCVNVALVEIGENTLLSTFPWSKAHSRTWMDASSTALGIEVWSILKDWIYGARMFVVSRRDNAGIMIERVVKQEVEGTGWVKTMRTQLVDITEQIEGGVWEELVGEAVLDFVPACQR >ORGLA02G0208700.1 pep chromosome:AGI1.1:2:19883250:19884086:-1 gene:ORGLA02G0208700 transcript:ORGLA02G0208700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVELDRDLAATREEAEREILNTWIWDKEIDLLQREIEEQDELSRLRREQEREDDLYVCRMIEERHGEPCHLEDHELEKIYGPHTYETDYDSDGSGVSFYSNGRNIFMKYGDGFRYEDGNPYYAADREETWEKQILRQMKFPIPDLSESWEGSLVVEGPFNLDPNLTFTDHLMPALPKWERRWLDRDGKEPCRRAIQWYICIQRYTQ >ORGLA02G0208600.1 pep chromosome:AGI1.1:2:19874396:19875706:1 gene:ORGLA02G0208600 transcript:ORGLA02G0208600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHVKSPLIFLLLSYIAAVVVYPAGCEVPSHKTGHHSALYNPQQKDFPNERLYNAYLVIQRFKSTITSDPKNITATWIGHDLCGETTYIGFYCGAPSGRAEKLTVTAVILNGFSLHAPRLQGFVDQLPDLALFHAASNNFGGDIPLLTNLGYMYQLNVGSDMAVQSHRPSDRLGAKGGGEIGGDLGCITANIKFKFNIGVNYGKGRGIHPGATDAKALLLNYNNIAGQLPADLGFSKLSYLALANNKLTGPIPSSISHLQDSLFEVLLLNNQLSGCLPHELGMLTKAAMIDAGMNQLTGPIPSSFSCLTSVEQLNLGGNRLYGEIPDALCKLAAGPAGRLANLTLSSNYFTSVGPACLSLIKDGVLNVKNNCIPGFANQRRPAECASFLSQPKTCPAATATHAACPAGAANAAAAPADRVAKDYSSYVTYATLHK >ORGLA02G0208500.1 pep chromosome:AGI1.1:2:19795342:19795665:-1 gene:ORGLA02G0208500 transcript:ORGLA02G0208500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWWVALRAIGHSECLPLNEHSFLSWLCDCRKKMVKEHRRGFDTIVTLVAWTIWKERNNRVFNQKSKTWAEVARVMTGEAELWRLARAAIPILVAHVSGEGSQNLVGD >ORGLA02G0208400.1 pep chromosome:AGI1.1:2:19776043:19776535:-1 gene:ORGLA02G0208400 transcript:ORGLA02G0208400.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEGRKRRSRNNRNRAPPESPDKQFFAELRYDDYDSATVVTCTIIDKSAPHGFKTKYEFCPASFGILHPGDGKYVCGKRNQRDEFFLLRNRLLTVLKPYTWPKKEDE >ORGLA02G0208300.1 pep chromosome:AGI1.1:2:19770116:19771712:-1 gene:ORGLA02G0208300 transcript:ORGLA02G0208300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWLKLFLSVFLPVAALVAVAVLVYRRRSSSSRNAQPELPESVAGGGGGGDPAASPGLGKLNIRYNATSGRAGLRFQQLHHHHHGHVDVRHHHRGGGGGAQQGPFQWADHPRLVTEAAENGWAQFVFAVAPPRTRSASSSPLWGLCPACDSGTSRDMADAAWEVPAGSSERMQAVRLNPVVAAAAAAVSASTKKWLPGSIPSPLRGGDHDAAGNSSALCLARMSLPLPGPPLAGAPFPQDAYFEITIIYLNTRRPEWSASRASRRGRDGSSESDRAKLISFAPDAKNAAQETRAATKADDHHDKQRHTVMSLGLAAAPPRPSLAGTYASSIGFHSNGAVYLDGMKLVYESEKSSWAGVDRVVGCGFEPARRKVFFTVDGQLVHAVSCNADAFSAPMYPVLASSFDVMALVNLGQGKFRYAPANARRTANPCFLRAASAGDDGRSGGSLGLDFDDSGDLFSMGRVDSGWLETASRMSKSRKENGGAGGASAGDPDADSDLFEISLRD >ORGLA02G0208200.1 pep chromosome:AGI1.1:2:19764157:19766826:1 gene:ORGLA02G0208200 transcript:ORGLA02G0208200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMEGALRSCMEQLLIAREEREQIIVEAASEISSEKKKARELQRKLDAATKKAAKLAAENSSLAKALDAKDAAIGELRESKSASDGELAGARARLDAAQKQAASLQYEVRMLQKELEIRGQEREYDLQSVDASRRQQAESQKKIALLEGECQRLRAMVRKRLPGPAAIAKMRDEVDQPATPRRSRSVAPMSPRSVAAPMTPMSTSARPMTPTMSARPMTPMSARPMTPRRAAAAEHETPAAAAKLRAVEDENKALKQTLAKRDGELQFVQMKYADEACKLSVLQRQLSELTEENKQLSDARGQTESWASALISELEQFRAAKLQGAAASEMSLLDDFAEIERLEMASGGQGLRSPKNAHSEAISSEKNGKDTVIENCVSNGQPEWVQDMCKLVMQKHETSGENIDTILEEITRALDQSANNQKGDDLNGSYDWSIVKEMVSSLTEKITSVIGISEEGNVASSEKLLLDRSEFCARLEHLVHVCHDLLHGKTDLEKFVHEVCLVLEYIINQYKNISFQEQSDTVNNDAENLDGEGSFSNMNGGCDINSPKSASALDIQTEALEESLQSVEGRKTDHILVNQEESQLDEELTRVILDQDEKISQGNSASCEIESPNDHPSAETLAEKEEKNLASSSEISAAAEKLAECQETITNLSRQLRALKSPAVSGNLDSPMSNSRPSSSDYKPQSLACILAEGEDSSTEDAICPATKEVHSKKEPDAASRKSVAQDGSVNAALKAVEEELTQAVVHPIFPEPSQETISADLKKKRRSPSLLGRIMFRKKVEGS >ORGLA02G0208100.1 pep chromosome:AGI1.1:2:19761812:19762683:1 gene:ORGLA02G0208100 transcript:ORGLA02G0208100.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLVTSSSGARTVLYELNSPTAPVELDRMLEPPNFPWLPSSSSSSSPFPRKGHSRCILDAILISSSIKLQASPPF >ORGLA02G0208000.1 pep chromosome:AGI1.1:2:19756568:19758938:-1 gene:ORGLA02G0208000 transcript:ORGLA02G0208000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASKAERKAVLDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTLVMKWLGHIQPSYLPLPELVKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCILEILFDKVRYSRNTKLSIVLVLVGVAVCTVTDVSVNSKGLLAAVIAVWSTALQQHYVHHLQKKYSLGSFNLLGHTAPAQAASLLILGPFVDFWLTNRRVDTFNYTTIVTFFIVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFLLFGKEGLNFHVVLGMILAVIGMIWYGNASSKPGGKERQVYSVPSEKTQKSSQSELDQKV >ORGLA02G0207900.1 pep chromosome:AGI1.1:2:19753497:19754693:1 gene:ORGLA02G0207900 transcript:ORGLA02G0207900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVTATANARVFGFPAASRHGGPVGSRAGPAFLNLRAPALRHDRKNQPLRVGAALFSPSFTKYDPIKGIKPLLSVDKLRRRTQVGCRASLSSFSFPELETKPRWWWRTLACVPYLLPLHNMWSFADAVYQLHPYLQQFGLFYAFIDTMALVPGWLFLMIFMTVYFFVVRRKWLPHFLRYHVILAILLDTGSQALATMCNWNPSIVYQGKPMVFFWMTIAFIQISTVLECMRCALAGMYPSVPFVSQTAFIHSDMSMFR >ORGLA02G0207800.1 pep chromosome:AGI1.1:2:19746328:19750515:1 gene:ORGLA02G0207800 transcript:ORGLA02G0207800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANNWLGFSLSGQENPQPHQDNSPPAAIDISGAGDFYGLPTSQPTAADAHLGVAGHHHNASYGIMEAFNRGAQEAQDWNMRGLDYNGGASELSMLVGSSGGKRAAAVEETEPKLEDFLGGNSFVSEQDHHAAGGFLFSGVPMASSTNSNSGSNTMELSMIKTWLRNNGQVPAGHQPQQQQPAAAAAAAQQQAHEAAEMSTDASASSFGCSSDAMGRSNNGGAVSAAAGGTSSQSLALSMSTGSHSHLPIVVAGGGNANGGAAESTSSENKRASGAMDSPGGGAIEAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGTTTTTNFPISNYEKELDEMKHMTRQEYIAYLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESSTLPVGGAARRLKEAADHAEAAGATIWRAADMDGAGVISGLADVGMGAYAASYHHHHHHHGWPTIAFQQPPPLAVHYPYGQAPAAPSRGWCKPEQDAAVAAAAHSLQDLQQLHLGSAAAAAHNFFQASSSSTVYNGGGGGYQGLGGNAFLMPASTVVADQGHSSTATNQGSTCSYGNEEQAGKLIGYDAMAMASGAAGGGYQLSQGSASTVSIARANGGYSANWSSPFNGAMG >ORGLA02G0207700.1 pep chromosome:AGI1.1:2:19731844:19733571:-1 gene:ORGLA02G0207700 transcript:ORGLA02G0207700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWCLSASASAAAPVAAAAAAPGALGASVASVSLARAAVPSRRRRRWDALVVCAAPDEEKITRRSPLDFPIEWEKPKPGRRPDIFPKFSPMKTPLPHPLPADDPLDDDEEEEEEEPQPQEEPQEDDPDKEEPEEDDPDKPTE >ORGLA02G0207600.1 pep chromosome:AGI1.1:2:19727027:19731082:1 gene:ORGLA02G0207600 transcript:ORGLA02G0207600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT4G32272) TAIR;Acc:AT4G32272] MAKGGGPLLPMSAEAGKGNGGGGGGGDDAALFKGSAMTRRGAVAALSYMACSVLLVMFNKAALSSYNFPCANVITLLQMVCSTGLLYVLRRLKIISFTNSEPSVPSDALFFVPFRILLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVALIVFGAFIAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPSVLFLTYIQGDLKKAIEFPYLYSPGFQAVLLFSCMLAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGIGWVLFGGLPFDLLNVIGQGLGFLGSGLYAYCKIKGK >ORGLA02G0207500.1 pep chromosome:AGI1.1:2:19719441:19720042:-1 gene:ORGLA02G0207500 transcript:ORGLA02G0207500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTVAAACVAANSQSSGSPSGFDAGRVSGSSRSYHSLAERERERRLPSRQPGHEYSCTVRPYEAGQHVACDADADDDHDAEADMEQNKGMQM >ORGLA02G0207400.1 pep chromosome:AGI1.1:2:19713977:19718911:1 gene:ORGLA02G0207400 transcript:ORGLA02G0207400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEANCNVAMESAAPKENGHTTGAAAAEAKAAAAWAEIAVTDAAAVPKPTPPPAAVAVDPRLQGISDAIRVVPHFPKQGIMFNDITPLLLRPGVFKDAVDIFVERYRGMAIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLQMHVGTIEPGERVLIVDDLVATGGTLCAAIRLLERAGADVVECACLIGLPKFKDFYKLNGKPVYVLVESREYEK >ORGLA02G0207300.1 pep chromosome:AGI1.1:2:19683732:19687178:1 gene:ORGLA02G0207300 transcript:ORGLA02G0207300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFVIVSKNDIPIYEAEVGSAPKREDQAYQHQFILHAALDVVQDLAWATNTMFLKSVDRFDDLVVSVYVTAGHTRFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >ORGLA02G0207200.1 pep chromosome:AGI1.1:2:19668379:19670936:-1 gene:ORGLA02G0207200 transcript:ORGLA02G0207200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLTESEAANAHLRSELKAEKDRFVREKKELSEQMLEMENQLEWVRSEKDEQIVKLTADKKNLHDRLHEAETQLSQFKAWKREELKKITKEKNALAERLKGVEASRKRVDDEFKRFVAEAQTREEIRKSLEGEVRRLTQTVGQTEGEKKEKEDQITRCEAYIDGMESKLQVCQQYIHTLETSIQEEMARHAPVYGVGVEALSLDELETLTNIHERGLRQIHAIQQRKGSSHRLSAPSLPHVPGLYSSPPSMAVGLPSSLIPTSSVAPNGAGIHGNGHMNGSMGSWFNPT >ORGLA02G0207100.1 pep chromosome:AGI1.1:2:19656490:19662427:-1 gene:ORGLA02G0207100 transcript:ORGLA02G0207100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCSRKRSQLVHEDDSFQTSSRFSKTSSLKWLLLTLPRSNSDVSRKGQGKGPGRCPSLMELCVAKACEDINKYSSFSVLPRDLSQQIFDELVASNRLTETLLETFRDCALQDIDLGEYPGVNDAWMEVVASQRHSLLSVDISCSEITDSGLYLLRDCPNMQSLACNYCDMISEHGLGILSGLSNLTSVSFKRSDGITAEAMEAFANLVNLVNLDLERCLKIHGGLVHLKGLRNLESLNMRYCNNIADSDIKYLSDLTNLKELQLACCRITDLGVSYLRGLSKLTQLNLEGCPVTAACLEAISGLASLVVLNLSRCGIYGEGCENFQGLKKLKVLNLGFNNITDDCLAHLKELINLESLNLDSCKVGDEGLLHLKGLMLLKSLELSDTEVGSSGLQHLSGLRNLESINLSFTLVTDTGMKKISALNSLKSVNLDNRQITDVGLAALTSLTGLTHLDLFGARITDYGTSCFRFFKNLESLEVCGGLITDAGVKNIKDLKALKQLNLSQNVNLTDRTLELISGLTALVSLNVSNTRVSNAGLRHLKDLQNLRSLSLDSCRVTTSEVKKLQATVLPNLISVRPE >ORGLA02G0207000.1 pep chromosome:AGI1.1:2:19652896:19655002:-1 gene:ORGLA02G0207000 transcript:ORGLA02G0207000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSGRVAVPFAALALLTVVAAGVALPLAPSSGTGIQDGRRSEHSLLRHVPDEPQKAPPTSVHARPINKKVTRGKIEAGLARSRAAIRRAARATPPSDESIRRRGSASTVVAAAGDDDYVPRGAIYRNARAFHRSYVEMERRFKIWTYREGEPPVAHIGPGTDIYSIEGQFMYEMDDPRSRFAARRPDDAHAFLLPISVCNLVHYVYRLNATGDLAPLRGLVADYVRVVAERYPYWNRSRGADHVIVSCHDWAPMVTSAHRQLYGNAIRVLCNANTSEGFRPRKDATLPEVNLADGVLRRPTAGLPPENRTTLAFFAGGRHGHIRESLLRHWLIGNKGGAAADGDGDGDMRVHEYLPAGEDYHAQMAAARFCLCPSGFEVASPRVVESVFAGCVPVIISEGYPPPFGDVLDWGKMSVAVPVARIPELRAILRRVSERRYRLLRARVLQAQRHFVLHRPARRFDMIHMVLHSIWLRRLNVRLPY >ORGLA02G0206900.1 pep chromosome:AGI1.1:2:19647405:19651579:1 gene:ORGLA02G0206900 transcript:ORGLA02G0206900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRSSSVRSAIRRLGSSRAFSASAAAAPRRDARGAAAAAVAVAAGSGLGIWLLPPSPRPLADSGQAGNEVAAFGDVAEEEEREEKRRFLFGDSYRRRVFFNYEKRIRTRSPPEKIFEYFASIRNPEGEVYMLPADLMRAVVPVFPPSESKIVREGSLRGERNPGELHCAPSEFFMLFDTNGDGLISFAEYIFFVTLLSIPESSFNIAFKMFDLDHSGEIDKEEFKKVMALMRSYHRQGAAHRDGLRFGLKVGQSVENGGLVEYFFGKDGNEQLRYDKFSNFLKQLHDEIVRLEFSHYDVKSSKTISVKDFALSMVASADMNHINKLLDRVDDFDDYPDLKDLRITFEEFKAFADLRRKLEPFAMAIFSYGKVNGLLTKQDLKRAATHVCEVDLTDKVVDVIFIVFDANRDGSLSADEFLRALQRRESDIRQPASSGLMGVFTCLLNCTKCSLQQTVI >ORGLA02G0206800.1 pep chromosome:AGI1.1:2:19642830:19643408:-1 gene:ORGLA02G0206800 transcript:ORGLA02G0206800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKKSGSEMTVVRGLDVARYMGRWYEIASLPNFFQPRDGRDTRATYALRPDGATVDVLNETWGGNGKRDYIKGTAYKADPASDEAKLKVKFYLPPFLPVIPVVGDYWVLYVDDDYQHALVGEPRRKDLWILCRQTSMDDEVYGRLLEKAKEEGYDVEKLRKTPQDDPPPESDAAPTDTKGTWWFKSLFGK >ORGLA02G0206700.1 pep chromosome:AGI1.1:2:19631613:19637945:-1 gene:ORGLA02G0206700 transcript:ORGLA02G0206700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGELEGKLRDVGEKLQSPPDDVDALLKLIHEAEIYILKVEQAPSESMISAITPAMKALIKKELLDNSSYEVKLSVVSCISEITRITAPDTPYDDDVMKDVFSIMVGSFEKLDDMENPLFRRIVAILETVAKVRLCVVMLDLECEDLILQMFHNFFTTVKPNHPENVTNCMTTIMILVIEEDDEVEIPIAECLLKHAKSELKETSAASFELAEKVIGACSEKLKPVFLQLLKGTSLNEYDNIIATICEDSSDVKEDMDADPSGKDVVDDGKLSERTISDELPQEPAKLEQDVTQTTAIGSGATPVDNGTESAAANPKELSNPNSEKKDGVKQSAKVANGASAETSERVDGSPAMVKSKRGRPPGLKSLEKKAAGKKVLGLKKVEETTDSTGKLSKQSSKDDSKSSTRKASGAGSSKKQQKISLKQKDETDSKEDTAKDLSLKEMVSPKSVSKGSAKTKGSQGQDNNGSKRKRSQEDEQETPRSRKNKGLDASLVGARIQVWWPDDKKFYKGIVDSFDTASKRHKIAYDDGDVEVLLLRDEKWEFVSEEQDKTPDVASEISPKPRGRGRKGRGSSVQLKEGNAETPKSGGGDLPKKRGRPKGSSNGTPKSNISATSSKSKGKAARKDDNETPKVGSDLKKEAEEGSEDKATKSTEKTKDDLPEDGSNKSASRPKEASSGGKDLKDESKPSEGRAKPGRKPKVAAAAVAGEESKANVSAEKEKQKEAEGEAAAEVEQGGSAGGASTGGKKRRRKA >ORGLA02G0206600.1 pep chromosome:AGI1.1:2:19626469:19627830:-1 gene:ORGLA02G0206600 transcript:ORGLA02G0206600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKRGRVRLNVGGRVFETTAATLAGAGRDTMLGAMIDASWNHGDGEGGVDEYFIDRDPECFAVLLDLLRTGGLHVPPHVADGVLCREALYYGLLDRVRAARWGPFDGDRLRLAASVAGSAAGDGTAVRAAPDGGCCVAHGGAVRVYNWVMEERRAVHLDHAPVNDAAYLDEATLLVAARERPGTGRRDGGVAAFSALTGDLRHRFRVAHDRHVRSYTPGALAFDNRCKVFASCKGRFNEYGIGVWDCTTGEQADFFYEPPGCALGDADKLQWLDGTSTLMAATMFPRTDSSFISLLDFRDKKNVAWSWSDVGTPASLEDKHVLHAIAMEDGRSLCVINQYDDLGFLDVRSSGGAGGVRWRSRSKLAARKKKASPRGEETCYPKLAAHGGQLFASTNDTISVFSGPDHVLTSTLRGSDAGAICDFSIGGDRLFALHNEENVVDVWETSPPPII >ORGLA02G0206500.1 pep chromosome:AGI1.1:2:19597451:19600368:-1 gene:ORGLA02G0206500 transcript:ORGLA02G0206500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAP-binding protein 20 [Source:Projected from Arabidopsis thaliana (AT5G44200) TAIR;Acc:AT5G44200] MASLFKDPTKLSAYRDRRFTGTQEEYEAALQASVTVYVGNMSFYTTEEQAYELFSRAGEIRKIIMGLDKNSKTPCGFCFILYYSREDAEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGQVRDEYRTDYDPGRGGYGKMVQKELEAQRELVDYGGAFQPNAPPQYDRGDRKRGYGDSYRNDRDYQRKRYRNDERSSQRAPDSEFKRDANDSEKNPRFREKGDSDEEDDDYDKRRRR >ORGLA02G0206400.1 pep chromosome:AGI1.1:2:19595296:19596837:-1 gene:ORGLA02G0206400 transcript:ORGLA02G0206400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVEELLPALAADLTSRLVSLLIRAYRRRATTGVVEDDNLERLRLLLLELHAAVDEGQGRHITSQRLLLWLRELTESMYRGYYVLDTFRYRSVSIQAQQQDDARGAAAKRLRTSAGAAVRLVLGSSRDDDSSRAIARAHAHLQAVLQNVSPFLQMLGTYRRVPRRVSVDTERCVLIGRHAEKQRIVAFLLKEDEAAAHVVGVLPIVGPRGTGKSILIHDASHDARVRAHFAVIEHFGLDEVLLHLHAAGAGAAATATMDTSSRSSEDHPISIRHYLDAVRSIARQERFARNRSLLILEDAPAEFAAAAAAFLPMARGSKVVVTSEHDQTVAGLGKTEEVIRTKNKMSKEEYWYHFKALAFRGEADDPALAATAKEIAAALNGSFLGMRVLIALMRSNPRESFWRAVLQRLVDHRIYADMDYVQEFAQIGQIALKLVLPMRLTLRSCSITKQGSDPQLGPKLNRVAGGIAYSCRGDDSGYVDVILCRSRIPPYEIYKLSCVMEKGMVHAGQCH >ORGLA02G0206300.1 pep chromosome:AGI1.1:2:19585634:19587302:1 gene:ORGLA02G0206300 transcript:ORGLA02G0206300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid N-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G80860) TAIR;Acc:AT1G80860] MEMAAAAAAAVGVLLPFPFYWALWNHPQRWVDLCGGADPCRRMAQVSHVLKALQLLALASVASFSWPPPLYSVALLAVGQYLNFKVYQLLGESGTYYGVRFGKKIPWVTEFPFGYIKDPQYVGSMLSLVALLCWVPFQYVLLWCLGYVFMMWVESKEDPATRAKLLS >ORGLA02G0206200.1 pep chromosome:AGI1.1:2:19581668:19584543:1 gene:ORGLA02G0206200 transcript:ORGLA02G0206200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:I1P256] FARRAAARPLRAQPLLPPAARRVPGFVAGPLLRRRPWVGATEADEAAQTATEEDSETGETGADDAAAATEETPSVIVTALQSYKEALVNDDETKVAEIEDFLFSIEEEKNSLLSKISTLGAELTTERDRILRISADFDNYRKRVEREKLSLMTNVQGEVIESLLPVLDNFERAKTQIKVETEQETKINNSYQSIYKQFIDILNSLGVEDVETVGKPFDPMLHEAIMREESVEYEEGVILQEFRKGFILGERLLRPAMVKVSAGPGPEKPVYDDPAMVEDSVAPQKVKEAEDDGFDDDNAE >ORGLA02G0206100.1 pep chromosome:AGI1.1:2:19574297:19577471:1 gene:ORGLA02G0206100 transcript:ORGLA02G0206100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINVRGSTMVRPAEETPRVRLWNSSLDLVVPRFHTPSVYFFRRGEAAAAEGGSYFDGERMRRALAEALVPFYPMAGRLAHDEDGRVEIDCNGEGVLFVEADAPGATVDDFGDFAPTMDLKRLIPTVDYTDGISSFPILVLQVTHFKCGGVALGVGMQHHVADGFSGLHFINSWADLCRGVPIAVMPFIDRTLVRARDPPAPSHPHVEYQPAPAMLAPEPPQALTAKPAPPPTAVDIFKLSRSDLGRLRSQLPRGEGAPRYSTYAVLAAHVWRCASLARGLPAAQPTKLYCATDGRQRLQPSLPDGYFGNVIFTATPLAEAGRVTGSLADGAATIQSALDRMDNGYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSASGDGSLSVAISLQAEHMEKFRKMIFDF >ORGLA02G0206000.1 pep chromosome:AGI1.1:2:19562037:19567716:-1 gene:ORGLA02G0206000 transcript:ORGLA02G0206000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQADQAVISLRPGGGGGIGGPRAGRLFPFGASKGSLDFLRPRGGASSGFAAKLGDSRFEPLERVRYTRGQLVELHEIIDIPENILKLKQDIDIELHGEDEPWINNDSSVQTQSYNCYAETDNRDWRSRIEQPVQTPAIGGEEKAWDKIREAKESYISSGKQDQFNNQDILSSQFSAKAQVGPAPALVKAEVPWSIQRGNLSNKERVLKTVKGILNKLTPEKFDLLKGQLIEAGITTADILKDVISLIFEKAVLEPTFCPMYAQLCFDLNEKLPSFPSEEPGGKEITFKRVLLNNCQEAFEGADNLRSEVNKLTGLDQEMERRDKERLVKLRTLGNIRLVGELLKQKMVPEKIVHHIVQELLGSESNTCPAEENVEAICQFFNTIGKELDENPKSRRFNDVYFNRLKDLTTNSQLASRLRFMARDVLDLRSNQWVPRREEMKAKKISEIHREAENNLGLRPGSTASIRTGRTGGGGPLSPGAFSMNQPGIVGMLPGMPGARKMPGMPGLGSDDWEVPRSRSKPRADPVRNLTPSLANKPSPNNSRLLPQGSAALISGKTSALVGSGGPLSHGLVVTPSQTSGPPKSLIPAPSVDPIVEQPAAAPKPSSTELQKKTISLLKEYFHILLLEEAQQCIEELKSPDYYPEVVKEAINLALDKGTNSIDPLLRLLEHLYKKNVFKATDLETGCLLYSSLLDELAIDLPKAPVHFGEVIGRLVLSHCLSIEVVEDTLKKIEDSFFRAAVFEAMMKTIKANPSGQAILGSHVDKIDACSKLLSSE >ORGLA02G0205900.1 pep chromosome:AGI1.1:2:19554728:19557380:-1 gene:ORGLA02G0205900 transcript:ORGLA02G0205900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of rudimentary homolog [Source:UniProtKB/TrEMBL;Acc:I1P253] MAGRHTIILMQPSQNRASRTFMDYNSINHALDGLCGLYERKIRDINPMVPNITYDITDLYNFIDGLADISALVYDHSIQAFLPYDRQWIKQKLFQHLKKLAQR >ORGLA02G0205800.1 pep chromosome:AGI1.1:2:19550371:19553248:1 gene:ORGLA02G0205800 transcript:ORGLA02G0205800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G19520) TAIR;Acc:AT1G19520] MRALISLSKLTRRLLPSLAVARAPLPPLRRRLHAEPPPPPHHAPPPVASRILQSELTPGAGADADAEQEQQPALDPLLDEFLARFVAALRPTLAAAFPTHARHVLDEMLRLVAEAVLCRLTGVEPEEGGAVELSDDLWAAVWEVSAAVRDGMQRDRVRAELRGYLHCEEVKEMTRFASDVGIRGEMLRELRFKWAREKLEEVEFYRGLDDMRAQAEAAANPVALPPPRLAALPQRKGEIKFKIHGLDLSDPTWGEVVERAAEAEAHFVPQEAKPIEGKAKKAEEKLMAVDPRKGDPAPAMEEWKEELLPKRADWMALLERLKARNVELYLKVAEILLADESFGATIRDYSKLIDLHSKANHVEDAERILGKMKENGIAPDVVTSITLVHMYCKVGNLEQANQAFQFLKGEGFPPDMKLFTSMIRAHLKSGEPKQAENLLREMERSIKPTKELFMDVILAFAQRGMIDGAERVKTSMLLAGFQLTPELYTSLIEAYGRGGHVGQAYTLFEQMRSSGHEPDDRCVAGMMVAYMMKNQLDHALSFLLKLEKDGLKPGVKTNLVLLDWLSRLQLVQEAEQVVQKIRKAGEEPLEMHVFLADMYAKSQQEERTRKSLKILEEKKKLLKAYHFERIIAGLLEGGFTEEANKYFKMMKSHGFVPSPTIEIGVKASFGVRGGVHPTGRHRG >ORGLA02G0205700.1 pep chromosome:AGI1.1:2:19548536:19549045:-1 gene:ORGLA02G0205700 transcript:ORGLA02G0205700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSTVCLPLSPQPPSTAAAAGGELAGEQPAAAAAVTTAAAAVSASAEAEAAGEAESASGGDGAPVLPVECRWSGRVRSFAGAGEGAGAAAVPACPAPRRGGGKKPSSAPSPLSTVATAPAHPSGRPFDEYVKEWKAKKAALGVPAGRCELPFLTGAPKAVRVFLLLVM >ORGLA02G0205600.1 pep chromosome:AGI1.1:2:19541118:19543972:-1 gene:ORGLA02G0205600 transcript:ORGLA02G0205600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKTSRLIQPSGISLQLSANHHTLRLSDAKKRPDSRAEAGCTNCSADSTCKDDCECRGLYMSCSKNCHCSDMCTNKPFRKDKKIKAVKTKRCGWGAISLEPLEKGDFIIEYVGEVINDATCEQRLWDMKRRGDKHFYMCEISKDFTIDATFKGNTSRFLNHSCDPNCKLEKWQVDGETRVGVFASRSIQVGEHLTYDYRYSSCIEKLLSCNQLWLTMI >ORGLA02G0205500.1 pep chromosome:AGI1.1:2:19537464:19540194:1 gene:ORGLA02G0205500 transcript:ORGLA02G0205500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSRSSLMYEAPLGYSIEDLRPAGGIKKFRSAAYSNLLPSDREGHQSCFLSSSSLASSFETMAVLSVADSPPVSAIGFEGYEKRLEITFSEAPVFADPNGRGLRALSRAQIDSVLDLARCTIVSELSNEVFDSYVLSESSLFVYPYKIVIKTCGTTKLLLAIPRILELAEELSLPLEAVKYSRGTFIFPEAQPSPHKNFSEEVAVLNRYFGGLKSGGNAYVIGDPAKPGQKWHVYYATQHPEQPVVTLEMCMTGLDKKKASVFFKTSADGHTTCAKEMTKLSGISDIIPEMEVCDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGFNPASLAYGDLVKRVLRCFGPSEFSVAVTIFGGHNHAGTWAKGLDVGAYSCSNMVEQELPSGGLLIYQSFTATAEIATGSPRSVLHCFADENTEKAGKMEALYWEDDAVEEIDGTEGNELSTLYADLILCTNTTAIGKYDFCSAPITVLHLSVIQVVLQQGLRQGVLVVVGRSNAKESSFKNQFHVRNVSSYIIQMRSDFSYCHFMAKRAGMQQQQQQLQ >ORGLA02G0205400.1 pep chromosome:AGI1.1:2:19526795:19527345:-1 gene:ORGLA02G0205400 transcript:ORGLA02G0205400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQARLMAFASEAFHKVLIPGYRFLPTKNRMSWGMDREIRRGLVRLIGRRSGGDNGEEDETTTELKDKQDNGFNTWATVLLAVHPDWQDRTRGSLQGGAVGGFRPLGRHSGCRCGVPARVPPRRGLRLGDMAAIPARVTPRRLRSEGGALARV >ORGLA02G0205300.1 pep chromosome:AGI1.1:2:19507834:19509074:-1 gene:ORGLA02G0205300 transcript:ORGLA02G0205300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYANKRTRGAFIAAVFAMQGSGILFGAIVALAVSAGFPHAYPVSSYSDNHAASLIPQADYVWRIIVMFGTVPAALTYYWRMKMPETAWHTALIARNTKQAAADMSKVLHTQIEESVGHAETVRRWSSAARPGASSRASSCAATASTSSPPPAHPAAAVQPDGQWYLRAGEAKSLQVRRSVRDGGKRGGVRVAAPLAHVKLPLRRRTAAVAALDAPFLQGARRVPWRAPVPVRDCLPRRPCRGVPFLREGSRRHRRLQPRARA >ORGLA02G0205200.1 pep chromosome:AGI1.1:2:19505542:19505787:1 gene:ORGLA02G0205200 transcript:ORGLA02G0205200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTKELEPCRAPSSPAGELEGRRTARRAPWSTGERAGVAPSSPAGAAPVRQPSVCGLCEAPLRACRLPRASFLARRRPPFHW >ORGLA02G0205100.1 pep chromosome:AGI1.1:2:19501064:19503016:1 gene:ORGLA02G0205100 transcript:ORGLA02G0205100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding [Source:Projected from Arabidopsis thaliana (AT3G08010) TAIR;Acc:AT3G08010] MTTATAIVAGHGLALRRSLHLSKPSCATFSARALPPAAHCCRTVVAAAAPSSRACRCRSVSSESSTAAAADTADDEEEETKSDSEEEEMDPLAEVCYLDPEADAEGIREWELDFCSRPILDARGKKVWELVVCDATLSLQFTRFFPNTSINSVTLRDALASVATSLGVPLPDRARFFRSQMQTIISRACNELGVKAVPSRRCVSLLLWLEERYETVYSRHPGFQSGTKPLLTLDNPFPTSLPENLFGDKWAFVQLPFSAVREEVESLERRYAFGAGLDLDLLGFELDENTLIPGVAVESSRAKPLAAWMNGLEICSMEVDTGRANLILSAGVSTRYVYAGYQKSAATTQEAEAWEAAKKACGGLHFLAIQENLNSDGCVGFWLLLDLPPPPV >ORGLA02G0205000.1 pep chromosome:AGI1.1:2:19498480:19500763:-1 gene:ORGLA02G0205000 transcript:ORGLA02G0205000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPRVFLSASATPPPPSAAASSSSPFLSTGHVSSAPSTSRWTVQYKQPGHTFYRRTHVQSFLAFASTDASEGKRSSGDNVVMVDPLEAKRLAAQQMQQIQAREKLKRRRRAEAINGALAMIGLTVGLVLEGQTGKGILAQLAGYLTALSSLFGQ >ORGLA02G0204900.1 pep chromosome:AGI1.1:2:19492861:19496081:-1 gene:ORGLA02G0204900 transcript:ORGLA02G0204900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRVTAREIEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAEDAIRDLDGKNGWRVELSTKAGSGRGRDRSGGSDMKCYECGEPGHFARECRLRIGSGGLGSGRRRSRSRSRSPRYRGRSRSRSPRYRRSPSYGRRSYSPRDRSPKRRSYSRSPPPARARSYSRSPPPPRERSYSRSPAQPANREESPYANNA >ORGLA02G0204800.1 pep chromosome:AGI1.1:2:19490101:19491003:1 gene:ORGLA02G0204800 transcript:ORGLA02G0204800.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGAGSRHARVWLCEVCEHAPAAVTCRADAAALCAACDADIHSANPLARRHERLPVAPFFGPLADAPQPFPFSQAAADAAAAREEDADDDRSNEAEAASWLLPEPDDNSHEDSAAAADAFFADTGAYLGVDLDFARSMDGIKAIGVPVAPPELDLTAGSLFYPEHSMAHSLSSSEVAIVPDALSAGAAAPPMVVVVASKGKEREARLMRYREKRKNRRFDKTIRYASRKAYAETRPRIKGRFAKRTADADDDDEAPCSPAFSALAASDGVVPSF >ORGLA02G0204700.1 pep chromosome:AGI1.1:2:19480119:19484633:-1 gene:ORGLA02G0204700 transcript:ORGLA02G0204700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAPSIDEAKAKSVLRQVEFYFSDSNLPRDKFLRETVEQREDGFVSLALICSFARMKSHLGLDAAVKPETVPEETVLAVAEVLRRSQMLRISEDGKMVGRTSELLKADEIIEQVDSRTVAASPLPYNVKLEDVQSFFAQYAKVNSVRLPRHIANKKHFCGTALVEFSEEEEAKSVLENNLFFTGANLEIKPKKEFDAETESKKEAYEKAHPKKDEQNEGYPKGLIVAFKLKRITVDGGVQQNSADNDTATEEETPKSMKKTSTGESEERTTANSDTEEQKSSDDMTEAKEVNAGEATESGDKCTVDALLESEKKGDNETSSKDDRGLSGKANSPISREDLKEAFKKFGTVRYVDFSIGDDSGYLRFEDSKAAEKARMSAVLADEGGLIVKDHIVTLEPVTGEAEKDYWNTIRSIQGKYKDNRSYKGRTGKSYRGGKQFNGKRGRHSDSSEKGANKTQKVEAAA >ORGLA02G0204600.1 pep chromosome:AGI1.1:2:19468018:19469604:1 gene:ORGLA02G0204600 transcript:ORGLA02G0204600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLAFLLLLLLSYGAGSIRCEATLNSTADLLSLMDFKKHITEDPTQAMSSWNASVPFCQWTGVSCSRRHPGRVTALNLFNLSLSGTISSSLGNLTFLKELNFSSNHFSGKLPPLNHLHRLKVLDLRHNSLRDTIPEGLANCSRLRVLDLSSNSLVGEIPTKLGLLTNLSSLCLSINSFTGTIPPTLGNITGLNYLSLQINHLEGSIPRELGKLSDLLSLTIFMNNISGRLPNELFNLSSLQTLWLTDNMLGKEALPPNIGDVLPNLQFLSLARNMFEGHIPTSLINASGLWLIDLTNNNFYGQVPSYLSELANLSDLYLAGNHLEASDNEKWLHAFANCTLLQALNLARNQIKGDIPSSIGNLSTNLQYLNLGVNHFVGVVPPSIGNLHGLTSLWLSKNNLIGTIEEWVGKLRNLELLYLQENNFTGSIPSSIGDLTNLIQFSLGKNSLDGQIPANLGNLRQLDRLNFSYNNLHGSIPYNVGKLRNLVQLDLSHNNLDGNIPSSFIKLQKLKHLDLSDNNFQGIIP >ORGLA02G0204500.1 pep chromosome:AGI1.1:2:19451230:19452747:1 gene:ORGLA02G0204500 transcript:ORGLA02G0204500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALFAALVLLCYAAGNIHCVVAVHGNDSDMLALLEFKDAIGDDPAGVLSSWNKTTPFCRWNGVKCGRREHRVTALELAGQNLTGRLAAASLGNLSYLHLLDLSGNRFSGQIPRLNSLRKLLVLNLSNNSLDGVIPDTLTNCSRLRQLDLSVNGLQGQIPLGIGLLSELSDLVLSLNSLSGHIPSELGKLSKLSLLELNYNMISGEIPRALFNLSSLQILGLEMNSLGKSLPSNIRYALPNLQWLYLGDNMFQGNIPASLGNISRLHLIDLSENNFSGRIPSSLGKLSNLSVNLQYLLLNTNNLSGHIPSNMGNLQQLTQLDLSYNNLKGKMPPSLGNLQRLVSFNLSNNNLQGDIPSKFGDLQQLVWLNLGNNYLHGEVPSSVANLQQLVLLDLSHNNLSGKVPRSLGNLPKLRQLDLSHNNFGGKIPSSLANLRQLSRLDLSYNSLKGFYA >ORGLA02G0204400.1 pep chromosome:AGI1.1:2:19434051:19434417:1 gene:ORGLA02G0204400 transcript:ORGLA02G0204400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRMGNPLTAVFLAVMVMSSTLCRKHEADEGTCYDVMFCRGDVCKLRCRYLGYPDNAPCYCKSKPDGSAQCCCQRSSL >ORGLA02G0204300.1 pep chromosome:AGI1.1:2:19426973:19427518:1 gene:ORGLA02G0204300 transcript:ORGLA02G0204300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKYIIGSVAASFAFAYVCEIYIAEGKLLGGTTTRTMATDEWGKETDKKFQAWPRTAGPPVVMNPVRRQNFIVKSSE >ORGLA02G0204200.1 pep chromosome:AGI1.1:2:19423154:19423417:-1 gene:ORGLA02G0204200 transcript:ORGLA02G0204200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTWYDDQFQVISRNGDLPHKIFVEQEYVRCPGYVLVHGKSFPRPIITLWYHKFTTPETDRDKARSTAAHCVHFLFHRQYIFTL >ORGLA02G0204100.1 pep chromosome:AGI1.1:2:19418053:19421354:-1 gene:ORGLA02G0204100 transcript:ORGLA02G0204100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTTSSSSNSQNPNPFNLPPWLRSLRCPFTFLCPPPPPPPPPPPPPPPPPPLEVVSPSPRWRRPGLRVTTEYDSEEAMFAHKVSCKVAGGLAKLRLSFQSDPSGQGLGEEADPRRQLFVAPVVGLIAKNFSVLYDVEARNALLSGQGSLPGGAIQLRGSHDVKERQGEVSVITRLGDPSYKLELSSLVPYNGLPRATLHFPIGQVSVEERRNEADEKMLSLYGIAKSDFLDGILTAQYSENDINLRYCYKDNELTLIPSVSLPSNAVSLGFKRRFGPSDKLSYRYDFTTDDWNAVYKRTVGKDFKVKAGYDSEVRVGWASVWVGQEDGKAKTAPMKTKLQLMLQVPQDNFRNPTFLFRVKKRWDL >ORGLA02G0204000.1 pep chromosome:AGI1.1:2:19416375:19417586:-1 gene:ORGLA02G0204000 transcript:ORGLA02G0204000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVHPLLVAFLVVLLVGTCQARPAPGKAASSSPSGVVDGITAIYNFGDSISDTGNYLREGAAAGAMMEHTVAPPYGAAIGGATGRCSDGYLMIDYLAKDLGLPLLNPYLDKGADFTHGVNFAVTGATALDAAALARIGVAAPHTNSSLSVQLQWFRDFMSATTKSPAEVRDKLASSLVMVGEIGGNDYNYAFAANRPRPGGRSAADVGRMVTGVVESVVLVPEVVRSVVGAAREVLEMGATRVVIPGNFPLGCAPSYLAAVDETERAAYDGNGCLVGLNLFAQMHNVLLQQGIRELRRSYPEATVAYADYFGAYVRMLERACEMGFDGAALTNACCGAGGGKYNFEMERMCGAGGTAVCARPEERISWDGVHLTQRAYSVMAELLYHKGFASPAPVKFPHQ >ORGLA02G0203900.1 pep chromosome:AGI1.1:2:19414108:19415764:1 gene:ORGLA02G0203900 transcript:ORGLA02G0203900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIDAQRRNAEKNQKSKGSQLEARAVGLKVICPICKVQLANEKQLIDHYGSKHPKEKPPSPSE >ORGLA02G0203800.1 pep chromosome:AGI1.1:2:19407938:19413468:1 gene:ORGLA02G0203800 transcript:ORGLA02G0203800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASPHHLRPSPPAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGEAVTAVSAAAVGAPASAARDTECRVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKAMFTIVVSGTDRVLNQVIEQLNKLVNVLNKLQVEDLSKEPQVERELMLIKINVEPDQRPEVMVLVDIFRAKVVDISENTLTIEVTGDPGKIVAVQRNLSKFGIKEICRTGKIALRREKIGATARFWGFSAASYPDLIEALPKNSLLTSVNKTVNGSFDQPSNAGGDVYPVEPYEGSSMNQVLDAHWGVLDDEDFYLVQSSGLRSHTLSILVNDCPGVLNIVTGVFARRGYNIQSLAVGPAEKSGLSRITTVAPGTDESIEKLVQQLYKLVDVHEVQDITHLPFAERELMLIKVSVNTAARRDILDIAEIFRAKSVDVSDHTVTLQLTGDLDKMVALQRLLEPYGICEVARTGRVALVRESGVDSKYLRGYSFPL >ORGLA02G0203700.1 pep chromosome:AGI1.1:2:19398482:19403366:-1 gene:ORGLA02G0203700 transcript:ORGLA02G0203700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKLKRSISRQLSSGAARVWRQLSLEPVYTPRRAGAVGGGGGGGGGGGGAGGGGARPTRFGLARQSSLDPTPREGGGAAEDGSGAAAMLAVPENLDATMRLLFAACQGDVAGVEELLRDGVDVDSIDLDGRTAMHIAACEGQGEVVRLLLSWKANMNARDRWGSTPAADAKHYGHFEVYNLLRARGAKTPKQKKTPMTVSNPKEVPEYELNPLELEFRRGEEVTKGHYVARWYGSKVFVKILDKDSFSDANSINEFKHELTLLEKARHPNLVQFVGAVTQNVPMMIVSEYHQKGDLASYLETKGRLQPYKAIRFSLDIARGLNYLHECKPEPIIHGNLSTKSIVRDDEGKLKVAGFGSRSLIKVSEDNPQMDQTTSKFNSVYTAPEMYRNGTFDRSVDVFAFGLILYEMIEGTHAFHPKPPEEAAKMICLEGMRPPFKNKPKYYPDDLRELIQECWDPTPSVRPTFEEIIVRLNKISTSFTKQTRWRDTFKLPWKQASER >ORGLA02G0203600.1 pep chromosome:AGI1.1:2:19388725:19395640:-1 gene:ORGLA02G0203600 transcript:ORGLA02G0203600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVIRRRKYLFDHVNAPTLSLSPFSTFQHGRSGSEDESRIGQRFLEQSSGDSKWEQGQYGVKLIKGDLLALGNGLLRRPAHGISLPAYGIGRKEFGLPMGARHLLQSVRTASTATAGQPKLDIEDEQSEDQKQNKRKKEASPEECDQAVEGLSSAKAKAKAKQVQESVKAGQSIVRKFWARLLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKNLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGEIKQTAEDLDEFLNKVRRGEHVSNDEILNFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDHYLRFMLRKKLQDIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHAVPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKKKEKEEKAKQEKEEKAKLKEPKAAEEDLALKEMTGPTAREEEELREAKQHDKEKLCNFSRALAVLASASSVSKERQEFLSLVNKEIELYNSMLEKEGTEGEEEAKKAYMAAREESDKAAEVDEEEKVSSALIEKVDAMLQKLEKEIDDVDAQIGNRWQILDRDLDGKVTPEEVASAAAYLKDTIGKEGVQELVSNLSKDKDGKIRVEDIVKLASQTDENNEDEEEGRQ >ORGLA02G0203500.1 pep chromosome:AGI1.1:2:19382087:19385851:1 gene:ORGLA02G0203500 transcript:ORGLA02G0203500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAEEAFPPQRNPRRARRRDLNALDPSLEESDGEDIGVPEVGMVFNNHTEVNRFYRRYARRVGFGVSVRRSSFSQEGTCLYLELMCCKGGRPRYEPKFRKRASSTTNCPAKIRVKLWGDKLLHVELAILDHNHPVSPAMARFLNSYKQLSGPAKRRLRMGGPGAMPVEEPSKMPVDKLGALEELLFGESKHHSFVERGRLKFQPGDSEALRLFFTRMQAKNANFFNVIDLDDEGCVRNVFWADARSRSMYEFYNDVVTLDTSYVVGKYDMPLATFIGVNHHGQSVLLGCGLLSDETAETYSWLFKAWIACMSGNLPKAIITGHCRGIQSAVAEVIPGVHHRICLFHIMRKATERLGGLSEYAAISKAFQKAVYDSLTIDEFEGNWNALITYNGLQGNDWLRSIYECRYSWVPVFLKETFWAGMSATQRNENIIPFFDGYVDLKTTLKHFLGKYEMALQSKYEKEAQADFETFHKQRPPVSKFYMEEQLSKVYTHNIFKKFQDEIEAIMYCHVSFINVDGLISTFDVKEWIFLEDGKRTMSKIFTVTNNTDKNDLTCICGGFQFNGILCRHSLSVLKFQQVREIPPHYVLDRWKKDFRQLHVMGRPSSDVVPNNRVDRFDYLSMRCLQLVDSAVLSDKYRLALRLVREMEKFMLNSNTHDDTQPRIKSRIPKANKPNTVVGQNLVNVGTYNGNDRPKATTEASSLTQGLDVQKGGAEKGIVPAGYIGLPANVQQFVGSQTAIRPSIVYMVPSGVDPQAFGNGVLMPVVYQQMFQVPQQPNGTMPDTSANGKRKRPRAQKPTEASEQSNGTPATGPTSG >ORGLA02G0203400.1 pep chromosome:AGI1.1:2:19377513:19379429:-1 gene:ORGLA02G0203400 transcript:ORGLA02G0203400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRFPLCFVLLHTKNNSWIRVRCCSQVREKVSCVLPLCHAILPRDPSSFVLTVKHGSDAWFMPGFMDSSLKNTNNMQRGTYSFSGEIHLAGHHSSSLPCSTKKVAKVMEEMKPIIILSLLGGVSDDSAASYSTNWTLKQVIGETHTRVIPPQLLPKDQKIIFQKPSQHCYS >ORGLA02G0203300.1 pep chromosome:AGI1.1:2:19371816:19374818:1 gene:ORGLA02G0203300 transcript:ORGLA02G0203300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLPAELPIVDVAARLDGKSSELPENGALLKGAEESQDLGGNPVAELTLHEGKEVILVDDNDSEQEDGGSGKVDENAPRVGFRFKTYDDALKYYKQYAADSGFSAIILKSSYLKSGVCRRLVLGCSRAGRGRANACYLSRESTKINCPARISLKLRQDRWLHIDDAKLDHNHPPNQSSVSHMNCYKKLTDAKNEETASRSKGRRNVPIGDKEQGSFTEIGRLKFGEGDDEYIHKFFGSMQNKNPNFFYLVDLDKQGRLRNLFWSDARSQAAHDYYGRDVIYFDTSYLTEKYDLPLVFFTGVNNHGQPVLFGTGLLSDLGVDSYVWLFRAFFVCMKGCYPDAIITEHYNAILDAVRDVLPQVKHRLCLYRIMKDVAENLKAHAEFKTIKKALKKVTYGSLKASEFEADWKKIILEHGLGENECLSSLYEHRQLWAPAYLKGQFWAGMSVSQRGESIVSYYDGFVYPKTSLKQFFSKYEIILENKYKKELQADEESSHRTPLTVTKFYMEEQLAKEYTINMFKKFQDELKATMYCDGMPTKVDGQFVTFVVKECSYMEDGKEKEGRNYEVYFCKQELVNCECECGFFQFTGILCRHILSVFKLQEMFEIPIRFVLDRWKRDYKKLHADALCKNDEMLPDVLPDGIIERHDILFTQSRQVLNLGFISEGRYLVALKLLRQAEKTLLDDGDRGRQAGLLSFEAEAPENDEGIFSPEFSEGVKNSQSTNAKRRGRPTKKLIESDSDTVLRPNKEQDFLRSSFVTDESNMIQGAPSASHLESPHLGVDLMEGIPPNLSFNHHFGMDVNHQHQVPNQPRMLPSNFLQAQADSQGYGNQWAFPTLQVCFLGS >ORGLA02G0203200.1 pep chromosome:AGI1.1:2:19362360:19362743:1 gene:ORGLA02G0203200 transcript:ORGLA02G0203200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGRTRRRKRGGQGGGDHDASDEATATSILASRPDEPSPPDLASLAKHSRRRHDSPPPHPDEPPPPDLASLAMPSSRLAAAVRPRVVPRRDTATGSGDPCHTVVATHCHRTMPQLAAIVAHSQIR >ORGLA02G0203100.1 pep chromosome:AGI1.1:2:19351833:19356909:-1 gene:ORGLA02G0203100 transcript:ORGLA02G0203100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSKEATPPPEAWWTGETVAVVTGANRGIGHALAARLAEQGLAVVLTARDGARGEAAAAALRARGLRSVRFRLLDVSDPASIAAFASWLRDELGGLDILVNNAAVSFNEIDTNSVEHAETVLRTNFYGAKMLIEALLPLFRRSAANSRILNISSQLGLLNKVRDPSLRSMLLDEASLTEGKIERMASRFLAEVKDGTWSAPGRGWPAVWTDYAVSKLALNAYSRVLAARLARGGDRVAVNCFCPGFTRTDMTRGWGTRTAEEAGRVAAGLALLPPGDLPTGKFFKWCTPQLYSKL >ORGLA02G0203000.1 pep chromosome:AGI1.1:2:19343727:19348597:-1 gene:ORGLA02G0203000 transcript:ORGLA02G0203000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVPLFSFVLLALVVAVPADFDVGGRLLGVGLCSVRGDPNEHYDPHGDLYLRPFLQLDSVHQFYSLVFARKASSAMGASTSTKRPLTSKVTNEGENDRVKYASSAMQGLRMSMQDALAVELDLDALKRTSFFGVYDGHGGAEVAMYCAKRFHVMLREEESFLNNLPYAITSVCSRLDDELEAPNVWRASLYPHRSSESSSESSDCFQFLSTGSCANVWRSSEAVSYKLPSYEGSTACVVIIRGNQITVGNVGDSRCVLSKNGQAIDLSTDHKPNVPLERQRILRVGGQVWREKIPAKDSGGEIREQWGPYCIEGKLSTSRALAGIFLTTISGDFAYKNIVYRPQYQMVTHFPDIRVAKITGDTEFLVIASDGICSIQILIVDLNTFFPFRDHMSSQDVVDLVHEKLNSRRQELCQNLINQGKKRGCFTEDSQLATNKNIAPNTTTLGEETLHTTCEKLVENCLESRNNATAILVQFKPGADQPIPALPNIQEGSEEVAGGADQPIPVLPNIQQVSDEVAGGTGQPIPVLPDIKEGSDEVAGGAAVAEQHQHNPEGGGEQQLDLDDALDGEALALLFGQP >ORGLA02G0202900.1 pep chromosome:AGI1.1:2:19334072:19335271:-1 gene:ORGLA02G0202900 transcript:ORGLA02G0202900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLILDPYMEDAILDHPCLAELLADXTALPMFDSFSGGGTPPIDTDTFLRAIGALPPLAPPPEAPLAPAPPDSPRTPHTYGSLLPVYGDHPLLTGAVVQEPLPLPEGGDHPVPPKKKIKVAPLLPERADQPVVTSNSATTTRPQLCVPYDDEIEATLRAMETNPAERPSPYFLETTQGGRMTALVRASMIAFMDEFSRFHELADGTLQRAAYFLDRYLSVTPESDDALQLRLVGATAVFLAAKYEDQYTLRKIDASMVAARCGYTSETRHKMVSVMETEMLAALDYNLSGPTAYTFVEHFTRYYGDGKKEKLLKEAAHRFADGSLLTYGFHRYLPSVVAASAIFLARLDVLGHEPWSQDLAELTGYKAIDLMGCVCDMYSQIACPRFALFQEYFFQDP >ORGLA02G0202800.1 pep chromosome:AGI1.1:2:19329898:19331070:-1 gene:ORGLA02G0202800 transcript:ORGLA02G0202800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIMEPYVADLLADDITASMVELLSGDGGAAQMDVGVLDAYLRAIGALPAHPAAPGADLAAAAEVESMASNDDTNGNWDTKVDAKVPSAFLPPPPGFPPLPVPALADEPVYAAPVDEGDAIRAFMQQLEWSEQYNGDDDAPAPDDSMASRPQLCAPYDDDIDANLRAMEKDAAERPSPDYLDTVHNGQISAASRASLVAWMGRLTHRYELAAGTLHRAVSYFDRFLSARALPSYTEHQLSLVGATAVYTAAKYEDQGTVFKLDAREIASYGEFASAQEVLAMEREMMAALGYRLGGPNAETFVEHFTRYSKGKEELRVQRLARRIADRSLETYGCLGYLPSVVAAAVISIARWTLNPPGALPWSSELHELTGYSSQDISSCVLTVLNTQ >ORGLA02G0202700.1 pep chromosome:AGI1.1:2:19323142:19327275:-1 gene:ORGLA02G0202700 transcript:ORGLA02G0202700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPAPAPAPRAVAHRVLPCNRRRRRSTSRIGHRRPRRHGRPGFLLPPLPRAPMLSWRGAQLGRRAREREEALAWTPEGRGEAVVVRRPEQKTKGVELSGRRRRARRRVGLNAAPRGSSACTPERLGGADQYPGYGGGGGGGGGIPPRRPQGSARVGPGARAARGAHLGRRIRRSSHVGPIQRTRCARSGRRRKLWVGFTTFCAPPPLACKALAPLTHFCFATTTIFTSHKRSGIAERKEASAMGASPSRPLEQSPSSSEGENHRVKYASYTTQGFRPHMEDALAVELDLDATTSFFGVYDGHGGAEVAMYCAKRFHTMLLEDVDYINNLPNAITSVCFRLDDDLQRSNEWRESLNPCANRNCLTNICANLHHFTEDYVPPSYEGSTACVVIIRGNQIIVGNVGDSRCVLSKNGQAISLSFDHKPHHEAERERIQRAGGHVFLRRILGMLATSRAIGDFAYKQNRNMPPSQQMVTCVPDIRVVSVTMPF >ORGLA02G0202600.1 pep chromosome:AGI1.1:2:19318350:19320521:1 gene:ORGLA02G0202600 transcript:ORGLA02G0202600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nicotinamidase 1 [Source:Projected from Arabidopsis thaliana (AT2G22570) TAIR;Acc:AT2G22570] MGSDASSAADAMGVLRAAVPLQPDADLVLATGGGERGQVVGLVLVDVSNGFCTVGAGNLAPVTPNKQIEKMVDEAARLAKVFCERNWPVFAFLDTHYPDKPEPPFPPHCIIGSGEENFVPALEWLEKDPNVTIRRKDCIDGYLGAFEKDGSNVFSDWVAKFQIKTVLVLGICTDFCVLDFASSALAARNIGRVPPLEDVVIYSEGCATYNLPVEVARSMQGTLAHPQDLMHHMGLYMAKSRGAKVVDRIII >ORGLA02G0202500.1 pep chromosome:AGI1.1:2:19315542:19317572:1 gene:ORGLA02G0202500 transcript:ORGLA02G0202500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTATAVFLDENLHIHRGPAGKRADGLKAKPLKPLAAKQGLQEKKALRDVSNIGKPPVSTRKPLQDVSNTAKPRGRNISDGTTLKKTALRSHEATKNPVKKTVIFSDETAKCHEWAKDGVEGTHFTGNDSQKLEKDSQDKRVKKKVEKIMSALHDWPDAVFDHVLFPSEVVAAFFEEVKEMELEPEILPENNRRRSSSGDKMKLAEDPFTEDELDYYPFLENNPVEFQLRDELPLLEPGMN >ORGLA02G0202400.1 pep chromosome:AGI1.1:2:19311955:19312443:-1 gene:ORGLA02G0202400 transcript:ORGLA02G0202400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDQQAELRRVFELFDRDGDGRITREELTESLERLGMPVHGEELAATIARIDANGDGCVDMDEFTQLYETVMRVDGGGGGGACDVDEASMREAFDVFDRNGDGFITVDELGAVLASLGIKQGRTAEDCGRMIGQVDRDGDGRVDFLEFKQMMRGGAFATLR >ORGLA02G0202300.1 pep chromosome:AGI1.1:2:19305802:19310557:-1 gene:ORGLA02G0202300 transcript:ORGLA02G0202300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G56120) TAIR;Acc:AT3G56120] MEKLDESKFEQRLQLWALRIPRELASAVTRLLRSGYLLDKPRVKPVVEDPESDKNRLVVLSEKIQNPDLSDIEEKVYDSLKQICNIDVVPYSLTLGYSYWNAGHVAHLNIPDDLLPYKDVIAKVIYDKNYPRIQTLVNKVGTITNEFRVPQFEILAGKNDMVTEVKQYGATFKLDYGLVYWNSRLEHEHIRLVSLFKKGDVICDMFAGIGPFSIPAAQKGCIVYANDLNPDSVRYLRTNAQINKVDDYIFTYNMDARVFMQNLLEVPCSENKSDSQVAANCSSEDIDPANESSTSNGNHSDVQESCHKDINDSSMISTATKRRQESSNGGDGYCQEDASHTKKRNNKRVKGSGPPPVKPWEHFDHVVMNLPASALQFLDCFSGLVQKKYWTGPLPWIHCYCFIRSSESEELILSVSEAQNKLNAKIAEPIFHRVRDVAPNKAMFCLSFQLPSECLKDETEDHIQSVDC >ORGLA02G0202200.1 pep chromosome:AGI1.1:2:19304040:19304959:1 gene:ORGLA02G0202200 transcript:ORGLA02G0202200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDACESAAAAVVCCADEAALCAACDVEVHAANKLAGKHQRLPLEALSARLPRCDVCQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFASASPCDGGNDAHDSDHHAPPMGSSEHHHHHQQPAPTVAVDTPSPQFLPQGWAVDELLQFSDYETGDKLQKESSPPLGFQELEWFADIDLFHNQAPKGGAAAGRTTAEVPELFASQAANDVAYYRPPTRTAAAAFTAATGFRQSKKARVELPDDEEDYLIVPDLG >ORGLA02G0202100.1 pep chromosome:AGI1.1:2:19295419:19299066:-1 gene:ORGLA02G0202100 transcript:ORGLA02G0202100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor eIF2A family protein [Source:Projected from Arabidopsis thaliana (AT1G73180) TAIR;Acc:AT1G73180] MASHPPALDILVREPDGFSVWSGPPYPTGSAPPVRLPKTACSATYFSSDGSRLLATVASASAAVYDCRTLSVVRSFELPGLLAAALSPAGTFLQTFQKSSSPQEKNVTVWHVDTATALYQHYQKNISKATWPMVQFSADESVACRMMTNEIQFFEPKDFTKGFVYKLRMPGIATMQLASAPGSHVAGFVPEAKGVPASVQIFSCDKDAQDQAVARRSFFRCSTVQFHWNKGSTGLLVVAQADVDKTNQSYYGETKLNYLTTDRAFEGIVPLKKDGPVHDVQWSYSGSEFAVVYGFMPARATIFNKKCNPLLELGEGPYNTIRWNPKGRFIVLAGFGNLPGDMAFWDYSEKKLVGKTKAECSVTSEWSPDGRHFMTATTAPRLQIDNGIKIFDHNGSLQFKKMFEKLYQADWKPEAPEKFSDIADLTISLGSIKIEETKKQVSAQGSKSAQPSSKAPANIAAKPTAYRPPHSKNSADVQDKLFGGLASTGGEMSKNALRNKKRREKQKEKKAAEGSGASADDN >ORGLA02G0202000.1 pep chromosome:AGI1.1:2:19292701:19294487:1 gene:ORGLA02G0202000 transcript:ORGLA02G0202000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFTCKPNSGLIVDRPVAGLGRTGRLLSHPQYSLTTHSVRFPKLQKQVYPRLVLVAASQKKLPPLCASSGKVNPEAENDPFMESLKKAMDDAKKPRPIQDLLKEQIAKLREQGSGGGGGNRNRRGGSGDSGGPEDESFKESLDELVQVILATVAFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQKWRNFSESFMQSEGSQEDQYERAATSKPTWWQQPQKFVHLMEELCRGNWRPHAQES >ORGLA02G0201900.1 pep chromosome:AGI1.1:2:19288039:19288942:-1 gene:ORGLA02G0201900 transcript:ORGLA02G0201900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLSLLAVVLAMVAAVSASTAAAQSCGCASDQCCSKWGFCGTGSDYCGTGCQAGPCDVPATNDVSVASIVTPEFFAALVAQADDGCAAKGFYTRDAFLTAAGGYPSFGRTGSVDDSKREIAAFFAHANHETIKFCYIEEIDGPSKNYCDETSTQWPCMAGKGYYGRGPLQISWNFNYGPAGQSIGFDGLGDPDAVARSPVLAFQTALWYWTNNVHDAFVSGQGFGATIRAINGALECDGKNPTAVSNRVAYYQQFCQQFGVDPGSNLTC >ORGLA02G0201800.1 pep chromosome:AGI1.1:2:19283191:19283460:-1 gene:ORGLA02G0201800 transcript:ORGLA02G0201800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVDLAGDLSRSVAYAAASWLWTNAGAARRPPSSAAGRSCLAASTVAAHCSAATANSKQRKTKTDADVVVVRAAADSIALHAPKQCN >ORGLA02G0201700.1 pep chromosome:AGI1.1:2:19272187:19276937:1 gene:ORGLA02G0201700 transcript:ORGLA02G0201700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDQLMGANRNGDVREVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDMGPCPKLHSLQLRKEYEEAKAKGMDNYDRELEETIERLISECERKIQRALKRLEEDDAKAAIAISVTEVTQSKEVIEFSKQIKEKMKEIDAFDLEGNTEGKIRATEEVDKLKEQRAEEQAKHLLEAFNKDRVSLMTSLQTANQSIPPPPVAAAPDARTQEMIDEKLKKAEELGENGMIDEAQKLLDEAEALKKLGARPQPVPDSAKMSTHVQITDQKLRLCDICGAFLSVYDSDRRLADHFGGKLHMGYMLIREKLSELQEEKNKRRKIDRAEHERRSRDRSLERDRASSKDRHRGDRGSSRDRDRDYDRRRSHDRYHDRESRSDKDRESGRSHSYDSRGYRRSRSPRDRSRDYDRYGRDDRRHRR >ORGLA02G0201600.1 pep chromosome:AGI1.1:2:19269337:19270967:-1 gene:ORGLA02G0201600 transcript:ORGLA02G0201600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLECTVGKDGLNFQCNLCDSDVVHSMAEILLRGLATASVDSTTGDIFKSPSSVAIGMKSELAEYLIQRSMTLVREAVDGGEDHSEQLIKASTMPTEFLSDLIDGFVASKRNLLSHVSGFLSSETRLNKIKDFIQKLEMENFWAPDVREATAGTILKSIDMKCIIHCPERFDTQDKLAEHRNLCRFRIVNCKNDGCLASFSANHIEKHDSVCPFKVLPCEQLCEQHVMRCEMDRHCASVCPMKLINCPFYQVGCESAFPQCVLDKHCSERLQIHLMYILELTTRHDAFVNDMNQRLHLLEKAQSLNELSGALDNRTLTLTAKEQEAKIKKLEQDLKVQETKLKKLESEFKSGKV >ORGLA02G0201500.1 pep chromosome:AGI1.1:2:19263242:19268974:1 gene:ORGLA02G0201500 transcript:ORGLA02G0201500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGLSSWMRGFLLPSLWEAEVAVSAAALLVAALLLLFLDQAVQSSTKSPASSSSPPPSPTTTAAASCRRDGGCGGCGCRRRRAKGKPAAAELGGTSKVALPDGSPHSRGRTSYVIKLELLSAKYLIGANLNGSSDPYAVISCGEQRRFSSMVPSSRNPLWGEEFNFLVRELPVEFCTAPVNDSKVTITMYDWDTVCKCKVIGSVTVAVLGEDETGATWFDLDSKSGQICLRFSSAKVFPTSERLFTKVILVLWRGLSCTMGIKRSQHSLINPAITIFLRTGSGGHGTPPSCSQNGRIRYKFTSFWNRNRTFRALENALQSYRATLEAEKQVRMHSLQQRRSSDVICSKTDDLKTAERSIEQAKAFQPFINEHVLVDATSLSKWYPSDEYGGKIREVMFRSLCHSPLCPPDTAVTEWQRASFSKNKTNLIYETKHQAHDVPFGSYFEIHCRWHLRTTSSSTCQVDIKIGVNMKKWCILQSKIKSGATDEYRREVCKILEAACDYVLKEESNNQASHEIEAISLT >ORGLA02G0201400.1 pep chromosome:AGI1.1:2:19257244:19258136:-1 gene:ORGLA02G0201400 transcript:ORGLA02G0201400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPRNGDAAAKKQPWYRRALGGLIPSARHAAIAAPPPAAAAVAGGVERRPRWWWGSSLKTTSAAFAREVCICAPLCSYDGIMGIQVDAVAPTMMARSSAGISPSPPRRASPARSPTAGAAGGGGRRSPASPRTASSPRSSRARAHPAPVSVAAPAPAAAAVEGPRKRVSFSGGESLWNDELVRRFVRAQEGMPRRGEIDMANRHRRRRWRAPGKSRLRRMSLAHVADDDEDGETNALA >ORGLA02G0201300.1 pep chromosome:AGI1.1:2:19254793:19256190:1 gene:ORGLA02G0201300 transcript:ORGLA02G0201300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIMEPYVVDLLADDITASMVELLPGDGGAAQMDVGVLDAYLRAIGALPAHPAAPGADLAAAAEVESMASNDDTNGVLYDWDTKVDVKVPCALLPPPPGFPPLPVPGLADEPVYAAPARHLPPPPGFPPLPVPGLADEPVYAAPARRLPPPPGFPPLPVPAKAEPVYTAPVDEGDAIRAFMQQLEWSEQYNGDNDAPAPDNSTASRPQLCAPYDDDIDSNLRDMEKDAAQRPSPDYLDTVQGGQISAAARASLVAWMGRLTHRYELAAGTLHRAVSYFDRFLSVRALPSYTAHQLSLVATTAVYTAAKYEDQGTVFKLDAREIASYGEFALAQEVLAMERDDGGARLPAGRPERGDVRGALHPVQQGEGKTMVVHDVTMAERNYIHS >ORGLA02G0201200.1 pep chromosome:AGI1.1:2:19249992:19250210:1 gene:ORGLA02G0201200 transcript:ORGLA02G0201200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILFSMFYSILVGEEPDSVFLKKEGKQNQVKMIWVAPSSCAKDLTISEGTGATFLFNFHSRVSICFHALF >ORGLA02G0201100.1 pep chromosome:AGI1.1:2:19247174:19247530:-1 gene:ORGLA02G0201100 transcript:ORGLA02G0201100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVRVRLSPARELSGYYDSTEFCQFGSSIYDFAFMDVDKILPFSSTLGWHSLNVNGEVQKRKGLRWIPRYPETRKGVASDEMLRGVENKHRSGDSQIGQPFELPAESMSRQEIQIDR >ORGLA02G0201000.1 pep chromosome:AGI1.1:2:19245744:19246946:1 gene:ORGLA02G0201000 transcript:ORGLA02G0201000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLILDPYMEDAIMDHPCLAELLADQTSLPMFHPFSGGGTPQMVDTDTFLRAIGALPPLAPPPAAPLAPAPPPDSPRTPHTYGSFLPIYGDLPPLSVAVVQETLPLPEGGDHPVPPKKTIDVAPLLPEHADQPVVTNNSATTRPQLCAPYDDDIEATLRAMETNPAERPSPYFLETTQGGRMTALVRASMIAFMDEFSRFHELADGTLQRAAYFLDRYLSVTPESDDVLQLRLVGATAVFLAAKYEDQYTLRKIDASMVAARCGYTSETRHKMVSCMETEILAALDYNLSGPTAYTFVEHFTRYYGDGKEEELLKEAAHRFTDGSLLTYGFHCYLPSVVAASAIFLARLHVLGHEPWSRDLAELTGYKAIDLMGCVCGMYSQIACPRFALFQEYFFQDP >ORGLA02G0200900.1 pep chromosome:AGI1.1:2:19240014:19241719:1 gene:ORGLA02G0200900 transcript:ORGLA02G0200900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLILDPYMEDAILDHSCLAELLADQTALPLFHPYSGGATPQMVDTDTFLRAIGALPPLAPPPAAPLAPAPPDSPRTPHTYGSLLPVYGDLPPLSGAVLQEPLPLPEGSDHPVSPKKTIEVASLLQERADQPVVTSNSATTTRPQLCAPYDDDIEATLRAMETNPAERPSPYFLETTQGGRMTALLRLVAATTVFLAAKYEDQYTLRKIDASMVAARCGYTSETRHKMVSCMETEILAALDYNLGGPTAYTFVEHFTRYYGKGKEEKLMREAAHWFADGSLLTYGFHRYLPSMVAASAIFLARLHVRGHEPWSKDLAELTGFCYSDVSTYGPTADTFVEHFTRYKCTTAGERKSYGCMQRLERDVADQSLMNYVRLPGATIPAVHGGGGRRASISVARCSLNRHDALVWSTELQELTGYSFEDLVSCIFAM >ORGLA02G0200800.1 pep chromosome:AGI1.1:2:19224386:19225327:1 gene:ORGLA02G0200800 transcript:ORGLA02G0200800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIIGRSSPRGHGGSGSLLRKRCRSEMEAVRGLLKKAEALVRKAAAGAAARRPLPRRVKDKEAMTMAQKEQLVGLLSSLPAGILPSHVADFMRRRCSWRAVPGVDGDDDELEIDLGSTEDAALFELRKMLDDEAAVRRTSPRGLEDGEVADEYMDICGGVSPLPAAARKPPPLALSSPPAAAEQEDDLIDIFVAATLLYRRTRISSTRRRSSSQKPTSSSTSTATQSTSRQEILTQPPPVRAAATPPDHQAHPPPLQAATPTPTAAASATPRAATQTQQTISPSSSSNLWPPNHWSRSRRRSPNKLTRWGRS >ORGLA02G0200700.1 pep chromosome:AGI1.1:2:19218877:19222882:1 gene:ORGLA02G0200700 transcript:ORGLA02G0200700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVVECSVCRSRLVVPSPRSVSRAYDKHRSKISSKFRALNVLLVVGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVTKVVFAIVMLIIQSRKQKVGEKPLLARSTFIQAARNNALLAVPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMKRRFSVIQWEALALLLIGISINQLRTVPAGNTAFGLPVTAIAYIYTLIFVTVPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTALFQGPESFSILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISVVFISMHQFFSPLAKAKDDKPAELLELEDTQNHRSSESSFVNMTAGAAEDASHRIGTDERQPLLPT >ORGLA02G0200600.1 pep chromosome:AGI1.1:2:19214862:19217517:-1 gene:ORGLA02G0200600 transcript:ORGLA02G0200600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRNNVALCQVTVTSTANVCVYTDENKVDGKLFIIDEGIFKMLREPPPPRDNGPVVGVKVKFDWSWCTLPSPPFHNVISHGMHPDQRTMVFSMTKYSMKKRTGLLATFSFDLESSRWTQHANNDDGQPPACKLSKERLFCVDTVEKHIGATLVHVGGDRSMVCLVQYLSIDNHQGNIWKEFLPQRIRYLLQITTFSPKYDKYEDLRIAKCHHVGSYQLPEIATVYDDHLKSPMAFWILSLTNKFHEQLRRQKSKGMFGLPPKVGTTEPEATTIILVRACQRAPIPISAVDKAEQMIGYVSIGLLSTHNVV >ORGLA02G0200500.1 pep chromosome:AGI1.1:2:19205801:19207880:1 gene:ORGLA02G0200500 transcript:ORGLA02G0200500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNVVQKNRREWKQDRKRQAHGDPKTGKLKQRTAPVSVSGKRQRKLLRRLSREQKEAVMAKALENNMGDVEMVSAEGSSEAAKDKSQLKFNVKKNSRVQIKRLKGKGRKKAKNAKPPTKDKVDAMVE >ORGLA02G0200400.1 pep chromosome:AGI1.1:2:19204074:19205436:-1 gene:ORGLA02G0200400 transcript:ORGLA02G0200400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPLVTVLLFAGSCLGSAPPTTSPAASAASTATRTVVVDGITAIYNLGDSLSDTGNLARQGATGGLLRYTTRLPYGVTVGRATGRCSDGYLIIDFLARDLGLPLLNPYLDEGADFAHGVNFAVAGATALNTTALAARGITVPHTNSPLDLRWFKEFMNSTTSSPQEIREKLSKSLVMLGEIGGNDYNYAFLQTWPMDGGYSLGNVTRMIESVATAVDLVPEVVQSIASAAKEVLDMGATRVVNW >ORGLA02G0200300.1 pep chromosome:AGI1.1:2:19194570:19199185:-1 gene:ORGLA02G0200300 transcript:ORGLA02G0200300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-enyl diphosphate synthase [Source:Projected from Arabidopsis thaliana (AT5G60600) TAIR;Acc:AT5G60600] MATGVAPAPLPHVRVRDGGIGFTRSVDFAKILSVPATLRVGSSRGRVLVAKSSSTGSDTMELEPSSEGSPLLVPRQKYCESIYETRRRKTRTVMVGNVPLGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADFVRITVQGRKEADACFEIKNTLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFEQLEYTEDDYQKELEHIEKVFSPLVEKCKQYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRKLDFHNFVFSMKASNPVIMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCRRLANLGTHAADLQIGVAPFEEKHRRYFDFQRRSGQLPLQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYRSLAAKLVVGMPFKDLATVDSILLRELPPVEDAQARLALKRLVDISMGVLTPLSEQLTKPLPHAIALVNVDELSSGAHKLLPEGTRLAVTLRGDESYEQLDLLKGVDDITMLLHSVPYGEEKTGRVHAARRLFEYLETNGLNFPVIHHIEFPKSVNRDDLVIGAGANVGALLVDGLGDGVLLEAADQEFEFLRDTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEVSAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATDALIQLIKDHGRWVDPPVEE >ORGLA02G0200200.1 pep chromosome:AGI1.1:2:19193140:19193646:1 gene:ORGLA02G0200200 transcript:ORGLA02G0200200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPVHPLLVAFLALLLVGTCQARPAPGKAASSSSSEAKGAVVDGITDIYNFGDSISDTGNFLALMEHTVAPPYVRRGHRQRHGAVLRRRVPHDRLPRQRPRAAAAQSVHRQGRRLLLRCQLRRHRRHRPRRGGPREDRCYRAAHQQLPHWSWTLRESCWCANGITGA >ORGLA02G0200100.1 pep chromosome:AGI1.1:2:19184613:19192272:1 gene:ORGLA02G0200100 transcript:ORGLA02G0200100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGFPGSKGGGGSGAEAHHHHHHPSMAGSGSGSSSGGRKMKSQLSFTAGPPHLSHIAEDGAFPDRAGAEASVPRTFSAGGSSGGGGFSIVGPWEESRDIISTLGGYESQFGGMASTSALEMAGMDRYLQLQHDQVPFKVRAKRGCATHPRSIAERERRTRISEKLRKLQELVPNMDKQTSTADMLDLAVEHIKGLQSQLQALKHEQEKCTCCSRP >ORGLA02G0200000.1 pep chromosome:AGI1.1:2:19164649:19166721:-1 gene:ORGLA02G0200000 transcript:ORGLA02G0200000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT1G65380) TAIR;Acc:AT1G65380] MPNASPLHHLASLLLLALALAPRPAAPAATDRAALLAFRASLPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHPPAAAGAPAPAPPPSVSGLALRGLNLSGQLPAAPLALLRRVRALDLSANALSGELPCSLPRSLLDLDLSRNALSGAVPTCFPASLPALRALNLSANALRFPLSPRLSFPASLAALDLSRNALTGAVPPRVVADPDASGLLLLDLSHNRFSGEIPVGITAIRSLQGLFLADNQLSGEIPTGIGNLTYLQALDLSRNWLSGVVPAGLAGCFQLLYLRLGGNHLSGALRPELDALDSLKVLDLSNNRISGEIPLPLAGCRSLEVVNLSGNKITGELSGAVAKWQSLRFLSLAGNQLSGQLPDWMFSFPTLQWIDLSGNRFVGFIPDGGFNVSAVLNGGGSGQGSPSEAVLPPQLFVSVSTDMAGRQLELGYDLQAATGIDLSRNELRGEIPDGLVAMKGLEYLNLSCNYLDGQIPSGIGGMGKLRTLDFSHNELSGVVPPEIAAMTELEVLNLSYNSLSGPLPTTDGLQKFPGALAGNPGICSGEGCSAHSRMPEGKMAGSNRHGWLGGWHGENGWVSLGAFCISTMTSFYVSLATLLCSRKARNFVFRPGRMEY >ORGLA02G0199900.1 pep chromosome:AGI1.1:2:19161386:19162729:-1 gene:ORGLA02G0199900 transcript:ORGLA02G0199900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMPPSLPPLPLPPQLSLADLKALSVLGRGARGVVFHVVPAGGAAAAVSATADEDPMALKAISRAAARHKCAEVAGGPGGDGHRRIWFERDVLLALRHPLLPSLRGVVATDSVVGFAIDRCAGGDLNALRRRQAGRVFSVAAIRFYAAELVLALEHLHGLGVVYRDLKPENVLIQDSGHIMLVDFDLSTTLPPPPPPPPPDTSPPPQTARSRGGRRDSTKAAAAVFGCFSSPRAAASRPSPSSSSSSRSPPSTSRTASSSSSSTRCSSAAAKSNSFVGTEDYVAPEIVAGSGHDHAVDWWGLGVVLYEMLYGRTPFRGRSRRETFHRVLAARPDMPGEPTPLRDLIGLLLEKDPGRRLGAHGVKRHAFFRGVDWDRVLHVARPPFIPTPDDDDAGAAAEALDVEKVLHEAFAASTAAAAGETAAVEMAAPEAGSDRGRDEDFSVFF >ORGLA02G0199800.1 pep chromosome:AGI1.1:2:19159169:19159381:1 gene:ORGLA02G0199800 transcript:ORGLA02G0199800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLRTRSVHQMKPFFCGFVDFQRLRPMLVHRQVPRFSVLLGEVKWICRLVAGNVDLNLIHINYMLGAC >ORGLA02G0199700.1 pep chromosome:AGI1.1:2:19157871:19158143:1 gene:ORGLA02G0199700 transcript:ORGLA02G0199700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGGGGGLVVMPGRSGLSIPSLPCGADPGAANFFERVFFFFLLFGPCCSQRQRGNRSINLCIQFSPFCVQGLEAVVLAIASCFLAAHGV >ORGLA02G0199600.1 pep chromosome:AGI1.1:2:19151483:19153380:1 gene:ORGLA02G0199600 transcript:ORGLA02G0199600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family [Source:Projected from Arabidopsis thaliana (AT1G05785) TAIR;Acc:AT1G05785] MAYEISEIKKIGIGLVGFGILFSFFGVILFFDRGLLALGNIFFLTGIGLLLGWQSMWQLFTKKANIKGSVPFFLGLFLLFVRWPVAGIIMELYGSFVLFSGYGPPIQAFLYQIPVIGWILQYPFQLFGQFRRKRA >ORGLA02G0199500.1 pep chromosome:AGI1.1:2:19137383:19139831:-1 gene:ORGLA02G0199500 transcript:ORGLA02G0199500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGNVVGDILDPFIKSASLRVLYSNRELTNGSELKPSQVANEPRIEIAGRDMRTLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPETTNASFGNEIVSYESPKPTAGIHRFVFVLFRQSVQQTIYAPGWRQNFNTRDFSALYNLGPPVAAVFFNCQRENGCGGRRYIR >ORGLA02G0199400.1 pep chromosome:AGI1.1:2:19133732:19135655:1 gene:ORGLA02G0199400 transcript:ORGLA02G0199400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRNRGRTRRATQAEAEVEQSEREMVGDEAVEEEDDDVTKMNPPPATAGEREEEEEEGIEGLLEPFTRNELLDLLVEACLRNPALRSRLAATAESDAAHRRLFVHGLGPGVTXXXXXXXXXXFGALDECHAVADRATGRCRGYGFVTFRRRSAARRALAADASSRLAVGGRPVACQLASLGPTSPDRKLFVDNVPARAAHDELRRLFSRFGEIEAGPLGADRATGQFRGYAIFFYKYPEGLTKALEERKVVFDGCELHCRRAHRVNKEKHLMTMPADAGAQSNGFENAASPIIHVQPKELALASTTQTPLGSNRPVEMMAKGPRSGTVPFRQNAGAGLLGACPVATVTPSTPDQSTPVSHSGASISTPRTAQRLAKSGAQG >ORGLA02G0199300.1 pep chromosome:AGI1.1:2:19131558:19132493:-1 gene:ORGLA02G0199300 transcript:ORGLA02G0199300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Isy1-like splicing (InterPro:IPR009360); H /.../7 Blast hits to 965 proteins in 236 species: Archae - 12; Bacteria - 13; Metazoa - 351; Fungi - 230; Plants - 49; Viruses - 9; Other Eukaryotes - 483 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G18790) TAIR;Acc:AT3G18790] MARNEEKAQSMLNRFITMKQEEKRKPRERRPYLASECRDLADAERWRSEILREIGAKVAEIQNEGLGEHRLRDLNDEINKLLRERGHWERRIVELGGRDHSRSSNAPLMTDLDGNIVAIPNPSGRGPGYRYFGAAKKLPGVRELFDKPPEVRKRRTRYEIHKRINAGYYGYYDDEDGMLERLEAVAEKRMRNEVITEWHRVERVRREAMKGVVSGEVASAGGRGGEAAREVLFEEVEEEVEEERRLEEEKREREKGEEAGKEFIAHVPLPDEKEIERMVLERKKKELLSKYTSDALQVEQEEAKEMLNVRR >ORGLA02G0199200.1 pep chromosome:AGI1.1:2:19127726:19129967:1 gene:ORGLA02G0199200 transcript:ORGLA02G0199200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G14605) TAIR;Acc:AT4G14605] MTSLEAAARPSSHRVAPCDPRGRPRLPPWRLILSPSPSSRRLCTLISRQLPICNAQSYTDDLWLASGNAQSSAAVRSRLLAAEREEAKAVLSLFLRQKGLRSILAARIANKADGFIEHLVSKLHITYRSRYAEGRELSTPEIRDALIPYLEALSKEHGDGLVEVVENFPDPFAMEREALSSSMVLTPTSSNKHKAIARVSAPTSGGALPELVLYLLDLGMDHEEIKNVVRKFPAFAYYNVDRKIKPLVALLLELGVPRSNIPGIIKKRPQLCGISLSDNLKPMMTYLENIGINKDQWSKVLSRFPALLTYSRQKVETTVSFLTELGVPKENIGKILTRCPHIMSYSVNDNLRPTAEYFQSIGADAASLIQKSPQAFGLNIEAKLKPITEFFLERDFTMEEIGTMANRFGIIHTLSMEDNLLPKYEYFLTMGYPRNELVKFPQYFGYSLEQRIKPRYARMIDCGVRLILNQLLSVSDSRFEDILRKRMDGI >ORGLA02G0199100.1 pep chromosome:AGI1.1:2:19125677:19127067:1 gene:ORGLA02G0199100 transcript:ORGLA02G0199100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKESKKSRDRGRDRVKWNEENLNDIESTKPVREKITEPKTPYHSMIDEDDGTVSPKRTIEESVDKSTHADAIKTALMEAVSSGKLSAREHLESCSNEEEEEQEEQEEEVAIKQGTAYHVXYISHKEDKHRKEXFQIPEVLKALISKSNGRLTMMNSAR >ORGLA02G0199000.1 pep chromosome:AGI1.1:2:19118319:19120937:1 gene:ORGLA02G0199000 transcript:ORGLA02G0199000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSHGGYRGYEVAREREHDVGVSRRSKEHYHHRHPSRHRDSERRRDGGRSGGRELSNGYSHRRDSPRPPPRRRPSEGRTEDREPGEVSGGSGSERSGERPMKTREPRENGVTRVSKEEAKMSPSKKRKQSPVIWDRNGSKRQARDPVRGIREVDAVVAEIIMHQSHSLPVMSSLSSIGDGHSPMILDVSVDKVQEYEKNRIVDEEEEGYPTMRNILTSRWADAGDEEENVFVPKKKKSVSPVDSIERGSTKKVTSPEPGEVLVYNSVRSSSRSSDSGVLQGSANRDLEVEKGDNIDVEEAADDDYPAGHLLDSDFEGEDCRSETPECTRSPRRCINMLQGCRSVDEFERLNTINEGTYGVVFRVRDKRTGEIVALKKVKMEKEREGFPLTSLREMNILLSFHHPSIVEVKEVVVGSNDTDIFMVMEYMEHDLKGVMETMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKDYSTAIDMWSLGCIMGELLSKGPLFNGKSEIDQLDKIFRTLGTPDENIWPGYSKLPGATVKFGKQTHNRLRDKFRAVSFTGGPMLSEAGFDLLNRLLTYDPEKRISAEDALNHEWFRELPLPRSKDFMPTFPALNEQDRRFKKHMKSPDPLEEQRMKEQGNNGDRGLFG >ORGLA02G0198900.1 pep chromosome:AGI1.1:2:19115742:19117202:1 gene:ORGLA02G0198900 transcript:ORGLA02G0198900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein [Source:Projected from Arabidopsis thaliana (AT1G67590) TAIR;Acc:AT1G67590] MRRSSQGKSSSGGGVGGVRRYDVHGGGNLLACYAKAARPRPSKWDDAQKWLSRAGDDDCGGEATRRRSSCASADDGLLLPPPPAARKGAGGWRSWSNVEWEGAPAAAAPALKAARGDEGVDTKVVDAVQAYVPQRCVVSLRDVGTEMTPGGSKEPSRANTPRVVAPAATARVVAGGTASPGQCDGGSRDSAGAGGVVDLRATRKRADNGHDEAAGTITAVSPATAWGDAERAKYMARYRREEMRIQAWENRERRKAELQMRTAEEKAERMRLRAQARTAGKLATAQAEAKARRARAEAELALGRPGGGAKGWLLTRSASWSSGSGRSPSSLSLRLPLLCR >ORGLA02G0198800.1 pep chromosome:AGI1.1:2:19105501:19109327:-1 gene:ORGLA02G0198800 transcript:ORGLA02G0198800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTVLMEFGQQRQIKRGYDEMAFRGMASAAPRGYAETVGESEGAAGSPVRVDSEDSSAPKRKCISLNSDGFDVKREIFVPAKMSSSERRHLRKRFRTELDSVRNLLKKPEFAVPVPVNRAPALSSSAAPRGKKGQRGNHVVRGAKGRFLPTKPRPEASTVLTEDAIFKQCDAILKKLMTQKCSNIFDSPVDAVKLNIPDYFQIIKKPMDLGTIRNKLDSGSYTSPSEFAADVRLTFSNAMTYNPRGHVVHDYAIQLNKMFESRWRTIEKKLASIATEAHVEVDRADSKRRKTPPVDCSEVSTECVRPTESVRPTESVKPKMTFEEKESFGNCLASLSEDPEVPSHIIDLLQQCIDNNTDQLGDGEIEIDIHAVSDDLLFELKKHVDKYLQEREQSQQAKSEPSENEAANVSGLSHSSTNPCKGGDPVEEDVDICGNASPILIEKDAHNNPNKCGSPSSSSSDSGSSSSDSESGSDSESEQEKGGSPGKPKGSKRSEQLVEQEKSDVISPVDAIRPADDVELREQDNESKPAPEGENSKPDRQVSPDKLLRAALLRSRYADVIVKAQGILSQGGDKQEELEKLQKEEKARLLAEGNAAMEARRAEAEAEAKRKRDLEREKARQALQEMERTVEINDNLHLKDLEMLGTATTEHIVSSVDETSPEHSQDGMPSFLPGSGNPLEQLGLFMKADEEEEEEDPSSVPSTKDAEEGEIN >ORGLA02G0198700.1 pep chromosome:AGI1.1:2:19101508:19104522:1 gene:ORGLA02G0198700 transcript:ORGLA02G0198700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPASCCCYFPLRWESTGDQWWYASPIDWAAADGHYDIVRQLLHLDPNLLIKLTSLRRIRRLEALWDDDARFAGAAGHRAGVARSLLLECECSKNGGADAAAENTLLRAGYGGWLLYSAASAGDMAFVQELMERDPLLVFGEGEYGVTDMFYSAARGGNAEVFRLLLDHAMSPRCSTNCPNGGEGARGGGGGRSSVFRLEMMSRAVHAAARGGSVEMLRELIERRSDVSEYLDFRGSTVLHAAAGRGQLEVVKYLMATFDIVDSTDNQGNTALHVAAYRGHLPVVEALVAASPSTISAVNRAGDTFLHSAIAGFRTPGFRRLDRQMELMRHLIRGRTSDIQKIINLKNDAGLTVLHMAVVGCVHPDLVELLMTTPSIDLNAEDANGMTPLALLKQQLRSSTSDKLIRQIVSAGGVLNSTVLRTRSAIVSQIKMQGGIASSPGTTFKISDAEIFLYSGIGTAESRRPSSCSSNGKCDHAHHGDAKCGNAENHGSSEKRLSSASRAKDRLKLMLKWPRQKMSRGHKKSDDGDAMDSIKKLSEQAVETPAPLRQTFTKTTALNNKRTLAVKTSTPSSATKKKLNSKLIHGIMEAMPHLASSSPASAFPRSSTPPPPQSGKMKGVCLELDDENSMTTPVFGKLKDIVLNNDDDDDAMGEPSSSGSSVNDDASAEMPARRHGCGNGRLINICFGAQGLTVEDSASGQQTSKMFKQQCLRVS >ORGLA02G0198600.1 pep chromosome:AGI1.1:2:19096501:19097285:-1 gene:ORGLA02G0198600 transcript:ORGLA02G0198600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRQSNAVLSRSGSVYVKTTGTQTEGPAESQRSGQVKGAIIQMHMTSELDKLGQTKLILLLLYDNNLQLHQYKSARLCLLLDGCISVPPSRQRCKRWIQRWCRNSLPGEKKFNVMEKVESLKKKLGSKHGVSLALAKAYPSLDPFASFAPCQ >ORGLA02G0198500.1 pep chromosome:AGI1.1:2:19094214:19095716:1 gene:ORGLA02G0198500 transcript:ORGLA02G0198500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G04130) TAIR;Acc:AT3G04130] MRLMSVGCRHGAARALQPIPLCRLSISSCTQSSRDVSNVNLTVEEEEAARLIKNSLSKARKWSVQDLIQCLGADCSGIRLTGNIVDTLLFKFGDDWKSALGFFQWAQSRDDYRHTAYACNRMVDLLGKMRQIDQMWELLSDMHGRGLVTVETVAKSIRRLAGARRWKDVVLLFDKLEDMGLERNTETMNVLLDVLCKERKIEVAREVFAVLSPHIPPDAYTFNIFVHGWCSIRRIDEAMWTIEEMKRRGFPPSVITYTTVLEAYCKQRNFRRVYEVLDSMGSQGCHPNVITYTMIMTSLAKCERFEEALSVSHRMKSSGCKPDTLFYNSLINLLGKSGHLFEASQVFRVEMPMNGVSHNLATYNTMISIFCYYGRDDDALNVLKEMEAQSCKPDIQSYRPLLRLFLSRRGQADTVRHLLSELTSKHNLGLDLDTYTLLIHGLCRVGDTEWAYQLFDEMVSSEIAPRSKTCVMLLDEAQRTNMETYVERIGNYMSSFGISV >ORGLA02G0198400.1 pep chromosome:AGI1.1:2:19091057:19092750:-1 gene:ORGLA02G0198400 transcript:ORGLA02G0198400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSKSRTGSSAHAPSWLSCSSSGWAPRAATSCASRRARGGCRSSATSTSXCSGDRSSTAPWRTXRAASTTRRSCASSSAASPSSSRRREVTRTHDLDFASRPWPPTVRRLRPHREGVVFAPYGAMWRQLRKVCVVEMLSARRVRSFRRVREEEAARLVASIASSSSSSPTGHDGGAAPSVNVSAPIAAAVADATMRAVIGDRFERREEFLESITEAVRSFTGFSLDDLFPSSRLAAAVGGMTRRAEASIRKGHHLMDSAFRXHQQLRDAMAAQPHLDDCAMEEDLLDTLLRIQKEDNLDVPLTTGNIKAILLDIFGAGSDTSSHMVXWVLSELMSNPEAIHKAQTELRSTLQGKQMVSEDDLASLTYLKLVIKETLRLHPVVPLLLPRECRQKCKVMGYDVPQGTTVFVNVWAINRDPRHWDEPEVFKPERFHSGKIDFKGANFEYIPFGAGRRICPGMTFGHATVELMLAMLLYHFDWELPKGVAPNELDMTEEMGITVGRKNALYLHPLVRVPLEQATMS >ORGLA02G0198300.1 pep chromosome:AGI1.1:2:19088674:19089621:-1 gene:ORGLA02G0198300 transcript:ORGLA02G0198300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTAMDQVACWSICAFLALLLLVRIGGKRGRGGDGARLRQPPPGPWRLPVIGNLHQLMLRGPLVHRAMADLARGLDDAPLMRLQLGGVPVVVASSPDAAREVTCTHDAAFASRPWPPTVRRLRPHREGVVFAPYGAMWRQLRKVCIVEMLSARRVRSFRLVREEEAASLAAAVAASSSSPPARSDAVNVSALVAAAVADATTRVVIGDRLERREEFLESMTEAVRSFTGFSLDDLFPSSRIAAAVGGMTRRAEASHRKGNELIESAIRQHEQVRDTMAAQGGGGAMEERTCWTLSSGSRRKVPSTCLSPWTIS >ORGLA02G0198200.1 pep chromosome:AGI1.1:2:19083657:19086536:1 gene:ORGLA02G0198200 transcript:ORGLA02G0198200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1P1X6] MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTEYMTYMFKYDTVHGQWKHHEVKVKDSKTLIFGTKEVAVFGCRNPEEIPWAAAGAEYVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKSDVNIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPASYDQIKAAIKEEAEGKLKGILGYVEEDLVSTDFQGDSRSSIFDAKAGIALSDTFVKLVSWYDNEWGYSTRVIDLIRHMHSTN >ORGLA02G0198100.1 pep chromosome:AGI1.1:2:19067794:19068027:-1 gene:ORGLA02G0198100 transcript:ORGLA02G0198100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEEIAFDEEPEPRHAAGRGGGAATTRAAFLLMVSGATMIIAAASASPGDRVPWPRLLAELLIWLVGCITLFAPSL >ORGLA02G0198000.1 pep chromosome:AGI1.1:2:19049346:19052464:-1 gene:ORGLA02G0198000 transcript:ORGLA02G0198000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic Fe-S cluster assembly factor NBP35 [Source:UniProtKB/TrEMBL;Acc:I1P1X4] MENGGGGGGKSDVPADANEHCPGTQSEDAGKADACAGCPNQQICATAPKGPDPDLVGIVERMATVKHKILVLSGKGGVGKSTFSAQLSFALAEMDCQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQATGIDGAIIVTTPQQVSLIDVRKEINFCKKVGVPILGVVENMSGLRQSLSDFRFVKPGDAGETDATEWALNYIKERAPELLTMVACSEVFDSSKGGAEKMCNEMGVPFLGKVPMDPQLCKAAEEGRSCFVDQKCSASAPALKSIVKKLIKNQD >ORGLA02G0197900.1 pep chromosome:AGI1.1:2:19043339:19043635:1 gene:ORGLA02G0197900 transcript:ORGLA02G0197900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDNITGSGSGNGGSSNDEDHAPPPQQQLAVARGRRRAEPPPPMRLSALYLMLFGATVIVGAAGGGAPVTPLPRLFAALVAWLVGCLSLLAPLPPP >ORGLA02G0197800.1 pep chromosome:AGI1.1:2:19031135:19036322:1 gene:ORGLA02G0197800 transcript:ORGLA02G0197800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1P1X2] MSGGSGDSSPASGRASFSSLSDLKDLELSSESGCLSIVVLGASGDLAKKKTFPALFHLFQQGFLQSGEVHIFGYARSNISDDGLRERIRGYLKGASDEHISQFLQLIKYVSGSYNSGEGFESLNNAISENETSKNNKPGSSRRLFYLALPPSVYPSVCKMIRSYCMNPSSHSGWTRVIVEKPFGKDLESAEELSAQLGELFNEQQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIANVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVTPIKHDEVVLGQYDGYKDDSTVPDDSNTPTFASLVLRVNNERWEGVPFILKAGKALNNRKAEIRVQFKDAPGDIFKCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGLRYQDVKIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHDIDDGKVKALPYKPGTRGPPEADELSKRMGYVQTHGYVWIPPTLSKF >ORGLA02G0197700.1 pep chromosome:AGI1.1:2:19023640:19025287:-1 gene:ORGLA02G0197700 transcript:ORGLA02G0197700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGGVSSLSASSPARLRLRQLSPGDAGGGGFLLVRAAPRRLQAAARPARRAALVVEARGRGWSDRRSQQQRMPQLPKIEDDGNPRFVIFIRTANVYFWYPLNIVTGGTTAKIMLAAKDNFLGKYIYKDTLARNLAAVIYKDEDDIIDTAKEQYRVLKTDNEFRYGYKVVENGNLRSALTTSNVIELPKKEELKTVVDKVKDFFGDVTSGAKESFAQITGSVSAEAEAPVEEEKPWVKRRNERKRKQKEKQNQKQGIKTES >ORGLA02G0197600.1 pep chromosome:AGI1.1:2:19020607:19022871:1 gene:ORGLA02G0197600 transcript:ORGLA02G0197600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGEEAKQERHLVLAHKLFLLSHPDLDDLAKVALRSDALDAVKSDGMAPLFESLAAAGVLEPDDALLAEMRARIDEEVRKLDEKIADAEENLGESEVREAHLAKSLYFMRVGEKEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGLFHMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYFMATRNFKKAASLFLDSISTFTTYELFPYDTFVFYTVITSIITLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFVAFSGMTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >ORGLA02G0197500.1 pep chromosome:AGI1.1:2:19014033:19019470:1 gene:ORGLA02G0197500 transcript:ORGLA02G0197500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVMQGERRRARAPWGPPDTGGALLERWISRERRSDGRDASGSAKQRSAMGNSLPVESKFTDEKENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTMTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYDSNLSNAMRSAFYSMDEDLQLSDAWRELVIPRNNGWMYFIKAGVCANLSPFPQATYTAPSYEGSTACVVVIRGDQLIVGHAGDSRCVLSRNGQASALSVDHKPDSESERERVQNAGGVAVGYSYRKIMGRWVTKKQWGFTDFKGRVSISRSIGDFACKKNERLPPEDQMLTCNPDILTMDITDDMEFLVIATEGLWCNMTNQNVVDHTHDRLLEGAEARVICEELVQFGLPSGDNTTVILVLFKPGAFPAVPPVDTDTDTDSHIDDDVDPTGSNNATASDNNDPANEVDPTANAGSDDSNTGDEVKVDATATAVGSSSTTAVAADEGTGNPPHGALVDTDDEDGLTYSQDMDLPPASTSPPTFPDEDDLPRSNPDKSPPHDDTYRRW >ORGLA02G0197400.1 pep chromosome:AGI1.1:2:19008460:19009458:1 gene:ORGLA02G0197400 transcript:ORGLA02G0197400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVNASAALDPKLAPLLLFGHGDATFLFSVPKRALLPMPTPTRVGDGGVDDMMRGHRWWTTAQGWLLMARRGSPCTFLWDPFTGRRAGQPPDHDGTVLAAEGSHRRRCLLSCCDPMDPTSCTVLVIDLAYPELWYCRPGDNHWVKLHQHPYQYRNPAHRDAIIWGLRQLTAIDGKFYTEELSGIVGVLELSPEVAFTKIAVHDVDRRPAVYKKRTTSFVESNGELHSVVFSHPIGCDRIVARVGVYRLSINPTTTQEQRSAAWVKVDSLGGRAFFVEIGSFGASFDAEXTCLRGNCVYYSGFNGKVLCVYDMERGTTAVINPGAHLPYHQS >ORGLA02G0197300.1 pep chromosome:AGI1.1:2:19002710:19006529:1 gene:ORGLA02G0197300 transcript:ORGLA02G0197300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGDASAALDPKFAPLLLFGGHGDATFLYSVPTRALLPMPTPTRAGDGGVDDMMRCHRWWTTAQGWLLMARRGSPCTFLWDPFTGRRVGLPPDHDGTVLAAEGSHRRRCLLSCCGPMDPTSCTVLVIDLAYPELWYCRPGDNHWVKLHQQPYQYRNPAHRDAIIRFLRKFTAIDGKFYTELHTGNVGVLEFLPEVAFTKIAVHDDDRRPAVYKKRTTCFVESNGELHSVVFSHPIGCDRIVARVGVYRLSINAAATQEQRSAAWVKVDSLGGRAFFVKIGSFGASLDAEGTGLRGNCVYYSVFNGKVLDRVCVVHRLVDIYTSPTSASTSSSCPVHLRLDHPFKRPTTTTSATDRLRARVYAIKLWVAAASTPWAAVPLPMVHTSIGCCNTERCPSQHGLTAGGLLAAASTWSCSCAVLSDHSFAAFVVFIAVRASTTSSSALVIVSRSGSSSSTSSIAAASPSYHCCHSRPVVQLPLHGYRCRRPGRWSRYFAFYFVQHDSSPASPYLPRLHFALLRQLRAATAILPLRRSCAATVPEAFSASLLRYWRMIHGGLLSRPRGIGNTGARVCPELSRGLASPV >ORGLA02G0197200.1 pep chromosome:AGI1.1:2:19000864:19001742:-1 gene:ORGLA02G0197200 transcript:ORGLA02G0197200.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRANNRRKSGDRAEKASYLYNNDGEKSHAPSLKNLPPIIPHETFFSNPQNDYSQTRLIPLEGCCASEAAQLLNDR >ORGLA02G0197100.1 pep chromosome:AGI1.1:2:18999883:19000491:1 gene:ORGLA02G0197100 transcript:ORGLA02G0197100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVDASAALDPKLAPLLLFGGHGDATFLYSVPKRALLAPMPTPTRVGDGGVDDMMRGHRWWTTAQGWLLMARRGSPCTFLWDPFTGRRVRLPPDHDGTVLTAEGSHRRRCLLSCCGPMDPTSCTVLVIDLADPELWYCRPGDNHWVKLHQQPYQYRNPAHRDAIIRFLRKFTAIDGKFYTELHTGNVGVLEFSPEVAEGN >ORGLA02G0197000.1 pep chromosome:AGI1.1:2:18989542:18990681:-1 gene:ORGLA02G0197000 transcript:ORGLA02G0197000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATAAQGRRDWSSLPLDMLVLVLDRLGWSTHPSFASTCRHWRSAVSPFYPAWITPLLLSAADVGVTSARYYSPYYHRCFQIADTLVKVPNARICCSTGRRLTLCSPKSILQADLVLLAGSTIHELPKPTPPFDSSPDFIVYDDRARRLYCVNTTSALRLARAIQQDDGQWGPWELTGFGPQILAAPTSNPVLHGGLLYVLGEDGELAVYDPCNHGDSFKVLDKPGSFGIEHQVDSHLFESDQAGALMAVLVGYSGAPVHVVKLNEETMEWEKMRSLEGHALFTGTYTTTLRKTKLRLMQNKVFLPRLYDWPETIHVDLVTRDSETAFVPKSYSSSATREITSDINIWSYEFGQHEAREFWGSERVIIVFGLILVLI >ORGLA02G0196900.1 pep chromosome:AGI1.1:2:18983530:18987520:1 gene:ORGLA02G0196900 transcript:ORGLA02G0196900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLERWISCEGRSDGGDTSRPVLFWCVLIIFAVPDAIRSSSLRLGQVALRLVLFSNFKAFRSPKFAKILPGSDLFSPRVLGFRTRVVLLLDVFEVGFALFCKASSAMGNSLPVESKVTVEEENDRIKYVVSSMQGLGDKMEDAHAAILSLDDTKSTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYHNDLTNALDNVFFSMDENLQQSDAWRELVIPRDNGWMYFLKAGVCANFWPFPQAYTGPAYEGSTACVVVIRGDQMIVGHAGDSRCVLSRQGGLVTLLSSRTKIXIVKNKCXYVILTSTLMTXLVTWSFLLXQVKASGLAWKVRMWLLTYMSVYCRG >ORGLA02G0196800.1 pep chromosome:AGI1.1:2:18977497:18979821:-1 gene:ORGLA02G0196800 transcript:ORGLA02G0196800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFYKRKVPEPNSANNACNSCLDDINWEDEIKYDPGLRKQIDEYHPNLRERVRRKYLENGPCQPRTFAFPMTGSRREKKDGGYEAFVKNGWNGFHRKERLKLHVGDVGGSHYQAMKNVRETGKRDYLTRLNGSIDVARMLVKLGLPFRGHDESKESYNRGNFREFRDYTAEQNPSLRKAIGTKKSDNSLLVAPEIQRDIVKCFAKEVLHAILEEIGHDVFCLLVDESRDVSCKEQMAVVLRYVDKYGIVRERFVGLVHVTETTSAYLKSSIDALFAELKLSLKLVLVAIVRKHKGVSDFFTKISILLNVVGGSSKRRDLIRDINVKEMSKALGCGQLQTGTGLNQEQCLQRPGDTRWSSHYKTLKSLVGMFATIVKVLEIVEKDKNDWKIRDQASNLLEYFQSFDFVFYLHLMLTILTITNSLSLALQRKDQDIVNAMKCVKSTRLNLDELRREKWEKVLDEVSDFCDKYDIVKLEMEDTYIDPKKRRHKSGITNKHYYQVDCFNDVIDWILQELDNRFSETSSQLLICSSAFSPRDSFHDFNLENLMSLAKSYPSDFNSGNLRDLSHQLGLYTADVRDDGRFSNIQTIAELSQIMVETRKHLCYPLVYQLLKLVLVLPVATATVERCFSAKNVKTYLRNKIGDEYLSDSLICYVEKEEMKKVTNEAVVRRFMNMQGRRFDDD >ORGLA02G0196700.1 pep chromosome:AGI1.1:2:18971871:18976907:1 gene:ORGLA02G0196700 transcript:ORGLA02G0196700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CPVFVNNVILYLYSCXIFSKASSAMGNSLPVESKFTFEEENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTTSTSFFGVYDGHGGAEVALYCAKQFHIELCNHEDYHNDLINALDNVFLSMDENLQQSDAWRELVIPHDNGCMYFLKAGVCAKPFPQATYTGPAYEGSTACVVLIRGNQMIVGHVGDSRCVLSRQGGLAIDLSFDHKPCTRTESERERVQNAGGRSLGLRCEQVMGNYVVKEQWVLGDFGGGDFAFKKNKDLDREKQMLICDPDILADDITDDMEFLVIASQGLWSCVDSADVVSYIHDRLSVEGAELRVICEEVVEFGLASGENTTVILVQFKPGAFQYQLVDPAGFGTAVSNIASTSAAPAGASDTSDEGVDDAATARPTVMGYDADSSTGSADATVDSDEVDPNASATADSYNPRGHAEIVASHTGDEVYTSGSARVESGELAVPTPSANNTVADEVKVDAAVVAGGSTTAMAADEATVVSLLSTIVDNYYSINTSEEVDPTATVAADDKIHXHQYKYGKCXNDLHCETEGVLPCQIFVPVWRPTPAEGGDNXQVVARAXSTGVXGMCHRRSVVPEGGWKKEGGAAVAPARSTRKRRRRERRAMRAVGILCLKSAHGLNRPYRKTRDSPTPTRTTWTPAARRRSRSATWCWLTSSSSSPAPTSTTSPMSPSAPMPSTPSSPMAWRRCSSRWPPRACCSSRTTQLLAEMRARIDEEVRMLDEK >ORGLA02G0196600.1 pep chromosome:AGI1.1:2:18963369:18969758:1 gene:ORGLA02G0196600 transcript:ORGLA02G0196600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPSSSAARAAGSQGGGHGGARLEDLALDKLAEAADAVAAASSAGEVVRAIHAVAALVFPVDSAAVAGTVDEPFRSQIINGVSLSSDERGSWRHAFYHGPAFPTISKILLGHVALKWLRQIRASARKEIYDSFFVKGPPTEVIQALVPALSHKGGSKEDHNIICSNIERLLILCLVENKGVSQIIAEFTVTSCCNXTSGYGVGCXRRCXWTRCLQFCVPLRGXSDISCXPSWIYWHFSCXADSXDPXSLKEMYGIXSXNHKSXQDQACFPILVXCGXGYXRPAFCXKVGGRDVAPTCITTYKRXGGILDSVDFVXSELHAQNCFEFIHIGSSVSGMLHLSVKEKVLLRAMFVDKFLLWKTFPLCCLRWILHYAVFELPPNSGTETQKQRTSSFLAIGLCLEKISKRELETTKDVLNNILEGVENALSSAEKLVRASPDELRHNSGDLVRALVHVRCSDVAMEGEEDSAEEKRQKALVALLVTCTFESLDVLTKLLYSSSVDVSQRILIIDVMTEAAQELAETKIVRRELRHGNLISDTSPSWLVPSDQGPAGAGPWREVSESGTLLNWSHRYEREVPSRSGQVKSGKSRKWGLGKAKDLQTEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKCMAMHPEASAVAPALLDMIRSRAVSQHPEAYVRRSVLFAASCILIALHPSYVASSLIEGNQDVSTGLEWIRTWALHVAETDPDTECTSMAMTCLRLHSEMALQTSRALESADHSKASSSSSRSLPSKLDNIIIPFANMM >ORGLA02G0196500.1 pep chromosome:AGI1.1:2:18959657:18960673:1 gene:ORGLA02G0196500 transcript:ORGLA02G0196500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEEADDASAAALDPKLAPLLLFGHGDATSLYSVPTRALLPRRVGDGGVDDMMRGHRWWTTAQGWLLMARRGSPCTFLWDPFTGRRVGLPPDHDGTVLAAEGSSHRRRCLLSCCGPMDPASCVVLVIDHADTVLWYCRPGDNHWVKRHQHQYLQPGPPHHEHRGIVIRALRQLTAMDGEFYTDLIDHVAVLEFSPEPAFAVTAVDDDDRRPAVYMKRTSIFVESNGELHSILFSHPIGCDRIVASVGVYRLSMATTQEQRPAWVKVDSLGGRVFFVQIGCFGASLDARTTGLRGNCIYYSGFNGKALCVYDMERGTTAVINPGEHLPYHQSPKILMPTR >ORGLA02G0196400.1 pep chromosome:AGI1.1:2:18957978:18959111:-1 gene:ORGLA02G0196400 transcript:ORGLA02G0196400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATATAAQGPRDWSSLPSDMLVLVLDRLGWSTHPSFALTCRHWRSAVSPFYPAWITPLLLSAADIGVTNVRYYSPYYHRCFEIADTLLKVPKIAARICCSNGQHLTLCLPRLILQADLVAGTMYELPEMPFYSFEFIVYDEHARRMYCVNTTYALQLARATQQDDGEWGPWELTDFDVEGPQLLAAPISNPVLHGGLLYVLGEDGKMAVYDPCNHDNNFTVPDKPKGFGIKHQVDSHLFESDQGALMAVLVGYSGAPVHVVKLNEETMEWEKMRSLEGHALFTGTYTTMLRKTKLRLMQNKVFLPRLYDWPETIHVNLVTRDGETAFVPKSYSSSNTKEITSDINIWFYEFGQQDAREFWGSERVDYSIWVDFSTN >ORGLA02G0196300.1 pep chromosome:AGI1.1:2:18953738:18957192:1 gene:ORGLA02G0196300 transcript:ORGLA02G0196300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLPVESKVTVEEENDRIKYVASSMQGLGDKMEDAHAAILSLDDTTSTSFFGVYDGHGGAEVASYCAKQFHIELCNHEDYHNDLTNALNNVFFSMDENLQQSDAWRELVIPRDNGWMYFLKAGICANFWPFVQAAYTGPAYEGSTACVVVIRGDQMIVGHAGDSRCVLSRQGGLAIDLSSDHKPRTSESERERVQNAGGISLGIGCEKVMGNYVIKEQWALGDFGGSVTISRSIGDFAFKKNKDLDREEQMLICDPDILTDYLTDDMEFLVIASQGLWSCMESADVVAYIHDRLSGEGAELRVICEEVVQFGLPSGENTTVILVQFKPGAFQYQLVDPAAVSNIASTSAAPAGASDTSDEGVMADSSATADTSGSARAESGELVPTPSANNTLTDEVDPTGTVAADDKVDPNSSANADADDSAPKPSLGAVIESDEVALDATATGHQVAVRQQEEFDPRKCWICGKGYQKILLEPSSARARNPLLAHAKTCESEDKKAKKKITKYMMKANVTNQYH >ORGLA02G0196200.1 pep chromosome:AGI1.1:2:18951209:18952224:1 gene:ORGLA02G0196200 transcript:ORGLA02G0196200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCIAMHPEASAVAPALLDMIRSRAVSHHPEAYVRRSVLFAASCILIALHPSYVASSLIEGNQDVSTGLEWIRTWALHVAETDPDTECTSMAMTCLRLHSEMALQTSRALESADHSKA >ORGLA02G0196100.1 pep chromosome:AGI1.1:2:18945107:18945650:1 gene:ORGLA02G0196100 transcript:ORGLA02G0196100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPSSSAAGGGGDHGGARLEDLALDKVAEAADAVAAASSAGEVVRAIHAVAAIVFPVDSAAVAGTVDEPFGSQPVISTMQVMDGIHKSGVWGVECWLFC >ORGLA02G0196000.1 pep chromosome:AGI1.1:2:18937506:18944218:1 gene:ORGLA02G0196000 transcript:ORGLA02G0196000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHSDSDSSPKSSSSSSASSSALRRSPPRVRVQSDEGGSSDGVLVELPSQEARSPGADPDGGVLVNMPADDATSGETFEDAPDDLGGSRSARSLDESIAVIDFPDESSLAAECRKYKEEREVFAREAVALRGMLRELVGEDASGSLPAEDSDERASGSLTPLHSMLDDCSRLVLELNSVVRAREQEIESLRGRSAEVEVSREGSEQAIGRIVASVDAVVGQYDVSSEGADEEGISLVERKTSLLAERHRQILLDIEQLEQVLAEVQPDFGATGQCDHATILGIVSEELVNSKRNEADFLQKVNTFGEENKNLAEELQSVKAALDVANAEAKKAKAEFEQVEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALAEKAAQLDGCMTELQQKSDAMQAAESRVEELKILLDEKSNEHEQCLDELRETYNALEAAKAAVEQLTEENTALTSVQTSLSVKDVILQRIEGVMSEASFPEDLLSLEMADRLEWLVEQKKIADMIFSEHRKVKDILASTDLPHAVLTGELDSQIHWLLNSLYQAKEDAARMQDESSAMLHKLASHESKLNSMHEEVDRLTIALLEEKQEKDILANEHAELMSLYHAASDQLSVVSLRYTELVKAFAEVSDVQLEDHEILDGGKLVEQCLANIQGRAKSSPVECESFEKLQTQVYTLDQELTLCKIILEEDKADRSEMMRLSGELQRMVQETDALKNEKDSLQKELERVEEKSSLLREKLSMAVKKGKGLVQEREGLKQVLDEKKSDIEKLKHALDEKNAELENLKQTLDGNNSVLEKLKQAWDELNSESENIKQALDVKNSEVDKLKHALDENNSEIENLKHTLNEKNSETDKLKQDIDATYMEMENLKYEIASRESAITDLREQVEHLSSQVTHSQKLQLDIISLIDEKGKVESMLAEAKVSSGALVELISSISLPFDSPCEDPIDKIGQIAQYIKESQVTKSSVENELHKANEQVTSQASQLADALSSLKVLEDELSNSKEYISSISEEKRQMQLHTAAVEEELEKTNEELAIYASKFEDANVMINSLQDALSQARVNISVLDAEKKEADAKHETETSALNAKLAKCLEELDRSHGNLQSHSTEHDVYLEKLSTLVMDNSLLSLMTEEFGKKVSTLREMALIVRSMREQLAAKGFQIDPTMEDSESGMLLSFPDYDNFVTERMASSKIRKGNVDGALSFSTVVEQLSNQAEYLSEIFKDLSGYMGENITLVHHSLQLASSKVAHTLEEHDTLRNELQNKDTHNRAQESELLSLQKELRAMSSNCIYCYQQIQTISDDLFELGYAIELATGNSSIVSKVEGSSSVLKDVDASDYTKVSDALVSTVNRLKLESEKLSNMKEAVFTMLDELKMRLKQTESAAETSLQEHELYVKRVCVLEKDLETLKDERKGMEIKIQEYQERGNMLKAKEIELLSLEHAQSTTERGMTEVISKDQLEALVEKINKLNTSSAESHLQRELAMSSSPIEKLFSLIDEVYALRHEVDTLRYENEDLHLNLESHAREMEQLKEASRNSDSNRRELESKSSELLEITVSMERMIQRLGYLGGKEALEDNKPTSTQALLSKLEKLIIASNVESGNAKSVIQELGAKLQVREKAIDELSTKVKMFDDLHHARLVQPEANMDRAFEASSSAVGSEISDAEDLGPAGKASISSVPTAAHSRLMRKGSSDHLVLNIGRESERLITAQDSDDKGRVFKSLHTSGMIPAQGKQIADRVDGIWVSGSQILMNRPRARLGLMVYWLFLHLWLIGSIL >ORGLA02G0195900.1 pep chromosome:AGI1.1:2:18927307:18933455:-1 gene:ORGLA02G0195900 transcript:ORGLA02G0195900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVRGQGCVGRLRSFNKTKKKNRNCSDDMRNGDDEEKKKYSHHDMKKNLRNDANEEKRKSSDDMRDGDFEEKKKCSRHDMKKNLRNDANGERRKSSDDMRDGDDEEKKCSRQDMKKNLRNDADEEKRKSSDDMRDGDDEEKKKRSRHDMKKNSRNDADEEKRKRKRKRKRKCSDDLKKSVLNDASKEATSHSDWRKNRKAGSDAEQRGKKLLNGDKKAKSRKVTTPFFEKMRKIKMQRTSNQNGEKNMKSDGDSYKKTVPLSVNKGKMEKDGTNKRTLSNTLVAKERKMRPSDSMEMKMKKKKRDASFVQPDERTAQTFSTKNKEKKRKAPSTPLKREQKERVASSDNKKETKKACIVAIGNEKKNCRDGKKKKRKAAFAFFKFVRDEFEELLFIPPAVAPSLKDLIDRHVYLEDSEGKCSKIRLSVVDGSLAFYEGWNSFVSEHCIKWGEFLLFEYTPESTFSVRVFGIDSCERLHFSVKSGGKGAVKKRKERHTLSDDLISHYNGQYQDSEDIHDDPNVSGESPRSKEPKIAVDAEIGTRNLVAKSINAASETQDSERVESGIGYGSLGALGNKVRNLSNGECDTRSDSVFCIQEKTRRSEVIIISDEAYSTQVDEDTMKQTAPSEASEIHHVTINTQKDLERVVDGVCCESSVALNNKMGNLILGEPKNKNISPACSTEKTNGSEITPTTGAIPLTQENIDTVKLNTLSCFEEDRSTTRESELAAAIPTISETHDSDKDLGQKHQRNSVQVNSIIAVDKYLNDSEMNISGNIFRIYEAPAGTRCLEKWKRGIVNGRAALDDIGQVRPEKTQKAGEKLVGNCGAMGESPVDLRIESDVTDTCLKPILNIPIEELSILDSVSISKCGRSRTEVNHLFNQKGATVQLQTKKEPLKPTGSSGNRKGDKIAVSVNRVFAHQSELQIPQQENGNFTSCVTPVALLPAKAELLDLDDHSLQFCIPSTIQKWLELPKSLPITCRQKGRYDRNVVILKDPMRRLWPVFYHDKPVFVGFTAGWKPFAAANNLQAGDVCKFVKEMDEDELAFQVYITRK >ORGLA02G0195800.1 pep chromosome:AGI1.1:2:18924332:18924852:1 gene:ORGLA02G0195800 transcript:ORGLA02G0195800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATCSPPSTASPAATPLLSPFITLSAAASLLPPLFGHRSPPSAWPWPLRTALQIAGGALGDETKQAEASPMPSASAATTEWSSGSKMENGLALXMIGAVGCATHGDPALNVPLT >ORGLA02G0195700.1 pep chromosome:AGI1.1:2:18919551:18922382:-1 gene:ORGLA02G0195700 transcript:ORGLA02G0195700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCTHAEFFRAQPAWALALAGVGLLAAARAALRLALWLYAAFLRPGKPLRRRYGAWAVVTGATDGIGRAMAFRLAASGLGLVLVGRSPDKLASVSEEIRGRYPRVEVRTFVLDFAADGLAAGVEGLREAIRGLEVGVLVNNAGVSYPYARYLHEVDEELMRTLIRVNVEGLTRVTHAVLPAMVERKRGAIVNIGSGSSSVMPSDPLYSVYAATKAYVDQFSRCLYVEYKSKGIDVQCQVPLYVATKMASIRKSSFFVPSADTYARAAIRHIGYEPRCTPYWPHSVMWFLISILPESLIDSIRLGMCIKIRKKGQAKDAKKKAQ >ORGLA02G0195600.1 pep chromosome:AGI1.1:2:18915469:18918936:1 gene:ORGLA02G0195600 transcript:ORGLA02G0195600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGIRASAWSFFKFLPFFLGLLLLGIIKGALLFPWAWLIMMIGISALVLGLWPMHVIWTYYCIIRSKLVGPVVKLLLLVAASVILVLWLIVGIVGSVLIGVVYGFLTPVMATFDAVGEGKERPLYHCFVDGTWSTITGSCTVVRDLKDLLLHSYFSIMDDLRFHAPPGGEPYEIRVLDIPGALFVAACGFLVDGIMFTLIAFYKFPVMLFKGWKRLIEDLVGREGPFLETACVPFAGLAILLWPFAVFGAFLASIISSIPLGAFAAVVVYQESSLIMGLNYVISSVAIFDEYTNDVLDMAPGSCFPRFKYRKNEASTEGGSLSRPASFKDKQDGKKAPSRVTSFKGSFDEFNPFKLLDHLFEECKHRGEVLVAEGVITPKDIEETKSGKIGIGVLNVGLPAYVILHALIRSAKANSDGLILSDGSEITSDNRPKNTIFDWFFDPLMVIKEQIKAQNFTEEEEAYLKKRVLLTSDPKRLKEVVPHLPSSLNERKQAEIDALSRRLQGITRSISRYPTAKRRFDDLVRSLSEELERTMGGSQSGSVSQMQKLRSGISRMLSQRSMGKRTSNRGDDREAQLTIDP >ORGLA02G0195500.1 pep chromosome:AGI1.1:2:18907848:18909375:1 gene:ORGLA02G0195500 transcript:ORGLA02G0195500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKRLFKRSCSSSKATACVGGGGGAGEIGWEVRPGGMLVQKREGRGGEEVILVRVSTGFAWHDVSIAATSTFGELKVRLSMVTGLEPREQRLLFRGKEKEDTDHLHMVGVRDKDKVLLLEDPALKDMKVRAALAAARVMQSPCQPFIQV >ORGLA02G0195400.1 pep chromosome:AGI1.1:2:18891202:18899044:1 gene:ORGLA02G0195400 transcript:ORGLA02G0195400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLQYSSLVPDYTGLREDAKCSMVLSMHDLMHDLARCVIGDELLLMDNGKEYNSGEGNCRYALLINCVGQTKFSYSSTKLRAMRFFNCDGIQLPLFTKSLRVLDISKCSCGKLPASIGKLKQLKFLSATGMQHETIPEHVMKLSKLIYLNINGSLNISTLPTSVNKLRCLLHLDLSGCTGLCSLPNSFGDLTNLLHLNLANCYDLHSLPKSFHRLGELQYLNLSRCLSLNLMVDINAVCCLTKLQYLNLSRCSSLIHLPETIRGLKDLHTLDISGCQWIEIFPKSICEITSLKFLLIQGCSPWLEKRVRESQFKNDMLALPKFIVQRAAFGMCSNISRLQSVDPAELEIECLENVTSIGEVDVVNLTYKSALSKLALAWTPAAERFVEDEDLLRKLQPPDTLKGLQIQGYMATSFASWMMNLASCLPYLVRIEMVDLPRCEYLPPFGQLQHLELLILRRILSLRKLGGEICGGNGAFRKLREFTLVKMDNLNEWITKVSANGEFMFPSLHKLEISQCPILRLNPCLPRALEWRIEASDQIIADFYHTGSSSSLVLSKMHIRSCRLLPNDWKLLQFLPDLQVLELTHCWFYELPKSIGYLTTLRSLQIDGCDSMTKLSKWLVSLSSLHELIITGCLNLVYLPAFVQKLSALEKLEINDNDALQRWCRNSDSWISENGIKNKIYFDGKLMSTKKQEDTADIDRTDVRDYRNPCDEDEYVDCFAMLELGESSRMGR >ORGLA02G0195300.1 pep chromosome:AGI1.1:2:18889231:18889593:1 gene:ORGLA02G0195300 transcript:ORGLA02G0195300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVGEALVSAVLKEVLGKLGSAVGEQIVMRWNLKQDLESIKSTLGMLQAVLRDAERRSVSDEGASLWLKRLKNAAYDISDMLDEFEAKLSEVKKCPPARMSSFTSVLCLSMLLPSKKI >ORGLA02G0195200.1 pep chromosome:AGI1.1:2:18875686:18878723:-1 gene:ORGLA02G0195200 transcript:ORGLA02G0195200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSPDEFSTRGTARSMQCPMDAAASGTSPVMQFHGIVDEPPSHSSPLHTALERSQRHCYGHETPGEFPLAVSPSIVLHVLSTCELDPKDLAATCTFFSKPANFEPNFALSLPEVAAFDMCHKRPMVKLMAQQEREQLKQRCGGSWKLVFKYIVARERNYSRIVAGPGHSIVVTTKGDAYSFGANCWGQLGLGDTEDRFKPCLIRSLQGIKITQAAVGSRQTMLVSDTGSVYAFGKGSFVWEELSDAADHITTPKIVESLKGVFVVQAAIGGYFSAFLSREGQVYTISWGRTERLGHSSDPSDVEPRLLSGPLEGVLVAQISAGNCYLLMLAYQPTGMSVYSVGCGLGGKLGHGCKNNKGTPKLIEHLLTLSFNPVSVAAGTWHAAALGDDGRVCTWGWGHTGCLGHGDEEYRVLPTVVQGLSNVKAVHVSTGEYTTFVVSDNGDTYSFGSAESLNIGFQEDEEAADDADFSTPSLVESLKVLNDKAVQISTTNSSYWLNSEMGYPHTFALMESGKLYAFGGGIKGQLGVKLSEGQERAQNPERVPIDLC >ORGLA02G0195100.1 pep chromosome:AGI1.1:2:18870256:18874070:1 gene:ORGLA02G0195100 transcript:ORGLA02G0195100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRPAVVIDNGTGYTKMGFAGNVEPCFITPTVVAVNDTFAGQTRANTTKGNWMAQHSAGVMADLDFFIGEDALARSRSSNTYNLSYPIHNGQVENWDTMERFWQQCIFNYLRCDPEDHYFLLTESPLTPPETREYTGEIMFETFNVPGLYIACQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSIPITGKDVTQFIQQLLKERGEHIPPEESFDVARRVKEMYCYTCSDIVKEFNKHDREPNKYIKHWSGIKPKTGAKYTCDIGYERFLGPEIFFHPEIYNNDFTTPLHVVIDKCIQSSPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASNARLGGDAKAQPIEVNVVSHPIQRYAVWFGGSVLASTAEFYEACHTKAEYEEYGASICRTNPVFKGMY >ORGLA02G0195000.1 pep chromosome:AGI1.1:2:18868260:18868638:-1 gene:ORGLA02G0195000 transcript:ORGLA02G0195000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGLLSLVALIFLLSFRSLIHQQVLVGEGAAASGFLHGSGDDGRRQHAREWEEERKKMRWFMVRDYAHARRHEPRNNRLDP >ORGLA02G0194900.1 pep chromosome:AGI1.1:2:18865608:18867076:1 gene:ORGLA02G0194900 transcript:ORGLA02G0194900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AALCDAPRLYLRCARLAGRELAAVRESEGWRFAARHDAALRADLLQLIRDADQRKERWGRERGSQGVYLQLSDAMAALERVFARAAHGSPPPPPPPRTGQCCRMASPCAHRRGLLQLARHFFAGCGRRVAGGCTPCRRFFLLLRLHSSVCDKSDDDSCGVPLCSDFKTNMEKGKVDKTWKLLVKKVMRARVMSAWAKRPVPAPEIVQKSWAKYNSSSRSRAARFR >ORGLA02G0194800.1 pep chromosome:AGI1.1:2:18853413:18858124:-1 gene:ORGLA02G0194800 transcript:ORGLA02G0194800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GARPPARSSSIHAIGLDGEKATRPSLPSPCHPLCHADDTLRHSACGTGTSAEARRSRLKSGGGDLILLRERPIVHHTSQPPHSKPAGKATPRRGHWVATSSLPPSLPARPGMRVAHRWSPAKARAPPPPPSLRYPSGSSWDSADLDGPTAASTTTSRRRRRRHHRLVPPPAASPLSNRRRRCCRAAIAGASESATGRPDMGTAVPDPHPPPPPPKARRRPCRRWPPSPMAYSTLPTVRLRPSRRPSTPCRGCHDVRRRAFTGSGRLHCGRRRALAGSSRLYHGRRVPQPTLFW >ORGLA02G0194700.1 pep chromosome:AGI1.1:2:18848919:18852086:-1 gene:ORGLA02G0194700 transcript:ORGLA02G0194700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFVKKPKVTDVDRAILTLKTQRRKLAQFQQQLEKVIEAEKEAARQLVQQKKKDRALIALKKKKAQEELLKQVDTWQMNVEQQLADIELASKQKAVFDSLKAGNAALKSIQNEINIDDVQKLMDDTAEAKAYQDEINAALGEQLSAEDEEAVMAEFENLEAQLAVESLPDAPVTEVRPEEKSETPAVTEAAEDIDEVIELPDVPTKAPERPEAAEKTKVLEEPLPA >ORGLA02G0194600.1 pep chromosome:AGI1.1:2:18842247:18843881:-1 gene:ORGLA02G0194600 transcript:ORGLA02G0194600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASMAAAAAIAGAVREHVRASDLAVAGAVLLAFSAAMSAVRARRRGAPVLWPVVGILPTLFVHRDDIYEWGSAALLRAGGVFPYRGTWGGGSSGIITSAPANVEHVLRANFGNYPKGPYYRERFVELLGGGIFNADGEAWRAQRRAATAEMHSSRFVEFSVRSIEQLVYGRLVPLAERLSGGGAAVDLQEVLLRFTFDNICAVAFGVDAGCLADGLPDVPFARAFELATELSLLRFVTPPFIWKAKRLLRAGSERRLVEATRAVREFAERAVADRRNEMRKVGSLRGRCDLLSRLMSSPGGGADYSDEFLRDFCISFILAGRDTSSVGLAWFFWLLAGHPDVESRVVGDVLAAGGDIKRMDYLHAALTEAMRLYPPVPVDFKEALADDVLPDGTPVRARQRVIYYTYAIGRDPASWGDDAAAFRPERWMRGGAFAGGESPFKYAVFNAGPRLCIGKRFAYTQMKTAAAAVLSRFAVEVVPGQEIKPKLTTTLYMKNGLMVRFRRRPPPPPSPPPRHVVADDDDDDVAAGRHVAVGSCNSNHL >ORGLA02G0194500.1 pep chromosome:AGI1.1:2:18837810:18839656:1 gene:ORGLA02G0194500 transcript:ORGLA02G0194500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVALCLVLFAFAGLHAAAVEAVTLSTSSRWIVDDEAGGRRVKLACVNWPSHLEPVVTEGLGMQPVDAISKKVASLGFNCVRLTYPIALATNASLSSLTVRRSLLAHGLAGAVAGVEANNPGLLDLTLIESFRAVVDSLGESGVMVILDNHVSRPGWCCADDDGNGFFGDRHFDPDAWVRGLGAMAALFAGVPNVVGMSLRNELRGPRQNADDWYRYMQMGAEAVHAANPAALVIMGGLGYDTDLSFLAARPVDVSFAAAERGKLVFELHWYSFADARAWDSEDANEVCGRVARGVARRGGFLLDAGFPLFLSEFGADTRGGSRKDDRYLPCAAAVAAELDLDWALWALQGSYALRQGVAGADEVYGVLDWSWSKPRNATALSRIQSLQRPLRGPGYDDARPYTVLFHPLTGRCVVRRAADDAAAAAATLELGRCEDTDAWAYTQPASTLAMRGAGRGSPPLCLRAEGSGRPARLATSDAGGCRGDALSTWRLVSGSTMHVAVNATTTTTPSRDGGGGLLCLDVGDDGRSVVTNPCRCLDDAAAGECDPETQWFKFVTSTRSPATGAAAAATVARGLIAA >ORGLA02G0194400.1 pep chromosome:AGI1.1:2:18828070:18835425:-1 gene:ORGLA02G0194400 transcript:ORGLA02G0194400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1745 protein; Putative uncharacterized protein At1g13120 [Source:Projected from Arabidopsis thaliana (AT1G13120) UniProtKB/TrEMBL;Acc:Q0WPZ7] GFARVELRCPRALDPRPSWTLGDVLTELDALEATRRTAQPTPLKQPPEWASSGSVREKAFVMRVEEEDDTDEDDYDSDGESRALVAKATGARFSCNDLESSDAEESEDEMDGRIAPYHLMEKRSLEKSILLELEREHHLKVQEEVRSKLSALEVCHQSEIQRTVSAFARLQKYAESRKEIDRRLDVHFQRKIAEVLDKHLSMVQRDHEQKSQIVERRIRDDAALEEAKRKEQAMKDEKIRQERAKQEAEARQKAAAKLAAEAQKAAAEAAAKEAAEAQKAAAEVSKSSQNSQNNVAGTMRANKSEIKSELPGIKVFADHSALEAELRRRALLDQVPANIHSSKEFSRYDRQIAKSIGKLMPTTDSVKARAGELIKALDGQDCPRPIACRIFANKIISIVKSRNTKDKTFGNLAFACGYVMLLVTSQVPDAMDYLLAEFHRVCMYTVPKHLHALNAQVRNRDYYRLIGYQEENGQLESTESYLTYVAAYVKLYAAMIQTEIRGVRHPHGLAEGWKWLAMFLNTLPATTATACALHAFLKVAGFALHKKYGSQFMKLLDVILRCFLPALKEQGSRIQAEAASNLQNYLTDKVYLEEPEGQYLAQQLLSKELFT >ORGLA02G0194300.1 pep chromosome:AGI1.1:2:18822736:18825378:-1 gene:ORGLA02G0194300 transcript:ORGLA02G0194300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24750) TAIR;Acc:AT4G24750] MAAPPSPLGLARRVSGAGAATPAAAWSSSCRPRRLRPRLLPSKRWSGVVRMGAAVGGEQEGEDEEVKLAKEMAAARRRWETLIREQKIKTLTPREAGYTFKLTDKVLLDVRPSNERQKAWVKGSTWIPVFDVDTSFDLGGAGKKFTNYVMGGWWSGSSTMTVNKNFVQQVEEKFSKDTDIIVVCQKGLRSLAACEQLYGAGFQNLFWVQGGLEAAEEEDFEREGPQPFKLAGIGGVSEFFGWTDQQRAQAVKEGLGYRLIFTGRLVGALVLVDALFLGAQRIGPLLQELQSR >ORGLA02G0194200.1 pep chromosome:AGI1.1:2:18810364:18814834:1 gene:ORGLA02G0194200 transcript:ORGLA02G0194200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAWGGLGGAGAWALDAERAEEEERESAAAPAPSAGFPSLREXXXXXXXGKSKKKKGTTLSLSEFTTYGAAAGRRPAAAAAAEPKGLTPQEMMMLPTGPRERSAEELDRSRLGGGFRSYGSGDRRGGFDDDGRRGGPGRDADLDMPSRADESGNWSLNKKSFTPSPADSGARSRYGSLGGGGGGAPAASSFGRADDDGDWSRGKKPMPMPSRYPSLGSGGGGGGFRDSPTSTDSDRWSRAAPLPPHNGERERPRLVLDPPKRDASATPTPPPAEAARSRPSPFGAARPREDILAEKGLDWRKMETEIDHKTSRPTSSQSSRPGSAHSSRPGSPGSQTSAVGSEGAPRARPKVNPFGDAKPREVVLQEKGKDWRKIDLELEHRRIDRTETNEEKDLKEQINLLRVDLKETEANISDEDKKGLSEKLSQMERELERLTVELDNKVRFGQRPGSGSGKVTAHLSNSPDESQITESTEQPRSRSSIDQNPKPAEERWGFQGNRDRGSFGGNRNTDRSLTGQRW >ORGLA02G0194100.1 pep chromosome:AGI1.1:2:18804763:18806166:1 gene:ORGLA02G0194100 transcript:ORGLA02G0194100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Tu [Source:UniProtKB/TrEMBL;Acc:I1P1T5] MASLASASASTSLVFSTSSSKPRLGSSVGFSSPARFRRTAAAAASRGTGRRAGLLVVRAARGKFERTKPHVNIGTIGHVDHGKTTLTAALTMVLASVGGSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPKIVVFLNKKDQVDDEELLQLVELEVRELLSSYEYDGDEVPIVAGSALKALENLMANPAIKRGDDEWVDGIFSLIDSVDNYIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRIERGTVKVGDTVDIVGIRETRNCTVTGVEMFQKTMDDAMAGDNVGLLLRGMQKDDIERGMVLAKPASITPHTKFDAVVYVLKKDEGGRHSPFFPGYRPQFYMRTTDVTGNVTKIMNDKDEEAKMCMPGDRVKMVVELIQPVACEQGMRFAIREGGKTVGAGVINTILK >ORGLA02G0194000.1 pep chromosome:AGI1.1:2:18799264:18803007:-1 gene:ORGLA02G0194000 transcript:ORGLA02G0194000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPILRRLLSPTPSPSPSSSPPHPLAAAAVSRRTVTYMPRPGDGAPRAVTLIPGDGIGPLVTGAVRQVMEAMHAPVYFESYEVRGDMPTVPPEVIDSIRRNKVCLKGGLATPVGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHDNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNVKVVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGSSTTQEVTDAVIAHLD >ORGLA02G0193900.1 pep chromosome:AGI1.1:2:18797780:18798394:-1 gene:ORGLA02G0193900 transcript:ORGLA02G0193900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLDFRYLDEGLGGERGKRKRREAEEAEAAAADSMDLDADADAPRPSKLRAVPSLSDPSKPASFGQPTYDGVIAGRVSGRRWKEARTRRASALAASRKPTPLEQRARDKSLKRAYQARVAELKEEIRQSKAAKRKQREEREKRKKENVLRSGTKLQRVTNPKTIQKIAKSKKRKQLKVVPDEFLGGKKSDANRRMQVPGLDN >ORGLA02G0193800.1 pep chromosome:AGI1.1:2:18780594:18784406:-1 gene:ORGLA02G0193800 transcript:ORGLA02G0193800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGDEDWEICNCDGFVYKRRRVLHPPDLEDAAAAAATSSAPGPPPEAVLRRRRRQALLRLRARYLDELSRWESLSSDVLAPLPAAPAADLPPRPPSDPVAASPPPGSSSSSSDLTVIDGLLAQAEVTEQLLKRLTEVCDEIDEFCHAHEAALVDAVTDLPVWGDPRELMNSLCSPAELPVCGDPREVMSSLCSPGEKPVSDIKLISELVTSSATLHWLFCRCNMANSGTSTNRSIWDASGIMPQAQDAPACITPFAASCNNYSDGYDQNAIYKNPAYPDDHDQNAISNNPAYHHAGYDQKMPSTTIIPTLLGIFRMSTPTIMAARQLMVAAAPRLMR >ORGLA02G0193700.1 pep chromosome:AGI1.1:2:18779707:18780222:1 gene:ORGLA02G0193700 transcript:ORGLA02G0193700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSAAAGGEDDVELLKAVAQAWHAQSGNPRAVASAAAQGADDDGGGGGVSSSGTRRAGVGGPGRRRPSRFKLEAAAIRDRDTRGGERAWDFAQSLWDTYELVAVARRLESGLVLADHHPGAAAAQEPAATTREGGGGGGVKRARESSRSLRSIFLRSSWSSSRRFDEPSS >ORGLA02G0193600.1 pep chromosome:AGI1.1:2:18765060:18767914:-1 gene:ORGLA02G0193600 transcript:ORGLA02G0193600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGYYNCKKTDGICEDVCDSEHGSKAVFSMSRLKCALRGFDLRALLILLIGLPILIFVIYLHGQKVTYFLRPIWEKPPKPFKVLPHYYNENVSMANLCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGLKKDLHFKENRQRFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHSINLLRWCDDIPEVLHLQLRNYLYSFEFFLDDKSWRASIHRYRAGKTRYAHFRQTDDLLADSGWHCSFCFRYISDFVFKMQAYSHVDRIRFKYFLNPKRIQHVICRGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPAYLLEKVDQYSYLLPGRCMRESG >ORGLA02G0193500.1 pep chromosome:AGI1.1:2:18755229:18755558:1 gene:ORGLA02G0193500 transcript:ORGLA02G0193500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIHWKRGEKEREKTKTRAVSASAAAAEEEASAVAEEEAYACGGGGEADAGVDLGGVGVGLGVVGVLDGGRVILGRRRSQPRWRGGAAAAEADVRGGGARRSGGGDEVS >ORGLA02G0193400.1 pep chromosome:AGI1.1:2:18751676:18753355:-1 gene:ORGLA02G0193400 transcript:ORGLA02G0193400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGEVQAWMLLAPVESRELAELHGSKAILSMSRLKCALRGFDLRALLILLIGVPALIFIIYVHGQKVTYFLRPIWEKPPKPFNVLPHYYHENVSMANLCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGLKKDLHFKENRQRFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDDTPEVLHLQLRNYLYSFQFLLDDKSWRASIHRYRAGKTRYAHFRQTDDLLADSGWHCSFCFRHINDFVFKMQAYSHVDRIRFKYFLNPKRIQHVICQGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPAYLLEKMDQYRYLLPGNCMRESG >ORGLA02G0193300.1 pep chromosome:AGI1.1:2:18744655:18747678:-1 gene:ORGLA02G0193300 transcript:ORGLA02G0193300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWLITGRGVAKKIRNAPHCSSRPISELGAEAQMECPNCKHVIDNSDVAIQWPGLPAGVKFDPSDLELLEHLEQKIGLGGSKPHTFIDEFIPTIDNDEGICYSHPENLPGMKKDGTSGHFFHRVSNAYGCGQRKRRKISNCDHVVSVEHVRWHKTGKSKAIVEKGVTKGWKKIMVLYKSSQRGAKPDKANWVMHQYHLGAEEDEKDGELVVSKISYQLHGKQIDKSETGNADEESDAFAARVGPKTPKSNTPQPCRLKNSPCETENYDPILEDQDEEESNIPIVSLKDDAGNPAWCAGETQAAREAVQACPNLDESLRCHEVLDSFYHETLLPSDRPILSQGGNEILDRNLNAVYGLPDLYNVDLGTPPDFQLADLQFGSQESIGNWLDSI >ORGLA02G0193200.1 pep chromosome:AGI1.1:2:18726191:18728619:1 gene:ORGLA02G0193200 transcript:ORGLA02G0193200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFFSNESVRSVCTEVATDLQILVGDCLYQLHKFPLLSKCLLLQALCAESGCGGNGGDVIELPGFPGGVEAFDACAKFCYGITVTVSARNLVQLRCAAAHLGMSEAADRGNLAAKLDAFLASCLLRRWKDALAVLNSTRHCAPLCEDIGLTSRCVDAVAALIASPAALPAHSSSASPWWAQDVAELGVDLFWRIMVAVKATGAVHEKTVGDALKAYARRWLPNVAKDGIVVGADQPFDGAGNGGDGGNASVKQIATRHRLLLEKIVSLIPAERDAVSCSFLLKLLKAANILSASATSRAELVRRVAWQLEEATVGDLLIPSLSCVSETLYDVDAVAAILDEFALRHAAAPPPPVALAVSPDDDDDSPARSGGHRRSRSAESVGFDGAARRSSSAAPVSPDALVRVGRLVDGFLIEVARDPNMPLDKLLAIAEAVPDTARPEHDGLYKVVDTYLKVHSEMSKSARKRLCRVINCRKLSDKACAHAAQNELLPLRVVVQVLFFEHARAAAMAGGAHAAAELPGSIRALLQSKSSGSDQEDDAADRVDEQRLRALAAGASPGDDWSVEGLRRAASKIATLRMKLEEDDDHDGGGGDDEEFARRQQAGLARSASLRFRAFCAIPAARPKRMLSKLWPLARGVTTERH >ORGLA02G0193100.1 pep chromosome:AGI1.1:2:18715619:18716076:1 gene:ORGLA02G0193100 transcript:ORGLA02G0193100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEDDTCENKDCRVGAGRKAMAIGEDDTCENKDCCVTAGRKATTVGENGACENKDCRVGAGRKASAVEEDNACENKEKRRKMMLGVVVIATVDGEDVLDLDARWLVGASTLADYHS >ORGLA02G0193000.1 pep chromosome:AGI1.1:2:18706777:18707814:1 gene:ORGLA02G0193000 transcript:ORGLA02G0193000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQHAMDEPANAQLYGHAHAHSHHHRSKRPSPGGGGGGAASLGADGGGGGGSLSGTRYRGVRRRPWGRFAAEIRDPASKERRWLGTFDTAEQAACAYDVAARAMRGTRARTNFPVPAAAGFPGGGGGGCWPWVNIPPQGAAAAASHQQPLNTFLLHNLLMSSSPHGCLLLHHAGHGHGHAHSHSHSHSRAHNPSTRPPTSAPPPPPPAAASSATTAPATTTGAAATSAPGADDDAWGFLLRREPPEAGLLQDVLHGFYPTRRPHDDAGPAPKLERPYEATSSYRVSSPWGAVEDCDDGDGDGDDDYRGFPMMPQGLLEDVIQCPPYMEVLAAPSAAVGRVSRRG >ORGLA02G0192900.1 pep chromosome:AGI1.1:2:18694410:18695821:-1 gene:ORGLA02G0192900 transcript:ORGLA02G0192900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KATLKLPKATFHETISSSLRRRGKGKNSMVLSSSCSRSICSQALPVVFLSYFVFESFVCRECVWPVGP >ORGLA02G0192800.1 pep chromosome:AGI1.1:2:18687001:18690225:1 gene:ORGLA02G0192800 transcript:ORGLA02G0192800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSEFYAGEGLQIDPKWLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKIVHKGDTPEEMVKREGRFLREVTMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVGLRPRSLEPRVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNRLPFEGMSNLQAAYAAAFKNIRPSADNLPEELSEILTTCWKEEPNERPNFTQIVQMLLHYLSTLSPPEPLAPPRTFSSENAILPPESPGTSSLMASRGDLGDTPKGKMEDKPRGFFFCFSQCY >ORGLA02G0192700.1 pep chromosome:AGI1.1:2:18675949:18680267:1 gene:ORGLA02G0192700 transcript:ORGLA02G0192700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G40530) TAIR;Acc:AT5G40530] MEHLQEAAAAAAGGRKRRRRGGGRNRRKHQASSSSGAAASASPPSPPAKRQRGDDAAPKGRGSKPKPASLLDKMRARLSGGHFRMLNEKLYTCSGQDAFDYFTNEPDLFDVYHAGYREQMSHWPEQPVNVIINWLKSHSASWTVADFGCGNAAVSKNVKNKVFSIDLVSEDPSVIACDMAHTPLESSSVDVAIFCLSLMGTNYPSYIEEANRVLKPSGWLLIAEVRSRLDPNTAGADPDKFCEAISKLGFSLVSKDAKNKMFILFHFRKKEKSKVVKNIDWPQLKPCLYKRR >ORGLA02G0192600.1 pep chromosome:AGI1.1:2:18666838:18671950:-1 gene:ORGLA02G0192600 transcript:ORGLA02G0192600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSPMYEGLASRPDEWDVVLKVKYGETLKRFGGYVQGPQFSLNLSALRSKIASAFKFGSDVDFILTYTDEDGDIVMLDDDDDLHDAAIHQKLNPLRINVQLNNSHTAAPQAKQQDSDNIPLRSTTTEDPLAHIKSVIDEVLKPISMKSIQEPVPETLAKLSHEVLEAASPQLAELIKPFVKLVTPSNNNPSNGHADGSCSSSTGLPQTQVDPKTNDEPKIDTSLGSQPLDTQNSKSSGARGLKTVSVEAPATSGVKSSQGQQASLYPSIEELLFSPFLPNSGDDKSASKGISDAQSKGKSVMTSATPPTPPAAPAFRPAPPIPSLNDWSQPPARGSTFYPSIWQSEADPKANSDSRWRVPLCRAGHPFRPHAPLSRPPPPMPAPMSYGPSPHFPYPGRLLSSGHLHGDLGNNIENSPARTFHRWIQCDGCGVQPIVGPRYKSKTKEDYDLCDACFHRMGNEVEYTRIDKPLLPQRLLRDPTLCRKIHSRAAMKSKREKLESRFILDVTVLDGTLMAPSTPFTKIWRMHNNGSIMWPLGTQLIWVGGDQFALQTYVPLEIPVDGFPVDQEIDVAVDFVAPARPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVSNNRTAAINLNLPPESNITNTSNLIDVNIEPVDQVFNQHVNSTNKELLEHLIHHQIDEPKNPEPAPLPVPIVSSTTSLHPIIDVDVPSSSTAAAFVPVFDEPAPEPAVTPVPPTVNVPAGNAPASVGASSSDHHGIDNLTEEKLLKELEEMGFRQVDLNKEILRQNKYNLEQSVDDLCGVSEWDPLLAELQEMGFEDTEINKEMLEKNGGSIKRAVMDLIAREKKDQ >ORGLA02G0192500.1 pep chromosome:AGI1.1:2:18663603:18665886:1 gene:ORGLA02G0192500 transcript:ORGLA02G0192500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPQSHPILAYVLSRLPSLLPVSPSLSTPRARDIEQPSPRAPSGAAEFDLVSRMPGLRHPSVLSAMTRAVADVSSARDALRLLGPRPDHELVDSARAFLRSHAATASAAEEAEEEEEDEKVAKSREVVRLDEAHESYGGLLREAEERLDRVYRTAMRGRDMQVVAAAHGGGGEEEAGVVDDEVVRVLRDAEEGKAVERLLLADRQLRHLPEQLGRIRGLLVLDVSRNQLKNVPDAIGGLEHLEELRLASNALVSLPDSIGLLTSLKILDVSGNKLRSLPDSISKCRSLVELDVSYNVLSYLPTGIGQEMARLEKLWVHLNKLRSLPSSVCEMRSLRLLDAHFNQLRGLPAGIGRLAALESLNLSSNFSDMRDLPASFGDLLGLRELDLSNNQIHALPDCFGRLQRLERLRLDQNPLAVPPKEVVAGGVGAVKEYMARRWRDARAEEERRGSAVAESPRVSTPKEWLVRSVSSLGSWVSDVTRYGAGQDKAAAEEGEDAYLQQNL >ORGLA02G0192400.1 pep chromosome:AGI1.1:2:18660836:18661241:-1 gene:ORGLA02G0192400 transcript:ORGLA02G0192400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPALMRQWPSPPLIPASTLLPVPATTQEDELLLAMAESDLEDKLNEIRKTNSNLVIIGKPTGDVKEEYDAEAEDDDADNVEESDGDDFDQETG >ORGLA02G0192300.1 pep chromosome:AGI1.1:2:18656711:18659554:1 gene:ORGLA02G0192300 transcript:ORGLA02G0192300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 2;1 [Source:Projected from Arabidopsis thaliana (AT3G26570) TAIR;Acc:AT3G26570] MSQSSPFFSVARAHAGAGGRAAAAALLLRHPVAQLPPRIHGLRYYPSAIVSPAKTLNSHLGLPRATISSFANADNGSSGQADATESEEEQNGESELSEMAKAFHISPRMAMSISVVIAFAALTVPLAMQSVVFHGTNKMKALAYLTLLSGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAAVLEFSGAFLMGTHVTSTMQKGILVASVFQGKDSLLFAGLLSSLAAAGTWLQVASSYGWPVSTTHCIVGAMVGFGIVFGGVNAVFWSSLARVSSSWVISPLMGAAVSFIVYKGIRRFVYSAPNPGQAAAAAAPIAVFTGVTAISFAAFPLSKTFSIAILQALACGAIGAVIVNRVIQKQLGDLLSSEAEKIASADKANAQQVGFLSDIAGPTGAQLQIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGVASSAEIVIPTEVLAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNRVRAETVREIVASWLVTIPVGAVLSIFYTLLFTKILAYFM >ORGLA02G0192200.1 pep chromosome:AGI1.1:2:18627536:18628137:-1 gene:ORGLA02G0192200 transcript:ORGLA02G0192200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLLETHKNDHHPNMRPPQIISKATLHSHPKTMSSSSPATATTATMSSFLQRCFLCRRELADGKDIYMYRGDRAFCSVDCRCKQIFMDEDAAAGGGNCAAVRAGRRRAAVPREQTGAGGFAY >ORGLA02G0192100.1 pep chromosome:AGI1.1:2:18620001:18622573:1 gene:ORGLA02G0192100 transcript:ORGLA02G0192100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRGGGGRRGRGCGRGRSALAENDMDFHETSIPSSPSTTSDKEDNVEFTPQQSPLPCLVSPSVEHVSNTLLNPKINHRSDAIFGDQAVEQLKLRHHKPLKFHERYRPYLRDAGLLGLSQICQKMPQLDKALITALVERWRPETHSFHLASGEMTVTLQDVSMLLALPIDGRPVCSTTDHDYAQMVIDCLGHDPRGPSMPGKSFLHYKWLKKHFYELPEGADDQTVKRHVRAYILSLLCGVLFPDGTGRMSLIYLPLIADLSLVGTYSWGSAVLAFLYRSLCSVASSHNIKNIGGSLLLLQLWSWERSHVGRPLVRSPVCPETDIPQDVLPVGFRWVGARTQSENATRCLKQYRDELNLQRADQVKWEPYLHVESASLPLLCTKNADLWLTQAPLINFPLVEMYLPERVMRQFGLRQSIPPPFRPTLQALHRISRRGRERENWEETHHEYIQEWEARRQRIFPESEQYDPSSYEEYLHWYTGATRRYLVPSISDDVEAGPSLQPDDSINLHYQAKAPMIRKAVDKLHGMVKKAKIAMASTADTTTQALVFEFLHGFQDVLHDLGEIKENGGSATSPHVESAATQDMPLLLLEAEQNIVDADQEAQHQEEEELHMVDDATMTLEPMDEENNDFNNVICPCPSLELEEHCHLATPAIDECNTATPAPDSVIPQQNTDFDQDGHLENPNEMDQIELMVEPICVDHNDSDNVLSSSLSAQALEENCEVAKAVNGNVDPTTQVTGSSTPQQDTDVKLVAEQENPGTTEGN >ORGLA02G0192000.1 pep chromosome:AGI1.1:2:18615671:18617837:-1 gene:ORGLA02G0192000 transcript:ORGLA02G0192000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: response to oxidative stress; LOCATED IN: endomembrane system; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Folate receptor, conserved regio /.../erPro:IPR018143); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G27830) TAIR;Acc:AT5G27830] MSPTAPSRTGRALALLPVLVLLLLVCSPAASVGEQKGVCVSPGGRFPAFSSEGKRPGRAAKGRRDLALCRVFRQNTCCDVSQTFSALLSVRKLASTGEGSQECLHLWELLECSICDPRVGVRPGPPVICASFCDMVFKACSEAYFAIDVKTQALSPCGLGDILCGKAHKWVSNGTELCRSAGFSVQALETTSGGVDDTFCYGGKASFDAISSSWTSSKERPVLSDVASWNLEDFRRWAREMPASERVSWAIGGMVLTAGLIFISLCSKRKSYIPGQKQAAIARNLRKLESRVNPQQLRRN >ORGLA02G0191900.1 pep chromosome:AGI1.1:2:18612047:18614434:1 gene:ORGLA02G0191900 transcript:ORGLA02G0191900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAKQMAEAPGKIESMRKWVIDHKLRAVGCLWLTGISSSIAYNWSRPNMKTSVKIIHARLHAQALTLAALVGSAMVEYYDAKYGTSGPKVDKYTSQYLAHSHKD >ORGLA02G0191800.1 pep chromosome:AGI1.1:2:18602811:18608762:-1 gene:ORGLA02G0191800 transcript:ORGLA02G0191800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSPAIRPIGKSAVHRICSGQVIFDLSSAVKELVENSLDAGATTVEVTLRSYGEDSFTVADNGTGISPTNFQALALKHHTSKISDFGDLASVATFGFRGEALSSLCALGKLTVETRTKDEPVGTRLEFAHSGVVTGERKMARPVGTAVTVEKLFSTLPVRSKEFSRNIRKEYGKVISLLNAYALIAKGVRLVCTNTVGKNSKSAVLRTQGSSSLKDNIITVFGLNTFKCLQPFNVTISEGCQVDGFLSKPGPGSGRNSGDKQFFYVNGRPVDMPKVSKLVNELYRSSNAKQYPVAVLNFCIPTTSYDVNVAPDKRKIFFSSEHAILLSLREGIENLYCPQQCSFSINSVEDPGKEMDPAIDGSDEDMCLTEKENVSAPENDDDMDETDSDDEVTPENQKVPSSVTTRVATGSTPKDVSPLSRGPPAQLDRSTLLSAYRYEQREKTPTRVKSYPAQANHVRTGLAAKSSPSSAVQPSIMKFLSQNKRKHEDSCNLISEAPVLRRGTCLEQVRRTDLGANSPTALTSRVSNIPEFNAPQGTNPLRHHSLQSFVPEMPEDSPQHSEPPNIVSHRDEVPQLRPCDVHATESEVDNQHDPCHSKFGAPSRCSEVEPQNKLTNISLPDAHYDGHDTAAHSGQSSYPVMQFTLADLRRRRRHSFMISHAKKGSFPEKSTRCYKAATLDNYVPDNEEGKSNSLAAATSELDKLFSKDDFGEMEVVGQFNLGFIIGKLDQDLFIVDQHAADEKYNFENLSQSTTLNIQPLLQPLRLDLSPEEEVIVSMNMSTIRKNGFVLAEDLHASPCNRYFIKAVPFSKNITFGAQDVKELISMLADSQGDCSIISSYKLDRTDSICPSRVRAMLASRACRMSTMIGDPLTKTEMKKILKNLTGLRSPWNCPHGRPTMRHLADLHAIKTEGSKATFS >ORGLA02G0191700.1 pep chromosome:AGI1.1:2:18595743:18599988:-1 gene:ORGLA02G0191700 transcript:ORGLA02G0191700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42370) TAIR;Acc:AT5G42370] MATSTRALLLLPLLLLFLLSRSLSLRADPGAAVSRIAFGSCANQSAPQPVWEAVVGFDPQVFIWLGDNVYGDNKRPFRVFGRERTVGPWRNVPRFYPSTEAELRRRYEMAKAKPGYAKLRERAQVIGTWDDHDYGLNDAGKEFGGKVTSQRLLLDFLDEAEDSSRRQQAGVYASYMFGPEGKRVKVILLDTRYHRDPLSSDGAVLGDPQWQWLERELHGPRSEITIIGSSIQVISNLSATTGPLFYVESWARFPRERERLFRLIDSSKRNGVLFISGDVHFGEISRYDCGAQYPLYDITSSGLTQSVENSVPSVFQPLMRLVALLTPTTLRVFSPNCRYKSCTYGQPNFGAIEIDWNAVPPQIKLELRDVEGNSVGGVEFPISELDPSKAHAITKQGHSYQRHCALETELPWLVRHRLALLLFGTIAVLVIAVVLLGITCLSAANIFTKKSKME >ORGLA02G0191600.1 pep chromosome:AGI1.1:2:18586396:18589811:1 gene:ORGLA02G0191600 transcript:ORGLA02G0191600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFNPFGGKVQNGLEGRTIDVGNIKITVRNAIAQGGFSCVYLASDAMHPSKQYAMKHIICNDSELLDLVMKEIQVMNLLKGHPNVVTLVAHDVFDMGRTKEALLVMEFCEKSLVSAMESRGTGYYEEKKALLILRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKLCDFGSTSTNHKCFDRPEEMGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPEQPKYSAAVTKLIKDMLEASPNDRPDVTQVWFRVNELLPLELQKNLPDGASSGISMSLQDEGAYKRTHVMPRRNPPPPPREQSNSSLSHGSSKAGDAPLGAFWATQHAQGSQVADNRNSLFDEEPIKPSPSSKHNQSRGDISISAPGDRHGRSGQAVRGTPSNSVSNNGLASGANTNLFMESQSSLKTKESQPKSEKDPFNSFVADFEANNLHSGTNVASKESELEAEVSNLKEQLKKTSSEKAEMTAKFEKLSAICRSQRQEIQELKRTLAETTPPSSKVSSRLPDSGPQRKEKIEGTVWELEQGMLANSLPSSEAKTWQAFPDPKSQPAQVRPKVDHSTNGAQNLARNANSRQSPDGWGFGPDSFRTSPGSTAAQINRPTAQGSSSQRFSSGAAKKVEQPSGWAGF >ORGLA02G0191500.1 pep chromosome:AGI1.1:2:18577832:18580484:-1 gene:ORGLA02G0191500 transcript:ORGLA02G0191500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKRSSDAAAAVEKSDEFAPQRPERTLFGFKEKPADDEEAEVKPASEGEEDAAAAAAAAPFRNKEKVLVTCSRRINYRYRHLMQNVVSLLPHAKKDSKVESKQSKGNALNELLELRSCSSCLFFECRKQKDLYLWMVKSPGGPSVKFLVNAVHTMEELKLTGNHLKGSRPLITFSTNFDGQPHWQLVKEMLTQIFATPKDHRKAKPFHDHVFVFSIVDDHVWFRNYQISVPHNEIDKVDKGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALEKRKKAGQYAKKVKAKVRRKMHEMENTLEPDEFAELWKGED >ORGLA02G0191400.1 pep chromosome:AGI1.1:2:18574817:18576504:-1 gene:ORGLA02G0191400 transcript:ORGLA02G0191400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L6 [Source:UniProtKB/TrEMBL;Acc:I1P1Q8] MAPTSKLSQGIKRASRSHTYHRRGLWAIKAKNGGAFPKAGKPAAAAEPKFYPADDVKPRAPSTRKANPTKLRSTITPGTVLILLAGRYMGKRVVFLKQLKSGLLLITGPFKINGVPIRRVNQAYVIATSTKVDISGVKVDKFDDKYFARDKKAKAKKTEGELFETEKEATKNLPDFKKDDQKAVDAALIKAIEVVPDLKSYLGARFSLRDGDKPHEMTF >ORGLA02G0191300.1 pep chromosome:AGI1.1:2:18564586:18571959:-1 gene:ORGLA02G0191300 transcript:ORGLA02G0191300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G12920) TAIR;Acc:AT5G12920] MEKFLVAAPPPSGDAPAAPVPPRRHRWSRVAAELDGRIDARFRHRESVRLRDSFSEIRTFSHNYYMEGQERCTTYMNRVVNDSVLGFQAVREGISAMEFDKKGIYLASVTASGCLTVHDFETLYCSTYGPSRGLPDESSNYLLHISNSMPLCAVRWNPANQDEIVCVSRQTDMVLLFDIGCVSSTPTEILRKGRSRYPVLSEFRKGLTDVAFSSDDKSWLFASGLDGAVFMWDMRLSKKHCLELIGHPESQFSSVKLNIDNRTMFAATKEGTVHAWDLRGGRASAAFQSHNEVQQLSSVKISTLLGKIPSLKDQTNIVSSEILSIDFNPSCSYQLAFHLDNGWSGALNINTLSVSHLHCPPPDWLEHMNFMWQKLHRKPTWLPTSSIYAVGSASNTVGMHLLDFHPDTSSACHVDYNEEIRGSDEKKPAANKFIPSSQRVVSCAAHPFCHTILAGTQFSSLLVLSQKQESIKNSE >ORGLA02G0191200.1 pep chromosome:AGI1.1:2:18558441:18561498:1 gene:ORGLA02G0191200 transcript:ORGLA02G0191200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVRNSGGRAALADPSGGGFFIRRTTSPPGAVAVKPLARRALPPTSNKENVPPSWAVTVRATPKRRSPLPEWYPRSPLRDITSVVKAVERKSRLGNAAVRQQIQLSEDSSRSVDPATPVQKEEGVPQSTPTPPTQKALDAAAPCPGSTQAVASTSTAYLAEGKPKASSSSPSDCSFQTPSRPNDPALADLMEKELSSSIEQIEKMVRKNLKRAPKAAQPSKVTIQKRTLLSMR >ORGLA02G0191100.1 pep chromosome:AGI1.1:2:18520237:18520621:1 gene:ORGLA02G0191100 transcript:ORGLA02G0191100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLGGATHYAQLMDLQEWSYQHINDKQIQGKILHVQQRLMLLYELILVSEDCAVVFISEG >ORGLA02G0191000.1 pep chromosome:AGI1.1:2:18518360:18519506:1 gene:ORGLA02G0191000 transcript:ORGLA02G0191000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSGDGVGCCSAMVYGGNGVGCCSLLHYTENPMRTEGGCALGLVSLPHRILFWGEGGGHLCLPQLLLTELRGQPLPRRGFAARRAIHIAPSTSLHPLVVLVLSASSSLPNIFVLHCSCTYSELEWHHILPIPSSASASHMASQRRLLLRHQVNSVAHC >ORGLA02G0190900.1 pep chromosome:AGI1.1:2:18505187:18508733:-1 gene:ORGLA02G0190900 transcript:ORGLA02G0190900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVVEQIGRGAYGSAYLVVHKGERKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNPYIVEYKDGWVDEGTSACIVTSYCEGGDMAERIKKARGVLFSEERVCRWFTQLLLALDYLHCNRVLHRDLKCSNILLTKDNNIRLADFGLAKLLMEDLASTIVGTPNYMCPEILADIPYGYKSDIWSLGCCMFEILAHRPAFKAADMASLINKINRSSISPMPPIYSSSLKQIVKSMLRKNPEHRPTAGELLRHPYLQPYLAESCSCSPIYLPVKPTKSNLGDKQQSRKPGSGRKRIIKTNGSSEALETAAEQAVDTRDNSTYISDVSTVGTQDACISQVSVDPQARNKAYQNIDDLTLFQQIEENLMTITDRQIDEAIFLKAVRTSSTVDVVPVTGAIQKPNEAPIPKEELTIGVVQEQRKEVKAHTHQGSKPGAGDVPIVTEESSPKSAVKLAHSDSTPAEWDHLNIVQQRADALESLLELCAKLLKQERLEELAGVLRPFGEGAVSSRETAIWLTKSLMTPPKLEGSPKLT >ORGLA02G0190800.1 pep chromosome:AGI1.1:2:18488268:18488702:1 gene:ORGLA02G0190800 transcript:ORGLA02G0190800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRERASHGGGGESGAIGGERARHGGGGESSTTGGEGGERTWHGDGGESGVTGGMTGGEGGDGVVEDEVVEVETAMCGVGRRPPCSSPTPASFSRISSPLPPTSSSGGSRIGRIWPLMKSSPPPPRLRRRGRGCRGRGWIAR >ORGLA02G0190700.1 pep chromosome:AGI1.1:2:18478647:18479060:-1 gene:ORGLA02G0190700 transcript:ORGLA02G0190700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLLRLLLLLLPLPLREHLWPSHHRPNDVSAGAGELHPIFIVPGASCSNLEARLTDAYRPSEPSCGALKGKGWFGLWENSSDLPTHHYNECFKEQMSLVYDPVANDYRNFPGVETRVANFGIARGFRSKNPSHP >ORGLA02G0190600.1 pep chromosome:AGI1.1:2:18477213:18477866:-1 gene:ORGLA02G0190600 transcript:ORGLA02G0190600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVETATEKHHHNKKAIILGHSLGGMVALEFVRNAPSAWRDKHIEHLFLVAPTLSQGFVTTVRNLVSGPRNLVYVADATDLSLRPMWRSFETSIVNVPSPGVFGHEPPIVVTERRNYSAYDVEDLLAAVGFSDGVEPFRRRTVARMNYHEAPMVPLTCINGVGNRTPQQLVYWDGNFDEPPQIVYGEGDDIINLVSMLAFDEDMCRQPGQKGRFKSI >ORGLA02G0190500.1 pep chromosome:AGI1.1:2:18468035:18469619:-1 gene:ORGLA02G0190500 transcript:ORGLA02G0190500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLVVVQLLLLLLPLPLREHLWSSQHRRNDVDAGELHPIIVLPGVACSDLEARLTEAYRPSAARCGAMKGKGWFPLWKNSSDLSTHRYNECFEEQMSLVYDPVANDYRNFPGVETRVPYFGLVKGYHQKWPFDKPWCLTPLIRALEEMGYRDGDNMHGAPYDFRHVPPVPGQESQVYSRYYKEFMELVEATSKRHRKKKVIILGHSHGGCVALEFVRNTPLAWRKEYIKHLFLVTPTLSAGLLDPVENLATGPHNLFYVPGATELSLRPMWRSFETSIANLPSPAVFGREPIVVTERRNYSAYDMEDLLAAVGFGDGIEPFRRRMVARMNYLEAPMVPLTYINGVGKRTPRQLVYWDGNFDEAPERVYGDGDGIVNLVTMLAFDEEMRRQLGQRGQFKSIKVENASHMGILMDEWALKRVMKEILEVNQDSS >ORGLA02G0190400.1 pep chromosome:AGI1.1:2:18440043:18440692:-1 gene:ORGLA02G0190400 transcript:ORGLA02G0190400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VERGVARPAGAAVAAAAEEEDGAGGGRERARGGGWWRRRRGGGGGGADGEXXXXXXXXXXXXXXXXXXXXLACTIRFDGEDGGAGATEEAWAASGWRPRADEVSHLMVRESMRYAIYA >ORGLA02G0190300.1 pep chromosome:AGI1.1:2:18435800:18436676:1 gene:ORGLA02G0190300 transcript:ORGLA02G0190300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSPATTPAAAAVYVAAVPLRGPKGPAQALMSAGYSLGLWDLQHFMVLLRPDPARAQALVFDFQPRDPEDALAAFAVLSRREIPGVVRRRTLRRVPDTGCWLVGHHRSGGGGGAAAAVLAADAFTARWPTDLVVGRHDCRDFTNGLVEELTGEKRVLDALRSSAANGDW >ORGLA02G0190200.1 pep chromosome:AGI1.1:2:18429314:18434332:1 gene:ORGLA02G0190200 transcript:ORGLA02G0190200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSHGCSVLGSSLLLLFCLGSAAAQKASTWKTLSGDSPLVIAKGGFSGLFPDSSEFAYRSAPGAALWCDVQLTKDGAGICLPTINIDNCTTISNFDPKGMKTYNVNGAPLKGWFPVDYNSTELLQQVFLKQSILSRTVRFDPLPIVPVEDVLSKYKTPAVWLNVQHDSFYSQFKLSMRSYILSVSKQFVVDYISSPEVNFLNSLLGRVNKKTKLVFRFLDEQTIEPSANQTYGSILKNLTFIKTFASGILVPKQYILPVTKDNYLQPHTSVVDDAHKAGLEIYAADFANDFLISYNYSYDPLAEYLSFIDNGAFSVDGVLTDFPITPSEAIGCFSNLNDSKTDHAKPLVISHNGASGDYPDCTDLAYQKAVADGADVIDCPVQVTKDGIPICMSSIDLGDVTTVATSQFASQTTVVNDIKATPGVYSFNLTWEDISKNLKPKISNPMSKYQLYRNPRNRNAGNFMRLSDFLDFTKGKDLSGVMISIERAAFMAQKLGFGVVDAVIKALDDSGYSKQTTQKVMIQSTNSSVLVKFKQQTKYNLVYMIEEDVRDAAPSSIADIKKFADAVSVNTMSVYPATDNFLTNQTTSLVQSLQSAGFPVYVYVLMNEFVSQPYDFFSDATQQINAYVKRPDGGVDGVITDFPATAHRYKLNSCMNMGNNTPGFMIPAQPGGLIESMVGAAQPPSTAPLPPLTESDVTESPLPDVNNNAKAPASSHAAIRVRTDVSAVLVTLMLCTSLMI >ORGLA02G0190100.1 pep chromosome:AGI1.1:2:18412912:18417436:-1 gene:ORGLA02G0190100 transcript:ORGLA02G0190100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVGVLVSDPWLQSQFTQVQLRTLKTKFVSVKKSDADHVAVKDLPPVMEKLRGIHEVLSEEEISTFLGESYPDMNQTIEFESFLREYLNLQAKGSSKTGGKKKLKGSVSFLKASTTTLLHVINESEKTSYVNHINNFLGEDSFLKNFLPLDPSTNDIFNLVRDGVLLCKLINVAVPGTIDERAINTKKDPNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEARPHLVLGLLSQIIKIQLLADLNLKKTPQLAELVADDNSKEAEELVTLAPDKMLLKWMNFHLKKAGYKKTVTNFSTDVKDGEAYAYLLNTLAPELSSTTMIETSDPNERAKKVLETAEKLECTRYVTSKDIVEGSANLNLAFVAQIFHHRNGLSDNNVAPVVQDTPDDVEATREERAFRLWINSLGIATYVNNLFEDVRTGWVLLEVLDKISPGIVNWKQASKPPIIMPFRKVENGNQVIEIGKELKFSVVNISGNDIVQGNKKLILAFLWQLMRTSILQLLKNLRTHSKDKEITDADILIWANNKVKESGKTSCIENFKDKSIANGVFFLELLHAVNHRHVDLSMVKKGEDDEEKKSNATYTISVARKLGCTLFMLPEDIMEVNPKMILVLTASIMYWSLQKHGPYQVPEPVPQETLAEEEEEDEEGDFEEDEEEESIEDGVSNLTT >ORGLA02G0190000.1 pep chromosome:AGI1.1:2:18408590:18409434:1 gene:ORGLA02G0190000 transcript:ORGLA02G0190000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGLLVFTLLLAAAFLVNCARQEPHQNSRLYVRAEPNEGPTADPDAGAGGDHGTSSGNGKASGHRPQAKFGGGCCCGAGCSEQCAECPRPPHPPPSPSPCVHPPCCESAAGCCCNGCSGGGCGGGQCPPSPSCENHHPPCKPGCCCCGCSGGECPPPPSPPCQHECPPTPPCEHPPCSESGCCDKGCNSCCNRCGEYGQSRP >ORGLA02G0189900.1 pep chromosome:AGI1.1:2:18405468:18406083:1 gene:ORGLA02G0189900 transcript:ORGLA02G0189900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGLLIVSVLLLASAFLATSEADDPEPANTSPGGGGGSSGYGQAGGSTGYNAVSAYYPPPTPAGWPNGGGYSYGSVGQDGSYSYSYGVQYINGDPAGWSGWNNVWWFDRRCPSGACCARGFNGDCFRCCHPWP >ORGLA02G0189800.1 pep chromosome:AGI1.1:2:18401000:18401612:1 gene:ORGLA02G0189800 transcript:ORGLA02G0189800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRALLVFALLLAAAFLVNCARQEPQPNEGPAADPNAGGGDGSSGYDDGKVSKSGHRPQAKYYNGHRPQGKYGGHRPQGKYYGYSECGGGGDDGDCGGPCEHRRCEYGCCEGGYGRDRCHRCCDHGEFGGRH >ORGLA02G0189700.1 pep chromosome:AGI1.1:2:18399540:18399779:-1 gene:ORGLA02G0189700 transcript:ORGLA02G0189700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSDAKSREGLHKPPEVILKVNIGASFDIVSSTEGTGAVIRDSQGTFLAGSCRYLSHIADAAMAEAYALRDGLILA >ORGLA02G0189600.1 pep chromosome:AGI1.1:2:18395379:18396032:1 gene:ORGLA02G0189600 transcript:ORGLA02G0189600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALLVFALVLAGAFLVNCAQQPQPYADPSNDDPNAGYGSSGYGYPSQQGQNPAQQGPNPAQQGPNPAQQGQNPAQQGPNPAQQGQNGGDGGAGYGGAGGNGGGAGGGSGGAGGGGGGYGDPWWNHPRRCRYGCCECGYYRCNRCC >ORGLA02G0189500.1 pep chromosome:AGI1.1:2:18391184:18392098:1 gene:ORGLA02G0189500 transcript:ORGLA02G0189500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGLVVFALLLLAAAFLATSEAANSQPTYPPANGGSDGQAGGSSAAGGNGKSAGYVAPYYPGPWWWPNGGGFYPPPPFNGGPGWYDPRFGGCPFGCCGYGAFNECLQCCARPWFPFWWWP >ORGLA02G0189400.1 pep chromosome:AGI1.1:2:18380311:18380541:1 gene:ORGLA02G0189400 transcript:ORGLA02G0189400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TACKKYTTESGQRADVLADDRAGLRRRKVTQTTRTGFLYAPDSVATRERSQIQRCTIYVHELPPRLTPSEQTTSSV >ORGLA02G0189300.1 pep chromosome:AGI1.1:2:18375774:18376571:-1 gene:ORGLA02G0189300 transcript:ORGLA02G0189300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSKALLVFALLLAAAFVASAEQTHDDGDNPPESPDHEDPPPSPEYYDPPPSPDYYDPPPSPDYYDPPHSPDYYDPPPSPDYYDPPPSPYYGGGGGYGKPPPPPPCCPCKGV >ORGLA02G0189200.1 pep chromosome:AGI1.1:2:18372318:18373042:-1 gene:ORGLA02G0189200 transcript:ORGLA02G0189200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKLALLVFTLLLAAAAFIHVASAEETHDDHDGGDHDPSPSPPDHEDPSPSPPDHEDPPPPDHEDEPPPPSSPGKEDVCKGKGCCDWSGGDCKHYCDGYDDKSCCDDWSGDCHKCCSK >ORGLA02G0189100.1 pep chromosome:AGI1.1:2:18369132:18369785:-1 gene:ORGLA02G0189100 transcript:ORGLA02G0189100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEMLIRMQASSEKNGNAKAMKVAAAMAGVESVTLAGEGRNLLRVVGSGVDSNHLTSRLRRKVGHADIVELRTLNDTYPRGAAAGSYAATSTSGRLGSSNGGYYYSSQLSAGRGGAYSSGGHQLYGGGYDSPYYHQAPQHPYDGGYYPSPYGAAAVQHEYYTTSSNDDPNGCSIM >ORGLA02G0189000.1 pep chromosome:AGI1.1:2:18358805:18361415:-1 gene:ORGLA02G0189000 transcript:ORGLA02G0189000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAPKVLVLVRDAAGYGAALADALRPTPGLTRESAPLELPLAKYGLDGEKASGELVNFSDFSGDPQVSFFVLPDYKPPVAACAMNEVLALVSSEAPSTLPTLIVPFMTRSPNYYHGAKTGQLATLHGAEIGATTEFTQMLVDGTTKLPQSLQVRSEPILCLLEMVRVLNIPTVILFASSGQHQGKSSTDVDLEVLQCVGDHLAKHINLEFSKETVLQRGIEKSPVFQEPWRELYR >ORGLA02G0188900.1 pep chromosome:AGI1.1:2:18353931:18356468:-1 gene:ORGLA02G0188900 transcript:ORGLA02G0188900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATPPPPPRPPARAYPGTSPMGSSPALPRSTNPAALLAHLAAVLTSPDWFLNPSLPRLPALLAPSLPESLPAPLPVRLAAAAARAAAPSRHLLAVALPVVLRLHSLSPPPLRPLFDRPFASLLAHLSRFALAPLALRLFAHMHRHAPPAPAAPTYNAVIRSLCRRADLASALRYLSLMVRSGWRPDAYTFNSLIVGYCRTNQVDVARDLFDKMPLRGFAQDVVSYAALIEGFCETGRIDEAVELFGEMDQPDMHMYAALVKGLCKAGRGEEGLLMLRRMKELGWRPSTRAYAAVVDFRCRERKAKEAEEMLQEMFEKGLAPCVVTCTAVINAYCKEGRMSDALRVLELMKLRGCKPNVWTYNALVQGFCNEGKVHKAMALLNKMRACGVNPDAVTYNLLIRGQCIDGHIESAFRLLRLMEGDGLIADQYTYNALINALCKDGRTDQACSLSDSLETRGIKPNAVTFNSLINGLCKSGKVDIAWKFLEKMVSAGCTPDTYTYSSFIEHLCKMKGSQEGLSFIGEMLQKDVKPSTVNYTIVIHKLLKERNYGLVARTWGEMVSSGCNPDVVTYTTSMRAYCIEGRLNEAENVLMEMSKNGVTVDTMAYNTLMDGHASIGQTDHAVSILKQMTSVASVPNQFTYFILLRHLVRMRLVEDVLPLTPAGVWKAIELTDVFGLFDVMKKNEFLPNSGTYSSILEGFSEDGRTEEATSLVSLMKEDSISLNEDIYTALVTCFCKSKRYLDAWVLVCSMIQHGFIPHLMSYQHLLSGLICEGQTDKAKEIFMNSRWKDYSPDEIVWKVIIDGLIKKGHSDISREMIIMLERMNCRPSHQTYAMLTEELPDRE >ORGLA02G0188800.1 pep chromosome:AGI1.1:2:18344002:18346393:-1 gene:ORGLA02G0188800 transcript:ORGLA02G0188800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTNRSIQKARKTDNVQGGPNWVLVAGGVLLSTLSVKLGCKLKQLFDAKQRNSNTSKAQRRPGTCELHSNLYRFSDHLSCYHCNSGFSGNGVGVKQAPASPASKQMEPSLPLVKIPGPESSKENNGVMWISSPDRLEDPRKPFQYSNSSGSPCVSESGSDIYSKKEVIQKLRQHLKKRDEMIMEMQAQIADLKNSLNIQETHSTNLQSQLDSANRDLFESEREIQHLRKIIADHCVAEALSHDKPLLAGHWQSGATNGHANGYADSSSVDDPELHFIGLDKRKGEAERVEMLKREVGELKEVIEGKDFLLQSYKEQKVELSSKIRELQEKFSSQVPNIL >ORGLA02G0188700.1 pep chromosome:AGI1.1:2:18335777:18337510:-1 gene:ORGLA02G0188700 transcript:ORGLA02G0188700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASNPTQDMIDITGHVVHDDVSYDKDVLEIKLPDTVVTSDYGGNFVKDVCIDEGVLPHRKISAEKKLDEKSPPNFDFLMIDTNSDLTYGGKGDAKKYAHGQKPKTVLLPVGFAADDNTEKQCDLESRDTASDISEKKISLHELLKLESAEESKERLKLESAEESQTQHQSTTSAINEKNMPPVHEEAIAQVSTNDCNDVATASKTDELITSNVSSINNTNGSSATISDRHDATAALDKPMSTAETTDGLIGSKEFNEVGTAEAMPDALTSSSSSEVQPSEKSNDHPESFTSEPIADPQDENAVATSSSPHVVESSDANRQMNNKNSDNDGATDVHDFNQTDSESCADATNNGRISTSSTDAQKDSTHVDELDVPDNNAKGKSLIGNGYPLEPCSLGPSIMCNPVSTSGHIGNISIRSDSSTTSTRSFAFPVLQWDWNSSPVRMARAERRRNKRRRGWNKGFLCWKF >ORGLA02G0188600.1 pep chromosome:AGI1.1:2:18331994:18332465:1 gene:ORGLA02G0188600 transcript:ORGLA02G0188600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIMSCRRTSSLLVIALVISLLLLDRPIAHARHLKNTSMSSRGSSTERGLEDSKKKLDEEKTKKVEAVQVGSNSDHGGSPDVHQFAKIVVVERRGPTPHPKKHNL >ORGLA02G0188500.1 pep chromosome:AGI1.1:2:18329260:18329724:-1 gene:ORGLA02G0188500 transcript:ORGLA02G0188500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLRPNRXQSTCXASPSPLLPFPSPPSQCRQRAAGGERRQRPPLSVSSGRQAATPLSPPAAQVMQAHLIVTGSTPPPSPLASGSPSLSASSSSAGGKSAGPGPAMARTNSIAASARSSPSFFVLLFSFSYFLKDCSSELMFMYIINLLFEEV >ORGLA02G0188400.1 pep chromosome:AGI1.1:2:18315024:18328216:1 gene:ORGLA02G0188400 transcript:ORGLA02G0188400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium ion binding;thiamin pyrophosphate binding;hydro-lyases;catalytics;2-succinyl-5-enolpyruvyl-6-hydroxy-3-cyclohexene-1-carboxylic-acid synthases [Source:Projected from Arabidopsis thaliana (AT1G68890) TAIR;Acc:AT1G68890] HRLLPLPVLPGTFTTPPPPPPPPPLLSPRRPLLAPRRRRCLCGGGGGGLLLLRAVAARRAGIVIDVDEVGEVGDRDLPVDVSFTRRLPPVLTLGDGLAALRRAGEEVKACPPAAAASGIIRFEVLVPPSTKALKWLCTQFKRSSLFPQFYLSRKQTTDSSIQLEISGAGSAICFHGSSRVDNGFDLISRYLSFNSHLIRAYGSVGVKYDKELLSLEERIGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFSQIWSSYDSSATICYENMVTSYIGESRMSESRNTQLVYLDAEFLAVIDGKAVTEKENCPTSDQSFVRFSPQFLFCANMDLCLQSNKIESFIRRCSNINLAWASFIVEEFVRLGFTYFCIAPGSRSSPLALSASVHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELQDVGANQAINQVNHFGSFVRHFFSLPPPDDHIYARMVLTTVDSAAYYAMQAPQGPVHINCAFREPLDYGYQDWSVDCLKGLDKWFINREPYTRYLGMKMVSALGNYSCSVMEVLEIVKNANQGLLLVGAIHTEDDIWAVTLLARHLSWPIAADVLSGLRMRKVQKSIPGLDKSICFIDHIDQILLSESVKSWKTPDVIVQIGSRITSKRVGTYLESCSPSSYILIDAHPCRHDPSHVVTHRIQATITEFAASLCQCNFQTKTSRWSDILMVLNSAVSQEIMFQVHSECSLTEPYVAHVIGEALYGDATMFIGNSMVIRDLDMFGKGWIDHSTNANNAMMHHFPGFLGAPVAGNRGASGIDGLLSTSIGFAIGSNKHVFCVIGDISFLHDTNGLSLLNQRTQRKPMTVIVINNHGGAIFSLLPVAKTASLQILEKFFYTLHDISISKLCAAHRIKHILVQTKAELHDALVKSHEGHVDCVVEVENRIVDNANFHRIISMFTDHTATMHLAYLLGGPYCKDGVNGFSVGRIHAAEYMFYRIQLAAPRTSGISESSFFHEGFILKLCVGDSIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTTLGIPPSSVFPSVKCGLEMAILNLLESQRIDRSYGIFTGSNVVEYNQSSTASIQICALVDSCGTPMDVTLAVVKLVAEGFTTIKLKIASFXNLPKVGRRENPAEDAAVIQKVREIVGYKINIRADANRKWTYEQAIDFGSRVKGLCLQYIEEPVDSVNDIIKFCENSGLPVALDETIDNLTGDVIPKLHQFSHPGIVALVIKPSVVGGFEAAAYIAKWAHMHDKMAVISSTYESSVGLATYIQFAHYVDRQNDITSRIKNKGSCGNVAHGLGTYQWLREDVSDQKLKIHVPPLGDGIRASAEDAHGYLQHLVINDKKIERTYSEEKLRSYFIQVDGDNFSYQVKLQEGGDCTHEKVILFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESEILQHDVENSNQISFSVQSVADLLLKLIRNITDGAVVVVGYSMGARIALHMALNQNHKISGAVIISGSPGLRDEASKRRRSAIDRSRAHFLSSCGLENFLETWYSAKMWASLREHPKFDSLVRTRMKHNNIKALSKVLADSSIGTQKSLWEDLKHLKSPLLIVAGEKDPKFKEISQQMCREIRKHKDRESDGLCEMIIIPDSGHAVHVENPLPLVRAIRKFLVRDVPDVISK >ORGLA02G0188300.1 pep chromosome:AGI1.1:2:18308259:18312243:-1 gene:ORGLA02G0188300 transcript:ORGLA02G0188300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAAAAGRLLAAVDWEREAYPAYRDFFALPLFAVFFLVVRYLLDRFVFEWIGRKLIFGKEKVDYEKEETRKKIRKFKESAWKCVYFLSGEILSLSVTYNEPWFTNTKYFWVGPGDQVWPDQKIKWKLKAVYMYAAGFYTYSIFALMFWETRRSDFGVSMSHHVATVVLIVLSYVFRFARVGSVVLAIHDASDVFLEVGKMAKYSHCDLLANVAFLLFVVSWVLLRLTYFPFWILRSTSYEVLLTLDKKKHNFDGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVRQIKTRNVGDDVRSDSEGEDEHED >ORGLA02G0188200.1 pep chromosome:AGI1.1:2:18301385:18301627:1 gene:ORGLA02G0188200 transcript:ORGLA02G0188200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSFLCPIFSFLSCKSRRYEDDDDDGELSGEWPARYGSKVRSSDEDYGAWWVGERDVDRKASDYINSFHQRKQVAAA >ORGLA02G0188100.1 pep chromosome:AGI1.1:2:18289407:18290100:1 gene:ORGLA02G0188100 transcript:ORGLA02G0188100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMMASLSAVAPGRAAAAAPRRGSLAXXXXXXXXXXXXXXXXHCGEGCGCRGGAGAEGRRAMMFAAAAVALSAIGAGAGGASAAFAESDVKRGTPEAKKKYAQICVTMPTAKVCHN >ORGLA02G0188000.1 pep chromosome:AGI1.1:2:18280149:18288528:1 gene:ORGLA02G0188000 transcript:ORGLA02G0188000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;sulfuric ester hydrolases;catalytics;transferases [Source:Projected from Arabidopsis thaliana (AT3G01380) TAIR;Acc:AT3G01380] MAGRARPQPQPQPHSPSASTRRRERWLVVLGVALHAVYMLSIFDIYFKSPIVHGMAPEPPRFSAPPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKVVETVSIVLPIDILGYLVLAVACGLLYLRFIKDLFCVENLGYTDGRPILLSLILYSIKVDTLSRLAVQILFPYSAAAYLTVPGVLIPMNMKTLRQFQGLLNRSFEDAKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSNIYLNNVKVVDQIAESMYNLMESYFNDNQTAYVFTADHGMSDKGYTCGSAMPAEFCWKLTYALFEIKQEMKQSSSLYFKPFKPLANYSLVLDQIEDLISAKDYENAMKHSEELRSMALAGLHYFQTYDWFMLMTTITLGYIGWMVNLILHVLQSYTSFPANLQKRTQVYAKNTSVKVYIVGCFIMGFSSIILLLEKSPLLYHAYLFMTIFLWTRIVQNFEFIKAVWRELSNMPFKYTMNLLNISVIALFILEFLVMSFFDRKLYTWCFLILGILASSCVAIFIQASSALAVYVWLSCWFLSLFTLMPAEIPENNNLVIFSGALIILTAMASRWMATNNNNFWLYLTRANKQDPQFSKLFFVQVVFVAISSIMVWISTSHRSQNKQLHPLHQMINWLIAGFAMVLPLFSPSSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFAMVLMGWIFVESANLYCSEQNGSARRSSIVEGSIFGYDERCLQLSDLRIPLLFTILFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFMLVICTFSAITKVVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIFTKDIEVSSRQLSSRKVM >ORGLA02G0187900.1 pep chromosome:AGI1.1:2:18269164:18273294:-1 gene:ORGLA02G0187900 transcript:ORGLA02G0187900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGGGHGGGADDGMVVDYRGNPVDKSKTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGDLHLSNAKSANIVTNFMGTLNLLALVGGFLADAKLGRYLTIAISATIAATGVSLLTVDTMVPGMRPPPCADARGAGAHLRCEPARGGQLAMLYAALYTVAAGAGGLKANVSGFGSDQFDGGDPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRGWGYGVSAVAMVLAVAVLVAGTPKYRYRRPEGSPLTVIGRVLATAWRKRRLPLPADAGELHGYHTSKVAYTDRLRCLDRAAIMEADLAASPAKTNQTSAAPAATVTEVEEVKMVVKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRHLRPGAAPGGFAIPAGSLSVFLFLSILLFTSLNERVLVPAARRLTRRPQGLTSLQRVGAGLVLATVAMATSALVEKKRRDAANDGGGGGMISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLSMGFFLSSFLVFAVDAATRGAWIRNDLDAGRLDLFYWMLAVLGVANFAVFLVFARRHEYKQPAGTAAVVVAPAAAKDGGAGEEKEMDDFVVVKEAVEGMDV >ORGLA02G0187800.1 pep chromosome:AGI1.1:2:18264856:18265158:-1 gene:ORGLA02G0187800 transcript:ORGLA02G0187800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVVAPRMMMMEFWMIGKLLPMHSQWMTTIITRIQCRQIHQLYLHLVQCLLMQQQGKNQSRVVQELGAQMMHSVHRVYPASPNKSAFQLAWVTVGWQWG >ORGLA02G0187700.1 pep chromosome:AGI1.1:2:18254816:18260594:1 gene:ORGLA02G0187700 transcript:ORGLA02G0187700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQVEGMRKAYAEIMLNMAQESAARVLAAERRAAALAGGLAAAREDGVAALVRLKAIMEARIKEAESQSLANINKIKELQEQLHGAQDTVASLQIELQRSNTELEQARSTLAEERRNNLRTCNKINSNKNSSSSSRKHLQGRVSSKSKNMAKESGAVENLETLYRCDSDLGSFMARTKNPELYRNGCTQRIRAIKQRSPNSDTSLVENSKQTSALNSRSKTGKTDTNRNPQSTRSIMEQILQTKFLANCKRKRGRRSRPSYMHDNSGEHGQTEYKSSDTSDGNGCLLLLQALEQDLSPLKASSGSVGEGLADQKDELLKDEKDADLNLHPASPGPNDVLSVNKMQMKRRKRSKTIRVFESDFEAKAAPELGNTLPKSSNNNSMLNSEQSSDPPAGNNGPVLQCTAENLMHVTDAANADQLKSENSSPLVPQSTESEIGDEGNSRVDHKECRRPDNNAIVLEEVNVDKSCIILASDGADSSIVSSLDKEENAKEATSGVAVQAEGARYIKYTFNRRKRKAAPLDSTPQGAVPEKSSSVVCPSENHEPHAKPETQDLVIESPPGDNQLIHVAQQLILLSAQK >ORGLA02G0187600.1 pep chromosome:AGI1.1:2:18249549:18251370:1 gene:ORGLA02G0187600 transcript:ORGLA02G0187600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1P1M0] MDMAAACVDDVGKPRASRKVCVIGAGMAGLAAARELRREGHAVTVLEQAGDVGGQWLYDPRADDDDEEDPLAAAAAAKPVRVHSSMYASLRLLGPREVMGFSDFQFVPRKSAAGGGGGGANPGRDPRRFPGHREVYLYLRDFYRAAGLTDAVRFNTRVVRVAMAPPPCRGGPGDAMKWVVRSTDAGLWKRCSDDQMAEARCVEEVFDAVIVATGHYSQPKLPSIQGMGDWKRRQLHSHWYRVPDPFRDEVVVLVGCGDSGMDIALDLLAVAREVHLSAKSVEAAATPAMSKMLARHANLHLHPQIERLCDDGRVVFAGGGGVVAADTVMYCTGYRYSFPFLDTEGKVAVDDDDNRVGPLFEHTFPPSLAPWLSFVGIPRKVMVPWFFEAQGRWIAGVLSGRRALPSEEEMTRSVEEFYRARELAGVPKAHTHDVEPHKMYELGEKYCDFPRTEEWKRELMAIISRNTSDDMETFRDRDDDSDNVRRCLQEWYALAEHQAQDEEDPAAAAAQAPVHSSL >ORGLA02G0187500.1 pep chromosome:AGI1.1:2:18242739:18243587:-1 gene:ORGLA02G0187500 transcript:ORGLA02G0187500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGQAAASLLTKLAQAAAGLGIAASAASTALYTVDGGQRAVIFDRFRGVLSETSSEGTHFIVPWLQKPFIFDIRTRPHSFSSTSGTKDLQMVSLTLRVLARPDVDRLPDIFTSLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRDSLIRRAAEFNIVLDDVAITHLAYGPEFSQAVEKKQVAQQEAERSRFLVARAEQERRAAIVRAEGESEAARLISEATAAAGTGLIELRRIEAAKEIAGELARSPNVSYIPAGDSSQMLLGLNGAR >ORGLA02G0187400.1 pep chromosome:AGI1.1:2:18235464:18238115:-1 gene:ORGLA02G0187400 transcript:ORGLA02G0187400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASEDLEQRSRYLSSLIRRTKLHAAPALAPPPPTPPPEPETKLQLEMEPQPERVEEAAKKPAAVAAVVEKREVKGGDGGGGQAGKGKGKGKGKEKEMEKGKEERKVSVRVRAADMPLAMQRRAVRLAFDAVAAMPRLDSKRLALALKKEFDATYGPAWHCIVGTGFGSYVTHSVGGFLYFSVDKVYVLLFRTAVEPLGHPQ >ORGLA02G0187300.1 pep chromosome:AGI1.1:2:18229712:18231507:1 gene:ORGLA02G0187300 transcript:ORGLA02G0187300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRCTLIKEYRGKIETELSKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >ORGLA02G0187200.1 pep chromosome:AGI1.1:2:18228017:18228673:1 gene:ORGLA02G0187200 transcript:ORGLA02G0187200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRSDSSGGDEPRAPAAGDGGGGGGDEPAKRQRTDPSSSSSQGEASSSSQPPPQQQQEEQPPEDAGEGEQPRVPDLGEDLVFEVLRRAEARTLAAAACVSRGWRQLAEDERLWEAACVREWANLGFSERQLRAVVLSLGGFRRLHAVYIRPLQRRGAGVPRQQGRRQPPVRLGRDQVQLSLSLFSIGFFQNMPCPKKDGPKKDKGNDSDKNGGGQCG >ORGLA02G0187100.1 pep chromosome:AGI1.1:2:18222027:18226005:-1 gene:ORGLA02G0187100 transcript:ORGLA02G0187100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma-membrane choline transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G25500) TAIR;Acc:AT1G25500] MSSSEEGESANPSPCPAGPAAAAAVAGAAGVGVGAAAWPRRRCRDVFWLVVFLLHLLVFGGALALTGLNRFGQADRFNIDRFTNLTAAPRFAGSPEPAREAPPPPSLEAEEVTPKSELTESYWPYYGAAGAVGTALAWAWLAAAAGKKDGGRVVMRAAVHSLTAYLAVVSVLCFWGKHFFWGVAFAVGGALHFLYVMSVLDRFPFTMLVLQKAVRMVWELPDVMRIAYAFVLVMLCWMALWSFGVSGILAFHIPNGGQWWALLIFSVSLFWTGAVLSNTVHVIVSGMVFLVLIHGGQAAASMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGNNECLLCCVDFLFHIVETLVRFFNKYAYVQIAINGQSFNRSARDAWELFQSTGVEALVAYDCSGAVLLMSTILGGLITGTCTGVWTYFKQSDKAIMVGSTSMLMGMILVGVTVVVVESAVTSIYICYAEDPRLIQRWDPDFFDQMSEALHQRLQYRSARAHQILNSRLDQLPNTSSI >ORGLA02G0187000.1 pep chromosome:AGI1.1:2:18212090:18212923:-1 gene:ORGLA02G0187000 transcript:ORGLA02G0187000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQAAPVPWSTDLFDCFDDSSNCFMTWLCPCITFGQIAEIVDRGSSSCGTSGSLYALVFLVTGCSCIYSCIYRSKLRSQYGLQETPCPDCLVHLWCEPCALCQEYRELKKRGFDMSLGNRKFNRWHANMEKQGQNPAATMAPEMYPGMTR >ORGLA02G0186900.1 pep chromosome:AGI1.1:2:18204053:18204730:-1 gene:ORGLA02G0186900 transcript:ORGLA02G0186900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKPEDVGGGVTTAFAMQGKVPLAAWSTGLFNCFDDCGNCCVTCLCPCITFGQIAEIIDRGSSSCGTSGALYALVMLLTGCNCVYSCFYRAKMRSQYGLQEKPCADCPVHFFCEPCALSQEYRELKKRGFDMNLGWHANMERQGHKPAMTMPPHMFPGMTR >ORGLA02G0186800.1 pep chromosome:AGI1.1:2:18191923:18193542:1 gene:ORGLA02G0186800 transcript:ORGLA02G0186800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVHFWQREAASLRQQLHNLQENHRQLMGEDLSGLNVKELQSLENQLEISLRSVRTKKDHVLIDEIHELNRKGSLVHQENMELYKKISLIRQENAELYKKIYETEGPSEVNRDSPTPYNFAVIEKTNVPVQLGLSTLPQHSDAEQSTAPKLGLQLNP >ORGLA02G0186700.1 pep chromosome:AGI1.1:2:18185290:18186213:-1 gene:ORGLA02G0186700 transcript:ORGLA02G0186700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSTAVERGVGLVVEVTLAVAGLVVVVAGGRVKSGIPSANEIKIRIFTLFVMKAPVGEKARARMDEERSIIVL >ORGLA02G0186600.1 pep chromosome:AGI1.1:2:18172402:18179634:1 gene:ORGLA02G0186600 transcript:ORGLA02G0186600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 homolog 13 [Source:Projected from Arabidopsis thaliana (AT5G64940) TAIR;Acc:AT5G64940] MSAAAGAATLVASSASLSVPDHLRLRRFRLHLHPRPPPPHPQLRSRSLRQRRRFVLAVLQEDRSPSAPDEEARRYGLNGSAPSSGVGYDDAAVEAYLGTNGNGRGNGAAAVVKPAAESRSSAALVSAGPGPGDDERRRKERVEEIGREDAWFKQSGGDSKPEVSVAPGGRWNRFKTYSTIQRTLEIWGFVFKFIFRSWLNNQKFTYRGGMTEEKRVIRRKVLAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVSIIEEELGASVNKIFDRFDFEPIAAASLGQVHRACLNGKEVVIKVQRPGLKELFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAFNAEKFSENFKNMDYVKVPEILWEYTTPQVLTMEYVPGIKINRIKQLDKLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLEAFYGVYEKDPDKVLQSMIQMGVLVPTGDMTAVRRTAQFFLDSFEERLAAQRKEREMATEELGFKKQLTKEEKFEKKKQRLAAIGEDLLSIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYAMELLRFNEAGVEVIVKDARKRWERQSRAFYNLFRQPDRVEKLAQIIERLEQGDLKLRVRTLESERAFQRVAAAQKTIGYGVAAGSLVNLATVLYLNSIRLPATIAYSLCAFFGLQVLVGLLKVKKLDQQERLITGTA >ORGLA02G0186500.1 pep chromosome:AGI1.1:2:18168732:18169407:1 gene:ORGLA02G0186500 transcript:ORGLA02G0186500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICGMTYSRGAGCQANDAGCASSHERRQRAGRSCARDVDGMGYDTGSIPRFASSHARRWRRTAVGAWLPLRRGSLPSSPFAGVPLCRDPPPQLVAPAAEMARRSGPAEVAKAAVSLTRMNRSAEFETASTADDAKPTFACVLLPLPPPVLPRLPMWLYV >ORGLA02G0186400.1 pep chromosome:AGI1.1:2:18162951:18165041:-1 gene:ORGLA02G0186400 transcript:ORGLA02G0186400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEDNPESFFAAAPPLRDADAVAARLGEFIARNSSAAGAGGGGRRIVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTEYFLKAGYAVIFLHRRGSCQPYCRFLPDDSFLKFFDVDAESKVQVAECHAPVVKKAIGDYCKAIEGGYLLKLPFTTIFEYLQLLKMVATSISSAGPLGMFYLAAAVSDFYVPWDSMAKHKIQSGGGPLDMRLSQVPKMLSVLRNQWAPLAFCISFKLETDSDILIQKADMALNKYKMNIVVANLLATYKEEVIIVTDKERSTIRKMNKDEDLEMQIIKILSQNHSKYICGSTNGCVQSPD >ORGLA02G0186300.1 pep chromosome:AGI1.1:2:18159989:18160255:-1 gene:ORGLA02G0186300 transcript:ORGLA02G0186300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMGGDFVEAYVLKNAYKEKLRRMEAAEAAAAAAVAGKKDVAAAADGSAGQKKAAAGGGMFGFMKKKVHPRAAETAPAPAMETSSA >ORGLA02G0186200.1 pep chromosome:AGI1.1:2:18156411:18157793:1 gene:ORGLA02G0186200 transcript:ORGLA02G0186200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVSSAKINARSMDRHEKSGFGSNKRVKISTYECDSFQRIIPTLPDELSFQILARLPRLYYLKLKLVSQAWKVAITSSELSQLRRELGLTEEWLYVLTKLERNKLDCYALDPLFRKWQRLPPMPSFVSEEESTGRTQSSWFQMWNVVGSSIRIADFIKGWFRRRYGLDQMPFCGCSVGVADGCLYVFGGFSRAVALNCVFRYNPCLNVWQEVSPMISGRAFSKAALLQSKLYVVGGVSRGRNGLLPLRSGEVFDPKTGIWSELPEMPFMKAQVLPTAFLADVLKPIATGMASYKGKLYVPQSLYSWPFFFDIGGEIYDPELNSWETMADGLGDGWPARQAGTKLGIVVNEELYTLEPSSSLDSGQIKRYDSEQDTWKTIVPQVPVHDFTDAEAPFLLAGLHGKVHVITKEANNNLQVIQAVLQNNIENSPSEENIIWNILASKNFGSAELVSCQVLDV >ORGLA02G0186100.1 pep chromosome:AGI1.1:2:18151725:18153239:1 gene:ORGLA02G0186100 transcript:ORGLA02G0186100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPTNKQISSEDRVRGARGKRHHHSSDEWSMEHAEEHEHGRGGGERDDAAEPEAEQPELEQEELSDSESVAESIEISDLKKRMWKDQMLLMKLEGGRPGGGGGRGGGGLAAAGAGTSSEGQLEEETPEARCRRKAMLRAQDGVLRHMLKMMEACNARGFVYGVIDEAGEPMSGSSDSLRGWWKDNVSFDRAGPMALIGPAAAGDSPQAGGGGLHRLQDIQDSTLGSVLSALIQHCEPPQRSFPLERGLAPPWWPTGEEPWWGTQGETQAHQGAPPYRKPHDLKKAWKVSLLSAVIKHMSPRFDQMRKLVWQSKRLQQKMSAKESDTWSKVIRQEEALDRRLKTSLHITPLDADGGEEDDSDGLEDVVRGAAAQDKRKREYTRSGSGSSSGNSGGGKFPRGGSGGADHHQLAVMLPELAAAADQEGRSPINELMKLYYSCLQQEEGAADGGEAGGEGCDVAAAALAVPPEVLAGVDEVAQDVLFDLIGSYPEVDDVLHFMDE >ORGLA02G0186000.1 pep chromosome:AGI1.1:2:18148939:18149512:-1 gene:ORGLA02G0186000 transcript:ORGLA02G0186000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCTPSFGRRQAGGEAPAAVRPRVFLRTCPPGFNEASWEARAGRVGAAGGRGRWTSPMSAWTAWSAMMGSSGGAGSGEEGKQRPRRNELIFLFDWRKEQS >ORGLA02G0185900.1 pep chromosome:AGI1.1:2:18135119:18137887:-1 gene:ORGLA02G0185900 transcript:ORGLA02G0185900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRKTHASTAGLLSWSESPGPDNAAAAAGAAAPPSSRPSLKPAGGITPAMFGAPVTDLEAEDLTKRKMCSGSKMKEMTGSGIFSAQGANGDSETGSGDSNPPSKTSLRMYQQTVTGISQISFSAEGSVSPKKPSSLPEVAKQRELSGTLESEADAKLKKQNSEAKSKELSGSDIFGPPPEVPARPLAARNLELQGNLDFALPQPRSIHTSVKVSNPAGGPSNIMFGEEPAVKTAKKIHNQKFHELTGNNIFKEEAPPGSAEKSLSTAKLKEMSGSNIFADGKVASRDYFGGVRKPPGGGSSIALV >ORGLA02G0185800.1 pep chromosome:AGI1.1:2:18128337:18129740:-1 gene:ORGLA02G0185800 transcript:ORGLA02G0185800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPNIPEAKQEIQSLYRNYALLFDMSSDNAGVDSRIMRRQPAMLIDRSVFLTGSAIWTIALHYKAHIYRWLLQKFSAIQGAPIYCAMILRSLCRRSSLPFFVGISVLTARIYNYVTIHNHIYSPQLCAGHDANLRRDLFIQDTELCNKQTLDSGFLGSKHDCIRLALHFICSTANLKVYTTPKQIGFDGKCKQKNTERGRFWRILSTNEQYLTYIGALVTLQLFLQLSRANITTLLLPMLYQTTSSQRNAAVVGNIVIVLVNSFGILGSDFTTKHHGREVTFTVSAILMVFCQITIPLLVEAQIGLGGGTRILTGYTTATFLLTCVVSYGLSWSWGSLFCTIPGMKIQSAGQVIGMGLSFGLCFVQMQYFLLMLCRLKNAILAYYAMWIWS >ORGLA02G0185700.1 pep chromosome:AGI1.1:2:18096598:18100730:1 gene:ORGLA02G0185700 transcript:ORGLA02G0185700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSIANDGEAAAGGNGGGDEVTFTVVMSCLTAGAGGLLLGYDIGVTGGLTQMESFLQAFFPEVLRKMSSAKQDAYCIFDSQVLNAFVSSFYLSTMVASLVAGHLTKTLGRRNSLLIAGVLFFAGTLLNLAAVNISMLIIGRILLGVAVGFSSLAAPVYLAEISPARWRGAFTSSIGLFANFGFLMADMINYRATTMARWGWRLSLGADVVPALIVIVGAASIPDTPNSLALRGRLDEARDSLRRIRGAGAAAADVDAELKDIVRAAEEDRRYESGALRRLLRREYRPHLVMAVLITVFYEMTGGVVVGIFTPLLFYTVGFTSQKAILGSIITDVVSISSVAVAAVVVDRRGRRTLFMVGGVVLILCQVAMAWIFGAELGTDGGRAMPRGYAVAVVALVCMYAAGLCVSWVPLSSVVTSEIFPLEVRSAALGLGGAISSALTFMQSQSFLEMLCSFKYGAFAYYAGWLVMMTAFVAAFLPETKGVPIESMGAVWAQHWYWKRFVKLAPAKQADGPE >ORGLA02G0185600.1 pep chromosome:AGI1.1:2:18089259:18095086:1 gene:ORGLA02G0185600 transcript:ORGLA02G0185600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSIANDGEAAAGGGGGGRGEVTFTVVMSCLTAGAGGLLLGYDIGVTGGVTQMESFLQAFFPEVLRKMSSAKQDAYCIFDSQVLNAFVSSFYLSTMVASLVAGHLTKTLGRRNSLLIAGVLFFAGTLLNLAAVNISMLIIGRILLGVAVGFSSLVIDRYQPINTFVHTYIYLFVGREVFMRSLHVFALLLWSQAAPVYLAEIAPARWRGAFTASIGLFGNLGFLMADMINYRATTMARWGWRLSLGAGIVPAVIVIVGAAFIPDTPNSLALRGRLDEARDSLRRIRGAADVDAELKDIVRAAEEDRRYESGALRRLLRREYRPHLVMAVLIMVFFEMTGAIVVAIFTPLLFYTVGFTSQKAILGSIITDVVSIVSVAAAAAVVDRHGRRRLFMVGGAVLILCQVAMAWIFGAQLGADGGRAMPRGYAVAVVALVCTYTAGLSVSWGSLSSVVTSEIFPLEVRSAALGLGGTISSALTFMQSQSFLEMLCSFKYGAFAYYAGWLVMMTAFVAAFLPETKGVPIESMGAVWAQHWYWRRFVQPAPAKQVDRPE >ORGLA02G0185500.1 pep chromosome:AGI1.1:2:18087529:18087777:-1 gene:ORGLA02G0185500 transcript:ORGLA02G0185500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGRGLPERLGGAAASPHGAARQPGCRCGALSAGQRGRRSRSGSSVTGIGASPDGNAKGAGDGGSSSSLSVSTLTLPEYSWLD >ORGLA02G0185400.1 pep chromosome:AGI1.1:2:18085783:18086434:-1 gene:ORGLA02G0185400 transcript:ORGLA02G0185400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVHGFSLDWPQWTTGGKKDRKGKEYRGVHAVMQQHDQLVVMAASPAAPEAERLTAAGVAKEELVAAVRIQAAEVMRAAAPRRGEGQHVLLGTAGETRAAPQGLADAVDVDGLERADDERPPAGSMTTPPRRPRPVGANTALQRLQLPPPTATTRRRADDAAAVDLEWTRMV >ORGLA02G0185300.1 pep chromosome:AGI1.1:2:18078966:18083119:1 gene:ORGLA02G0185300 transcript:ORGLA02G0185300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGAMVAAADAGAGAGVAPGYSSEITFTVVMSCLMAASGGLIFGYDISITGGLTQMQSFLEAFFPDIWAKMNNAEQDAYCIFDSQVLTTFVSSLYLAGVFACLIAGHVTRRVGRRNSMLIGASLFFVGAILNCAAVNIAMLVIGRILLGFAVGFTNQSAPVYLAEIAPARWRGAFTSIFHFFLNVGMFVADLVNYRANTIPVWGWRLSLGVAVVPAAVILVGAAFIPDTPNSLVLRGKLDEARASLRRIRGAAANIDAELKDIARAAEEDRQHHTGAFRRIVRREYRPHLVMAIAIPVFFELTGMIVVTLFTPLLFYTVGFSSQKAILGSIITDVVSLASIAAAALTVDRYGRRTLFMVGGGVLLVCLTGMAWTYGARLGSDGGKAMPRGYAVAVVALVCLYDAGFGISWGPLKWIIPSEIFPLEVRSAGQSMSEAISLALTFAQTQSFLRMLCSFKFGAFAYNAAWVVVMTAFVALLLPETKGVPIESLGAVWAQHWYWKRFVKPPPSTAAETKQADGAPA >ORGLA02G0185200.1 pep chromosome:AGI1.1:2:18073093:18073908:-1 gene:ORGLA02G0185200 transcript:ORGLA02G0185200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKLVDVAKEGQKGLLMLADLAQHASIMGEMANLYTERYLQKGAYRQTPETGIQWVMRLMDRPRYFYKMFRMSPEIFHALHDLLVSTYGLSSSNNVSSIESLAMFLWIVGGPQSFSQVESRFTRSLWTVHTKFHEVLKCLRKLAKDNITPRDPTFSTEHGRLREDRFWPYFKDAIGAIDGSHISVVVPLDETISHTCRHGYTSQNVLAICDFDMRFIFAVAGWPGSTHDSRILSHALANFPSFPMPPTGINGSFINFNICTLVLLFALLT >ORGLA02G0185100.1 pep chromosome:AGI1.1:2:18066252:18070364:-1 gene:ORGLA02G0185100 transcript:ORGLA02G0185100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAGSGLRSLSSAASGLWDRLSVLGAGVSKLEKALGDHFPEGERYFGLENFGNTCYCNSVLQALYHCIPFREQLLEYYATYKNTEDSEDNLLTCLADLFAQITLAKKRTGVLAPKRFVQRVRKQNELFRSYMHQDAHEFWNFLVNDIIDILEEDCRTANSSPETTPEEVSNRAANALANGARERPLVTLVHRTFQGILTNETKCLMCDTITAKDETFFDLSIDVEQNSSLTSCLKSFFSTEILNGEDKFFCDKCSSLQEAHKRMKIKKAPHVLVIHLKRFKYVEQLSRHKKLSYRVVYPLELKLGSMSEDADCEYSLFAVVVHVGSSPNHGHYVSQIKSHGNWLSFDDDTVQISEESTLQTFYGSSREHCGGNTDHGYILFYERLGGKS >ORGLA02G0185000.1 pep chromosome:AGI1.1:2:18060291:18065408:-1 gene:ORGLA02G0185000 transcript:ORGLA02G0185000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G65000) TAIR;Acc:AT5G65000] MGSSATPSTAASAPGRRKVALYLALLTLQYGAQPLISKRFVRQEVIVTTLVLSIEVAKVICAVILLVAEGSLKKQFNNWSITRSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLFTAFFTYLILGQKQSPKQIFALTLLIAAAVLLSIGESSSKGSGGGNSDYILLYGIIPVTVASVLSGLASSLCQWASQVVLVIVLSMKHPIAIVDPFNKMQVKKHTSYMMTIEMSFIGSMCLLASTSQSPDGEAIRKHGFFHEWTLLTVVPVLMNAVGGILVGLVTTYAGGVRKGFVIVSALLVTALLQFIFDGKPPSLYCLIALPLVMTSIFIYQKYPYVDRKKKD >ORGLA02G0184900.1 pep chromosome:AGI1.1:2:18055789:18058843:1 gene:ORGLA02G0184900 transcript:ORGLA02G0184900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPSSMSGAGAGEAGVRTVVWFRRDLRVEDNPALAAAARAAREVVPVYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGASRLVTRRSADAVLALIELVRSIGATHLFFNHLYDPLSLVRDHRVKALLTAEGIAVQSFNADLLYEPWEVVDDDGCPFTMFAPFWDRCLCMPDPAAPLLPPKRIAPGELPARRCPSDELVFEDESERGSNALLARAWSPGWQNADKALAAFLNGPLMDYSVNRKKADSASTSLLSPYLHFGELSVRKVFHQVRMKQLMWSNEGNHAGDESCVLFLRSIGLREYSRYLTFNHPCSLEKPLLAHLRFFPWVVDEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGIELGSNYPLPIVELDAAKTRLQDALSEMWELEAASRAAMENGMEEGLGDSSDVPPIAFPPELQMEVDRAPAQPTVHGPTTAGRRREDQMVPSMTSSLVRAETELSADFDNSMDSRPEVPSQVLFQPRMEREETVDGGGGGGMVGRSNGGGHQGQHQQQQHNFQTTIHRARGVAPSTSEASSNWTGREGGVVPVWSPPAASGPSDHYAADEADITSRSYLDRHPQSHTLMNWSQLSQSLTTGWEVEN >ORGLA02G0184800.1 pep chromosome:AGI1.1:2:18046454:18048816:-1 gene:ORGLA02G0184800 transcript:ORGLA02G0184800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHQREADYPAGQIGIEGAKKVTKPGHVMMRRLMESLLELDXGGAPRSIWTPRLCHERRMRHSGGRASVRLXTVRGATAQLARIPFHLPSHAPVVRPRARMRVRARVKRIRGRAPLRSCCPGPGRTDGALLSAWFVSTIFFPACHARPRGLSRGCRHKALLAASDLFFGRDSDGSDGXRXLWPVVAYLRXLCACYXXXNPMAMHAPDGDLQCDSIWPXQRXFATALLLRACCLWADEHKHLRGEDGEEGA >ORGLA02G0184700.1 pep chromosome:AGI1.1:2:18037215:18038360:-1 gene:ORGLA02G0184700 transcript:ORGLA02G0184700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTHLLAGGGSVAPPTAATAPTLAMEVGDPSGALVGGAGGAGAGGGDGDARDALLRLVALGDRMAAVRRRIAASISGESGPLSSSDIHSVSSEISSAAHLVVLNAASLLSSTVASLPAPPPPPATTVQELPPVVVSVPQEPPQEAAKGDGDYDVVELDASELLAEHVHFCDICGKGFRRDANLRMHMRAHGDRFKTLDALSRPGQPKPPAGREVRFSCPYAGCNRNRAHRRFRPLKSAVCARNHFRRSHCPKLYACERCGGKKRFAVLADLRSHIRHCGEEAQWRCSCGTTFSRKDKLFGHLALFEGHTPAIAEPNKGVATAAAEASISMMEEGGVEANCDREEDEEGGFDPKFFQEWMEELRGGAVAPNWSVPSEAGH >ORGLA02G0184600.1 pep chromosome:AGI1.1:2:18028348:18030026:-1 gene:ORGLA02G0184600 transcript:ORGLA02G0184600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRPRSGSWGGGTRSSPVSTPRSRHERSKSVATIFSLSSPEQVTHWLVQSYGGVVMEMEEKKTSCTLQEVDGLRQSDGGVDKEVVGGDAACSDDRVRLLEREVATAKAMEMKMLESLIQQTKEMEQAKIALEEAKLEVATLRQQQGRAPAAEPAAAAQWSVMDLMFGGVDEEINGLRAKLRAAVQAEEKSRKAADDLTAALSAVTMEAKQVKAWLSDAQAELEDANAEVDRLRESLHAAEAELWSTTEQLDGLTSDWKEAAVSWRAREKVLLARVRAAEDEAHAAGQENVELAELHRVVDDENGSLRRALERAVDEVNAANESLELATGENSKLQDAVAEKESAMEALRQENESLKASEAEARGRAKELDGQLAAARKAADHGHGAGELAAAADPLSSLHKWRGDMHGKLSAAFLDSNRVMAGRKDRMFASLSNIAELKSAAAAAAMDDFDYEFDHFDGGQYGDLDHAMKQKKRRSILRKFGDFFRRRSLYKRNLAPVIHY >ORGLA02G0184500.1 pep chromosome:AGI1.1:2:18011479:18015370:-1 gene:ORGLA02G0184500 transcript:ORGLA02G0184500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVTSELLSELHNTVEIRSVQFVKGGAVSKEAKGSISFSPVANSNNANVKFTGLRVAASLKRDGAFPGDGYSGNDNTVLPKSTSVRGQDYPTADSVLPTESVIVPEISNAGLKCVADMFSDEDKDTEQDLDSPTEGFSSISEAIKDIQQGKLVIVVDDESRENEGDLIMAASLVTPEAMAFVVRYGTGIVCVSMKEEDLERLNLPLMVATKENEEKLCTAFTVTVDAKEGTTTGVSATDRAKTVMTLASPDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPAAVLCEIVDEDGSMARLPKLRVFAERENLKIVSIADLIRYRRKRDRLVERSSVARLPLRWGNVRAYCYRSVIDGIEHIAMVKGEIGDGQGVLVRVHSECLTGDIFGSARCDCGDQLAMAMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEDLGLPVDSREYGIGAQILRDLGVRSMKLMTNNPAKYGGLKGYGLSIVGRVPLVTPITSENRRYLETKRTKMGHVYGLANGQASHQTGSNGAKGEH >ORGLA02G0184400.1 pep chromosome:AGI1.1:2:18007998:18008966:-1 gene:ORGLA02G0184400 transcript:ORGLA02G0184400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IALATTASLLFAILLAFSVYCFIRRRRQQRARREALLAPPPSDAAGGGGAPGAPVAAGDGAVAGAGEGEGEVLHHAWHIRTVGLDEAAIESIALARYRAGAGMLGATDCPVCLGEFRDGELLRLLPKCGHAFHVPCIDAWLRAHVNCPLCRAHVLHPADADADAGDGERVPPPAGANGGGGGAATDQASSPTDQTADQENPGQQQQGEQHELRVQIDRRDQPSSPEPPRRSPDPRSAQSFRRVASMGSRSPPAPSEDAPEDEQTTTQSSKEKQGSGGDAACCGKAPSGSGRLHHMRRSFSGGGGRRSLPSRHGRTSSSMLPL >ORGLA02G0184300.1 pep chromosome:AGI1.1:2:18003769:18004824:-1 gene:ORGLA02G0184300 transcript:ORGLA02G0184300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAAANVAAFVLLAIAPVAAAPSSPPRSGGDSLSFSDVISISFFMAVFFPVFIVLLAFACLRLFRPLDDDDREPALADTSSSEWSRRGGGGNRAGLDAAEIAALPLVSYRDARRYRIGDARGDPLECAVCLLEFDDDDALRLLPACPHAFHPECIGLWLEKHVTCPLCRANVLDAPPPPPPPPPSLEQDTESPPPAHETVVVIGDAGASGNEEAEAEAEERIRIQCLAGIRRAAGRQALPRSNSTGHERGGGGMERFALRLPEHVRLEILMSHRLRHVTSAVASVRVREGSAHDAGAVRNAVARLLSLFVPGAGWKGDDEGKSSKAAEGSSRRRRDESARGGVGEEKRSE >ORGLA02G0184200.1 pep chromosome:AGI1.1:2:18002820:18003735:-1 gene:ORGLA02G0184200 transcript:ORGLA02G0184200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SENSADLSSSMNHDPPWPVVVPWRATEVGVGWRHGELLDDLGVHGLSSPEPRRAGECPKQAAVALAHPVLPPEHMGVGGRVQVGWERHAEVDALPRVGLDGEHRRVADRWDATSI >ORGLA02G0184100.1 pep chromosome:AGI1.1:2:17998528:17999571:1 gene:ORGLA02G0184100 transcript:ORGLA02G0184100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRARCFCLLLLLLVVGGGFHVANAQASPAPPQTGTRAVNRAVSTVITVVIGVFFVLVFVCVIVNQCCDCDSSAGAGAGQGQSSAARRRRGLDPAAVAAIPVVPYAEVRKHRSGGGGALECAVCLTVFDDGDDLRLLPQCSHAFHPDCIDPWLEGHVTCPLCRANLEKQPAPSPPAVEFSSPAAAAAAAEESRTPEAAAVRVEEVAEASDEEETRRREEEAVELESLRAVRRAARMPRSNSTGHSLCALPAPRAPGPGDGGDHERFTVRLPPHVREEVLKSRRLRHATSLVLGIRGSSREGSSRGGGGSWHGARRWPSFLARTVSWARGGVGADTSAKETPRRDAV >ORGLA02G0184000.1 pep chromosome:AGI1.1:2:17993473:17995263:1 gene:ORGLA02G0184000 transcript:ORGLA02G0184000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDARISWSKTALLTSVVDDFFDVGGSKEEQENLLALMEKYALYTTVNEIGAKASALQGYDITKYLLETTEAEWQRSQYVPKFEEYMECGVTSLTHGATVISGMFFIGVKLTDDIIKHQEYNEIFRLVGTCGRLLNDIRGIEQAILGKLTNGVSLLARESGGCMSIQEAKMEAQKRVDTARRKLL >ORGLA02G0183900.1 pep chromosome:AGI1.1:2:17983888:17985420:-1 gene:ORGLA02G0183900 transcript:ORGLA02G0183900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSELWMTAVATCMSLLLYLTILRRRHASGGRSLSLPPGPTPLPLIGNLFCLGGIFHQTLAKLARVHGPVMTLKLGLTTAVVVSSAEAAREAYTKHDQRLAARPVPDAFRANGFSERSIVFSPSSDPQWKNLRGIHATHIFSPRALAALRGIRERKVRDIVGYIRTVAGEEMCVREVVHNGVLNLISNSFFSMDMADVGSESARGLHGLIEDIIATVAGPNVSDFFPFLRQLDLQGLRRQTGSHLGIVFGLLDDIIDRRMAESRDDHPDKQQHGDFLDALISLASTGKIPRYHITYLLFDVFAAGADTMTTTVEWAMAELLRNPRVMAKVRAEVMDALGGKESFDEGDAASLTYLQCVFKEAMRLHPVGSILVPHLAQQDGVEIGGYAVPKGTTVIFNAWAIMRDPAAWESPDQFLPERFLHKESSSPPLELRGKDYEYIPFGSGRRLCPGLPLAERAVPFILASLLHAFEWRLPDGMSPDDMDMTEKFATVNVLANPLKAVPVVTH >ORGLA02G0183800.1 pep chromosome:AGI1.1:2:17967063:17967784:1 gene:ORGLA02G0183800 transcript:ORGLA02G0183800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSVNLLQDFKAFNTKGAGCNILHQATKRRTRINWTEEENLRLLSCWLHHSTDPVKGIDRKSEYYWKAVADEFNTNAPTNGHKRSIKQLKTHWGDVKREITKFCGVYGRLKTTWGSGQSDDMVMNSAHVVFKKENKDKPFTLEYMWREVKDLPKWRRIVQEESGNKRTK >ORGLA02G0183700.1 pep chromosome:AGI1.1:2:17954285:17962336:-1 gene:ORGLA02G0183700 transcript:ORGLA02G0183700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LATGTGLELPVMQTDIDGIIHIRKIELERDAYGTASSRRAFMAYVSEGLGNLQDWNQVMAYQRKNGSILNSPSATAATIIHGHNYSGLAYLDFVTSKFDGPVPVMYPQNAYSQLCMVDTLERMGISKSFACEISDILDMTYRLWMHNEEELMLDMRTCAMAFRLLRMHGYDITSDGMAQFVEQSSFDDSIHGYLNDTKALLELYKSSQLRCLEDDLILEEIGSWSARVLLEKISSKMIHISELPEVEYALKCPVYAILERLEQKRNIEQFKTKEQLNIEGFKLLKSGYRGVIPNDEILALAVDEFHSSQSVYQQELQDLNSWVAQTRLDELKFARLMPSITYFSAAATMFPSELSEARIAWTQNCILTTTVDDFFDGEGSKEEMENLVKLIEKWDGHGEIGFSSECVEILFYAVYNTSKQIAEKAVPLQKRNVVDHIAESWWFTVRGMLTEAEWRMDKYVPTTVEEYMSAAVDSFAIGPIITSAALFVGPELSEEVFRSEEYIHLMNLANTIGRLLNDMQTYEKEIKMGKVNSVMLHALSHSGGGGGGSPEASMEEAKREMRRILQGCRFELLRLLTRDAGVVPPPCRKLFWLMSKVLHFVYMEKDRYFTAEGMMASANAVILDPLQVTLPPSDSGTL >ORGLA02G0183600.1 pep chromosome:AGI1.1:2:17952841:17953134:-1 gene:ORGLA02G0183600 transcript:ORGLA02G0183600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGASAGRGGEHAAEVEVEHAAEERGRHGRRGERRGEGNHDAPWPLTLAIAPSSANKRKDEAGVSLNQAAVREEEERRWFGERRGTRSRLRFGIG >ORGLA02G0183500.1 pep chromosome:AGI1.1:2:17920392:17923322:-1 gene:ORGLA02G0183500 transcript:ORGLA02G0183500.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLYFGMEYIYLSYFPYXRVRFGVYLLIILPLLRCXVCCXWHLQXDVLFPYYEVLPLTRXXIISPIGSNTNELFKDAYGIASSRRAFMAYVSEGLGSLQDWDQVMAYQRKNRSIFNSPSATAATVIHGHNDSAHCYLDSLVSKLDGPVPVMYPQNAYSQLCMVDTLEKMGISNNFSCEIRDILDMIYRLWIHNEEELMLDMGTCAMAFRLLRMHGYDISSDGMAQFVEQSSFDDSIHGYLNDTKALLELYRSSQIRCLEDDLILQDIGSWSARVLQEKISSKMIHKSEMLEVEYALKFPVYATLERLEQKRNIEQFKTKEQLKIEGFKLLKSGYRGAITNDEILALAVDEFHSSQSVYQQELQDLNSWVAQTRLDELKFARLMPSITYFSAAATMFPSELSEARIAWTQNCILTTTVDDFFDGEGSKEEMENLVKLIEKWDGHGEIGFSSECVEILFYAIYNTSKQIAEKAVPLQKRNVVDHIAESWWFTVRGMLTEAEWRMDKYVPTTVEEYMSAAVDSFALGPIITSAALFVGPELSEEVFRSKEYIHLMNLANTIGRLLNDMQTYEKEIKMGKVNSVMLHALSHSGGGRGSPEASMEEAKREMRGVLQGSRCDLLRLVTRDGGIVPPPCRKLFWFMSKVLHFVYMEKDGYFTADGMMASANAVILDPLQVTLLPSGLGTL >ORGLA02G0183400.1 pep chromosome:AGI1.1:2:17907596:17913707:1 gene:ORGLA02G0183400 transcript:ORGLA02G0183400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQVLTAASSLPRATLLRPAAAEPWRQSFLQLQACPIQRPGIMLHCKAQLQGQETRERRQLDDDEHARPPQGGDDDVAASTSELPYMIESIKSKLRAARNSLGETTVSAYDTAWIALVNRLDGGGERSPQFPEAIDWIARNQLPDGSWGDAGMFIVQDRLINTLGCVVALATWGVHEEQRARGLAYIQDNLWRLGEDDEEWMMVGFEITFPVLLEKAKNLGLDINYDDPALQDIYAKRQLKLAKIPREALHARPTTLLHSLEGMENLDWERLLQFKCPAGSLHSSPAASAYALSETGDKELLEYLETAINNFDGGAPCTYPVDNFDRLWSVDRLRRLGISRYFTSEIEEYLEYAYRHLSPDGMSYGGLCPVKDIDDTAMAFRLLRLHGYNVSSSVFNHFEKDGEYFCFAGQSSQSLTAMYNSYRASQIVFPGDDDGLEQLRAYCRAFLEERRATGNLRDKWVIANGLPSEVEYALDFPWKASLPRVETRVYLEQYGASEDAWIGKGLYRMTLVNNDLYLEAAKADFTNFQRLSRLEWLSLKRWYIRNNLQAHGVTEQSVLRAYFLAAANIFEPNRAAERLGWARTAILAEAIASHLRQYSANGAADGMTERLISGLASHDWDWRESKDSAARSLLYALDELIDLHAFGNASDGLREAWKQWLMSWTNESQGSTGGDTALLLVRTIEICSGRHGSAEQSLKNSADYARLEQIASSMCSKLATKILAQNGGSMDNVEGIDQEVDVEMKELIQRVYGSSSNDVSSVTRQTFLDVVKSFCYVAHCSPETIDGHISKVLFEDVN >ORGLA02G0183300.1 pep chromosome:AGI1.1:2:17892277:17892993:1 gene:ORGLA02G0183300 transcript:ORGLA02G0183300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASYTSGGGRSAISRRCRVPSVAPSIPKLKCACGHAAAVQTSNTPRNPRWRWWQCPGELSKEYIRVQVTHGIDEIGGIEPPRSVPSIGNFAAHDDVAGELAIFRGTSTSWVVVAGAVSLAPIVVTSAAPIAVGELGFADNGEWGMGNRDG >ORGLA02G0183200.1 pep chromosome:AGI1.1:2:17879717:17883032:-1 gene:ORGLA02G0183200 transcript:ORGLA02G0183200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNKLILALGLSVLFVLLSKLVSSAMKPRLNLPPGPWTLPLIGSLHHLVMKSPQIHRSLRALSEKHGPIMQLWMGEVPAVVVSSPAVAEEVLKHQDLRFADRHLTATIEEVSFGGRDVTFAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRRGLAGRKRMEHVIRQIIQERKEQLMDNGDDTAAGEAAAGKECFLDVLLRLQKEGGTPVPVTDEIIVVLLFDMFTGASETSPTVLIWILAELMRCPRVMAKAQAEVRQAAVGKTRITEDDIVGLSYLKMVIKEALRLHSPAPLLNPRKCRETTQVMGYDIPKGTSVFVNMWAICRDPKYWEDPEEFKPERFENNCVDFKGNNFEFLPFGSGRRICPGINLGLANLELALASLLYHFDWKLPNGMLPKDLDMQETPGIVAAKLTILNMCPVTQIAPSSAEDAS >ORGLA02G0183100.1 pep chromosome:AGI1.1:2:17870894:17874036:1 gene:ORGLA02G0183100 transcript:ORGLA02G0183100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLILALCLSALFVVVLSKLVSSAMKPRLNLPPGPWTLPLIGSLHHLVMTKSPQTHRSLRALSEKHGPIMQLWMGEVPAVVVSSPAVAEEVLKHQDLRFADRHLTATTEEVFFGGRDVIFGPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDMISGASETSPIVLIWTLAELMRNPRIMAKAQAEVRQAVAGKTTITEDDIVGLSYLKMVIKETLRLHPPAPLLNPRKCRETSQVMGYDIPKGTSVFVNMWAICRDSRYWEDPEEYEPERFENNTVDYKGNNFEFLPFGSGRRICPGINLGVANLELPLASLLYHFDWKLPNGMAPKDLDMHETSGMVAAKLITLNMCPITHIAPSSA >ORGLA02G0183000.1 pep chromosome:AGI1.1:2:17854224:17859393:1 gene:ORGLA02G0183000 transcript:ORGLA02G0183000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLSTSLYVIFEFLKXLNYKKNXSRIXVSTGKNSRQVXGSSSKEWNYHHPHMTLHGWLWCHSGVRLTIQASLNASTGYXRINGTMDHGVLTGPYPQPTKMSYHLRXHVFWHSTNGMLVGSTSGEMEFALRYPFYSTLERLVHRKNIVLFDAKCSQMLKTECKPVHDNQDFLALAVDDFCISQSNYQNELNYLESWVKDNRLDQLHFARQKITYCYLSGAATTFRPEMGYARTSWARTAWLTAVIDDLFDVGGLEQEQENLLALMEKWEEPGEDEYYSEDVKIVFQALYNTVNEIGAKASALQGHDVTKYLVDVWLHVVRCMKVEAEWQRSQHLPTFEEYMESGMVSLGQGCTVMSALFLIGEKLPEGIVELEEYDELFRLMGTCGRLLNDIRGIEREESDGKMTNGVSLLVHASGGSMSVDEAKTEVMKRIDASRRKLLSLVVSEQEGPIPRPCKQLFWKMCKILHLFYYQTDGFSSPKEMVSAVDAVIKEPLQLRLL >ORGLA02G0182900.1 pep chromosome:AGI1.1:2:17843742:17844087:-1 gene:ORGLA02G0182900 transcript:ORGLA02G0182900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRWTPYGSDLRGVLHELVAWAGSSVGWQAEQAHARSSEQASLACAWRQAVQAQPVHAARMLDWLASRDGQ >ORGLA02G0182800.1 pep chromosome:AGI1.1:2:17841218:17842717:1 gene:ORGLA02G0182800 transcript:ORGLA02G0182800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQVWLLWGALSVAVLFYLSTLRRRYAGGKPLPPGPTPLPLIGNLHLAGGTFHHKLRDLARVHGPVMTLKLGLATNVVISSREAAIEAYTKYDRHLAARATPDTFRACGFADRSMVFIPSSDPQWKALRGIQGSHVFTPRGLAAVRPIRERKVGDLIAYLRAHAGEEVLLGQAMYTGLLNLVSFSYFSIDIVDMGSQMARDLREVVDDIISVVGKPNISDFYPFLRPLDLQGLRRWTTKRFNRVFSIMGDIIDRRLAHIRDGKPRHDDFLDSLLELMATGKMERVNVVNMLFEAFVAGVDTMALTLEWVMAELLHNPAIMARVRAELSDVLGGKEAVEEADAARLPYLQAVLKEAMRLHPVGALLLPHFAAEDGVEIGGYAVPRGSTVLFNAWAIMRDPAAWERPDEFVPERFLGRSPPLDFRGKDVEFMPFGSGRRLCPGLPLAERVVPFILASMLHTFEWKLPGGMTAEDVDVSEKFKSANVLAVPLKAVPVLIK >ORGLA02G0182700.1 pep chromosome:AGI1.1:2:17822389:17823891:1 gene:ORGLA02G0182700 transcript:ORGLA02G0182700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQMWLLWGALSVALFFYFSTLRRRYAGGKPLPPGPTPLPLIGNLHLVGGGTFHHKLRDLARVHGPVMTLKLGLATNVVISSREAAIEAYTKYDRHLAARATPDTFRACGFADRSMVFIPSSDPQWKALRGIHASHVFTPRVLAAVRPIRERKVGDLIAYLRAHAGEEVLVGHAMYTGILNMVSFSYFSVDIVDMGSQMARELREVVDDIILVVGKPNVSDFYPFLRPLDLQGLRRWTTKRFNRVFSIMGDIIDRRLAHIRDNKPRHDDFLDSILELMAAGKIDRVNVLNMLFEAFVAGADTMALTLEWVMAELLKNPSVMAKARAELRDVLGDKEIVEEADAARLPYLQAVLKEAMRLHPVGALLLPHFAMEDGVEVGGYAVPKGSTVLFNAWAIMRDAAAWERPDEFVPERFVERTPQLDFRGKDVEFMPFGSGRRLCPGLPLAERVVPFILASMLHTFEWELPGGMTAEELDVSEKFKTANVLAVPLKAVPVLIK >ORGLA02G0182600.1 pep chromosome:AGI1.1:2:17809590:17810828:1 gene:ORGLA02G0182600 transcript:ORGLA02G0182600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSLCHPRATADDAAADHPLVSSLEALRRLVFSPAATAAAPAAVLPVAVLQPFLDAVRSEDASAAITSASLDALHEVMALMGLSLTGAALREVTDAIASCRFEAWAEAAAEELIWFAGGGMAAEHGDSVGRRILVGLHMDGVGKELLQWALNQAARSGDRVVAVHNLPQIRLLLGAGLQEPARADSVAHRAGGAQGEVWPRG >ORGLA02G0182500.1 pep chromosome:AGI1.1:2:17806834:17807280:1 gene:ORGLA02G0182500 transcript:ORGLA02G0182500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVVKEAMRLHPVGPILLPHRAVEDGVEIGGYAVPRGAMVIFNAWAIMRDAAARERPDEFVPERFMETTIDFRGKEYEYLPLGSGRRLCPGLPLAERVVPFVLASLLRAFEWRLPDGVSADELDVSERFNTANVLAVPLKVVPLPVNVN >ORGLA02G0182400.1 pep chromosome:AGI1.1:2:17769311:17773968:-1 gene:ORGLA02G0182400 transcript:ORGLA02G0182400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT5G64330) TAIR;Acc:AT5G64330] MWESESESHGGERGLVPVGGGGGSGRHEAALKNDGFVRRDRSWYVNSDIPSDLLVKVGDVNFYLHKYPMISRSGRMSRAVYESSAADEAEADAAAAVAVVEMGDLPGGAGSFELAARFSYGMAVDLTAANISGLRCAAEYLEMTEEMEEGNLIFKTEAFLSYVVLSSWRDSIAVLKSCEALSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGAGAGSGGARGGPAAIRGGGGSGGTASPRWNVGGGGGGESKESSPSRQAVPPADWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGAAITHYASKWLPGLTKDAPLGATHDEPWAQASAAGVRGGGLHMMIISGAGGGKDDVLAACSAPSREQRMVVESIISITPPQRDSVSCGFLLRLLRLAIMLRAAPALVTELEKRVGMQLEQAALADLLIPSYGGRAADTAYDVDLMQRLVEHFLVQEQTEMAVASSPGRGDPPPPPQPEYYSGRMPPSSAAAASASASTGGLNAKARVARLLDSYLSEVSRDRNLSLTKFQVLAESLPESARACDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALASSSAALRSSSSAPGADAAPAMPTTRRQLLDGTPQSFQEGWAAAKKDINTLKFELESMKAKYLELQHEMDALQKQVDGRGGGAPSPAAAKIGKQQQQGTSASAWSSGWKKLGRLAKMSGADAAAGGGVAPPGGGEXXXRKGPRRWRNSIS >ORGLA02G0182300.1 pep chromosome:AGI1.1:2:17758028:17763338:1 gene:ORGLA02G0182300 transcript:ORGLA02G0182300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGYLHNCFGPSGEVVTIKVIRNRHSGVSEGYGFVEFFSHASAEKALQNFSGHVMPNTDRAFKLNWASYSMGEKRSELASDHSIFVGDLAVDVTDEMLMDLFAKKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKTHAMTEMNGAYCSTRPIRIGPATPRRSSGDSGSSPPRQSDSDSTNRTIYVGGLDPNATEDELRKAFAKYGDLASVKIPVGKQCGFVQFVNRPDAEEALQGLNGSTIGKQAVRLSWGRSPASKQSRGDSGHRRNGMYYGTPFYGGYGYASPLPHPNMYAAAYGAYPYYGNQQLVS >ORGLA02G0182200.1 pep chromosome:AGI1.1:2:17745795:17746757:1 gene:ORGLA02G0182200 transcript:ORGLA02G0182200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAADGDDEVVREFGPILRVYKSGRLERPLVAPPVGPGHDAATGVHSRDVHLGDYSARLYLPPPAAAAERLPVVVYVHGGGFVAESAASPSYHLFLNRLAAACPALCVSVDYRLAPEHPLPAGYDDCLAALRWVLSAADPWVAARGDLDRVFLAGDSAGGNICHHLAMHHHHDAPPRRRLRGAVLIHPWFWGSEAVGEEAPDPEGRARGAGLWVYACPGTTGMDDPRMNPMAPGAPPLGRMACDRVMVCAAEGDFLRWRAHAYAAAVAAAKGGAAVEVLETAGAGHVFHLFDPDGDKAKELLDRMVTFVNGAGADAA >ORGLA02G0182100.1 pep chromosome:AGI1.1:2:17740206:17741405:-1 gene:ORGLA02G0182100 transcript:ORGLA02G0182100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDDGLVVDGRMSGQRGGSVATKVVGSIAGGKPMLTARAKQNGLSDDMRKSDFHTWLFYPYAKI >ORGLA02G0182000.1 pep chromosome:AGI1.1:2:17738368:17738799:1 gene:ORGLA02G0182000 transcript:ORGLA02G0182000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEARALPLAARPAASAPGMEVEARAVPTGSMTGNDRGGDDDTIKDEDPATETTMCGTERYPPRSSPTPASHAPASARRCRRCRRAPERRELHWPDLAVNEELASTAAPSLLGKGASRAGLDRALTNRVEPCMCYRVSRCRR >ORGLA02G0181900.1 pep chromosome:AGI1.1:2:17727462:17731728:-1 gene:ORGLA02G0181900 transcript:ORGLA02G0181900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSKSVPVPAGGGAATVPLAVLLRREVVSEKTAAERPELQVGLFSQAKKGEDYTFLKPDCERLPGVPSSSFSAFGLFDGHNGNGAAIYTKENLLSNILTAIPADLNREDWLAALPRAMVAAFVKTDKDFQTKARSSGTTVTFVIIDGLFITVASVGDSRCVLEAEGSIYHLSADHRFDASKEEVDRVTESGGDVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGQFIVPVPYVKQVKLSTAGGRLIISSDGVWDVLTAEVAFNCSRTLPPEAAAEQIVKEAVQQKGLRDDTTCIVVDILPDKANLTMPYTKKQPGMGVFKNMFRKKTPSDSSSHTDREYMDPDIVEEIFEDGCAFLSKRLDSEYPVRNMFKLFICAICQVELKPSQGISVHEDSSQPGNLRRWDGPFLCQGCQEKKEAMEGKRRSRDSSSRNSGSSE >ORGLA02G0181800.1 pep chromosome:AGI1.1:2:17721300:17723818:-1 gene:ORGLA02G0181800 transcript:ORGLA02G0181800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07700) TAIR;Acc:AT1G07700] MITASLLPLPATSSSSGRRSLPPPTTTFPRPPPPLRRHRHLSSSSSSASSTESDGGGGSTNGSLPGLPPVVVEEEEEEFCPVECVTEFKTEEELARVLERAKATGALVVVDFFRPSCGSCKYIEQGFMKLCKGSGDHGSPVVFLKHNVIDEYDEQSEVADRLRIKVVPLFHFYKNGVLLEAFATRDKERIIAAIQKYTAPSSPPAESEEPSQEG >ORGLA02G0181700.1 pep chromosome:AGI1.1:2:17719710:17720817:-1 gene:ORGLA02G0181700 transcript:ORGLA02G0181700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLTIGDLLSDLAVDGGADLAGGEVSVPSSPSSAGQQAEEADPSELNRLFGEHYDNLMKALQENDPSWPSLMLKLCTALKTADKLVSCASTDAEQLLQKVELLERLLVRGDRAVTAIVEELQRSRPSEDSHSSKSKPSGK >ORGLA02G0181600.1 pep chromosome:AGI1.1:2:17716662:17718248:-1 gene:ORGLA02G0181600 transcript:ORGLA02G0181600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSFPAVWAVVGPGVAGAVFGVGWWFWVDAVVCSAAAVPFLHYLPGLFASFAALMFNCVKREDANYNYYSPYDDSEWRLKLWLFVSYVVSFVSLAGAVGFLVQDALTDTGPSAWTGVAGVLQSVFVLISGLMYWTCHSED >ORGLA02G0181500.1 pep chromosome:AGI1.1:2:17707978:17712298:-1 gene:ORGLA02G0181500 transcript:ORGLA02G0181500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1P1F9] MMMKGKGGAVDRRSSARWRMLLLCAFCFGLGMLFTDRFWTAPDTSNHIMSQRRRQDRELQLISEDCNTKRKHGEDKDIMGEVTKTHEAIQLLDKSISTLQMELAAKRSTLELLRAGGSPVTSETSQPRKKAFVVIGVNTAFSSRKRRDSVRETWMPQGAKLQQLEDQKGIVIRFTIGHSATSNSILDKAIDSEDAQHRDFLRLDHVEGYHELSAKTKIFFSTAVGIWDADFYVKVDDDVHVNLGMLATTLARHKSKPRTYIGCMKSGPVLADKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKFANEDVSLGSWFIGLEVNHIDERNMCCGTPPDCEWKGQAGNVCVASFDWSCSGICKSVERIKEVHARCGEGDSAVWSALI >ORGLA02G0181400.1 pep chromosome:AGI1.1:2:17702535:17706564:1 gene:ORGLA02G0181400 transcript:ORGLA02G0181400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDLPALKPQWLVQGQVTTTGAANLWTVASPRPGPDNQGRGGSSRNHSSSGHHRDQNSRTSSSRISSNGPRKHDRDRDGMGKSRGYASFGRNREREREKEFDSRDRESRSITADGFGSFSTCRPERDRLNRSRSRTDSWNKGVVSPNNCNTSRNNTGTGGSFEREFPQLPFDDKRQDINRVPSPASPIQRTVAPDRWNSLLADVPGSSEPKKNLGVSSVLRPAPSKQPEAAPNSGISLSMAETVMQVPLSVGPQLSMEAQKMEEISLRQNTLRPMTSPAIKSSAPVKTDLSKVSQAGNLKILTREQNCTIHTAKDCPDNPMSPPPAPVASVEPLKKPCVSQKLKVATHDIPLSILQGAYVDKKLNARDKHRFFESLRIKSSNGSSSTAESGCPSPSSVADVKQDSCLNVGKDISLYHSGKDISLYHSGTKCMGNGKCSCEEANSSDGSQRHLSDNENNSSLDHTADGVSQNLLVESRSISSSEPSDRGDEFRVFLSNNTEGSSSSAPADSDDGYKRSQSGSEEASSSSETTEPGDEEHPAEDSLPADFVAFMISLGWEKDKKVEPLGLEEIAVTVRANEELEQKLLSMEDNANIKIVLLYIYSGRGLDKELMKPNAGDKNNA >ORGLA02G0181300.1 pep chromosome:AGI1.1:2:17688455:17689543:-1 gene:ORGLA02G0181300 transcript:ORGLA02G0181300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPRGRNLPARRRGSSSSSSDLPSCCWKMKGTCEQNDIALVSEKKEWKGASCPVCLEHPHDAVLLLCTSHHKGCRPYMCGTNHQHSNCLEHFKEAYAKEKLAHSVLIESSPGLSLSLNSQPASKQQCAMELACPLCRGDVKGWTVVEPARQYLNRKKRACMHDGCSFIGSYKELCKHVNSKHPSAKPREVDPAHADEWKKFECERERQDAISTIRSMTPGAVIMGDYVVEFNGGSNNNLLSDGDDLEERLNFFTSLDRTLNERLDFYESSDGSLDDSIDFLASLFGHGRRIASGDSYTRAYRRYRERPRRSVTASSVAASDIQHDSANTRRGRVGGIRAIGRTSRRHHPVVTHVRSTHGI >ORGLA02G0181200.1 pep chromosome:AGI1.1:2:17684230:17686842:1 gene:ORGLA02G0181200 transcript:ORGLA02G0181200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPPSSLPLLLPRKSLSSSSAQRKQQYARCVSHAGDELHSFRSCLAWMCVDHSTRARGAASWAAFLLLAVAAPSAATLALPSPVGGGGSPFDGQVQVSLTLAAALAYLTLTALLQGRGLRRLLYLDRLRDDSEEVRSGYIEELAGSFRVLACFLLPCTLAEAAYKAYWYLAAPPFRSPWWSAAACAVEVASWAYRTAVFFMVCVLFRTICYLQILRMKGFAREFCRFADVAAVLESHRRIRKQLHRISHRYRRFILCCLVLVTASQFAALLATTRPHAQINLATAGELALCSLSLVAGLLVCLQSAAKITHKTQAITSVAAGWHADATINAFDNDKEDPNPDLPRIVGYLVPVNAYWMASGETSSDSSSSSSSDDDDSGHPKSKYIPFQNNHCFQQRQALVTYLENNRAGITVYGFVVDRTWLHALFMIEFSLVMWLLGKTVGIS >ORGLA02G0181100.1 pep chromosome:AGI1.1:2:17681011:17681814:1 gene:ORGLA02G0181100 transcript:ORGLA02G0181100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTCICKVCGAAEDTWDHALLHCTMSKCVWALMDNDLAELIATTNIKDPKDWLFYMLDVLKPGDRTKLLVSCWAIWRARRRVLHDEFYESPLTRMHFIKSYLADMDLIIIPLCLQDKKHLTYPKVPVWMPPPEDYVKINVDAAISRWGNKGAVGAVCHTVSGEFVAASAMVWEGLSDSATLEALGCNEGLAIAMGCNMSEVCIASDCLEVIRSISKKPRCQYLAVLNDIEARRKHFAKVEFRHENRAWFSNPPCNINRNVNVDSNK >ORGLA02G0181000.1 pep chromosome:AGI1.1:2:17675506:17675691:-1 gene:ORGLA02G0181000 transcript:ORGLA02G0181000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSRVDQRGERGCPIRTTLVREDFGGVSGAVGGRQSDDVGAGQRSAASWWRRAGLVRAAW >ORGLA02G0180900.1 pep chromosome:AGI1.1:2:17671328:17671934:-1 gene:ORGLA02G0180900 transcript:ORGLA02G0180900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSTVRGSHVEGSMLRRPRHASSSSTGQIRFTPSSSLPLVYYPDCGEQIVRYVSRSKKNPNRVYYKCSNRTERNAPCNFRYWEECYQKYLIDHGLLNGDASREEIKGDSSEEDEVEDLGSKKKLIDVVLMMRSEVKQQTLYLKIAAFGIVVFGAVLLGMIVVVVAKGFL >ORGLA02G0180800.1 pep chromosome:AGI1.1:2:17652756:17654729:-1 gene:ORGLA02G0180800 transcript:ORGLA02G0180800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELSLGDSPAPVKATIAPTPVLTPTCMGDEEDLELVLGVRATRRDEQDDQTTCTQSSEEAMEGEEDETRPHGEAPVESLSFPLFVSSAETGSANSEMCTRGFDVNTRPADGGAEAGRPSSPSSMQEASTRQQVADQEAADDEDNGGGGARKKLRLSKEQSSFLEDSFKEHSTLTPKQKSDLANRLNLRPRQVEVWFQNRRARTKLKQTEVDCEHLKRCCERLTRENRRLQREVAELRGALRTTTSSYPPLYGLHHLPAAAGTVFRVCPSCEHSKVVAAAASESFSPRVFAGGGAPAAITAAAVPSPGAGSPPSSSAALFGARRPHFGPFAAAVIPPVLRRQPSATS >ORGLA02G0180700.1 pep chromosome:AGI1.1:2:17640422:17642305:-1 gene:ORGLA02G0180700 transcript:ORGLA02G0180700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGAEEDSYGPPANQAAPPPNVNAPGNRGGPRGPGAARPGGPPKPVNIDVPAIPFEELKKITSNFSDRALIGEGSYGRVYNGTLSDGRAAVIKKLDPGASQETDSEFSAQIAMVSKLKNEYFLELLGYCLEDGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWAQRVKIAYGAARGLEYLHEKVQPSIVHRDVRSSNVLIFDEFSSKIADFNLTNQGTDTAARLHSTRVLGTFGYHAPEYAMTGQINQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKAIQPLLNTKPAGPAEPPPA >ORGLA02G0180600.1 pep chromosome:AGI1.1:2:17636962:17638635:1 gene:ORGLA02G0180600 transcript:ORGLA02G0180600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPTASPPPPPPPPAMSGLLSFASSRPYPSLPAPRPAAAAPRPRLRIAGSAAAAPNAVSHRASSSSSSGDRLRSLVRRGELDEALRLVGSARRPDAGTCAALIKKLSASGRTAEARRVLASCGPDVMAYNAMVAGYCGAGQLDAARRLVAEMPVEPDAYTYNTLIRGLCGRGRTANALAVLDEMLRRRCVPDVVTYTILLEATCKRSGYKQAMKLLDEMRDKGCTPDIVTYNVVVNGICQEGRVDDAIEFLKNLPSYGCEPNTVSYNIVLKGLCTAERWEDAEELMGEMGQKGCPPNVVTFNMLISFLCRKGLVEPALEVLEQIPKYGCTPNSLSYNPLLHAFCKQKKMDKAMAFLDLMVSRGCYPDIVSYNTLLTALCRSGEVDVAVELLHQLKDKGCAPVLISYNTVIDGLTKAGKTKEALELLNEMVSKGLQPDIITYSTIAAGLCREDRIEDAIRAFGKVQDMGIRPNTVLYNAIILGLCKRRETHSAIDLFAYMIGNGCMPNESTYTILIEGLAYEGLIKEARDLLDELCSRGVVRKSLINKGAIRLLD >ORGLA02G0180500.1 pep chromosome:AGI1.1:2:17635707:17636369:-1 gene:ORGLA02G0180500 transcript:ORGLA02G0180500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLCDSDKDGVDLQLEHRLSPQLPQRAHEEGGGGEASSGPFLVVVVLRGRRPPDLFLSPPPSFARWRKPRRRPPPLPPRPVDARTHPRLPIDDGGDGGAALPDAKKSPSSSSSRALDRDAAEAEVCRRLTSSRREALGLYWDILRGRGSLSAAATPCSRRSTDSLRRPTAWSRPRRPSADASHRGARAAAGWWRTWGAAITTASQRGAQRAGVGAQRA >ORGLA02G0180400.1 pep chromosome:AGI1.1:2:17633590:17634057:-1 gene:ORGLA02G0180400 transcript:ORGLA02G0180400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRAAVALLVIIPVLLLLVLLPRPPTTSPSSVVGFAATRRLSGDANSGPPAHAPSAAPSPSPGRGRLHPTTTTSAAPRPPPPRGYGGERKRRLKLNLGERVGIALAAVAAALQVALVGACLALLARQLRRGKPRDSEDAAAAASSSSAPPPA >ORGLA02G0180300.1 pep chromosome:AGI1.1:2:17630068:17632008:-1 gene:ORGLA02G0180300 transcript:ORGLA02G0180300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGGGATPSPAAKKANLLDAYSIKHLLDETVSEVAKSKGYPEDARLSNRRLAVGAAVIAVALLAQFYPRKFPENRDVLLACIALYAALNVALQIVTYTKEKNAILFTYPPAGSFNSTGLVISSKLPRLSDMYTLTIASADPQSKSSSEPVHFTKSVTKWFTKDGVLVEGLFWKDVEKLIDDYNSDHRSK >ORGLA02G0180200.1 pep chromosome:AGI1.1:2:17619982:17622124:1 gene:ORGLA02G0180200 transcript:ORGLA02G0180200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:I1P1E6] MGGATSPAAPCLSVCLLPLLFLFLHGCWSCVAIERERTLAMIKPDGLSGNYTERIKEVILESGFDIVKEAVVQLDAERASLFYAEHSGRSFFDSLVKYMTSGPVLVMILERPDAISHWRVLIGPTDARKAKISNPNSIRAMCGVDSEKNCVHGSDSPQSAAREISFFFGDVRSDNADTVEHDEL >ORGLA02G0180100.1 pep chromosome:AGI1.1:2:17616763:17618019:-1 gene:ORGLA02G0180100 transcript:ORGLA02G0180100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGASPSSSLSPSRSPSPLPVADPVTVAAAPPGHLALAIPIPKPGSSSGGGGGGGGREDAWSDGATSTLIDAWGERFVALGRGSLRHPQWQEVAEVVSSRDGYSKQPKSDVQCKNRIDTLKKKYKVEKAKPDSSWPYFHRLDTLLAPVHKPAGASPAAAAGAAGAGNSGSNSAAAATAARSTAPMAPRVNFPQRTRTQFLPSSGVKRRMPSPPQVSASSESSDGFPPEPSMAAANGKRRREVEEEVNGADSGHRTQGLCELAQAIRRFGEVYERVELAKREQELRMERDRLEAARELEDQRVQFFLKMQMELSKANNAGASAAAAAVGAVATAIAAADGNGTRRTAMATDVGTSSNHHVRYRFKDSRHCHAAPQQPQHQYNENNAAEAARGTGNGSDTDNKEDEDEAEDEEDESQ >ORGLA02G0180000.1 pep chromosome:AGI1.1:2:17600445:17603224:-1 gene:ORGLA02G0180000 transcript:ORGLA02G0180000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFAYPFTPSPAWSRDAVFAGSPWAAGGVSSLADALVSYGAVDDEEAAFLGKTAASSPSTARLHEQQQLLLEAELLRHGDGLGFAAMDDDGGAAMLGALEPCAMPLTDSGGPPVICSSSSNDSSGSEHSAAMPAGGGFLVGEQQQHVPPAAYAAGGVLPSMAAGEETPQSFGFGSLFNGDLLQEANVSKYHHHQQQQQLGVVPSSQPHHLNDDIDFNTGKLLSFASGQQHVTPSIDSLQIDQKEFSSGLHHLNLSSLISGPLASFNATQSHRQPAEACGGKHGCAAPFVNLSEVLPKGNGSGSAGNGAPKPRVRARRGQATDPHSIAERLRREKISDRMKDLQELVPNSNKTNKASMLDEIIDYVKFLQLQVKVLSMSRLGAAEAVVPLLTETQTESPGLLLSPRSSSGERQAGAVTGGLPGDQPELLDGGAMFEQEVVKLMEDNMTAAMQYLQSKGLCLMPVALASAISAQKGTSSAAVRPEKKNGGDEEDVKGEFDAPRRPPVGRPKEMRSRV >ORGLA02G0179900.1 pep chromosome:AGI1.1:2:17599311:17599718:1 gene:ORGLA02G0179900 transcript:ORGLA02G0179900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSAVAAGQLFSLGRRGYAAAAAAELQQRGSSMAARISAAEGGAGGAAAAAASKEIFWMRDPKTGCWVPENRFGDIDAAELRARLLSRKN >ORGLA02G0179800.1 pep chromosome:AGI1.1:2:17596470:17598712:-1 gene:ORGLA02G0179800 transcript:ORGLA02G0179800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family [Source:Projected from Arabidopsis thaliana (AT5G23550) TAIR;Acc:AT5G23550] MDTMRGALERARMLVGMEVDEESAPEEQSFFDDVTRNCALTTTQRLYGFAICLAAGLTCTFLSMLVFFNPVKFGVTFTLGNLMALGSTAFLIGPKRQFDMMLDSVRIYATAVYIASIIIALFCALFVHSKLLTLLAIILEFGALVWYSLSYIPFARSVVSKVMTSCFDTEF >ORGLA02G0179700.1 pep chromosome:AGI1.1:2:17589696:17595225:1 gene:ORGLA02G0179700 transcript:ORGLA02G0179700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease [Source:Projected from Arabidopsis thaliana (AT1G33360) TAIR;Acc:AT1G33360] MSGLLRWRRLAAVATRAASTLTAPECCSPAVVAPPHRRVQERRKWEGPTSSSSSSSSDEHEPRRIRAEAHCPRCSKHMDILFSHRAPPSSSAAAAGGGGYQALNLCPNCRSAYFFRPHLLAPLQGTFVEIGRVRADLLPHDAVRARGASFWEAIRGSSSSRDDGDGGGVAVHVPPGPPFHPNLNVVRVAGGGGGGGGGGVAGGGGGGEEGAGKDGWGGSNLGKDLPTPKEICQGLDKYVIGQDRAKKVLSVAVYNHYKRIYHKSLQKGSGADLGGFDGETDDDDGVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLMEPKNALGKQFKKLFSMNNVKLHFTDAALRIIAKKAMSKNTGARGLRTILENILMDAMYEIPDAKSGEKRIDAVVVDEDAVGAVDQPGCGAKILYGDGAFERYLSQIKVAGDAAGSEADGEAELSSSRAMGM >ORGLA02G0179600.1 pep chromosome:AGI1.1:2:17583781:17585628:-1 gene:ORGLA02G0179600 transcript:ORGLA02G0179600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAAAAAAAPPLSSFHSLSLSSRGLRVPSPCEARPRAPLRRGDLAIRMGGGPRTFPGGVSKWQWKRMQARKAKQLLKARLARERQLYEMRKRAELRDAAAHLERPWDPDASDSAAAAAAPNLLSVAADDQMKALADRFHRPGGVDLWNDRDGPRVFAAPDTGRASARFFPKGSVHSIQPYGLVNSGPESTLAARGNPADATDRSHRHRLQGVRENAAKKEMRGVGGYREPAVEYIERGGVWEPVSNLDRGGDNNSSDSGWNDDNVISDLEDIADVDFRPEQRAMDGRDRREGGVARWEATTSMAVGSDNIRDQRGNGFSLEPEGTSEYHLGQSWQDRNSGSRGKRPAGRRKAMNTDGSSTIGKDRMVDGSSFSDSEVTRDGFEPKWRSTTRGHTTNDVRRWNPPNEGGRNVPRKGWTDDEFGSNSDSGMDAKLMPKWKAQNRLNRSENGRDRPEPKYMANTNNGERTGRYMRGSDGDGRRDRFVNRFASDLEEPKWKPRRKSGAGNDGDGRRDRFVNRFASDLEEPKWKPGRKSGARMNIGNREYIDDMNGRSRGSNEAARLLDAMDSNREVGSEEGSYRMSRNGGQRRGDGYSLRPTSELHNSRRPRESNEM >ORGLA02G0179500.1 pep chromosome:AGI1.1:2:17577386:17580096:1 gene:ORGLA02G0179500 transcript:ORGLA02G0179500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGAGAAAAAAGNAAGAAAVHHNARSAEDVFRDFRARRAGIVKALTTDVEKFYRQCDPEKENLCLYGLPNETWDVTLPAEEVPPELPEPALGINFARDGMIEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKEARRRLFTMINGLPTVYEVVTGIAKKQTKVSNGSSKSNKSNPKPSKQSNSNSKPAKPPQPKDEEDSGPEGAEDEDQAYMCGACGETYANGEFWICCDVCEKWFHGKCVRITPAKAEHIKQYKCPGCSSKRSRE >ORGLA02G0179400.1 pep chromosome:AGI1.1:2:17574396:17577016:-1 gene:ORGLA02G0179400 transcript:ORGLA02G0179400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGAAVAPAKLGLYSYWRSSCSHRVRIALNLKGLEYEYKAVNLLKGEHSDPEFMKVNPMKFVPALVDGDAVIGDSYAIALYLEDKYPEHPLLPQDLKMKALNLQIASIVCSGIQPLHNLTVLVRTDLHSISYCHRFIEKKVGTGESIPWTQQQIDRGFAAAENLVKGCAGKYATGDEVRLADVFLAPQIYAAVTRFQINMLNYPTLARLHEEYMKHPAFQAALPDRQPDAPSST >ORGLA02G0179300.1 pep chromosome:AGI1.1:2:17564086:17566737:-1 gene:ORGLA02G0179300 transcript:ORGLA02G0179300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQDSKRKRSVLPETATLAPGSKRRRRKSVPSRHAAAMLPDELLTEVCLRLPVKSILRFRAACRSWDAMLSSEEFGQLYAARAEEMSSAPKLLFVSPTANFNSTAVYKCSPSKPTDDLLLTLDDVRGNYVEVTPAPCHGLSLLYDGIAPAYYVMNATTRAVTRLPPFRDVAFATAGLGCDARTKKYKVVRLFEGNLLEKEFLKCEIYTLGGDEGDIWRPAAGGVPFRFYSFARSAISNAVMNKLQPLFFNGYLHWLINPLHHVKLPRASILSFSLTDETFRWIRSPPFVASGVHLVELDGNLCMVRDLRDRSTAVCKLEIWKLKDYNSGDWSLDHRIDLTGQLPRDLLEPQIVKVIGSAGSCRSGTKIIIATSKHKVCSYDPVSRTLETITSISETCTSYQNEKSDIRFSLFKECLTPVHKTREEIAFATPLSKATKEILLRLPAESVLKFKPVCKQWLGLIKSERFIRAYFAHKNMDKRPKIMLVGKGSGKSLFNFVPLSKWLQEASNQGTLFLDTKVVCSKPCRGLNLMSFVEEDYLFNPCTGYHRVYWNREWHQHQPWKMPTGCREQEDNPFAVGNKNVGLGFSQVIQDHVVVGIFYDRKDYNSREYSLTCSLWSCGSGYYEQLPQPPLPVNDMPPVSVDGVLYWMSEPRLGQSYERAIVSFDIAAKIFEVIPCPSSIAMWDPRSHCHAFVVELLGKLCAVLSNSVADELDIWKWDHGLWTRAYTINLKFWPDYSLATNVVVPMAVDLTDGRILLNTGRKLGLYNPFDQTIENLLALDQVSLATPKVQQQRTGGHLKCHITRCEDVPRKFSPWKLSMAPCENFATPPSASSGKNLLSSRHQYVKALNSVSPKVMPVVPMLYEESLTYYPFAARARVLFS >ORGLA02G0179200.1 pep chromosome:AGI1.1:2:17542019:17542964:-1 gene:ORGLA02G0179200 transcript:ORGLA02G0179200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRGSPSPPPAAQLSGGVRRGGSSPPSATASGCKGSGSRSGARPSRLSREEVAVLSSPRPRRSRPTAGDDADGSFVGSKHRDVRRAYPAKRKQRAATSSSSSSSSPPTATERLRNRGRLVPSNRVDKKMRRFVGTVNKFDALRHDTDSHDGDDGDDDVALGAGPLEAGDIAAARTTPSPPPSHAFSKAPVRAAAVAEQAQGQAAAVAEQAQAQGAAHKNQAAAAHAVSCIRGTPLCHRHSHWRGALSAAL >ORGLA02G0179100.1 pep chromosome:AGI1.1:2:17533635:17533943:-1 gene:ORGLA02G0179100 transcript:ORGLA02G0179100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHYSSQAHGSNQTTHLTGCLVRQMSSNFQHSFMYEFSSCHQPNRPNLDTWSTVFLWFLGAVVGTRATAYALQRPPPVQPVLPPQQLLLLPMDHPCQVVTT >ORGLA02G0179000.1 pep chromosome:AGI1.1:2:17532013:17533617:1 gene:ORGLA02G0179000 transcript:ORGLA02G0179000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAIERDGALLGAALVEPEAAELIPGLPDDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGEEEAWGGGVPFFSRCAAAGDGRHVAVVGGWEPAALCLTRDVRVLDVPAGVWRRGAAMPDSRGFFGCTGSGGVVYVAGGHDESKNALRSAYAYDVASDAWRALPDMSEERDEPQLVANPGRVLAASGYPTDAQGAFKKTAERYTTTTTGDATAWSSEGDMAPNTAETCLAAVGGKVWAVGAGKGGVREWDGGAWRDVADGPPGMKACVKAVGAGDGDSAAMFVFVFGKVEDGKQYAAWVMEDAGGAARWRGVAVPPGFGGFVYSGAAVRV >ORGLA02G0178900.1 pep chromosome:AGI1.1:2:17516151:17520185:1 gene:ORGLA02G0178900 transcript:ORGLA02G0178900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glyoxylate reductase 1 [Source:Projected from Arabidopsis thaliana (AT3G25530) TAIR;Acc:AT3G25530] MEVGFLGLGIMGKAMAANLLRHGFRVTVWNRTLAKCQELVALGAAVGETPAAVVAKCRYTIAMLSDPSAALSVVFDKDGVLEQIGEGKGYVDMSTVDAATSCKISEAIKQKGGAFVEAPVSGSKKPAEDGQLVILAAGDKVLYDDMVPAFDVLGKKSFFLGEIGNGAKMKLVVNMIMGSMMNALSEGLSLADNSGLSPQTLLDVLDLGAIANPMFKLKGPSMLQGSYNPAFPLKHQQKDMRLALALGDENAVSMPVAAASNEAFKKARSLGLGDLDFSAVYEVLKGAGGSGKA >ORGLA02G0178800.1 pep chromosome:AGI1.1:2:17502061:17503871:-1 gene:ORGLA02G0178800 transcript:ORGLA02G0178800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKAAAAGGEAPAITLEHTPTWIVSAVCFVIVIISLLFERLLHRLGKRLKKSRKKPLYEGLLKVKEELMLLGFISLLLNVFQGLTQKICVKASVMDHLQPCKLDFSGAKTAKTTAHLAAAGVRRLLAGGGAKSDYCEKKGLVPILSVEAIHQLHIFIFVLAVSHVVLSAVTVLLGIAQTRKWQHWENKIQASDENGMMKEHSPLGK >ORGLA02G0178700.1 pep chromosome:AGI1.1:2:17494483:17497544:-1 gene:ORGLA02G0178700 transcript:ORGLA02G0178700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHGKQLAIPAKVMPLDNAVFTEVEADELSCHGTPAHCAEHGQASLVLKDDQECSASHVHGNGPVEESNGCMPNRRIDEHGQIDFGHSLQLVLFSRQWGLAESLVALADHQLMLDYGLSVALDAIWFLRTEQDLQGLNHLIGKIVESGAKDFARAILRTSLLASCVVACQSKALTLGDSKEIIAQRLHDRLQDCPGGERLQIEAGAKVQKFIEWALQCIHLHRCSEDTECYKANCSTHQEVQFHLSAFKAFLDIAGDNLSGKIFTEAFDAACFPLTLFSTLFEPGWSSGSSAVAIQGLLSLLVEGGAENVNQCFLEASRFGSTELVRILLKIAHQNSLAVDVDLALVYASHYCKFETMACLVDEGHATSFLCPLVKASERGCLQVVQWFVNRHVSDIEMCLAVTTAASCGHFAVATYLLAHIPRHVLEALSPQILKAARGQGSGSFEGVSFLLRSNFLNDAAATYAVADSIATTSTMDIPQDLVDFLKEQWSQAAFAEGVEAGEDHFVNITRVLRRGASPIRLHDLPEPMALAIAYLPLYRACASARGQLLPQRLRGELVEAVGRLGVPVNMENNRRDFLAVLEHYFPSFITGA >ORGLA02G0178600.1 pep chromosome:AGI1.1:2:17489065:17493622:1 gene:ORGLA02G0178600 transcript:ORGLA02G0178600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRSLQRSGSKRVLDPTGGGGGDDDDHAAKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLKFTTRLSLPLFTGGKVEGEQGAAIHVVLLDANTGVAVTSGPESCAKLDVLVLEGDFNNEEDEDWTEEEFESHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVAPGSFEGIRVREAKTEAFTVKDHRGELYKKHYPPTLKDDVWRLEKIGKDGAFHKKLNASGIYTVEDFLQLLVKDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYAIDSRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKIYADGLVKKAYEDWMHVVEYDGKALLSFKQKKKSVTTRSDTAAAATNSPVSYDSSNTHKQLSQPAKAGQTSTGTTSEADGSRSAYNGNQAGRYAVNSQSIPANVTTQYERSSLTPESQFNGSALQNQVSRGSNMLALGPPQQQHQQNFEFSALGGQSMHPTGLNPFDDWSQPQENRSGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGASTNLTEDGFAFPNYMPSTPPNFNFGDDRARPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >ORGLA02G0178500.1 pep chromosome:AGI1.1:2:17484178:17484702:1 gene:ORGLA02G0178500 transcript:ORGLA02G0178500.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPDESSEKQPLASLLLPSDHFFIPFVGAPEFMGEQMDNEELNLSLSLQPSYPSRFQTEFSCCYCPKRFQSSQALGGHQNAHKLQRNLAKRNREAFLSISQRKGANAGIKDGSSALSAESICKISSGKKHHKEAWQVMQGSCGSSSSGTVMHKSIEQDVEDEDLSNGTIDLSLKL >ORGLA02G0178400.1 pep chromosome:AGI1.1:2:17480779:17481651:1 gene:ORGLA02G0178400 transcript:ORGLA02G0178400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 3 (XRCC3) [Source:Projected from Arabidopsis thaliana (AT5G57450) TAIR;Acc:AT5G57450] MRPAAPARHPSAAASSSSSTNSQQEPRPENPLLLLPSSRAGKLSLGCPVLDRLLSGGLPPASVTEIAGESASGKTQLCLQLALLAPLSPLSASCLFLHSDLPFPLRRLRGLAPKSRPDLLDHVLVAAAHSPSDLISLLSRAQRLLAHPGRLPPVRLILVDSIASLFRADFDASPADLKRRSALFFRISAKLKELAHRHRCVVVVTNQVVDVVEGEAGNTVAWSSGRRVSPALGIAWANCVNTRLFLTRDADGRGCARRRMKVAFAPHLPERACEFVIRRDGVFGVEPSGR >ORGLA02G0178300.1 pep chromosome:AGI1.1:2:17473924:17474508:-1 gene:ORGLA02G0178300 transcript:ORGLA02G0178300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSLSPSPVSAPAGQTTITFRGIAAVAGVLITFAALTLIVLLHCYRDEWRRGAVTTSASGRGRRRRSGSGGDGGRGGGVDPEVLPVTVYSRAAAAAAAKEDGVECAVCLAKLEDGEEARFLPRCGHGFHAECVDMWLGSHSTCPLCRLTVVVPPPPLLPPVPPEPPASYTVNLPATVLLGLSDQGAPAR >ORGLA02G0178200.1 pep chromosome:AGI1.1:2:17465243:17466229:-1 gene:ORGLA02G0178200 transcript:ORGLA02G0178200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGVEQGGPAMDESSSSSSPSPVSAPAGQAAMTAGGIATVAAVLIVFAALTLAFVLLQCYCDERRRAVTTTSTSGRGRRPRPRRRSGSGGDGGTGGGVDPEVLRSLPVTVYSRSTAAAAAAKEEEDDDDGVECAVCLAELEDGEEARFLPRCGHGFHAECVDMWLGSHSTCPLCRLTVVVPPPPPPPLPPVQPEPPASYTVSLPASVLLGLSDHGAGAVTMTAEGRSTLVIEIPESAASTTPRDAAARSSPSLARLRSLRRLWSFGRQGAAGSTSSCSCATGGDNDDGDVEHGVSVTVAIRAVEAATPARPPEAEAGARTAAAHVRN >ORGLA02G0178100.1 pep chromosome:AGI1.1:2:17458274:17461571:1 gene:ORGLA02G0178100 transcript:ORGLA02G0178100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKKATAAAEEEEVHGVVIVGGGLCGLATALALHRKGMGSLVVERSEALRVGGVALNVHANGWRALEELGLADGLRKTANLITSVRMVRQIQGKNQTTVSSPSYNYARCRKEIRCLRRKDVMEALAKSVPAHTIRYGCRIVAVDEDPGTDCTVLTMADGSTIKAKVVIGCDGWNSVVARYVGLGAPSQLPRFIVLGFASYPEGHPFGTEFSQIIADDFAVGRVPINENLLHFFVSRSPSPGRTDVDEDAARKYVLEKVDELPGEVADMVRRCDAASSWTLTKVWYRPPWQVALAGFRRGAVTVAGDAMHAMGPFIGQGGSAGLEDAVVLARSLSSAAAGDGRAPPRQQLRDDAVGAAIDEYVAERRRRATTLCLHSFAIGTLLTTRWLAVKLACVAVLALLGGDSRRDADYDCGRL >ORGLA02G0178000.1 pep chromosome:AGI1.1:2:17448643:17449080:-1 gene:ORGLA02G0178000 transcript:ORGLA02G0178000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COX assembly mitochondrial protein [Source:UniProtKB/TrEMBL;Acc:I1P1C4] MHPPLTLHRHPMCAEIIEEFQKCHLDHPVKKFFGECTDLKIKLDRCFRQEKALKRKANFEESKKFKEQLLAYKREIAETNQE >ORGLA02G0177900.1 pep chromosome:AGI1.1:2:17443194:17445474:-1 gene:ORGLA02G0177900 transcript:ORGLA02G0177900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKKASPHCLHDVSGSCPRLSILLHSSRRPESAPVPCLLPMEAKIPLHTPHTMGRFHLAHRVVHAPLTRSRCYNNLPQEHVQLYYSQRATNGGLLIAEATGVSETAQGYPNTPGIWTKEQVEAWRTVVDAVHQKGGVFFCQIWHVGRASTNDYQPNGQTPISCTDKKITPTVLKDGTVEEFSAPRRLREDEIPQIVDDFRIAARNCIEAGFDGVEIHCAFGYLIEQFMKDGVNDRTDKYGGSIANRCRFALEVIQAAIDEIGSDRVGVRLSPYSNCLDCWDSDPDALGLYMIQAMSKLGVLYCSMVEPEVVKVDGKVQIPYKLWHFRKVFAGTFIVAGGYNREEGNRAVSQGYTDLVAYGKWFLANPDLPRRFELNAPLNKYDRSTFYTSDPVIGYTDYPFLSPL >ORGLA02G0177800.1 pep chromosome:AGI1.1:2:17439498:17442542:1 gene:ORGLA02G0177800 transcript:ORGLA02G0177800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCSKDSVAEELTPQPPPAAAAAAAPVGRLQKTESQSLKQLITLTAKEDAAVVAPVVHAVITRAESNAKAKSAAAAAVPPVVVITSLNKSYSTAGAPAHHHRLSTVDVPQVVPGGVPQGFSGEHVIAGWPSWLTSVAGEVVQGWLPRRADTFERLDKIGQGTYSNVYKARDLETGKVVALKRVRFVNMDPESVRFMAREIHVLRRLDGHPNVVRLEGIVTSRLSHSLYLVFEYMDHDLAGLAATPGLRFTEPQVKCLMAQILAGLRHCHDRGVLHRDIKGANLLIGGDGALKIADFGLATFFDAARPQPLTSRVVTLWYRPPELLLGATEYGVAVDLWSTGCILAELLAGKPILPGQTEIEQLHKIFKLCGSPSEEYWAKAKLPDVTLFKPQRPYRRKIAETFRDFSPPALDLLDTLLAIEPSDRGTAAAALDSDFFRSKPLACDPASLPKLPPSKEYDAKLRGKEAAMRQNATAAIGGKGSMSVKPGRNEQSKAAAPAQDAVGGDHQRRQAAAAARVVNPRSASHHYSSLEDSVPGFRMEPPVAAGPPAAMQSGGFGSTWYRKDHAAAGDPRATTSRAASASVRVSNSVAGGAQLTSQRSYAHSRGTDLHPSSSAARHANSRYNRLDVAEPANALDRPGSSSTHQKDLSAAAPATGFGGRNKRIHYSGPLVPPGGNMEDTLREHERQIQQAVRKARVDKERTNHNGNSSSSNNNRLQCNAGR >ORGLA02G0177700.1 pep chromosome:AGI1.1:2:17409223:17412605:-1 gene:ORGLA02G0177700 transcript:ORGLA02G0177700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVGQRFCSGWSYSDVPYNDHHAQTDASVQQMVLDHGSVSFGRFAAESLSWEKRSVFDHNRRQEELSNLTMPGLVAQKKAFFEEYYKRARLLKAQEEVNQTEATSEEGTDHYDANGHNIQEHKLPAVSSEDPVASAPSSSFEPSTGVSSSGEKKCQDPHGLGYLTFNPLFSQITGSQNIQLEGPVSDQMHHAEGDFPCATHTNTRDVLNHEPLERKVLAPKHIVSNDNGENVAVSRIVLPIASLQREHLKIDLERQEPRKNALISSMPTKSSKEPSTSVIHIPRIDSRRNSENRNSLELKDPFHKRVEMKLRALSDRMNADKATASSRSVFHQHAERAVTSSRSSMTSCRSSTYQNGDRVATSSRSALGQNADRVHASSKSAQQASRRSLREPHGAASLPRAVVNKGSHVSHVALSNSTTQKFVTSHPKHSVMPNSSQSASTLHTTQVSLKRSAGVSSVNNRPQNKRKQLSTPSTWDENKLNRGYARTSAPSSARSSSVGILPYKTAKAPKISNGNNVVVKQTEMMQKSRNGSHPAGGRNVQPKNVVSCNEQKRKVTPLQASSLSSSTRNNQASGPSMSKSKPRKPHELF >ORGLA02G0177600.1 pep chromosome:AGI1.1:2:17405639:17408098:-1 gene:ORGLA02G0177600 transcript:ORGLA02G0177600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAASEISDWEVLSAASAHGGGGEDDGEDFVVLVPGEGGGGGDVLHDHFALVAPSDVDGFPGEEGSWSGLLSDPGDKGKGEGFDSVPEGRLDPLVEEDWSEERLRFGGGVEEASGEPSVLAAASPCGEVRREEAAQREIEQGKEADGTGEDLDPDVIVVAELSRLESPENSDVQLEVEDGGSSLPEASEIGDALGFVQEALVQWKSGDVTSGCGEPEGEAKDGSLPLAQSPVAGEISLAEAAAVGDAMGAVDSGNAASGCGEQDGEAQDGSSLPLARAPGADGGEKQVVVWWRLPFRLLQCCAWKVKPIWSFSIAAALLGLFVLGRRMYRMRRKARGLPHIKIAFDDKRASQFADRTARLNEAFFVARHIPMLRTSSGAVFPWSMVQ >ORGLA02G0177500.1 pep chromosome:AGI1.1:2:17400896:17404169:1 gene:ORGLA02G0177500 transcript:ORGLA02G0177500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFGAMDSVLSKLTDLLTFEYKLLEEVKRDIVFVKSELESMHAFLKKMSEVEDELDEQVKCWRKEVRELSYDIEDHIDEFAVHLKDEPGCELHGIPSFISQIVKSIASIRNHYQIAKEMRGIRAFVGEASRRHKRYKVDDTISKPSKVTVDPRLPALYKDASDLVGIDGPKIELIRWLTEGVSGPEQQLKVVPIVGSGGLGKTTLANQVYHNLEGIFESRAFVTVSQKPDMMKILREILSGIGYNGLEAAWDEGKLIHEVRKYLRFVRYCVVLDDIWSISVWEILRCALPENNRGSRIVVTTRITDIARACCAPRHCDIYHLKPLDNTSSRRLFFKRICGSEDSLPSHVKGVAEKILKKCGGMPLAIISIASLLATKAQTKEQWESVNISLESGLDKHIGFEGMNWILSLSYNHLPQHLKTCMLYLCLFPEDYIISKDILVQQWIAEGFVFPEHGRNLEEAGYYYFNELINRSMAQPVDIEYNGEAMSCRVHDMIRSLIISKSNQENFVTIFSTSEAASVMTPGKIRRLSVQYIDEECGMVPMLPTLSHARSFSIFGHCNKMPSLTEFKVLRVLEMDDCWKLENHHLKHIGRLSQLKYLGLRRTPISELPEQIGELKYLETLDLRLSHLTELPAAVVRLRRLVHLFFDSNIKLPDGIGEMQSLQQLSSFDVCRSSITSLQELSRLSNLRVLVMSWRSFGMIGDVRSYNNNLVSSLGRLGTCSLRSIYIQGYNSSLQDFSLDLWCPPPSLLQKFVANKCLSVIPNWLGSLINLSYINVDVLRAAQRDLDILGELPNLLFLRLGSETAPQERLIIRDQCFEHLKEFKFICLLTEGLEFQVGAMPRLERLCFQFVALEIIYATGGFDFGIQHLLSLKEAFVKIDCFAAWAGVGNAAEAAIRNSARALPNNPLLNIERFSANDDDMEEDFGFVVLGRRMQQRMPQPET >ORGLA02G0177400.1 pep chromosome:AGI1.1:2:17399313:17399633:1 gene:ORGLA02G0177400 transcript:ORGLA02G0177400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:co-factor for nitrate, reductase and xanthine dehydrogenase 7 [Source:Projected from Arabidopsis thaliana (AT4G10100) TAIR;Acc:AT4G10100] MALDPKANHAAAAAASADNPTAAAKVKVKVLFFARARDLTGVTEAPVEVPAGSTAGDCLARVLAAFPRLEEIRRSMVLALNEEYAPEDAAVGDGDELAIIPPISGG >ORGLA02G0177300.1 pep chromosome:AGI1.1:2:17384492:17390147:-1 gene:ORGLA02G0177300 transcript:ORGLA02G0177300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:I1P1B7] MEGQSQHRAPEREGSHNYDIESTDGSGGLWRRNGSSSGALLRYNDSGGGRSGSAGEPLLRKRTMNTTSQIAIVGANVCPIESLDYEVVENDLFKQDWRSRKKKQIFQYIVLKWTLVLLIGLLTGLVGFFNNLAVENIAGFKLLLTGNLMLKERYLTAFFAYGGCNLVLAAAAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYRLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRAFFTTAVVAVVLRSLIEFCRSGKCGLFGQGGLIMFDLSSTVATYSTPDLIAIIILGIIGGIFGGLFNFLLDKVLRVYSIINERGAPFKILLTITISIITSMCSYGLPWLAACTPCPVDAVEQCPTIGRSGNFKNFQCPPGHYNDLASLFFNTNDDAIRNLFSNGTESEFHMSTLFIFFTAVYCLGILTYGVAVPSGLFIPVILAGATYGRIVGTLLGSISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLAMLPLVMLVLLISKTIADNFNKGVYDQIVVMKGLPYMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVGNIVHALRFTGHNGFPVVDEPPLTEAPELVGLVTRSHLLVLLNGKMFMKDQLKTSGSFVLQRFGAFDFAKPGSGKGLKIQDLDFTDEEMEMYVDLHPVTNTSPYTVVETMSLAKAAILFRALGLRHLLVVPKTPDRPPIVGILTRHDFVEEHIHGLFPNLNPHKFHSTSMGG >ORGLA02G0177200.1 pep chromosome:AGI1.1:2:17362865:17364670:-1 gene:ORGLA02G0177200 transcript:ORGLA02G0177200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAVRVLVVDDSPVDRRVVELLLRAHCGGGGAASAGEAAPFHVTSVDSGKKAMELLGRRRGDRDHLTPSSPAAAAAANDQAIDIVLTDYCMPEMTGYDLLKAIKALGSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKMNDVQRLRKCSGATRPKSAVAGDDDRCCNTAKKAAAAAAATPEQQQQQQRSPHLAGLAMVMNASSFEVSHYFQLIFKLILLAYAVLCLSQLLHRWSNGSSLLSLWCA >ORGLA02G0177100.1 pep chromosome:AGI1.1:2:17355843:17358441:1 gene:ORGLA02G0177100 transcript:ORGLA02G0177100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10310) TAIR;Acc:AT1G10310] MTAGSKGGGGGVAAARAAAAGPRTVLITGVSRGLGRALALELARRGHAVVGCGRSADHVRSLEAEIATPARHFLTVADVRSDSNMAELAKAVVEKKQVPDIIVNNAGTINKNNKTWSVPAEEFDTVVDTNIKGTANVLRHFIPLMIEKKHGIIVNLSSGWGRSAAAEVAPYCASKWAIEGLTRSLAKELPPGLAAIALSPGVVNTDMLNSCFGSSASLYQSTEQWAPKAATMILSLGLDDNGSSLTV >ORGLA02G0177000.1 pep chromosome:AGI1.1:2:17349873:17354884:-1 gene:ORGLA02G0177000 transcript:ORGLA02G0177000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor SUI1 family protein [Source:Projected from Arabidopsis thaliana (AT1G71350) TAIR;Acc:AT1G71350] MFKKHVDVKALQRLSGADKKKLRRTAKERFPQASDADLDAILPPKVEVTVAKYANRVLVYGIEGEFPMLFDIDGRGHELFPTVYALWKVPDLLPAFTLKGGEVSRFILGGADLMFPGVSIPPEGLPSFQPGQPWSVKVPGNPAPIAVGATTMSSNEALKAGLRGKALRITHYFKDSLWDSADGRYVPNEGFYEDIVVEDPNYASPSQPADSSEDHVEGMHDSTIEGEEAAVDVSESHTTDHDIHVEAIEDLTAGVSEVKLPEDNATEEPTEEREHQNLSTEEIDSLLDKCLLQALHTSVKDKDLPIPGSTLWSNHILPCRPTGVTLDIKKSSHKKLSKWLQSKSSAGLISSKEDKHKKEVMLLRINRGHPDYMAFKPEKRVQEPVVQHDNVVAEGSSTKQLEVAETYKPSSHVNPIFMAVGADTSKYYSASEASDIVFRYVEKENLVKQTDKAKVVLDVALCDALYKGAIKKGSAYPTEIHKRDLGSTFLNRMQIHHRVARGNEVVIRKGAIRTIQIMTERRQGNKKMTRLSGLECFLMDPDSLASELQKKFACSTTTAELPGKKGQHEVLVQGGVIDDLAKHLVDHYGVPKRFIEVLDKTKR >ORGLA02G0176900.1 pep chromosome:AGI1.1:2:17344317:17349201:1 gene:ORGLA02G0176900 transcript:ORGLA02G0176900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT1G10320) TAIR;Acc:AT1G10320] MSTAAADPAAAADAAVTRKERRRERKKERRRRARREAAEAARKAAEALAADPEEERRLRELEEAEADASERARRAFEDAERRWLEAAAARAAEKAAAAAAREESTAPEDSSREYKDDHGNGTEEDDEWEYVEDGPAEIIWEGNEITVKKKMVKVPKKAKENQPIQQDKAHCPFHLKTGACRFGVRCSRVHFYPDKSCTLLMRNMYSGPGLALEQDEGLECTDEEIEQSYEEFYEDVHTEFLKFGELVNFKVCRNGSLHLRGNVYVHYKSLDSALIAYSSMNGRYFAGKQITCEFVAVTRWKVAICGEYMRSRFKTCSRGIACNFIHCFRNPGGDYEWADWDNPPPRYWIRKMAALFGPSDDSIYGKPSDTPHLERSQSSDRRRPRSSDPRYTPSRTRDEDAHKQHSSRDYSHSKHERSSHTEHRRDRKESSASDKHRHREIKDKTSKYSSNMESERESHKYMREEKHRIDHGNGGKGDHGKVRSRKNRSERQESLEPGSSGRSSDFTDQDTTESPSGSKSTGKHHKKTRRQSLEEHSTRRSSRHRDMEDDGRGQSVAVKRKDHHDTSDDRWVATNSDVDSDLETQYQRSRSGTTKSRRERKRQSGNGERSDTEEVTSDSDTRDMSSDAWRSRSRSSDENLSTHRSRRKRSRSSHDS >ORGLA02G0176800.1 pep chromosome:AGI1.1:2:17338114:17340352:-1 gene:ORGLA02G0176800 transcript:ORGLA02G0176800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTSPDPPDYCSAASPELKLYQAFIFSVPVFFTFVLLLFFYLFYLRRRRANWQSLRMRTNNLIRGDNPRLECGIKKEMREMLPVVVFKESFLIRETQCSVCLADYQPDERLQRIPPCGHTFHIDCIDHWLSTNTTCPLCRVSLLPSPKTASIDPVDLEAQTVEENSSLDVQYQEGRIDENTRQEDQTLQQGSEGPTHQAEENEETSVRVTTEPQVEAEGSPSTTCRPCKTKK >ORGLA02G0176700.1 pep chromosome:AGI1.1:2:17332573:17336463:1 gene:ORGLA02G0176700 transcript:ORGLA02G0176700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1P1B1] GSSCDALYRELWHACAGPLVTVPRQGELVYYFPQGHMEQLEASTDQQLDQHLPLFNLPSKILCKVVNVELRAETDSDEVYAQIMLQPEADQNELTSPKPEPHEPEKCNVHSFCKTLTASDTSTHGGFSVLRRHAEECLPPLDMTQNPPWQELVARDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSQSEFVVSANKYLEAKNSKISVGMRFKMRFEGDEAPERRFSGTIIGVGSMSTSPWANSDWRSLKVQWDEPSVVPRPDRVSPWELEPLAVSNSQPSPQPPARNKRARPPASNSIAPELPPVFGLWKSSAESTQGFSFSGLQRTQELYPSSPNPIFSTSLNVGFSTKNEPSALSNKHFYWPMRETRADSYSASISKVPSEKKQEPSSAGCRLFGIEISSAVEATSPLAAVSGVGQDQPAASVDAESDQLSQPSHANKSDAPAASSEPSPHETQSRQVRSCTKVIMQGMAVGRAVDLTRLHGYDDLRCKLEEMFDIQGELSASLKKWKVVYTDDEDDMMLVGDDPWPEFCSMVKRIYIYTYEEAKQLTPKSKLPIIGDAIKPNRNKQSPESDMPHSDLDSTAPVTDKDC >ORGLA02G0176600.1 pep chromosome:AGI1.1:2:17317869:17321824:1 gene:ORGLA02G0176600 transcript:ORGLA02G0176600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEYDDDWELPSADITVVLCGKLGCGKSATGNSIVGREAFVSEYSHASVTSTCQLASTALKDGRTLNVIDTPGLFEMTITSEDAGKEIVKCMSMAKDGIHAVLMVFSATSRFTREDSSTIETIKEFFGEKIVDHMILVFTYGDLVGENKLKSMLNNAPEYLQKTVELCKNRVVLFDNMTKDRWLQEKQLENLLDVVDSVNTNNGGKPFSDQMLACIKEAHAREQEVHDAIGYTEEQISELKKEIQRTRDEQLANITNMVEEKLNITVDKLQQQLMEEQNARLEAERLAAEARLRSDEEIRKLKKRLEKAQQENEEFRKMASQHKCSIL >ORGLA02G0176500.1 pep chromosome:AGI1.1:2:17307820:17308884:1 gene:ORGLA02G0176500 transcript:ORGLA02G0176500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-CAP/cofactor C-like domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G39920) TAIR;Acc:AT4G39920] MPSTAAAAAAEMEPEPDHTKPSTSAAAAGHRKHLAMLERLSKRAAAAAPAPSQDSPVAAFLSRFAAAKLAAESALSACRSSPDDAQPSLSAAAAAIDDLDRLVAEASHSLPPYELRSALAAASDLRAAHRLAASDLRPKKSFSFRNKSKAPKNPPQDPPPTLPPPPDQPNPSVEAILPGLGFRGRRDATLVKDLRVSDEKDGDFTLADLVSCQVYLKGKCRALHVHKLKDCRVFVGAVFGSVLIEDVERCAFVMAAHQIRIHEATATDFYLRVRSRPIIEDCCGVRFAPHALKYEGIGEDLRDAGLEEETGNWANVDDFKWLRAVQSPNWCLVPEEERLQTIDISDVQEREDDN >ORGLA02G0176400.1 pep chromosome:AGI1.1:2:17298337:17301914:-1 gene:ORGLA02G0176400 transcript:ORGLA02G0176400.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLFSRGNLSPYLTPPKDLDSKEKVFQIRFTREIFRDYQDYINRLNLYRQRVWSCKISGKSNLTFEEALVSEHHAVSKAQKLPTELMAPVLRMIQYSTLGLYELVEKIYASLQEAVFEGLELYAKQDGLEAACRILKILGSDGTKMYEVGWLLRDKTIISTSVIKGEDLIHRRPPVSRNTLKIFIRDATSQNAPWVIHENLAKRYGIPIEPPNDMMFGEGLQKKGRKRREDGPMGDPKKKMKNDEEHINVPIKYPIDDLLVQPSADDHALLKRPPLATDFRVPKYSVGDLLMVWDFCLSFGRVLNLSPFSLVDLENAICHKESNALLVEIHTAIFHLLIKDEGDYFTILRTKKRKLKVTLVTWAEYLCDFLEMTKTEELTRNIATVRKGYYSLIDTDIKLKILRELVEEAITTSPVREKLSERVDQRQALAATKRESTRKAKDEQNSSIDGLQDDNESVDEQGKGKEEKDKNNISRSKTEGKRHGVQHLETEIEKLSIRSSPLGKDRHYNRYWFFRREGRLFVESADSKEWGYYSSKEELDVLMSSLNVKGIRERALKRQLDKLYSKISNALEKRSKEITHKLLLEEAVLRRSTRVRAQPRDNPSMSFLKYVNKWKDN >ORGLA02G0176300.1 pep chromosome:AGI1.1:2:17294082:17295230:-1 gene:ORGLA02G0176300 transcript:ORGLA02G0176300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G07020) TAIR;Acc:AT5G07020] MACPAQSMLSASTTSCCAFLRSSAAAKPQAAASAAASLARGGRLFLLSCNASSSSSSSSPSPSSPPPPAPAAEDCNEEECAPEKEVGSLSAEWLAEERTKVVGTFPPKKKGWTGYVEKDTAGQTNIYSVEPTVYVAESAISSGAAGAAADGSENTAAIAGGLALVFVAGVSSILIQVGKNQPPPQATVYSGPPLSYYVAKFQPSLAAVALQQQPAVDAPATEDASSPAPASPAAAAAEDQLSS >ORGLA02G0176200.1 pep chromosome:AGI1.1:2:17288346:17293645:1 gene:ORGLA02G0176200 transcript:ORGLA02G0176200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPEAVALEIPAAAEAEEEVEEEEGSPSPPVVARVPPRIRRRLLLRHRGGAPATAEEIEAKLREADLRRQQFHEALSCKARCTVRYPSCPSQEEDPKKRLEAKLVAAEQKRLSLLAKEQSRLAKLDELRQAAKNDAELRFKKEREELGMKVESRVRQAEEKRTQLMHARSQRRAALEERTTKYLVQRVAWENKYRERVHSAILQKRTAAEKRRTGLLEGEKRRAQGRFSQVQLAARTLSCQREADRSKLKEQLEDKLQRAKRQRAEYLKQRGSTHSFTYTASVKHGDFLSRKLARCWRRFITSRKTTVVLARAFDMLRIDEESVKPMPFEKLALCIESPTVLQTTRAFLDRLESRFTLSQSSSPSSPENIDHLLKHLGSPKRTLSKSGGRTRVTPTKAARNSDVSKLPRYSPRIVLCAYMILGHPSVVFNERGEREKLLVESAENFVKEFELLIKTILDGSSGACILKQPMLDDLSPGSSNYQESSAVVADRKKFRSQLASFDKAWCAYLYHFVVWKAKDAKSLEEDLVRAACKLELSMMQTCKFTAEGQPENLNDNLKAIQKEVMVDQTLLREKVRHLGGEAGIERMEVALSEARTKFFEAKGNRSPLATTIKNVAATCSSGESPISDMKENSNINDKRPSQAVQSMFRVPSSPSESNTAGITMSNPMTVSSTLSEKRPTENEQMVNEILHGFLADSSSNIGTVEGGFKEKVRETMEKAFWDVVVDSLRGDMPDYSYLVQLVKEVRDALYEMVPKGWKEEIINNIDLEILLQVLESGTQDMQYLGQILQYSLGMLRKLSSPAKEDEMKRSLDKLLGELTEHSECNNSGSNSFVIAVIKGLRFTMEELKALKTEVSRARIQLLEPIIKGSGGVEYLQKAFADRYGFPSNASVALRSTAQWISTSKDTVEVEWNEHVSSFSALPETDHAQPLVATLRSGYGVPDQRQSTIPVSDDMGLPECTGQRLDQLIRIGLLQLISGIEGVQMQSVPETFKLNWLRLRSVQSQFQQVIVIATSMLVQRQVLATDDPNITPTELESATSQLFNTLAELLDNFPDVSTAKIMEVMLRSSSSSSSSGSTTGSPSDERTESRKQILARVFLKSLQTDDPVFKKVSRSVYCAFRAITLGGARGRKLADAALRRLGATKLTGRVVRSAEILIRAATISQQVHGPWYNHLV >ORGLA02G0176100.1 pep chromosome:AGI1.1:2:17277527:17283992:-1 gene:ORGLA02G0176100 transcript:ORGLA02G0176100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANEVVAAAAAVVEEPAPASAGAVDPMRLASRWRSPAEWGAAAAEMEAEPAPSELNTTNSSGLFAVVSTDRMSVRYLGVNQHGHDVGVVQANRPAPTRRAVYYFEMGVKNAGQKGQTSIGFTTENFKMRRQPGWESNSCGYHGDDGYLYRGPGKSESFGPKFTSGDTIGAGINYFSQEFFFTKNGSLVGSFQKEIKGPLYPTIAVHSQDEEVTVNFGKEPFCFDIEGYIFEEKMKQQSVSDKLDLQPDISHWIVRSYLLHYGYQDTLNSFDMASETDPPSNHQNGYGEPPEMYGLSHRKLLRQGFTVVNQLNTSYYPLMIRDETSVICFLLHSQRFIEFIGAGQLEDAVKYARSNLANFLTHKAFDGLLKESVALLAYEKPAESCIGYLLDSPQREFVADAVNAAVLSTNPNMKDPESCLYSCLEKLLRQLTVCSFERRAFSGDQGDAFLLHKEVQSCDRSRCS >ORGLA02G0176000.1 pep chromosome:AGI1.1:2:17273158:17275877:-1 gene:ORGLA02G0176000 transcript:ORGLA02G0176000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases;nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT3G26410) TAIR;Acc:AT3G26410] MWYLCVFYHRLLDYRRPEVQSLAELFGGPGAGDAVEWRMPENHHVDSPFHLVRLPGDERLAAQIANRSLLVKGIYELWGQGTTYEELERAVMAYPEERKLPYLTPESTFKIVVDSFGKVISFQEQNDIMKSLTYIPFKGRVNLKKPDHKFFVMETDDYGCNNGLPPVAQRTVFFGREVGAADRHLLPTYQLKSRKYIGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNIWSNFEQYKLPEPLCILRADNNVPPWCPGLKEVFDAIICDPPYGVRAGGRKSGGRKLIKGTVAPYTVPDEKRDSHIPSTAPYSLAECVHDLLHLAARMLVMGGRLVFFFPVVREDGVANPAKYPEHPCFRLLASCEQILSMRYSRVLLTMVKVGPYTEEVEKAAEERRREFRENHHKWMEEGNLHSAVFSPADQAAAAADGKPAIDRDSKPKYRGKYV >ORGLA02G0175900.1 pep chromosome:AGI1.1:2:17265103:17271735:1 gene:ORGLA02G0175900 transcript:ORGLA02G0175900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVTRLIRSSSPVVSPSRLSAANLLKNNNGKAFLSEDASKRVEEPFKVEEAETVKVPPPSPDKLLVLGGNGFVGSHVCKEALDKGFTVASLNRSGKPSISESWADKVIWNKGNLLEPDSLKDIMEGVSAVVSCVGGFGSNSYMYKINGTANINAISVAAEKGIKRFVYVSAADFGFVNYLLQGYYEGKRATEAELLSKFTYGGVILRPGFIHGTRRVGSVKIPLGLVGSPMQMVLQSAKPLTRLPLVGPLLTPPVSVASVAKVAVRAATDPVFPPGIVDVYGIMRYSDQK >ORGLA02G0175800.1 pep chromosome:AGI1.1:2:17248809:17251330:-1 gene:ORGLA02G0175800 transcript:ORGLA02G0175800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1P1A2] MLYVKLVLLILMCGSFVSLLNSPSIHHDDEHLTQSSAEVPRVSYEPDDTRYVSDVTVDWPKISKAMQLVAGAEHGGGGARVALLNFDDSEVQQWRTVLPRTAAAVARLERAGSNVTWEHLYPEWIDEEELYHAPTCPDLPEPAVDADGDGEEVAVFDVVAVKLPCRRGGSWDEVAPRDGDVWLYRPDADALRRDLALPVGSCRLAMPFSALAEPHVAPAAPPRREAYATILHSEELYACGALVAAQSIRMASASGAPSEPERDMVALVDETISARHRGALEAAGWKVRAIRRVRNPRAAADAYNEWNYSKFWLWSLTEYDRVVFLDADLLVQRPMAPLFAMPEVSATANHGTLFNSGVMVVEPCGCTLRLLMDHIADIDSYNGGDQGYLNEVFSWWHRLPSHANYMKHFWEGDSGERLAAARRAVLAAEPAVALAVHFVGMKPWFCFRDYDCNWNSPQLRQFASDEAHARWWRAHDAMPAALQGFCLLDERQKALLRWDAAEARAANFSDGHWRVPIADPRRNICATAAGDGEAAAACVEREIKNRRVEGNRVTTSYAKLIDNF >ORGLA02G0175700.1 pep chromosome:AGI1.1:2:17230593:17236023:-1 gene:ORGLA02G0175700 transcript:ORGLA02G0175700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGWLRRKWRRRRDKPAGDASTAATSPRDSVDLGGTSAYPSACASPSSTTPTRWGAVPPRCPGPQDQHHGLPLPRPVSKSAPMPLASPAAAAGPSPSPSPPACASAAESVSGGSSSDDEADHRNYRYTDPVVHTSGRTVLPDGHNGMVEEKRFVSCGILQEHQKFFEVPIANVNEVHHMQIFEPSTSESSYSRGRMLPEDTFAVRPRSHSPGPRGHAYSACCARDFGFTPRSPVKRMDDPRSPSQPLPLPPVPVASSSIPSSSITSSQFQSQWKRGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVFLDDSHSKERLRQLNQEIDMLKQLSHQNIVQYYGSELADEALSIYLEYVSGGSIHKLLREYGPFKEPVIRNYTRQILSGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHVTSFAEIRSFRGSPYWMAPEVVMNNKGYNLAVDIWSLGCTIIEMATAKHPWYPYEDVAAIFKIANSKDIPEIPDCFSKEGKDFLSLCLKRDPVQRPSAALLLGHPFVQDHQAVRAPTCNGTQLRNGISSPAGASHRKPNRESSSKRNIAPLHGIAGLSAREFAGFSTAYPSPHNTSSSPTAVRANMSLPVSPCSSPLRQFKQSNWSCLPSPTHPALSPGLSAAAYPNNHLQNQSRRSAAVPDPWLELSQPRPPSPYGSPKRF >ORGLA02G0175600.1 pep chromosome:AGI1.1:2:17219542:17221658:-1 gene:ORGLA02G0175600 transcript:ORGLA02G0175600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G77020) TAIR;Acc:AT1G77020] MAKETEFYDVLGVCPAASDDEIRKAYYIKARQVHPDKNPNDPQAAEKFQALGEAYQVLSDPLQRKAYDGYGKTSISKENMLDGTVVFTLLFGSELFEDYIGHLAMATMASSEMASDNDNPEKLQDRLKGVQREREEKLARFLKEFLSQYVRGDQEGFASRAESEAKRLSSTSSGLDILRTIGYVYSRQAAKELGKKAMYLGVPFLAEWVRNKGHLWRSQITAAKGALQLLQLQEEACRQSGKDGLATERDVDLQMRMNKDLMMSSLWKLNIVDIEVTLLHVCEMVLHENNVKKEDLKARAMALKILGKIFQRDKEALPGPSKPTILDDDSSSDESSDDDVARTVPYRTPAVTQGIGRLFRCLCNPAYDVDDDFEPRK >ORGLA02G0175500.1 pep chromosome:AGI1.1:2:17206185:17206439:1 gene:ORGLA02G0175500 transcript:ORGLA02G0175500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRTSQRTEKFPSEILNLEIVFVWKHLNVAIPSSQERSCKNVCRLCPWLHVAVWALKHEEGTATINGLPTVSILHISLVARAI >ORGLA02G0175400.1 pep chromosome:AGI1.1:2:17197697:17198518:1 gene:ORGLA02G0175400 transcript:ORGLA02G0175400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATNLPPGFHFFPSDEELIVHFLRRKVSLLPCHPDIIPTLLPHRYNPWELNGKALQAGNQWYFFCHLTQSRTSSNGHWSPIGVDETVRSGGRNVGLKKTLLFSIGEPSEGIRTNWIMHEYHLLDGDCVAGGSSNLTSSSSNRRSHRKRGHSSMESNNWVLCRVFESSCGSQVSFHGEGTELSCLDEVFLSLDDYDEVSLPNK >ORGLA02G0175300.1 pep chromosome:AGI1.1:2:17195482:17195730:1 gene:ORGLA02G0175300 transcript:ORGLA02G0175300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHATTNRRQYTTPACAPEHVPTRMRISIILLPHSIGQCARLDRTASARRHHHQHQVLWWGRVTHALHDRWGPPPPSGLRRC >ORGLA02G0175200.1 pep chromosome:AGI1.1:2:17194847:17195026:-1 gene:ORGLA02G0175200 transcript:ORGLA02G0175200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWMDNTLWQSVLLLQQQQPSSTSTTGATRKDDDDRRRRRRVRHQPTIDEVIPSLVRW >ORGLA02G0175100.1 pep chromosome:AGI1.1:2:17191414:17193204:1 gene:ORGLA02G0175100 transcript:ORGLA02G0175100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLTPPWPAAAAAAPASAAAASAVTVEAVLALLERAISAGDVRRLGAAVHALLVKTALTHHTLLSNRLVALYALLPTPAASLAAFDDLPHKNAHSYNSLLAALARGRGTLPDALRLLDGMPPASRNVVSYNTVISSLARHGRESEALRVFAQLARDRGLGQQQVAIDRFTVVSAASACAGLRDARHLRELHGAVVVSGMEVTVIMANAMVDAYSKAGRVEDARGVFDQMTIRDSVSWTSMIAGYCRASMLDDAVQVFDMMPAQDAIAWTALISGHEQNGEEEIALELFERMTGEGVVPTPFALVSCLGACAKVGLVARGKEVHGFILRRSIGSDPFNVFIHNALIDMYSKCGDMVAAMAVFDRMLERDIISWNSMVTGFSHNGQGKQSLAVFERMLKDEVQPTYVTFLAVLTACSHAGLVSDGRRILESMQDHGVEPRAEHYAAFIDALGRNRQLEEASEFIKGLSSKIGLGTTGSWGALLGACHVHGNIEIAEEVAEALFQLEPENSGRYVMLSNIYSAAGQWDDARQVRALMKGKGLRKDQAYSWIEVQRAKHMFVADDTSHHEANEIYEMLDKLFHHMFIIGGNVEELIVG >ORGLA02G0175000.1 pep chromosome:AGI1.1:2:17187913:17191131:-1 gene:ORGLA02G0175000 transcript:ORGLA02G0175000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22370) TAIR;Acc:AT5G22370] MVFGQVVIGPPGSGKTTYCNGMSQFLSLVGRKVAVINLDPANDALPYECAINIEDLIKLSDVMSEHSLGPNGGLVYCMDYLEKNIDWLEEKLKPLIEDHYLLFDFPGQVELFFLHSNARSIIYKLIKKLNLRLTAVHLIDAHLCCDPGKYVSALLLSLSTMLHMELPHINVLSKIDLIENYGNLAFNLNFYTDVEDLSYLQRHLDQDPRSAKYRKLTKELCDVIDDFGLVNFTTLDIQDKESVGNLVKLIDKSNGYIFSSIDSSVVEFSKIAAAPLDWDYYRTAEVQEKYMKDDEFVQKTSKTR >ORGLA02G0174900.1 pep chromosome:AGI1.1:2:17182256:17186409:-1 gene:ORGLA02G0174900 transcript:ORGLA02G0174900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAFAAAFALLLLASSAAAEGEAVLTLDAGNFTEVVGAHDFIVVEFYAPWCGHCNQLAPEYEAAAAALRSHDPPVVLAKVDASADLNRGLAGEHGVQGYPTIRILRDRGARSHDYAGPRDAAGIVAYLKRQAGPASVEIAASASPPAADSIANDGVVVVGVFPELSGSEFESFMAVAEKMRADYDFRHTTDAGVLPRGDRTVRGPLVRLFKPFDELFVDSQDFDRDALEKFIESSGFPTVVTFDTSPANQKYLLKYFDNAGTKAMLFLSFSDDRAEEFRTQFHEAANQYSANNISFLIGDVTASQGAFQYFGLKESEVPLVFILASKSKYIKPTVEPDQILPYLKEFTEGTLAPHVKSEPIPEVNDQPVKTVVADNLREVVFNSGKNVLLEFYAPWCGHCQKLAPILEEVAVSLKDDEDVVIAKMDGTANDVPSDFAVEGYPSMYFYSSGGNLLPYDGRTAEEIIDFITKNKGSRPGEATTTESVKDEL >ORGLA02G0174800.1 pep chromosome:AGI1.1:2:17179987:17180694:1 gene:ORGLA02G0174800 transcript:ORGLA02G0174800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDDDFTFPAPTATATATAVAAAATSSLAPSSQGGLLWPFSSTMATADDDDDDDDAASGGQAVAATAPVAAARRVDEEEEEERMDQLWERDRDARAGDEERMDLLWEDFNDELLLQLRRRQQQRAAAGTPPSPSPAAAADDDEEEETPSSSPPGGGGGLYGCAPTMLRASSRAGAVGQFYGGRRGGGGGSRATTGWELLLRLFRKLFAVDKSSPSPAPPCRHHHRRHGSIYVP >ORGLA02G0174700.1 pep chromosome:AGI1.1:2:17175749:17177675:1 gene:ORGLA02G0174700 transcript:ORGLA02G0174700.1 gene_biotype:protein_coding transcript_biotype:protein_coding NMESKNQEVEQQQTEGGSGKGVRADVARKTKEVDHLLAKLENEGVEIDGKIASIIDDGIARIRDEAGEEGVSICKHPFDREHLDKIRKENVMTILVTVASVAVGFIMGAEWYELPSVR >ORGLA02G0174600.1 pep chromosome:AGI1.1:2:17173040:17173676:-1 gene:ORGLA02G0174600 transcript:ORGLA02G0174600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQPVGKGGVRGGWERRTVVGEAATAKVTAMTVVKAAMVGATASMASVAWEAATGAVPPPPNLVPCCDVKTMKRCSLLSISVLPRSGEDDETGAARSNKQQVGREEKDGAGEDEEDTINSKSTXQRLVVPMIPPVS >ORGLA02G0174500.1 pep chromosome:AGI1.1:2:17168449:17169027:1 gene:ORGLA02G0174500 transcript:ORGLA02G0174500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLQPANAELLDVEDLVDVPDAPQSPDPPSRSRPTRGRGKRVATPASGSRGSKRTRSDSTGEALHRLADLRVKSSESKAQKQREREAMSARACIELVKSDGHLFSSDVYHMGVLLFSDPYFCEFFLGDAITPEMREYYIRFHYAMKFPNGGGFFPPPSCSGGWFPPGGTRYDGGDRGDPGDDGAWGADGAAVC >ORGLA02G0174400.1 pep chromosome:AGI1.1:2:17163784:17164366:1 gene:ORGLA02G0174400 transcript:ORGLA02G0174400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGTKRKFEAAEPLICSSPSSASPIDVGKLGEESVELESSEDSTKSYLFDAASGATAQDDVELVARALSSVAAKSPEAVRQFIRRLTPEKMAGGGHTHWTEDELHAFLESCMEEIEARNITSSCPKTQGYANLQAKMLSKAGKHVSKQQVKNF >ORGLA02G0174300.1 pep chromosome:AGI1.1:2:17150447:17160221:-1 gene:ORGLA02G0174300 transcript:ORGLA02G0174300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGANDSSPSSKLHTRLRLWEFPDRYVFEPIDGLADLYLSANRSDGSMNLVEELPPRDSSTKPKCQTVYGVIGVLKLSVGSYFLVITGRDCVGSYLGHAIFKVTGLKVLPCSNSRSTSGNQSKMETEFSELLHAAEKTIGLYFSYDINLTLTLQRLHNLGDEFKSLPLWRQAEPRFLWNSYLLEPLIENKLDQYLLPVIQGSFQNIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMESKGFTASYVQVRGSIPFLWEQIVDLTYKPSFDIVRQEEAPRILERHFHDLQKKYGAVLAVDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLNGKGEKIEEQTGTIRTNCVDCLDRTNVTQSMIGGKILENQLQRIGVLGVNDTISNHPAFDAKYKVLWANHGDSISTQYSGTPALKGDFVRYGKRSTQGILNDLWNSLARYYLNNFADGTKQDAMDLLQGHYIISVSRDMAGPSKAGLLENYASFRLAFALVMGALMFMMMSLRQARNDVRHLVLSLLWAGLCIGITHFVRANGRVFTNRPRFYQSRH >ORGLA02G0174200.1 pep chromosome:AGI1.1:2:17148162:17148901:-1 gene:ORGLA02G0174200 transcript:ORGLA02G0174200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAAASRAVVDTSRPFQSVREAVEVFGERCLSSTSRASSESAGGGGGRPSPPAVLGCLRKLEAELAEARGELERLRQRQSHMETAVSSVAAQLSTGLAILSAGAARGKGKELAVVDIDVDLGGGGGRVRSDRWDESRAEEWMAASLEYLPSLSEALAIKMVDDDRHLGERRQGNARKKNTKNAMNNKKKQQQKKNGVSFVGRIFSSRKDKSSS >ORGLA02G0174100.1 pep chromosome:AGI1.1:2:17142509:17147366:1 gene:ORGLA02G0174100 transcript:ORGLA02G0174100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTGMEMEVEVAGDDEAVPEAPERSVVLISAGASHSVALLSGGVVCSWGRGEDGQLGHGDAEDRPVPTVLTAAFDDAPGGVASVVICGADHTTAYSDEELQLYSWGWGDFGRLGHGNSSDVFNPQPIQALQGVRITQIACGDSHCLAVTVAGHVHSWGRNQNGQLGLGNTEDSLLPQKIQAFEGVRVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRDDRLIPEKVSSVNGQKMVLVACGWRHTITVSSSGSIYTYGWSKYGQLGHGDFEDHLVPHKLEALKDTTISQISGGWRHTMALAADGKLYGWGWNKFGQVGVGDNEDHCSPVQVNFPNEQKVVQVACGWRHTLALTEAKNVFSWGRGTSGQLGHGETVDRNIPKMIDALSSDGSACKQLESSKAVPMSAKVWVSPSERYAIVPDEKAGKGIPAGNGTETHVPRGDVKRMRV >ORGLA02G0174000.1 pep chromosome:AGI1.1:2:17128599:17135217:1 gene:ORGLA02G0174000 transcript:ORGLA02G0174000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQSDLIPAAELVFKNSSAVSVELPAVVACKTDGASVPPAPAWVCCDDCEKWRCIPTELADKISKENLRWTCKENEDKTFADCSIPQEKTDDEINAELGLSDASADEANGDGSNSKASGEPNFALLRSNLFLHRNRRTQSIDESMVCNCKPPHDDRMGCRDGCLNRILNIECTKRTCPCGEHCSNQQFQRRTYAKLGKFHTGKKGYGLQLKEDVSEGRFLIEYVGEVLDITAYESRQRYYASKGQKHFYFMALNGGEVIDACTKGNLGRFINHSCSPNCRTEKWMVNGEVCIGIFAMRNIKKGEELTFDYNYVRVSGAAPQKCFCGTAKCRGYIGGDISGADMITQDDAEAGTFEPMAVQEDAEEVLGANGLSSHGTHLDIVDHEASTKTEDSNDCPSVNPPELESEQQTSGTLFDISEPENSLEALSPQDDEDVVRTPVHVSRTVESTSRQFPEYGTRSSEILQRAPCTLDGPKVPSTTNGIPPSYDLGSHRVPGFHANKKTNVKHHLILNPSSAPIDSEHILGVEGRLNSLLDVNGGISKRKDATNGYLKLLLVTAAEGDNAGGTSKSVRDLSLILDALLKTRSNSVLLDIINKNGLQMLHNILKQNKSDFHRIPIIRKLVKVCISFLCYVLLH >ORGLA02G0173900.1 pep chromosome:AGI1.1:2:17111947:17113729:1 gene:ORGLA02G0173900 transcript:ORGLA02G0173900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKGNKRFGGGGEPAAKRRAAGDDGPSESADDDIVVAQISKNRRVAVRTWNGKVVVDIREFYEKDGKTLPGRKGIQLPMDQWKILRDNIKAIDEAIKENA >ORGLA02G0173800.1 pep chromosome:AGI1.1:2:17093920:17097577:-1 gene:ORGLA02G0173800 transcript:ORGLA02G0173800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERIAASLLPAASPSPAPSPSPPRPRVSAAAAASFPCCSTSAGGLRLRXXXXXXESRCSELTDAWHLQKAATTRSGRAGAGARAVVRCMAAAAVAASDAAQLKSAREDIREILKTTYCHPIMVRLGWHDSGTYDKNIEEWPQRGGADGSLRFDAELSHGANAGLINALKLIQPIKDKYPGITYADLFQLASATAIEEAGGPKIPMKYGRVDVTAAEQCPPEGRLPDAGPRVPADHLREVFYRMGLDDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGEPGGQSWTVEWLKFDNSYFKDIKEQRDQDLLVLPTDAALFEDPSFKVYAEKYAEDQEAFFKDYAEAHAKLSDLGAKFDPPEGFSLDDEPAVEEKDPEPAPAPAAAPPPPPVEEKKEAEPTPEPVTVGAAVASSPADDNNGAAPQPEPFVAAKYSYGKKELSDSMKQKIRAEYEGFGGSPDKPLQSNYFLNIMLLIGGLAFLTSLLGS >ORGLA02G0173700.1 pep chromosome:AGI1.1:2:17078833:17079399:-1 gene:ORGLA02G0173700 transcript:ORGLA02G0173700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGLNLSHNTLNGSIPPSFQGMISLSLLDVSYNKLEGPVPHINFLEEAPIEWFVHNKKLCGTVRALPPCDLTQKGGQGKKFKTILLGIASAAGISIVFTVALVARQCKKKRYGEQRENGVTDTKVFSVWNFEGGDACKQIFEATKYFNETHCIVTGGNGSVYRTLLPTGEIFAVKKIHMMEYDEIIF >ORGLA02G0173600.1 pep chromosome:AGI1.1:2:17059779:17061116:-1 gene:ORGLA02G0173600 transcript:ORGLA02G0173600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSPLNLVLPLAILLSSLLAPPAIAMPSIEEQAGALIAWKATLQTHEPLQSWDRKAWPCHSWRGIGCGARQGKLVITKISLRGMRLRGSLEVLNFSALTMLTSVDLSHNKLTGRIPWSEVGNLAILEDLRLGINKLSSSISNSIGNLAKLSVLILWGNQLSGHIPNNLGNLTKLSLLDLCHNQLSGHIPQELGYLVNLKGLRLCDNMLSGSIPNNLENLTKLTVLSLYKNQLSGHIPQELGYLVNLKNLSLYSNNLIGLIPSSLGNLSKLSHLFLWHNQLSGHISQELGKLVNLENLDLSKNMLIGSIPNSLGNLTKLSNLLLWSNKLSGHIPKELGNLVNLNNLSLADNNLTGSIP >ORGLA02G0173500.1 pep chromosome:AGI1.1:2:17051855:17059262:-1 gene:ORGLA02G0173500 transcript:ORGLA02G0173500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLFNLSLANNLLHGNIPEELGSLQNLEYLDLSLNNLSGPIQGSIENCLKLQSLRLGHNHLGGSIPIKLGMLTYLQELLDLSDNSFAGIIPSQLSGLNMLEALNLSHNTLNGSIPPSFKGMISLSSMDVSYNNLEGPVPHIKFLEEAPVEWFVHNKHLCGTVKALPPCNLIQKGGKGKKFGPILLGVAAAAGISVLFITALVTWQRRKMKSEEQSENGAGNTKVFSVWNFDGGDVYKQIFEATENFNGTHCIGMGGNGSVYRAQLPTGEIFAVKKIHMTEDDELIFKREVDALMSIRHRNIVKLFGYCSAVHVKFLVYEYMDRGSLSRYLENHNTAIELDWMRRINIVKDVDNALSYIHHDCFAPIVHRDITSNNILLDLEFRACISDFGIAKILDVEASNCTKLAGTKGYLAPGSPPLPTVAAAAAAGGMPPRRRRVRPPLPPSPPLLSSTTATPPPTPPVGTPKSEAADRRAPPARRRLPLVSTAAVEEEDGEWHPLPLSAADLSLPLTLPTGQTFLWRRTSLSPLRFTGAVGPHLVSLSHLPSSDGRLAFLLHNNGGSSSSSVPAAARAALSDYLNAAVPLADLWRRFAAADARFAEVAARLGGGGARVLRQDPVECVFQFLCSSNNNIARIEKMVWALAGYGERLGEVGGYQFHQFPTIERLTRVSEQELRDAGFGYRAKYIVGTAKILQAKPGGGEKWLASLRTRELPEVIEALCTLPGVGPKVAACVALFSLDQNHAIPVDTHVWKVATQYLMPELAGKSLTPKLSVAVADAFVAKFGNYAGWAQNVLFIGQLSAQKLMVAETTNTSTKPTKRKRSGNNVKT >ORGLA02G0173400.1 pep chromosome:AGI1.1:2:17024985:17026745:1 gene:ORGLA02G0173400 transcript:ORGLA02G0173400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALPRRLLPWLRTPPSSSSAAAFSSAPSRGCPLHAALARRGAPAAASLALYARIREEASPPTPFTFSLLLAALASSSSSSPSPSPSAGCARLAAACLAHAQAFKCGALAHPVVTNSLLKLYCSLGLLDRARRVLYSGGAALDVVSWNTMVSGYGKGGDLGAAREVFAGMPERNLVSWSAMVDACVRAGEFGEALWVFDRMMREEFRPDVVVLVSVLKACAHLGAVERGRWVHRYLETGSFGGRRGNLMLETALVDMYCKCGCMEDAWQVFDGVHRRDVVLWNAMIGGLAMNGYGERALELFRRMLQKGFMPNESTFIAVLCACTHTGRVDEGKRVFKSMQDYGIKPQREHYGCLADLLGRAGNVEEAEALLLDMPMEPHASQWGALMSSCQMHNDNNVGERVGKRLIELEPYDGGRYVVLFNLYAVNGRWEEARTIRQMMEDRGAKKETGLSFIEWNGLVHEFISGDTRHPLTRKIYALLEDIERRLQLIGYVKDTSQVIMDMDDEEDKGIALCYHSERLALAFGILNIPQGVPIRIVKNLRVCRDCHVHSKLVSKLYEREIIVRDRHRFHVFRDGVCSCNDYW >ORGLA02G0173300.1 pep chromosome:AGI1.1:2:17020335:17024089:1 gene:ORGLA02G0173300 transcript:ORGLA02G0173300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:I1P177] MASAAAHAATATAAAVLLVVFLAPLAAASDSDHKYQSEEKVMLWVNKVGPYNNPQETYNYYSLPFCHPSNNPVHKWGGLGEVLGGNELIDSQIDIKFGRDVDKGTICSIELDPDKAKQLSDAIESSYWFEFFIDDLPLWGFVGEADRNSDNKYFLFTHKNIVIRYNGNQIIHVNLTQESPKLIDAGKALDMTYSVKWEPTNVTFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYARDDDDLETLERDVSEESGWKLVHGDVFRPPRSLALLSALVGIGTQLSALILLVILLAIIGMLYIGRGAIVTTFIVCYALTSFISGYVSGALYSRHGGKNWIKAMIMTASLFPFMCFGIGLVLNTIAIFYRSLAAIPFGTMVVVFILWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVIALMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSVYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGTLCGAVGYLGSTLFVRRIYRNIKCD >ORGLA02G0173200.1 pep chromosome:AGI1.1:2:17010142:17013057:1 gene:ORGLA02G0173200 transcript:ORGLA02G0173200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTAGRRRHRWVKEWVPQDLVVAGGPCALYKWVREDRLSALKSRDKEQEAELAKPEPTTEVLFLCSYEGCGKTFVDAGALRKHAHVHGERQYVCHYAGCDKKFLDSSKLKRHFLIHTGEKNFVCPHEGCGKAFSLDFNLKAHMKTHSADNYHVCKYPECARRFTQESKLRAHIKQQHEKGGLQNPGGSATNRSGLADHSHNSHTPKPSAAPPAPSADRPYVCPYDGCAKAYIHEYKLNLHLKKEHPNHYQDAGAQAASSKMSKRRSKPALTAKMPLPKVPKRRGYTEPYQPPVSVVEEHQWPRNVLYEDDSEETEEEGDNVGDGARYRAASSDDDEETEDEE >ORGLA02G0173100.1 pep chromosome:AGI1.1:2:16984649:16985266:-1 gene:ORGLA02G0173100 transcript:ORGLA02G0173100.1 gene_biotype:protein_coding transcript_biotype:protein_coding NDAMRRAAGQPDVIKIETFYHADKAKTEACILDLVLWLHHHISYSRPSNGGRSRSPSRSPVRSPPLTPPHQVPTTTSSSSPPPPAVARPSGGTGGGLTREDRAMLQDVYAGRRRRAPGHSRSQELSSARGAGGDSSSAVAAAAQLSKNDRLSKSSNDAPARSGGGGGGGGKLFPLSRRPSSAVVSPAVDFDIDGIKALADAQKRQ >ORGLA02G0173000.1 pep chromosome:AGI1.1:2:16977836:16978486:1 gene:ORGLA02G0173000 transcript:ORGLA02G0173000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSPPPPPPPATTATSRRRRRRRLLPSSAGGGGSSSSFSSTNSSSSSPFVSFLPPPTSPSPFHRFLPSPLRASSVPFSWEHRPGIPKTPARSSRSSSKQPPPGVVGGGKHYPSAPPLPLPPSLLSRAASDPYASAVVPAEYAASGAMPPHPPPGYYYPAGAKAARRLRLRRRRPRLADALAEWLSVLSLYRSCKRVAACFAAKAKPPPPPPAP >ORGLA02G0172900.1 pep chromosome:AGI1.1:2:16964076:16967747:-1 gene:ORGLA02G0172900 transcript:ORGLA02G0172900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEDDAYLILHRYQPATILTMLQEMGKQVGAGKGIDWRALVRRTSTGITSAREYQMLWRHLAYGHEFVESVEPASLPLDDGSDLECEIEIVPAPGNEALAEATSFAKTIITGSSREQTSGQRVNSEAAALNTPNEKIVRVPSDKQLVPGHRLTNGTGPVSSSKQPSHTGSSPDPLDPNGHPKKKKKPKPWSREEDMELGAGVQKHGEGNWMEILHKYKFDSSRTHLQLQQRWALICKRQGSTKPATPKIPNVMSEELKAAQKAFSLAIGTTRSSTLRPGGVPHHNPMVTTMTPEVKSVTAPSPLPVPVHVVPVPVPVQPVQVQLPPPQLHQAPAQSAPPPPKVSNASNKSRNNSKKQVAQSIPVNGPLSIQEAALAAGGRIAEPSVATNFLKAAQSTKAVHIRSRGTGSSKSSTGSKTPTVAGEPGTQLGSDQHLELQSTGGPSQVLTAHATEQVHNVSEVAGVNPLGQSAGVHLSETKKALNTTPVSGSSDKMEIDDNSNYFAVTMEDLFPEDVKQEDVQDPKIEEAIDPKDADMLEFDRFVAQGCLNKDNVDKSKAAKIAPEAQSVIGSQKKQLKTLPTVGKSNPVFAGPPATAKKTKAPIPQGGMSSGIVGVLNRSLGGKAPAPATTGTQSTVQKQQSMSSKGNLLVPKNVAPGTVTPANNRANTAVNGASKVNPPASQKPA >ORGLA02G0172800.1 pep chromosome:AGI1.1:2:16950519:16955009:-1 gene:ORGLA02G0172800 transcript:ORGLA02G0172800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELLKDIGSGNFGVARLMRNRETKELVAMKYIPRGLKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICSAGRFSEDESRYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIVSIQYKIPEYVHISQDCRQLLSRIFVANPAKRITIREIRNHPWFMKNLPRELTEAAQAKYYKKDNSAPTFSDQTVDEIMKIVQEAKTPPPSSTPVAGFGWTEEEEQEDGKNPDDDEGDRDEEEGEEGDSEDEYTKQVKQAHASCDLQKS >ORGLA02G0172700.1 pep chromosome:AGI1.1:2:16946660:16948759:-1 gene:ORGLA02G0172700 transcript:ORGLA02G0172700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTDGEGGCEGGGGDPMCVDDNAIVAVEMGVGVDQGIGSQHDDAGGDRVMEGQGAVTNSGDEMGMRAYGDEAETEEAATVHGSKEGTEELLRKVVYSEEAAYKLYCDYGHRMGFSIRKGKQSYFTGTKRIRTKDYFCSKEGLKEGERLTDANFNDPHTRTNCKAMVRFRVNNHGEWKVIRLVSDHNHNLARPEERHLLRSARSLIAGRSSSVETSKQDSLSCYISMARTLAIGNADLQNLVRHLKSRANEDGMFYWDVQIDRGGRMTNFFWRDGRSRIDFDCFGDVVVFDATYRLNKHNLICAPFVGVNHHWQNTMYGCALLADESMSSFVWLFKSFLEAMGNRHPRSIFTNQDQVMSKAIEEVFPNTCHRISRWHIQKNAASRLGTLNGSKAFNKLFTKCMQGCDSEAEFEETWAGMLREFKLEDNKWLKKLYKLKQKWCGALNKHTFDGGVEYEPQCDSLSNIFNCIAEKFTSPSTIVVVVDKLTEDWREKEIDEDTRCSQKPPACIIKHSDILNHAAKVYTHRIYKLFETYFLDGCGATKFKELHCEDNNRFQFEMTMQGRGSRVCTVHFDMMTMQLNCSCSKFETMGLLCPHALKALSIKNVCSIPETYILKRWTKGAKKCVFNPKQYESSYQECMDDEAAYCSHVMRYAYDLVTKSQGNEELRKSLWETLESGEKELEKYLENVTQYALSYAT >ORGLA02G0172600.1 pep chromosome:AGI1.1:2:16942998:16945619:1 gene:ORGLA02G0172600 transcript:ORGLA02G0172600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:I1P170] MAAGAIPMAYQTTPSSPDWLNKGDNAWQMTSATLVGLQSMPGLVILYGSIVKKKWAINSAFMALYAFAAVWICWVVWAYNMSFGDRLLPFWGKARPALGQSFLVAQSELTATAIRYHNGSAEAPMLKPMYPVATMVYFQCMFASITIIILAGSLLGRMNIKAWMAFVPLWITFSYTVCAFSLWGGGFLFQWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRSASDRERFPPNNILLVLAGAGLLWLGWTGFNGGDPYSANIDSSMAVLNTHICASTSLLVWTILDVFFFGKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWYTMMVLHKKWSFMQRIDDTLGVFHTHAVAGFLGGATTGLFAEPILCSLFLSIPDSKGAFYGGPGGSQFGKQIAGALFVTAWNIVITSIICVIISLILPLRIADQELLIGDDAVHGEEAYAIWAEGELNDMTHHNESTHSGVSVGVTQNV >ORGLA02G0172500.1 pep chromosome:AGI1.1:2:16936396:16939147:-1 gene:ORGLA02G0172500 transcript:ORGLA02G0172500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQRWRPTVNEREFIERALQSDLRVDGRRPFDFRRLKILFGREDGSAEVQLGDTRVMGYATAQLVQPYKDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVVDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGEDGQQVTVHDPEVRDPLPLTIHHLPIAVTFAYFGEGNIMVIDPTYKEEAVMGGRMTATINSNGDVCSIQKAGGEGVMSSVIMQCLRIASVKAADITSKIKNEVNSYTSAKALQKVKRLPASALKKDNAPDVAMKESSDGALETQSTKPSSDGQQINKEPPSVVEDNKQEDVEPMLSECNPEAQSESRLTAGGSDEMQEAISPKSLKDAVKPKHKRKKKQHSKS >ORGLA02G0172400.1 pep chromosome:AGI1.1:2:16930730:16933804:-1 gene:ORGLA02G0172400 transcript:ORGLA02G0172400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGAGGMRRSASHTSLSESDDFDLSRLLNKPRINVERQRSFDDRSLSDVSYSGGGHGGTRGGFDGMYSPGGGLRSLVGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTIAAFDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVRHFLLKTLLLQGWEKKVDRFKLGEGAMPASFKVLHDSKKGVDTLHADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALQLLKHDNEGKEFVERIATRLHALSYHMRSYYWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCQGGFFIGNVSPARMDFRWFALGNMIAILSSLATPEQSTAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGRYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMKPVLKRSASWTN >ORGLA02G0172300.1 pep chromosome:AGI1.1:2:16920525:16923284:1 gene:ORGLA02G0172300 transcript:ORGLA02G0172300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFRVYFGEGEIMENESGVDLSNFRRCTLYHPNPDTLTMPEVWYWLACIFSLDPGIYSVNVRVMWSRSANNIRWELKNVTRSKAWQDWLAGCRRRGYEYVMLVQACQGRVPTKNAAGQSSNREELGSSSHEEDEVVAPHRGGDVGPDIQNLSIQGDEVVNRHHTDEADEGEDIPAIVEEIERVDRHAVEDEENLAAKENDDEDEQEVEEVPMPASLNLEDPGYIAENSCHDSIWFYGNGQINLGAMFRDKTGLQDAEHSCLIRDTRESHRNLTVAYVTNKYYKEIIEGDDLPVRHIIKLVEKDEQYTISYHKAWRAKQKAMEKRYGTFEEAYDTLPQMLNILKSRNPGTNVAVQDRESIRPPNYLVMQRAFFAFGACIHVFQCSRPVLCVDGTFLNGKYRGQISTAVGADANNQIIPVAFAFVESENYESWLWFLQHLKWGVVQTRTSICIIHNWNAGLLKAIKELQEDGDGAYYWLDMHSRWCMRHMGANFFKQFNSQWLMNMFKRLCKANQSTKFDELWKQLDEATRTHIRSKRTNNNPQDVHVPQALEPMDDLIPSNGKKRRSSKNIKCFTHWIECEPNDKWALLHDTNGARHGIMTTNLAEAYNAVLHKLRPLPLTAIVEGIMHRTTMWMRTRWAAALQQMSNAQTPFCKKMAEYLQEKANKARFHTVITTGNVRRRWEVTCRTKGGFGSSTGVITPEVTLGHKSDNTCSCSCNKPKLLHKPCSHVLAACAKTKLDSTSYVSTFYLKDRVLNAWSAEILGWRSLQHLVETGGDKMIYVPDLDLFKAGKGRRQTRRLRNDMDASEAGGPVRRCEDCLQYGHRTRDCKNNKEGASSNMEPRQQRARKNRRGSQGAHDREEGNLQ >ORGLA02G0172200.1 pep chromosome:AGI1.1:2:16915953:16916951:-1 gene:ORGLA02G0172200 transcript:ORGLA02G0172200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39820) TAIR;Acc:AT4G39820] MAEAAAAASNSTPASPPPPPAAAAAAALGLPDLSVPYDLATRGQWKSLLSHLDDASHPRHRLLLSALSALSLAKLRRYADAAALIASLRPDPGCPPPPFLLRLLHALLPLFLPADRPLALDRLYTLLSSVRARPDASHPEWRRRESLVASLLAADHLAHREFDVALALLASVAALDPGNPAVLSRLGYAHLQIGNLAAAAAAFRHVESVAGGDPAHASLLARNRALECVVAKDYAAAVREYERCIEADAADAVAVNNKALCLMYSRDLGDAIKVLEAALEGHPTAALNETVVVNLCSMYELAFVNHADVKRSLTDWIARVAPDDFDPSCTRM >ORGLA02G0172100.1 pep chromosome:AGI1.1:2:16912459:16914766:1 gene:ORGLA02G0172100 transcript:ORGLA02G0172100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTLPPVVVVVVVLLLVVVLPATTCGADAGGGGEAEEFQIPRDGRVLELDDGNFDAAVRAAGLLFVDFYAPWCGHCKRLAPQLDEAAPVLAGLSTPIVVAKVNADKYKKLGSKYGVDGFPTLMLFDHGTPTEYTGSRKADLLVENLKKLVAPDVSVLESDSAIKSFVEDAGMGFPLFLGFGVDESLIVEYGAKYKNRAWFSVAKDFSEDMMVFYDFDKVPALVSVNPKYREQSIFYGPFDDGAFLEDFIRNSLLPLVVPMNRETVKMLNDDGRKVVLMILQDDESDEKSPRLIKVLRSAASANRDLVFGYVGVNQWEEFTETFDVKSSELPTMIVWDKKEEYEIVEGSERLEEGDYGSQISRFLEGYRAGRTIKKKVGGRSPTLLGVNAVYILIFLVAVLVLLMYFSGQGEEDQRPRQRAHED >ORGLA02G0172000.1 pep chromosome:AGI1.1:2:16900894:16902641:-1 gene:ORGLA02G0172000 transcript:ORGLA02G0172000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:I1P164] MAGASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >ORGLA02G0171900.1 pep chromosome:AGI1.1:2:16893212:16898151:1 gene:ORGLA02G0171900 transcript:ORGLA02G0171900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVPPAPEQAAAAAAVRARRGWRRVPPRTQAAVRASASAAAAASAAAKVRGAHGGRPPRGRVPGGQGRAPAVLLAARRRGVGRSFSSSSSCCGGAAPPPPQQQREDPAFYGRRRYDDEYSNNSSARPRRNSSNSSSSSSSRDDYSGGSYNGRGKRKYGDYRRGYSDWGRDREKERGRPGSNGRRYEENDDEDGAPGFRRERRGSGGNDDARSSVADVYREATPLMRKELGDLEMNGTESRAANPSGEVKEADAPQMVQSEENEEGEMEEDGMVLNSEPEVVELRMDTNDDVNNASVGVDMETELQRSLNGNVPGEKAEDDDKVLVESALDSIALDDEVANTENNLHGDERNLLKYCEYAKAPTKRRSSRPQRNAASVQIEPAVSETTDQISIGEASQIVPGEVANEISVTNLKSENREDQIYRENTDFSTSCNGTLEPILLEENNESAATGNIIEEKNDVQLHVVKEPEEEVNVSAFVPSHKDSLMQETDLSPSTASHKDSLLEGNLPLLTDSHNSLIEETGPPLTHSHEDSMVEETNLSSLTTSHKGNLKQETDLSQTISSHENNLKLQFKESCGIDMLPQDVDLIELSGQRKSVGGELFSNVGAEAASKMEDENLEQPNPFKICDQNLIGSSEVSVIHNNPGLAQCSTEGSCTESQKNQHQDFVTTSGDIAGSTNNMCQLPLNNKGVQVIDIEDDTPIEVGGFDSSKAKSDMICSNMDNMMGPVVHSGDLPGIQDGYNLAISDYLGGDIPCYPSMQSDLHGGIGANDSEGITVMDDPIYGSLTDIGNCFMDVWGQPTQDDYEKFF >ORGLA02G0171800.1 pep chromosome:AGI1.1:2:16883528:16884541:1 gene:ORGLA02G0171800 transcript:ORGLA02G0171800.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQQNENDKINLKLELQKFQNIHVILNINAHVYRLPYCLLSYLGDRLVVLQLGRWWNSDNSTYMEVEGLEKLNAIGNLKKLRYLGIRGLSKLTELPKNVNKLQQLEVLDVRGCQNLTSVMSSTVRNLRQLTHLDLTECYMLEHIGWEITSLSELQVFKGFVFGIDAPRRYVFQCRDRHACHLQDLKAMKNLRKLSINVTTDANVDKNDMGQLKHLESLQSLTITWGELPSILTSVEREKEKKQLLERWTSLVLPSSLVKLDVRCYPSEEIPFEWFEQKGAIKPTKLKKLYVRGGAVKKLNLPKDNHIETLRLRYLKEFKMKWEEILGMMNNLHYVEVVY >ORGLA02G0171700.1 pep chromosome:AGI1.1:2:16879718:16881433:1 gene:ORGLA02G0171700 transcript:ORGLA02G0171700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPSGATDTANAAGGDATLAVPPPPLLTGTAVPPPPLAAAQPQPQQATVAEGDPPPPATGGAEEVAGNGEPPQPPATDPASEPTAPPEPPRATEEKKGDEPPPPASTGVKEEVAGHGQPLPPPTSTPASEPPAPPEPTQQQQQQQAGDAKQAAPSADDEDGGKKRHSRWNFLRNLFRRHKGSLRDAVKAAALTKPRKEEEEKSKKTAGPDEASKLPPPPPAPPPAPDDDAASRSRSTRRRLVKVLRAVQFITRLKNWRNRPPEDGKAKEEQKPSPETKDKKPPETTGKDKKPADPELGQAKEKTPAPQQEEEEKKEKEEETPEAKAQRLEEEKSRRRWKERGEALLQEILEAAFEALLAGEFNKLKDQWRQCLLTFSFFPVNHKVKKQAVTYWWAAQFGLPHRRAPGVAAEPRGSEEIFAELCASGFLEPITSRCSGASHGCRVNPLVHWMVKRTARGGFAGLDQHGHPTVDPGKSRVLCLTASHRELLQRLGRADESPSAPPSPTRKLSKVKTPSQQDRQQKEEKEDRQQKEPNPTGTPSKIAVSKLEGETTVRIDSSPLIFKLGVDYY >ORGLA02G0171600.1 pep chromosome:AGI1.1:2:16869297:16869937:1 gene:ORGLA02G0171600 transcript:ORGLA02G0171600.1 gene_biotype:protein_coding transcript_biotype:protein_coding DNPVISPLPARADPPLATTGGADPAASPMVTTTIGGCGLTQFPASAAAATAELPRTASRATSCSSCSGRGAWWVPTRLCCRSWNPGRCIPRLVSSXGRAAADXLRGDLARAVLTSDRRPXLAISSSHNRLAWKHTR >ORGLA02G0171500.1 pep chromosome:AGI1.1:2:16861269:16864480:1 gene:ORGLA02G0171500 transcript:ORGLA02G0171500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPKKNRNEICKYLFQEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRSSGGRPGFGRGGGGGFGAGPTSSSME >ORGLA02G0171400.1 pep chromosome:AGI1.1:2:16844329:16846875:1 gene:ORGLA02G0171400 transcript:ORGLA02G0171400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSQSTVVFGLRMWVLVGVAVGAAFVLFLVLLSVLCLLASRRRRRRRGPNTSPVQQLPVSAPPKNPQKVKAPKDIQEVPAQATAAAAAKTPLAQVLQMPAPPPPPPMAAAAPPPETVQIATGKEHRITYPEPPHRSGSSSHGSGEAPSVPEVSHLGWGHWYTLKELEAATEMFADENVIGEGGYGIVYHGVLENGTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVDNGNLEQWLHGEVGPVSPLSWDSRVKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVDWLKTMVSTRNSEGVVDPKMPQKPTSRALKKALLVALRCVDPDARKRPKIGHVIHMLEVDDFPYRDERRGARAPVQARVADKPVAIEAGDRESDSSGNNSARQTEPFRWRNPES >ORGLA02G0171300.1 pep chromosome:AGI1.1:2:16835664:16835906:-1 gene:ORGLA02G0171300 transcript:ORGLA02G0171300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLSRGWRCASAAAPDPVPSEEPASASASTVVVTEDKPDPPPAEEKSEEVAAVSNGGSLETAAARRCRRRQPRRTAAWTTS >ORGLA02G0171200.1 pep chromosome:AGI1.1:2:16809889:16811624:-1 gene:ORGLA02G0171200 transcript:ORGLA02G0171200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVPLALGLDTAGVQVPWYFRCPISLELMRDPVTVSTGQTYDRASIESWVATGNTTCPVTRSPLDRAFTLIPNHTLRRLIQDWCVAHRSLGVERIPTPKQPADPDLIRSLLAQCPALPPLRKLRALARDSDKNRLVMATHETRAALVDMAFGTNAGGEEVEAEAMAVLAMVGLGEAEAVEVVGRRERVARLGELLVGGEGAATTTATLECRVNAGAVVEAVAAVSGADARAVLGAAEGIMEGLVALVEEKAHARAVRVGIRGLFALCLAKEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCALVRAMSGRSAEHAAGALVAVVGGSEPLQVEAVRAGAMSQLLLMVQGGCSERAKRKAQHLLKLLRSAWPAADSIANSDDFLQPY >ORGLA02G0171100.1 pep chromosome:AGI1.1:2:16806933:16807502:-1 gene:ORGLA02G0171100 transcript:ORGLA02G0171100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDGDASIPIPMVFDGGMVGVRRGGTWWTGVGSSTSGNNSSTFCRNRSTVDLQNTRTNSSFTISPARTTTIADKEEKVAVLLALVKGQEEAAVLWEVLAPPEPTHTGLTFISQREFSSDHHKEEAKRF >ORGLA02G0171000.1 pep chromosome:AGI1.1:2:16800924:16802663:-1 gene:ORGLA02G0171000 transcript:ORGLA02G0171000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVPVPHDGPLPHWAALDGPTRFDPMHLEAGLLPSLPGGLGPSWPLGGGCSLPCRQWGRLACPRLPTEAVVAVEVVTASPPSSPSLPEAVAAVAFFDELDALAAGEEVPAVFWPPRSPPKLPSLASIPTANTERSSSAAQRPSMVDGPVMGEGAPTSPSPTSVSASAAGDEAPAMAAAIGHESGPTVERGLRGXRPWQSLLPLWLMARRSCSTCRLVARRSCSTCRFSLLISTASRWHAHCLPRSCRTPLFAPSSPAAASLSVLRRILPPRLWHLPSRPWEWCRSATSGLLPRSRLLARVTXLLAH >ORGLA02G0170900.1 pep chromosome:AGI1.1:2:16763803:16764513:1 gene:ORGLA02G0170900 transcript:ORGLA02G0170900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGTSSNAPPAAASMGSGDGDNKEGTGESGNNQLLLPAIAASADKGKGVVAGTGNVDAKGKTTATPPAASSTNAPNNQGGGGGGGRSRERMHIFAERERRRKIKNMFTDLRDLVPSLTNKADKATIVGEAISFIRSLEETVADLERRKRERDSLAARCARLGLGGSSSSSAPPPPHPPPPPTTPPPSCRPRRRCRHLMPPRSPRGQSLRRGR >ORGLA02G0170800.1 pep chromosome:AGI1.1:2:16739591:16740336:-1 gene:ORGLA02G0170800 transcript:ORGLA02G0170800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFEAAETAAIVAALTRVIADGGRGGGGGVCVQPPAPSLVVPPRAGTGGGRRVDVAREEEMVGVVSAGDHAGEASVAAAGVVVAAPATARRYRGVRRRPWGKWAAEIRDPRKAARVWLGTFRTAEDAARAYDAAALRFRGRRAKLNFPEEVSRPWQGHDVDHMSCSPSSISNARFLGSWTFGPPPPPSRSVAAAATTLLGGSHGGNGAENGRE >ORGLA02G0170700.1 pep chromosome:AGI1.1:2:16737500:16738457:-1 gene:ORGLA02G0170700 transcript:ORGLA02G0170700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGPAAIVAALTRAIADDGRGGGATLGGVRGSHARRSKRGISGGAGCGGGGGARGQATAVGASGAAAEDARGYDAAALSFPEEASRPRAASGRVTYSRVVLAEDRSRTGMARKISVRRRRREHGVLVGSWTSTSAAVAVRDAPGRARLTPLLRGIYGLNFCPHGRLT >ORGLA02G0170600.1 pep chromosome:AGI1.1:2:16722690:16723094:-1 gene:ORGLA02G0170600 transcript:ORGLA02G0170600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGTAAGGDSEKPLVKEPLPQAEVDFILAWKREPSPCPDDVHWALLSPEQRQLHEEMAAMGKEFEDSFEEFQDEAQLKEGWAAIDWSDVVCADWDDFNDPNCYRSL >ORGLA02G0170500.1 pep chromosome:AGI1.1:2:16706593:16708525:1 gene:ORGLA02G0170500 transcript:ORGLA02G0170500.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYMXVAPNIXLDICXNKSISVYTFSGVSPKILRGVRGGASYICGLSAGEVTCAVDKCKEELSRLSMLGMRRSRGGLNLMDNILAVWEAFGIWQAPESVVNSLPCKSYKKQTAQCSDDMEQFLFVVMNVNVNECAVWMWGCRDEFGFIWNWG >ORGLA02G0170400.1 pep chromosome:AGI1.1:2:16655455:16664660:1 gene:ORGLA02G0170400 transcript:ORGLA02G0170400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVRFTVGSNVWVEDADVAWIDGLVEQVTGDELIIRCTSGKKVTANVSSVYPKDAEAKRCGVEDMTRLAYLHEPGVLHNLKSRYGMNEIYTYTGNILIAVNPFQRLPHLYNNHMMEIYKGAGFGELSPHPFAIADRAYRYMMNYGVSQAILVSGESGAGKTESTKMLMQYLAFMGGKVQSGGRSVQQQVLESNPVLEAFGNAKTVRNNNSSYMSSHISFVSQCSRFGKFVEIQFDQSGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCSAPAEERERYKLGDPASFHYLNQSNCIKLDGMDDSSEYIATRRAMDIVGISSDEQDAIFRVVAAILHLGNVEFVEGSEADSSVPKDDKSKFHLRTASELFMCDEKALEESLCKRVIATRGESIVKNLDARAAALSRDALARIVYSRLFDWLVNKINTSIGQDPSSKLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQQFKGNQHFSRPKFSRSDFTIHHYAGHVTYQTDLFLDKNIDYAVNEHQVLLHASRCSFVSSLFPPSEESTKSTKFTSIGSSFKQQLQALLETLSSVEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGVRNSITLIYFTGCKV >ORGLA02G0170300.1 pep chromosome:AGI1.1:2:16653878:16654651:-1 gene:ORGLA02G0170300 transcript:ORGLA02G0170300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHPLFLPLSSLLLSSLMGRDARGDGWRERPRRRRRQAKKAGAVVAGERGGGGRERRQRRAKQAGAAGEAGKGGRGERRRPRVPTVVLRVRLVVLCGCAAGRVVEAAARRGGLVHMAEQSGRRAEEGAVTAEVAEGGAWRRWASSAGLHRQPSHHRPRRQPSHPHPRQAPRTAGSPCQLHPLRVPVRIIALSVSDPDVPLSPAVDESYTLSVDKSYTLSPPCSSARPPSCSFAPPAAVLVRSLAGRARLLPLGCRAD >ORGLA02G0170200.1 pep chromosome:AGI1.1:2:16586117:16586338:-1 gene:ORGLA02G0170200 transcript:ORGLA02G0170200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDRDSIWHTMEVLCAYGMRSQIWKESKFGTIGYVKFLSCTRGFPKVFRTLSMSLVRGYRLPTSCINRGGA >ORGLA02G0170100.1 pep chromosome:AGI1.1:2:16579347:16582434:1 gene:ORGLA02G0170100 transcript:ORGLA02G0170100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAYLADECMVFYSRYLDGFETKHNRPSRNDDTYELDSYPIVKQGSSIFSHIGKPLGKPSNYVIRGMAKVQAHQYVLFNCSDVNKYLRAHADQIASTYTRRGVNPKTIERVQNEKFHEWFRAYIMDLERKNGLHIVNEDVKWLARGPLNAAKRYRAFNTHGFRFRPKRLDGVTQNIGVVLTAKTSSYTKASDATPILGDVTYYGRIIDIIELNYSGKFSVVLFKCEWVDVISGKGIKKDKYDYTLVNFSHLIHTGEKIEHEPFILPNQADQVFYVDDPMNPGWSVVRKMKPMDIYDTGKEEWIDEMEAEPFHDSHLGELFDQSNNQHWVRADVEGTMVDANNGLGTEVLWYFVCSIQGN >ORGLA02G0170000.1 pep chromosome:AGI1.1:2:16569300:16573087:-1 gene:ORGLA02G0170000 transcript:ORGLA02G0170000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKRLNVVKISAKYKSGDGNISEHNPLHESQALEDDEIELEQQDDDSLNMSGESAKEDKRGRTTLTHIWNLPEGNHIVVKCNKLGQPIGAEGGLLGKFLGTVARNGSYCPFDLKDWRLVKKNGGAETILQLVETKFLYPQSCKQWILKSTGRDWRRFKASLKTAYFQPKKKRPALYKLCPEDIDYDQWVELVKFWKSKKGKALCEKNKSSRAMMKTTHTAGTKSYARWAKDMRQDDPQKKQPYRAMVYLATLKKRAEDRNEHLRVQCDAADREDLLSQQRYPFKDIYEDDDLSFSTEKYHNDANQEEPHYQEAPPSPWSAHSFRQQEMAKEGQKMFVKSTDNAHDQVIQSRVRSKKTRPSPMEVGSTVLLMTAKYPNKEGVAYANLLSCNPYSHVGGVEIGNHFWKVRINNPIRKNEELVWKING >ORGLA02G0169900.1 pep chromosome:AGI1.1:2:16567105:16567344:1 gene:ORGLA02G0169900 transcript:ORGLA02G0169900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPLFPNAPAPPPPHQPLPPTGCAGAATLLSTSDVGPTLSPPTPLTRSSAVAAAGLPRQPSPPPSCTGAAHWAAPRRLLL >ORGLA02G0169800.1 pep chromosome:AGI1.1:2:16553947:16556454:-1 gene:ORGLA02G0169800 transcript:ORGLA02G0169800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYSRGGGGGEGRWVVMRDIVINNKTVCTTPGPMLVATFAVSPDLRTTEKFMVTTTVLMTFLGAALFAVGVLGRFSGRHRGHSSATRIFFRASFALFLPFMSFMFSQAKGRDLPFRAYLILLWMLLVELLRKKVFAMVAPAGDAFSRGVGRYSLFDAVEDAARMIWIGYLVYSYVHGFAVKSLFIILWIFSVAKLCKRAACIHLAKGSFDLAKNATLVSGYMAQLVGEHRQLDLQVVDDGGDVRGNRTMRACNYTVMGESELKINRTPHGFEIDGLEDILAAGGTSSDGDGNGKTEEQLVRVSTIWKLAESDPLFKYNVRRRQKLEDICLGMALYKLLRRRIERCHMAERGTPEARAFVLRGLLALGGGDRGGEAADAERAFDVVEMELRFLVEYYQAIIPLALPKPGIFIANFAFSVVFILLYCIAVLLVTGNGNMFRVLGSLFRGFIGISIDMVVQFRCFRHQVSALVGMVCSSSDLIVTFLLTLTLFSVETYELAQYLLSDWFAASMLCNYARMRINRDHQRRQAAQQRHAQRAIRGGLWVRHRSRPVIKAHQVTMLKLHQLHPRRVWMLASRILSRRLAGLRPAVVTVEAKVAIVAALKAFLESDGGDGGDLQFTSCVAVLRRHGFFHHGPEWACDSSKGAATVILAWHLATALLEARGDGEPLPRKGEAAVTLSRYCAYLVSYEPGLLPDDPEWTEKAYNDVKSVLGSFFRSCSCATAGRREKLVRFGDDWEAEAPSAMARGVKLGKLLEDRASESTEGFEEVWTMLLEFWAALLVVVAQRPSAGPEGHALALANGGEFITHIWAMITHAGVRVHRHHDYQSFPVTHVV >ORGLA02G0169700.1 pep chromosome:AGI1.1:2:16519331:16522733:1 gene:ORGLA02G0169700 transcript:ORGLA02G0169700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G64730) TAIR;Acc:AT5G64730] MAAAAAARLPRTEARVLSGHEGAVLAVRFNRDGNYCLSCGKDRIIRLWNPHTGALVKPYKSHGREVRDVNSSSDNAKLVSCGGDRQVFYWDVASARVIRKFRGHNSEINSVKFNEFNTVVVSAGYDRTVRAFDCRSQSSDPIQTIDTFQDSVMSVNLTNTEIIAGSVDGTVRTFDIRMGRETVDNLGHPVNCISLSNDRNCLLANCLDSTVRLLDKSTGELLQEYKGHICKSFKMDCCLTNDDAFVVGGSEDGYIFFWELVDAPVISSFRAHSSVVTSVSYHPTRACMLTSSVDGTIRVWT >ORGLA02G0169600.1 pep chromosome:AGI1.1:2:16515030:16518949:-1 gene:ORGLA02G0169600 transcript:ORGLA02G0169600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSARVMDHLEEEQRRAITMKSASIVLRRGGGGGGGGGGYGDGHRVHLIDSPGHIDFCSEVSAAARLAGSALVLVDAAEGVRVQTHAALRQAFVERLRPCLVLNKVDRLVAELRITPAEAHARLRRIVSEVNSIYSALRSRSYFSTLDAACALSQELPDHAGDDDDDEEDAFQPQNGNVVFACACEGWGFRLVTLAKLLAPKLRADPAELLKGLWGLKYFDERSRTVVGKEAMAAAAAANPKPMFVKYVLEPLWGQYHKMTRKLRLAEAVFDMVVECTPNPIAAQATRVARLMPAKTEQLTAAAPCPAAVAAEVEKVRRCVATCNASTSAPVVVFVSKMFAVPYRFLPSRGVNGEPLNHKGSSSAESGECFLAFARVFSGVLRAGQKQKNLQEVELQHLYQMMGPDLEIVSAARAGDVLAIEGLGHHVLKNATLSSTKNCQPFSGMMFQVSPMLKVAIEPSNPSDLGALVKGLKLLNQADPFIEYTVSERGEHVLAAAGEIHLEHCIKNLQERFARVQLEVSKPLVSFKETIQGESAGIMESLKASHEFVERTTPNGRFTVRVKVFRLPNAVTKVIEDSKELLTQVIEGDSGNSNGVLNSRFSQDGGDSASTLRQLLINAIDSDLEALSAQLDDEKTESYRKMLIGYLQRIWALGPLQVGPNFLLSPDAKSSDGVFTSQDGREGILVRCTCHVSERLGLVNSSDAETTMGIDGSQSSADVPDLETVKNSIASGFQIATNAGPLCGEPTWGLAFLVEPYILPDSADASNNQSDHYSTFSGQIITAVREACQAAILESKPRLVEPMYFCELTIPTQQLGSMYAVLGNCRARVLKEEMQEGTSLFTVHAYLPVAESSEFSKKLRNATAGAASALLAFSHWETVPQDPFFVLKTREEIEEFGDGSNIGPNLATKLMNSRCRRAREKKVEVKVDPIGRTAAGRRSKGDGIRNRPGIVAAAVVLASASGVVAAARVIAAAAVVIVAVAGVVSAPLQGPWLQ >ORGLA02G0169500.1 pep chromosome:AGI1.1:2:16493810:16494973:-1 gene:ORGLA02G0169500 transcript:ORGLA02G0169500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALCGVALLLLLLAIEVRGGGYGEEEKVPLSAVIVPDPSPELREPTSPSPSLAPSPTPVSGAGGGGGGDDDMRPRLPTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAVPVPRGVTDTATILPMPTPGDKHQEVGAAAASARAGMAPVVVGLITMMASFWALR >ORGLA02G0169400.1 pep chromosome:AGI1.1:2:16489752:16490777:1 gene:ORGLA02G0169400 transcript:ORGLA02G0169400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPGDLKVKGGEAPVVKEDAAVAPSPSPAAEEKEKNVDEAPAAAAVVAVAVESEAAEAGRRRSLSDLLKEDAESEGSETAPAPSAEATKAVETESPAAAAESGIAAAAAEEEKAEVVEPSPATSEQVAAGEEEEEKETAAAAAEEEQVMAVETSPAAPEQGAAVGEEEEEEEETAAAAEAEEEQVTVVEPSPVAPEQQAAGEEAEETAAAAAVEQVQVTVVDPSSPAASEQETTGEAAEAEAGQEGGGGGAQEVAEEEKRVDPGSVQVAAAASSTPPPPSSSADDEEERSKEEAAGDVSAPAAPDEN >ORGLA02G0169300.1 pep chromosome:AGI1.1:2:16485511:16486743:1 gene:ORGLA02G0169300 transcript:ORGLA02G0169300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLPPRGALVHGHGGGVGAFDLEATMQPPPPFHFAQDPHLHHHQGMVPVRGNPMLDLGNVVKTSPSDEEDVDDGHHHGGGGGSGKEASQWHRVKWISGMVKLLVSAVAYIDEDVDMDYGTGSAARRKHAMLKRKGKWRLVSAAMTERGFPVSPQQCEDKFNDLNKRYKRMTEILGRGTACQVVEHPELLEGMRLSGKLKEEARKHLNSKHLHYEEMCSYHNRNKMCLFDDPALQKSLRLALRSGEEHAKKNPFGYDDEDFSDNDDEDEEFDDLEVSAEDHHHGIHGAKRLKHDQEETHFGSNLSEVAVIDMNKMLSEGSGGPTAEKSPSTPGMRDIRLEKRRLKIKAQMLKIEQKHFKWLRFSKEKDRELEKMRLENEKMKLENERLELELKLKEIEMGIKPKKIFSD >ORGLA02G0169200.1 pep chromosome:AGI1.1:2:16470607:16475018:-1 gene:ORGLA02G0169200 transcript:ORGLA02G0169200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXLSLLLLRPLPSGNTAVEDSEAGPCIPRVQAATGDYTPWLGQEFASEHEAYEFYRYYAWKLGFSVRREYANKSRKTGEITSRKFVCSREGFKAPDKRTNHTRTPQPDTRTGCHANLVIRRKNDTSKYEVYAFEAQHNHPLFIPSCANPLQRKLSDVQSSDADNSGSVTHTSEPESRNSILAKNTVNSPEISQRSLHTRRQREIKCGEASALLNYLQDQCRADPLFYHAVQLDAEDKVTNIFWADAKMVIDFGQFGDVVSFDIVPRNNMNLRPFASFVGFNNYGETVLLGMALMYDDSLESFQWLFETFLHAMSGQAPQTVFSRQDAIVAKAISLVMPDTCHAICTWNLKQTAKSNLNHLIRGDCGFIKEFKACINDYEEEVELFTAWEAMISKYNLHSNVWLQKVFEEKEKWARPYTKWIFSAGMKNTQLNERLHSDFRDYLKSDVDIISFLKHLKKLVNDRRYIELEVEFSSRLKLPDFKIRAPILRQASEAYTGMIFQLFQEEYEEFQSAYIVTRDESGPSREYIVAILEKERRYKVHGNPSEQTVTCSCRKFETLGFLCSHALKVLDTMDIKYMPDRYILKRWAKYGRCLTAPQVEGRKVQADTTLEFSSRYEYLCPVYVRLVARASECEESYRVLDQCSVELGKKIEEILQKQTSIDASAPQSDIEDVTISLSANGTDNESERALDYSSSTRPKRRKKKGRNAKSQRKSCIEKGLQKTKKVQPEQSPIQYTMLDATQPGNVLFQGLDISNPFPMGQLNYGGVQPQPGLCPSLPTVSRELGFAAYLSQPSSNSQHNQQGL >ORGLA02G0169100.1 pep chromosome:AGI1.1:2:16466396:16467313:1 gene:ORGLA02G0169100 transcript:ORGLA02G0169100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIGATIFYCVALSMVIVMTQLPPTEADSVPAAEFASSDLKAVKLTSRKLMGAANAPAPLAHRDVPSAVFDEMKGPFTELGKKCKAASVTECCDAFKEVACPHNTLLNDLDNGCGDDMFYFIHTYGRLPPGTIFKKCVEGPYGMKC >ORGLA02G0169000.1 pep chromosome:AGI1.1:2:16460703:16462978:-1 gene:ORGLA02G0169000 transcript:ORGLA02G0169000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit 6 [Source:UniProtKB/TrEMBL;Acc:I1P134] LESGSSFVEVVASPRRAAVASMSDEEVSDPKALLEDRSKAKCVYQWYEYQKCVKRIEDDETGQKHCTGQYFDYWKCVDKNVSACCLYIQLVAEKLFDSLK >ORGLA02G0168900.1 pep chromosome:AGI1.1:2:16454611:16455225:1 gene:ORGLA02G0168900 transcript:ORGLA02G0168900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAPAYGHGDSSAAAAAAAAGASQVIAGQEAPAGGDVVAGPAGTSSFDANVVMILAVLLCALICALGLNSIVRCALRCSSGGRMMMSSSSSAAAGDDGELGPSAAAQAAGVRRKALRAMPTMVYSAAGGPSPACAICLADLEPGERVRVLPKCNHGFHVRCVDRWLLARSTCPTCRQPLFAAPPVRPFLAPLRPEGFVTPYDF >ORGLA02G0168800.1 pep chromosome:AGI1.1:2:16446831:16449957:1 gene:ORGLA02G0168800 transcript:ORGLA02G0168800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G43710) TAIR;Acc:AT1G43710] MVGSVGNGLVDLGGAAVAVNGVGKGMRPEAVAAAVAVAMEVESPPRPAEEEGEGSPTRREIVLGRNVHTASFAVKEPDADDEETGEREAAMASVLALYRRNLVERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARIWELEKNEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMDCVKVDTLISGEIDCEDFQRKLLLNRDKPAIINVNIGTTVKGAVDDLDLVIKTLEEGGFKDRFYIHCDGALFGLMIPFVKKAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINRLSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLKEAGIGAMLNELSSTVVFERPKDEEFVRRWQLACEGNIAHVVVMPSVTIDKLDYFLNELTEKRATWYQDGSCQPPCLTKDVGEENCLCSIHKK >ORGLA02G0168700.1 pep chromosome:AGI1.1:2:16442299:16443045:-1 gene:ORGLA02G0168700 transcript:ORGLA02G0168700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTGPNRRRKPNLPCRSLQGNPGGEATVTKASRSHAHTASSPPATLRPVGRCRRRRPPSPHPNATTSFHRRLLPFSLFLFHIQNSSTRCLVSQPCCSLRRRQPAVVVGGGSASYHAPPPLRSRRSWSLPTACCFRLLARLCGGDGPDGLLPAMSCGGLRLHVSPVARFTFPVLVGSAATEGLCVGRXWMNSGCSTVESLGVCGRCGRLSDNAAVFANVCSIPFSSCLPGGWKHFEPCHDQLSPKLHV >ORGLA02G0168600.1 pep chromosome:AGI1.1:2:16440279:16441880:1 gene:ORGLA02G0168600 transcript:ORGLA02G0168600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSRRCREEEEGVRQPPPPTAQCRPCQGSGREEGALILGVLGSGSRKGARSRWRRLASPSATRVAAELGIDLAKAVVRRRARSSSVFLVADLKHQESKVPEGITATRMPARFHIKPSEIRLSHVAA >ORGLA02G0168500.1 pep chromosome:AGI1.1:2:16427030:16427404:-1 gene:ORGLA02G0168500 transcript:ORGLA02G0168500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAGGAAWTLRAGSNAASRAERRQACSEQGAAKQARRLERRWAKQCGCRQPQSGWCVANQCRRPPAPQRRRGPTDHGSGGSGDGGGQETGGVAGAASLHHVDLELRVSLFILFDTIVLLSTDLLL >ORGLA02G0168400.1 pep chromosome:AGI1.1:2:16418759:16420423:1 gene:ORGLA02G0168400 transcript:ORGLA02G0168400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTPHPPFLLLPLFYSIPSPPPLPPSARHRHCCNTKCLWSERDRAAAIHGEEGDGRRRRQARGGVPGPGGKGPDVLPVPHLPRRHALAGESLHRRHLRPRLHPALDRLRQHHLPRHHAPAPLHRPRPQPHPPPPHRALGLHGRAAVVVVVAGGGIGCWPHARRCGGGAPPPGWGARGGPVPGAAXARGVPVRRRRRRVRQERAREGRWRGGDRGVGAQEEGEGWXXWXXXRRRRGGREAAVRVLAVXXXXXXXXXXXXXXXXXXXXXXXXXXXXAASLARVMRSGSGLEARVDAARLVESLLRDGAGAATPGVRAALAESEELVAELIRLVGPADEKGSLDARAVDAGLSCLAAIVAGTRRARAEMVRLGAVPAAVRVLATDQRGVGGSHSHAQALRVLEAAVGSAEGRAAVCEVAEAAIPAVVSRMMRCGGMGGAEAAVSVLWAVCHRYRDRRAVEAAAASKGGLTKLLLLMQSGCSPAARQMASELLKMFKVNAKSCLAGYDSKTTHIMPF >ORGLA02G0168300.1 pep chromosome:AGI1.1:2:16387137:16387400:-1 gene:ORGLA02G0168300 transcript:ORGLA02G0168300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGGSGAAAAAAAGVELRGNARHAAVQGEAAGERRLQQLLRVLAPWPRAAVRPVPAAQRHWSRGPRQPMNSIHVEREREREIRVA >ORGLA02G0168200.1 pep chromosome:AGI1.1:2:16370804:16373342:-1 gene:ORGLA02G0168200 transcript:ORGLA02G0168200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XWVDGXYIKLKPSWWPCRPLETSSTCLPHCHQTLPRPGGXGSASVARCCXCGWCGCRRCAARRRWGPIPDEELASRTAAPRARRRLPAVCMLGRYDYAVEENVEVEVVVIVLFTIVVVGDDDVVAAGSLLLAAAGDVAVAVVDVVPLILRKPVLHHAAGWKSTKTSRSKGLVAPVLALEPDLSPRYKYRLYVEIEENEKRGLRNERLWCQLVKGHRCRFFMQH >ORGLA02G0168100.1 pep chromosome:AGI1.1:2:16369169:16369450:-1 gene:ORGLA02G0168100 transcript:ORGLA02G0168100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSPNRHYRHPPKPFVAPWCRYPLLCNQPPFSTTTVMKLHLFPVSSKVPQHRRSLLPPAALLLPSNQLAKMPLLLAPSSTDYAALAAPPLAC >ORGLA02G0168000.1 pep chromosome:AGI1.1:2:16358889:16362322:-1 gene:ORGLA02G0168000 transcript:ORGLA02G0168000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSDYSDDGEDDVFFDAFDDDDDDDDNNRSSTEISTSEAGYELWAGEPMSVRERRHRFLKGMGFLEPGPTGTAFPQWLAEIATTDCCSFHDFEERISSICSSFRSCFSDSILAATNNTNDSADNCTRDVDYNSSGRRSTTSHDQGQHDVLSEIVEEAGTSSDEMVTPNAPEIVPGFSKLMRKVLRIRFGHGPKRNEFKSLWEIFMRKKVSDRVLSMDDVHVQPRGLNSGTLYRTKVHQQNKKWMDFTAVYMCQEIQAHEGLIRVMKFSSSGWHLASGGEDCVVRVWQITEVESSPDLYGRDVPEDMNKKKDVKIKPLAIIPKKVFSITETPLHEFQGHTSDVLDLAWSKSDFLLSSSKDTTIRMWKVGCYDCLAVFRHGDYVTCVQFNPVDERYFISGSIDGKVRVWDVSDKRVVDWDDTKYIITAISHRPDGKGFVVGSVKGRCRFYDQSGLFPLGRNIERNKLMRIKRRRCAANKITNIQFSQGHPSRMIITSKDHKIRVSEGHKITQKFQGQWRSKVLVPPSLTPDGRYLISAGRDYKIRIWNFDGGGRRRRVVSSRELFFSEGVTAVAPWARAMGGGGGADAPTLCYDRERCSFGTWFVVPDGAAAAAATTWPEERLLPSLRYVNCAGMDDCRSQVPAAWNMVVVTGSRGGAIRAFHNYGLPVRL >ORGLA02G0167900.1 pep chromosome:AGI1.1:2:16348715:16348927:1 gene:ORGLA02G0167900 transcript:ORGLA02G0167900.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEGWVARGSAGDAVKLEDGETDVKVYGWFAREGDYNAGNVVGRYLRKHTNLTTIYEVSKSQSEKSGKMVAK >ORGLA02G0167800.1 pep chromosome:AGI1.1:2:16338417:16345910:1 gene:ORGLA02G0167800 transcript:ORGLA02G0167800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-metabolising metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT5G63420) TAIR;Acc:AT5G63420] MVALASLSSLSPCGLARRRSSSSAASISCCAAPPPPSAKGSQESRTPRRRVRKTEGATKSLEDSVKRKMEQFYEGLDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDFDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSSTPIFASSFTMELIKRRLKEFGIFLSSRLKVFRVRKRFQAGPFEVEPLRVTHSIPDCCGLVLRCADGIIFHTGDWKIDESPVDGKIFDRQALEELSKEGVTLMMSDSTNVLSPGRSISESVVAGSLLRHISEAKGRVITTQFASNIHRIGSIKAAADLTGRKLVFVGMSLRTYLDAAFRDGKSPIDPSTLVKVEDMDAYAPNDLLVVTTGSQAEPRAALNLASFGGSHALKLSKEDVLLYSAKVIPGNESRVMKMLNRLTELGPKIVMGKDAGLHTSGHAYHDELEEVLQIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFVALGKEDLRLMYSDGDKAFGTSTDLCIDERLRIASDGVIFVSMEIFRPQKELASSQSGLKGKFKITTRCLWLDNGRLLDALYKAAYAALSSCPVNCPLSHMERMVSEILRKMVRKYSGKRPDVIAVATENTTVSFVEDSETKSSGKFGSFSAPRHSSRSSGRSLEESDKSRPENTEGEAKENLPDVLRTTRDDATTSSNGEAFFSSDLHKPKTLEHFWDSFKSPTAVKIARIVNGSAQGSKSKIGKISIVGKDSSNPSSAPVKSSKKNKWKPEEIKSLIQMRGEMNEQFQTVKGRMVLWEEISSSMLSQGISRTPAQCKSLWTSLVQKYEESKKDEESVKTWPYFLDMDRVLSSQGEMATQ >ORGLA02G0167700.1 pep chromosome:AGI1.1:2:16334046:16334873:1 gene:ORGLA02G0167700 transcript:ORGLA02G0167700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASDGRPSPRSRQLQGPRPPRLAVSKDSHKVRKPPVVPQPRGGGGVVAGPSRPQQQQQPRAPVIIYDASPKVIHTRPSEFMALVQRLTGPGSAAVAAVAAPVAAGFHAEASSSSSASASALPPQFQLPQEFMLSPTAALSPAARYAAIERSVRPLPPTTAHYYTADADDPILLDVDGDAAAFAAALGPARPSILSPVPSALPPAASSGLFSPLDQASLSWLSDLSPFLHSAGAAAAPPPPFAPSPRSLLLSTPTMPSPATFSVMEFFSSNFPDL >ORGLA02G0167600.1 pep chromosome:AGI1.1:2:16315821:16316597:-1 gene:ORGLA02G0167600 transcript:ORGLA02G0167600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSPEEEALGVLYSLKPSEPTLRRVLGKDNGVGFLDTLASVLRRPSYRSRAYAILLLKAVTSAMPPERLMAVSPELVEEVVRVVSDGVSSKAVKAALHVLCRLCPWGRNRVKAVEAGAVAALVELLLDEEGGGGRRRAAELAVVAIDHLCGCAEGRSELVAHPAGLAVVSKRAMRVSPAATESAVRALHAVARNAATPAVLQEMLAVGVVAKLLLVLQADGGERARARAREMLRANARVWKDSPCLQAHLKASYPS >ORGLA02G0167500.1 pep chromosome:AGI1.1:2:16306997:16310886:1 gene:ORGLA02G0167500 transcript:ORGLA02G0167500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARASTSPSPQRPASMGRGGGGGGGGGDASAALSFIYRGWREVRDSASADLRLMRARADSLRTLADRELEHLLMSASTTVAAPAPPVAAGAPIAEVEFVRNRIQPKISELRRQYAASGDWELGLGRRVLEGWVAPPPPRGATTARVDLSGITAIRNALVPEVAGGGGARTAWWSGDEMEEEEEKEWEVVRMIRGGLKELERRSQSSGEILGGIPGPSELVEKFKSSLKSFNMEPLGSKEVPPLDLTEIMANLVRQSGPFLDQLGVRRELRDKLVETLYSRQNHSLSADSSLLGDDNSTDELDLRIASVLQSTGYHTDDGLWNEPSKYEVSDNKRHVAIVTTASLPWMTGTAVNPLFRAAYLARNSKQDVTLVVPWLCKSDQELVYPNSMTFSSPEEQETYIKKWLEERLGFESNFKISFYPGKFSKERRSIIPAGDTSQFISSREADIAILEEPEHLNWYHHGNRWTDKFNHVVGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCDKVLRLSAATQDLPKSIICNVHGVNPKFLKIGDKIMADRENGQQSFSKGAYFLGKMVWAKGYRELLDLLDKRKSDLQGFKLDVYGSGEDSQEVQSTAKKLNLNLNFFKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVICAEHPSNEFFMSFPNCLTYRTPEEFVARVNEAMAREPQPLTPEQRYNLSWEAATERFMEYSDLDKVLSQPVTEGVHRSKTRRTIQSNLSDAMDGGLAFAHHCLTGSEVLRLATGAIPGTRDYDKQHCVDMGLLPPQVQHPVYGW >ORGLA02G0167400.1 pep chromosome:AGI1.1:2:16301465:16301944:1 gene:ORGLA02G0167400 transcript:ORGLA02G0167400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLXXWSTSPATAASMTNGGGRGHGFDDGRRXWRVRRRFVSSAGKRVAMTASMTVSKPGGSGFDSGGDGGGSSAGKRAMAAVASMMFGKPDDNNFDGGGDSGGSSKQRWEMGGGDNFDDGQKARRRLASRAGKQLPTMGNRQGXGRFCLFDISLSSFXXEM >ORGLA02G0167300.1 pep chromosome:AGI1.1:2:16293939:16297856:-1 gene:ORGLA02G0167300 transcript:ORGLA02G0167300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP protein [Source:Projected from Arabidopsis thaliana (AT5G04840) TAIR;Acc:AT5G04840] MSRSPHLPPRCPPLGPQITRRDDSLFTQSCRFPSEDPFVGEPPCWLDDLLADSGKSHTLPPLRRACSDSDAILDVLTTFQSPIYPIDEGDPQPVGEAGESFNAAAEGGGSGAGIEGSCVYGPNSPRQKTRLTSSESSMVNAVLENVPSNPLQYLMIDATSGVNCNVGAANGTGDTGDAVCHADQEKSLKRRSGQRSRVRKLQYIADLERTVDSLQNIGADLAVRVASLFQLRNALSMENKQLRRQITSLQQAKLIKDGQTQMLKKETERLKQLSVRHRRSRSVTSCFEANSFGGGDPSAINWQMLDMSKLSLNGGAVVPPRGGYGM >ORGLA02G0167200.1 pep chromosome:AGI1.1:2:16285072:16285746:-1 gene:ORGLA02G0167200 transcript:ORGLA02G0167200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKHHKREHHLRRCCGGMAACILALVLVVGFIALVVYLALRPSKPSFYLQDLQLRSVDLGDPSLSATAQVTLASRNPNDHVGVHYRRLDVFVTYRDEPVTVPVSLPPTYQGHRDVTIWSPVLSGESVPVAGFVADALRQDVAAGYVALQVKVDGRVKWKVGSWVSGSYHLFVSCPAMLASAGPGGVGPMPLGGASAAVVNGTGAGAVASLRFTQPTGCSVEV >ORGLA02G0167100.1 pep chromosome:AGI1.1:2:16266596:16279516:1 gene:ORGLA02G0167100 transcript:ORGLA02G0167100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEGEAAHGVFAFAAAADSGSADDPMAVKMLRDAAADVVSSSTATRIHLFREILPPLLSRGSDSALFVAQLIRFIFRTLPVYDDQASNNAVDDLVQLALRKPTLLGHFAFMLVETMEQNMKFSRPPLLSDVHKMYVEKVRNSMFHVRESPQFFKLILDFAMTSSSLSSEYKHSDEQMRADALMIVGTLIIKSTDPETLTTMLDAITTSLGGSKEELSNTYKRIGMINALAELSTSPAVHQINTIAASISGFLMTCYKDDGKVKVFLSMLDALIQMDLSIVLPAIDRYKTGLKDEVYLRKGYLELLRAVCKNSAALRKITSLLDQLVQLLIISFTSTTQRLDGIYTLFAVSRILAVDTDASLPTICSAIYDACGQVDLFTLICQNELSSNSALSLSELSDEDCLVAVDLFQSLIVENLSWVKEKISIQSLLQLLIHPACHPHREVRKLAYVATEKILASTAVLGQDLLLLFNNWLSLIGNRTLTLEQRSTAANLCPTPIPSTGVLTRFLFFIAPYAVGHSPRSYSQLILCSHHPCISNSRPAAVWKRLQRVLKHHQIVFIDLIATNMSAIFMELLRQDDSLTCDEYALEARLHSLRTVAAILPNNGLPEFEGGNNLQQARSAEPSEAANAPPTVSVPAGSNATHPVTPVPQPPAPAADQLQDMIPMQKEVSKFVCSYFFID >ORGLA02G0167000.1 pep chromosome:AGI1.1:2:16252389:16256134:-1 gene:ORGLA02G0167000 transcript:ORGLA02G0167000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSAPLLRFHHHHRCLLRHSSSPRRYPAGFSRGLSSVSFGLPRSTTTAARSTASPSAPSAAAAEATDAASAQAGSDGKGDGVGEEERVVLPTNESSDRLLRIRHTCAHVMAMAVQKLFPNSKVTIGPWIDNGFYYDFDMEPLTDKDLKRIKKEMDRIIRKNLPLVREEVSREEAQKRIEALNEPYKLEILEGIKEEPITIYHIGEEWWDLCAGPHVESTGKIDRRAVELESVAGAYWRGDESNQMLQRIYGTAWETEDQLKAYIHFKEEAKRRDHRRLGLDLDLFSIQEDAGGGLVFWHPKGAIIRHVLEDSWKQIHLQRGYDLLYTPHVAKAELWKVSGHIDFYKENMYNQMDVEDELYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEQILGQFGFRYYEINLSTRPEKSVGSDDIWEKATLALKDALEDKGWEYKVDEGGGAFYGPKIDLKIEDALGRKWQCSTVQVDFNLPERFDITYVNSNSEKKRPIMIHRAILGSLERFFGVLIEHYAGDFPLWLAPIQARILPVTDNESHLQLQYCNEVASELKSKGIRAEVCHGERLPKLIRNAETKKVPLMAVVGPKEVQARTLTIRSRHSGEIGTMPVDEFFRRIQLAIANKSSSL >ORGLA02G0166900.1 pep chromosome:AGI1.1:2:16240182:16247946:-1 gene:ORGLA02G0166900 transcript:ORGLA02G0166900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEADMENGRLYPERPRTFSTVRTKSSLPPIFRVLMRINPRAFIVLLLLVFSGVLYVGASTSPIVLFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILALVILGIYLFRSTTPQQEASGVGRTTSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVGMAVFGVAILYATFYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVISSVGILSIRGTRDSGLISPIEDPMAIMQKGYSITILLAVVTFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGLESTALPVLVISVAIISAFWLGHTSGLVDESGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDILDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVAAFAQLPFKEVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVNEVRRQFIERPGIMDYNEKPDYGRCVAIVASASLREMIRPGALAIISPMAVGIIFRMLGHATGQPLLGAKVVAAMLMFATVAGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFL >ORGLA02G0166800.1 pep chromosome:AGI1.1:2:16227678:16231360:1 gene:ORGLA02G0166800 transcript:ORGLA02G0166800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQALTAEAAAVVKQAVSLARRRGNAQVTPLHVASAMLQAAGAAPAPGLLRAACLRSHSHPLQCKALELCFNVALNRLPASAGASPLLGHGHGVGVYYPPSLSNALHAVAEVRALACGGGGGGVWLVGHGTYQTNIRCRAGHPSLETLWGLHTLAVPAGSLALSLTCADADAAADDDDSGAMAAAAVNHQSAKGANGSTSPSPCLSLLDAAAAGGACSSGQLAVMAAAVSGACCGGDCAAATKALLPRSVVFMPPSATTTTTTIPPWLHHCRDQEPAAHMKKWMSAHGGSPSRRTALNISSTAVSPCSSVSSYEQYTRLHQPYQPWLVADDDDEAEETKHPYIAGDGGAGRLVPAAAKVVIKSDDSSASNGSVEVEWRRPRFKEVSAENLKVLCGALEKEVPWQKVIVPEIASTVLRCRSGMAAPAMARRSSSCSSSKEHTWMLFLGGDADGKLRVARELASLVFGSSKSFVSIGGAANASPPPSSSSSSPARSSGSTEQPHRSKRPWAETTTTTTSGRDQDHLEALYDAVRDNPRRVILMERVDRADARCHDGIRDAIERGVVRSRGGGGEEAFLGDAIVVLSCESLNPSSTTPAKKAKTEYSVEKLDQDGDDHHGKEAVAAAASPSCFDLNMSMDDDDEAAEERCTGEEEEAGHHHHLLLLKAVDRVLFFRSIGE >ORGLA02G0166700.1 pep chromosome:AGI1.1:2:16214674:16218055:1 gene:ORGLA02G0166700 transcript:ORGLA02G0166700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACCSSLATAVSSSSAKPLAGIPPASPHSLSLPRSPAAAAKPLRLSASSSRSARASSFVARAGGVDDAPLVGNKAPDFDAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYDEFEKLNTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQDNPDEVCPAGWKPGDKSMKPDPKGSKEYFAAI >ORGLA02G0166600.1 pep chromosome:AGI1.1:2:16204591:16208785:-1 gene:ORGLA02G0166600 transcript:ORGLA02G0166600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRPPAAQKKILQSLRPPLPFAASSRSPFAAPNDYHRFPSGGAAAAAAASGSGGIGAGGAGGGGGDIEEGLVIRTPQKRKAPEESDVAESSDCMITSPGFTVSPMLTPVSGKAVKTSKSKTKNNKAGPQTPTSNVGSPLNPPTPVGTCRYDSSLGLLTKKFINLLKQAPDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVELDNGLSALQAEVENLSLKEQALDERISDMREKLRGLTEDENNQRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGPIDVYLVSQFDEKFEDLGGGATPSGHANVPKHQPTEVFNTTNAGVGQCSNSVAVDNNIQHSQTIPQDPSASHDFGGMTRIIPSDIDTDADYWLISEGDVSITDMWKTAPDVQWDEGLDTDVFLSEDVRTPSSHNQQPSAVGGPQMQVSDMHKP >ORGLA02G0166500.1 pep chromosome:AGI1.1:2:16169259:16172606:-1 gene:ORGLA02G0166500 transcript:ORGLA02G0166500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFDNCEVTIIVPGAMHRAKKAKVEPSSALRSDKFSILPQEIKVTILSKLNSLDAIRASILSSAWRNVWTTLPEIYLCDLYLSWGSSETTQRSKFITLVDLALLLHNGPLASFSILGFKRYHDVHAVKEKTKVHYNQVLLWAXLXDPIKPLLSQXFGISADKKMHHRFASGVXRFQAANCPESEIFLLNRQXHKXSDLLMPLAEYIVFEIVRGYYLSQYSSSSTAGFRGXRELSRFSSACTXSVQFECYTRQNRSPTICCSXRQQEKLSEASYGQPNXHSKTCYEKMHHCLASGVXRFQAVICLESEIFLLNRPXYKYSDLLMPLFKYIVFETFRWYQLSPYXRPGTADCRGXREIXGLSSECTXSVPCVYYTRQNRSPIVCGSCRXHEKLHEASFCQPNXHRRTYYKWLFLDVSIQRVLVDATPRCVXSSEEDLYXEVLLELDRGLGCLFNISECPYFQRTXDMELPTSRSLXAXDNMGSRSDGDXRAYLASPRDSGHKRFRGAQLRGCSCWTATELVTCFRGTEDIQGQERXRXIHVHLQGSYQVTGSPEGVXRSQDHCHL >ORGLA02G0166400.1 pep chromosome:AGI1.1:2:16164583:16165134:1 gene:ORGLA02G0166400 transcript:ORGLA02G0166400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMMKPCYTAALLAVAVLGVAFVDATVVTTCRAAADADARVDYGFCVAELGKHHDSPGADAWGLAKVAALTGVVDADNAAYDARDLLAKGPGGGAAAALARCGELYRAAGFAFAEAHDDINARDYAAGKGKAADAASLARQCDAAFAAGKDDAAAAPPVIAQHGSYAARIAIVCTAITNLIE >ORGLA02G0166300.1 pep chromosome:AGI1.1:2:16160939:16161490:1 gene:ORGLA02G0166300 transcript:ORGLA02G0166300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRASRRPAAAAAAVVVAVVLAVSGGVGATPETACRAAAEEDRRVDYEFCVSRLSHHHDSPDADTWGLAKVAADVGVCIAGDAAYDAKAKLQAAKAGGEREALERCAELYDRMGSAFAAAYDDINRREYAAGKEKAGEAASLARRCDGAFADAGVAPSPLERQTAESVKIAIVCTAITNLVK >ORGLA02G0166200.1 pep chromosome:AGI1.1:2:16147768:16148890:-1 gene:ORGLA02G0166200 transcript:ORGLA02G0166200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVQCVYFTRKNGRSGQLEKLSEASFCQPNQNRNTCYKKMHHWLASGVXIEIYISXSPRAPGXIRCVXNILRYLSEGCLLAELPGVFDRLRKICIEKCSWVWTEVLGACSIFRNASKFRELEIRSFYSDEEFWYQPIWDNDQAEIEEPTLHTSXRXPLTISWGWNTKSLLLDCSXDGHPPXRNXRXSGRTRMSRMMIACSGFLPSYWLSRERLAKPRSLSS >ORGLA02G0166100.1 pep chromosome:AGI1.1:2:16126937:16127326:-1 gene:ORGLA02G0166100 transcript:ORGLA02G0166100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGRGMTRGLDLKLNLSLPAVARAVSPAAALAAADDESSPSSCLSSESELRQQHGGGGGQLQWSDSPEATSMVLAACPRCFLYVMLAEADPRCPKCRSPVILDFLHAGGGGGGINADGRRHRRG >ORGLA02G0166000.1 pep chromosome:AGI1.1:2:16121987:16123954:1 gene:ORGLA02G0166000 transcript:ORGLA02G0166000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHIGRLSSHVRQRYLEHLFQRFGNCTVRLKDGYGFAVFDSNDDAARAMRALQGKFVCGERITVNWSKQQPRFSKDFRSSRNVESSHQRAPRVRDGNIRFRDSLAQKNHPASHDQGHSPDVAPEQKSSDGALEKKSDEKKSDGDVEDLKDVRETVGQDPVEMKRNEDVTSDDVIEHDRWEETGKGNPGRDDDDFDRYEPYHGYARQEERAEVVKASSQETYHHRFSSQKSKEYPAGHTAHDCPQKTDGGFEAWRDALSRQETLRRFGSPSRRQPDTRDCSKKTDDRFEAWRDALSRQVKGMARLKRFGSPSRKRTEEFRVDKVVETHRMVQDGRKQFSDRTSHAHRLSNVSREDKRHTRCSENIPQTPKESRKRSRSKRSRGSSLSSDRSTSHSTSKCSRSRAHSPSHSAHSSSKSFQPTQPEGLRSMAVSNVSPLLVSGSPQHNLPSTAENKNSDFLVNSPLEGNLDSKTTTGLKHTRGYQQDIKDSRLSGESPVIPLRLKIQRNGELPVSGKDAYLDGYTETNLNNNLVYDDNVADGVQVQKTNSEDASTVKSSKDILVKSERSNSLKLTTNEVVSALKHYGMEARGTDLLNQPVEKYFGAARLWPWEVIYYRKLKKGPISTENYAKRLEQNKEYSIVDRYVRSSSGWWECH >ORGLA02G0165900.1 pep chromosome:AGI1.1:2:16117868:16119475:1 gene:ORGLA02G0165900 transcript:ORGLA02G0165900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCAKKAKVESQSAESLDRLSSLPPELKVAILSKLNVVDAIRASILSSARRNVWTTLPHIILFDTYRISGTLRSKFTTLTVTXIIXSPHALGXIHCVXNILRVSIVSEFKLRHYRFXRLKGPLKTFICMHLTCPMCMLHSTKQKLQTGVKTIXCKLLXAXLEXKHLLXKDVWLRCLRRLKVSSGYLSXIXNIYTQQTLTXQIXSPHAHGXKHCIXNILGVSVVSVFKLRHCSISRFKGISKTCICMHLICCILHSTKQKQNNVMPLRVTRKIIPRKLLLAXLVXKNFLXMALPXRIYRKGACXPNPQACLIVXGSFLLLTSTELLSTGRFLESANMGS >ORGLA02G0165800.1 pep chromosome:AGI1.1:2:16114051:16114365:-1 gene:ORGLA02G0165800 transcript:ORGLA02G0165800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAPSLCRRRPFGIRRAGAQPPPAPPLCPTAEATVICNRGRQGRHHQGRRGHRRRRQGRRAPSIAASYLVRRSRLVAAKPAAASRFGSLPASPHHRALCVSRRQQ >ORGLA02G0165700.1 pep chromosome:AGI1.1:2:16111498:16111980:1 gene:ORGLA02G0165700 transcript:ORGLA02G0165700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSALLIFLLFVLIVTSQLEWKQQLVNELESTSHKQKHISSRGELVKEKIILSQEKMIRLLNEHIQNLQQQLLQCRVNNRTANSSGSSLTSYIGEIQRQQMMDD >ORGLA02G0165600.1 pep chromosome:AGI1.1:2:16086332:16087282:-1 gene:ORGLA02G0165600 transcript:ORGLA02G0165600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTVEDLPGDVLTCALRRLDGPSLAAAGCATSGLRALADDPDTWRALCLSRWPSLAAAEQRCVLSAAAAVSPRRLFADAFPFPCVDDAAAAAPLDGDDQRLPGELVSAVDVYHGGAAVVSRVVETSTSSSWFLASPFRVDAVEGKSPAPAPASVASSWSPAELELSWILLDPSTGRAVNVSSRRPVAVERHWYTGDTLVRYAVVLAGCKFEATVSCSEEAGQITEVSLAADDADGAAVSGEGCLRLLAAAMAGPRKGGRGQEGEAKRRYDEFVRRKRGRKESKARREVLVDLCCSAVSAVAVISFLAAVVLR >ORGLA02G0165500.1 pep chromosome:AGI1.1:2:16078337:16082721:1 gene:ORGLA02G0165500 transcript:ORGLA02G0165500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPEPPGVGGMETPEIFTGAAAATVVVRRAVVIGNGSPGAENQCLGLVRALGLADHLTLYRVTRPQGGINEWLHFLPVSLHKLIDQVLRQFFRNTRLAPVVEGRKHYRVPNGGSVGVGLSSVLEADAKKIVAVARDTFEKEGPTLIVACGWDTISYSSSIRHLASGNVFVIQIQHPRSRLDRFDLVVTPRHDYYALTAGGQEEIPRLFRRWITPQEPPGRNVVLTVGALHQADSAALRLAAIAWHDELAPLPKPLLVVNIGGPTRNCKYGVDLARQLIASTYNVLDSCGSVRVSFSRRTPRKVSDIISKEFAGHPKIYIWDGEEPNPHMGHLAWADAFVVTADSISMLSEACSTGKPVYVIGTEYCKWKFSAFHKTLRERGVVRPFTGLEDISNSWSYPPLNDAIEVATRVREAIAERGWSVG >ORGLA02G0165400.1 pep chromosome:AGI1.1:2:16068423:16071519:1 gene:ORGLA02G0165400 transcript:ORGLA02G0165400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLRHRPQPQSEGSSRQTGSASSAQAGNGGSSPTNVEDDESNTRARTGCGDRLEEADRPRIVPAGDAFEVYPYISSRRPSTVQGALLRKFYPGAFGLVECRTPALTWRDYKRSTNERIMSPADRVLKEFWYRFKCDPTDKVEADKVLEQNFKKKVPQQHTKGGQQGLAATWQHTHRMQQGKNEQLCNQRAEEAWGCLSRGMEREYGPNWQVEKPDLDANVIYNSTGRMPHGRLAIANEAISNKDKDAIKSRKRAVTPPPSRVSAREIYQQKKIKCLERDNASYRGLECVVRALAAKGGLDYETLVRQYAPELASSTKDVGSAPDHHEAEYQHDQSDVDQEGGNDIGEDEGNDFDLEEGNEILDYEDNDFDLGEGNEIPEGEGNGDDTDGDYGMSESDHEDIDLWII >ORGLA02G0165300.1 pep chromosome:AGI1.1:2:16044097:16046455:-1 gene:ORGLA02G0165300 transcript:ORGLA02G0165300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein binding;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G51700) TAIR;Acc:AT5G51700] MSTEAETTSAAAPAPAPASAPARCQRIGCDATFTDDNNPDGSCQYHPSGPMFHDGMKQWSCCKQKSHDFSLFLAIPGCKTGKHTTEKPITKAVPTKPSKAVPVQTSKQSVGADTCSRCRQGFFCSDHGSQPKAQIPTATSDTNMVPVEKPAVPPPKKKIDLNEPRVCKNKGCGKTYKEKDNHDEACDYHPGPAVFHDRIRGWKCCDIHVKEFDEFMEIPPCTKGWHNADAA >ORGLA02G0165200.1 pep chromosome:AGI1.1:2:16037141:16037719:-1 gene:ORGLA02G0165200 transcript:ORGLA02G0165200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTTCRGGHHAAVMPRPPPPPPPLFGQAGQDQRRRYLGLCTEGLGSESSESSGGDVDLGTGGGDDTGNDGVGRALPCKRQHRPIDDEEEEEEKTVVPAALAPPLPAWTRAAFPPPISVIGAGGKPWLYLRAHRGDGRLVLREVRIPSRELLHGRREDGRFKLHFAHPDEQLQQQQLLLLADDQDPAEKNE >ORGLA02G0165100.1 pep chromosome:AGI1.1:2:16033274:16036240:1 gene:ORGLA02G0165100 transcript:ORGLA02G0165100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrilase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT2G27450) TAIR;Acc:AT2G27450] MAGGGGAGRKVSIAAVQFACTDVESENVDTAERLIREAHKKGANIVLVQELFEGQYFCQAQRLDFFQRAKPYKGNPTIIRFQKLAKELEVVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKAFKTKYATIGVGICWDQWFPECARAMVLQGAEILFYPTAIGSEPQDNYLDSREHWKRVMQGHAGANLVPLVASNRIGRETVETEHGESTITFFGNSFIAGPTGEIVKLANDKDEDVLVAEFDLDEIKSTRHGWGIFRDRRPDLYKVLLTLDGEKS >ORGLA02G0165000.1 pep chromosome:AGI1.1:2:16032086:16032604:-1 gene:ORGLA02G0165000 transcript:ORGLA02G0165000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTAVARVVAPHQPAWAAAVLARRMEGGRRVARYFSDGTGRVLSEEERAAESVYIQKMEREKLEKERRKADKDKADAAKRAAAAKGDKKGGEARPT >ORGLA02G0164900.1 pep chromosome:AGI1.1:2:16029638:16030555:1 gene:ORGLA02G0164900 transcript:ORGLA02G0164900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTLDSLLHGGGEPEDECEDEFSGSDDDDGEDGGGGSEEWGGDVDGEYDPYSPAESLWLRIGEDIDWSEVGAVLEREDSTKGASNPKSAAACSCAGAPAARMPTCAGGGGTAKAVVIAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAAAAAGRRRWWCAPWLVSAACRRCWSAPPPRV >ORGLA02G0164800.1 pep chromosome:AGI1.1:2:16010571:16012920:1 gene:ORGLA02G0164800 transcript:ORGLA02G0164800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKKSAIFVALLCTHILVNHACDSDVIFGYSGSTGPEHWGSLSPNFTTCSKGTYQSPINILKDDAVYNPKLEPLEMDYTAANTSIVDNVFNIALRYDTAGTVKVDGKKYKLRQLHWHSPSEHTINGQRFAVELHMVHHSDDGNITVIAVLYRHGKPDPFLFQIKDKLAALYLEGCKAEKGEPLPVGLVDMRELKKGADRYFRYVGSLTAPPCTENVIWNIFGEIREMTKEQAAALRAPLHGSYRHNRRPTQPLNGRTVQLNYM >ORGLA02G0164700.1 pep chromosome:AGI1.1:2:16006959:16009294:1 gene:ORGLA02G0164700 transcript:ORGLA02G0164700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding family protein [Source:Projected from Arabidopsis thaliana (AT3G10130) TAIR;Acc:AT3G10130] ASLVLALATQALAASQRRAVGLAAEAVKYAFPPRRFEPRTLEEALMSVPDLETVPFRVLKREAEYEIREVESYYVAETTMPGRSGFDFSGSSQSFNVLASYLFGKNTTSEQMEMTTPVFTRKGEPDGEKMDMTTPVITKKSANENKWKMSFVMPSKYGPDLPLPKDPSVTIKEVPAKIVAVAAFSGLVTDDDISQRESRLRETLQKDSQFRVKDDSVVEIAQYNPPFTLPFTRRNEIALEVKRLDANF >ORGLA02G0164600.1 pep chromosome:AGI1.1:2:15994395:15998965:-1 gene:ORGLA02G0164600 transcript:ORGLA02G0164600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulphur cluster biosynthesis family protein [Source:Projected from Arabidopsis thaliana (AT5G03900) TAIR;Acc:AT5G03900] MEAVDHFGGRVTIGDVASRAGLQLAQAERALQALAADTGGFLEVSEEGEVLYVFPKDYRAKLAGKSFRMKVEPLIDKTKEVGAYLVRVSFGTALIASIVLVYTTIIAIISSSSDEDNRGRRRRSYDSTIIIPTDLFWYLDADYYRRRRRVEKEDGMNFIESIFSFVFGDGDPNDGLEDKRWKMIGQYISSNGGVITAEELAPYLDVPPISEQSKDDESFILPVLLRFQGHPEVDEQGNILYRFPSLQRTASSKGSGVREYVGNKWSAMFSSVEKYLEEKPWKFSKANASERAMVAGLGGLNLFGVIILGNLLKQMTVPPGGLISFVAQLFPLLQVYAGSFFAIPLFRWFLLRKTNNGIERRNKAREQRAQELALPEPSLRRKLLSARDMAQRKVITPEEIVYTTEKDLLDQDYEVKEWEKRFKELESD >ORGLA02G0164500.1 pep chromosome:AGI1.1:2:15990040:15993011:1 gene:ORGLA02G0164500 transcript:ORGLA02G0164500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARAASCTPAACAVLVIPILVLIMAGQVRVAEALSIGVNYGQIANNLPSPSRVSWLLRSLKINKVKLFDADPHVLRAFLGTGVEFVIGIGNEAVPAMASPAAAESWLQLHVVPHLRAGARITCITVGNEVFKGNDTALQASLLPAMRSVHQALGALGLQGRVNVTTAHSLDIMGVSYPPSAGTFHPSAAPHLQPFLAFLSAARAPFLINCYPYFAYKDDPARVPLEYVLFQPNAGVVDPRTRLVYDNMLYAQVDAVYAAIQAMGHTDIDVKVSETGWPSRGDPDEAGATPENAGTYIGNLLRRIEMKQGTPLRPQAPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYNVGLRGYLPPMASHGAATQIHQCFPIEMKTDGTFLISLNSYESIFA >ORGLA02G0164400.1 pep chromosome:AGI1.1:2:15963602:15964291:-1 gene:ORGLA02G0164400 transcript:ORGLA02G0164400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10080) TAIR;Acc:AT3G10080] MAHRRRCLLLLLAVLLPAMAARGDPDAVQDFCVPDAGRGRPVELAMLPAYPCRSPANLTAGDFAFSGVRAAGNFSPETGFAGVSVTPAQFPGLHTLGMSFARADLSAAGGVNPPHYHPRATETALVLAGRVYAGFVDSGGRLFAKVLEQGEVMVFPRAMVHFQLNVGDTPATVYGAFNSENPGIVRIPATVFGSGIREAVLERAFGLTPAELRQLEKRFGPPKKAEMED >ORGLA02G0164300.1 pep chromosome:AGI1.1:2:15959002:15962834:1 gene:ORGLA02G0164300 transcript:ORGLA02G0164300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGKKRDGSANGAEKKASPTPKPPSSSTSGFSKNVPLLVFVLLLGLLYRQLQPPAPKICGTPGGPPVTGPRLQLKDGRHLAYHEYGVPKDQAKHKIIFVHGFDSCRYDALQVSPELAEELGVYMVSFDRPGYGESDPHPGRTEDSIAFDIEGLADGLQLGPKFYLIGYSMGGEIMWSCLKNIPHRLAGVSILGPVGNYWWSGYPSNVSTEAWYVQLPQDQWAVRVAHHAPWLAYWWNTQKLFPASSVISFNPAILSREDLTVIPKFAYRTYAGQVRQQGEHESLHRDMLVGFGKWGWSPLEMENPFPAGEAAVHLWHGAEDLIVPVQLSRHIAQRLPWVRYHELPTAGHLFPITEGMPDLIVRSMLLTDE >ORGLA02G0164200.1 pep chromosome:AGI1.1:2:15937244:15938407:-1 gene:ORGLA02G0164200 transcript:ORGLA02G0164200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLIVGKVIGEVLDNFNPTVKMTATYGANKQVFNGHEFFPSAVAGKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVVSYESPRPNIGIHRFILVLFRQKRRQAVSPPPSRDRFSTRQFAEDNDLGLPVAAVYFNAQRETAARRR >ORGLA02G0164100.1 pep chromosome:AGI1.1:2:15928691:15934461:-1 gene:ORGLA02G0164100 transcript:ORGLA02G0164100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGDESEDVEPLEIAVDVAPAGDEPEDAAPLLQIRGGRRGNCXGYRRRPSRRRRRVGRCVAILRLPRRLLRRGDKDEEEFHGTEKGSGRAGQAPAGCDEDMAMAATYTAAHVSIRDRGTAGSGEPFFFSSYRVTGNRAPTRPCRGTRAPTGHGPEDDKYYLLHFTIPVLAANAFHHSWTIKAMLHIISLICSSEIHVLFVYLCPVRRWKDITKSQKRCFVSGSCLILPMACVSFQVIPSCLSAARFREISFLSIVLWNYFYXQPRIYGRKEMALFFKVFSHQFTIG >ORGLA02G0164000.1 pep chromosome:AGI1.1:2:15918773:15924865:1 gene:ORGLA02G0164000 transcript:ORGLA02G0164000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family [Source:Projected from Arabidopsis thaliana (AT3G56160) TAIR;Acc:AT3G56160] MVTTHHLCLLRSTVLSVPVRLRAPRAPPHPRLPTASASASSYHGPTHLRRLRPLRAAAAAGGASPDGADGAKRPAPAAASSSLGAALVGFARSNFLPLALIAGIALALMDPTFGCLAHKYSLSKYSTFGIFLISGLTLRTKELGAALEAWPAGLFGLASILLFTPFLAQFIMQIKFFPHEFITGLAMFCCMPTTLSSGVTLTQLVGGNTALALAMTAISNLLGIMIVPLSLAKYIGVGAGVSLPTEKLFKSLVTTLLIPIILGKVARETSKGIAGFVDGNKQGFSVTSAILLSLVPWIQVSRSRSLLLSVQPKAFAVAVTVGVLLHFALLAFNAAALHILSRLEQRGVSVFARNEYARAVILVASQKTLPVLVAVVEQLGGALGESGLLVIPCVAAHINQIIIDSIIVNWWRQRDQQFANAK >ORGLA02G0163900.1 pep chromosome:AGI1.1:2:15896186:15900626:-1 gene:ORGLA02G0163900 transcript:ORGLA02G0163900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD+ ADP-ribosyltransferases;NAD+ ADP-ribosyltransferases [Source:Projected from Arabidopsis thaliana (AT5G22470) TAIR;Acc:AT5G22470] MVHETRSRTLAASQEEGKAAPKKQKTESKEQEGGQQAPSKNKKTADNEEHDGEQEPSKNKKLKAEESDLNGKATAVKEFSEFCKAIREHLTIEDMRKILQANEQDASGSEDAVVPRCEDVMFYGPLDKCPVCGGQLECKGLEYKCTGTHSEWACCSFSTNNPSRRGGPIKVPDDVKNDFVRKWLKQQEGNKYPKRNLDDEGIFSGMMIALSGRMSRSHGYFKEQIMKHGGKVNNSVIGVTCVVASPAERHQGGSGGFAEALERGTPVVSENWIIDSVQKKEKQPLAAYDIASDVVPEGRGLPLGNLDPTEEAIETLAAELKLAGKRAVHKDSKLEKDGGHIYEKDGIIYNCAFSVCDLGGDINQLCIMQLIMVPENHLHLYYKKGPIGHDQMAEERVEDFGSRFNDAIKEFVRLFEEVTGNEFEPWEREKKFKKKCMKMYPLDMDDGVDVRHGGVALRQLGAAAAHCKLDPSVTFIMKQLCSQEIYRYALTEMGHDVPDLPIGMLTDLHLKRGEETLLEWKQDVESAPESGPAADAFWMEISNKWFTLFPTTRPYTMKGYEQIADNVASGLETVRDINVASRLIGDVFGSTLDDPLSQCYKKLGCSINRVVEDSEDYKMILKYLEKTYEPVKVGDVVYSATVERIYAVESSALPSYDEIKKLPNKVLLWCGTRSSNLLRHLRDGFVPAVCHIPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGYLVLAVASLGKEIQEITGTPGSEDVKRMEEKKMGVKGVGRKTTDPSEHFTWRDGVTVPCGKLVPSTNKDGPLEYNEYAVYDPKQVSIAFLVGVKYEEQNMEVVPDE >ORGLA02G0163800.1 pep chromosome:AGI1.1:2:15890204:15890467:1 gene:ORGLA02G0163800 transcript:ORGLA02G0163800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLNTKLYLQNCYMLKENERLRKAAVLLNQENQALLSELKHRLARSPSPAAAAPGVANDSKNAAAAAAGRHAGPPPVQDKSASKSK >ORGLA02G0163700.1 pep chromosome:AGI1.1:2:15874268:15874732:1 gene:ORGLA02G0163700 transcript:ORGLA02G0163700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQRWQEGCHRLCANNCGFFGSPATLDLCSKCYRDRQGRESTAPVVVAAAASACPATHPSSPSSSSCPAFLPSSTAAEAGVVVAAVAKASRCASCRKRVGLTGFACRCGGTFCGAHRYPERHACGFDFKAAGRDAIARANPLIKGDKLKDKI >ORGLA02G0163600.1 pep chromosome:AGI1.1:2:15867184:15868849:-1 gene:ORGLA02G0163600 transcript:ORGLA02G0163600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFISAYLLATLAGNPNPSAEDLTTILESVGAEVDHGKMELLLSQLAGKDITEIIASGREKFASVPCGGGGVAVAAAAPAAGDGAAPQSEAKKEEKVEEKEESDDDMGFSLFD >ORGLA02G0163500.1 pep chromosome:AGI1.1:2:15863668:15865110:-1 gene:ORGLA02G0163500 transcript:ORGLA02G0163500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEGMGYNNGKGGGGGGGGLPMTAPRPRGASPLSSHGHHHRSRKIHRTFNNVKITVLCGLVTILVLRGTIGLNLSLPNQPTDADALAGAKAVEDIDRILREIRSDGGADDDAAAAGDLAGSFNATALNATEAAAAYASAVERYALGPKISDWDGQRRRWLRQNPGFPSTVAGGKPRILLVTGSQPGPCDNPLGDHYLLKTTKNKIDYCRLHGIEIVHNLAHLDTELAGYWAKLPLLRRLMLSHPEVEWIWWMDSDALFTDMAFELPLSRYQDRNLIIHGYQDLLFEKHSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGFIRDEAGKILTANLKGRPAFEADDQSALIYLLLSQKEKWMNKVFIENSYYLHGFWAGLVDKYEEMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLRSMERAFNFADNQVLRLYGFAHKGLESPKIKRVRNQTTKPIDDKENLDVKAKISTTS >ORGLA02G0163400.1 pep chromosome:AGI1.1:2:15855854:15857630:1 gene:ORGLA02G0163400 transcript:ORGLA02G0163400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRGGKAASSKADPFDSDSDSDLVPKKKPGAYTAPSGAAKARYKDDFRDSGGLEQQSVQELENYAAYKAEETTDALGGCLRIAENIREDAANTLVTLNKQGQQISRTHEKAVEIDQDLSKGESLLGSLGGFFSKPWKPKKTRQIKGPAHVSDDSFKKKASHIEQREKLGLSPSGKSANRSYAEPTTAMEKVQVEKQKQDDALDDLSGVLGQLKVMACDMGSELDRQNKALDDLQGDVDELNSRVKGANQRARKLIEK >ORGLA02G0163300.1 pep chromosome:AGI1.1:2:15849109:15852879:1 gene:ORGLA02G0163300 transcript:ORGLA02G0163300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAEVALHSMPGAFAAHSPASNLPLAADAARGRRRRSANSLHSSRALQGPVRFPGLRAAVECQCQRINDLARVTEGNGAWVKDAVDKASHALGDVRVPGQAVGGNGSVNGSAAKPPPQRRKASSVEDEAWELLRESVVYYCGSPVGTIAANDPNDANPMNYDQVFIRDFIPSGIAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDEDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLTVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLIALSFHIREYYWVDMQKLNEIYRYKTEEYSYDAVNKFNIYPDQVSPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTHQSHAILDLIESKWSDLVAEMPLKICYPALENQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVASIKMNRPEIAAKAVEVAERRIAIDKWPEYYDTKRARFIGKQSRLYQTWSIAGYLVAKQLLDKPDAARILSNDEDAEILNALSTNRKRGKKVLKKTFIV >ORGLA02G0163200.1 pep chromosome:AGI1.1:2:15842795:15843631:-1 gene:ORGLA02G0163200 transcript:ORGLA02G0163200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIGSGSSVRAKVNRLGTWRWRRLEVVATTKVCASRGEGIVGSSFPRPNMVKETRSGNVDHLYASGVAAMGAKVAQVWLCRCDIAGRELERQHEEVAWRDKRGAWRRHRRRVTRMRTIAGASCWAKGQVVAQAMRTWSCGSELTHLVEMRCTRLPWLNHALVCYSRVSYL >ORGLA02G0163100.1 pep chromosome:AGI1.1:2:15833920:15834338:-1 gene:ORGLA02G0163100 transcript:ORGLA02G0163100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15580) TAIR;Acc:AT3G15580] VDKFSRSNLPEMEKRKYLVPCNMPVGHFIFILHSRLHLSPGTALFVFVNNTLPQTAQLMGSVYESYKDEGDGFLYLCYSSEKTFG >ORGLA02G0163000.1 pep chromosome:AGI1.1:2:15800256:15800846:1 gene:ORGLA02G0163000 transcript:ORGLA02G0163000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSLYFSELHCSAARRRGCWVDFDARTSLVNVTMAPLELPKPRTPLLSAAVNLSAVIEDEAYVGFSSSTGVVASHAPLRACLELQDGRASTIAKRIKPAGVACHDRQGSVQRSEDTIADSLDRLFSSFTGDDVGMRSLKRIGRLRLGHWFSYKDMFRATNGFSDERLLGFGGFGRVYKVSASGIPSGDCREEGVP >ORGLA02G0162900.1 pep chromosome:AGI1.1:2:15795014:15796137:1 gene:ORGLA02G0162900 transcript:ORGLA02G0162900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTFFLLFYTNSNSTLNVSLWAEQASAFEADAIHKAGKSEPQIILFVGTLAKNYPGIGLALSGGSACKWYINIDVPAIVELKDKTGTNFQPINWIEAPARAAVEEVAENKTVRELLEINPHKCKKVRFQAHVTVRRICNDKCWWYGSCQRCFKVAKPYGSTYKCTSCSNIAVAVPRYRIVVIAGDDSDDAMFVLFGRTAQRIVRRPVEALIEEAPADSDFIPDEITALVGKSFVWNVSFTENTLKFGDISFQVNSIVSIDAPGEP >ORGLA02G0162800.1 pep chromosome:AGI1.1:2:15767001:15777902:-1 gene:ORGLA02G0162800 transcript:ORGLA02G0162800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASAVPGSAAGLRAGAVRFPVPAGARSWRAAAELPTSRSLLSGRRFPGAVRIGGSGGRVAVRAAGASGEVMIPEGESDGMPVSAGSDDLQVPALDDELSTEVGAEVEIESSGASDVEGVKRVVEELAAEQKPRVVPPTGDGQKIFQMDSMLNGYKYHLEYRYSLYRRLRSDIDQYEGGLETFSRGYEKFGFNRSAEGVTYREWAPGAHSAALVGDFNNWNPNADRMSKNEFGVWEIFLPNNADGSSPIPHGSRVKVRMETPSGIKDSIPAWIKYSVQAAGEIPYNGIYYDPPEEEKYIFKHPQPKRPKSLRIYETHVGMSSTEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHAYYGSFGYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLVVLMDVVHSHASNNTLDGLNGFDGTDTHYFHSGSRGHHWMWDSRLFNYGNWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYSEYFGFATDADAVVYLMLVNDLIHGLYPEAITIGEDVSGMPTFALPVQDGGVGFDYRLHMAVPDKWIELLKQSDESWKMGDIVHTLTNRRWSEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPATPSIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRAPQVLPNGKFIPGNNNSYDKCRRRFDLGDADYLRYRGMLEFDRAMQSLEEKYGFMTSDHQYISRKHEEDKMIIFEKGDLVFVFNFHWSNSYFDYRVGCLKPGKYKVVLDSDAGLFGGFGRIHHTAEHFTADCSHDNRPYSFSVYSPSRTCVVYAPAE >ORGLA02G0162700.1 pep chromosome:AGI1.1:2:15763735:15765667:-1 gene:ORGLA02G0162700 transcript:ORGLA02G0162700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGGGREQQMQIVCVRGGGGGGGGEEDGSSEWDQSSSRSALSLFKEKEEEIERKKLEVREKVFSMLGRVEEETKRLAFIRQELEVMSDPTRREVETIRKRIDKVNRQLKPLGKNCLKKEKEYKACLEAYNEKSNEKATLVNRLMELVGESERLRMKKLEELNKTVESLY >ORGLA02G0162600.1 pep chromosome:AGI1.1:2:15760870:15762140:-1 gene:ORGLA02G0162600 transcript:ORGLA02G0162600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAEKSTSGGGGMSMNTVTTVMAFSVSAFFVLFIFVRLLCARIHLRAGQSAAAAAAAHGDAFPAFSVERGIRGLEPAVVTSFPTAKFGDGGSRPRAAAALEESQLRENEDDEEGALLVQWLNKKKNIEVVLKMSNGPT >ORGLA02G0162500.1 pep chromosome:AGI1.1:2:15753037:15754608:1 gene:ORGLA02G0162500 transcript:ORGLA02G0162500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRCFVVLCVAVAAALASLGGGAVDAAAAAAAAAQEMRRGFSVAHDRSYSQFEQVLSDPTGVFALGFLRVNSTMLDLAVVHLPSSFPLWRAIPDRPAQWSAPASLSFDGDLVLTDPAANKVLWSAGAAAGAGGDRVVLLNTSNLQIQSGGGGGDGGSSPGIVWQSFDAPSETIVQGQNLTSATALYTSDRRFSMRMGTSYFGLYIEPPASSSGGGGVAAAMYWKHTALQAKAAIVDGGGPTYARVEPDGYLAMYQKEGPPADVLSFDTFNHGVRALRRMTLEADGNLRAYYWDSTGSRWVLDYTAITDPCGLPSTCGAYAVCVPPSGRCACLANATDVSGCAAANVGGGGGLCGRTGGEVGGLYWEVRRQRVEPANKEFLPFEHSPSAADCEVRCARNCSCWGAVYSNGTGYCYLMDYPAQMMVAADERKVGYFKVRSLEEAAAASGGGRAAGVKAALLAVGVTVLVAAAAFGAYRVWKRRCRTAVDARRQVVADDEGLSPGPYKNLGSFSSVELSSSFRR >ORGLA02G0162400.1 pep chromosome:AGI1.1:2:15749035:15749397:-1 gene:ORGLA02G0162400 transcript:ORGLA02G0162400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKHAAAASPSSSPAGPPPPCRHNIAASRYAVAEVLPHGGARAAAASPSSSPAGRPPPRRHSSTLSAEALLHALAWPPTVELGXKRMQRRRSRSSRAGSDEPAAAVMLSHTLSATPGKS >ORGLA02G0162300.1 pep chromosome:AGI1.1:2:15741315:15741590:1 gene:ORGLA02G0162300 transcript:ORGLA02G0162300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGRRCRRHSRLLATPASSGGTTSASRRRRSRYHPPPSLPRPRRRHHLQCARWGRRSATNKAATGPQTAEARAVAVAQAEAGVCDGGGS >ORGLA02G0162200.1 pep chromosome:AGI1.1:2:15734157:15740180:-1 gene:ORGLA02G0162200 transcript:ORGLA02G0162200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADAKWPAMVLGGGGGGGGGRRASPGPAPPPAAPAAVAYSLLATSPPASIGNGGGSPHCDDGDASRGLGVADWLRLQRHSSGSSAGDDGDGFSSVSTLATADKGGDPADRPAGSSGGGGSKSWAQQAEEAYQLQLALALRLCSEASTAPDPNFLDSAVAAADDHHRDAPSPQSLSHRFWVNGSLSYSDKVLDGFYLIHGMDPFVWTLCNDLRDGARVPSIESLKAMNPTESSVEVVLIDRVVDYDLRQLISTAIDVSRSRADSREITTRLAGIVSSKMGGSVASTEEHELCPWWRDSAGFLKISSGSVVLPIGKLSIGLCRHRSLLFKTLADTISLPCRVVRGCRYCKSAGAASCLVHFGNDREYLIDLIGNPGFLSEPDSLLNGLSSISVSSPLRPPKYNSADIVNNFKSLAKQYFLDCQSLNMMFNDPAAGTVVDLDEAMGSNIGPNLSPATNSDFQANFSHRSRGAQSSGQDGNFLIQKRCISRILPKNCYSYFHFPSSEVDNTDEYFSSPEDTQSAQSDPFSDISLDIEDLIIPWSELVLKEKIGAGKMTGSFGTVHHADWNGSDVAVKILMEQDFHPERLKEFLREVAIMKSLRHPNIVLFMGAVTQPPKLSIVTEYLSRGSLYRILHKHGARENLDEKRRLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVIRDEPSNEKSDVYSFGVILWELMTLQQPWSTLNPAQVVAAVGFNGRRLEIPSSVDPKVAAIMESCWTKEPWRRPSFASIMESLKPLIRTPHQLQEDIS >ORGLA02G0162100.1 pep chromosome:AGI1.1:2:15730655:15731652:1 gene:ORGLA02G0162100 transcript:ORGLA02G0162100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PIIPHLNFFLNLSPTILTLLTILLXRGVRXTRIPLLHPPSAHRLPSPPPIVRGASPPIPATRCAAAPPLHLRATALALTFARPVAAPPLPRGRGAPPLSRERDLLLGASAIPSWRTSGFVEYIPSGKKQGGMVLVVPEGSYAVRLGNEASIRQRLAGAARGARYALTFSAARTCAQAERLNVSASGQWAVLPMQTMYSSNGWDSYAWTWDAAANVFDVVIHNPGVTEDPACGSLIRNTVENSSQIVIYKMWRQWLTENSNSV >ORGLA02G0162000.1 pep chromosome:AGI1.1:2:15718731:15721527:-1 gene:ORGLA02G0162000 transcript:ORGLA02G0162000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNTDPPPTTMVDAAAALLLEPKLEGYDDDGGGEPLQPAPFVSPLDQLMQPPRPLEALLQGPQLPPFLSKTYDLVCEPELDGVISWGHAGNSFVVWDPSAFARDVLPHHFKHNNFSSFVRQLNTYGFRKVHADRWEFAHEDFLRHSKHLLKKIVRRRSSPTQQSGLQPGSSGESGLDPELNTLRREKSALLQEVTRLKQEHLQTIEQMSTLNQRLESAEDRQKQMVSFLAKLLQNPTFLRQLKVHRQQKEIDSTRVKRKFLKHVPHGNIDSGESSSQHTGESNLDFSPTSLDLPATHSDILDLQNFLLEDGDLNLAMLPENIGLDGIEAPDDIGALVQGFDTQEELELGSGVELLEIPPASGPRGQDPTIGRSKGKNVLSPGLDATSSEADCLGSFSDNMGMLSDSMLQTAGKLMDADDDERIWGVDASSALQSSCSGTSQQAYGSLVSDPYLMEMANKPEKFWELDFQALDDGDLQLDKCVIDDPALQQQRGNMNS >ORGLA02G0161900.1 pep chromosome:AGI1.1:2:15713023:15713749:-1 gene:ORGLA02G0161900 transcript:ORGLA02G0161900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKDRQRHAAAAVAKPRQRSASFHGRGEAEQRHSLLKQRPRTQPDLLAGLRGQSFRRGGGEGRAPAGPSRVLLTVAVRQSMWPLHVMARAEWSVADLVAAAVELYIREGRRPLLPSADPAAFGLHFSQFSLQSLSPEEKLMELGSRSFFLCPKAAAAAVAAVSSGEDAGGLSGEDEANSAKKPSVLAPWLGFLHFWPLL >ORGLA02G0161800.1 pep chromosome:AGI1.1:2:15702820:15712184:1 gene:ORGLA02G0161800 transcript:ORGLA02G0161800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase protein [Source:Projected from Arabidopsis thaliana (AT5G22750) TAIR;Acc:AT5G22750] MGKERGREEQVATVRAVLGDGTPEMDIIRALHMAGDDPTRAINILLDFTHGPPPPPPPSPSPSPSPPAGKPTKPHPESTPPTKTPARSNPAAAAAAEKPRPSVAPESTNGGGGGGEHWWLVGSVEMAGLSTCKGRRVASGDAVTFSFPNSPVAAAAGGKSRSGRPALVSCSSEIMRFSTPRHGEVGRIPNEWARCLLPLLKEGKIKIDGVCKSAPEVLSIMDTVLLSVSVYINSSMFHGQKQSTPKAARAATEDSTFHPLPALFKLTGLSPFKKAAFTPEDLYSRKRPLETKSSAPATKLTTEKLRLSSDGNEDDHAERIVSDSELDDIIGISDSSALEERDPPDALQCDLRPYQKQALYWMMQLEKGSSSQDAATTLHPCWEAYKLEDKRELVLYLNVFSGDATTEFPSTLQLARGGILADAMGLGKTIMTIALLLADSSKGCITTQHSTHICEEASGLGELPIQPHDDVKKLAIPFSFSKLRKPKTPLIAGGNLIVCPMTLLGQWKAEIEAHATPGSVSIYVHYGQNRPKEANLIGQSDIVLTTYGVLSSEFSNENSTESGGLYSIHWFRVVLDEAHMIKSPKSLISLAAAALTADRRWCLTGTPIQNNLEDIYSLFRFLRVEPWRNWSLWHKLVQKPYEEGDERGLKLVQSILKPIMLRRNKNSTDKEGRPILILPPANIEVKYCDLSETEKDFYDALFRRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVLSRGDTQEFADLNKLAKRFLHGGNGAVNGDSSLPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSASAGLCPVCRKSMSKQDLITAPTDNRFQIDVEKNWVESSKISFLLQELEVLRTSGAKSIIFSQWTAFLDLLQIPLSRHNFSFARLDGTLNLQQREKVIKEFSEDKSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAIMRIHRIGQTKSVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRSARIEELKMLFS >ORGLA02G0161700.1 pep chromosome:AGI1.1:2:15689430:15689903:-1 gene:ORGLA02G0161700 transcript:ORGLA02G0161700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAAEVPSYFLCPISLEIMRDPVTLATGITYDRSSIERWMFGGGGGDGGKGTCPVTRRQLAPAEREATPNHTLRRLIQAWCAAHAVERFPTPRPPVDSCRVAALVDEGTTTMLGGGGRQRQLAALREIKAIAAESDRNKRCVEATPGAVEFLVSVV >ORGLA02G0161600.1 pep chromosome:AGI1.1:2:15675709:15677064:-1 gene:ORGLA02G0161600 transcript:ORGLA02G0161600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVSEAAAGVEDQDGGVAAAAAAAAGMDGIQYCSEHPYRPGAAAAAVAGGGICAFCLQEKLGRLVSSSKSSPFFPLGGHPPPSASPSSPPSFRRAAAVVAEPPPPLRSSSGASRKLIPFGRRKASSSSSSSSSSASVALAGGGLKRSKSVAPRPEEHYSSSASSVTAESPRKKSFWSFLYLSSSSPYTHQAVTSTSYGANGGAAAAARRKSVSVASAAWASRGGSAAGAHEQQQPRAAATSSVSGRRLEAIGEPESPSQVSSSSSFGRKVARSRSVGCGSRSFSGDFLERISNGFGDCTLRRVESQREPKPNKMRALGHLGGGGGGGADDDDDDDDVYQHQHRIKCAGFFGGMGPTPSYWLSAAEGAATAGGVGGARKSGGRSHRSWAWTALASPMRALRQTSSTTTTTTKTITAVHSSHVVAHSNGSTPAAALSISSPVPASSTAATD >ORGLA02G0161500.1 pep chromosome:AGI1.1:2:15664308:15665504:1 gene:ORGLA02G0161500 transcript:ORGLA02G0161500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G48040) TAIR;Acc:AT5G48040] WRGGGCGRRGGWGGRRRRGWSEAPAFAAEQRATLVNVKLKWVKDRALDGAVSRERDLRAAHHLLDVVSARPGHRVSRPELLADSSVRRAFGGVDGVDAFLARYHTLFALRRGGGVSLTDAALDLRRREVDCLVESEPDLVSRLRRLLMLTLPRSLPLHTVDLLRWDLGLPRDYRASILRRYPDHFALDQPEGDERVWLRLLWWDDGLAVSELEKSTAGGGGGDTTCLPFPVSFTKGFGLRSKCINWLREWQALPYTSPYADPSGLDRRTDVSEKRNVGVFHELLHLTVAKRTERRNVSNMRKLLGMPQKFTKVFERHPGIFYLSRVLGTQTVVLREAYGGGSLLLAKHAHPLATIREEYSAVMRAALPPRRRRRSRESDSCSEQDEECVGGEEFELTE >ORGLA02G0161400.1 pep chromosome:AGI1.1:2:15661341:15664070:-1 gene:ORGLA02G0161400 transcript:ORGLA02G0161400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSSASAAAARGGNLVEEWSGRVKALEAGFRKWMAEQPTHIEAAVTTAVGAVQGAALGGLMGTLTADGGSPFPTPPPPPNANPQAMASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGGEDIQGSMAAAFGSGALFSIVSGMGTPNPVANAITTGAAFAIFQGGFFMIGQKFSKPQSEDLYYSRARSMLQKLGLEKYEKNFKKGLLTDQTLPLLTDSALRDVKIPPGPRLLILDQIKRDPEFGKAR >ORGLA02G0161300.1 pep chromosome:AGI1.1:2:15655122:15659767:1 gene:ORGLA02G0161300 transcript:ORGLA02G0161300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCCCSTSSAVPGRRFAAAGAAAAAVAARWGAVGXXXXXXXXXXXXXXXXXXXXXXXXXXXRRARRAVVRAVFERFTERAVKAVVLSQREAKGLGEGAVAPRHLLLGLIAEDRSAGGFLSSGINIERAREECRGIGARDLTPGAPSPSGSGLEMDIPFSGSCKRVFEVAVEFSRNMGCSFISPEHLALALFTLDDPTTNSLLRSLGADPSQLASVALTRLQAELAKDGREPAGASSFKVPKKSPAGAGRSAFSKSLNSKKEKGALDQFCLDLTTQASGGFIDPIIGREEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALRIANGDVPIYLVAKRIMSLDVGLLIAGAKERGELESRVTSLIREVREAGDVILFIDEVHNLIGSGTVGKGKGAGLDIGNLLKPPLARGELQCIAATTLDEHRMHFEKDKALARRFQPILVEEPSQDDAVKILLGLREKYETYHKCKFTLEAINAAVYLSARYIPDRQLPDKAIDLIDEAGSRARMESFNRKKEGQSSILLKSPDEYWQEIRAAQNMHEVVSSNQMKYSPRQENGSAAIKAPSEDMNELTSELQVEEPIVVGTEEIARVASLWSGIPVQQLTADDRKLLVGLDDELRKRVIGQDDAVMAISRAVKRSRVGLNDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYIGYGETGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLSDSQGRRVSFKNTLIVMTSNVGSTSISKGRRSMGFMTEDTESSSYVAMKSLVMEELKAFFRPELLNRIDEMVVFRPLEKTQLQMLAILDIILQEVKGRLLALGIGLEVSDAMKDLICEEGYDKSYGARPLRRAVTHLIEDVISEAILFGEYKPGDTILMDIDAAGKPCMSHLNEKVVQLSDPTRTF >ORGLA02G0161200.1 pep chromosome:AGI1.1:2:15632799:15642390:-1 gene:ORGLA02G0161200 transcript:ORGLA02G0161200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYELVRSDDAAAAGPPDLELGGSGSGNGGGVSAKSRPPSSPPSQGGARQRLVSLDVFRGITVLLMIIVDDAGAFLPALNHSPWDGVTIADFVMPFFLFMVGISLTLAYKRVPDKLEATKKAVLRALKLFCLGLVLQGGFFHGVRSLTFGVDITKIRLMGILQRIAIAYLLAAICEIWLKGDDDVDCGLDVIRRYRYQLVVALLLSTMYTVILHGVYVPDWEYQISGPGSTEKSFSVRCGVRGDTGPACNAVGMLDRTILGIDHLYRRPVYARTKQCSINYPQNGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHIIIHFEKHKGRIINWLIPSFSMLALAFSMDFIGIRMNKPLYTISYALATSGAAGLLFAGIYTLVDVYGFRKLTIPMEWMGKHALMIYVLVACNILPIFIHGFYWREPKNNLLKFIGVGA >ORGLA02G0161100.1 pep chromosome:AGI1.1:2:15626508:15631773:1 gene:ORGLA02G0161100 transcript:ORGLA02G0161100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-coenzyme A synthetase [Source:UniProtKB/TrEMBL;Acc:I1P0V5] MGTASGDQPAGASSDKLRHVESMSELPSGAGRISGINAVVLGESLAAEEHDLVYPSAEFSADALVPSPKKYQKMYERSINDPAGFWSEIADAFYWKEKWNPSEVCSENLDVTKGPVQISWFKGGKTNICYNAVDRNIEAGNGDKIAMYWEGNEPGQDGKLTYSELLDRVCQLANYLKSVGVGKGDAVIIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVITCNAVKRGVKPILLKDIVDAGLAESEKQGVAVGLCLTYENQSAMKREDTKWQAGRDVWWQDVVTSFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGTPNYPDSGRCWDIVDKYKVTIFYTAPTLVRSLMRDGTEYVTQHSRKSLRVLGSVGEPINPSAWRWFYNIVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGKEIEGECSGYLCIKKSWPGAFRTLYGDHDRYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVAVEHEVKGQGIYAFVTLVDGVPYSEELRKSLILTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASKQLDELGDTSTLADPGVVDQLIALKDC >ORGLA02G0161000.1 pep chromosome:AGI1.1:2:15620179:15621242:1 gene:ORGLA02G0161000 transcript:ORGLA02G0161000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLQRMTDDRSTTPPMYWDGVASTSSSSHPVAPYSSSSIIGENLLFHELWVCSFRDSCLWRVMSLDTFLMITPFGPTGECLLMTTLMTRKGVRCRSGNAELGQITDCQITVQLIVTSLRSLLPIEGHKQEEYCGFYRQEIFFDPTECVSRVSGRSQFHTSDIRVSAQARGN >ORGLA02G0160900.1 pep chromosome:AGI1.1:2:15612653:15612997:-1 gene:ORGLA02G0160900 transcript:ORGLA02G0160900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYVDTTGDEGRFHGHHSTNTTPTGAAASSPRTMRRSFSNASSGSQGGGGGAKCVCAPATHAGSFKCRLHRTNSQGHGHSHPHPSPPTSPAAGGASAAPRPSSASSRTVEAQ >ORGLA02G0160800.1 pep chromosome:AGI1.1:2:15603175:15607453:1 gene:ORGLA02G0160800 transcript:ORGLA02G0160800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyltransferase and sulfurtransferase MOCS3 [Source:UniProtKB/TrEMBL;Acc:I1P0V2] MEAMAGELERLRAEREELDSRIRLLESQLGASPTPAGEGDAAGTGAGGGGGGGGATACPIRRRGNGFAAADGLPADMIYRYSRHLLLPDFGVEGQRKLSQSSILVVGAGGLGSPVALYLAACGVGCLGIVDGDDVELNNLHRQIIHKEAYVGKSKVKSAADACREINSSINVMEYNHTLKPSNALEIVRKYDIVVDATDNLPTRYMISDCCVLLNKPLISGAALGLEGQLTVYHHNGSPCYRCLFPNPPPVAACQRCSDSGVLGVVPGVIGCLQALEAIKVATDVGEPLSGRMLLFDALSARIRIVKIRGSSTVCTVCGENSAFTQDDFQKFDYENFTQSPMSDKSAPSLDILPGSARVTCKEYKRLVDNGERHLLLDVRPAHHFQIASVSQSLNIPLSELEEKLQMLETSLKDTTDASSSDKPPSLYVVCRRGNDSQIAVQLLREKGFLSAKDIIGGLQSWAQDVDPDFPVY >ORGLA02G0160700.1 pep chromosome:AGI1.1:2:15597221:15597553:-1 gene:ORGLA02G0160700 transcript:ORGLA02G0160700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFIHEEYVHKRREQRRRRGRRPAAVALQLLQVQSSSTTTKPEKKAAAAAAAAAGGRRPLAPLATELQDSPWDLPAGSGGGASPSDAAGSPAAAAAATVSFADHLLGYL >ORGLA02G0160600.1 pep chromosome:AGI1.1:2:15591980:15593182:-1 gene:ORGLA02G0160600 transcript:ORGLA02G0160600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGWRAHQRVAYDGEAKNLTVALSYGDAAAARRIPCSGVLWFVSQWRKAGELADGDIFDKMGYDELADEEFFVESGPRRFRSGFAVASPPSTVAGGGAVLALVLLLVLLAAAEWWRRRSPSPSGGGGGSGRRPRRWRRGYGESEAAAPCSRSSSSSFSSPSRHGAGEGHRLPPRVQQEYDVTSATATGPCDAYLVFRCSPPLYASAISISNLLKITATAVTESNAVDPVTPVAADPLVLAPVVGDLIPTRRLACPRGEGERGGRERRGKRRRV >ORGLA02G0160500.1 pep chromosome:AGI1.1:2:15590287:15591522:-1 gene:ORGLA02G0160500 transcript:ORGLA02G0160500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAGGDGEAWADQEQGNGGGRGGGGGEAKRSEIYTYEAGWHIYGMNWSVRRDKKYRLAIASLLEQHNNHVQVVQLDESSGDIAPVLTFDHPYPPTKTMFVPDPHSVRPDLLATSADHLRIWRIPSPDEAAAAAAASSNSGSVRCNGTASPDVELRCELNGNRNSDYCGPLTSFDWNDADPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGAGVFASVSADGSVRVFDLRDKEHSTIIYESSSGGSSNSAGADGGTASPTPLVRLGWNKQDPRYMATIIMDSPKVVVLDIRYPTLPVVELHRHHSPVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGTGSNNGGNGNGNAAAAAAAEGGLDPILAYTAGAEIEQLQWSATQPDWVAIAFSTKLQILRV >ORGLA02G0160400.1 pep chromosome:AGI1.1:2:15570035:15573069:1 gene:ORGLA02G0160400 transcript:ORGLA02G0160400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLRRVHLAGSHGSAAKLLSSAASEIAQSGAKNELEPTVGYTIKLALKRPDKTAMHARASIIESCNCKSRLTIDELELVVGYTIKLALRWLNAEVDERGEERDEKHAILNKEAADKVLGEREIPDVQPGCILQMRLQVPENKRRESTLKGIVIARRNAGINTTFRLRRLVAGVGVDYSPNIKEIKVLDRKKVRRAKLYYLRDRMNALKK >ORGLA02G0160300.1 pep chromosome:AGI1.1:2:15545806:15547361:1 gene:ORGLA02G0160300 transcript:ORGLA02G0160300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLRRAPSHRCGDWASAAREQCGGGAQGHRVQAAAASRRASAHDKQMFDLHTYEPPDFGGGYCGVGVQIHHPDEAATVLAVPDEVAVHPQPVVGGLVDGAVGPDVWSQPEAVDEVTPRALFSMLIVTPVLQPRARPTQPQPAHDTIEDILVQSKSQY >ORGLA02G0160200.1 pep chromosome:AGI1.1:2:15539244:15540131:1 gene:ORGLA02G0160200 transcript:ORGLA02G0160200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate multikinase [Source:UniProtKB/TrEMBL;Acc:I1P0U6] MASDLRPPEHQVAGHRASADKLGPLVDGEGLFYKPLQAGERGEHEAAFYAAFTAHPAVPPRVRGAFFPRFHGTRLLPAPASPGGAPYPHIVLDDLLAGLPSPCVADVKIGACTWPPRSPDPYVAKCLAKDRETTSALLGFRVSGVRVVDARGGAVWRPDRSELKGIDAAGVRRVLRRYVSTGGGDGLDCALAAAVYGGEGGVLAQLGELKAWFEEQTLYHFYSASILFGYDANAAAAAAPGGGSGGVRVKLVDFAHVDDGDGVIDHNFLGGLCSLIKFIGDIVAEVTEKASSDHS >ORGLA02G0160100.1 pep chromosome:AGI1.1:2:15525275:15530544:-1 gene:ORGLA02G0160100 transcript:ORGLA02G0160100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGASGWLRGKVKGVTSGDCLLIMGSTKADVPPPEKSITLSYLMAPRLARRGGVDEPFAWESREFLRKLCIGKEVTFRVDYTAPNVGREFGTVYLGDKNVAYSIIAAGWARVKEQGPKGGEPSPYLTELLRLEEVAKQQGLGRWSKEPGAAEESIRDLPPSAIGEASGFDAKGFAVANKGKSLEAIVEQVRDGSTVRVYLLPSFQFVQIYVAGVQSPSMGRRPPNPTVVAAAESTADGATNGGDSEEAPAPLTTAQRLAAAAVSTEIPPDRFGIEAKHFTETRVLNRDVRIVVEGTDSFSNIIGSVYYSDGDTLKDLALELVENGLAKYVEWSANMMDVDAKIKLKNAELQAKKDQLRIWTGFKPPVTNSKPIHDQKFTGKVVEVVSGDCIIVADDAAPYGSPSAERRVNLSSIRAPKMGNPRRDEKPDNFAREAKEFLRTRLIGKQVTVEMEYSRRISTVDGQPTTNTADARVLDYGSVFLGSPSQADGDDVSSIPSSGNQPGINIAETLLSRGFARTSKHRDYEERSHYFDLLLAAESRAEKAKKGVHSAKESPVMHITDLTTVSAKKARDFLPFLQRNRRHSAIVEYVFSGHRFKLTIPKETCSIAFSFSGVRCPGKDEPYSNEAIALMRRRILQRDVEIEVEAVDRTGTFLGSLWESKTNMASVLLEAGLAKLSSFGLDRIPDANVLMRAEQSAKQQKLKIWENYVEGEEVSNGSASESKQKEILKVVVTEVLGGGKFYVQTVGDQRVASIQQQLASLKLKDAPVIGAFNPVKGEIVLAQFSADNSWNRAMIVNGPRGAVSSQDDKFEVFYIDYGNQEVVPYSRIRPADPSISSSPALAQLCSLAFIKVPNLEDDFGHEAAVYLNDCLLNSQKQYRAMIEERDTSGGKSKGQGTGTILIVTLVDAETETSINATMLEEGLARLERSKRWDTRERKAALQNLEQFQEKAKKERLQIWQYGDVESDEEEQAPAARRTGGRR >ORGLA02G0160000.1 pep chromosome:AGI1.1:2:15517343:15522734:-1 gene:ORGLA02G0160000 transcript:ORGLA02G0160000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:I1P0U4] MVLPQLNAGLMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFEVLQGFDTVLLYWDKTAPGYCEKAGIYVSHLSQTSLRAVLKPFLFAATCLKQVELFVGRVRSCGHGTPTLSAFASSVDSWLMRLRKAALKEEEQLFLSVERTITLLGLTDSMSSLCSGAEHLYQVVQGAVPDAFWNSGAQMASSEVAVHAVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPNEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVIHEFNIDQHGNYISQQKFRPDTSSIRIQDKREDIIEESTGQFGNNACKMGFLTLSESFLVCLSGLLENGDHVDDYLRKLCADNAPVNKTIVHSKSNVQETEEVCGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTEVESYFNLSCYENPGITACQEMLERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTIPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLEALYTFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDHIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNPWDDDFELNTLLQESIRNSADKMLLTAPDSLVVSLAKHDTRNDEETTSISRKGRAQGFGIEALDVLNFTYKVSWPLDLIVNTEALKKYNQVMAFLLKVKRAKFILDETRKWMWKGGGSTMHNFKQHLIVEQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHEAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPR >ORGLA02G0159900.1 pep chromosome:AGI1.1:2:15515376:15515819:1 gene:ORGLA02G0159900 transcript:ORGLA02G0159900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVMGMIAKKNTLPSASPSAATAPSALASTIVASESLPVELEQNHALLPTVKAQPILSAPKILSSSDLTSSTVTTTGTAVNSEGKTAMVAATVCSMKGSLEKGPTNPRVTREHVVGSRGLCRLTVSQEGVGEGVLEGRGSCQITVPCH >ORGLA02G0159800.1 pep chromosome:AGI1.1:2:15514466:15514657:-1 gene:ORGLA02G0159800 transcript:ORGLA02G0159800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSIHAAASSIAVLRLRRLFHRAAPCPNPPRRPAVRDWSVDIQFAAAARRRGDGHGARWSS >ORGLA02G0159700.1 pep chromosome:AGI1.1:2:15512252:15512848:1 gene:ORGLA02G0159700 transcript:ORGLA02G0159700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRDQEQAIAKLTSGGGGRDDLLIIFMWTKKAMLAAVVVVVAKCRELWEGVLTAGSGCGCVSRSAAAADDDDGYYFGRSYEFSCSATPVAFAPAKGRRRRRRCLLLPPCVGAKQAREMLREAAMISPAPPVGAGGCRRSPPERSPQWWREQEIDGLAEEFISRFYEQLRSQVADEERRRAPECKSRASSSPPSPSPP >ORGLA02G0159600.1 pep chromosome:AGI1.1:2:15506598:15507011:1 gene:ORGLA02G0159600 transcript:ORGLA02G0159600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPARTESFFQDAGYLRAVLGNSNVVEEEDGDAASDYGEAAEGEEACSVRVRFPDEQVVQKDFGAARPVEGLFRYCHRHSVSAAGGGRRAFRLVRFAGAASEEIRRGDATFQQLGLHCWTLHLLFGLGPRAHGVGH >ORGLA02G0159500.1 pep chromosome:AGI1.1:2:15498234:15498822:1 gene:ORGLA02G0159500 transcript:ORGLA02G0159500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPYVXLCGEVQKLPMGDAVYYYFKDIYDALRIAQVGVRLIFLDHDYSEFRPVVPDEECFYRSFIFSYLEQVVDTIDTLWEDRLLAALRELDRRAERFQRASEFSRRRDAHRCYTIVA >ORGLA02G0159400.1 pep chromosome:AGI1.1:2:15486753:15491231:1 gene:ORGLA02G0159400 transcript:ORGLA02G0159400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPPGHHDERHESTSSSADDDEITAAAEASPEQGGGGGRGTGGSGSAAAEGDQKGKGKVVEGSQEAAKKDKVDSQLKKFIRRSFLAVSEGFKKKLSDRQGKQLDGASTSNSPPHVDHKKIPMEEAVYHYFGDIHNVLRLSKRERESVIIMRLVPLHSHYSALRPVYRDGESFYRSFIFSYLEQIVDRVDMGEEDRLLAAVRELARRAEHFQWASEFPRRREAFEKLIEKIKGWKRMLQYPTLRVRFLKRYNRGEFLLEFFSSYDTTDDSEKNTLSSCCFNVILIHGSDICILHSVLSVFAFLRLAAAIWMCSPDHITMYAPGVIGPGEGRSLEDWCSTQVIPPRVYADEVAVRALAAALQVFIRVEAPEYGGRQDSYYIARDRPRVTLLRVDSQYDIVYPLSPELIHQRAKRGGASRFSCCIGGDSNLQRLSQQQEERGGGAGLSGLIMHSEL >ORGLA02G0159300.1 pep chromosome:AGI1.1:2:15476185:15476595:-1 gene:ORGLA02G0159300 transcript:ORGLA02G0159300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRADRPFKVLAKINENAYKLDLPADFGVSPTFHIANLKPYLGEEDELESRTTPMQEGEDDEDINTIDTSTSPQVQLHDPITRARARQLNYQVSSFLNSCSSCLYPGDVCTLVLLRNDGEDPNGERIRAGWIRTAG >ORGLA02G0159200.1 pep chromosome:AGI1.1:2:15453358:15455670:-1 gene:ORGLA02G0159200 transcript:ORGLA02G0159200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDKVVARTGRLRQRYDNEYRLVAGCVPYRVKKDEANPRILGDVPGQVEVLMVSTPNRADMVFPKGGWEDDEEVYEAASREAMEEAGVKGIVNRTTLGHWVFKSKSSQNSSSPRGACKGYIFAMEVTEELESWPEQATHGRRWVSPGEAYQLCRYEWMREALTALLERLSMIEPVASAQELSDQTSMYMMLQASSDSAVALC >ORGLA02G0159100.1 pep chromosome:AGI1.1:2:15440255:15440875:-1 gene:ORGLA02G0159100 transcript:ORGLA02G0159100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYFFSSSAPAPEKKTRRRQQQQQREQEGGGGGNEARYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAVAYDRAARTIRGAAARTNFAYPDLPPGSSLTPYLSPDLSADDLHRHYYGAGAGADTQTAAAAALPAPAQPAHGGDAQEMAYGGGGGQNVGGVFDVVVGGGGAAWCDASELDVRRVRRRGRVGGGGGGVLRGG >ORGLA02G0159000.1 pep chromosome:AGI1.1:2:15434908:15438078:1 gene:ORGLA02G0159000 transcript:ORGLA02G0159000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMSDESLKLYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDTLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDEFKMMERLWGENFFDPATKKWTNKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKADEKELMGKALMKRVMQTWLPASNALLEMMIYHLPSPSKAQRYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKESDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMTSDPLEAGSQASTLVQDIRKRKGLKEQMTPLSDFEDKL >ORGLA02G0158900.1 pep chromosome:AGI1.1:2:15429026:15429295:1 gene:ORGLA02G0158900 transcript:ORGLA02G0158900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSLSSTCLPSTHVASGQTQRAGVTQRCRPRTSTPQLMTGFGGRRCQKSSRLMPSGLIIFDNECICVFVTMMARSIEKKNHYTCILV >ORGLA02G0158800.1 pep chromosome:AGI1.1:2:15425363:15425602:1 gene:ORGLA02G0158800 transcript:ORGLA02G0158800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAMASSGGRRASRRRRRQKKKEGKSYRQGKAKPFFQVSLSPSKSVFGGGGGGYLVLANLLCVLVMVSVQFQFHVCDQ >ORGLA02G0158700.1 pep chromosome:AGI1.1:2:15421773:15422006:1 gene:ORGLA02G0158700 transcript:ORGLA02G0158700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYQELPQRLSAEEVEADTAVLTLAEDKNTTCLSRVKATVSVEDGVPREPHDMLLEMWENVEGHDVIGEQRPKRAP >ORGLA02G0158600.1 pep chromosome:AGI1.1:2:15420139:15420558:-1 gene:ORGLA02G0158600 transcript:ORGLA02G0158600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPAATAAATKRREWTNMASAVAAHVLVFPAPGQGHINCMMHFATGLVGAGLYVTFLYTDHSLRRRGALVVPRPLSPPTWLRFMSIPDSLPDDHARAMGEWRHRGAPGVTGDQWQPGERGFMRLGQGRCDDPRRWQG >ORGLA02G0158500.1 pep chromosome:AGI1.1:2:15419032:15419232:-1 gene:ORGLA02G0158500 transcript:ORGLA02G0158500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACLATVVAALVEARRLRVARDTGLMDRPDVTVPMDVWWLVPQHVLVGVAEVLAVIELKEFFYD >ORGLA02G0158400.1 pep chromosome:AGI1.1:2:15406966:15407557:-1 gene:ORGLA02G0158400 transcript:ORGLA02G0158400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFADEKVKEEGLPEDEREKMKEFLKEKVRERKRELKQAKEARKKAIDDMDPKIKEAFENIQFYKFYPVKTLDTPDVSNVKARYINRYYRNAHHLM >ORGLA02G0158300.1 pep chromosome:AGI1.1:2:15402769:15403044:1 gene:ORGLA02G0158300 transcript:ORGLA02G0158300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEAASPSKAAVGATQVAIASLKSVGGGVPPLLIGPETTREDANDGTVKLGRSGLGLIKFGLERWRLIVRALCEDGSSSTPAPLYPSLRR >ORGLA02G0158200.1 pep chromosome:AGI1.1:2:15393252:15398319:-1 gene:ORGLA02G0158200 transcript:ORGLA02G0158200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1P0S6] MSVQEDDDAAGPEPDRLRRHDSFYGDAEKVSNDKSHGTGENWARTLQLAFQSIGVVYGDVGTSPLYVYSSTFPDGVKHPDDLVGVLSLMLYTLILIPMVKYVFIVLYANDNGDGGTFALYSLISRHAKIRMIPNDQTEDANVSNYSIEAPSSQLRRAEWVKQKLESSNAAKIALFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPSLTQLQVVWISVPILIVLFSVQRFGTDKVGYSFAPVISVWFALIAGIGAYNLAVHEITILRAFNPMYIIDYFRRNGKEAWVSLGGAVLCITGTEAMFADLGHFNIRAIQLSFTCVLFPSVALCYMGQAAYLRKFPEDVGDTFYKSLPAPLFWPVFMVAIMAAIIASQAMLSGAFAILSKALPLGCFPRVEVLHTSNKYEGQVYIPEVNFLIGVASVAITVAFQTTANIGNAYGICVVMVFSITTHLITVVMLLIWKVRLPFIAAFYVVFTFTEFLYLSSILSKFAEGGYLPFCFSLVLMALMATWHYVHVKRYWYELDHIVPPDEMAALLARRDVRRVPGVGLLYTELVQGIPPVFPRLVDKIPSVHAVFVFMSIKHLPIPRVAPAERFIFQRVGPDAGHRIFRCVARYGYTDPLEGAKEFAAFLLDRLKVFVYEEAVFACQCAEDGGGGGGGDDDGVLRRAEEMAAEEKRLIDAEAERGLVYLMGEANVEAAPGSSLMKQIVVNYVYTRLRKNLREEHKALSIPKDQLLKVGITYEI >ORGLA02G0158100.1 pep chromosome:AGI1.1:2:15369812:15372806:-1 gene:ORGLA02G0158100 transcript:ORGLA02G0158100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1P0S5] MSVQEDGAARPEPDVLRRHDSLYGDAEKVSNNKRHAGGSWARTLQLAFQSIGVVYGDVGTSPLYVYSSTFPNGIKHPDDLVGVLSLILYTLILIPMVKYVFIVLYANDNGDGGTFALYSLISRHVKIRMIPNDQTEDANVSNYSIEAPSSQLRRAEWVKQKLESSNAAKIALFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQSQVVWISVAILFVLFSMQRFGTDKVGYTFAPVISVWFLLIAGIGMYNLTVHEITILRAFNPKYIVDYFRRNGKEAWVSLGGVVLCITGTEAMFADLGHFNIRAIQLSFTCVLFPSVALCYMGQAAYLRKFPENVGDTFYRSIPAPLFWPVFVVAIMGAIIASQAMLSGAFAILAKALSLGCFPRVEVVHTSNKYEGQVYIPEVNFLIGAASVAVTLAFQTTANIGNAYGICVVTVFSITTHLMTVVMLLIWKVRLPFIAAFYTAFGLAEFLYLSSILSKFAEGGYLPFCFSLVLMALMATWHYVHVKRYWYELDRVVPAAETTALLARRDVRRVPGVGLLYSELVQGIPPVFPRLVDKIPSVHAVFVFMSIKHLPVPRVAPAERFIFRRVVGADAGAGHRLFRCVARYGYTDQLEGAKEFAAFLLDRLKVFVHEEAVFACSRGDNDDDDAMRRAQAMAEEEKRVIDAEAERGVVYLMGEANVTAAAGSSVMKRIVVNYVYTFLRKNLREGHKALSVPKDQLLKVGITYEI >ORGLA02G0158000.1 pep chromosome:AGI1.1:2:15367649:15367906:1 gene:ORGLA02G0158000 transcript:ORGLA02G0158000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSSAGTTVRLALVLVLGAWWYLGALKVSTACHPMQILRPERGWKVCQMNRSPIWLLLVISGLSICIGFVQRWVFWICSLTSP >ORGLA02G0157900.1 pep chromosome:AGI1.1:2:15363692:15366917:-1 gene:ORGLA02G0157900 transcript:ORGLA02G0157900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSEEESLNNLQQQPKLEACAAGSSKGDTVMPVVKKRRGHPGNPDPDVEVVALSPKTLLATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRSSTEAKKKVYVCPEITCPHHDATRALGDLTGIKKHYSRKHGEKKWKCDRCSKKYAVQSDWKAHTKICGTKEYRCDCGTIFSRKDSFITHRAFCDALAEDTSRVNHSLATMVGSLHGQQQDMFSHGVPSFSSSPTDMIANLASNDHNSDSHLRSLSPYALVTRNTALFSNQISPKESGFPLDGRVTSYPYMSMNSPYMSATALLQKAAEMGAKTSQDPISPLLLKSFPNNLTSSRDHMNISSRSQGDSLGNSTVNSDCMKTTEDENSYMIGRGNILINAPWSSGIMRPGTVPLIGLMNHPFSMREEKDNPSIFPENQTQHNRQDNISGVVDADAGLTQDFLGLGGSGTLDMSSETYNADVTALSYSDEQEKPQEHIYSYHQSSLDPTALEKPIWDS >ORGLA02G0157800.1 pep chromosome:AGI1.1:2:15351892:15355215:-1 gene:ORGLA02G0157800 transcript:ORGLA02G0157800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF803) [Source:Projected from Arabidopsis thaliana (AT3G26670) TAIR;Acc:AT3G26670] MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSTTNNNQGNDKFVPKSVMHFQTWRIGILFFAAGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYFVLNKTISVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEQLVAKYSNLVFVLYCMSLVFVVAFNQYLYRSGETIISDSAKHTGSHWRTLLPFSYAIVSGAIGSCSVLFAKSLSNMLRLTMSSRYQFHSWFTYSMLLLFLFTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDTLRIVMFVLGMTSVFIGISLLAPDDSKVDTKDGSSATQEPAIDANRPGKMQTEETEVDGTNSFTSSVKVKAKRILSKAKSACSMSLGLGEETISASSVLAMPMVSSRTTGFRGIATDRSKYIPLRSTDWDNL >ORGLA02G0157700.1 pep chromosome:AGI1.1:2:15349491:15350522:-1 gene:ORGLA02G0157700 transcript:ORGLA02G0157700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRWWPRRRPEPAAIDITWVSCRGVRSSVPFHTPCLYASIYLHHPSPSPSSCGRRRPHRVKTATDRAGGGNPEWDAPLRLYLPSSSSSSPATSSDNKDEVLLRFELKSEVAVLGDVLSATAAVPVSELVADGATRHVSYQLAGPDGKHPNGVISFSYAVHAAAAADTSSSSPSSDADDDRRSTTTTTTSGSECDEYSITPPRSAASRAITLPPPPPSSTMYPAIDWPPTEQLIPMLLYPPAKPHTTAIVKGSTCYPPPPPPSSTPPVEPVAVFPPPPSPACGVYYPPPTVREPVINRSGMYPKVDLDIPVSCYPPPPTAATMYGGGCGYAAAPEWDGRWLHG >ORGLA02G0157600.1 pep chromosome:AGI1.1:2:15340673:15341236:-1 gene:ORGLA02G0157600 transcript:ORGLA02G0157600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRCRRRTASAAAAAARRRLCSLLALAGDYLKYLLTKRGRFLGRVARRSLAALLLSSGGGGKPCLATAPWPPCALAEREFSCSNSPSPAFLAARRLRSRLKRRAGAASCFGALRSPCGCGPSATEAADQEEEEEDEEVDQYGAWECGGGELIDVDYRAEEFINMFYEQLRAQSFHPPTVLQCRSP >ORGLA02G0157500.1 pep chromosome:AGI1.1:2:15332035:15337777:-1 gene:ORGLA02G0157500 transcript:ORGLA02G0157500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGPAKDQEGPVHGPQESTSSAAAAAAADASIPAPPEGAGDVSPPSPPPPPPPSSVQSRAHERAREQPGDGGRGADRSSSAAAAVDRKGKKKIGEDSSSPAPPDDREQAPRKEGRKKSDSPMKFLRSSILAIHGYAKKKPLSDPLSVTYASFLGINMHEREMARGYCVAMYISFFNVSHGAICMFQXVVYCVSLLSDRKGKQPNYPNQTSKEIISKSPPHVGHKKVPIDKAFIHYFGNIHNALQHGYVPLHLAHLDTRYSELRPVRRDGEGFYRSFMFSYLEQVADRVDTREEDRLLDAVRKLATRAEHLQWASEFSRRCEEMHHCFTIVARHRSQHLVDISYNYKFLKAFETLIEKIKKLKCMSEQPTSAIRGELLLELFSSYDTTDDSERNTLSLRSFSLILIHDSDMHSCCISFCFPQWCSTQVIPPRVHADHVTMSALSRALGVAVRVEDTLDGRKKDLMAAELQSITRASNPRFRGIEDMYCVARGTPRVTLVRMYSHYDILYPVPPGATTSIGPNLLQPERQQQGGGGGGGRSQHPPPPAVQTSSRRAGQGDADRAESSSQGAASASWFHRCRRGGSKEKQS >ORGLA02G0157400.1 pep chromosome:AGI1.1:2:15310663:15311355:-1 gene:ORGLA02G0157400 transcript:ORGLA02G0157400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDMDDLVARFMDITMRDSRDAAANHISSCRGSLDDALALYFAAADDETPIRPPIPTRTERLYGDDDDDHGHLTATPPPPPPPPVPVVRPPMPVPARTESFFQDAGYLRAVLGNSNVVEEEDGDAASDYGEAAEGEEACSVRVRFPDGRVVQKEFGAARPVEALFRYCHRHSVSAAGGGRRAFRLVRFAGAASEEIRRGDATFQQLGLHCWTLHLLFGLGPRAHSDGH >ORGLA02G0157300.1 pep chromosome:AGI1.1:2:15302616:15309409:1 gene:ORGLA02G0157300 transcript:ORGLA02G0157300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVMDQRHHMSQYSHPTLAASSFSEELRLPTERQVGFWKQESLPHHMGSKSVASSPIEKPQPIGTRMAGRLELLQPYKLRDQGAAFSLEHKLFGQERHANLPPSPWRPDQETGRQTDSSLKSAALFSDGRINPNGAYNENGLFSSSVSDIFDKKLRLTSKNGLVGQSIEKVDLNHVDDEPFELTEEIEAQIIGNLLPDDDDLLSGVVDEVGYPTNANNRDDADDDIFYTGGGMELETDENKKLQEFNGSANDGIGLLNGVLNGEHLYREQPSRTLFVRNINSNVEDSELKLLFEHFGDIRALYTACKHRGFVMISYYDIRSALNAKMELQNKALRRRKLDIHYSIPKDNPSEKDINQGTIVLFNVDLSLTNDDLHKIFGDYGEIKEIRDTPQKGHHKIIEFYDVRAAEAALRALNRNDIAGKKIKLETSRLGAARRLSQHMSSELCQEEFGVCKLGSPSTSSPPIASFGSTNLATITSTGHENGSIQGMHSGIQTSISQFRETSFPGLSSTIPQSLSTPIGISSGATHSNQAALGEISQSLGRMNGHMNYSFQGMSALHPHSLPEVHNGVNNGVPYNLNSMAQVVNGTNSRTAEAVDNRHLHKVGSGNLNGHSFDRAEGALGFSRSGSSSVRGHQLMWNNSSNFHHHPNSPVLWPSPGSFVNNVPSRSPAQMHGVPRAPSSHMIDNVLPMHHLHVGSAPAINPSLWDRRHGYAGELTEAPNFHPGSVGSMGFPGSPQLHSMELNNIYPQTGGNCMDPTVSPAQIGGPSPQQRGSMFHGRNPMVPLPSFDSPGERMRSRRNDSNGNQSDNKKQYELDVDRIVRGDDSRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFIYLPIDFKNKCNVGYAFINMTNPQHIIPFYQTFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGTNIRARSGRSRASSGEESHQDISITSVNCDTSTNGVDTTGPAKD >ORGLA02G0157200.1 pep chromosome:AGI1.1:2:15278476:15279586:1 gene:ORGLA02G0157200 transcript:ORGLA02G0157200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRAMAGSVGRRTGDGGRRRASGAGAASGGGRGGGAREAEEGDSGDGGGGRSRDRRSPFPPRPPSIPAASRLSIAAVAAYRLPAARRGGRRTVDGGAWERAVGIGRRRVGAGVPREDDDALAPGEGDRGAANVGGLYELYATLPPCGEGGGGWSGPSSSYAVAGRAVLVDAVLRVIGELAELLHPLQDEVVHGAIVGLGLHAVEGVHGGQLGDLATDAVELPVFLGQQLTNVAYEVLSRVLHASISLAWLAGS >ORGLA02G0157100.1 pep chromosome:AGI1.1:2:15267338:15267832:1 gene:ORGLA02G0157100 transcript:ORGLA02G0157100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSKSILNRYAIQTSIEKIAVRRRKTPSSRYSIPPYKFNASSIWQXKVPNLAFLSPHAIPQLPAPRPNYRPHPDKCPIPTLPHPNRLLSETGWWWRWAIGGRRREMDSPGGGWRKRGISEGQCHRLHPCPPATAYLLRALPPPPLPLPHPPATSIRIEPWRVKV >ORGLA02G0157000.1 pep chromosome:AGI1.1:2:15265369:15266175:1 gene:ORGLA02G0157000 transcript:ORGLA02G0157000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWWPEEVEKQRQAQRFRRCKRQGTQFGTGRRRLARWSTGCSRCRLVVHEAPVAXPLVDEFGWSRIXLARWPPDVIVRWCVGMESELFARDGKLGNDNPLPLSSWQCYNGLGFVVGRERESGRKFCLVFD >ORGLA02G0156900.1 pep chromosome:AGI1.1:2:15255056:15255514:-1 gene:ORGLA02G0156900 transcript:ORGLA02G0156900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGQHGRRCKWMMGGASGEMRAAGMAMRVWVAGTTGVVGREASDSAGSGMARAAGCSSDLSTRSSASLSSLWQISTTKSGMQLLSTVTPPMVCSPDGGVSLIGSSTLGVWCEVSARITPKLFLDARMEYLLETDFHFQXAIFRMMGWSIW >ORGLA02G0156800.1 pep chromosome:AGI1.1:2:15244007:15249472:1 gene:ORGLA02G0156800 transcript:ORGLA02G0156800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVESDGGGGAPFSSSSSAAAPDNFDAAQYSFFGKEPLEGLELSCLEDGGGGGGDANGGGFSGGAEEGLYRLSSVGEEIDNLSNLSDIDDLASTFAKLNRTISGTRNPGVIGDRRSISRGSSLTVDWAEDVEFPNWVDQDILEDEEFQESKRWWSQSHTLVQQGDAKPLSRTSSYPQQPLQHRASEPIIPPKSPSFTSFPPPGGRSPYTSQGLTRHGSIPSLGAGLQMGSPSMSLPSSPYHIAGLSHGLPYGGSMSFGTSNLPVNNPMQNDWPSQANLYAGEQFNLLPNMLQKQISLPNSPMSSLLFSQQQQRLAQVQVQPSHQNYLNLPPHIFYQHHSPELTGRFDSVSSVPSSRDKRSRSGRGKHNIRFSQPLPDTGNQNGDSGGIKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKRGANSRPKANFAPTSMKDLPSKSRSSGDHHAYLQVDAVGRVSFSSIRRPRSLLEVDLPSSSDGSHDQKSSLRPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLAASLQLVDPLGPSTSGHSSGLAAKDDLVFLRIVSLPKGRKFLSRYLRLLTPGSELTRIVCMAIFRHLRYLFGGLPSDSSAAETTVTLAKTVSSCVRYMELGALSACLAAVVCSPEQPPLRPLASSAGDGASLIIKSVLDRATELLTDQHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIRQMFTMQSPGSVIGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGFNASGANGGHITSESVPG >ORGLA02G0156700.1 pep chromosome:AGI1.1:2:15229835:15230287:1 gene:ORGLA02G0156700 transcript:ORGLA02G0156700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQPHRENIKVLHLRTRRWNTVVAVYVRHLDAATTLLYSHGNAADLGHLYQLFLHLSFNLRVNVLR >ORGLA02G0156600.1 pep chromosome:AGI1.1:2:15216844:15217065:-1 gene:ORGLA02G0156600 transcript:ORGLA02G0156600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGTISYVKFLSCTRGFPKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA02G0156500.1 pep chromosome:AGI1.1:2:15204081:15207930:-1 gene:ORGLA02G0156500 transcript:ORGLA02G0156500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLEPKWAAVAEYCRRHGVERGAVQCRKRWSNLAGDYKKIKEWERSLSSPSSSSSAAGMGKEVSFWAMRNDARRERRLPGFFDREVYDILDGRGRGTLVAPSGGGNAAAGEEEPARAAAEVEVEVEEEEETGKTRARAEETVFDSGRPAAEESLFSDDEEEDDDDEAPPATAAAVAATAQAPPRAVIALPISGTSKDKQPEQQAASRGTPPPPPPTTQQQQQQQQQGGQKRRRADDDDEEEDDGRRGGELQSKLVEILDRSSRMVAAQLEAQNANSRLDREQRRDQAASLAVVLGRLADALGRIADKL >ORGLA02G0156400.1 pep chromosome:AGI1.1:2:15195694:15201233:-1 gene:ORGLA02G0156400 transcript:ORGLA02G0156400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26730) TAIR;Acc:AT3G26730] MSISPSESTRGSQPLAPRPSNPSPGPRHGNSSAPPRRRGRRSPTAPVSPPSAAGGSGGPSSDSVVPAIREYVDTSQKVVGFRISREENDDSYTQEVGNFSECHSSEHGNSGFSANNGTSGQATPQRPELMESLKIDQSTTNSSGNGTQVTARKNQSVNANYLLNFHYDPISRPQPRGPRTYPTRRHRKIRPYNKDLFLQANFKFVVLDTGSYEIELMDPDKMLQWEDIVCVRYYSPCEVQCPICLESPLCPQITSCGHIYCFPCILRYLLMGKEDYKGESWKKCPLCFMMISTKELYTIYITQVQHFHVGDNVTFTLLSRSKNSLTPSIKNLTDESTSIDEDPCSAFSKFVLTSDVELSVREAKTDLVNWLHMADLGLVDDLEKLPYVSTALEQLEERMKYWSEYRNFSVSPPLKDSFSPVTSSKSRNPNNAQSSRQNSEHKLSPLSDEDMIAGVSELCISPESNKIFNKGMPSKTEERCMAPIDSNENDTYNFYQVSDGQHLILHPLNMKCLINHYGSSDMLPPRIHGKILELETVTQSEATRKRYRYLSHFSLTTTFQFCEIDLGDMLPPSSLAPFMDEIKKREKQRKRTAKKEESDRVKAEVAAAAQASAMLFEHTSFSPSSGPHGDFMFSLDDFEALGNNAGPSTSPPASERKLFSDVARLGFASAQDSPPLRVESGDLTGKSESTGEQGPAATPALSFASIISSTRASDNSLDTHKPNVVGKKGKKPTKVLLSTGGGRRY >ORGLA02G0156300.1 pep chromosome:AGI1.1:2:15185739:15188241:-1 gene:ORGLA02G0156300 transcript:ORGLA02G0156300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPNIEMIASSLRNCSLNGGGGGGGGRRRGRRAAAAEGSDDSEGVTVELNSEVALPYHWEQCLDIRTGQVYYINWEDGTRTTIDPRSSSAYSPSPASRSASSSSRRCSRARXXXXXXXXXXXXXXXXXXXXXXVSSVGAVTAAAAAWRSHDSSGHGYGYGYGYGSYGYGYGYDGGDGDDEESSSSSSSSSSSSSASSSRGSAVSSTLSSFSPTDESASGAGSGYAVGGNGAHVLVAAGCRACFMYFMVPKTADVCPKCGSSGLLHLSRNGYV >ORGLA02G0156200.1 pep chromosome:AGI1.1:2:15145435:15148133:1 gene:ORGLA02G0156200 transcript:ORGLA02G0156200.1 gene_biotype:protein_coding transcript_biotype:protein_coding HYASYGVINMSDISALSYLKVFIDAHCQLGDLVDAVVPFMGESITDGTLATFLKKPGDRVEADEPIAQIETDKVTMDVASPEAGIIEKFVASEGGIVTPGVKVAIISKSAAQSKTHTQSSEDTSQKHSTTPPSTKENKVEAKPPKVESSTTHESKLTSSSEPQLPPKERERRVPMPRLRKRITNRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDQFVEKHGVKLGLMSCFVKAAVSALQNEPIVNAVIDGDDIIYREYIDISVAIGTSKGLVVPVIRDIDAMNFADIEKGINNLAKKATEGALSINDMAGGTFTISNGGVYGSLISTPIINSPQSSILGMHSIVQRLVVVNGSVLARPMMYLALMYDHRLIDGREAVLFLRRIKDVVEDPRRLLLDI >ORGLA02G0156100.1 pep chromosome:AGI1.1:2:15127809:15129562:1 gene:ORGLA02G0156100 transcript:ORGLA02G0156100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNHCVTGPVFGLEQWLISLLYXFXFLTETRDRVEWVISKLHLXCXEENKPXISILQVTKDGCPVIFHDNFIFTKEDGKILDKRVTDLQLEDFLLYGPQNEQGKGGKPLLRKLKDGRIVNWNVQSDDPLCTLQEAFEKVNPRLGFNIELKFDDNLEYQEEELTCILQAILKVVFEYVKDRPIIFSSFQPDAAQVMRKLQSTYPVYFLTNGGTEIYADVRRNSLEEAIKLCLASGMQGIVSEARGIFRHPAAVPKIKEANLSLLTYGTLNNVPEAVYMQHLMGVNGVIVDLVQEITEAVSELITVPEPDLNADNLSNGAAKDAATPHFSQCEISFLLRLIPELVQ >ORGLA02G0156000.1 pep chromosome:AGI1.1:2:15111671:15115910:1 gene:ORGLA02G0156000 transcript:ORGLA02G0156000.1 gene_biotype:protein_coding transcript_biotype:protein_coding EREKKKKEDDIENRARGRGNATVKKELSALKDANGNVISAQTFTFRQLAAATRNFREECFIGEGGFGRVYKGRLDGTGQIVAIKQLNRDGTQGNKEFLVEVLMLSLLHHQNLVNLVGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKVPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGEDFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPHVEQNLVSWARPLFNDRRKLPKMADPGLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQKYDPNTTPSSKKAGGGEAGRALSRNDEAGSSGHKSPSSKDSPREQQLPGILNDRERMVAEAKMWGENWREKRRAAATTSSNAQVSLDSPTETG >ORGLA02G0155900.1 pep chromosome:AGI1.1:2:15092997:15098567:-1 gene:ORGLA02G0155900 transcript:ORGLA02G0155900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAANHYFRNIDNSFQQPEVGLRLKYLSHHYSEFRPVHRDEECFYRSFIFSYLEQVVDSIGTREEDRLLAAVRALATKAENLQWASEFSQKHKAFERLIEKIKGWKRMQEHPISIIRGKILEFFSSYDTTDDIFAFLRLVAATWMCTRIWNYEWCATNCGENQNLEDWCSKHVIAPRVYATSAAVKACAEALRVTVQVENVHDGTCESTHYIVRGAPRVTLLRIESHYDIIYPLPPSSINSSNPHEEKLLPIPSSILAYDRRKIFDRKQKHLDRSNQNTRASTSKSPPHEDQKRSGRKRKRPGCSNQNPRASMSKSSLRKDHKSSALATLVDTRRRRTRLTDTIIPPGCGRTRKYEITRMSTRLVLRRSVRSLYKLHSQSNSLAGRFSLRNFLISDEMTIEMDEFQADDLDPYTKANAEVDFYQYVKTIEELFGSLPVPEDIHRWLSMIMRDPTAYQYLICYHYCLMEEHQMMHVFTSLYNKLLALPTTDPAGYNFVLERLKIFSGWSPMDLHNVYFIETFYWKDPITGVSIIYGDDVLSLLRLVRNTYQHFMSKVVEGRKLLFSEKDFGNMVNEQFPGLLDEFFEAMFIATYYADLQLEHTMV >ORGLA02G0155800.1 pep chromosome:AGI1.1:2:15089516:15090362:-1 gene:ORGLA02G0155800 transcript:ORGLA02G0155800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTLPPDSFIQIKQKRFAFSATMLSSNDSHASSSRSSTRKTLCNDSCPLPPRRLPSLSPPPPPSRAPAAACLLFPRRRLHYDAWPTDWLVGMAARDGAALKPVLSGLSMKPVPSDERLREARATAEAEGDDPAAVDVNLVLTFLAARDGDLNNARRPRLRLRAQRRGARPRGAASPTAATQRRRRHSRLAAAAASPSGLLLRCRPASQKKRRGEKRERGGRRERRGKRERLRWPRXHVKLTWGAFFNSVIS >ORGLA02G0155700.1 pep chromosome:AGI1.1:2:15083011:15084156:-1 gene:ORGLA02G0155700 transcript:ORGLA02G0155700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRSRRRDDDKHLYVVLDWDMGYVIHKLDVDEFTDSGAGAGAAMFHHLPEHAAVRIEAPVDRSFPAVAAVGSKIVIATHALLEDAPVFMYDTGTSSLAAGPRPTAPLMPGIMVPVHGQRLYALDPRSASKHYLQGQGHYVDDLDAWVGLDDDGRLCSCDVASRRAATSAAALGSKITKETLLREDPKRHVGHSSGATLAYMGDGVFCLVECAIRRGLDIADALCAEDGCVLHVTVFGLSYDKAGELRISPRRRGRTYLVSRFNHVVAPKVFWM >ORGLA02G0155600.1 pep chromosome:AGI1.1:2:15067761:15069879:-1 gene:ORGLA02G0155600 transcript:ORGLA02G0155600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1P0Q0] MAFMSMERSTWAFTFGILGNLISLMVFLSPLPTFYRVYRKKSTEGFQSTPYVVTLFSCMLWMYYAFVKSGAELLVTINGVGCVIETVYLAMYLAYAPKSARMLTVKMLLGLNIGLFGVIALVTLLLSRGELRVHVLGWICVAVSLSVFAAPLSIIRLVIRTKSVEFMPFSLSFFLVLSAVIWFLYGLLKKDVFVALPNVLGFVFGVAQMALYMAYRSKKPLVASSSSAAVAAGLEIKLPEHVKEVQAVAKGAVAAAPEGRISCGAEVHPIDDVMPPEVVEVKVDDEETNRTDEMAGDGDHAMVRTEQIIKPDMAIVVEV >ORGLA02G0155500.1 pep chromosome:AGI1.1:2:15058400:15062936:1 gene:ORGLA02G0155500 transcript:ORGLA02G0155500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSPAEEQLNPKVGGPYGGGSSSSAAAAAYGGGGGSGAGRHGERGGGYPDLHHHHHHQQLPMAAPRVEKLSAGAEKTRVKSNAILREPSAPKDANGNVISAQTFTFRELATATRNFRPECFLGEGGFGRVYKGRLESTGQVVAIKQLNRDGLQGNREFLVEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEYMHFGSLEDHLHDLPPDKEALDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDESFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPRLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQSYDPNAAHASRKPGGDQRSKVGENGRVISRNDEASSSGHKSPNKDREDSPKEPPGILNKDFDRERMVAEAKMWGDRERMVAEAKMWGDRERMVAEAKMWGENWRDKRRAIENGQGSLDSPTENG >ORGLA02G0155400.1 pep chromosome:AGI1.1:2:15047205:15047405:-1 gene:ORGLA02G0155400 transcript:ORGLA02G0155400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGSISFLRRNLEVDNWERGQVDVEEVGVKLGHARDGRGGVKEKDEANKECEEEEKQERGGRDG >ORGLA02G0155300.1 pep chromosome:AGI1.1:2:15037110:15037421:1 gene:ORGLA02G0155300 transcript:ORGLA02G0155300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LANQNHLPTRLSMTDFASITKVSSLYVLQCRLSTTSFSSEPRLVDLHRHGQQLRAHPCNLPDTGCGHACDKQNLSDTCGHR >ORGLA02G0155200.1 pep chromosome:AGI1.1:2:15031922:15032329:1 gene:ORGLA02G0155200 transcript:ORGLA02G0155200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAAAEQAWYMPAAAPAPMVESAVARVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPTVHELDLDPRGRELERALARLVGYGGPAAASPPVVPVVFIGGKLVGAMDRVMAAHINGSLVPLLKEAGALWL >ORGLA02G0155100.1 pep chromosome:AGI1.1:2:15003135:15008132:1 gene:ORGLA02G0155100 transcript:ORGLA02G0155100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G51140) TAIR;Acc:AT5G51140] MAAGPAGIVWQTPANPPERQDYIFRDGRRYVRPYYFEFISHVKNRWAGKTIVDLFTDEFKGRPREYYVHAVKCGRLQVDDQMVHADYVVQSSQKISHFLHRHEPPVLGGDITILQNEADVVTVCKPASVPVHPCGQYRKNTVVGILQAEHGLVPLFPVHRLDRLVSGLLIFAKNADKAESFRQQIKASLLQKEYVAKVVGVFPDGEQTVNANVHFNAREGRSTAEVCDGDGKAPIGKQACTKFQRICTDGIHSIVLSKPVTGRTHQIRVHLKHIGYPIANDEVYLSENFSPRSSKGTRINRATTLACSLPSSDPDSCADLGNNDTNKDTEADEEFSIDPMCTNCPNLAPVGYDADEEALWLHCVRYTGPDWSYECPYPDWAFLDNVSRKKLKS >ORGLA02G0155000.1 pep chromosome:AGI1.1:2:14998512:14998889:-1 gene:ORGLA02G0155000 transcript:ORGLA02G0155000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSLGNLVMTGATLGALWWRPPKLEGLSDSDDDGGSELSDNRSDGAGLNRGRFANDDLGSCGASAPMTMAADYDSGNDED >ORGLA02G0154900.1 pep chromosome:AGI1.1:2:14996085:14996411:-1 gene:ORGLA02G0154900 transcript:ORGLA02G0154900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAAQVAAADAAGGERRRATAPRGGGRWCTGADGAEARGEAASPETAARGSRSARGPWLVSAVEYTQSPEEEDAEETDDDEEAAAELEPTLAVGTAASVKKRYNRGQS >ORGLA02G0154800.1 pep chromosome:AGI1.1:2:14989615:14990115:-1 gene:ORGLA02G0154800 transcript:ORGLA02G0154800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGGGGGERRNILAKTIDRCRSSLGHRTTRRPASAAAGGGYGGAAVPAGFFAVLVGPEKERFAVRARCANHPLFRALLDQAETEYGFAGCEGPLELPCDVDAFMDVMWEMEQTDPAASPRCGARFGGPAAAGSGRGYGGHGHHHQHQGYQMMSTPARLLVAGRS >ORGLA02G0154700.1 pep chromosome:AGI1.1:2:14966696:14988060:1 gene:ORGLA02G0154700 transcript:ORGLA02G0154700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGDGRRRRPSAGGGGGGGGRGGGWRSGSSAAKDQRLRLGAEELLESRLGFAPYTDSERRLGWLLTFSPSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFPPYFYAATKDKMELEVEAYLRRRYEGDIADIEIIEKEDLDLKNHLSGLKRKYLKIQFDTVQQLMRVRSDLLHVVEKNEEELNAAEAFESIYGVKSLCSDDLVHYDRVERPQDYINCIIDLREYDVPYHVRFAIDNDVRCGQWYDVSVSSSDVLLHRREDLLQRAEVHVCAFDIETTKLPLKFPDAEYDTVMMISYMIDGQGYLIINREVPDVLFSIIIYQISAYYVQIMCVGEDIEDLEYTPKPEFEGHFKVKNVANELDLLKAWFSHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMNEEIGFQCDNNQGECRAKFSCHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKANIICPNKHQADLEKFYNNRLLESETYIGGHVECLETGVFRSDLPTKFQLEPSACEQLIANLDRDLQYAISVEGKLDIDSVTNYDEVKDAIKQKLVSLRDHPIREECPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRTLEWVWRGETYMAKKSDYHHIKRQIESEMIQAGGVTPSKPFLDLSKPEHLLKLKDRLKKYCQKAYKRVVDKPITEVRNAGICMRENSFYVDTVRSFRDRRYEYKGLNKSWKGKLAEAKANGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVDKIGKPLELDTDGIWCVLPGSFPENFTFKTNAGKKLTISYPCVMLNVDVARNNTNDQYQTLNDPVNKLYTTHSECSIEFEVDGPYKAMILPASKEEGVLLKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDRFLHGSTLEECYTAVASVANRWLDLLDNQGIDISDNELLDFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYIVAREPQGTPVSERAIPVAIFETDAEIAKFYLRKWCKISSEASIRSIVDWSYYKQRLSSAIQKIITIPAAMQKAIYFLLISNPVPRVLHPDWLHKKVREKDDRFRQRKLRDMFNPLEKDRGVQNLDGTGDMEDLLTLDGGMRKSHVPNGFGKENKPNDAPSTEAGSKHSKNKQKSITRSNEPLAVHIQNDAADEQVDRSTDYQGWLDAKKRKWKYVREQKKRQRLGAAVTSDGPTNDLFSARNVVIYSFTLMPDKLIFCPHQIIQLASSTLPGHFFAWVVAEGIMFKIPINVPRVFYLNSKAPVTEEFPGRRVKKILPHGRPCFNLIEVVTSEEQFRVEGKKLAAHLAEPDVEGIYETKILPELNAILQIGCVCKVDKSAKRRSIQDGWDLAELQMKTTAECSYLEQTVSFFYLYHSLSEGRGVYVMYFPTSFRIHAVVVNPFRNKELSPSFLEKQYQDACQALGSLHENITFLVDYHTSIDAGSKHVQRMLLDYRQQHPGPVIGVIECPKLQALKSAVRALDDFPCVTIPCNARDNNYQALGWQATAGRTSMQRCAASTQWFNERISLARYAHVPLGNFELDWLLFTADVFFSRALRDQQQVLWISDDGIPDLGGTYEGDTCFADEVIQPALTYPGAYRRVAVELKIHHLAVNSLLKSSQVDEMEGGPIGSFANDMPAGPNGTETEFDDATLCLPAFQVLKQLIQRCISDAVSSGNVFADAILQHLYRWLCSPRSKLHDPALHRLLHNVMKKVFALMLAEFRKLGANVIFANFSKIIIDTGKVDLSSARAYCDSLLKTLQTRDIFEWVELEPLHYWHSLLFMDQYNYGGIQANMQNATSEGSSDGDNDIDIVSSWNIAEYLPKATQDHFVLIVSEFLYVPWKYMKEQVALRSTTRDETSCTPSITIMAAENLEVQVIGYLRDQISNYFADKLLRIVSDILHHFKGKGKSESVELTNREPDPHTHKGDAALEFIKHICAVLALDQNVQHDILRMRKNLLKLVRVKEFAPEAQFQDPCASFTLPNVICSYCNDCRDLDLCRDSTLQGQEWRCAVPQCGQPYHREQMENALLQVVRQRERLYHLQDLVCLRCRQVKAAHLSEQCSCGGAFRCKEESSQFLSKMRVFLNVAVSQKFELLQDVVQWILELTXTSAANIVQKW >ORGLA02G0154600.1 pep chromosome:AGI1.1:2:14952761:14953258:1 gene:ORGLA02G0154600 transcript:ORGLA02G0154600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVPAAAFVFVSLALLCDASQGEGAAAAAACRAADLVVRQRATGRVVEGKPEYAVEVANRCRCAQSRVLLRCYGLSSVESVDPRAIRPVDDERCVLRGGRAIRRGAPPVRFTYAWMTPFDFPLVSSQVHC >ORGLA02G0154500.1 pep chromosome:AGI1.1:2:14931915:14936925:-1 gene:ORGLA02G0154500 transcript:ORGLA02G0154500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRSASDRHRDKEKEKERRETHRREEKDHHGSGRRDRDRDRESYREKDKDDRREKEKEKERDSERGRGRDRDRGKDRDRGEAERDKERERKDRDKEKSRGRDKSKEEREDKDYRDKSRGKDRGDDGGDLSKGEEGDQKKRVEAAEEAEKPSTTELRERIARSKEERLNDTKQGGILDDNGGDGEILSWVGKSRKLDEKRQAEKEKALHRARALEEQDNILAENDEDDDEEQQEDNRVGEHLSGVKVLHGLDKVLEGGAVVMTLKDQSILADGDINQEADMLENIEIGEQKQRNEAYKAAKKKGTYDDKFNDDPLSKKSILSQYDDPMEDEGVTLDEGGRFSGEAEKKLEELRKRIEGGFVQKKTEDLTSAAKMSSDYYTPDEMLQFKKPKKKKSLRKKEKLDLDALESEAIAAGLGTGDLGSRKDARRQAAREEEQKADAEKRNYAYQAAIAKAEEASKALRPEKNISGKHAESEELVFGEDYEDLQKSLEQARKLALRKQEETAASGPLAVAELATARIGQKDADAAEGDAQQNKVVITEMEEFVWGLQLNEETHKPEAEDVFMDEDDDMIMSDTVAKDDTSGLAVVKEETSVEVPVKNEEEEELKPDEIVHEAAVGKGLAGALKFLKERGTLNEGTDWGGRTTDKKKSKLAGIEDGPKDIRIERIDEFGRVMTPKEAFRDLSHKFHGKAPGKMKQERRQKKYRDELKTKRMISSDTPLMSAEKMREAQALSKTPYLVLSGNAKSGQTSDASGFATVEKEHPGSLTPMLGAKKVEHFLGIKRSAQGGGLPPPPPKKPKN >ORGLA02G0154400.1 pep chromosome:AGI1.1:2:14914674:14929715:1 gene:ORGLA02G0154400 transcript:ORGLA02G0154400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYLMFHSVLMHVAAALVVLVYIPLSMPVKLFLWAFVKPLRKESLRGKVVLITGASSGIGEELAYQYAAQGACLALVARRKKALEGVAAAALERGSPDVLVLPADVSDADQSRRAVEETVAHFGKLNHLVANAGIWSSCSFDEVTNITAFTKMMDVNFWGSVYPTYYALPHLKASKGKLVVSCSAAGTVATSRMSFYNATKAAQLRFYETLRAELGSEVGITVLTPGYVESEITKGKGIQSGGDVAVNEEARDEQIGVFPVGRVAELGEVAMDGIRAGDWYVTWPSLFRPLQLVACLAPGVLDWACRALYGTRKGARPPLGKRIMEATGMKRLFPEALRRNPAIKTEDEEYCDGEEGYGAADDAAAAYLLQCRKGLXAAHTYIRCIFSLSDSIL >ORGLA02G0154300.1 pep chromosome:AGI1.1:2:14908256:14910627:1 gene:ORGLA02G0154300 transcript:ORGLA02G0154300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDDATATDNSDGATPCPAPLSSVWTRRDEKLLEMLLWRWQLDPHWDRVAAELGDKTATQAFDRYVCLADELRLVMAAPAVDTPLAWDVQDEQVAAVAPLPGLEADAAAGAGESAEVTAIGIAAAASPNAAATRAPTIGGGVELESRELKNPRKTRMAGGGPRKKAEMWTREEHSQFLHGISAYGKGNWKALASEFVKTKSSTQIASHYQKFCIREEKRNLSKCKRASIHDIVSPTTTTSAPESAGAGPSAPPCALIESGALIAGDDDA >ORGLA02G0154200.1 pep chromosome:AGI1.1:2:14893732:14904935:1 gene:ORGLA02G0154200 transcript:ORGLA02G0154200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELAYQYASEGASLALVARRKQALKSVAAAARERGAPDVLVLHADVSDAGQSRRAIEETIAHYGKLNHLVANAGIWSTCPFDEITNITAFTTIMLYFEACNDKSKSIVYMNFKDVNFWGAVYPTYYALPHLKASRGKLVVCSSAAGTVATSRMSFYNASKAAVLRFYETLRAELGSEVGVTILTHGYVESEMTMGKAVQKDGVLVVDQEARDVQIGVFPVGSVGAMCRVAMDGIRRGDRYVTWPSMYRPLQLVACLAPEIVSWLSYAMYNTKAGGGAPLSKRALDATGARRFFPEGLRRDPVVIKTDEECDDDEGVAASN >ORGLA02G0154100.1 pep chromosome:AGI1.1:2:14880267:14882255:1 gene:ORGLA02G0154100 transcript:ORGLA02G0154100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPASLAAAAAAATAAPEPRGIRPPRAPVHRLRLRHHATRVGCSTVSVSTRPATTTTTTTTRSQPPPPQPPQAAEPLQRRWGPTERRKGADILVEALERCGVRDVFAYPGGASMEIHQALTRSPAIRNHLLRHEQGEAFAAGQVPRRMIGTDAFQETPIVELTRSITKHNYLILDVDDIPRVINEAFFLATTGRPGPVLVDIPKDIQQQMAVPSWDAPMRLPGYISRLPKPPAANLLDEVIRLVGDAERPVLYVGGGCSASGDELRRFVELTGIPVTTTLMGIGNFPSDDPLSLRMLGMHGTVYANYAVDNADLLLALGVRFDDRVTGKVEAFASRAKIVHVDIDPSELGKNKQPHVSICADVKLALQGMNATLEQQQRKNLDFSAWRSELEKKKAEFPLGYRTFGEEIPPQYAIQVLDEVTNGEAIVATGVGQHQMWATQHYTFRRPRQWLSSAGLGAMGFGLPAAAGAAVANPGATVVDIDGDGSLLMNIQELAMVRVENLPVKVMVLNNQHLGMVVQWEDRFYDANRAHTYLGNPAANGGGEVYPDFVAIAGGFGIPAARVTRKGEVRAAVEEMMAAPGPYLLDVVVPHQEHVLPMIPSNGAFKDIIVDGGGRRT >ORGLA02G0154000.1 pep chromosome:AGI1.1:2:14874022:14878941:-1 gene:ORGLA02G0154000 transcript:ORGLA02G0154000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLTDDA >ORGLA02G0153900.1 pep chromosome:AGI1.1:2:14869610:14871817:1 gene:ORGLA02G0153900 transcript:ORGLA02G0153900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDYNMDEAIKARGVAESRFHSRDIRGARKYAIKAQNLCPSLEGISQMVSTLEVHLAAESKIDGESDWYRILSLTAFADEEEVKKQYRKLALQLHPDKNKSVGAEEAFKLISEAWSVLSDNSKKVLYDQKRKDHSVVNVTNGMYTYDKKANKRARKNAAAAAAAAAAAAAAAEATTRPAGVDTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFLAVETGFPCNGSSSSFSWSTKQQPQNNNSTKHSYGSTSRTSSIPGTGHGGYQQDGTYDSYNNQSFQWNQYSKTTPAAGTNAYGTQALEKPKRKHEESYSYNYSATGNSYGHERTNSRRGRFSKRRRHSNDGYTTMDFGGDNRETVAASTETTAFTDVAVAQVNGTSGEKLRSAVSGRRANVLREISQIDTRALLIEKAKAAIQEKLQEWNITSSSRLAERGKSQGKVYPSDNNIKQNGGLSDKHVKGLKQCSSRSVDTQAPTVDEKNPEQRRVPVSIDVPDPDFHDFDKDRTERAFDSDQVWATYDSEDGMPRLYAMVQKVLSMRPFRIRMSFLNSKSNSELAPISWVASGFQKTCGDFRVGRYQISETVNIFSHKVSWTKGPRGIIRIVPQKGDTWALYRNWSPDWNELTPDDVIYKYEIVEVIDDFTDEQGLTVIPLLKVAGFKAVFHRHMDPKEARRIPKEELFRFSHRVPSRLLTGEEGNNAPKGCHELDPAATPVDLLKVITEVTEDTATQPAK >ORGLA02G0153800.1 pep chromosome:AGI1.1:2:14866209:14867665:1 gene:ORGLA02G0153800 transcript:ORGLA02G0153800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRIPRAASTVRRPASGTNTGRSSIHRIRRPPSPPRSQNXVLRPPQPSPTTCSSPTSSXTSPFHHLTLTLRAAAGVARPRARARAAVAAAAPPHITAETDPMFHKSIPNGNRIARRIIPTHCDGLVAVATNGGATFVYNPATQELVVLPPGTGGRSRRGRSPGSTELTAAIGFDPWRNRYVVARCFYYRKSGNHYPPVYGIGHEIFTLGGGAGNGWRRTQDPPRAISPDGRPAADCTRGGGGSFYWFIDEPEPCALLRFSLRDEAFDAVPSPPGCTACTYDRLADLAGELCFVHRVRTSVVATHEVWMAAAVDDDDPAAAASPEWSLRYRMNVWGYAWSLDAGERXFQSFGATVAGDDGVEEEEAMLVAMFYKELWWHRERSKPVVKDVNVLGSRYSCEPTPTIHHVIRYVESLVSIRAPNY >ORGLA02G0153700.1 pep chromosome:AGI1.1:2:14864127:14865464:-1 gene:ORGLA02G0153700 transcript:ORGLA02G0153700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYYRRRKEKRRRITQYPAPAAAAAAAPVPDDLLVSEILTRLPVKTLTRCKSVCRSWRAALEDPSFVRRHLELSRTRTPPSALVIPHDGGNFLSRYISFHRLRSPEHTATDAAAAAATAELMLEATCPEEIGCGFVPSCNPATRKLVQLPLGTNAGLSECFWPAAAIGYDPWRDRYVVCRYFDLSTEPFFDEATGWETSYWRLGHEIFTLGGGGGDSWVETDPPPPPGRHWPIGELGAICVRGDFYWLSYTAAGAAGDGEAEMALLRFGLRDAKFDVVRRPRGCTCRRRTPSSSDDGYFYFTDRVVDLSGKVCYVHAPLAADFLELWQLAGDHDQEWSPRCRINLLERGIVIRKEGFVPVYHHGEDMLLVLDDEQLYRYNERTRAIEEVANLERELEEYERQDGTLGYKHYVVPYVESLVSICSSNYI >ORGLA02G0153600.1 pep chromosome:AGI1.1:2:14853004:14853414:-1 gene:ORGLA02G0153600 transcript:ORGLA02G0153600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAAAAEQAWYMPAAAMVTAAAAAETAAERVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPAVHELDLDPRGRDLERALARLVGAGGGAAAAVPVVFIGGKLVGAMDRVMAAHINGSLVPLLKEAGALWL >ORGLA02G0153500.1 pep chromosome:AGI1.1:2:14834671:14836232:1 gene:ORGLA02G0153500 transcript:ORGLA02G0153500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKNKAELQAQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKSLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAVKA >ORGLA02G0153400.1 pep chromosome:AGI1.1:2:14818782:14819048:-1 gene:ORGLA02G0153400 transcript:ORGLA02G0153400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHGGDGSGVGDESGWRIQRLERATMAVVAAAVSQICFEFYFVNVHLKNSTELWLVGGRLWLSYLAICINSRHRLLFEKYPRRKNAT >ORGLA02G0153300.1 pep chromosome:AGI1.1:2:14802875:14803291:1 gene:ORGLA02G0153300 transcript:ORGLA02G0153300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSKEFIDELHKPLTAEAKAQMVADGKKKVQLQEKQEELTAEAKARMVADGKKKVQLQEKQEEDGTALRQGSRLSATDLIRYTLMGIRFAFTAAMHYYHCTQMQMMPELTRTTFGVFYNCFHYFLIAIIILASYMGI >ORGLA02G0153200.1 pep chromosome:AGI1.1:2:14781210:14781734:-1 gene:ORGLA02G0153200 transcript:ORGLA02G0153200.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDRVEKEPWALTRCGCLAKLEIERNEEKGVWFVKEFDNQHMLELANPDHDAFLGVHRVMSDSKKAQAVELHMSGLRPFQIMEVMENNHDESEEVGFVMKDLYNFFTRYEMKNIKGRDAENVLKYLTRKQEEDPEFFFKYTTDEEGRLRNVFWADVESRLDYAAFGGVVIFDSTY >ORGLA02G0153100.1 pep chromosome:AGI1.1:2:14780802:14781095:-1 gene:ORGLA02G0153100 transcript:ORGLA02G0153100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLETFLEAIRQVHPKSLITDGDLAMAKAISKVMPGAYHRLCTWHIEENISRHLRKPKLNELRKLIYESMDEEEFERRWADFKENGGTRNGQWIALIY >ORGLA02G0153000.1 pep chromosome:AGI1.1:2:14780010:14780801:-1 gene:ORGLA02G0153000 transcript:ORGLA02G0153000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LREKWAATYTDVKYLLGMRSNQHSESLNSKLHTLLKRNMSLMCLVKHVKLCIQRLHKKEAQLDAKCTYSIPFRRIDADPLEKDTAHIFTTIVFKKVRAQIWLIAGLEVISGTNQDGSSMYVVGLKDDNEVWDEVRVTFKGQALEGVECHCRKMECEDIPCSHIFVVLKFLGFDTIPRCCVVDRWTMGAKAAFRSDRNTDPNVWSEHMVRFRSLRNLGSDARNPEQTEKAMDFLNGILDKGSSSHENIVAGDFAPMPTHFSSSN >ORGLA02G0152900.1 pep chromosome:AGI1.1:2:14770318:14771836:1 gene:ORGLA02G0152900 transcript:ORGLA02G0152900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSVYPEADSAVQVYPEAEMQFDDLTCGDENMLAMFNVLKNKKSARLENLVLARNSFSITVYNINTLSNLVYVGRVQITVDGDGRHIVYPRNAPTAEDIASGKVKNIHFIMRTDFRDWQMMKDWLWMAKS >ORGLA02G0152800.1 pep chromosome:AGI1.1:2:14767770:14768878:-1 gene:ORGLA02G0152800 transcript:ORGLA02G0152800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHIEEVQVKRIRMNVLTQPEFLNDDVMDAYIQCLRYNEKGIRGVGKAFLEMAIKTGLLNVEGAHVEASKPRDKRWIRDMARDYLAFDMIFLLINIKDTHWYLAVLNAKRCEVQILYSLAKPISKDRPDLRRVKDVKTFRQDLAGILINSELSKIKDRPLLPTTT >ORGLA02G0152700.1 pep chromosome:AGI1.1:2:14762342:14766757:-1 gene:ORGLA02G0152700 transcript:ORGLA02G0152700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGEQTPGQATEVAREMDGDGGGDGGEWRQEVVKWFHSLHHTQFRTNYSLYMPFYDYIYNTMDKSSSTLYESMLKISKEKSLDVVHLTHLTDLQSIYHLRPGFSMFAARGYNQSNWSMITILSPLSWLIAMCTWAFSSSPFAVDRSVLDKNLNMQTWAIPRYSFHYHLKRENKAINDLIGKAIHEADRRGAKVFSLGLLNQGSSLAAAVVSDSVASRTDQVILAGNLDKVARAVAMALCKRNVKIRWEALEVSVAKGIMWRQRGHRGMDGGDIGDGEARATVELTIEKQSSQEVSSQSREDDVLTKALGTEEHRGRTRGIGSSVPWKLGFPQYAWQYKKHKLSKAQKYARLKEQLRAELREELRE >ORGLA02G0152600.1 pep chromosome:AGI1.1:2:14725633:14730941:1 gene:ORGLA02G0152600 transcript:ORGLA02G0152600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13040) TAIR;Acc:AT4G13040] MVSLRRRRLLGLCSGKDSLPVDFPKPSDNENSVEVAHPNIKPFSVHPLPLTKNSDVLLESSNGSDSLKEEKNHYYPGKEIKRRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQEEAARLYDRAAFMCGREPNFELSEEEKKELQNYTWKDFLDMTRDTITSKKQRKVGLIRRNKTDSLVGQSDGDTEMINGGGSSHSEDGDAETSAS >ORGLA02G0152500.1 pep chromosome:AGI1.1:2:14714824:14715225:-1 gene:ORGLA02G0152500 transcript:ORGLA02G0152500.1 gene_biotype:protein_coding transcript_biotype:protein_coding STHMLLLLLFLAGLTFLLHSSVLVARVIELCLDHVRVNPSPFPAIDLKVTTEEGSGSGDRRGRRSSTVEERREEREMAMCRHHRRPQSQCASRPSTSSPDVLCSMRPAAVATAVLLVVFVTVTDKFSSTRIPL >ORGLA02G0152400.1 pep chromosome:AGI1.1:2:14710049:14713188:-1 gene:ORGLA02G0152400 transcript:ORGLA02G0152400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25140) TAIR;Acc:AT3G25140] MGGRGALPVVAGVVLVLLVVAARGASAAGGGGGGGAAAAAVNGDRLRAEQIRKQAKDAAASAAALAAASRRLHLDRARHLRLLSSLHRNLTATLRDLSAAASASASASASEAGYASAGDEVRRLDLQAKDLIRAARAAIADAKPLFDPQLKIQRLKDAIFAQNELLARAKKRGAFASLIAAKSIPKPLHCLAVRLTAERIARPENYADPVPPPHALEDPAMFHYAIFSDNVLAASVVVRSAVANSLDPSKHVFHVVTDRMNLGAMQVIIRLMDLKGAHYEVKAFEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDASNMKFRNPKYLSMLNHLRFYLPEMYPKLHRILFLDDDVVVQRDLTGLWKIDMDGKVNGAVETCFGSFHRYWQYMNFSHPLIKEKFNPNACGWAYGMNFFDLDSWRREKSTEQYHYWQSQNENRTLWKLGTLPPGLITFYSTTKPLEKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRHLWTKYVDYDDSFIRQCNFAPP >ORGLA02G0152300.1 pep chromosome:AGI1.1:2:14704010:14704338:-1 gene:ORGLA02G0152300 transcript:ORGLA02G0152300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLPRLPLQDASRITIGDTRSTENTPHFPRRRSEPATVATAESILSVLGAVQAIIVDPEEIAAAEQLHESPSPTKLCQSCLASR >ORGLA02G0152200.1 pep chromosome:AGI1.1:2:14692486:14692899:-1 gene:ORGLA02G0152200 transcript:ORGLA02G0152200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCGDDGGCSWCWCPRDGGDLGRSGAGSDHDQIRTTATKVLDDCIIGKVATKQASTQRSGCGYVSMVVERLRQVLDCRGSACHARRLHDALEATVISMVYRRWWWRSKAAS >ORGLA02G0152100.1 pep chromosome:AGI1.1:2:14685697:14689960:1 gene:ORGLA02G0152100 transcript:ORGLA02G0152100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:I1P0L5] MVTSIDNVRGLTLAISSSAFIGSSFIIKKIGLKKAGDSGVRAGSGGFSYLYEPLWWLGMITMILGEVANFAAYAFAPAVLVTPLGALSIIFSAVLAHFVLKEKLHMFGVVGCILCVVGSVGIVLHAPKEREIDSIDEIWHLATEPGFIVYSCVAVVSVLFLIFWVAERSGHRKMLVYIAICSTMGSLTVISVKAVAIALKLSFGGSNQFIYIQTWFFIVVVIVCCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTIFANMIMYKDSFSRNATQIATQLCGFVTIVAGTFLLHKTRDMGNEPPLPDDEICLDGGSVRPDRLSQSSS >ORGLA02G0152000.1 pep chromosome:AGI1.1:2:14677758:14678108:1 gene:ORGLA02G0152000 transcript:ORGLA02G0152000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRAPTYGHLRSRDALTESAVALSPPSTMAPCRSTAPSPSPSQPALPSISTASRRRSPSPHRRTSTPVSPPPPPRRRPRPSPLPPQAFPPSLTTGQPCQAAAATQAPAPPPPLR >ORGLA02G0151900.1 pep chromosome:AGI1.1:2:14676345:14676596:-1 gene:ORGLA02G0151900 transcript:ORGLA02G0151900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVLLLLGRAPGHLMAVNVWCKTLCCAGKSGCETLLWLVGNLSNRFCACCCSEGDQIDFWWLAEKESMSGCQVGWGGNTDFG >ORGLA02G0151800.1 pep chromosome:AGI1.1:2:14666501:14671247:-1 gene:ORGLA02G0151800 transcript:ORGLA02G0151800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQASPSPSAQVVGNAFVQQYYQILHQSPDLVYRFYQDASRLGRPPADRYGDMVSVTTMEAINEKIMAMDMSRAEIKTVDSQESLGGGVTVLVTGHLTVRDGVCREFSQSFFLAPQEKGYFVLNDMFRYVGDGPTPAAAAAEVQPEADAVAPPLANGTATAPLQPAAPDYDAMPHEEPDVVENVAVPPEEEEEVYNPPLEEVEGGAVEEEQSVPEVINEVPNNVVPVVAPAAAPVSHEEAPKKSYASIVKVMKEAPVPAPIPTTRPAPAARPAPPKPEKQSPAPPAPAPVADATPFSSNAESSNTHEPEVDAHAIYVRSLPLNATTTQLEDEFKKFGTIKPDGIQVRSHKIQGFCYGFVEFEEATAVQSAIEASPVMIGGRQCFVEEKRTPGSRGSSRGGRFAPGRGNNNFRADGMRGRGNYSGGRSYGRGDFSYRSDYGGRGGGRGGSARGPDVGYQRVDGGRGGRTSAGPGAPAK >ORGLA02G0151700.1 pep chromosome:AGI1.1:2:14651642:14663204:-1 gene:ORGLA02G0151700 transcript:ORGLA02G0151700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYRLRDNIAQDQEKSDALKIQMEELRTNVQGVEDKIRRTEKSLADLRRLQQEINSSTSARTTYFTLQQQQYAALSEENEDTDDELKEWQTKFEERMALLQNKISKLERDVDDENTTSSFLSKAINDLMRETGRLQAEADAHMSVKHERDSAIRKIFTKHNLGPIPDAPLTDAAAMHLTNITKAKLSNLNDDLQDKKKSNEAQKQFLWGRYLEVNTRYSEVVGQIESKVASKKGISRRMKDKESERDAAEMDLSKYNLPRIDEKERHLQIEVERKALALGERNYDSIVNQKRTEIFSLDQKIKTLQWEKDSIISDSNDRVLLDVKKDELEESKKKLKKIFDEHKEKIRIVFKGRTPSEKEVKKELSQAFGSVDREYNDLNSKSQEAAQELKLVQMKILDARSHLSKLQKELDAKRSYVESKLQSITKMSADINMFPKHLKDAMDEREKQKNNLSYAKGMRQMYEPFENLARELHMCPCCQRAFTPDEEDEFVKKQRTTCESTAERMNKISLECSNAEDFFQQLNKLNATYEEFVKLGKEAIPLAEKNLKQLLADESEKAQTFDDFVSVLAQVKMDKDAVQVLLQPVETIDRHVQEIQQLGPQVENLEYKLDVRGQGVKSLEQIQLELNSVQRTRVDMSHTLL >ORGLA02G0151600.1 pep chromosome:AGI1.1:2:14643287:14651170:1 gene:ORGLA02G0151600 transcript:ORGLA02G0151600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQWLHEPHGINLCFSTSSTLPRMNPSIETGTRNVTHVPSKNVSTSSSQARKTTVXKPKRKSNRPKVIKEGKATQAHKSTTSEPPKEKDKPTGKRKYVRRKEQNTTPTEHHPPSKDAVAHTIVVPTLAKRCFNFDGRDHHKENVDLLSQTRVEETPTCYGDAQLLTSAVEGSNIQLVQPWCAIGSPIFASVDPMANMRQIWAESSRANRVTFDLNNSAVNHIPRRFSNPTNSYGXNFQFGSREQINXYQHFYDDDIPDEIPENLVVPAWHTGRTWMAGNFNHEASTRVVNPMPQGYRVPQSPSEPPTCSERNTTNINLSEFPAKNDQSKFATNPNDXIGASFGLCDSHFLYVHAIGKKRGYGTITDHQVSFDAYLEQSNSRRQFYSDPLSTSSEMYLLTETCKRMRSENHRSWLDGFIGNVSSTSANLSGNWNTNNVLAINHGVCTTLADVQRSMALEESRSSWQYTDPTLPCTSNTHFIGSCAQHTNLPDSAMNSLGENIGHRNGDHQLESLEIRPTQHYTSECLGLPNEWSGHLSAGHTHLPNETMIPSINKNWSCSVAWAASAAQPTATVSQVASAAAATSTAQPSEQRDYIPSSGVHQPQPLENQMVKGQDLCQTHKTSTKYVADGNPCINTPVEHIQRTPIEVMSSFQSVNRPATTKNCHLEASRETTSANPEKPKVWSRPRKEVEPVGKPKARGHPKKQAEPDGKPKARGRVRKTTEANGKAEDRDPTISHNXEVEALKHQHDIRQYRGRDTKRSWCIGSLRGKGKEKRFSGRSENXSSHQFDVELINGARXVXRCXRNXXRXGEASXRRKKNVPRTDXFIYCSHASGSRRQAFFTMERVSCGLGCGRLSYSECFRPSFXFCFHGACREVPCQVRRPXEACSSGEVYSYTSXTEGXLFWSVGXICQVARXFLCXRDRXLGIIQYCGRWVSXGCSFLAKFRGFTSEFLXISFKRNIYNGFFXLIGEIHTRGWIIRMSSSQCSSNLRSEQGRTVXSRHDISDLYRTXLWSEYLRCRAIRSXSLSAASNXCFYQRKQSQSDRLFIGQLLIXXXRXVIESAHVFFFPLSAFTGSXMLSNSXTKLLPAIYXFRGSAHFYRSLFLXQXFYXXQNXRSICRTAGLFQXFARSIHYKNNPDQXXKISTRMQPAAGQRYQSSSKNMXKAFLFKLVWKHELSFRCSPRNCFRLNWKIQTYXKENKSXECAGSDXNETLXLGXFTKRSAAQSWEQTKKXQSEGHNRLGGRLSKPAGERPWKHXSXMAKRYXTRQRTSFXALEGLDSKARSVFVFXHYTKWLFQWTQMLHEYAXGLDGCHFNPFRSLFNYTCWNCTPCWNTYRNIFGLDCASLINXCCMSFTTKXXLLERFSVQKASLIAIHVQXELSVSILLVHLQVHGSLFLDLQRRLLNQKIQMMQRAVTKNTHIQGLWANLAGTRTILGMFMRQERPKXRIFSVKIPMKFLLXILMSRSLHRTXKVIFMQTISRSKMLTCRMHWLPXALKLLQFQLPSSRMSTAXGLNTKFMSCQTHTLYWKDLIKENQMIPPHIFFLYGPQASSCAPIQPLLXYRLYXXXKFQQVK >ORGLA02G0151500.1 pep chromosome:AGI1.1:2:14625436:14630524:1 gene:ORGLA02G0151500 transcript:ORGLA02G0151500.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVDMSRRQEGARVPVVVGGCRSDGGRCTSGDGRGSSSFYRIGPRATVGGGRWGVRAAGAKEAVAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVVGEEGGEAVCPCRSPGMDKLVQFGRKAWFVVRVLSGYEERRIRAHRLQMQQQIARAQAKKEELRKQPEQIILSEVRQMVQQMQALNQQLEETETAIDEYFKPIDKNAKIITDMQLEKEEKQIKEMTKVMQDQIAMQREIASKRAQATAIESKDTQTSDKIAEGPPKEETMK >ORGLA02G0151400.1 pep chromosome:AGI1.1:2:14622881:14623185:1 gene:ORGLA02G0151400 transcript:ORGLA02G0151400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNHIMALKVLFKSQLKQSQVKYQLRGEVEIQSHLRHPNILRLYEYFYDQTRVYLILEYALKGELYKEL >ORGLA02G0151300.1 pep chromosome:AGI1.1:2:14619424:14620357:1 gene:ORGLA02G0151300 transcript:ORGLA02G0151300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRAYWDKALTKIFLDLCIAEKIKRNYNKKGLTNIGWQNLYRNFREQTGKNFDSKQLQNKFSTMKRQYKLWKSLKNMSGGGWDNNSGTIRCDDDWWEDRIEENRDAGQFRGKPLEHEDELTTLFGCMDTEEGTMLCVGGIGERTPSGGSDDNLTPMSNDNVGLSSAGRVAQRAGKEQVVDSPPPKKSKNMEYYVGCISESMLERSRNESSVLRGEQDEMKELLRLVEEDGVAQGSELYFIATDLFRSAARRAAFRCIYPAENRKAWLRWTWDNARKK >ORGLA02G0151200.1 pep chromosome:AGI1.1:2:14609727:14615615:-1 gene:ORGLA02G0151200 transcript:ORGLA02G0151200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSRVPPPARGSRRRPPPSSPVPLSPRQEMLLEAASDGDLGFLKRVVRSLDGGRGRPAEAVEAVRECGAGALHLAAGTGKLAVCRYLVEELRVDANAIYDQGETPLAYAVNGANVATVRYLLDHGAHPEKADNKGFTPLHFAAEEGYCNVVELLLAKGAQVDSMSVRGTPLHLAATNGQHRVVKILLDHNADCNKIVSAVYTPLLVAIYGSSLKCVKLLIKAGADVNGVGNITP >ORGLA02G0151100.1 pep chromosome:AGI1.1:2:14591605:14595252:-1 gene:ORGLA02G0151100 transcript:ORGLA02G0151100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGKEALVGVSMDHMRSSMQRGGLPPEMLEVGMELMRVLVGDSIPDPPVSTLPRLAPAAAARAPADGVDRISRLPDELLRDVVSRLPARDGARTAALSTRWRGVWCSVPLALVDAHLAPERRGGGGVVAAVSRVLAAHPGPFRCAHLTTTSMEAHRGEVARWLEALAAKGVQELVFVNRPWPLDLRLPAALFACSSLTRLHVGVWRLPDTRAVPRGAAFPHLREMVLSCVVMEDRDLAFLLDRSPALEKLAIITCQDGARVRLASRSLRILQVCLTVVNYVDVVDAPRLERLMLWMTSKHRSCLSSMVKIRNAPKLRSLGFMEPGMHELEIGNTIIQAGMKLSPSTVVRSVKILALEVKFTVRNEARMLPSFLKCFPNVETLHIHSAVEDEPTGKSKLNLKFWQDAGPIECVQHHIKKVIMREFRGTKSELTFLKFVAERARKLERMVVVVTNGCFCSSGCQGDTQAQMETLMASAKWASEGSKLVAFENPHSQVGTPAWSFRFAFNFDWSDPFDYGYDQASLGEPVSKRKATELKSLGNKAVEKKDYLSATGFYSQAVDLYPDDATLFSNRSLCWHHMGDGHKALLDAYECRKLRPDWLKAYYRQGAALMLLKDYESACETLYDGFKLDPGNSEMEDALRYPFCLFRPHYGSLFETDYLVD >ORGLA02G0151000.1 pep chromosome:AGI1.1:2:14577624:14585205:-1 gene:ORGLA02G0151000 transcript:ORGLA02G0151000.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSASRADPRAPTRRLLQAAADGDLAAFKRIAGKLDGGKGRLRETVEGVRDRGAGALHVASGRGMLAVCSYLVEELQVDVDAADDSGDTPLAYAVRGRSIDGVKYLLDHGSNPDKPDNKGYTPLHVAAIKGECEIAKILLSRGAHVDSFSSHGTPLHLSAFCQQDGVMKILLDHHADFNKLLKPVFTPLIMALNAGSLKCVVLLLKAGADVKGVGTVTPLITAANNGQTDFYKCLLEAGADPNVPDEFGHLPIELAAYNNMRKDVEILLPVTSRIPSVCDWSVDGVISYVKSCPSVEDDPMYKMSPADMKLAASEAYRRQDYITAMKLYTRLTDICPHDATLFSNRSLCWLKMGAGVNALQDAQICRLMHSDWSKACYLEGAAQMLLKDFEKACDAFFDGLKLDPASDEIAEALRKSFESLKISHAAKVGIGLPSSQKKIIR >ORGLA02G0150900.1 pep chromosome:AGI1.1:2:14564400:14573960:-1 gene:ORGLA02G0150900 transcript:ORGLA02G0150900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHFVLGPGVPQQLLIQSAAAGDLPAFKKFARMLDGGKGRLKEAVEAVKNRGAGALHQAARYGRTAMCAYMVEELQVDIDAADEFGHCEIVKVLLVKGANVDSSSDHGTPLHVAASKSQDGCMKILLDHHADCNKTFSTVCTPLIAAMMGRSLKCCKLLIEAGADVKGVGTFTPLIVAATEGLTDFYKCLLEGGADPDVPDKFGFLPIEIAARQNRRKYVEILLPVTSRIPSVHDWSVDGMITYVNKQVEVDPFFKIRPADLKLEGNRAYMRKDYLTAAKLYNMAIEHDPEDMTLYSNTSVCWLKMGKGMNALETAQVCRILRPDWPKGCYREGTAHMFLKDYEKACNAFLDGFKLDPANIEIENALREALKSLKASRAA >ORGLA02G0150800.1 pep chromosome:AGI1.1:2:14563524:14563865:1 gene:ORGLA02G0150800 transcript:ORGLA02G0150800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMLRAALSPAVALSTIDGVVSVAAAASDHDRVGAAKRARDGHLLPRSEEHDADADACGWSSAVMERSLALRRRRGCASSPCCSSFRRAATVAVVQEQGFPTSAAAARDVM >ORGLA02G0150700.1 pep chromosome:AGI1.1:2:14554774:14555530:-1 gene:ORGLA02G0150700 transcript:ORGLA02G0150700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRFPPSSSAARLPPRSTPPEPRPSPPAAAAGAAALAPGSLEEVLLQAAFDGNLRLVRKMARVLDEGDGRRLGDKVGAVKDSNGVRALHLAAGRGSLPVCGYLLEELRVDIDAVEDRGETALTFAINSGNADMVRYLLDHGADTEKLNNDGLTVLHFASGEGV >ORGLA02G0150600.1 pep chromosome:AGI1.1:2:14547886:14550854:-1 gene:ORGLA02G0150600 transcript:ORGLA02G0150600.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGHLPIEVAARCGARKDVETLFPVTSRIPCVHDWTVDGIINYAKSLPDVKDEEFCEAMLDMGKFQGREAVKNKDYRGAMHIYTKAIALNTRDASLFSNRSLCWLKLGEGEKALIDAEACRMMQPNWPEACYRQGAALMLLKDCKNACSSFLDGLKLEPENVEMNNALRLCLVQRKVDVMEKD >ORGLA02G0150500.1 pep chromosome:AGI1.1:2:14542540:14546045:1 gene:ORGLA02G0150500 transcript:ORGLA02G0150500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPSGWFMKVPPPLHTVDPPGSPPPASILLEPYGYFSDRTNHTTARGLTRDGKNIVVTFCTATPPRASFFTLHSPDDTKCSAFADAPDAVCSDHHLLLLRIPICLEATQIYAINNHYFVYHAGGDGEQRLTPVPTPPGLTFAFPNSEVVLLRRRRRRDAFFLAALHRPTLCRQYTHEQFDLHLYSSETGEWSTKLMVSVDADDDDDSTSFRFSYASKAIVVGGELGTVGWVDLWHGILVCDILLDNPRLRFIPLPPPLVPRQLKGDPMFLRNIVVLEGYIKFFEMYNHTTGSASAQGWVAATKKMKISSIASGNSSSSSWEDDCAIKFSEIPVESLTFAQMLRLQPNLKQGTGTTRLTLKRLHAGYPALSLHDSDVVYIMHTPDPDEEDKALVIAVDMRNKALKGVADFGFGRPVGYGFTYLQTGISKHLSNCSSSSRDGILGAGEKEVPGEGVATAA >ORGLA02G0150400.1 pep chromosome:AGI1.1:2:14539119:14539658:1 gene:ORGLA02G0150400 transcript:ORGLA02G0150400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFLFEPVNAPALTQFPRQVSQRANCGRPSKSRRSRDDRPMPTDSPINPPPWRQPNHTLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMIEEELLADLSDNLGDLLRELFEDVLLELSPANLSGLLSFDPEKRLTAAQAMEHRWFAQVPKRAEFTGFDLTLNLEALQVERLQQAIN >ORGLA02G0150300.1 pep chromosome:AGI1.1:2:14536520:14537218:1 gene:ORGLA02G0150300 transcript:ORGLA02G0150300.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDGDARGGPYVVVYRARDRRTGETLAVKWLRDVAANPSNWDMFLVKEFVGARSLRDLIAGHARRRPFSEGETRALMRQLRAGVRTMHAAGIAHRDIKPRNILVGPGGALKICDFGMATTAAPPYERFMVGTLHYNTPEQLAGKGQYNAQAVDTWALGEVLAGLLAFYDDERMMAEAALEHRWFMEEADSPTVLEGLAGLAS >ORGLA02G0150200.1 pep chromosome:AGI1.1:2:14485433:14486104:1 gene:ORGLA02G0150200 transcript:ORGLA02G0150200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRASFLLAAAALLALWCSDHGGVIASDPSHLQDLCVADKASTVRVNGVACKDGEDVAAEDFFFSGLHMAGNTTNKQGSAVTAVNVAQVPGLNTLGISLARIDYAPHGLNPPHTHPRATEMLTVLEGSLYVGFVTSNPENKLFTKVINKGGCVCFPXGARPLPVQLWDNRCGCYRRTEXPKPRGDHCSQYGVWTKAIHHRXYSCEGLSSREDSGRPNPSXVL >ORGLA02G0150100.1 pep chromosome:AGI1.1:2:14477276:14478036:1 gene:ORGLA02G0150100 transcript:ORGLA02G0150100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGACFLQQLAVVALLALWCSHGAIASDPGLLQDFCVVDKMSQVRVNGFPCKDAKDVVAGDFFFSGLHMAGNTTNKQGSNVTTVNVAQIPGLNTMGVSLVHIDYAPNGLNPPHTHPRATEILTVLEGSLYVGFVTSNSENKLFTKVLNKGDVFVFPQGLVHFQFNNGTNNAVALAALSSQNPGVITVGNAVFGSKPSISDDILAKAFQVDKNIIDRIQAQF >ORGLA02G0150000.1 pep chromosome:AGI1.1:2:14475047:14475856:-1 gene:ORGLA02G0150000 transcript:ORGLA02G0150000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTWFFLLALLAVSISNAFASDPSQLQDFCVADKMSQVLINGFACKDPAAITVEDFFFSGLHMAGNTSNRQGSAVTGVNVAQISGLNTLGISLARVDYAPYGLNPPHIHPRATEILTVLEGSLYVGFVTFNPENKLFTKVLNKGDVFVFPXGLIHFQFNYGTKDVIALAALSSQNPGVITIANAVFGSKPFISDDILAKAFQVEKKIVDRIQAQF >ORGLA02G0149900.1 pep chromosome:AGI1.1:2:14473668:14474392:-1 gene:ORGLA02G0149900 transcript:ORGLA02G0149900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPERKRKVIDAPTSDLGGGVIYSLKIWLFIKAHGSLGPSSPHAAKEQVFHILGVVAEAALRRGCNSSMEAALISVNPSFMYSPREEFALRLTKIRPNIFPEGVCCSYPKTLGVSCKHGGWTKRGGLAEYSENGWQGSGGQRGKGLSDPLIIPERYGSPVTQGSYKCVVEILGSQMKYHARSGLGEGRGRQG >ORGLA02G0149800.1 pep chromosome:AGI1.1:2:14466607:14470698:-1 gene:ORGLA02G0149800 transcript:ORGLA02G0149800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1P0J2] MDDDDLGYPPGMAGLDAMAGLGGLGGMGGLGGLGGMGGLGGLGGMGGLGGLGGFGGGGMEDLYGGGGGAGGEEGGEGPYAAEMEVGEEKEIGKEGLRKKLVKEGEGWERPDAGDEVQVHYTGTLLDGTKFDSSRDRDAPFKFTLGQGQVIKGWDLGIKTMKKGENAIFTIPPELAYGEDGSPPVIPPNATLQFDVELISWESVKDICKDGGILKKVLAEGTKWENPRDRDEVFVKYEVRLEDGTVVAESDGVEFTVKDGHFCPAISKAVKTMKKNEKALLTVKPQYGFGEQGRPAARDEAAIPPNATLHINLELVSWKAVTEIGNDKKILKKILHEGEGYERPSDCTLVRVKLIGKLEDGTIFVTRGHDGDEPFEFKTDEEQVVEGLDKAVLSMKKGEVALVTIPPEYAFGSDETRQDLSVVPPNSTVYYEVELVSFDKEKESWDMKENTEKIEAAAKKKDEGNAWFKMEKYARASKRYGKALNFIEYDSSFSEEEKQLSKPLKVSCKLNNAACKLKLKDYKEAKELCTEVLELDSMNVKAFYRRAQAHMYLVDFDLAELDIKKALEIDPDNRDVKMGYRRLKEKVKEQKRKETKLYGNMISKLSKLEDSETEGGTTQAPSKKHGLWPLTALLRRLFTRSDGSKESMLWLVLRLLIPVVLLVAVCVAFYMRSGPPEVDCIDC >ORGLA02G0149700.1 pep chromosome:AGI1.1:2:14460445:14462184:1 gene:ORGLA02G0149700 transcript:ORGLA02G0149700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFRISLLLLLVPLIPTAAASHHHSPAGGGGAAVPLHPRRHHRSVANTATALFYPAPSMHQNHIEAEEGQSLHVLADPFAAAPAAAEAPSGETAIAAVGAAAEEATPTLIDDSPQQAAAASPPPPPPPPPPPPPLFAKPDLDSTAPPQPKEEGVDGYGSTTATATVTAAPPLDEPAAATATTTTTLPLPRYSHVASPPPPPVHAGVAGLGDEQRLEQLVRVLSSLGYNEMASAALLLANSALLAAWPGSITVFAAPDVFLRASCPMCSRRHVLLEHIALGYFPYTELAAASTAKLPSASPGLCLNLASDHGPFAIHHVRLYVDGVEVSHPELYNDGRYVVHGLHGFLPPLSHGSCSHGSNHRHHYHYQYHHHHHHIIASSAASSAATAASVVRIMIREAIARLRDSGYGFVALAMRVKFAELERLANMTVFALDDQAIFVGGGHDYVSAVRFHVVPGHRLTHADLQGLHPGTMLPTLAGEGQNLVVTQGASGSGSGPRDVRINYIPIKDPDVVINSRIALHGVYVPFPRLHLANLAAAVALASSNQINATCGVFGDCASAAATSTTVPAAHRYGEGQ >ORGLA02G0149600.1 pep chromosome:AGI1.1:2:14436219:14437795:1 gene:ORGLA02G0149600 transcript:ORGLA02G0149600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1P0J0] MPTASPAHAVFFPYPVQGHVASALHLAKLLHARGGVRVTFVHSERNRRRVIRSHGEGALAAGAPGFRFAAVPDGLPSDDDDDVPSDPRDLLFSIGACVPHLKKILDEAAASGAPATCVVSDVYHVLLAAREMGLPAVAFWTTSACGLMASLQCKELIDRGIIPLKDAEQLSNGYLDSTVVDWVPGMPADMRLRDFFSFVRTTDTDDPVLAVVVSTMECLRTATSAVILNTFDALEGEVVAAMSRILPPIYTVGPLPQLTAASHVVASGADPPDTPALSAASLCPEDGGCLEWLGRKRPCSVLYVNFGSIVYLTSTQLVELAWGLADSGHDFLWVIRDDQAKVTGRDGPTGVLPAEFVEKTKGKGYLTSWCPQEAVLRHDAIGAFLTHCGWNSVLEGISNGVPMLCYPMAADQQTNCRYACTEWRVGVEVGDDIEREEVARMVREVMGEEIKGKEVRQRATEWKERAAMAVVPSGTSWVNLDRMVNEVFSPRNNV >ORGLA02G0149500.1 pep chromosome:AGI1.1:2:14421217:14436164:1 gene:ORGLA02G0149500 transcript:ORGLA02G0149500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPTVVSWVPSACSLLASQHYQQLIDRGLVPLKDAEPLSNGHLDSTRSPSYLARAFIHVWIDTS >ORGLA02G0149400.1 pep chromosome:AGI1.1:2:14391983:14395149:1 gene:ORGLA02G0149400 transcript:ORGLA02G0149400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1P0I8] MDPEAEEAQLRLEMELAKKAKADMSGLQRSSSLGLDHAGLYPLPLPPGWRSAPTSPLRTPSSPPPLQFPPAWAADVAGTSGSAAPEDDGPARNAGADEATAGSAPKNEDPARAAGADDGPTRSDYAAMMRMALAKFQDDDAAADDEEAASAVMEQAMTGLMDLTYRKAKPPELPYEFATRWPIPIAHDGTLQAEVMRDPVILPSGYSVDQTYQNNQKRQNPWTNTSTFTDHSLPYSLSVPNHLLRDMISAWCLDHSDLSPSTTSDTPSTPLEPSEEEQIQRILKLFSGNSASQREALKLIQLLTKTTKGVQPCLAKYADIIPVLINLRRKYKSSWTQDLEEERLTIILNLTMHRQNREILAGQNELAGAIKKIVKKAGNRGKRTSSLAKVASIVAVLSEFDMFRKRMLDAGGMKMLRGMLKIKDTEVITEAATAILALYADGEGEQPARFHDVPQMLLECHMFTDGILLLLDRLPKSPRVFRKICDQALQLVNIVMAEDASGPVTRKGILSAISLIYEIVERDVGKMNAVKNMEDFIERLRQLSSDRLPMQKMLQVERIIRTLSDAFPAPTVRGRCQEPSGSRLLA >ORGLA02G0149300.1 pep chromosome:AGI1.1:2:14389757:14390242:-1 gene:ORGLA02G0149300 transcript:ORGLA02G0149300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGIMPVILPHLTYLPPPTSPSNTNNIHIHPFTRATNQFGESQRRRRRRWRKRRRVDLAGGSDDEVVVAAKPAVAIGGNFGSAVFGKRHGVRRRRWGWLQQWRMDDGQQQNIVGGEMIPVAGGEKGGVLGQAVEHTHMSSSSGAAAANMGAALARVRRHRHR >ORGLA02G0149200.1 pep chromosome:AGI1.1:2:14380609:14388299:1 gene:ORGLA02G0149200 transcript:ORGLA02G0149200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFSSKLSRAPASPPPHAAAGGGGDAHTPSSHGHRHRRFPKENVDPSPSPGPYDHHSAYRSPSGKQQQQQPLAAKNRSLPPRPPLKRKLLDVSAASPAPEGAPSGGGGGDSGVQVVVRVRPPSRAEEEDEGAGKEVCVRKTGPGSVEIHGQGFTFDSVADEASTQEDIFQLVGRPLVENCLDGFNSSIFAYGQTGSGKTYTMWGPLSALSDDTVSKERGLTPRVFELLFSRIKEIYNEQITDLLDPVQRNLQIREDVGTSSVYVESLTKEFVFTINDVTQLLEKGLANRRTEATTANAESSRSHCVFTCFIKSESKNMEDGSNFTRTSRINLVDLAGSERQKLTNAAGDRLKEAGNINRSLSQLGCKSETLSTLRFAHRAKDIKNNAVVNEQREDDVNVLREQIRQLKEELQHVRSNGSLPGSNGSPSTGWNSQNSFLLKMSLSRPTAFPTIKDDSDEEMEIDDNDVEKPCNLENKSSFPHGDVETSRCKSNLAASIQKGLQVIESHRNSVTWRRSSLGLNTRLMDAHLSVPVCKVDVAIQTDPEESEPRQNTMALIPSNQPEATTDGNREISDCINLQLVTVDGSIPSNDLKQQEQVFKAAEKVLAGAIRREMLRDEQCAKQAAEIQQLKRLVQQYKHERECNAAIAQIREEKIARLETLVDGILPTEELMHAENLSLQDENKILHQKYENHPEVLSAKIELERIQEELERYRNFKDEKEVLLEEIQHLKNQLHYMLSSSMALCRPPVELVQAISTVSDRPTISALEEAGDDSDSIVDAAESRWITLTEELRVELEKSKSLSERLQLEVESEKQCSEELKGALEMAMQGHARILEQYCELQEKHASLLSMCRTINDGIEDVKKEAAKAGVRGAESKFINALARQVSILRAEREKERRFWMDENKGLQQQLSDTAEAVQAAGELLVRLNDAEEAASLAQKRAELAEQEMNKAFAEIDNLKRDHDQEVLVLNQRLAESKLPSNVVQSPEPSETGPARYDTGGSFGDEQWREEFKPFQSVEVSKSSDPSSWFYGYDKCNI >ORGLA02G0149100.1 pep chromosome:AGI1.1:2:14359031:14359243:-1 gene:ORGLA02G0149100 transcript:ORGLA02G0149100.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIIILFANEYIVLKVNTYHSKIDAIYFAIQEKGDVDLAIRYYLTAIQVCFCCALHFLVLFLSLISFFNLS >ORGLA02G0149000.1 pep chromosome:AGI1.1:2:14356734:14357705:1 gene:ORGLA02G0149000 transcript:ORGLA02G0149000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63100) TAIR;Acc:AT5G63100] MAMITLPVAAHAPSPWLPPSILRLRSTSTTTTIPAAAARSSAPHPLPDELHLVADIRSPHNHIRVADVSRTAAGAGHPLAGARLLLLDAPGNIHSLSFPRSPCPLTSTYLDVFATLPPLLPASASSLAVLGFGAGSAARAVLHFFPDISVHGWEIDPAVVSASRDFFGLAELEAEHAARLSIHVGDALEASADAVAVPGGFDGVLVDLFAGGSVLPELQEMDTWRRIGRRMVAPGGRVMVNCGGPCVEAEEEGRGGEAVKDATLRALTAAFGHGMVSVMDVDESWVAMTGPAVSSAPEEAAAWKAKLPPELRGYVDMWRPCLL >ORGLA02G0148900.1 pep chromosome:AGI1.1:2:14352452:14354675:1 gene:ORGLA02G0148900 transcript:ORGLA02G0148900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:I1P0I3] MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKDAEGQDAEATTEEAKKSNHVVRKLEKRQQGRTLDAHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGASA >ORGLA02G0148800.1 pep chromosome:AGI1.1:2:14350627:14350827:1 gene:ORGLA02G0148800 transcript:ORGLA02G0148800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSHGAGGPAHQLTARCFHPRYPFITGETPLFPVVAASTLVWEGKKICKMKARVFSSKECLDPEV >ORGLA02G0148700.1 pep chromosome:AGI1.1:2:14317021:14320501:-1 gene:ORGLA02G0148700 transcript:ORGLA02G0148700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1P0I1] HAEAAVVPEQFLCPISSEIMRDPVVLASGQTYDRRFIQEWLSAGNRTCPQTQQVLSNTILIPNHLVRSMIAQWCTENGIALSPLENQEEDLVTNNERKSFSELFDRISSSSNISEKRQAIKDLRLLTKRNSSFRAVIGENPDSISQMISAVSNPELESNSEVLEDTVTTILNLSIHESNKKIIGDDTKAITFLISALQSGTMEARSNAAAAIFSLSALDSNKAKIGESGAMRPLVDLLEHGSMTAKKDAASAIFSLCKMHENKSRATKSGVIDVVLKAISDESLTDESLTILALLSSDHETVEEIGETGGVPCMLHIIKDDQCKRNKENAVAVLFSICMYDRTKLREVVEDENLNGSLAWLAQNGTSRARRKAAGILDKLKRTIHKTHYSC >ORGLA02G0148600.1 pep chromosome:AGI1.1:2:14302646:14303665:1 gene:ORGLA02G0148600 transcript:ORGLA02G0148600.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLQEAPFDKYLVRIPRRASFVLNSELELEDDTMESKDKIWNHDDEEEEEVKNQKPAAHSLKKDGAARKRSRKGNDEPILQEEEEDMVMKTEESEDKEATIWFCKKNDGKKWHCRSIVDGPNTLCDYHLARSRSSYTPSSENGASATAAATCSSGPTKADAIGKIKAPPAKSSGAKRNSPGAAAASSSKAAAATATAPSSSKASSSSVSVTVPTSSISQRRKRRKKSTNGSGGDYYFYDLFGPFRGKDRRNHGVVSASEEDHKGLLKAKEKMEYIDVDNLSNNSSITGGGDKENDEDYVVGGAGKARAEKRKGKIAVEKMPFPKMVKKRTVKERSLKSLL >ORGLA02G0148500.1 pep chromosome:AGI1.1:2:14298900:14299454:1 gene:ORGLA02G0148500 transcript:ORGLA02G0148500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGHKPTHPPLSSPIPQIPNPCNFRSCSLTTVPPTEDLIPAMRLRRAATRVLRPAYSTTQAGGPRTSSSNLSSELVMYVLPMHGGGGDLNNPVVDLEGACSLNQSPWDLACELENPNPLVSFHPHIPLPSLSHALPLLIISSRLALMLNASYLSCLIRSSWNLGVDNHFSHSRMLFRFHPCEM >ORGLA02G0148400.1 pep chromosome:AGI1.1:2:14287656:14289005:1 gene:ORGLA02G0148400 transcript:ORGLA02G0148400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSDHLSGLPDDLLRHIISLLSAKEGAVTAVLSRRWRPLWRQAGTVNLDTEPYLYPAAYRGNNFPEHRRSAFVGHALAALAACESPRVLSLRLVSEEIEGGAAEERCAGVVDAVLDAPAAARVEELRVRCAVSWLCEHGSCERSSSSGTWRLRLGSLPCAAATLRVLHANDVGVERLGDGDGGVVLPLLEEMRLVKATVSPETLQGVIDAAPRLANLRDRCIELDAPRLRSFVNERSLPGRFSLTSPAPDLAPADLHFHDHRSYGDKDPNNLTVPMWSCLHLHGVRVLKLQLDFYAEYIAMDADDADDGVTATFPNLEYLELDAHCKDDHDMATELTVASVLRWCPAIRELRLRLSVADAEGRVNVIYNSKRHMIHHARMMRNSFGQDVQTKIDVDVTNITTSSP >ORGLA02G0148300.1 pep chromosome:AGI1.1:2:14286772:14287231:1 gene:ORGLA02G0148300 transcript:ORGLA02G0148300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGWTQEVEEEMRDILRVIRAKDKNEYVSVGKLVLALNKRLTVAGPALAGAATLAAAFIGSGEVGASRRTSRRTSRRPTSSGGRTARCSRRRWRCSSAGARRSSRSSGR >ORGLA02G0148200.1 pep chromosome:AGI1.1:2:14279118:14283256:1 gene:ORGLA02G0148200 transcript:ORGLA02G0148200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRNASRVLGSTYFTTQSEASHTYMSNLPPTSLAPAPVAYGGGGGDLGGPMVTPDGACQLSLSPWDLPYELEDPDPLEAPFDRYMACIPFRASFVSDSDNNDDDQMEVDEDKSWNQVENEEVNDQQQPDHKVDQGGDPAARKMKGKMNESSMVGIEIMEDTDKQAGVWYCNKNDGKKWHCRNIVDGPKTLCDYHLAKSRSYYTRTGEAGAAAASSKSSRAKAPAIAKPKSSSKRTPAGESSAQNNSIAAAAAAAAVSVLPTISSQPSKRKASNGLLGGDAYYFYDMFVPYRKKDRGGSSSKQQAGAEEKEILPQDNAVAMEEKMDGKKLYDGVYNSSDYSSDTASDDESDEDYTVGGASKRRTKKRKMKLSVKKVQFSKMMKKRVKERSLKSLL >ORGLA02G0148100.1 pep chromosome:AGI1.1:2:14268299:14272954:-1 gene:ORGLA02G0148100 transcript:ORGLA02G0148100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADEDGGEAERLEAAEAQADLLRDRLRLAVISIATSEGKKAGMEVSDPVVACIADLAYKTVEQLAKDVELFAQHAGRKSIKMEDVILTAHRNEHLMGLLRTFSQELKGKEPSSERKRKKSSKKDDNAIRAGRAVVLGERPLPIAAVVRRWPRPHSSEFLKLQQQMGALPVLATVRWRVVIVPSVDYFIGLFCVVGGT >ORGLA02G0148000.1 pep chromosome:AGI1.1:2:14250824:14252227:1 gene:ORGLA02G0148000 transcript:ORGLA02G0148000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXTRVAPAPPAGEPALPERALPLIFMDVMWLRAQPVERVFFYRLGPGDDVDAVLSRMEESLPRAIHAFYPLAGRVRPTPGETNRYELLYQPGDGVAFTVAEHDGVGVGVDELATDEPRELAKIAPLVPELPEGGAVLALQVTVLPPNRRGLALGVIVHHSACDGVGSTHFLHTWAAACAGDRKLPEPPVIDRTLIRDVPEMHDEFAAPTNEAKDLFKAPDAGKFLATFTLSREHLQGVKDAVAGEAARRGVPPPRCTSLVAMYGLMWHCYRRARRDSDDGGSGRAAAAAHFIFSVDHRSRLVPRVPDKYLGNCVGPGFVSAPEEELAGAAVAGGVFTACAAVAAAIDEAVRGEPAYWEGWKERVVEACRDDAPFSVAGSTRFRVYDVDFGFGRPAKVEIVSVAKTGAVSAAEDRSGAGGIEVGIALLPERMDTFRRCLADAMAWFSSSSQCN >ORGLA02G0147900.1 pep chromosome:AGI1.1:2:14236566:14236787:1 gene:ORGLA02G0147900 transcript:ORGLA02G0147900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFGTIGYVKFLSCTRGFSKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA02G0147800.1 pep chromosome:AGI1.1:2:14232385:14232732:-1 gene:ORGLA02G0147800 transcript:ORGLA02G0147800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPLLLLLLILPLDAAAAMAAPAGGTVASTSSVAAAVXSRTTTVEDTVVELEPLLPADQLDMLIQSRRVWSTTKHDTGALEKYKPVCLPDHSCTEPPPGVSYTGRGDKCVYHNPGC >ORGLA02G0147700.1 pep chromosome:AGI1.1:2:14212404:14213341:-1 gene:ORGLA02G0147700 transcript:ORGLA02G0147700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSQLQSQAVTVRALRARIDHAVAPAELLLAAFRRVSVLAEEAAAALPADPADADCVAGSSPRRWCARIDHAIAPAELLLAAFRRVSALTKEAVAALPADPAYADGAVGFVGHVDQLCDAIEEAVARGDDAVRRVEEVVGFLGQTKAIGRSCVRRLTDAVAAALRAVYEAEAEEMRFEGPLDEALLDLQDLFEAARRRSERPATMGKKRAAGHTRHPAALLDHALQETNARIHAALDCARGYLAGKLPLRLDSGKMMGEGRKKTEKMGEWMKNV >ORGLA02G0147600.1 pep chromosome:AGI1.1:2:14206189:14207610:1 gene:ORGLA02G0147600 transcript:ORGLA02G0147600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXTRVAPAPPAGEPSLPERALPLIFMDAGWLHAQPVERVFFYRLGPSDDDDVDAVLSRMEESLPRAIHAFYPLAGRVRPTPGETNRYELLYQPGDGVAFTVAEHDGVGVGVDELATDEPRELAKIAPFVPDLPEGGTVLALQVTVLPPNRRGLAVGVIVHHSACDGVGSTHFLHTWAAACAGDRMLPEPPVIDRTLIRDLPNMHDEITSSTNEAKDLFKAPDAGKLLDVLATFTLSKELLQGVKDAVAGEAARRGVPPPRCTSHVAMYGLMWHCYRRARRDDGGRAAAAAHFIFAVDHWSRLVPRIPDKYLGNCVGPGFASAPEEELAVADAAGGVFTACATVAAAIDEAVRGELAYWEGWRERIVEACRDDAPFSVAGSTRFRVYDVDFGFGRPAKVEIVSVAKTGAVSVAEDRSGAGGIEVGIALPPERMDRFRRCLADAIAWLSSSSQCNXRGKTA >ORGLA02G0147500.1 pep chromosome:AGI1.1:2:14201955:14203647:-1 gene:ORGLA02G0147500 transcript:ORGLA02G0147500.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTIGWIQTVVPGRLLRLGDGSSGELWRLCSGSDGGSCNEGDDDHDDHDLDAVGGRRVLMVLMSCCPICMNLYFDLDDIELVFRGVKEEILTRRRCVPGKKWCWLPILKRRSFGPAEITEWEELKKVIDNLETSPVPDTLLWGLATNKKYTTKSMYRTLTFRGIRELNTPMWLSVKSRVYDLFSKKKFGXYXCRSRTFLARTIQEFEYCFVFLRKSSVQVVKVFIYYNRFSQADHIRLQSWTVIGVQSQAVIMIASKN >ORGLA02G0147400.1 pep chromosome:AGI1.1:2:14190278:14191699:1 gene:ORGLA02G0147400 transcript:ORGLA02G0147400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATQMAAPPPRGGSFRVLRTARIAPSSPDGVPSLRQRAVPLTFLDAMWLPTPPVDRVFLYRLGAADDDVDAVLSRLADSLSRVLHVFYPLAGRLRLTPGKTNRYELFYQPGDAVAEHDDGVGVDELAADDPREVAKIAPLAPELPDGGAVLAVQATVLPPARRGLALGVTVHHAACDGSSSTHFLHTWAAACAGAVVLPKPPVIDRTFIREREDLYDIMVNRTKEESDKFSSPDVADNKLLATFTLSGEILQNIKDIVAGVAARRGASPPPRCTSIVATFAVIWQCHIRAALASDVEAENNPRNHGRAHFVFPTDHRARMEPRVPDKYLGNCVGPCFASAPKKEIAAADAEDGLFTTCAAIAAAIDEGTRYDPGYWERCREHVRGMSTSDGPPLAVAGSPRFRVYDVHFGFGRPTKVDVVSVAKTGAISVAEGRGGGIEVGVGLPPERMERFRRCFTDAVTWLSSPSSSDT >ORGLA02G0147300.1 pep chromosome:AGI1.1:2:14166125:14167594:-1 gene:ORGLA02G0147300 transcript:ORGLA02G0147300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATQMAAPPPRARGGSFCVLRTARVAPSSPDGVPMLGERTVPLTFLDAIWLPTPPVDRVFFYRLGADDDGVDAVLSRLADSLSRALHVFYPLAGRLRLTPGKTNRYELFYQPGDAVAFTFAEHDDGVGVDELAADDPREVAKIAPLVPELPDGGAVLAVQATVLLPPARRGLALGVTVHHAACDGSSSTHFLHTWAAACAGAVVLPKPPVIDRTFIREREDLYDYMVSRTKEESDKFRSPDVADSKLLATFTLSGEILQSIKDRVAGVAARRGAPLPRCTSIVATFAVVWQCHIRAAIGDVEADNKHHGRAHFIFPTDHRARMEPRVPDKYLGNCVGPCFASAPKEEIAAADAEDGLFTTCAAIAAAVDEGTRYDPDYWKRCTEHVGGMSASDGPPLAVAGSPRFRVYDVDFGFGRPAKVDVVSVAKTGAISVAEGRGGGIEVGVGLPPERMERFRRCFADAVAWLSSPSRPVTRDMDRSAPGHSPE >ORGLA02G0147200.1 pep chromosome:AGI1.1:2:14160579:14160752:1 gene:ORGLA02G0147200 transcript:ORGLA02G0147200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGGGGSRQRRRRRRWRLQLRGVLHRGLRRRRLGVLLQSSSRLQLPPRLVLWFTS >ORGLA02G0147100.1 pep chromosome:AGI1.1:2:14135621:14136394:-1 gene:ORGLA02G0147100 transcript:ORGLA02G0147100.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPQVVEEMLRYYKEKILADGRQLVFKEVHHEECAKQINGKYHTNFTSRQVYHKFHKLKAQWKVIMEAKNLSGANFDDVEKKILYDETEVVRMTNAKDKRAKFINVPIRWYDEMEFIFQDKHATGEFNVLQTPYDRPMEDDDFIGDKNSSPGDVDPSSNYDSDCLPDQENNTGSSSSSRRAKGRKTDKGKRVRVDDNVVYEITGAMDNMSETMRFTHMTHPNESLFKI >ORGLA02G0147000.1 pep chromosome:AGI1.1:2:14090258:14100558:1 gene:ORGLA02G0147000 transcript:ORGLA02G0147000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSFYRWLVNRYPSIVSPAKESRPADGIVVYDNLYLDMNQIIHCSFHPQDQMNAGTDVCAPTTVSEVFESMFDYLDRLFRIVRPRRLLYLAVDGVAPCAKMNGMRRGRRFAWASEEDEMQKISEGVSDPNVITPGTEFMEKISQALTYYIRARLNSSDPGWKHIMVILSDANVPGEGEHKIMSFIRAQRSMEGYDPNTRHCLFGHDADLIMLALASHEVHFSILREDNSQLTEADPEKQYLFLNIWVLREYLEIELKILDPVCEPDIERLIDDFIFICFLMGNDFIPHIPSLEMKEYAPDLLIEVYKTTFNKMGGYIVNIEKVKDKHAAYLEVSRLEIFFHQLSMYEEKIFLKRYELEQESLKKLYRDLLREASESERLELSRKLEDLFFNEERPYDRLRLGLPGWKSRFYREYFGVETSNEIGNLQNDMAQKYLEGLCWMLQCYFAGVPSWSWYYPFYVAPFVSDLKSLSRFEISFTVDKPLRPFDQLMAVLPLRSSCSLPECYRKVMGCKEFDHPKLQTDTNGKRFFWNCISEEELLQATKELEKELSMHEMRRNTPRQEKIFLQRNSNAQALVNVIVQLQTSSCSPEQKLPIDSAISGLGGWLSPDGLSNGFFRSPLQNLQDITNDQAISAIFFNPETRNPIPRLLSNVRVPDKTVTGADISRRLLWHTYPGSRPPPPIVERPDTIWKPISTPSAPREEHKIAGIGWMGRGRGNALAADETTQLTSSSYGHGAAGSRTAAETQRSSSSYSYRKGFRRLDMVAQSRNSRFDDSDGGAYGFRPLGNGSAPWTGDGGGSAQPRGW >ORGLA02G0146900.1 pep chromosome:AGI1.1:2:14077008:14084793:-1 gene:ORGLA02G0146900 transcript:ORGLA02G0146900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lipase class 3 family protein [Source:Projected from Arabidopsis thaliana (AT3G07400) TAIR;Acc:AT3G07400] RPVSEMMRYINKFKSDFGGNLVSLERVQPSLDHVGHRYVLAEAGDTLFATFIGTKQYKDIIADVNILQGTVFHEDTAQDLADAVECVQNDDQKGEENLGTSYREKSKQLRKSKPAAHRGFLARANGIPALELYKLAQKKNRKLVLCGHSLGGAVAALATLAILRVLASSSPSKEPDRLQVKCITFSQPPVGNAALRDYVHRRGWQDYFKSYCIPEDLVPRILSPAYFHHYNAQTPDNTNAKSDEEKDTKSVSSKENNGEQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVLQKQTNIFGKAPSQLDNFLQSKVDESEEGLQSLEIQEGSEGIALTPLSDKDGGPTEENNKTDKTNVSEVGGSKRWNGVPSLPSYVPFGELYLLGDSSVNTLSDSEYSKMTSVQSVITELRERLQSHSMKSYRARFQKIYDICMSANAQLFTGIEQLPQFSHLQELLGLTAADSVELGHIVEPPTIRTATSILPLGWNGYPGDKSAEPLKVDIIGHDLHMCTLFQAQINGNWYSTVIETLPMVSYSSDQEVQPTLQKMRILVGQPLKQPPNYISEDFMVSVATGTGSNPDYGFDSLFEDKGCCKGLNEFLIYGTSDFVTICKEVYVRTRRVRLLGLEGAGKTSLLKALLGQFKERSKAVLECIHVDLHGKGVSNGLCYVDSATVNLQELPLEVRQFKEELQLGIHDLSRKTDLVIVVHNLAHRIPQYHQSNTSQPQPALSLLLDEAKALGIPWILAITNKFSVSAHEQNALITSAMEAYQASPDMTKVVNSSPFLMPSATNSLRPISSASGSLRNENPSGRAAFYPVNFSLSPFQRKDIVMHVEGVTALRQLVHQVIHSNEEPAFEELSRERLSLELEREKAASLQGKRKPQKRDGSVTAAAVGASLGAGLGVVMAVIMGAASALRKP >ORGLA02G0146800.1 pep chromosome:AGI1.1:2:14058806:14059006:-1 gene:ORGLA02G0146800 transcript:ORGLA02G0146800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTRGRRLRAAASARSCGAAVLVTHDPALRPGAKMELGCLVRANIAANDDAHACALQREDDDGSGRTV >ORGLA02G0146700.1 pep chromosome:AGI1.1:2:14045133:14045357:1 gene:ORGLA02G0146700 transcript:ORGLA02G0146700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVRPTVAGDARNHHGRMRVEDLAVALRIKDGNKKEWCPSTSKEDSHIIFVLALSLFPCPHGSYRHEGLVSMKHA >ORGLA02G0146600.1 pep chromosome:AGI1.1:2:14034468:14036622:-1 gene:ORGLA02G0146600 transcript:ORGLA02G0146600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQRGLGLLRRSLGLGPLSTQRALSSTSPAASAEGGAAAAAAAEAAKESKGRKKKKNLFDVVQFLPSWGVGYKVAKTTWRDVSYQITKINLYKDGRHGKAWGIRYKAGVQAAEAPTKISGVNKRGWKYIKESQKKLQDTPKVETPVTA >ORGLA02G0146500.1 pep chromosome:AGI1.1:2:14019559:14027157:-1 gene:ORGLA02G0146500 transcript:ORGLA02G0146500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSGAENGGKLGHGSPSRDRLIYVLTQLIGHHVDVHVKNGSIISGILHATNSDKDLGVIMKMAQVIKDGSARGQKSAADVVKKPETMIIPGRELVQILAKDVALGGDELPKGPSQEKRKDLMIDSAISRSHYPEERELERWAPDEGDSECIELEKYDRKGNRSWDQFETNAALFGVKSTFNEELYTTKLERGPHMRELEKHASRIAREIEGEDTKDMHLAEERGLYLDDDFDHDEEIKYSAVRRDTDNTKFKSSTNVLSNTNQVDSLTRAGNTNPKALLSTAVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSDDKLTKDSSGNRDISEGGRSSISEDLEVPSSSSHASEPSSSGQAKKSSESLPADSSLSRKVPSSGEYVNSSQRPGSSTSSTSERIAANSVACAPGLSPSSSMGSLSSEKSSLNPNAKEFKLNPNAKSFTPSTSVRHPQPPASDGPYYYANNMPTAPLGPPMFPPAYGGQPMVYNAQPGPSPQGYMHPAGPQLQYGQQMMMGQTRPVYYYAPEMQQYRGRNF >ORGLA02G0146400.1 pep chromosome:AGI1.1:2:14010746:14011840:-1 gene:ORGLA02G0146400 transcript:ORGLA02G0146400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPLADITNISGSGLTNRRGRGGNKSLSASPTSSNENRGASFNTPSNVHMCTGSHEDVSDLTVAELKRKRARDRYAALTPQQKDDRNKKARERRKRKKEETQASEREASHLNITPRRLPFTIINNVAHYGPNEVPMSRVTQLTTLNMNSADFTVHNSGCENHYDPIVTSGNQNTHSPMHESGIFQGNDRNECDHDDDISL >ORGLA02G0146300.1 pep chromosome:AGI1.1:2:14008308:14009992:-1 gene:ORGLA02G0146300 transcript:ORGLA02G0146300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFMDDDSDDEYYMFAGLGDDEDDEMVQSDDDDTQSPTSSVPDPFDYVYSNIPQSTNVLKPEPDCKHYGAKRFQYELPSFCCRDGEIKLVQNETPPELMRLWTSSDPDAKHFRDNIRYFNGHFSFTTLGLSLDKAFANMSSGMYTFRAHGQICHNIHSFSPRDSGPEHLELYFYDDDPTLSHRFERSPSLDQDVIRTVADVLRNNPYSETFRSLGQAEDLANYRVTLNLDHRLDQRRYNVSVTTEQFAVDMYIKVESSRLDYVRNNQKEIRADLYQGLMDSIQAGESRASAVGKRTVLPASFVGVGRNVKRRYMDAMALVQKYGKPDVFLTMTSNPKWDEITRELDPGQTPQDRPDLVVRVFRAKLEDIKKQLFEKHILGKVIAHVYVVEF >ORGLA02G0146200.1 pep chromosome:AGI1.1:2:14005850:14006401:-1 gene:ORGLA02G0146200 transcript:ORGLA02G0146200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQSDAWFADYLLRVGNGTEEVNKEGLIGLPSDICVSCKGNETDLERLIDTVFPNLNDNLTDPNYITCRAILSTRNEFVDRINMKMIERFRGDVMTYHSFDRADDDTHNYYPLEFLNSLTPNGLPPHVLKLKINCPIMLLRNIDPANGLCNGTRLVVRQFGKNAIDAEIVVGQHAGKRVFLP >ORGLA02G0146100.1 pep chromosome:AGI1.1:2:13993298:14002492:-1 gene:ORGLA02G0146100 transcript:ORGLA02G0146100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRMAXPFNHGELFGSTRYKXITYTGNGGLSVRGSSRKDICKRIITAIYKFGXYXLMNWALRWRQLFTVVKLSISTSFXDADLFMISITSGLIRRKXSCIXDLRXDLTSAXFSIVQQLPARLMMSLDXLCMSGTLSSGVCICVGRRQGXSCWLILGCRXFSFVYGTNNLPATXLGGAQHGHISIVLWLRXRGWTEEQMNYQLLMNLTXFLILIQHXQMNSMFCARRXKYHLLMFKSRKGYFTCLLFFFYLSFCVKFYIKFSSVEFDEIKVEHVFRTCWKCSIYSVYPKGRNGYKDGSTSVXPASRSFXWNHTEWLSLXFYXCGVPTDRCAYLCQPNHASXVLYDSDTKDSPTQASFCRLRCYFYRRDKXXYVDRCCWCAYIRGRNTSSPTLRTKYTYXGFCLGXSSCGGKHLHHSIAACLLHDSRNXIFXPISLLNLMWYSGQSRLHSCXYHYNPTLEESNKFGIRVAWSIQSQHAKYXCTIKFDTIYVWPDLRVSPSRLXINRRGCNGHIFNXRFDXKLYTGGHGRFLXQETSPNVPSLWRXIFERXCHQCVHTLYKGVSKXRXESEIXKPVSFXHAESGWGQWSKXRXRXLYYKDSEELPRPXTDFYSNKYERXTLVLAVVNTEKQQIQVLDSMCMTFNSVDLANTLQGLQYHLNIIGRQQNLPSHKWGDLNVIKWPIIEQLKERIQEDSSSCGLFMLKLMENWTGESLSRSITQEDIILFRSKLVSVLLRWNTNKAVITTGEQSEDTKDSDDDVVILVSKMSKQELISGLLHYIQQINCAEAMEKIWVQSSMPHFISLSLKQLQTILKKDEPLESECFNMAIHKFMYEKIEMIHETKEAISNHCLYLQFWRATGFGKDPVHHDNINLAETVGSWSEIHYKLSQCKAILIPVRHARSFIVLVVDQESQTLYVLDPNPLMPEYKNNPNMRYTRKLITICDHFNKAMRKACPGSRWNEDINLWRQVIVNNPVYNRMVTS >ORGLA02G0146000.1 pep chromosome:AGI1.1:2:13986290:13992803:1 gene:ORGLA02G0146000 transcript:ORGLA02G0146000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVMSCCDPIPNVTNDGAGNPEALAAQTIYIVNEIEALYELFKRIDGAVIEDGKINMEEFNLRVFGPEKGGTLFADKSLXXLYPSSIXCSHXGQDXFGCQIWPGWXXVAATLRGCXLQRWHVWKSSCGKSAVAKAEAYLVRTAVKLYVVEEIPVIPLKIRVMCYFQGNLPLNRMHHPRHFFSFFCSSGTKLARGCCSTSSDFAQKLLLDLRRRRERLGFNLPAPPQSTSSSNAAALPRRPTLPRKGRAASNTFSDCTPPPPRRPSRRGCLSWRPTMTRRASGSSLRRAPPPPTSPRHGAADPAPPRHQERRLASDHYSCVPSSEFDHAIAAAVAAAVHHLTSIRKQPHHHPCRSPEAAAAARRCVEREVAALRRMHGHPHVVGLLDVLATRSTVYLVLKLARGGGGVIATSVSPFPLSPQRARRRRSGGSATRRGGGAAAEARXGRGAAHSGGAVRRGGGAAAEARXGRGAVRSGGVARRGVAAAGQLGEEEERRRRLG >ORGLA02G0145900.1 pep chromosome:AGI1.1:2:13981921:13984261:-1 gene:ORGLA02G0145900 transcript:ORGLA02G0145900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVEVVGEGRGGRDAEAAATVGLEELRRRMADFARERDWEQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWDEAEKVHLGEELADVLLYLVRLSDMCGVDLGSAALRKLEINARKYPASQCKGSSKKHTYYSSRCNVSGNSNGTNHLTSNEEHDNNTSSNNDNNGV >ORGLA02G0145800.1 pep chromosome:AGI1.1:2:13970982:13971626:-1 gene:ORGLA02G0145800 transcript:ORGLA02G0145800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPTGADEETPEAKAPLLASSDDGQTTQATQASLVCKALNSTADLAKHLPTGAVLAFEVLSPSFTADGSCTAANRALTACLVGACALCCFLLCFTDSYRDATGAVRYGFVTPSGRLRLIDSGSGSGSPPPPRDDRYRLGARDVLHGALSFAVFLAVAMVDRNVVACFYPVESPATRQLLAAVPMAAGAAGSFLFAMFPSTRRGIGFPVAAGA >ORGLA02G0145700.1 pep chromosome:AGI1.1:2:13965923:13969306:1 gene:ORGLA02G0145700 transcript:ORGLA02G0145700.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRHPVRGMSPDRHDPFAAAETTPDPSTRTYADAMRDNQPAPATKRRNRWDQSQEDEGDGAAATGAKKAKTASSSSSSHWDAAPDAATPGIGRWDATPGRAGGATPSLKRNRWDETPTPGRMADADGTPAPSVAWDSSSTPTPKKQRSRWDETPAGVGSTAPRATDAVTPAGYAPGPTPFDAADLATLSPGQIARGAMTPEQYQLLRWERDIEGRNMPLTDEELDTMLPQVGYKILDPPASYQPIRTPARKLLATPTPLFTPLYAIPEENRGQQFDVPKELPGGLPQMKPEDYQYFGTLLNEGEEEEQLSPEEHKERKILKLLFKVKNGTPQQRKAALRQLTDKAQEFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVIYKLDDLVRPFVHKILVVVEPLLIDEDYYARVEARQIISNLSKAAGLATMIATMRPDIDNTDEYVRNTTARAFSVVASGLGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLRSLVDIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLRPLWKGTKSHRGKVLAAFLKAVGFIIPLMDVEYASYYTRGVIPILIREFQSPDEEMKKFVLKVVKQCVSTEGVEADYIRNGILPEFFRHFWIRRMALDRRNYKQLVETTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVAHLGASDIDRHQEELLIDGIVYTFQQQTSDDSNVILNGFETVANALGQRVKPYVPQICGIIKWMLNTSSAKARQRAADLMSRIAIVMKLCQEERLMCHLGHILYESLGEEYPDVLVSILGALKAIVNVVGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRVADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPEDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVRNGILKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNLVWPNIFETSPHVINAVMEAIDGMRVALGSAVILNYCLQGLFHPARKVREVYWKTYNSLYIGAQDALVAAYPALDIDGNNIYSRPELAMFV >ORGLA02G0145600.1 pep chromosome:AGI1.1:2:13964782:13965204:-1 gene:ORGLA02G0145600 transcript:ORGLA02G0145600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPTCYTSSSTLTLSLSSTKCAQQQRGGRAIGAWGPATERGEGTARGAEESVGGSGLRXRVCSPLKLHNYDDEVTSPQLRGAVGVVEVILPGGGRGDGDANAPFPGDGGEVALVVIVILDLEVGGGKKYRASSPVQMRS >ORGLA02G0145500.1 pep chromosome:AGI1.1:2:13961198:13962474:1 gene:ORGLA02G0145500 transcript:ORGLA02G0145500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S27 [Source:UniProtKB/TrEMBL;Acc:I1P0E9] MVLSNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >ORGLA02G0145400.1 pep chromosome:AGI1.1:2:13956941:13957552:1 gene:ORGLA02G0145400 transcript:ORGLA02G0145400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKTMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >ORGLA02G0145300.1 pep chromosome:AGI1.1:2:13950226:13954243:1 gene:ORGLA02G0145300 transcript:ORGLA02G0145300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCVYTQRRWAHRRGGFVTGGTGWSQRRAPAAAAAVGAGAGAKKSEWWAVDGEMHEIGEGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDTEHEAWCKKYMELYQELRENWERLYWDEGYSKKIAEDHANYDSAEEDDLDFSPYSRRRHTNVEPNKDIGFTASKQGETWERVTQIRDKFEYDRERRMRERAFAPMNMENNFGQHDSRFRNRHDSNYAPRNMENKFGSNDSDFGTQSGRSFRHDPSFRNQHGLNFQNESSFRNHQYPNFQNQRDPRNRVMSSEDQELMSSDDQEF >ORGLA02G0145200.1 pep chromosome:AGI1.1:2:13948687:13949490:-1 gene:ORGLA02G0145200 transcript:ORGLA02G0145200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGCRHLRLNAAAIKSLPSAMAARGSGRRGRRRRDLLTRVSSHPQVLAQCELTLNAMVLNSAVKSSSAARTCVKFCTKPIVVSATKSHPSMTPWHVAAAELRETAAIASSCAVDLYGLQVLADGIQDDAGDVTRFVTKIEREGTSVLFKVLPAFAFRDISLTKIESWPHRHRPIRLIDDTNVGPPLRRAA >ORGLA02G0145100.1 pep chromosome:AGI1.1:2:13928422:13939676:1 gene:ORGLA02G0145100 transcript:ORGLA02G0145100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQVLQRLRLATVAVRRRRGAAEWEEAKRDEGLGRVLDVSGTNIIKLPKTIIKLKMLQYLRAGKVPKDDLLSSLDLKESSDLSKMVHEAIDGVELPDVVAKSVQFGTTALDMTAAYCTKIVQNTNNIKKRDIFHKYCNVLLPSILWGLDMYGVEAPDGIGQLNDLRTLGVVNVAVGKAILRELEKLTKLHKLGLTGVNKKNSQAVMSVIANLSLLHSLSLRAEGDQGLQGCLDHKFSPPSKLQSLKIYGNLVTLPTWITQLQNLAKLKLRSTQLKLALSLEVLGKLPHLAILRLWKNSFKSKELIFLFQQGTFPSLLLLEIKDIDGLKSLSFTQGAMPRLELLHTDNCIHIDNNGFSGVSSLPSLKEVMLKGDYNDELLKNLRNQLALNQNQPVLKGA >ORGLA02G0145000.1 pep chromosome:AGI1.1:2:13922973:13923587:1 gene:ORGLA02G0145000 transcript:ORGLA02G0145000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVSIRKIAKLSYLSLQTLPSYTHLSLRAEGEPGLQGCLDHTFAPLSKLQSLKIYGNLVTLPTWITQIQNLTKLKLRSTQLKLDLSLEVLGKLPHLAILRLWMNSFQSKELCFNFQQGTFLSHVVMELKDQGGLKSLTFMQGAMPRLELLQIDNCIHIDENGLSGVSSLPSLREVMLKGDHNEELMKNLRDQITLNQNQPVLKGA >ORGLA02G0144900.1 pep chromosome:AGI1.1:2:13920836:13922444:1 gene:ORGLA02G0144900 transcript:ORGLA02G0144900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKNIYKLEGLKEDAAXXXXXXXVFVDGSNIDLDLNMTSQAKLIIKECDGHPLAITNIVGFLARKQKTAMEWKKLNDDFSSGSVSKENLEMLSTGLEPSYDDFSYHLKLCLLYLSVFPKGHNIRRKRIVRHWAAEGYISKTHSLSAEEVGESYFAELINKSIIQPSEPVPHNAGNIEYCRVHNLMHKISVSKSMEENHGFVLEVSSNNEGIVRNLSIINVGETNKNVLKCVDLTHVRSVTIFGEWRASLDFRKMRMLRILDLEGTSGLKDRDLSQIGNFLHLRYLSLRG >ORGLA02G0144800.1 pep chromosome:AGI1.1:2:13915607:13917151:-1 gene:ORGLA02G0144800 transcript:ORGLA02G0144800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLPLTRRHRHRCRPRLPQLRRRRIRRLAATDPRATTIAAARDCLHFAITHRHIRRRCRHRPRLPLLRRRHIRRRRHPRLPPLRLRRIRRLAATDPRCRLALNQSPSLSSHPSSSRIYVTVPSPHPHDDPRRRNGSWWPWRILGPDELPASQRSSLLLRSGLSDAALFSRLIPAPAPSSGRVRVRDSKSQWSDFSTPALASHRLRSARQ >ORGLA02G0144700.1 pep chromosome:AGI1.1:2:13914729:13915091:1 gene:ORGLA02G0144700 transcript:ORGLA02G0144700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWELGLEATISIASLVLTEVHRIRRDKKKVPANDTLEEDVGFIKKDFQLMESFLVDAAEKRRQMAAATTTTSRSLSTWLRHLRGLSQHVEGCLQEFCLHLERPPRAKSKLLLPLDTIT >ORGLA02G0144600.1 pep chromosome:AGI1.1:2:13909379:13910071:-1 gene:ORGLA02G0144600 transcript:ORGLA02G0144600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQHPSQQDGKTLDRDDGRRHGTRGRRAGGTTPPSRWRGSSARIDPGTTYLLKIRLHGHHSRXNFTYKIEEVVDSGRTNFKDFTDDIREKYP >ORGLA02G0144500.1 pep chromosome:AGI1.1:2:13879648:13884417:-1 gene:ORGLA02G0144500 transcript:ORGLA02G0144500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSLALWDSCTKELLKVISVDGQVDTRFDILSSQDPFGYETKQNLFSAPRKDKARSPVGFFQRSRNALMGAADAVRRVAAKAGFGDDSQRIEALAMSIDGMMWTGSANGCLARWDGNGNRLQEFQHHLCSVQSIFSFGTRIWAGYMDGSIQLLDLEGNLLGGWIAHSSPVLSMAVGGSYIFTMAGHGGVRGWNLSSPGPIDNIMRSTLIEAEPLYKQFEYMKVLVGSWNVGQEKASYESLRAWLKLPTPEVGLVVVGLQEVDMGAGFLAMSAAKETVGLEGSPNGDWWLDAIGQQLKGYSFERVGSRQMAGLLICVWVRTHLKQFIGDIDNAAVACGLGRAIGNKGAVGLRMRIHDRSICFVNCHFAAHMEAVSRRNEDFDHVFRTMTFATPSSGIMTTSVSSSTGQLLRGANGSRMPELSDTEMIVFLGDFNYRLYDISYDDAMGLVSRRCFDWLKNNDQLRAEMRSGRVFQGLREGDFKFPPTYKFEKHTAGLSGYDSSEKRRIPAWCDRILYRDSRVSSGNECSLDCPVVSSISLYDSCMEATDSDHKPIKSVFNLDIAYVDKQTMRQKYVELMSSNNKVVHLLQELEAFPGVNINNSNIILQDRNPSVVKLQNRTEVIACFEIIGQAPNLSSTHFSAFPAWLKVSPAVGIISPGQTVEVTLQHRDLHSQQNYNGTSLDILPGGATQQKAATVFAKITGVYSTVAKYYEIHVQHQNCRSTLPSRGYNLGDRFF >ORGLA02G0144400.1 pep chromosome:AGI1.1:2:13878342:13878761:-1 gene:ORGLA02G0144400 transcript:ORGLA02G0144400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAASIGTTASIRWGAGRRAFGHGWHRQGWPVDRTVATVEGRNQEAAVEEIQGNGDEDSAIGGSALGRRSLNHSRDIEELVHLEINYLVYGRILRHEGRLLTAADHTCEEWHPEDNRTTAVARQWGSNMASLEQHRLS >ORGLA02G0144300.1 pep chromosome:AGI1.1:2:13862430:13862771:-1 gene:ORGLA02G0144300 transcript:ORGLA02G0144300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHMLLEKLLLSPVHNDTYKFIRFQDEKISAYILSNKIKHESSSSQMESPQDIQSISKQQIIWYTTAHISFLN >ORGLA02G0144200.1 pep chromosome:AGI1.1:2:13847747:13848343:1 gene:ORGLA02G0144200 transcript:ORGLA02G0144200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFLKMCAIDAEEYCIEKTEFEEKDDKVIVRGNFDAGSLRNKICCKAGGKVVKDIKTVDAWPPPKSPEKKKKDEKPVCKLVPFPVPYPAPPPPPACCPPSTHQCYHCCPAPPPPKPKPKPCECTHHCGRHGGGCNKPAVSPCGGGCSISDGGACGASCKPPPPPAAIWPPQPSFYYYPPPPCGGYKFACEENSDVCVIM >ORGLA02G0144100.1 pep chromosome:AGI1.1:2:13838937:13840091:-1 gene:ORGLA02G0144100 transcript:ORGLA02G0144100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSPGKVAADPRLPSLDLACPRLDSTEAGGAVARRDGGGVADDKGGRCEMAREAGTQVAEGGTCEVAPTVTPSPAAKAARREPACEARMREAVPTVPLSGHAPFEGVLGENPACYSKIGDIDACGDVSSLEVLPR >ORGLA02G0144000.1 pep chromosome:AGI1.1:2:13837671:13837964:-1 gene:ORGLA02G0144000 transcript:ORGLA02G0144000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLEWKLEATIAMTALVLTELHRMRDKKKASDTLAEDVAFIKKDLEFMESFLADAADAEKRSHTHHTTTTTTPSKSLSTWLRHIRGLSQDRNRIL >ORGLA02G0143900.1 pep chromosome:AGI1.1:2:13830390:13832641:-1 gene:ORGLA02G0143900 transcript:ORGLA02G0143900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLIEIAESMGPAFRNAPHIGREMEKSHLIQLVSQNCENHQIISIWGMIGIGKTSFIRSVYESKEITSMFDQYAWVTILHAFNLHDFVTSLAQELDAHDFSLLGNGVQKSEESIKVSKRRCLLVLDDVLSIEEWSLIQPHLPNETNTKIIVTTREASIAEHCSVTYKNIYKLEGLKEDAALALFKNKVFVDSSNTDLDLDMSTQAKLIIKECDGHPLAITNIAGFLARKQKTATEWKKLNDDFSSGSVSKKNLEEVSSNNEGTIRHLSIIDVCETNKNALKQCPDLTHVRSVTVFGEWRSS >ORGLA02G0143800.1 pep chromosome:AGI1.1:2:13813259:13813831:-1 gene:ORGLA02G0143800 transcript:ORGLA02G0143800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIDPDRSASLWNESTQPQFTRQKNHAYAPHHHHQKSIEMGEWEIGLEATIAIATLVLTEVHRMRDKRKVPANDTLEEDMAFIKKDFQLMESFLVDAAEKRRQTAAATTTTSRSLSTWLRHLRGLSQDVEGCLQEFCLHLERPPRAKSKLLLPLDTITKQIRRLRNEIEHVNKSSAIYCNAINFGPDAAQPM >ORGLA02G0143700.1 pep chromosome:AGI1.1:2:13806790:13807116:-1 gene:ORGLA02G0143700 transcript:ORGLA02G0143700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIGKTSFIRSAYGSEEITSMFEQCAWVTISHPFNLNDFITSLAHELNAHDFSVLGNDLQKSEESIKPSKRRCLLVLDDVLSIEEWNLIQPHLPNETNTKIIVTTREA >ORGLA02G0143600.1 pep chromosome:AGI1.1:2:13804246:13805454:-1 gene:ORGLA02G0143600 transcript:ORGLA02G0143600.1 gene_biotype:protein_coding transcript_biotype:protein_coding PESIGNFLHLRYLSLRGCADIYHLPNSLGNLWDIQMLDVSGTSIIKLPKTITKLKKLHYLRAGHVPKDDATSSIELKESSDLSKMEHEPINDLEIPNVEVKSVQFGMTVLDTTKAYITKTMQNNDNVKKHDIFHKYCKVLLPGIPQGLDLYGVKAPEGIGQLNDLHTLGVVNVAAGKVILRELEKLKKLHKLGLTGVNKKNSQAILSAIANLALLHSLSLQAEGEPGLQGCLDHTFAPPSKLQSLKIYGNLVTLPIWITQLQNLAKLKLRSTQLKLAPSMEILGKLPHLVILRLWKNSFLQSKKILFDFQQGTFPSLVVMELKDQEGLKSLNFLQGAMPRLELLQINNCMHIDNNGFFGVSSLPSLKEVMLMGDHNEELMKNLRDQLALNQNQPVLRGHDHL >ORGLA02G0143500.1 pep chromosome:AGI1.1:2:13800036:13801883:1 gene:ORGLA02G0143500 transcript:ORGLA02G0143500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family [Source:Projected from Arabidopsis thaliana (AT3G25410) TAIR;Acc:AT3G25410] VVAATAVAALGNPATFSWVSKEYYAPALGGIMLSIGIKLSIDDFALAFKRPVPLTIGYMAQYIVKPLMGVLIARAFGMPSAFFAGFVLTCCVSGAQLSSYASFLSKGDVALSILLTSCSTISSVVVTPVLTGLLIGSVVPVDGIAMAKSILQVVLVPVTLGLLLNTYAKAVVNVIQPVMPFVAMLCTSLCIGSPLAINRSKILSSEGFLLLLPIVTFHIAAFIVGYWISKLPMLRQEEPVCRTISVCTGMQSSTLAGLLATQFLGSSQAVPAACSVVIMAIFGLTLASYWGNGLRIRDIGSRFVPQASAGVSS >ORGLA02G0143400.1 pep chromosome:AGI1.1:2:13793827:13798113:1 gene:ORGLA02G0143400 transcript:ORGLA02G0143400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGERAAMALGAGFDLTSDFRLKFAKEGRLVELDEAGARDVPVPGGGVGGGAAAVLRGVPRDVGVDKGDRIRFRSDVLEFNQMSELLNQKSSVQGKVPSGYFNTLFDLSGAWMTDAKETKHLAFDGYFISLYKLHLKTSPLVLRDEVRSAVPPKWDPAALSRFIKTYGTHIIVEMAVGGQDVICVKQSPSSTISSADLKLHLEDLGDFLFSDGRNHSPIHRKTRDGKSKVPDVFVRMEQQPNNLHLSSYSESSTKDGLTITCSKRGGDASIASHSKWLQTVPRVPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDPEDLQHFLEFQVPLQWAPLFNELILGPQKRKGSYPSLQFRFLGPKLQVSTSQVSSSHKPVVGLRLYLEGRKCNRLAIHVQHLSSAPSMLGDSLSSSMSEWRESEEVGAGYIEPIQWKSYSCVCTSKVDYNPEWLKRVPGGRGVFVVTGAQLVTKGTWSRKVLHLRLHYTHVPGCAIQRTEWAAAPAASQRGSFLTTISTTLSSPFTQLQAAAAPAAPPRNEPAPAALLNSGVYPDGPPVPLQSRKLLKFVDMSEVVKGPHDVPGHWLVTAAKLVKDGGKIGLNVKFALLNYDGTQPATATMAGGDQGHGLLN >ORGLA02G0143300.1 pep chromosome:AGI1.1:2:13740901:13753730:1 gene:ORGLA02G0143300 transcript:ORGLA02G0143300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLAVVLRAALSHVPEERKAAEESLNQFQYAPQHLVRLLQIIVDGSCDMAVRQVASIHFKNFVAKNWSPNDPEESQKISESDKLMVRENILGFIVLVPPLLRAQLGESIKTIIHSDYPEQWPGLLHWVTHNLESENQIFGALYVLRVLSRKYEFKSEEERIPLYHIVEETFPRLLSIFSKLVQIVNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMSLFINLLERPVPVEGQPLDPDVRKSWGWWKVKKWTIHILNRLYTRFGDMKLQKPESKAFAQMFQKNYAGRILGCHLQILNAVRTGDYLPDRVINLVLQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKSNLQKFIHFIVDIFRRYDEASIEIKPYRQKDGALLAIGTLCDKLKQTDPYKAELERMLVQHVFPEFNSHVGHLRAKAAWVAGQYAHISFSDQDNFRKAMHCIVSGMRDPDLPVRVDSVFALRSFVEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMASQEADDEADDSGALAAVGCLRAISTILESVSSLPHLFIQIEPTLLPIMRRMLTSDGQDVYEEVLEIVSYMTFFSPSISLDMWSLWPLMMEALNDWAIDFFENILVPLDNYVSRGSDHFLACKNPDYQQSLWSALSSIMMDQNMEDSDIEPAPKLIEVVFQNCKGNVDQWVEPYLSLTIDRLRRAHKPYLKCLLVQVIANAFYYNPSLTLATLHKLGAVTEIFNIWFGMLEQVKKSGVRANFKREHDKKVCCLGLTSLISLPADHIPGEALNRIFKATLDLLVAYKEQVAESKKQDDADGDDMDGFDGDEDEDDDEVESEKEMGLDEEDADEVNSLHLQKLAAEARGFQPADEYDDSDDDFSDDEELQSPIDEVDPFILFVETVQGLQASDPIRFQSLMQTLDFRYQALASGIAQHAEERRVEIEKEKLEKANAQ >ORGLA02G0143200.1 pep chromosome:AGI1.1:2:13724981:13726193:-1 gene:ORGLA02G0143200 transcript:ORGLA02G0143200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRWRPVGLEVERCGGARGEASVEDTMDWVEEERDVQRILAISEIYNGDACMTITGEMNQELIYNFSGLYATAQRKAPARRRRRNHAPRPLLVATPLEEERRARKVKTEREQGKGSFVFLELKDGTVFVRGAGVVEAKKGGAAGGGDDGRHHHRHNQAVQSAVARERPRRISNGRAVAMAGKRRQRRTVSHATHLLLLSSPNSHADVGLLLPAAVLRLLLCPCPLESAASTHELATTERAKATALPALTASSSPALARPPPAPVPRPPLAERKRMREGGG >ORGLA02G0143100.1 pep chromosome:AGI1.1:2:13717110:13721160:1 gene:ORGLA02G0143100 transcript:ORGLA02G0143100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLAIQDRNHVTVKGQVVHPEFRGRMVSAFLTHHFSEVADLSFTANLETELDNVSAGSTEWKGLLKDFWERFNKYCGNASRLQVRKVERMLEEKFGSILFPDLDNDSRICPSCSEGTLRLKVSKHGEGYFIGCDRHPKCKYIARTLSVEVDETEASDEIQSTFTPRLLGVLPDSDEKVFLKQGPYGHYIQVGEDIKGVSRKRAPLSEVKDIDSITLEDAIELLQYPKILGKHPDDDLPVLVTHSKSGFRIRHRRTLAPLPKSADPKEITLERALKLLTGKNVIRFGRPKGIKNPEPLEYHS >ORGLA02G0143000.1 pep chromosome:AGI1.1:2:13715561:13716443:1 gene:ORGLA02G0143000 transcript:ORGLA02G0143000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFHSSASNLDFKGYQAVYDDTEASPSSNNSEDDAVHQDNFEALSKLKVKDLMSPVNVHLAQNFTKPPSRYSESALIKRLEELGIGGPSTYSSIMKVLQVPYSYNPLS >ORGLA02G0142900.1 pep chromosome:AGI1.1:2:13701248:13701822:-1 gene:ORGLA02G0142900 transcript:ORGLA02G0142900.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTGGSQGLVAAPMASSSPASACTRRKKVPLILCPSCENKTVVKRTSKTAINPDRIFYTCPDHEKDGSGCNFWFWEERYMKYLKKNGLIAGEEAAHVNAQVAASLKNAGQLDEIKVQREDGDELKQTLITAVSIGRELVVVLKNMLVLGWLCVAVLVCILVVLMMK >ORGLA02G0142800.1 pep chromosome:AGI1.1:2:13692383:13693486:1 gene:ORGLA02G0142800 transcript:ORGLA02G0142800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATKMQKMGVKRKRKSRSSTQTRRPTPPELMEDMVTEILVRLPVKSLLRFKPACRAWQAIIDGPVFIRAHLRRSASRWEQSHSFIINPHSMVRVPWDRWPVPSNRYRFHQWQLQRGNTTTSPRNNNVATFLHAKDLSDDQQFYTTEFTHRDGLVFSTTTTSLHVFNPATRDAITLPTSSRSNLMGGGRFNYHCSGLGLDPRTGMYKVVQAFFRFQSMEPAETKMGMEVFTIGGGGGGVGWREITSDPPYPAKRFQIGVSVCGYMFWRFSERHTKLERGILHLSLEEEEFGITGLPDELDTDNSFLLDDLLGRDLCVSASNTSCTMLNIWTLPVADESLCTLWQWRYCIEYPWSLCSVMALPPFSDF >ORGLA02G0142700.1 pep chromosome:AGI1.1:2:13665409:13670429:-1 gene:ORGLA02G0142700 transcript:ORGLA02G0142700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGACDPPGPGASLRRLVLLLALSVSALAAADASGVFEVQRKFTRHGDGGEGHLSALREHDGRRHGRLLAAIDLPLGGSGLATETGLYFTRIGIGTPAKRYYVQVDTGSDILWVNCVSCDGCPRKSNLGIELTMYDPRGSQSGELVTCDQQFCVANYGGVLPSCTSTSPCEYSISYGDGSSTAGFFVTDFLQYNQVSGDGQTTPANASISFGCGAKLGGDLGSSNLALDGILGFGQSNSSMLSQLAAAGKVRKMFAHCLDTVTGGGIFAIGNVVQPKVKTTPLVPDMPHYNVILKGIDVGGTALGLPTNIFDSGNSKGTIIDSGTTLAYVPEGVYKALFAMVFDKHQDISVQTLQDFSCFQYSGSVDDGFPEVTFHFEGDVSLIVSPHDYLFQNGKNLYCMGFQNGGVQTKDGKDMVLLGDLVLSNKLVLYDLENQAIGWADYNCSSSIKISDDKGSTYTVNADDISSGCEVQWRKSLILLLATTVISYLML >ORGLA02G0142600.1 pep chromosome:AGI1.1:2:13661769:13664484:1 gene:ORGLA02G0142600 transcript:ORGLA02G0142600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWICNNIVSEPTIRGIPGQETRWMTHGGSSDVQRLDPSIGGGCTGGACQVFEEMLSWLGAGAGVALHVQVSRVLYPVTGKVLHQVYNGYGAVAVQVLATSCWGVEALVWFQSSCDAERARSDTNERNIYDGCCLLNVQHTQSFPGNGANVMPTKCSTLGPSYATTTSGAKSIPAATECVFPATKASLAPSTSSTTMATPAPSTETKVVGASMDKEVLKSEETTQDLYTKMMAMIDKMLETCRNTKEDYTVSVDSNGDATALSVNIDPVPILSEVSNEANSTHLVNTNKLSMSLGQLVPSYSLAQFENENLLIQQAMSWCRFKLSANYLLSKPYQWRKYIVDAPAYQGFHFQGMIKQQIDGVDMMLLYYHQISIVYCSVSEDVVYDVTWTPVMPSKWIHVVAIGRTWLLSAFALINFLEAGTVQLAVKLVYVKIAEMTRIRSWDPVIVNLITIIACQISTEVKKGGSDTLDLSSVCKYKNAHDSIQVGTVSSISLSLTMFAVLPSKKLVTSIKIPNYNSGQMEVQCIHQSASFVTSIGMESSLVVAFCGSAGAYVLALDDYLQLPWDPGGTDLELQLHQLGDKLIFKAERMPCN >ORGLA02G0142500.1 pep chromosome:AGI1.1:2:13655089:13657362:-1 gene:ORGLA02G0142500 transcript:ORGLA02G0142500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIDRDMLMSGFIQPIPDLSPVIPSVDEMPSVDPEVSPYETNIISFYKTWDTFGAFSNFSPHPIHMPDENGDYFTWPTVEHYYQAHKFIGVDDPQAREIVQEIKLAKSPEEAARIGRTRQREFQELVRPDWDSIKIEVMYRATKRKFSTYSHLTDMLLSTAGSVLVEASPHDLFWGGGREGEGMNYLGRLLMQLRSEILGTIQTAVEVGEPA >ORGLA02G0142400.1 pep chromosome:AGI1.1:2:13654488:13654739:1 gene:ORGLA02G0142400 transcript:ORGLA02G0142400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRGYDRMIARRVKMALRRLDFDSRKILDRRQTPYSVVVDETNYKDVDADAFLGIPKAPCYCCTLRSQELQEALLRQQKRD >ORGLA02G0142300.1 pep chromosome:AGI1.1:2:13645056:13650633:1 gene:ORGLA02G0142300 transcript:ORGLA02G0142300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLLPCLCVVTVLVAPAAAAAADSLGSAQPFLDLQNRARADVGVAPLTWDDTVAAYARRYAATRKGDCNLQHSGGPYGESIFWGSAGANWTAANAVASWASEKQFYNCSDGSCAGDQGWHSEKQFYKCGHYTQMVWAKTTKVGCAAVNCDADRGTFIICEYDPPGNVLGVQAYGGCGRFNQTAQSSPQDFLNLHNAARAGVGAGMLSWDSTVAAYAADYAEKRKSDCRNVHSNGPYGENLFQGVAHISWTASDALFSWLGEAKNYNCNGNTCKDGQECGEYTQLMWTNSTRVGCASVTCDDSAGGGTFIACNYDPPGNVAGQRPYSCSQAGISLPGLVPDKGNGTNQQANGNSSTGNSSSSQSSKGSKSNPAILLIVLPVSIGLGIISAISICLWRNRSSLKRRQSSCSEEVEDIKSVLLDPSVIRSATGNFAEENKLGEGGFGKVYKGLMPDGQEIAVKRLAKGSKQDLNIDDKKREQLAWDARYKIICGIARGLVYLHDESRVKVIHRDLKPSNILLDMDLNPKISDFGLASVFEGDHTDHITRRVAGTYGYMAPEYAVLGHVSTKSDIFSFGVIILEILTGRRNTISSETIWTEHLLSYVWENWTRGTITEIVDPSLRCRSAESEILKCIHIGLLCVQENPGDRPRMSNVILMIVGKSTTLPAPSRPAFLFRLNDENHIHHGINNLNPSLNKVTITELEPR >ORGLA02G0142200.1 pep chromosome:AGI1.1:2:13619100:13620762:1 gene:ORGLA02G0142200 transcript:ORGLA02G0142200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFSPLVASASRLLPLCPALPLPTFPSFPVGVGVFTGGEEIDICTITLLSTDLLMAASLVERRTGSWRTVGVDAWRLTTMSRILPRRHPPPPASFALPLADILPSLRCPLGGAKAGACAIIVEELNAGGCSEGVVVAGGNGSGGAVQDNDRVERQAVAGHRHRHDNPACGSQVVGDGKPSATGLRASQSLRRPPPSLAAARRDEVNLVTWKKEREGRRNIIVMHDNGSESTLSVY >ORGLA02G0142100.1 pep chromosome:AGI1.1:2:13611004:13614258:1 gene:ORGLA02G0142100 transcript:ORGLA02G0142100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRLLLLGLLLLSPAVAAASVPGEEEPLIRQVVGGGDDNELELNAERHFASFVQRFGKSYRDADEHAYRLSVFKANLRRARRHQLLDPSAEHGVTKFSDLTPAEFRRAYLGLRTSRRAFLRGLGGSAHEAPVLPTDGLPDDFDWRDHGAVGPVKNQGSCGSCWSFSASGALEGANYLATGKMDVLSEQQMVDCDHECDSSEPDSCDAGCNGGLMTNAFSYLLKSGGLESEKDYPYTGRDGTCKFDKSKIVTSVQNFSVVSVDEDQIAANLVKHGPLAIGINAAYMQTYIGGVSCPYICGRHLDHGVLLVGYGASGFAPIRLKDKAYWIIKNSWGENWGEHGYYKICRGSNVRNKCGVDSMVSTVSAIHTSKE >ORGLA02G0142000.1 pep chromosome:AGI1.1:2:13591170:13602227:1 gene:ORGLA02G0142000 transcript:ORGLA02G0142000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEPSAAAGGGEGDTEAHAVIDVSSSETDSDPDPGFGGAGKRPRRVVAMAGSGREAEKRARILAAAVPPGFLDPLPRPSAPPPPPPPPRGRRRVTRQFWNAGDYDGKPDLLGGDPTLRSDSGMDHIRVHPRFLHSNATSHKWALGAFAELLDNSLDEVANGATYVNIDMLENKKDGTRMVSVEDDGGGMDPDKMWHCMSLGYSAKSKVKDTIGQYGNGFKTSTMRLGADVLVLSRSCGNGGRRRTQSIGMLSYTFLRETRKDDIIVPMIDYEKGQQYWKRMMRTTSIDWQTSLATIIEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDTDVNDIQIRGGNRDQKNIQLAKQFPNSRHFFTYRHSLQSYASILYLRVPSVFQMILRGKEIEHHNIIGDMMMKNHVIYKPVMTDGFPRDIDVKITSSMMTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPFWRVWALPGIQGRGVIGVLEVNFVEPAHDKQDFERTNSLARLEARLNLMQKKYWSDNCHRIGYGGNSANRKSGREYKMTVSVEMTSHLRKTERNVTNNGDGQTVDNPETVIKLLTDENSSLKESIMKMEESLSRELHIERDKNKSLIERLENVQKQLETANKEQEALVDIFTEERARRDQEVENQRTKLKEASSTIQNLLDQLNAARSCRKN >ORGLA02G0141900.1 pep chromosome:AGI1.1:2:13569451:13581423:1 gene:ORGLA02G0141900 transcript:ORGLA02G0141900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIGRDRFAFIDLSAGPFAWGPAVGGDGVRTELSLPNVAKTVGAVAEVIEEEAEAKLQDTIRERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERMHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMSHVIAPSVSHRAHHYYDKLLFQLYFVTQEKVRNMKQFPVNVKSVTEGLSSVLLQFQKPMFSQRMLSLSEDPALMMAFSMARRAAAVPLLLVNGTYKSTVHTYLDSAILQHQLQRLSEHNSLKGGHSNHRSTLEIPIFWFIHSEPLLLDKHYQAKSLSNMVVVVQSEVDSWESHLQCNGRSILWDLRRPVKAAIAATAEYVSGLLPSHLAYSPAHETATEDWTWSVGCNPLSITSKGWQLSEFQRDVIARNYIITAVEESIQIINSAIQQLITERTSERGFKLFKAQERVLVEKYNSVVSLWRRVSAMSKGLRYGDAVKLTSMLEEASHGFANAVNSTISSLHPVQCTRERKVDVQLDLTTIPAFLAVFLLLWFLLRPRRPKPKIN >ORGLA02G0141800.1 pep chromosome:AGI1.1:2:13560488:13560730:1 gene:ORGLA02G0141800 transcript:ORGLA02G0141800.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPILDQLTLDLLAQLRSDLTSATQAHALAFKGELGRGLAIKPIAREVERLEMAQPVEELQVLAEAGVARELELVEELAVG >ORGLA02G0141700.1 pep chromosome:AGI1.1:2:13557877:13558629:1 gene:ORGLA02G0141700 transcript:ORGLA02G0141700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEDGDVSALLSEPSIPEEQPEASEFDDVVPAILESIKSSEKAFKPSPEEAAWADSCFVQTSELSDSDWGAMKHALLNALEKPTEIPNNTSEIVHEGSHAILEVKPHSLPAEIVSQHDDMQMEQKENNDYDTGTTEASEVANVIRGTNEHGKQMDGYTARPEDGDELSSSEVLEQTESRETIFKVWDLDVPFSDEDELELIKDLKKLLKDNPQESEFRPPSGTAKTLSQIAVDDLVADLSDLSLQQTDE >ORGLA02G0141600.1 pep chromosome:AGI1.1:2:13553869:13554551:-1 gene:ORGLA02G0141600 transcript:ORGLA02G0141600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CPXHCSSSLYLFFPFFFLAAEQRRDGGWPDPRRLGHGGAAAGRXRSWPRCGISTXAATTSPARVTPLLGKMRSLTTLDLSGNPGLCSNNIATRTPPPATATPARRAADVVIKNAETAASGGSSAAATTTAVQASLWSKDTMFSFGDILAATEHFNDAYCIGRGSFGTVYRADLGGGRAVAMKRLDVSETGDACCSS >ORGLA02G0141500.1 pep chromosome:AGI1.1:2:13549953:13551764:-1 gene:ORGLA02G0141500 transcript:ORGLA02G0141500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPTPPTTTATTTALREWNRLIQHAAASGSYSRCLRHYASLLAAGLGGGGASTFPSLAKSCAALRLPRLGAAVHAHALLAGAASAVFVRTSLLDMYAKCGRLPDARRLFDEMPRPTLVSWNCMVAAYGRSSQVEESVAVFNAMRRAGVRPSEGTLVGVLSGCVDSVSASNPGMCVYGFSVKSGLDAGLPVLNSVLTMLVRGSHLDAARLLFDGICNKSVVTWTALASGYLLRGDYLEVFDLFNRMRGVGQNVDSVVLVNLISAAVLFGNLSVAKGVHALIIKLGFECEEDLAASLINLYAKCGDLESAREVFDAVHMANVVVWTSMISGYVEGGHLNEALVMFDSMVCANIEPNEATLSSVLSACAKLGSANLGKKVEEQAIATGLHSEPRVATGLIDMYSKFGSINLARKIFEGVTNRDIAVWSAMINGYACNGEGSEALVLFKEMKNKGFQPDGIAFTHVLTACNYSGLVDEGLECFHSMTMEYGIEPSIEHHMCMADLLCKAGHFGSALKFFKQMPSEVQNKVLAPIISSYSARCADSSIDFIPEELLNLETQDSDHCVLMSNMLSCLGKWKKATSYRRQLSKQGLMKEPGWSCIELSG >ORGLA02G0141400.1 pep chromosome:AGI1.1:2:13543657:13543878:-1 gene:ORGLA02G0141400 transcript:ORGLA02G0141400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMVGEDSIWHTIEVLCAYGMRNRIWKESKFDMIGYVKFVSCTRGFPKVFRTSSMSLVRGFRLPTSGINRGGA >ORGLA02G0141300.1 pep chromosome:AGI1.1:2:13534397:13534927:1 gene:ORGLA02G0141300 transcript:ORGLA02G0141300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHAHCRSVCKYVVRCIIHSRYPIGTKFDTCGITADTQVLNLIPGTCEVSSIMAGCEVSHVEARETEEDPCNAPIFVQD >ORGLA02G0141200.1 pep chromosome:AGI1.1:2:13526007:13527664:1 gene:ORGLA02G0141200 transcript:ORGLA02G0141200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G18475) TAIR;Acc:AT5G18475] MKPSPASTKAPLPWISPLHYRRPTRAAPPSPPPPPPPLPEAPLTQLRYVHHPDLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAVLRRAASAPCRFLEPQFLPLLRLLPPDHSLALLRLLPALLRRGRVSRKALAVCLDRLVSSRRCPDVLTELLADLRDPRSKYLPQPNTCIYNILIKHYVKKGDLGTAFQVFDEMRKMNCADVRPSLVTYSTLIGGLCRGAQMKEAFELFEDMIEKDRIVPDQLTYNLLIGGFCRLGQVEKAQSIFGFMRKNECEPNAFNYATLINGHCKKGEVEAARGVFEEMIRSGVQPDAVSYTSLVGCLCRHGNVDEGINLVQEMWQKGCKADVVTYNLLLEGLCKDRRIAEAVTLLEKLPSEGVQLNVASYRIVMNCLCSCGEMEKAAGLLGMMLGRGFVPHYAASNMLLIGLCDVGRVSDATVTLYGLVDTGFMPEARCWARLIESVFRERKLRRSIELLDVLIAEG >ORGLA02G0141100.1 pep chromosome:AGI1.1:2:13517339:13518082:-1 gene:ORGLA02G0141100 transcript:ORGLA02G0141100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPGLPFGDRQSGSPAIRAAPPLAPLLLRRVAPVGTPLRAASTATACLLPVQMHPRRSSSTAPRSPDRRRPWARQLDEYAGSNLDELLEMLRVPENPDGIDARDHAEPPLTS >ORGLA02G0141000.1 pep chromosome:AGI1.1:2:13508166:13512315:1 gene:ORGLA02G0141000 transcript:ORGLA02G0141000.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAALLLLLASHLSVLLLRRLRLRPADAVSSSAAAAAAVVTADSAPGSAAGMDGLVTEGDLRELVGNLGVAAREPEREGWQQVVAKGNDDVSYRVWCDKPMEGPPRYLSVTTYERCSTELLRDFYMDNEYRMEWDNTVIKHEQLQFDENSGIEIGRTIKKFPLLTPREYILAWRVWEGNDKSFYCLVKPGQECEHPVAPRQRKFVRVQLLRSGWCIRKIPGRDACRITVLHHEDNGMNIEMAKLAFAKGIWNYICKMNSALRRYPQRNISSISILTMQRLTKKFPQALETDVDANHHPQGNTRANVVPSHFARTSSRQQPGKKSSRATIASGLLLIGSIVCLSRGRSNLGAQLAMAFFLKKAFKQDKGSSSQRSISRADVTEPRHL >ORGLA02G0140900.1 pep chromosome:AGI1.1:2:13501910:13503862:1 gene:ORGLA02G0140900 transcript:ORGLA02G0140900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 28 [Source:Projected from Arabidopsis thaliana (AT4G16710) TAIR;Acc:AT4G16710] MGDRERRTVFVTVGTTCFDALVKAVDSPQVKEALLEKGYTDLIIQMGRGTYVPSKVSGDGTLQVDYFTFSPSIADYIRDASLVISHAGSGSIFETLRHGKPLIVVVNEDLMDNHQSELAEELATRKHLFCASPQTLGETIQEMDIETLNPYVPGDAKPVVSLINKFFGFPDD >ORGLA02G0140800.1 pep chromosome:AGI1.1:2:13496583:13496933:1 gene:ORGLA02G0140800 transcript:ORGLA02G0140800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRIAQPVSGSSSSSMAASAMRVAIATGASLAVHLFVKSFVQAQHPALTLLLPVAVFVGIAVGAKGGSGGDGKAPPGPAAVPVFGNWLQVGNDLNHRFLAAMSARYGXXXXXXXXXXX >ORGLA02G0140700.1 pep chromosome:AGI1.1:2:13491221:13491739:-1 gene:ORGLA02G0140700 transcript:ORGLA02G0140700.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQTDFQLNLYQNTNDIGPSQAERTNTTESMAASVVRVAIATGASLAVHLFVKSFLQAQHPALTLLLPVAVFAGIAVGAKGGNGGDGKAPPGPAAVPVFGNWLQVGNDLNHRFLAAMSARYGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA02G0140600.1 pep chromosome:AGI1.1:2:13482956:13483204:-1 gene:ORGLA02G0140600 transcript:ORGLA02G0140600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIINPWLHEPTFLPTSGWNFLLFFKFGVIYIVYINAPQPYRIAARRLRDLSLMQHLVRGDCDRASYNILVDAYEGTDGGR >ORGLA02G0140500.1 pep chromosome:AGI1.1:2:13474869:13475936:1 gene:ORGLA02G0140500 transcript:ORGLA02G0140500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:I1P099] MAPELSSPSSSPRYTVGYALLPEKVSSVVRPSLVALAADRGVRLVAVDVSRPLAEQGPFDLLVHKMYDRGWRAQLEELAARHPGVPVVVDSPGAIDRLLDRATMLDVVSGLRAPVSVPPQVVVSDAAADADELLARAALRFPLIAKPLAVDGSAESHDMRLVYRRDGVLPLLRAALVLQEFVNHGGVLFKVYVVGDRATCVRRSSLPDVPAHRLLDLDAEPSVPFANISNQPLPPPDDDGGAADDDTPAAGFVDEVARGLRRGLGLHLFNFDMIRERSEEHGDRYFIIDINYFPGYAKMPGYEAALTDFFLEMLRGTRPVPEQLGPGSGLDMEARKLEPGLGIGLRELESGRAQA >ORGLA02G0140400.1 pep chromosome:AGI1.1:2:13467357:13467749:1 gene:ORGLA02G0140400 transcript:ORGLA02G0140400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGXSKGGSAVVKGDAAGLRRPFALHRSRSTQARIDVQAWAIGPILAAPAPLKSQDDDTSIIQWLDAHPRRSVLYISFGSQNSISIHQMAELALGLETSGRPFLWAVQPLVGFDHKDGFDPGWLPVGFED >ORGLA02G0140300.1 pep chromosome:AGI1.1:2:13452926:13455327:-1 gene:ORGLA02G0140300 transcript:ORGLA02G0140300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase [Source:UniProtKB/TrEMBL;Acc:I1P097] MVLWVFGYGSLIWNPGFDFDEKILGFVKGYKRTFNLACIDHRGTPEHPARTCTLESDEEAICWGIAYCVKGGLKKEQEAMKYLERRECEYDQKISVDFYKEGDSLKPAVTGVLIFVSTPDPVGNKYYLGPAPLEDMARQIATANGPNGNNRDYLFSMEKALSNICHEDDSIIELANEVRKVLSRPKEKITGSDSPLKSHALVHLSALPEGTVVDSR >ORGLA02G0140200.1 pep chromosome:AGI1.1:2:13435248:13436605:-1 gene:ORGLA02G0140200 transcript:ORGLA02G0140200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADAADSVLHGDLLECVLLRVPHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVSEDAVGAAWRELPPPRVWRVDPVVAAVGPHVVVLGGGCGATAAAGVVEVLDEGAGWATCPPMPAPLASRWVSSAASERRVYVVERRTGWASWFDPAARQWGPARQLQLPEGNNTASVESWAACGVTTSGGGGASERLLVLAGGGGGNVSLWGVDGDTLLLDAEANNTSMPPEMSERLGGAGSIAAAAAGAASGYVYNASEPSKGAVRYELVDAGVGGGHGSYSDSDSKNDRHEKTWGKRSSGGSRWEWEWLPCPPAAAAAMSTSSSAVVVFACCGSSSAPNK >ORGLA02G0140100.1 pep chromosome:AGI1.1:2:13426243:13430944:-1 gene:ORGLA02G0140100 transcript:ORGLA02G0140100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEKFGAWIKLAEREIWEMSELANKFLVLHLDGEGGGADDTEEALIQTSSSIKEADAGENVLSDTLVLNYDEGSLVSSSGDYQMPLVWIDLEMTGLDVAKDRILEIACIITDGKLTKQIEGPDLVINQKKDLLDNMDEWCKTHHAASGLTQRVLQSTISEHDAETQVLDFVKKHVGSSPPLIAGNSVYVDLLFLKNYMPQLAAIFSHVIVDVSSIMALCIRWYPKERKRTPRKGKKHRAMNDIKESIAELKYYKDNIFKPQKSKQ >ORGLA02G0140000.1 pep chromosome:AGI1.1:2:13415981:13421485:-1 gene:ORGLA02G0140000 transcript:ORGLA02G0140000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthesis ERG4/ERG24 family [Source:Projected from Arabidopsis thaliana (AT1G50430) TAIR;Acc:AT1G50430] XXXXXXXXXXXXXXXXTPPKTVHSALVTYASMLSLLSLCPPFVILLWYTMVHADGSVVRAYEHLREHGVLEGLKAIWPMPTMAAWKIIFGFGLFEAALQLLLPGKRFEGPVSPSGNVPVYKANGLQAYAVTLITYLSLWWFGIFNPAIVYDHLGEIYSALVFGSFVFCIFLYIKGHLAPSSSDSGSSGNVIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQYEMNGRVADSMLVNTALMLIYVTKFFWWESGYWCTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGPQLALSILLAGILCIYINYDCDRQRQEFRRTNGKCSIWGKAPSKIVASYQTTNGETKSSLLLTSGWWGLSRHFHYVPEILSAFFWTVPALFDHFLPYFYVIFLTILLFDRAKRDDDRCSSKYGKYWKMYCNKVPCRVIPGIY >ORGLA02G0139900.1 pep chromosome:AGI1.1:2:13393793:13394197:-1 gene:ORGLA02G0139900 transcript:ORGLA02G0139900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKQLHSQRCGGHCQLHHHRPEEIAGAESHERDGSSGCGGAGPMVVLILGSGAASEAEDDGGGRWRCCCGCSCGAGGGADAGDGLLPICGPPFWDLGTVSPPDLLFPDLVASDRCRRHRHLRLNLGDHAAAD >ORGLA02G0139800.1 pep chromosome:AGI1.1:2:13389997:13390898:1 gene:ORGLA02G0139800 transcript:ORGLA02G0139800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVELSNFDHFNVKGTKVEVNVSEWNNESAAIGKLHVVWVVVEGIPDEMKGYQALFEVGSNLGVVMEVDMPTITSKDVVRMKIGMMDLKQLPLRLMLSTPEALLYEAIFTLEKVVEVGWLKGKQMEGKETQEAEASLGKDKGREESSQIQYYTPAKIELLAEEDKKKAIQIMQDREIAMAIQEKEEKEQHKVLKRGLETRGESSNKEIMAVESKDESLPMIKVGQNQQMGSIEEGMEEYEEGKVHLGDSEELFESQESKNNFAKSIGVVLTEEEGGS >ORGLA02G0139700.1 pep chromosome:AGI1.1:2:13383661:13387247:-1 gene:ORGLA02G0139700 transcript:ORGLA02G0139700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGYTVHQALSADAAAVLKLALALARRRGHAQLTPLHVAFTLLRSSSSSSSSPSDPPPFACSGGEPSCCAHGLLRRACVRAHPAVAACAPAAAASHPLRCRALELCFNVALNRLPATNAMADCGRACSPASSLVPPDPTLSNALVAALKRAQANQRRGCIELQSLQPPQHALQPQQQPLLAIKVELDQLIISILDDPSVSRVMREAGFSSAAVKSTLEEGGAMLPSLGGHHVCYSSSSPEPHIDLDAHAASGGGAPWPAQFLHRPDTGSSCKEEDVRAILEVMVRKQGARPNPVVVGDSVSVAEASVAELMRRLETGDVPGELRGAHVLRLHLSRVHLRLMTRADVDAQVAELRRTANSIVVDAKAAGLVIYVGDVRWAVDDDDHHHHHALAEYSAPEDHMVAELARLMSELRAASRGRAWLVAAASYQTYVRCQQRRRRRRAPSLEATWSLQAVVVPAGAGADAGTGLSLGRRAPPAPPPSRVAEDDQIAKLGEIPTLDLALGGDDGGVPALCAECANGYEKEASQVRAKADGTTLALTYFPGWPHANEPQTSHKAELMELGRKWGILCQRVHSRSHNDQASVPSPMPWWCRPSSVSRDGEARTELNPSSAGLRLSFGTPGDHDRSESVDERGADTTLSLMPPDSAAAATTWQDTRGRWSEGGGGGADGEMMTVNGLDATVDAVSIRRVWLEQLLLSGDLKRKAEKGRLSGEPKPRRRGGVSLDLNICAAADDDDDGGDSEEEAAPSDLTNEGGCDGGGEPGRLDDSLDSHE >ORGLA02G0139600.1 pep chromosome:AGI1.1:2:13380326:13380682:1 gene:ORGLA02G0139600 transcript:ORGLA02G0139600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVLLLVRLDTAAVDPTMVANLRDLIGSLNGKTFYLACDSQIVTAAAGDNDPGMFHLRPQPSLLAGFPDKVASAINALEELLRKVIPALAAYEHHVIFLKRAQQKEAVDAVDGGGS >ORGLA02G0139500.1 pep chromosome:AGI1.1:2:13377376:13379432:-1 gene:ORGLA02G0139500 transcript:ORGLA02G0139500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDKLDLILRRMEEFKRRRVEADQRRRAEYQSLKAAVESWMPEIHKNAKDLQILVGDEQSKCIRFFGVXXSNQXDMYQASVVSWMTSKGNPLGYLLVLKFLKDVIQRNQALRQQKHKYHIYSTHSQNYXMCTXPLLKCLSPKEAKKFVVGRELLSCKSVXSSGYWQGTSILLNLKYKYWSFYXGCSLAVXVKNTLVILLILSYQDHFNLGHIFLTFILQRSTFLTISLMLSCGVQFLSRIYINSGTLVALVILYIGLGTSRNLRRGDC >ORGLA02G0139400.1 pep chromosome:AGI1.1:2:13358454:13361300:1 gene:ORGLA02G0139400 transcript:ORGLA02G0139400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAHKLRFVRCPKCLQLLVEYPSISVYQCGGCGAVLRAKNRFMSVTQTGSKPDEHDNISSSLNGSSQDNESACSDGQKIDSSSAQPNEDAVEENIPSTTKDAKSCEAVNQEQNTTTVQSVTPTEDENKEKCPTTDADIRDTGCMVKVPHNMCTGADSSPMLIDKVENIGTSENTDLGKGYSYDCVSDGNAGSDVAAVHIAGEEPGAISNHSMKGEVDSVTDQIFSVSNKNVNCKELDERTNLCKETEAKSCNELIQMEERSQPNEGFHVESHEDLIEELERSLSFSDDEESLLDATGNNELNEALQFQIGSRRFSPGSKMNDASRSDPHGRLIEELERSFSDAEEAAEQHVVVVDKVITERDFGNEHGKVPTSLVAESGHPCEGNISSYDDGHQKSGQSFQQNELTADETEEKEHGLLENDSKINCIHGNEHAMVADNDIAEIHSEHDKDPQLLDGESAKLCEGTISSFDGHLKSGQCFQEDEPTADGNKQKEESHMGNNNVTDCAHEDNAAVVGFSSLSNDGIHCKSPIFNEKEEERSDKYRANQLYQGLSLDSEDFMSIQNFIESQMDGTSSSLSSGSPNQGNLSLKSSTKFKFDRLERLKKIDELRDQLNRLCSKKRLENRYRMKGLEYQPQLSSYYVDQHSQNVDADSIQSSSTLGSYYWNGKQPSYPPRNQFSPPHSCTHCHFGHVETHMPHNYGAWDEFNSYYQPSYAGSSIIDHDSLNSSYKEQKRVVRKHILRPVSGASPFTVCNSCFNLVQMPSDIYISKTKMGKMQCGQCSKVLVLSFPAIHHACANSSKEVASKSNKHKGSIVVKPEDAASHSAESFTRDPVSMNEEYGASFTRSFSTQAGSALAASQSGKNVSDSTLHRLMGYDSASQLLHDLRHSKVYDDGYESFESMVPVSSRVSRRKNT >ORGLA02G0139300.1 pep chromosome:AGI1.1:2:13348712:13349920:1 gene:ORGLA02G0139300 transcript:ORGLA02G0139300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G45790) TAIR;Acc:AT5G45790] MASILFGQRNLCVFPLRRHILDEVYQHGPYNCTIQRRWKKPVDSARTRLEGRTRDHKLDKLMIQLKNLRLALDLHELISQQRNKYASLQLLSRWRHEVGLNIEIGAFLKKYPHIFDIYVHPIKRNECCKVTPKMSELIAQEDAAIRENEPAIAKRLKKLLMLSKDGTLNMHALWLVRRELGLPDDYRCSILPNHQSDFSLSSPDTLTLITRDENLAVADVEEWRAKEYTEKWLAESETKYAFPINFPTGFKIEKGFRGKLGNWQRLPYTKAYEMNELHPIRNIERLEKRIVGILHEFLSLTIEKMIPLERLSHFRKPFEMEVNLRELILKHPGIFYISTKGSTQTVLLRESYSKGCLVHPNPVYNVRRKMLGLILSGCCGVDEMDSPLWFSEEHNQESSNES >ORGLA02G0139200.1 pep chromosome:AGI1.1:2:13341812:13342048:-1 gene:ORGLA02G0139200 transcript:ORGLA02G0139200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQRDVGNAVASTPPTRRRAAGRRMGKRLGARRRAVGRRMGKQRGEEGTGTPGAGAAGRQQCRAQGCGLWACGGWRD >ORGLA02G0139100.1 pep chromosome:AGI1.1:2:13253735:13254025:-1 gene:ORGLA02G0139100 transcript:ORGLA02G0139100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKIAPAANLKQILRRCSSLGRRQQQQGAVPRGHFPVYVGESRCRYVVPIACLEHPDFLLLLRKAEEEFGFEHDAAITLPCHEADFEALLAALTA >ORGLA02G0139000.1 pep chromosome:AGI1.1:2:13228952:13229278:-1 gene:ORGLA02G0139000 transcript:ORGLA02G0139000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGSKKPGQLKQMLRRCSSSLGIKGAGGDDDGLPGDVPRGHFAVYVGISRRRYIVPMACLAAPEFQELLRKAEEEFGFDHDMGITLPCDEATFHGVLLSASATSIR >ORGLA02G0138900.1 pep chromosome:AGI1.1:2:13222403:13223535:1 gene:ORGLA02G0138900 transcript:ORGLA02G0138900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPAHQSVVYLLLVFLVSVSGVRSKTFTVTNNCGYTVWPGILSAGNSPAALDCTGFALAPGESRTMPAPHGWSGRLWGRTFCSTDPAGRFACATGDCGSGRLDCAGNAAKPPATLAEFTLDGSGGMDFYDVSLVDGYNLPMLVTPRGGVTGENCAPTGCMVDLNGKCPVELSVASPAAAGGGGVACKSACEAFGSAQYCCSGEYGNPNTCRPSAYSQFFKNACPRAYSYAYDDATSTFTCAGGDTSYDITFCPSTASVKSVGSDHGMVYAGGAQLVSSSPRVAAKTWLPPGVIVVGVVLLALSRAF >ORGLA02G0138800.1 pep chromosome:AGI1.1:2:13160511:13169299:-1 gene:ORGLA02G0138800 transcript:ORGLA02G0138800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASTAISAGRVVVVAGAAALVVVLLCAAPAPAAVEAVPAGAEVSEFPGFDGDLPSKHYAGYITVGHQPLKRHMYYYFATSERNSTTDPVIIWINGGPACSGFSAFLHSIGPFKIEGPMIHARDEPRTKLNPFSWTKMSSVLLVDSPAGVGYSYSENEDDYVTNDTSRVLDLYDFLSKWFSEYLEFLSNPFYIAGCSYSGVIVPVLAQEILKRNEDNGRIKINFKGYSLCNPAVDVEIENNAFVPYAFRMGLISDELYQNLVSTCNGKYWNNKGPSCLANLEQFHKQISGINMEHILCPPCRYQMGITKEANEEYDFGQMFELLSESTEYGLECNNQELVLEKLFDTKSSREKLHAKPIEILQKWKRCPNFIQYTRDIPTLTEYHLNVTSKGYRVFLYSGDHALLVPFSATLEWLKTLNYKEIEKWHPWFVEKQIAGYSVRYENNILFATIKGAGHVPSDYLPFEVFVAYQRWIDGSDSL >ORGLA02G0138700.1 pep chromosome:AGI1.1:2:13154971:13155216:-1 gene:ORGLA02G0138700 transcript:ORGLA02G0138700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRHASHGGGEPASAQQKQRQRSCDDSQLPASSLNPTAARLLREAIVSAPAGGGEKTAAEGSSDILAFARAVDRVDSPLE >ORGLA02G0138600.1 pep chromosome:AGI1.1:2:13154400:13154603:1 gene:ORGLA02G0138600 transcript:ORGLA02G0138600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVLVCDEPERVVATYQAPGRCPYCGGGVVATDVESAPRLCFLPLCFRLRRRFFCSLCSRRLVSVA >ORGLA02G0138500.1 pep chromosome:AGI1.1:2:13130435:13133787:1 gene:ORGLA02G0138500 transcript:ORGLA02G0138500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PREFOLDIN 1 [Source:Projected from Arabidopsis thaliana (AT2G07340) TAIR;Acc:AT2G07340] MADEANRAAFVELQGRMIDTTGKIKQLQTQMRSKEGEKKRAYLTLEELRQLPDNTNTYKTVGKVFILEPKSLLLNEQEQKLNDSESAIASMQTSKEYLEKQLAEVENNIRELLQQDPGLARQILSMTVQ >ORGLA02G0138400.1 pep chromosome:AGI1.1:2:13127647:13128592:1 gene:ORGLA02G0138400 transcript:ORGLA02G0138400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFPAAARGGEQGGGTAGQLVATPSRLRTAVASMLNRTGHARFRRAAPVVVQEEEDEAAAAARDAVVRCDGLSASASSSFPSSVTGVTGDGSVSNARAVLPAAGAGDKPPPMQSASDYASDGRLKRSSDDDGERCHCSKKKRKASWRARRRIRVPAISSRNADIPADDYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPGEPAMLIVTYDGDHRHGEPGHRRPDEAATTTEHRTTDQTTGRLL >ORGLA02G0138300.1 pep chromosome:AGI1.1:2:13123201:13123491:1 gene:ORGLA02G0138300 transcript:ORGLA02G0138300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQHPQPPAGSRLLDAVPLFVVVLLAAHVLALVYWMYRLASDKQPPRRKTQ >ORGLA02G0138200.1 pep chromosome:AGI1.1:2:13115349:13118948:-1 gene:ORGLA02G0138200 transcript:ORGLA02G0138200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVRGDVSRALMYMAVSYGSDQKDGAPHLELSDSPSIQSRKMGLLSALLKWNELDPPSRSEQLRNNRVCSLYQHNRNPFVDHPEYANLIWGNSLGESSSSVRTFPEAWVNEFHYENKGKDENEFVELAVRTSLDAKDLTLILYNGANGRMYNSLNLDEKDGFSVAESSSSSSYLIYTAFITLQNGPADGIALVYKNGNRKEVLDFLSYEGSMRALDGPAKGMVSVDMMLKETDESSQQDSLGLTGNKIGDFAWRKLEGYATPGKLNVGQMF >ORGLA02G0138100.1 pep chromosome:AGI1.1:2:13109298:13110608:-1 gene:ORGLA02G0138100 transcript:ORGLA02G0138100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRREPDARNNRTIRAMSTGIKPTDPAKGLRRARSVPSSPDRKLSPSHDASSSNAYRPSSSFSTRTGTSRSTFGSASSSIHSSKAPQTSSSTTTAKPANTTKGKADKSGGSSVWPPALTARNRSSKDMNRTAKSSSAMQKSNLSSRPGVDKMAASSAKQRTQKATPGALAGGKTQAVPSVRAPGTTTKKTMGVANSVPSIQRTSIPSRPIEAPKVNEQEVELLMEFDEMESISTPSIEEHLQERLPDPVELKQVDVIAYLLFGDNPSERASNQHEDKNEEVVELISEEKHQVPDNNSFNGRDNADIGINSKVQAVKEAIDNSELKEAANETELKEAVDETELNEVVSESELYKDVNTTKYTEDALEPMLIEKEEAEENVEMVVPPKKTLKPVQGWSKDDGKSNEMKEEGRSKPTEERKSKVMALIGRFETAMSG >ORGLA02G0138000.1 pep chromosome:AGI1.1:2:13105499:13105973:-1 gene:ORGLA02G0138000 transcript:ORGLA02G0138000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLADHPLDTLRIRQQQPSSPAIRPQSSCPDRKRACAEPEEEREEWEEEAAAGGHSLDHQGYPPLYEEFPRKCPQLVRTPIECPWLCMPITLEY >ORGLA02G0137900.1 pep chromosome:AGI1.1:2:13095962:13098631:-1 gene:ORGLA02G0137900 transcript:ORGLA02G0137900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSPSPPPPPMIGRAGNLTVFITPPSPASTPRSSRPSESPRSGFSTPATAPRTAASPSPPSPAPSPQQQRVASPPPTIPVKFSPPAAPVKVPPPPPVQVPPPQYEKASAGGKHDGSAFGFFWDAVARVQEAHASLDEYVANWFGLDQSKYQWALNDYYESTGKEVECGKAGKPKELTTSKVQKV >ORGLA02G0137800.1 pep chromosome:AGI1.1:2:13089499:13090098:-1 gene:ORGLA02G0137800 transcript:ORGLA02G0137800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRRPDREKPRDRDRERGRDGERDRELDRPRDRDHRDRDRDKGRDRDRDRDRERRRGERDRKRSRSPSADRSHRRHSHSHSHRGRSSPSPDAGRHKRRRDGSPAAAATDHKDDKKPEAPVVPKSAAGDGVAPGDGDVDVEELEMMKMMGIPVGFDSTKGKHVPDADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >ORGLA02G0137700.1 pep chromosome:AGI1.1:2:13088037:13088366:1 gene:ORGLA02G0137700 transcript:ORGLA02G0137700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEFATPLSHDKEHIDAYHDGELPRYCTMEDLLGDQSVPGLVPRDLEAQLHLACDDGEPQSFAEAERHAAWRAVMQSEMDAVQENRTWELADLPRGHRAITLKWVFKLKRD >ORGLA02G0137600.1 pep chromosome:AGI1.1:2:13080276:13080638:1 gene:ORGLA02G0137600 transcript:ORGLA02G0137600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAAATSPAGLLHGAGGSDELGHSSRVYRPASGRVPFEWEDEPGKPKSPPPLDAAPPLLCPSPAMQSARLTSRGDGGGGRRGRKRGKEPELDGCLPVKLQLGRAMKRWHLICFFRGE >ORGLA02G0137500.1 pep chromosome:AGI1.1:2:13072396:13073310:-1 gene:ORGLA02G0137500 transcript:ORGLA02G0137500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKNVSSSAMPLLLVAGTVVLALLASPAAAFNITRILGEFSDFSTFNHLLTQTKLADEINRRQTITVLALDNGAAGGVSSLPSDEQRKVLSVHVVLDYYDTEKLGGMKMKNRSAVLTTLFQSSGQATDRMGFLNYTKRSDGIMVFGSAEPGAQATSQMVKVVVTRPYNISVLQVSSPIVPPGIASVSNSNTGAPPPHPAKSSAPAPSPSKSKGKKSDAPAPGPSDDVDDDTAADAPGPAVDGPTADGPAADGPAADGPTEADAPAHDKGDVADAPSAAGRAVASSAGLGVVALVVLIFPSVSL >ORGLA02G0137400.1 pep chromosome:AGI1.1:2:13066257:13067000:-1 gene:ORGLA02G0137400 transcript:ORGLA02G0137400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEARRLEAEAARLESAHGDGGGAPEVGWLEAAHGRRWRQRREAHADAVKRRREGAKEDGDGDGDGAQEDGDVRFYSAHPIPASLSNATALVALNLANNSFAGQVPAEIGTLCALSLELSNNKLTATDAGGGWEFVDNLTKCGALAEILLYGNKFAGVMPSFVKLKNLYCI >ORGLA02G0137300.1 pep chromosome:AGI1.1:2:13060573:13064412:1 gene:ORGLA02G0137300 transcript:ORGLA02G0137300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGELEGFRRLSGLAFDELSRRDLSSASGPPPADLPALLRLCLLSLPLSADAELALRRCTRLLASLRGILCRDLDPSLLPALEVFLDNLVSSNQLMTCFTAANAVMPRRSRITSLGSVCSGGNLFVMELMSHHFISSVQDEEGFLSALSWSAKAKLEVPEIGLSGALSLLHKSCLLSIPPAVQAHFLLLACRCADNGDLNMNLLAFEHAMDVYLSYLPALGVFRRTSGVKRPLGCSMKRRPLSSCLQAATHQKLACDINRLVLFCNLHSNDDLPINESDIVRFIEENQQVLHEQSRQDTITAVKSIVSNVLLLAKQEEMDRLYPNVSEEIICLAAALRLMGSSFIRIMHCIRQMTVGDGSQTTHCLEPCKVFNIVSETISLLGHYEPNELQRNDLFDTIGKPGDGQQGAVLMLSHFATLSVHCLRMRFNFMWKGCIFMMMMANLCATEMYHFLIDGSKASKVRCADQDGSLKASVPRKSSTVIALRFQNTQQVYIQDKLGPGFGEVCSSDSLQRCTSSYGRDNVRTILKGLTGRGEDSSDLYDFIEFNPSLDYSNWWTQRKKFKKFKDHKWIRSKRHSMSRLRASKVWLR >ORGLA02G0137200.1 pep chromosome:AGI1.1:2:13058545:13059168:-1 gene:ORGLA02G0137200 transcript:ORGLA02G0137200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTVLHGSLKPLWPATASSKNSIVRSQKGSWQVTQISFIFQDKSLRGIRGGRPSTVALPLTQEPPMKLHSIAAIAYQ >ORGLA02G0137100.1 pep chromosome:AGI1.1:2:13050147:13050788:-1 gene:ORGLA02G0137100 transcript:ORGLA02G0137100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKMTLLPVLLLLAAASPAALGAFDVNQMLADKSQYGSFLKLLTQTKVAEETNRLKSASLLVLQDKAMKPITSLPADKQRMAMANHVLLKYFDPIQLGEMKDRTAMLPTLLSNTDKKLGVVNYTKASDGQMYLGAPGAACVAKLVKVVAARPYAISIMEVSEAILPPALGGSGGPGRRAKGGKGKVKPKSSDADEAAAKPATEPKATDVPK >ORGLA02G0137000.1 pep chromosome:AGI1.1:2:13020159:13020565:1 gene:ORGLA02G0137000 transcript:ORGLA02G0137000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTGTGSGGEVASQEALAAGEWHXSLDALALAIRETASQEALAAGGEATSRHCGGGVARVRRRDGDWPVRHACASGLWRNGGADAHESGRRAGVRQWGIRIRSTLNINGLDGLAY >ORGLA02G0136900.1 pep chromosome:AGI1.1:2:13014290:13014658:-1 gene:ORGLA02G0136900 transcript:ORGLA02G0136900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWVFKDGIVRRVENPGSEESSSAGDGGRGGRRKVLVHVPSGEVVASYEVLERRLRELGWERYLTDPCLLQFHQRSTVHLISVPRDFSKFKLVHMYDIVVKTRNVFEVRDAAAPAVSPAT >ORGLA02G0136800.1 pep chromosome:AGI1.1:2:13005519:13005908:1 gene:ORGLA02G0136800 transcript:ORGLA02G0136800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRSGGRRPANAIGASSRPNFGNTNPAHWPEIALPLLLRRRSTHRLPLLPPFPAGAVCGEGGRGRGGRREMGDGSGGRLVLLTASYCCFHARLPPLAFLARFPDAEDDIIDLLVALGLRAMEEKRRKKG >ORGLA02G0136700.1 pep chromosome:AGI1.1:2:12994803:12995129:-1 gene:ORGLA02G0136700 transcript:ORGLA02G0136700.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDLVLVAVNEAAATGREGFGRCLTDADLDELRGSFELGFGFDEEKGGAGLCDTLPALDLYFTVNRQRLSDGSKLRSPTSTLSSSTLISGASSSPQFSPRRRMDDLLSR >ORGLA02G0136600.1 pep chromosome:AGI1.1:2:12989917:12992005:1 gene:ORGLA02G0136600 transcript:ORGLA02G0136600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G42120) TAIR;Acc:AT5G42120] MPPRCRRLPLLFILLLAVRPLSAAAASSIAAAPASSYRRISWASNLTLLGSASLLPGAAGVALTTPSRDGVGAGRALFSEPVRLLLPQDAAASASASRAATPASFSTRFTFRITPSPTYGDGLAFLLTSSRTFLGASNGFLGLFPSSSASDEGELRDVSTVAVEIDTHLDVALHDPDGNHVALDAGSIFSVASAQPGVDLKAGVPITAWVEYRAPRRRLNVWLSYSPSRRPEKPALSADVDLSGLLRTYMYAGFSASNGNGAALHVVERWTFRTFGFPNSSYAPPPTNPLPSPPPPSPPPPPHPNHRRRHLFYKVLGGVLGGMVLLGLVVVGSAVLLGRSVRRKNQEHAVASEDMGEATLSMEVAWAATKGFDSGNVIGVGGSGATVYEGVLPSGSRVAVKRFQAIGSCTKAFDSELKAMLNCPHHPNLVPLAGWCRSKDELVLVYEFMPNGNLDSALHTLGGATLPWEARFRAIYGVASALAYLHDECENRIIHRDVKSSNVMLDAEFNARLGDFGLARTVSHGGLPLTTQPAGTLGYLAPEYVHTGVATERSDVYSFGVLALEVATGRRPAERGISVVNWVWTLWGRRRLVDAADRRLQGRFVADEMRRVLLVGLCCVHPDCRKRPGMRRVVSMLDGTAPLILVPDKMPPVLLQPVPNASSMNSADTANTAFFSCR >ORGLA02G0136500.1 pep chromosome:AGI1.1:2:12988847:12989080:1 gene:ORGLA02G0136500 transcript:ORGLA02G0136500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHESGPKSSRSGGGGGEVPRRRHSSPRGFLPDYSCSKHCILDGLKGEADGTRVKTSSFPTSFRHPEGDAEFNCFDE >ORGLA02G0136400.1 pep chromosome:AGI1.1:2:12987128:12987304:-1 gene:ORGLA02G0136400 transcript:ORGLA02G0136400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHMKAIQSSSELGWNIPSLHRGCRSGSRLRQSPLIKAVAVAHQLPIRGASLAQIEIN >ORGLA02G0136300.1 pep chromosome:AGI1.1:2:12984546:12985982:-1 gene:ORGLA02G0136300 transcript:ORGLA02G0136300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin family [Source:Projected from Arabidopsis thaliana (AT3G05330) TAIR;Acc:AT3G05330] MVARSPDARQSRQTAAAAAAAAALNPALVRETLKKVDRCMARLQELQYTVAGGAKVVSGVSLSPRSTRGYLRTSLRCKQETVRQVLDPDPLMRATPARKTSPNGKFGGSDGGATQWRRMSLPAMLLGETVLEIVQASKFASDIVAVVDAGANKNREAPKTPNPVTRTRKVNAEATPLRARRAREKQSQRSTARAEASTPPSRGRVRSRIQFKPASPLGRPSVSANRVSPRNRPWAKKTVMFPNPAFLASTSSAAYDSPSPSKKQKRFYKTRSPIIARQTPHKFLVKSPPSSLGSKLKSHGKLLPSRPFTVSPPGKLQVAAAAASVSKTRRCTFPPSRLVSRLVSSPGKAQAAASNNNNNKGRRCSFSPSRLATRLVSPIKARLSLNRSRDGGVHGGGGGMVCGLKQRPGVSMTVRTVSSRIPS >ORGLA02G0136200.1 pep chromosome:AGI1.1:2:12963583:12964092:1 gene:ORGLA02G0136200 transcript:ORGLA02G0136200.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSVIPLWKQVDYFREYKRRLAAHLGAAEAEAVVSDAVYAISMGTNDFIENYFAGTTRRYLQFGVGEYTDFLVGLARGLLVELYGLGARKVAFTGLAAAGCLPLVRARRMMFCAEEYNAAARAFNGALRGMIAELADGLPGAQLRFADVYDFFADILGDPARYGKPCIYR >ORGLA02G0136100.1 pep chromosome:AGI1.1:2:12939888:12941722:-1 gene:ORGLA02G0136100 transcript:ORGLA02G0136100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMKLPATFLSFSSHQPRGSSHCSVASAAYAAEPRLGEGAVVSKKTPNRSPCRTMAKVDDKNDLCRMNQAKFEPSIWGDFFLSYCNPLAYSDNQRSMEERAEYLKKEVAKLIVNSRTDSLPEKLHLIDVLERLCVDHLFEEEINAVMDEISDADVSDCELHTVALWFYLLRKHRHRVSPDVFLKFRDEDGIFEAEDARDLLSLYNAAHLTTHGEGILDEAISFTKRQLRSLMPKVVEGSLAHDINSALEIPLPRRVRIYEAKYFMSRYEKGASVNEMIMELAKLSYNIMQIHHXQELKIITRWWKDLQLETRLSFARDRVVECYFWIAGVYFEPCYSRGRIILTKVLAIVSILDDIYDVYGSPEECEQFTKCIERSVFSHIWIKLFIYCE >ORGLA02G0136000.1 pep chromosome:AGI1.1:2:12791490:12791747:-1 gene:ORGLA02G0136000 transcript:ORGLA02G0136000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLPWIEMERRVLAEERKGLLRIKAKAIGESVVTEEHDGWEASSRLPRSIKRVRERGPTAAVPWRGA >ORGLA02G0135900.1 pep chromosome:AGI1.1:2:12776321:12781591:-1 gene:ORGLA02G0135900 transcript:ORGLA02G0135900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRAAAVAAAAREEEEASPAQRLVEAALRGDVATVEACLAAAAAAAAADDDTDDGVPAASRVGVARLRVRCAEVALREEAAGEVIVESRELKTDVSPLFAAAHAGHAEVVRTLLVAGADVNQKLFLGYATTAAAREGNIHILEMLLQAGVTREACEDSLSEAALFAEAEAVRLLVCSEMIQPEAAAHALVTASSRGFDDVVVILLQNGVDVNSMARVLLRSVKPALHANVNCTPLVAAVMSRQISTVKLLIEEGSYLDCLVQVGSWCWDSATGEELRVGACLGEKYNAIWCAVEYYECSGEILKLLLDKAPWLLETPRKGRNLLCHAILCQNPNAVSVLLNAGANPRFPIMMTNGTHVSYPIHFAARLGHAPVLKQLMLDGANINAQTSTGDTPLMVSARCGHSDCFLELIKSGADLGIVNNAGDTAIMLAKKSSFSSTIIDILSRALSCGGCITSSDITVFSPLHFFAASDSAEALLMTLHYSAADLNRPDGSGLTPVMVAAESGHADIFRLLVMAGADIAATSAEGKSAMSIIRSRAPETRDRFEQILLQASLADAIAGQQPSFRPLHYAARIGDTSSLTQLLKMGHDPNAMDEDGYTPLMHAAAAGKLEACRALVSRGGAADAGSETALSVARRSGRSNKATEEWLLDHVARAHVLAGEELTKHTRGGRGPPHRKAVRMMRSGVLTWGATRRRNVACREARAGPSAAFRRRNRRIIRTGSEEQLILMVETVTGREIHFEATSASSVELWVRGINLIVQDCAWSRPDEAEQA >ORGLA02G0135800.1 pep chromosome:AGI1.1:2:12771709:12772576:-1 gene:ORGLA02G0135800 transcript:ORGLA02G0135800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQIVRKSTGGKAPRKQLAAARKSAPCIGGVKKPHRYRPGTVALREIRKYQKNTELLIRKLLFQRLVREISQLFKHDMRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHSKRVTVMSKDVQLARRIRGERL >ORGLA02G0135700.1 pep chromosome:AGI1.1:2:12722435:12725089:-1 gene:ORGLA02G0135700 transcript:ORGLA02G0135700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G40030) TAIR;Acc:AT4G40030] MRRQSTRGRSTGSGDGEESAAPAAGMWRQSTRGRSTGSGGGEESEALAAAIHAGKISREDAAARRMARTKQTARKSTGGKAPRKPLRAIAAVMPAPSSRGVARKSVPFIGVKKPRRHRPGTVALREIRKYQKNTELLIRKLPFQRLVREIAQHFKHDMRFQSHAVLALQEAAEATWWVCSRTPTSAPSTPSASPSCPKTFSSPGGSVARGS >ORGLA02G0135600.1 pep chromosome:AGI1.1:2:12711126:12714395:1 gene:ORGLA02G0135600 transcript:ORGLA02G0135600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDSFVTRCTASLEDFAGQEACGALGIGDDVRCLLATLRRVQAVVSHEERRGRVLSAKVDAWVAQVKDAMYETDDVLDVSMVEGGKMLAEGDSPPTPKARCSLMFSCFKPASAPKFHHEIGFTFREIDAKLREIEEEMPRLPAGSLHSESRRDWFSRGICSNFSDAIRPLAVGTQVQKSLDGLVPRMIREGKKKVDVLAIVGAVGIGKTMLAREIYNDERMTETFPIRVWVKMTKDLTDVDFLKKIIIGAGGGVNVGEIESKKELLGIVSSTLSKRFLIVLDDLDKPGIWDDLLKDPLGDGVARGRILITTRSEEVATGMKAMVHRVDKMDAENGWALLCRQSLPECSSEELASLKDVGIKIVERCDGHPLAIKMVAGVLRSRGKSKAEWEMVMRSDVWSMRPIIPELPQALYLSYVDLPSELKECFLHCSLYPEELPIQRFGLIRRWIAEGLVSDKDNKLLEDSAEEYYAELVSRNLLQLYAGNLDQCWITHDLLRSLARFLITDESILISGQQRLSTDPLSLSKPRHLTLCNMENRFDDPISVKQQMSLRSLMLFNSPNVRSIDNLVESASCLRVLDLSKTALGALPKSIGNLLHLRYLNLDETQVRDIPSSIGFLINLETLSLQNCQRLQRLPWTVRALLQLRCLSLTGTSLSHVPKGVGDLKNLNYLAGLIIGHDNGGPEGCDLNDLQTLSELRHLHIENLDRATSGASALANKPFLKDLHLCEQAPLIEEQQSEQEQENQDDQKETEEEEKEVLDVTNSQFSREESIKASEKIWNELTPPQNIEKLVIKNYRGGKFPNWLTGPKLGISFPSLVYLDIDNCMSCTALPALGLLNQLQSLQISNADSVVTIGPEFLGAASSSSATASFPKLEILKLRNMKKLEEWSLAVEENQILLPCLKSLHIQFCPKLKALPEGLKNVSLCELHVEGAYSLTEIKDLPRISDDLQLKDNRALQRISSLPVLQSLTIDNCPKLKHVSGLDTLQHLRLAFPPSTETFYFDELIIFWSIAFPRWLELLIRKRDGLRHFELQCSLSLLRSCLDGGKNWSIVQQIPEVRITSTDGKRYIRYNKRRCIYETNAQSED >ORGLA02G0135500.1 pep chromosome:AGI1.1:2:12699609:12699971:1 gene:ORGLA02G0135500 transcript:ORGLA02G0135500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQHLGRQQRLGRLGGGGDKAASVRGDKEVVMARGGEEVTAVLHRLWGSDEASSAGGSRSVRWRGNTALRVLAGHPPVAQAPRSRFISSSLTLASQSHDSVGSSAGWGRGCSLRSGSTS >ORGLA02G0135400.1 pep chromosome:AGI1.1:2:12675687:12689305:-1 gene:ORGLA02G0135400 transcript:ORGLA02G0135400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVLLAKTLGVSKLVVVINKMDESTVGWSKERYDEIEGKMVPFLKSSGYNVKKDVHFLPISGLLGTNMKTRMDKSNCSWWSGPCLFEVLDCIQVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTISEGDNMLVMPNKANVKVISVHCDEDRVRSAAPGENVRVKLSGIEEDDITAGFVLSSIKNPVSAISEFRAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDLKRRKEGDIKKKKSKRKPLFVKNGAVVVCRVQVNNLICIENFSEFPQLGRFTLRTEGITSNRTQISHDFECVCLSKLL >ORGLA02G0135300.1 pep chromosome:AGI1.1:2:12671782:12673026:1 gene:ORGLA02G0135300 transcript:ORGLA02G0135300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSTIVPLCLSLLLFFQVSIAQFSFGGGPLYSSHGFRGDSVSQHQCRFEHLAALEVTHRDRSEAGFIEYYNTEVRNEFHCAGVSVRRLVIENRGLALPVYANAHKLLYIIQGHGVFGMALPGCPETFQSVQSAFEQSSTQKLSDEHQQLHKFRQGDVIAVPAGVAHWLYNNGDSPMVAFSVIDFGNNANQLDPIPREFFLAGKPTSWQQEQYSYQAEQQSDNQNIFAGFNPDLLGEALGVSRQTAMRLQELNDQRGAIIRVAQGLQALHPSFQTEQVQEEQSQEQQQQPTWSGRGCAQNNGLDEIICAFKLSKNINNAQSTDIFNPRGGRITRANS >ORGLA02G0135200.1 pep chromosome:AGI1.1:2:12665618:12666620:1 gene:ORGLA02G0135200 transcript:ORGLA02G0135200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFILQQMVQKEEPKDQATLIKEVSKFQGCYEQIERRHPSGIPHQELVLEAEALYSSNAPKNRAFQFNHCWFKLRNSPKFQTLESHKRPRSRKSSTPIESAGEEDEEGDDARKSTTPDLSQPSAKKRPMGRKEAKEQLKNGGEDGPYKEAMKDLLDAKEKEAKVKEERWKETKEIQEHKLLFAERKLVWDQEQKIMFCDVSTLEPDVRTYVLAMRAQIAASKVAALNGGFDGSSGFGDEFGGGNXEV >ORGLA02G0135100.1 pep chromosome:AGI1.1:2:12659565:12664020:-1 gene:ORGLA02G0135100 transcript:ORGLA02G0135100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: COG4 transport (InterPro:IP /.../7), Pentatricopeptide repeat (InterPro:IPR002885); BEST Arabidopsis thaliana protein match is: Pentatricopeptide repeat (PPR) superfamily protein (TAIR:AT5G46100.1); Has 26268 Blast hits to 8959 proteins in 289 species: Archae - 0; Bacteria - 3; Metazoa - 247; Fungi - 222; Plants - 25350; Viruses - 0; Other Eukaryotes - 446 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G01400) TAIR;Acc:AT4G01400] MAVASYPLPIPRSPRPDAALPPDASTDTAPSLDFGDPASLVALRALTDAGAATRLLHECVAYQRALDARLDALLARRPDLDRAAASLLRSAPPLLSLAASDAAALRDSSSSTAALAEALSSRVRHLDAAHSRAEAALARAEAALDRSRALDAARRALAADDLTAAATAAHDFLAIDARFPTDDDLRRDLLDIKRRLEGLARRRLADAVDALDHPAVLRLVRLFPLLALADEGLQVYVAYLKKVVALRARADFEHLAELTSATQPTSERPDFVGCLTRLFKDIVLAVEENDAVLRELRGEDGVAYAIIELQEECDSRGTQILRRYADYRKLAHLASDINSYTKNLLSVVSSVANAAGGNEGPDPREVELYLEEILSLTQLGEDYTEFMVNKIRGLRDVKPELGPQAMKSFRNGSFNKMVQDLTGFYVILEEFFMVENVRKAIRIDEPVPDGLTTSMVDDVFFVLQSCCRRAASTASINSIFAVLGGAASLLSNEYQEALQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNMDVSSEYVLKLRHEIEELCSEAFHAPADREKIKSCLSELGEISASFKKILHSGLEHLVASVAPRVRPVLDTVATVSYELDDAEYGENEVNDPWVQKLVLTVNTNVAWLQPVMTSNNYDSFVHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQRPVRDKFSRLSQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEVRRVLGLRIDFRPEAIAALRL >ORGLA02G0135000.1 pep chromosome:AGI1.1:2:12654564:12655382:1 gene:ORGLA02G0135000 transcript:ORGLA02G0135000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPLPTSIDGGQVLDDMEVVEMKYLFGKALMPSDVSWDTEQLVIPDEHVGKLLDMVVMNRPEGGFFVVVVEDGEVTGKLWLFRYWKRDDVHCLTKGWGCYAREKGLRAGDTVSFFHSTACGRFFICCRRTCMSFLSLPTTSHRIHGSSVLPQPRAAQAAHHPFSGHATLCLGNKASDHSAPARHATASLGCAAAQPPQVPPTPTPRRRRRSMMVHPEPPEHTTDGMPVILESMALVSTPPVAKRVRLFGVYIDVPPLRPGGEATQDFNP >ORGLA02G0134900.1 pep chromosome:AGI1.1:2:12651134:12651937:1 gene:ORGLA02G0134900 transcript:ORGLA02G0134900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLPLSAAIVGAEESVDKEVLEMEYLFEKFLMPSDLCSNTEWLGIPEEHVRKFGMMLEDRDGYSVIFFQDGVVPGKLWCFRYWKSNGVHGLTKGWRCFVREKGLKAGDTISFFRGSACGHLFICCRLGTRATFASSSTLHHGFSMPPPPARPLVGLESGMLARHVPSLGQARLHDGNQDGSGAPSRHVPSSGRRVEAQLSRVSSRRQRCTMKHSIPEPTIETPPILESMFLIAAPPAVKCLRLFGVNIYVLPVSSSGQPKQESSP >ORGLA02G0134800.1 pep chromosome:AGI1.1:2:12628234:12628679:1 gene:ORGLA02G0134800 transcript:ORGLA02G0134800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNISPFDFILSGAANRRKRCRAHRSSGARSAHRRSHIVAVAINLDQAGASHHATSFTSTSSPFTLKKPIQWRSDLGGRHRLFQLRSTASIIDSILSDRAADVIPGVVPCRHGASPSL >ORGLA02G0134700.1 pep chromosome:AGI1.1:2:12622797:12623875:1 gene:ORGLA02G0134700 transcript:ORGLA02G0134700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTPLRCLLSTPTHHLYTRTIHLFPIVPVRILEVLVLFLLREAGMKLVWCPEMASKAYIDGVRALAGHDLAGAAADVAELVSAMAGGWNARLVVEXXXXXXXAAAATSLALAAAARRTGGRYALVLPDRDAAAASAAETAEVVVGEADEAMAGLHGVDLLVVDARRRDAAAVLRAARPGARGMVVVRHGDGRQRGAKDLAASMAAGTRVVRSVYLPIGKGVEVLHVGVGKGPSLQNHRDRRSTSRWIRHVDHDTGEEHVFRRQ >ORGLA02G0134600.1 pep chromosome:AGI1.1:2:12574785:12575843:1 gene:ORGLA02G0134600 transcript:ORGLA02G0134600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVMDSELGSLVKVSAAVWAAMFYARLAAASLRPGAPRLAALLPVVALFCVVPFSFSTTTFRGCSAFFLSWLGVFKLLLLAAGRGPLNPTHPLHHFVFSASLPVKLRHLASAKPAKGVDPAPANESAAGKILVSGAVIPLIIYTYQFKNAMSRYQLLILYTGHIYFSLQLLLAVVHGLIHGVLGMEMEPQVDRPYLASSLRDFWGRRWNLMVPAILRPSVYRPVRARLGDAAGVLAAFLVSGLMHEAMFFYIMWRPPSGEVTVFFLLHGVCTAAEAWWARHAGWWRPPRAAAVPLTLAFVAGTGFWLFFPAMIKAGLDEMVLHECQGMVAVMEQSGRWLAGATNLTFATR >ORGLA02G0134500.1 pep chromosome:AGI1.1:2:12567689:12568127:1 gene:ORGLA02G0134500 transcript:ORGLA02G0134500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSLLPKLQVRMNMPVGRVKVLIPGLAPSEMLKAEVSFDSQPIRWCLSPPPIKRRLKDTLPKDKVELDELRVDLASLRRGFCAKHFHLYKAVGKEDLYCAPILMRTQIAGI >ORGLA02G0134400.1 pep chromosome:AGI1.1:2:12553906:12554256:-1 gene:ORGLA02G0134400 transcript:ORGLA02G0134400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLSTPRAVAAVCPSRHRRRLARARRSHLALPRLFGLLLPCSHWDREEGETGSAHPAAPPPRARAEQPVSLVPYSRRDGEEGEGGSICADTVSPAPSAPSCLRRLAVPRHSPLE >ORGLA02G0134300.1 pep chromosome:AGI1.1:2:12543351:12545215:-1 gene:ORGLA02G0134300 transcript:ORGLA02G0134300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSTILPLCLGLLLFFQVSMAQFSFGGSPLQSPRGFRGDQDSRHQCHFEHLTALEATHQQRSEAGFTEYYNTEARNEFRCAGVSMRRLVVESKGLVLPMYANAHKLVYIVQGRGVFGMALPGCPETFQSVRSPFEQEVATAGEAQSSIQKMRDEHQQLHQFHQGDVIAVPAGVAHWLYNNGDSPVVAFTVIDTSNNANQLDPKRREFFLAGKPRSSWQQQSYSYQTEQLSRNQNIFAGFSPDLLSEALSVSKQTVLRLQGLSDPRGAIIRVENGLQALQPSLQVEPVKEEQTQAYLPTKQLQPTWSQSGGACGQQNGLNEIMCAFKLRKNIDNPQSSDIFNPHGGRITRANSQNFPILNIIQMSATRIVLQNNALLTPHWTVNAHTVMYVTAGQGRIQVVDHRGRSVFDGELHQQQILLIPQNFAVVVKARREGFAWVSFKTNHNAVDSQIAGKASILRALPVDVVANAYRLSREESRRVKFNRGDEMAVFAPRRGPQQYAEWQINEK >ORGLA02G0134200.1 pep chromosome:AGI1.1:2:12533660:12533854:-1 gene:ORGLA02G0134200 transcript:ORGLA02G0134200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLSDSSILASPPVESVARMESTGRRRCPLLLSLSGRHHCHLLLSLSMRASLSAMRREGRVSA >ORGLA02G0134100.1 pep chromosome:AGI1.1:2:12525451:12525972:1 gene:ORGLA02G0134100 transcript:ORGLA02G0134100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMVALECGDDRAKGGVMXRLAESSRSRGHVAGRPVRRHLGARLSALPSLAAAASTATLGFEGGALQQGRRHCATMVVMALVPGGQEGREETSLRDPDAAAAAAGSCTIVGSRQCRPLTSSVPHHPSPFTTVTLFPYLCPRFIWVLVREFLLIRVHISVLQFCSGKAISNFR >ORGLA02G0134000.1 pep chromosome:AGI1.1:2:12514343:12514705:-1 gene:ORGLA02G0134000 transcript:ORGLA02G0134000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPPSSRFPCRSSSRSAAAPPRLPRRGGTPGTPRAPPPPPLAPSLLVRELKKLAGSHGESRIRPPMDDVVTDASRLLPGRPRQLHRSIDASRLLPRDASSSPPPGQRGGREGSAWPGAWRR >ORGLA02G0133900.1 pep chromosome:AGI1.1:2:12494345:12513276:-1 gene:ORGLA02G0133900 transcript:ORGLA02G0133900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDTSSQGGDHRSFRQITRDRLLFEMLRSTRKHSKSTWKVLIMDKLTVKIISCSCKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIGMFLNDMSGRNPLYKKAYVFFSSPIQMNLEYFAIDSQGFTTDHERALEELFSENALDSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASVTTTLRDLVPTKLAAAVWNCLSKLKTSIPDYPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVPSKNGSSTEKKDVLLEDHDPIWVELRHAHIADASERLHDKMSNFVSKNKAAQLQQARTGGEISNRDLQKMVQALPQYSDQIEKLSLHVEIAGKLNSIIREQGLRDVGQLEQDLVFGDAGTKELINFLRTQLGVSRENKLRLLMIYAAINPEKFESDKGEKLMQLAGLSTDDMIAVSNMRCLCGPDTKKSSGGGFTLKFDVHKKKHGLRKERTGEESTWALSRFYPVLEDLIEKLSKGELPKDEYYCMNDPSPSFHGLPMSSSVRTSPAHQPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHSSSDFKKLGQRIFVFVIGGATRSELRAVHKLTSKLKREVILGSSSLDDPPQFITKLKMMSVDELTLDDLQI >ORGLA02G0133800.1 pep chromosome:AGI1.1:2:12439284:12439484:1 gene:ORGLA02G0133800 transcript:ORGLA02G0133800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLALPAASFSPSSPAMVARGLSLAAPQLPAHRCLSLDLASLSGEGRRSLGERRRRQPKAGAMA >ORGLA02G0133700.1 pep chromosome:AGI1.1:2:12412335:12412642:-1 gene:ORGLA02G0133700 transcript:ORGLA02G0133700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCQWCSELGDRHRLPQFRPSSSTFDFSLSDHQPYQAGVVIARGSPPSLSRSARGHRYLDLAKFHWTLGKHPRIP >ORGLA02G0133600.1 pep chromosome:AGI1.1:2:12359896:12362136:-1 gene:ORGLA02G0133600 transcript:ORGLA02G0133600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVMPASPRGWDISPSDAVREEVRLRFRSPVHFSLKFSPMHFRLVVDLPRANFRLTNSSVALALRAAIGGSPADLNVQHLLDRSFSFDVFSKQIGLWIYRLRSFSCDDFALRFYLWRNGGPNWQWEFESWSRDCAEEWTLVSSKKRSVHKSSKVQKDKSYAQAVQQRDVSVKFVFQRLKALLQERRSVFTRLQGLKVPIAGETLATKTVEPITKDDPISVRNLNSNFLLSCVRCLHKDHIASNCSRPVRCHSCLEAGHMACFCATRPTKAHQPVGKFPKLSSQNNLVWRPKSSPAKKTVVSSRIPSSPRNTVQSNPQNFPSLAMADLNPTPQCFLRQGQVVHQGGNLCVPRVDLTIPQHPPRRHEDVCITIVEPAIPEHEWDHHRALISDYIFEVHMYEVRTVYRHPSTVGMFQLRSVMHRDALVNSPPAAYDGMHTVELVKHDQGPNWRACHFNREGWFLLLDFPLDFVDHHHINLAVASFGQLSFWLDRDQMLGRVFVRAKYRDLDSVPRKIVLQEPLGNGGGGESWTISVFVLDGDFANIPPEEDLPPAGPQPGPNDDNADDPDDGNIWQLGHPGNQGGGGVWDDLVQXQQAAEAEIEDAWGQDHPMGQVEENSGQLIILPNQEEQELNLIDQEQQVQLFLARLKDIADREGPKHPSFYPMAGLLEKIDHLCKAKEIMQAAQIPSLTSLPFSSPFMTLVLPKRKAIFDHAPPAVKRAHSSWALLSSPSDDGLSDQEILDVAPLQA >ORGLA02G0133500.1 pep chromosome:AGI1.1:2:12322683:12323456:-1 gene:ORGLA02G0133500 transcript:ORGLA02G0133500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIRISSAEWSINKKVIAFPRIKDAKKEERDNWKRKYSDLMMKKSLGIIHIGIIILKKKMIKNEKKMLF >ORGLA02G0133400.1 pep chromosome:AGI1.1:2:12291962:12292174:-1 gene:ORGLA02G0133400 transcript:ORGLA02G0133400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGEEQKAYRVCTFNSGFSSLSGPEPFRYRRDEAMRTGAIIARLGDDQGKEHEEEDADDDNDRAHDNLT >ORGLA02G0133300.1 pep chromosome:AGI1.1:2:12288497:12290929:1 gene:ORGLA02G0133300 transcript:ORGLA02G0133300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAKEEAAASAPAPAMGGEEAAARAAQKRYEGLLTVRAKAVKGKGAWYWAHLEPVLIPAADTGMPPKAVKLRCGLCSAVFSASNPSRTASEHLKRGTCPNFSAPPPGAAAASGSSGSQHQQQTPQAALQALPPPNSTASSPIPISSIAPSSPRHPHHHSQPQQPQSHHHHHHHSGSRKRHSMPPAYTAAEPVSHHHHLVVVDPSTVYSPPLPALPPPPPQQPQSALVLSGGKEDLGALAMLEDSVKRLKSPKASPGAMLPKPQADAALALLAEWFLESSGGVSLSAVANPKLRSFLRHVGLPELQRTDLAGARLDARFAEARADATARVRDALFFQLAADGWREQVVTLCVNLPNGTSVFHRGVPVPAPAPSDYAEEVLLDAVASVSASGSSNDLHHCAGIVADRFKSKALRDLENKHHWMVNLSCQIHGFTRLVRDFARELPLFRSAAAKSAKLAAYFNAKPTVRSLLHKHQIQELGHASLLRVAHVPFNSSGSDYRAAFEMLEDVLTSARPLQLAVLEESYKLVCIDDSAAREMADMLQDGSFWSEVEAVHLLVKLIMDMVKEMETDRPLVGQCLPLWEDLRGKVRDWCDKFNIDEGAALNVVEKRFRKNYHPAWSAAFILDPLYLIKDASGRYLPPFKFLTPDQEKDVDMLITRMVSREEAHIAVMELMKWRTEGLDPLYAQAVQVRQPDPSTGKMKVANKQSSRLVWETCLSELKSLGKVAVRLIFLHATARGFRCSPSMLRWLSAPGSLAGGIDRAHRLVFVAANSKLERRDFSSDEDKDAELLTEGDDDVLNEPGSLERSSV >ORGLA02G0133200.1 pep chromosome:AGI1.1:2:12175361:12176935:1 gene:ORGLA02G0133200 transcript:ORGLA02G0133200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERKSRSRLASIRLGRSPAGLSSSPSRRRGSGSGGRRVRLAVRPAPTSRSLPRREGSRALARSASEPALLLSGGRVHPEPRGLSPPSPPPPPLERPHTCFDVFTPDSPFGRSASAASLSNCNPWEESKVVVSVTVEGSVGPVKAMVRLGASVGEAIAAVVERYAKEGRSPRLDPAAAEAFQLHHSHFCLQSLNKNDKIGDVGGRNFYLHKNDGNNRIYLQSEESGTNLVGGEIAHSFGGQQIVAINHDQFFAIFIKKLDKIGRLTKRIWRLLTCNCT >ORGLA02G0133100.1 pep chromosome:AGI1.1:2:12141324:12148716:-1 gene:ORGLA02G0133100 transcript:ORGLA02G0133100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRTVLGFFGFGVGVTMGLVIGYYLFIYFQPTDVKDPVIRPLVELDTKSLESMLPEVPHWVKNPDFDRIDWLNKFVENIWPYLDKAICKTAKEIAKPIIAENTAKYKIDSVEFETLTLGSLPPTFQGMKVYTTDEQELIMEPSIKWAGNPNITVVVKAFGLKATAQVIDLHVFALPRITLKPLVPSFPCFAKIVVSLMEKPHVDFGLKLLGADLMAIPGLYVFVQEIIKTQVANMYLWPKVLEVPIMDPAKAQKKPVGILHVNIVRAVKLTKKDFLGKSDPYVKLKLTEEKLPSKKTSVKRSNLNPEWNEDFKLVVKDPESQALELTVYDWEQVGKHDKIGMSVIPLKELIPDEAKSLTLDLHKTMDANDPANDKFRGQLTVDVTYKPFKEGDSDVDTSDESGTIEKAPDGTPEGGGLLVVIVHEAQDVEGKHHTNPYVRIVFRGEERKTKHIKKNRDPRWEQEFQFVCEEPPINDKMQIEVISRPPSIGIHSKENLGYVVISLADVINNKRINEKYHLIDSKNGRIQLEFQWRTS >ORGLA02G0133000.1 pep chromosome:AGI1.1:2:12137751:12139087:1 gene:ORGLA02G0133000 transcript:ORGLA02G0133000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIKKLYFFEADLLIVSQRMVTKRVTETGDTGEIIVEGFDEISRRVGSVDSGFVNFGGGSGSGASSXRQRRRERGGGEWIRCLLLLPSGDDGIEEGWRLAHAAAVLGRGRSSTDGLWEVDPPPPGLGSDAGMRAAGAVALSVNSRLSGTGERAGADPAPPPPSPPAAAAKRRDGGIKVASAGGGVYL >ORGLA02G0132900.1 pep chromosome:AGI1.1:2:12134768:12134989:-1 gene:ORGLA02G0132900 transcript:ORGLA02G0132900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGHSIWHTMEVLCAYGMRSQIWKESKFGTIGYVKFHSCTRGFPKVFRTLSMSLVRGFRLPTSGINRGGA >ORGLA02G0132800.1 pep chromosome:AGI1.1:2:12131829:12132047:-1 gene:ORGLA02G0132800 transcript:ORGLA02G0132800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGHSIWHTMEVLCAYRMRSRIWKESKFGTIGYVKFSYTRGFPKVFRTLSMSLVRGFRLPTSGINRGGA >ORGLA02G0132700.1 pep chromosome:AGI1.1:2:12122665:12123591:1 gene:ORGLA02G0132700 transcript:ORGLA02G0132700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTASIHGHHLPPPFNTRDFHHHLQQQQHQLHLKTEDDQGGGTPGVFGSRGTKRDHDDDENSGNGHGSGGDGGDLALVPPSGGGPDGAGSESATRRPRGRPAGSKNKPKPPIIITRDSANTLRTHVMEVAGGCDISESITTFARRRQRGVCVLSGAGTVTNVTLRQPASQGAVVALHGRFEILSLSGSFLPPPAPPEATGLTVYLAGGQGQVVGGSVVGALTAAGPVVIMAASFANAVYERLPLEDDELLAAQGQADSAGLLAAGQQAAQLAGGAVDPSLFQGLPPNLLGNVQLPPEAAYGWNPGAG >ORGLA02G0132600.1 pep chromosome:AGI1.1:2:12111333:12113063:1 gene:ORGLA02G0132600 transcript:ORGLA02G0132600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILFNIVVDMLEVLIERTKGDGQIRGVIPHLVEDGLSILQYADDTIIFLDHDLEHAKNMKAIMRAIVGQKLIEWNDLILRLANITLANEADYFVWSLHKNGQFSIQSMYIANMNSNVRIQKRILWDLKIPLKIKVIFMSSYWLHFWSTMLPQEEYDTMCNGAMLLVSTAEGLLLNYGWRSSIRIAS >ORGLA02G0132500.1 pep chromosome:AGI1.1:2:12078661:12079681:1 gene:ORGLA02G0132500 transcript:ORGLA02G0132500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDVTMTNTTATTSTRHGKVICLQYREGKVKITCEPEANTPLQKGIGFGRSGDEGELSVTDPVMAEMTGCRSCGDEQQEHGSGDDQHKKHAPTVAGVIVGQHLTIT >ORGLA02G0132400.1 pep chromosome:AGI1.1:2:12017459:12017986:-1 gene:ORGLA02G0132400 transcript:ORGLA02G0132400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSVFLVMLDGSGVTSAIFRFSVAPGSMIRGLMTANRSLGGVSIGFFRSARSSGIIFLLGGSGDDHSLDLIQHRSHVFXSIIDVGVNQHDGYHSVLLRCSENISVFYAGLDDVVCNVTASNQIVLPSLAFLLSFGTCLLLLPRDAGLLVFVCGATDNQDFEEKLKLKFGSRCSY >ORGLA02G0132300.1 pep chromosome:AGI1.1:2:12011778:12012272:1 gene:ORGLA02G0132300 transcript:ORGLA02G0132300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMEITGLLLLNRRASSSLSRRRALLAAPNGSIRMASTALSDEGGDGGGGEAGGEVGGGXSESPVTGVAGEGPHLGAHEVAGLRGGAQRWPSSLLVSHLVAGDEAEALLAGCDGEPPSRGGGRHGRRKEGRGSNRRRKGGHGQGCADGGEIEGKKMVGPTDS >ORGLA02G0132200.1 pep chromosome:AGI1.1:2:11981558:11981767:-1 gene:ORGLA02G0132200 transcript:ORGLA02G0132200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRDERQWRPGDGTLPSRLPRCPRLAEAVAAAAPSPPPDPGRGGPTASAEGQPSGGGREGGCGGALPP >ORGLA02G0132100.1 pep chromosome:AGI1.1:2:11975678:11980264:-1 gene:ORGLA02G0132100 transcript:ORGLA02G0132100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARRRRRPRRRVRPPPVATPSSDPRLAAAGTGASTPPPPLPRRRMWSGWRWGRRRGRGACSPVDPAVLEAGLVWRLLAAAGQLTRGGAVAVAVDALLFEHLWWLQHTPTSSLPSPSWVINSPSSRPLAPPDSVDVIPPLAIVGRRAATTPPLPSHAAGPCRKTSTGHNHRCPLLQQIHATGRRPQSQCDLEVEKLGVGWVGLYEGFEGVCIADHGCGCVVLPSWDAGSDRCSGRSDDCFFLGWEPPFANLAAADARISFPVCVPEDLWDASNSSNSDRARLIPAMFSIMYGKVGISADTPSSTSDSFFSDSLNSSCGQSLIGMVLVTTFCYLKSVVQVPLAFIVAPDIFRINRDILSFVSCIVSNQIKQTCASIIQDTSDTSSNTKTDLSSDTPSNTTMTAAPSVRLTSCVSVQRMLIQQLTXKSISKILRSAXTVQANSMLLLWITHSXSRIEFTVLKNFLKTT >ORGLA02G0132000.1 pep chromosome:AGI1.1:2:11969634:11970802:-1 gene:ORGLA02G0132000 transcript:ORGLA02G0132000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLLIMEEEGFYTNLMNEGNDSLDWDSLSSMPVEDDISNQLDENGMSSEPVTQQFPTAERTTVARPNQKRSKNFSEQVDKILVSAWLHIARAIAVFKELEGKPFQFLHCWTLLRSQSKWHDKMKQITSQKPCATNRQKPSTDGSAIAIPTNYETTNHVGEDNEPTETEEPKRPMGKKRSKEQLRRGGGETCTDAFDHLWEKKKEADAEKKKERDERHQKSYELDKQRLELDKKKVANETDEIQFKRMLEEERIMTMDISSNPLSQQQFYKSVQDEIISRRMNSSG >ORGLA02G0131900.1 pep chromosome:AGI1.1:2:11936967:11937407:-1 gene:ORGLA02G0131900 transcript:ORGLA02G0131900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQLEEEKKEQAVKMKGEEEEGVFSAPTPLSPEVGARPWVCCGAKEAPKVSDKWAXVVAVYEGERGGLNRE >ORGLA02G0131800.1 pep chromosome:AGI1.1:2:11894391:11898165:1 gene:ORGLA02G0131800 transcript:ORGLA02G0131800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLSRPLEHRLSSSTLDGHYEEKRKSNVEYSEDEKKAKIISLKKKAMSASQKLRHSMKKGRRSSKVISISIADERDPEEVQAVDAFRQLLVLEELLPSHHDDYHMMLRFLKARKFDVEKAKQMWVDMLRWRKEFAADTILEDFEFEEADKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFIKNHVREFEKNFAVKFPACSIAAKCHIDQSTTILDVQGVGMKQFSKAARDLIGQLQKIDGDNYPETLCRMFIINAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDAEILKMVQSGAGWCGNLSLNHLDAEEKMMICEDDTMHTKRQESFKDEGCTLSRKISCARIEHPSLSPVCEELPPTILPTLGSAYSCDVPMVEKAIDAICQSKGLPDENVTVTKAIVNASNGSNPPLYGGIMALVMSIATMLRVSRNMPKKVLGATLGAQSTSKIQAQQLSEISVEAVSVAEYVSSTKRLSDIEEKVIAILTKPAEMPADKKEMLKTAVSRVSALEEELAATKKALQETLERQEEIMAYIEKKKKKKSKRLFRW >ORGLA02G0131700.1 pep chromosome:AGI1.1:2:11876062:11877073:-1 gene:ORGLA02G0131700 transcript:ORGLA02G0131700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLTRSHRRGEAHQHAEQRSLPMGGVAGVEVLVGGGVVRDSCESPVVGKSLENQMQLLDGQSKAMVGLINLYSFQA >ORGLA02G0131600.1 pep chromosome:AGI1.1:2:11856511:11863797:-1 gene:ORGLA02G0131600 transcript:ORGLA02G0131600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANLLSRALLPALNPNPSSHSNRVSPSAVSLRRRHGLTASVRASLSTAAPSPPPRPAAAAADGRAPKRCFRRGADGHLYCEGVRVEDAMGAAERTPFYLYSKPQVVRNFTAYRDALEGLRSIVGYAVKANNNLRVLQLLRELGCGAVLVSGNELRLALRAGFDPTRCIFNGNGKTLEDLVLAAESGVFVNIDSEFDLENIVTAARVAGKKVPVLLRINPDVDPQVHPYVATGNKTSKFGIRNEKLQWFLDSIKSYSNDITLVGVHCHLGSTITKVDIFRDAAGLMVNYVDEIRAQGFELEYLNIGGGLGIDYHHTDAVLPTPMDLINTVRELVLSRDLTLIIEPGRSLIANTCCFVNRVTGVKSNGTKNFIVVDGSMAELIRPSLYGAYQQHIELVSPSPDAEVATFDIVGPVCESADFLGKDRELPTPDKGAGLVVHDAGAYCMSMASTYNLKLRPPEYWVEDDGSIAKIRRGESFDDYMKFFDNLSA >ORGLA02G0131500.1 pep chromosome:AGI1.1:2:11852804:11855675:-1 gene:ORGLA02G0131500 transcript:ORGLA02G0131500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPSFASRASRSSLCCHLSPVEPPDHLYPSHCRPTGDPTHAAAAIFRQSSLPIISIRPTVSPWGALAFRASRRSRLPDATARDNALTLLVGTSFFVLRPRGESAQRQEEERRKPDRRCGGADTHTTSFDHVLIIDTVEFDPFTAITGNVVIAKSYLKTFWLHSNKKFPASLTTSGGYNLQEHVQLPDNNPLLIFPEGTCVNNRYTVMFKKAAFELGCIVCPIAIKYNKEFTDTFWDIELFRLMTSWGVVCDVWFLDPQQIMPGETAIELALHLK >ORGLA02G0131400.1 pep chromosome:AGI1.1:2:11847180:11850952:1 gene:ORGLA02G0131400 transcript:ORGLA02G0131400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-rich protein [Source:Projected from Arabidopsis thaliana (AT4G38710) TAIR;Acc:AT4G38710] MAVASAWAKPGSWALAAEEQDDLPPPPPPVPAADFPSLATAATTKVPKKKKPQPVPLGEFNSTKFVAPAYRGPTQDDLLSLPTGPRERTAEELANATRGFXXXXXXXXXXXXXXXXXXXXGGSGPQDFGPSRADEADDWGAGKKPLERRERMGGFGVDSSMSRADDVDDWVSTKRAAAPAPMERRERSVAFGADSHSRADDSASWVSNKGYSAAPPPPSDSRRGGPVWGFNRDGGPDADSWERRREEVSGGGSSGGARPRLNLQKRTLPLANGTDGEGKEDKEEEKGEMQPKSRSSNPFGAARPREVVLATKGDDGRKEEEKKKEEEKLEIQPRTRTSNPFGAARPREEVLAAKGEDWRKIDEKLEAMKMREAPPPERRSFGRRGSPVRGEENGSRPLPESHVEGAWKKPDAVQVVGESEDGSDKLNTAEAARKFEEGSDATKETAAAN >ORGLA02G0131300.1 pep chromosome:AGI1.1:2:11801330:11803749:1 gene:ORGLA02G0131300 transcript:ORGLA02G0131300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRLNSSTADAAKSSKSTPHVAATARPVACGIPRHAAARAERSPALVEKTPSPSSADHRSPKISSRISTLPSAEKHRTAVKKQSMEQLAAIQEDLRRAKEQLAKKETEHRKVADDARRTADEANAKLRYALAELKKAEEASETEMFRAIELEQTTIESTQRKDELQRRLEATRRKQEADAAALRSMVAQLEEARLELADAIDAKNLALSHADDAVRAGEANAAQVELLNAEINRLKDSFNSELESKVKESAEKTRKLEAETSVLRIKLKKAKVAEEKVAELEGAVEGLRADVANAIKARREADGLVGEWKKKAQLLEIKLELANQSSILKAESMSSVMKELDAANALLQVKESQIALLHDKIESLEHEVVRQNEDINASGQRVDAAQRGALALRTEIQELRSRLGAMEQEKRGTIKDGSFTSSQIEAICEEKDKLAKELESSKYECEKVRKAMEDMASALQEMSAEARESQENYLHKEKEIEHTRAKLQELNISLNNTRDNYEVMLDEANYERICLKNKVEQLEAEAKTTSEEWRSKELSFVSSIKKSEEEIMSMRTRLGKALETARDMENRNAQLEEKVRELEALMDKDNNYRGGKDTKASKENDGLHLHVKESSGSEKIKDLYSLIGNDEGNTEKDGPVLLVSKMWENSYNLSKERDNGEPEVDLLDTDRDIAADGNGSRLSTEKTNSNTKLVVKQNQQKKALMKKFGGLLKKKSQH >ORGLA02G0131200.1 pep chromosome:AGI1.1:2:11792325:11794223:1 gene:ORGLA02G0131200 transcript:ORGLA02G0131200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQGGARVAPDNGAWAQGGGRTTPAGGGSEAGGGGASLPGVHQENMGLQRKIKILAKRTIQEAQAVTLHPAKTKRPRSEEEEEAAASTPTALCGGGGGLEGALHCPPAPKKPRLVMGCSLKGFKVLSVVDLRFFLR >ORGLA02G0131100.1 pep chromosome:AGI1.1:2:11775451:11775999:-1 gene:ORGLA02G0131100 transcript:ORGLA02G0131100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEVPRRLLNDTDLDLVSDRERQAYYMLSDREYAHTREYSLELLKKIGMDVEFCSIWKVVGWQRFAVVDEPGSHLLTLQFLCTLKEIEDGISFSFFRKEFTLTWKGLSTLLGFHNSYKIDLRKGISGFEKNRFWEDISGASICKKPRINDIHNPTLRLMHKWIAMTLFPRGDLIPIRGIN >ORGLA02G0131000.1 pep chromosome:AGI1.1:2:11764155:11764442:1 gene:ORGLA02G0131000 transcript:ORGLA02G0131000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLLGPSLRPAPPSGWGRRCCRCSVRGAPDLSAGRRGGGGVVAAACRRWEERRGRGVGGRRRAPPRRPSAGAALAAALCRRVSKQEFGNNKRG >ORGLA02G0130900.1 pep chromosome:AGI1.1:2:11756211:11756713:-1 gene:ORGLA02G0130900 transcript:ORGLA02G0130900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVNDAISAEARACLVALLTISVQGVSAVEIETDSAILALAIKSSSHDLATGATIFTEIKTLLQFQFASFEVSFAPRSCNKVAHELAHLGTVYNEGLAANKDGLYIYT >ORGLA02G0130800.1 pep chromosome:AGI1.1:2:11752768:11756019:1 gene:ORGLA02G0130800 transcript:ORGLA02G0130800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESQTSKLSCEHMYSWYFTREELEKFSPSRKDGITEIMESEIRQLYCSFIRDVGIRLKLPQMTIATAIMFCHRFYLYQSLAKNGWQTIATVCIFLASKVEDTPCPLDQVIRVAYGTMYRRDPATARRIHQKDVFEKQKALILTGERLVLTTVRFDFNIQHPYRPLLDAMEKLGISQKEVKQVAWNFVNDWLKTTLCLQYKPQYIAAGSLYLAAKFQNVKLPVHGGHVWWHQFDVAPKPLEAVLQQMREMVHMKAKLFAHPSPAKQKEVRFEGMLLISNSPDSVLTQSSLSVSSSSPEIGDPNDHLQVDSSQDSVHIEDRSKSYPERNLSNLTADMNNPGKTHNKESLDQALKIKHGGLISCNQQIPLDAIAKIDSSTAKCVEQNIGICCSSSNTFNGKILKPFSISQRSGDKTKLCSEGGSSLTDVDSKSTQSVEPPTTICNHTSDSLNVDSLCSDQRLANSTAGATEKASFVLPVQIKVDHLCVERKKVDVARIKDLLMKRKRRRERQGRCIPSVDLSEEAWIERELESGIVFKKVDHVVASYDLSDEGWIERELESGIVIGQKNDQPVSLDGLTEDDWIERELESGIIVEPGPAGKKLKSKLLSEGHEIMNSRWEINGKSMQNQVT >ORGLA02G0130700.1 pep chromosome:AGI1.1:2:11718777:11728109:-1 gene:ORGLA02G0130700 transcript:ORGLA02G0130700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLITLIRDYIDRMLHDIPGMKVLVLDPDTVGMVSVVYSQSDLLRKEVFLVETVDNASSSRESMAHLKAVYFLRPSSDNVQKLRRHLAAPRFAEYHLFFSNVLKIPQIQVLADSDEQEVVQQVQEFYADFCAIDPYHFTLNIRNNHVYMLPMVVDPPGMQSFCDRAVDGIASVFLALKRRPVIRYQRTSDVAKRIAQETARLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLREYPNVPKDQKEVVLSSVQDEFFRANMFENFGDLGMNIKRMVDDFQHLSKTSQNIQSISDMSKFLSNYPEYRKTHGNVTKHVALVSEMSRIVEERKIMLISQTEQELACTSGQAAAFEAVTSLLNNESVSDIDRLRLVLLYALRYEKESPVQLMQLFNKLASRSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGIENVYTQHQPLLFQTMEGIVKARLRDADYPLVGNHFQQNRPQDVVLFIVGGTTYEEARSVALYNAANPGVRFFLGGSVVLNSKRFLDDLGEAQRISKSSSLV >ORGLA02G0130600.1 pep chromosome:AGI1.1:2:11713476:11715294:-1 gene:ORGLA02G0130600 transcript:ORGLA02G0130600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSRRPRTAPSLASSALPLVVSASAAAPSTRPAELLPWWVASSPQLLHPLLRKRLGGSTLLVAHGVSLVSVRSSRLMWIGFDLLYGRERRGGE >ORGLA02G0130500.1 pep chromosome:AGI1.1:2:11706549:11707157:1 gene:ORGLA02G0130500 transcript:ORGLA02G0130500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANWPRAAGSGWRSRSASAATWRRRASGGGEVWENGSSRATANWPRAAGSREWVAGQIGVGSDLATEGFRRRGGVGARVTAHDGELVACSGEWVVEQISVGGDLATEGFRRRGGTRFGEAMEGVRRDRRRRRRRGKGAMTMVRRRRGAMGDTARADEGGEIGAIHGNHEIDGGIARRSRVDVGRSAEGEGSKLHTIWTVGL >ORGLA02G0130400.1 pep chromosome:AGI1.1:2:11695189:11695695:-1 gene:ORGLA02G0130400 transcript:ORGLA02G0130400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQGVVDVLSSGETSGSAVGKRVVLPRSFPRGDRDMQRRFLNAMALVQRFGRPDYFITMTCNPYWDEITENLEPGQLPQDRPDLVARIFRAKLRDMLDLFVKKNYFGEVQAYAHVTEFQKRGLPHEHILLIMKSSSKLTTLDEYDKVICAEIPDKAKYPELHLLVIKHML >ORGLA02G0130300.1 pep chromosome:AGI1.1:2:11689759:11691435:-1 gene:ORGLA02G0130300 transcript:ORGLA02G0130300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSFFASKKPSRSSNPFDSDSDDGGREQRPARASSVPPPADQRGSLFGGGDGFSASSAAARSRYRNDFRDTGGVEAQSVQELEGYAAYKAEETTQRVQGCVRIAEEMRDTASKSLVTIHQQGQQITRTHMMTLDIDQDLSRSEKLLGDLGGIFSKKWKPKKNGEIRGPMLTRDDSFIRKGSHLEQRHKLGLSDHPPQSNARQFHSEPTSALQKVEMEKAKQDDGLSDLSNILTELKGMAVDMGTEIDRQTKALGDSEKDYDELNFRIKGANTRARRLLGK >ORGLA02G0130200.1 pep chromosome:AGI1.1:2:11684672:11685692:1 gene:ORGLA02G0130200 transcript:ORGLA02G0130200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNAILRCDETTSRIKEATLTRTKTDRIVKVIMMMTVENGEIGTTMVEVNDEITMIDDGRSRILQPPVEIVNKDADPDDALRRKDKGKTGEEKKTMNKEAPESSKKRSRKSGKRKATKEVLEHIPN >ORGLA02G0130100.1 pep chromosome:AGI1.1:2:11668270:11668511:1 gene:ORGLA02G0130100 transcript:ORGLA02G0130100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINTRPPEATSYKPTRYESAISDLAGHGSEEEDYPVVDYESDLQTDMSTTVR >ORGLA02G0130000.1 pep chromosome:AGI1.1:2:11664352:11664931:1 gene:ORGLA02G0130000 transcript:ORGLA02G0130000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASSSSTAAHKNYMIHHYHLVQDSCFMQDTEGVEEEVRGVCKAVLFVYVHNKNEIKGSSSGATGKLAAHTHFIEHILSVLDFLNVLYCDQTNKVFNWHTVFSFGLK >ORGLA02G0129900.1 pep chromosome:AGI1.1:2:11654268:11659690:-1 gene:ORGLA02G0129900 transcript:ORGLA02G0129900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTFATHPAAAAAARRRGPIRWRLPFCSQIVTVTLRRRFPMARLSITNALASQSLESAPAAPPKHSFPILVNSCTGKMGKAVAEAAVSAGLQLVPVSFSAIEVPDGKVEICDREIYIRDPSEGESILPSIAKDYPDMIVVDYTVPDAVNANAELYCKLGLPFVMGTTGGNRQLLHKTVEDANVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYKLEVMESHQATKLDISGTAKAVISCFQKLGVSFNLNEVKQVRDPQEQLTLVGVPEEHLSGHAFHMYHLTSPDETVSFEFQHNVCGRSIYAEGTVDAALFLHKKIQSGANKKLYDMIDVLREGNMR >ORGLA02G0129800.1 pep chromosome:AGI1.1:2:11597264:11599265:-1 gene:ORGLA02G0129800 transcript:ORGLA02G0129800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSEKKQHHRPHLSPLPVHAATVNNSDVPDLTAAAAADKRGAIVFHSCIVVHTLKIDDHYQTKAVVSAPLQGRRPAESSPQPRPPQVRRPQPPRRHRSIAPSSSSRQFQAAAPSGPPSALPSPTASTSTSSPPADKIISRRIQAASMYHGQAIRRGHIITKQQACNMANERRGLHHPHRLGAMLHDRGFVLHPAGTLRRLRHGLGGFTTLTTWALHRTPRASSFTWLARFADLATGSGASTPSPPGRYPARRESYASTRLARFADLATGSGASPASPPRHYAAHRGSSPSPG >ORGLA02G0129700.1 pep chromosome:AGI1.1:2:11570733:11572905:-1 gene:ORGLA02G0129700 transcript:ORGLA02G0129700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nodulin MtN21 /EamA-like transporter family protein [Source:Projected from Arabidopsis thaliana (AT3G18200) TAIR;Acc:AT3G18200] MESAPEKLKLLLGVLALQCCLAGFHIVSRAALNMGISKIVFTVYRNCIALALLIPFAYFLEKKNRPPLTFSLLAQLFFLAFCGITCNQGFYLLGLHYLSPTYASAIQNTVPAITFALAASLRLEQVNINKRYGMAKVIGTVVSVGGATVITLYKGTPLMNFKSSHILGANTVSQNVVLNWSVGCLFLLGNCIAWSGWMVLQTPVLKKYPARLSMLALTLAFGLVQFLAIAAFWENDIGKWRLHSGEELFTILYAGLVASGVALSLQIWCIDRGGALFTAIFQPVQTVMVAIMAAVILGDLLYTGGIIGAVLIVIGLYLVLWGKNEEKKSNSNQPDLSRHLLSEESSRPTTVTSDVP >ORGLA02G0129600.1 pep chromosome:AGI1.1:2:11561400:11563479:1 gene:ORGLA02G0129600 transcript:ORGLA02G0129600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNRNLTRGDGRRLGNATLIAFMLGSLLVLSLVRARFSPIGKPGEAIKTEEQEAMRKGSVKMETLEAGDEAAASAAAAEEEEETQPKAGDTTAGGGGGGGGASSAAVAAASTTATDHLTKPVCYESSRRSDTCEATGDVRVHGRSQTIHISPLEQEWKVKPYCRKHDAFALSHVKEWALRPLSTADTYPAVPHCTVNSSATAFVLSTGGFTGNPFHDYTDVLIPAFITAHRFAGEVQFLVSSFKSWWTNRYMQIFQRLSKYELVDIDNDDEVRCYRSVVVGPTFHKELGVDASRTPSGYSMVDFRTMLRGALGLERAVAEPSGDRWDIRRRPRLLIISRRNSRAFLNERAMADMAMSLGFDVRLGEPDISTDVSKFARLVNSADVMVGVHGAGLTNMVFLPAGAVLIQVVPYGGLEWLARGTFKEPAADMQIHYLEYMIQLDETTLSEQYPKDDPVLKDPYSIHKQGWNALKMVYLDKQNVRPHLGRLKNTFMEALKLLPHGHTN >ORGLA02G0129500.1 pep chromosome:AGI1.1:2:11518038:11520476:1 gene:ORGLA02G0129500 transcript:ORGLA02G0129500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVERAKLVRSLRQESRRLRLLVLVIGFFLVTLTFVVISKPDALLFNLNGRLSVDHAPRSLLIRQRIHADSRRSADTFPAAEDPKVVDEDEGAEDATAKGTSEEEKRLLSSEPEQGKNEEAATASEVLGGGGEEDNKNGEEEGHTQHSKVTLPTVSNYTIRDAEDTDNGKQEDGKPNEKYEFEMDADKGDNVEPETDNEEWNKKPLCDFSNFRANVCEMRGNIRIHPNASSVMYMEPASSKREEIWKVKPYPRKGDELCLGHITEITVKSSKVAPECSKYHNVPAVVFALTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMAIWWTRKYKVVFDKLSKYPLIDFNNDDQVHCFKHAIVGLHAYMEFTIDSSKAPHNYSMVDFNRFMRRTYSLPRDFVTALGEIPKAKPRLLIISRQRTRMFLNLNEIVAMAEEIGYEVVVEEANVSSDLSHFGKVVNSVDVMMGVHGAGLTNCVFLPQNATLIQIVPWGGLDWISRIDFGNPAEQMGLRYKQYSIGVHESSLTDQYPLDHEIFTNPLSFHKHGFEFIRQTFMDKQNVKLDCNRFKPVLLEVLDQLNQ >ORGLA02G0129400.1 pep chromosome:AGI1.1:2:11485127:11487165:1 gene:ORGLA02G0129400 transcript:ORGLA02G0129400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAYSRPSKLPGGGNGSDRRLPPRLMRGLTTKIEPKKLGVGLLAGCCLALLTYVSLAKLFAIYSPVFASTANTSALMQNSPPSSPETGPIPPQETAAGAGNNDSTVDPVDLPEDKSLVEAQPQEPGFPSAESQEPGLPAALSRKENDAERAAAAAASEIKQSEKKNGVAAGGDTKIKCDENGVDEGFPYARPSVCELYGDVRVSPKQKTIYVVNPSGAGGFDENGEKRLRPYARKDDFLLPGVVEVTIKSVPSEAAAPKCTKQHAVPAVVFSVAGYTDNFFHDMTDAMIPLFLTTAHLKGEVQILITNYKPWWVQKYTPLLRKLSNYDVINFDEDAGVHCFPQGYLGLYRDRDLIISPHPTRNPRNYTMVDYNRFLRDALELRRDRPSVLGEEPGMRPRMLIISRAGTRKLLNLEEVAAAATELGFNVTVAEAGADVPAFAALVNSADVLLAVHGAGLTNQIFLPAEAVVVQIVPWGNMDWMATNFYGQPARDMQLRYVEYYVGEEETSLKHNYSRDHMVFKDPKALHAQGWQTLAATIMKQDVEVNLTRFRPILLQALDRLQQ >ORGLA02G0129300.1 pep chromosome:AGI1.1:2:11480280:11480773:-1 gene:ORGLA02G0129300 transcript:ORGLA02G0129300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQGLPPPICPEHANADVMFWLLRSISGISSTTNIGSGSDKYSCIVVRSTSARPGSAGSFTSPLLAVTSK >ORGLA02G0129200.1 pep chromosome:AGI1.1:2:11478395:11479037:-1 gene:ORGLA02G0129200 transcript:ORGLA02G0129200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDANVFSSFWISNSGTLSLREFHERYWSPDFKIGRSFRGCHFNCRSHELLWTHGRSCIHLIGDHVNAIFSCWP >ORGLA02G0129100.1 pep chromosome:AGI1.1:2:11467524:11477391:1 gene:ORGLA02G0129100 transcript:ORGLA02G0129100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDREKEREAELESAMYTNCLLLGLDLAVLGSPSSPAAGPRVGLFRHSNPRLGEQLLYFLLSSLRGPAQSAKDFDKVWPIFDSAQSREFRKIVQGIISELEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFAADVASSPLPAALTDVSYLHAAALLPVTKARIALERRKFLKNANIAVQRQTTWSNLAHEMTAEFRSLCAEEAYLQQELEKLQDMRNKSKLEGELWDERISSSSGQNSHLVSKATRLWETILARKGQHEVLASGPIEDLIAHREHRYRISGSQLLAAMDLSSSVPHSELLSSRASEASPFLDKQEQMSALFQGKEEALSRLDDRNGRAQQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGDGPELLRSASDGETSTHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSIADLSEEVNSVSSNPMDKIISRLSPSVQNSGFGRAEESSSELLEMTSKLSSTHLDKAGSSPALKLPPLFSLTPSSSGKGTQAQKRNALGRQPSQEILSEEKTLPLPSTKDETNGSIHENEGYFAHNIRRSVREAALSKPLRNPERPHDQSSDDGSEHFFIPLSTGASKMEIDAVDNNRRMQKLGFSSPQMKFSDLHFNVDSPMHATPVLSSKLNGHDDPNTVTSMLDPVSGLGHQSFITDDALDQVFSPPLLLETSLFQDAYEDLLAPLSETDTALMEH >ORGLA02G0129000.1 pep chromosome:AGI1.1:2:11454099:11457125:1 gene:ORGLA02G0129000 transcript:ORGLA02G0129000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRQSLLVRPTKRGGSWWNHYGSILPTDLCYTGFKQVKFTSLQISDGVVTKVIIMHIFSLMLIIRTKSSSSTSSSPMDWELGHGFGELVGDDWWLDWE >ORGLA02G0128900.1 pep chromosome:AGI1.1:2:11416345:11416908:1 gene:ORGLA02G0128900 transcript:ORGLA02G0128900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDRVQVEAINSFSNLELLKEVYGLIWILPILTLLLGITIEVLVIVWLEREISASIQQRIGPEYAGPLGLLQAIADGTKLLFKEDILPSRGDIPLFSIGPSIAVISILLSFLVIPLGYRFVLADLSIGVFLWIAISSIAPIGLLMAGYSSNNKYSFSGGLRAAAQSISYEIPLTFCVLAISLRAIR >ORGLA02G0128800.1 pep chromosome:AGI1.1:2:11413752:11413985:-1 gene:ORGLA02G0128800 transcript:ORGLA02G0128800.1 gene_biotype:protein_coding transcript_biotype:protein_coding REITFKGCYYFVDAGYTNANGFLASYGGQRYHLGRFTALDRPCSAEEYFNMRHTSARNIIERSFGRLKGRWAILMSPS >ORGLA02G0128700.1 pep chromosome:AGI1.1:2:11411982:11413681:-1 gene:ORGLA02G0128700 transcript:ORGLA02G0128700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRMRMRYCRIYLRENSLNLSLSQLFRHLMIGRIFATLLLKGFMEHLGSSSIVRGRGKNKRIWTYFEDEELIKALFEIALDPSWKSEGGFKNGYCQVLENVLAKKLPNSGLTAVPHIESRVRHFRTKFGAIEVMLTKSGFSWDDNRKMIQCEKQQYDDHCRKNNEAKGLYGVAFPHYDILAAIYGKDIATGEGAEGFGEAVANMEKEIVQDIQDEEDEEDEERVSRETPRRSVDSSAPRRSIDSAAPRRIIDSAAPRRSIDSTASSSKKWKKDSNKLKHTLSSDPFMDVFANVQGDLRDVTKHVGAMVASMQHEAEIQEKAMAEEDPLQKIQNEAILECQKLGLTETEVVTDAAAFVKVPAQMSMLLALPESLRREYVLKILAGNSLYTFACCITYFILRSLIVSILHFTVNKF >ORGLA02G0128600.1 pep chromosome:AGI1.1:2:11399846:11410994:1 gene:ORGLA02G0128600 transcript:ORGLA02G0128600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVANTVTTLPLLPSPHAFAVPAMGSLPFLRRRMRSRRLAAVQQDAAVWTPAPVSSFGPATADGSLVHFSVDLSDATDLAASYTTPGQYLLIRVPGEDELKPAFMAIASPPGGAAFEFLVKTVPGTTAEKLCGLRDGVVLELGAIMGNGFPISRINPPDEAQTVLLFATGTGISPVRSLIEFGFAADQRADVRLYYGARNLQTMAYQDRFTNWESTGLKIIPVLSRADDSWKGERGYVQDAFLKAQNIANHFSTGAVLCGQKQMSEEITSALVADGVSPDKILTNY >ORGLA02G0128500.1 pep chromosome:AGI1.1:2:11394048:11394317:-1 gene:ORGLA02G0128500 transcript:ORGLA02G0128500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRGKGELKKVTGESSGVMQDNCYRCGGRGHWSRKCRVPKHLVELYQQSMNEKKSQHESHFTIEPEAQIEKHDDMLINVKDGGDVCMDDA >ORGLA02G0128400.1 pep chromosome:AGI1.1:2:11355761:11359613:-1 gene:ORGLA02G0128400 transcript:ORGLA02G0128400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKMAKSAMKQGIWRRRIGAPFAAVLVAAVLAVVVFSGQFAKGPNASSQFAPVQVDNTLRPTRDKPVSADQDLERTVSSKLEGEDTEQIRLEDGQSPNKEAAIEEQKPSQAAAIDQDDNTLNPGLKQASGDERSAGGSDSLGKESPPQSQEGDGGTAESGAEPYIKCTAQSDIKICDLSNPRFDICELCGDARTIGQSSTVVYVPQNRASNGEEWIIRAQSRKHLPWIKKVTIKSVNSSEPEPICTSKHHIPAIVFALGGLTANVWHDFSDVLVPLFLTARQFNRDVQLIITNNQPWFIKKYSAIFSRLTRHEIIDFDSDGQIRCYPHVIVGLRSHRDLGIDPSSSPQNYTMVDFRLFVREAYGLPAAEVDIPYKADKDDPDKKPRIMLIDRGKSRRFVNVAHVVQGLDWFGFEVVKADPKIDSNLDEFVRLVDSCDAIMGVHGAGLTNMVFLRSGGVVVHIVPYGIKFMADGFYGAPARDMGLRHVEYSISPEESTLLEKYGWNHTVINDPETIRKGGWEKVAEFYMSKQDIVLNMTRFGPSLLNAIEFIM >ORGLA02G0128300.1 pep chromosome:AGI1.1:2:11333411:11335933:1 gene:ORGLA02G0128300 transcript:ORGLA02G0128300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKNVQQQQQHRVDVVVPVPAMVVAAMASPCPANKLLRGAIQLAFGFALGIAVAIYLIGSATPAAVPGGSSLELFFPLTPPAAASTANLSAVRQKQPPTPSPEAEKTTTAIKSQSWPADDASGGNSTADQAGGGFVDISDEELMKLAAAAPREVRTGGGGGPRPKVAFLFLTRWDLPMAPLWEKFFEGHRGLYSVYVHTDPAFNGSDPGEASVFYRRTIPSKEVKWGEISMVEAERRLLAHALLDQANARFILLSESHVPLFDFPTVYSYLINSTTKVYLESYDLPGVTGRGRYKRSMSPVVTAAQWRKGSQWFEVDRGLAADVITDDVYFPVFARHCSRNCYADEHYLPTFLGIRHPSRVTNRSVTWVDWSHGGPHPARFTRMEVTPDFLRWLRAGAGTTCDYNGATTTVCFLFARKFLPNSLTRFLRFAPKVMGFG >ORGLA02G0128200.1 pep chromosome:AGI1.1:2:11315443:11319590:-1 gene:ORGLA02G0128200 transcript:ORGLA02G0128200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTGVISPTLGFNIKTIKYHKYSLNIWDIGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPSEIAKVLNLEAMDGSRHWQIVGCSAHTGEGLLQGFDWLVQDIASRIYVLE >ORGLA02G0128100.1 pep chromosome:AGI1.1:2:11310614:11312159:1 gene:ORGLA02G0128100 transcript:ORGLA02G0128100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSLSLSPFFFLPWLIVHISQKLKTRVIKKTTNPEWNDELTLSIEDPAVPVRLEVYDKDTFIDDAMGNAELDIRPLVEVVKMKIEGVADNTVVKKVVPNRQNCLAEESTIYISEGKVKQDVVLRLRDVECGEIELQLQWVDIPGSKGV >ORGLA02G0128000.1 pep chromosome:AGI1.1:2:11306238:11307822:1 gene:ORGLA02G0128000 transcript:ORGLA02G0128000.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCHNEHIELLERIQELTCVDVDGIEAEASIAPPTENDRTGGVGATSNDECATMIGDDHEGPLKGALEAAVLAEVCEITGNGGGGGGEGGGGGGGGGGGIESMAVAARVQAATTTNLFQSSFTPRSSSF >ORGLA02G0127900.1 pep chromosome:AGI1.1:2:11300503:11300783:-1 gene:ORGLA02G0127900 transcript:ORGLA02G0127900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRXXRXFQDHKQMQKDAMKQTQMGPRXLDPPPMTKEVNVLVDVSLEKKTIAGTTRYKKNLLLFQLMTSSTNSELQP >ORGLA02G0127800.1 pep chromosome:AGI1.1:2:11293077:11299618:1 gene:ORGLA02G0127800 transcript:ORGLA02G0127800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPLASSSFRCLLLLLARRAYDCCTKQLAPSAVKLQAGTYLMAGVIKWKMMSCEQSGRRSAEIARECLSLTPNRYKCHSYQHIGYLGDSAEGFICHPLKSKLRSNVGLHVAAKVHNKDDEGSCSSRISDEDNETLSNASRKMEVNHLGALRCYFSKLNTEDAQKPYSFHQTNKQRTGPLSTNIEEANMATDYGDFRNTLESFEINFNRRKKGTKGYLNTAVEDYTNYLIFDEKNFLDMQQDDQTSSFCLTNLLAAINIAVLLFEIASPVRNSDIENLSLPLMYGAKINDLILSGEWWRLLTPMCLHSGFLHIALGCWVLLIFGPRVSRAYGQTTFLLMYILGGVCGNLTSYLHTSELTVCGTDTSWGNNLWTTFRLFNLPKCAGRQCC >ORGLA02G0127700.1 pep chromosome:AGI1.1:2:11281432:11286032:1 gene:ORGLA02G0127700 transcript:ORGLA02G0127700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRAASPSSGAGAGAGAGSDPTPRVAMACVLASEVATVLAIMRRNVRWAGVRYGGDDGADDEHLDHPLIAGLKSLRRRAASWDTRQWRDVEPLLYLRPFLDVVRSDETGAPITGAALSSLHKILTLDLVGPDAPNVAEAMGAVVEAVTGCRFEVTDPASEETVLARVLQVLLACVRGRAAPALANRHVCNIVSTCFRVVQQAGTKGELLQRVSRQTMQEVIRCVFARLPDVDATVVADGQTAGSKNQGLSDGEIGNGKSDFVCLNSSGDEVGGGFGVVQDQAMSELFGVPCMVEILQFLCSLLNIAEDIEVNPRINPIDFDEDVPLFALGLISSAIELSASSINKHPKLLAFVQDELFRNLMQFGLSMSPLILSTVCSIVFTLFYHLRQELKLQLEAFFSCVIIRLGQSRYGASYQQQEVALEALVDFCRQKEFMAEMYANMDCDLQSSNIFEDLANLLSKSAFPVKSPLSTLNVLALDGLVSVIQAIAERTDNAPQHHEQTVPEISEYFPFWQLKCENTNDPDQWVRFVHQQKSIKRKLMVGVEHFNRDKKKGFEYLQGAHLLPERLDPRSVALFFRYTPGLDKNLLGDYLGNHDEFSILVLHEFAKTFDFKEMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQMFVNRDAALVLSYSVIMLNTDQHNIRVKKKMTEEDFIKNNRRINGGNDLPREFLSELYYSICRNEIRTIPEQGAGCSEMSFSRWVDLMWKSKRTSAYIACDSFPFLDHDMFTIMAGPTVAAISVVFDNVEHEEFLTGCINGFLSVAKLAAFYHLDDVLNDLVVALCKFTTLLNTSYINDPVTTFGEDTKARMATEAVFTIATTHGDHIRSGWRNIVDCILRLHKISLLPGCLTGDTADDQESSSDMLPSKLASSRAAPQVVPISTPKKSYGLMGRFSQLLYLDAEESRFQPTEEQLAAQRNASETIKKCQIGTIFTESKFLQADSLLNLARALTQAAGRPQRITSSLDDESTSVFCLELLITVTLNNRDRIVLLWQGVFEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKENLVDDLLRSLQLILKLDARVADAYCENITQEVTRLVKGNATHIKSQMGWRTIISLLCITARHPDASDVGFEALVFIMSEGAHLSPANFVLSVEASRQFAESRLGSAERSIHALNLMAESVNCLTRWSREVKEAGGEADRILEGIAEMWLRLVQALRKVCTDQREEVRNHALLSLHRCLVVDGISVPSSAWLMSFDIIFQLLDELLEIAQNYSPKDFRNMEVSLLHAVKLLCKVFLQSLNDLSSQSSFSKLWLEVLDMIEKLMKVKVRGRRTEKLQEAIPELLKNILLVLKANRVLSKTSTSEENSLWEATWLQVNKIAPSLQPEVFPDSEGDVATQSAKNKSDSPAQSEGVNV >ORGLA02G0127600.1 pep chromosome:AGI1.1:2:11268348:11273622:1 gene:ORGLA02G0127600 transcript:ORGLA02G0127600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLLSPPTAAAAGPAPAPAPAPVAVSLPPPSRLRASHLLFAFPRLRKYGRRDREPVATSLGELEEEDEDDEEEEEEEEDDEEVEVEVEVDEDEFLKNRPKPVGFGAGKTYSTDIEEQLLREMGLGGRRRSSGSGPTPAKNRAAANSAKGTGEDLNDGGVCVRVWNLPKKKNIHKDLNLAFKGFPGLVNIEPAVSANKKTRDPICKGFAYLKLESVEAATRFVELYSQKAVSFGKVQKPIRCCVVDSQSSVDSQNQPSSGQAIRQPGLMAKNLVAAS >ORGLA02G0127500.1 pep chromosome:AGI1.1:2:11267259:11268034:-1 gene:ORGLA02G0127500 transcript:ORGLA02G0127500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLLRLHPCPLLFPPPPPHPHLRRQLAVYSIPKSSFRSGAAAARARNPPRLAAVGGAERRRVGDDYDEEEEDLGQALERTRQLVECAMFASVAGLAYFLSNSLAIENYFSCFFPLPIVISSLRWGLEAGRKTAVATVLLLFTLSGPVKASTYLASTSILHFDSCVSGRRFHLSLQ >ORGLA02G0127400.1 pep chromosome:AGI1.1:2:11245580:11247257:1 gene:ORGLA02G0127400 transcript:ORGLA02G0127400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDHADRDGARRRCREYLLALEEERRKIQVFQRELPLCFDLVTQTIEGMRSQMDAAGSEETVSDQGPPPVLEEFIPLKPSLSLSSSEEESTHADAAKSGKKEEAETSERHSSPPPPPPEAKKVTPDWLQSVQLWSQEEPQQPSSPSPTPTKDLPCKPVALNARKAGGAFQPFEKEKRAELPASSTTAAASSTVVGDSGDKPTDDDTEKHMETDKDNDKDAKDKDKEGQSQPHRKPRRCWAPELHRRFLQALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSSTGQSSAAAGVPAPPAPQFVVVGSIWVPPPEYAAAAAAQQHVQLAAAGNNASGSANPVYAPVAMLPAGLQPHSHRKQHQQQQQGQRHSGSEGRRSGDAGDGSSSSPAVSSSSQTTSA >ORGLA02G0127300.1 pep chromosome:AGI1.1:2:11243202:11243471:1 gene:ORGLA02G0127300 transcript:ORGLA02G0127300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGADKDASCGERGRRRHDGGGCTGQRRRRQTDVTNGMDELRRKNTTIVVDQGQRRRPTRARAAAKPDMGCAMDVVAAEADAGNERGG >ORGLA02G0127200.1 pep chromosome:AGI1.1:2:11206606:11210817:1 gene:ORGLA02G0127200 transcript:ORGLA02G0127200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:regulatory particle triple-A ATPase 3 [Source:Projected from Arabidopsis thaliana (AT5G58290) TAIR;Acc:AT5G58290] MSTAATAPPPAAVLPAAPPPSYPATSAPSASAAAAEDDDDLYGRLKSLQRHMEFVEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSSEKPNVTYTDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETDFDFYK >ORGLA02G0127100.1 pep chromosome:AGI1.1:2:11195113:11195349:1 gene:ORGLA02G0127100 transcript:ORGLA02G0127100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSNEGKEGCNTLAHVVVKEGAYSPPCWRCCAKEHDVHGSYHHLQLLFSSSGRPFVSLVATSRLLTTVRDWEEVKRD >ORGLA02G0127000.1 pep chromosome:AGI1.1:2:11192186:11192742:1 gene:ORGLA02G0127000 transcript:ORGLA02G0127000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLGKAESARGKVAPAGSGGRARMLVTVTVLGSAGPLRFLIDEGETVAGLIRAALRCYAREGRMPLLGADAAGFLLYTANGGSDALSADEKIYFNGCRSFLLWQKAARDTMAKGGRPELANVATCNPCKKRGGGGWKGGLNKFLLSFSFKV >ORGLA02G0126900.1 pep chromosome:AGI1.1:2:11167601:11167999:1 gene:ORGLA02G0126900 transcript:ORGLA02G0126900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FON2 SPARE1 [Source:UniProtKB/TrEMBL;Acc:C9K216] MSRRLGAAAAVLLLWLAVLTFAFHGYYGGRLGSARRRNILLLQHPALALHLPTRKMLLAVASFDDASSPSSLTTTDRHHHHHRHHGHHHHRGHDRWNRKGVPPTAAGPGEEVDPRFGVQKRLVPTGPNPLHH >ORGLA02G0126800.1 pep chromosome:AGI1.1:2:11159902:11165561:1 gene:ORGLA02G0126800 transcript:ORGLA02G0126800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILIIRFEMPFNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKSPCCKQEIVIQTDPKNTEYVIISGAQRKTEDYDVEDAETLLLPADEERDKLADPMYKLEHQEEDLKKKKEAEPVLVRLQRLSDSRHSDDYALNRALRDRLRSQKKRVAEEKRSARKMGLGVRLLPPSAEDATAAASVKFASKFEKSRRDKRAAIKAASIFPESSSSTSKNKLDLALKRRNIKAGAASALMASRVKPSSWQSAGSGSSRTQMPIMATRK >ORGLA02G0126700.1 pep chromosome:AGI1.1:2:11140764:11141183:1 gene:ORGLA02G0126700 transcript:ORGLA02G0126700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDLNRSPTGLGGPSDGSYIFVRGVIGGAWEYGEENARGGEGRAGAIDYFLYIDTRYFARIPSTSQRLIPLLSASSGNDL >ORGLA02G0126600.1 pep chromosome:AGI1.1:2:11135762:11136019:-1 gene:ORGLA02G0126600 transcript:ORGLA02G0126600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMRLWWREAPAGGGRGNLLPCGRKIRVWAEGRGRGGVGASQEWGGRERGDGGGAALRWGVGAGPRWGGIAQRRSGPFDMNMSR >ORGLA02G0126500.1 pep chromosome:AGI1.1:2:11131178:11132171:-1 gene:ORGLA02G0126500 transcript:ORGLA02G0126500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSPQQTSRSKNHGHLLLLHGLKGDEELVREGLTSHLELVQLTEHASVQLRRFGVVVVAALEHEVEDELGVMGAVEELVERGDEVEGVEGAIEVVLDEDSPNVKISSTTTEPLNGADWETPLGAGFPN >ORGLA02G0126400.1 pep chromosome:AGI1.1:2:11127930:11129543:1 gene:ORGLA02G0126400 transcript:ORGLA02G0126400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIFSTNAWLTIALVFIITLAAKVVRSSVTLPAEKTSKPRPPPEAKGAPLVGIIPAVLRRGLQAVIREQHRALGSVFTLRSLGLAVTFLVGPECSDHFFHAPEWEIAIDGLYEVTVPIFGKEVGYDIDLDTRNEQHRFFAKMLRPAKLRGHVLPMVCEIEEYFGKWGECGVVDLMQEVDHVLMLIASRCLLGKEVRENMFDEVASLFHELMGGMHLISMFFPYLPTPGHRRRDKARAKLGEIFSQIVKTRKMSGRVEDDMLQDLIDSTYGDGRATTDTEVTGLLVALLFAGHHTSSTVAVWTALRLLTHPEHLRAVRAEQERLVAAAEQQRSHHGGGGGGGIDYGMLLQMDVLHRCIKEALRLHPVTPMILRRARRGFTVRDKEGGEYSVPAGRLLASPLVVNTLLPNIYKDPHVFDPDRFAAGRAEDKAVAGARDLAYLSFGAGKHACMGEGYAYQQIKVILSHLVSNFELKLESPFPETEDMLSMRPKGKVIVSYKRRTLS >ORGLA02G0126300.1 pep chromosome:AGI1.1:2:11121179:11123862:-1 gene:ORGLA02G0126300 transcript:ORGLA02G0126300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKGPAVDLKSFLVKAAAKKANQRQTPGEGNLDPGEDPSCNESQMQLVIYEGHHHENESGSPASSSTIPIDPEMGEIPGANDESQSSDEDNDGGMYDIEHDPGLRTPISKYDVNDQDSVRRSYIALGPCRPKMKNTDFPQHSCGGMRRFLPKWFDEFKWLEYSVHRDAAYCFFCYLFKESIHGGDAFVNGGFRSWNMKGRFHKHCGAVNSAHCEAEEKYNLFMKPKSSIHESFASNSAQVKADYEARLLWSLKCIRYLLRQGLAFRGHDESRNSENKGNFRELLQWLAGNFEEVNKVVLGNAPSKCQMIDHKIQKQLIGSCAHETTKFIIEELGDECFAILANESSDAYQQEQLALCLRFVNKAGQPVERFLGLVHVEDTTSLTLKEAIKSLLMDYQLPLSKIMDDSPSAYYVHCFAHQLQLTLVAVAKENTDCAWFFAQLAYLLNVLGMSCKKICMLRVAQAEYMIEALKLGEIETGQGLNQEMGLARPGDTRWGSHYRTVMHVMSLYPSIKKVLFRIGKECTGAEAIGAQTMLEVFQSFEFVFLLHLMNDMFGYTTDFCQALQKREQDVVNAMDLLAFTKVELDVLREDDGWREFLGKVTSFCVKHKVKVVDMDGKYKPIQRSRKFHKDAINYHRFHADMFLGVIDRQLQELNNRFDEVNTELLRCMASFNPSKEFSAFNVESLVKLAGFYPHDFDFEEMNQLPFQLNRYISDVTKDEKFTNLKGLAELSMMLVKTERVCRYELVYRLLKLVLVLPVATAGVERVFSSMNYIKNKLRNKMGQKYLNDCLVTFIEREFFLQAKDKDIIDYFQNIKRRKVNI >ORGLA02G0126200.1 pep chromosome:AGI1.1:2:11076222:11079789:-1 gene:ORGLA02G0126200 transcript:ORGLA02G0126200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESEHVVQDALNNIMVNRTTIIVAHRLSTVRNADTISVLHRGQLVEQGPHAELIKDSNGAYYQLLQLQEVNARRNGTYELDPNRLSDVANRLSDVANRLSDAANRLSDAGNFVSRHSIRKLSFERSMSRHSSLGGSRRNSQTYALTEDEIEGCDDTKSGKNVLRRLLHLHKPETAILLLGCIAASANGAILPVFGLLLSSAINAFYEPPHKLRKDSVFWAEIYVILGVVSIFIIPVQHTLFNMAGGKLIERIRALSFSRVVYQDIGWFDDPLNSSGAIGARLSADAASVKSIAGDVLSLIVQSISTALVGIVIAMIANWKLAFIVLCFVPCVFAQSYAQSRLMRGFGADAKEMYEQASTIASDAISNIRTVTSFCVGEKIIESYRNKCKGPVKKGVRQGAISGVGYGFSFALLFCFYAVSFYVGARFVHNGTADVGEVFKVFFALTMMAVGVSQSSSLARDFSKVQDAAASIFKIIDRKSKIDASSDDGMAPEKIEGNIEFQHVSFKYPARTDVQIFTNLCLRIPSGKVHVVLMTVALVGESGSGKSTVVALLERFYDPDSGAIFLDGMDLKTLKLTWLRQQIGLVGQEPVLFNGTIRANIAYGKQDQVSEEEIVAVAEAANAHRFISSLPHGYDTSVGERGVQLSGGQKQRIAIARAILKDPKVLLLDEATSALDSESERIVQEALDRVMVGRTTVIVAHRLSTITGADKIAVIKNGVVAEEGRHGRLLRLPGGAYASLVALQSSSS >ORGLA02G0126100.1 pep chromosome:AGI1.1:2:11062979:11063380:1 gene:ORGLA02G0126100 transcript:ORGLA02G0126100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNYAWHQGDTFDHLTTWIEEARRLGVGGAKLTICFIGNKCDLSDRRAVSYEEGEQFAKQNALLFIEASAKAAHNVNEAFTLTARAMCHKVEDAWVCLMRKFDP >ORGLA02G0126000.1 pep chromosome:AGI1.1:2:11048256:11050057:1 gene:ORGLA02G0126000 transcript:ORGLA02G0126000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGEWRRGPVIGRGATATVSIATDRRTGGVFAVKSVDVARAGALRREQGMLSALASPFVVPCVGSGVSAAVDGSGGACYDLFLEYAPGGSLADEIKRCGGRCEEPQIRSRVGDVLRGLAYVHAAGIAHCDVKGRNVLVGADGRAMLADFGCARWMAAEDCNAGGVTIRGTPMFLAPEAARGEAQGTAADIWALGCTVIEMATGGAPWPRFADPVAALHHVAHSVDVPESPAWFSAEGKDFLARCLIRDPAKRWTAEQLLEHPFVASAASDSTSKAVQIEQRVSPKSILDQCLWESTSTDSDATVALAPADRLRALSAGASVAPDWTWSMDDWIAVCGGRADDHDTTPSPQPDTTTSFFRGDEASSDLVFPGGGETERGAFAVAATVPLMAKSSEITGVERSIESRVASGSG >ORGLA02G0125900.1 pep chromosome:AGI1.1:2:11040112:11040468:-1 gene:ORGLA02G0125900 transcript:ORGLA02G0125900.1 gene_biotype:protein_coding transcript_biotype:protein_coding HMHRKNRSSCAGEPPHENIAIFVDISGKMQCHLSSLPSLSKLPLTLMVNLELELICAKLLKEEAVELENEEARGEHDSEARSQGRIRQRTSWSGSLAGG >ORGLA02G0125800.1 pep chromosome:AGI1.1:2:11032798:11034803:-1 gene:ORGLA02G0125800 transcript:ORGLA02G0125800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGVVDGIRRWLRRHGRTASAYQPHPSGDGGLEVVLGPFTLPAAIRVPARTSPPPPPSDSQLKKESLRFGTESNISISYYNLLLHGITVYIVFKLNKTSKKHLYKVGILGQDFV >ORGLA02G0125700.1 pep chromosome:AGI1.1:2:11026368:11028012:1 gene:ORGLA02G0125700 transcript:ORGLA02G0125700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:I1QLR2] CSKLQSDALREAISQIANDSREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVYRVF >ORGLA02G0125600.1 pep chromosome:AGI1.1:2:11015630:11019626:1 gene:ORGLA02G0125600 transcript:ORGLA02G0125600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLVAEAAVAAVLLFKTPLRKLAVMGIDRLKRGRRAPVAVKTVAGVVLALLASTLYSMAEISGRAGGDPESGGGGGGASLSPTDQVLFSRHLLEASLMGYSLFLALVIDRLHQYIRELRGLKKNVEAITKHNKMLEEAKHGRSEETKKYQEEIGALNEDMKKLKLQVQEKTEEVHVAEDKALAIRKQSESLLLEYDRLLEDNQHLREQLQSIDHRLSSPK >ORGLA02G0125500.1 pep chromosome:AGI1.1:2:11009952:11010534:1 gene:ORGLA02G0125500 transcript:ORGLA02G0125500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICMSSVAVWKEKVVYAGRRRGEVAGAEDVGGVGAGGGCGGPYGLLGGDADADVLEIEEEAAEKVVKCGAEAEAALTVAAGGTPARPIWQRKVLMGVKCQLPRFSGMILYDERGRPVCSGVRDRARDKEKHAAAIMVLRDML >ORGLA02G0125400.1 pep chromosome:AGI1.1:2:11008421:11008712:1 gene:ORGLA02G0125400 transcript:ORGLA02G0125400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDVFYYYYPKRLGQVLFVDAPFVFQPMWQLVKPLLKQYASLVRFCDVETVRKEYFTKETVPPDFRN >ORGLA02G0125300.1 pep chromosome:AGI1.1:2:10999374:10999835:1 gene:ORGLA02G0125300 transcript:ORGLA02G0125300.1 gene_biotype:protein_coding transcript_biotype:protein_coding YNWTTYVQSRRTRAGKTKEVATGLAIPGRQFHNTAIPEDYARVQVAKVHSDHISLELDIPAPEGIELLGDAVNQFILWHRRDIILTVAAPAAGSSTPSPSQARTAAAPAPPSPPEPPSPRHPASPPPLRPPPRQPTPPPSLSQQPALPAPQPV >ORGLA02G0125200.1 pep chromosome:AGI1.1:2:10989710:10991338:-1 gene:ORGLA02G0125200 transcript:ORGLA02G0125200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRMASSASAAALLPLPSPSCSSSEDSDDGKHLPSPPAPEANTPPTQQQKRRRLERDYNVAMKALALAGDLDEVVAVFAELKRTAADGGDGGAPPNVLCYNTLVNALAEAGREGEALKAFDEMLASGVAPNASSQNILIKMHARRSEFDLAWELIHKSGVEPDVGTYSTLIAGLCRAGKIVEAWGVLDWMLEKNCRPMVQTYTPIVQAYCRDGRIVEAKLLMAEMERLGCLPNVVTYNVLIRALCDDDKFDEVEQVLMESSTKDWKPSTVTYNIYMNGLCKKGKAKEALELLDVMLGEGLEPTAYTWSILLNCLCHSSRLLDAIYLLERSTELKWYAGVVAYNTVMSSLCEMGKWRGIMKLLTDMIKKGIEPNTRTFNILIRSLCVGGKSSLAKSLIHSQGFAANVVTYNILLHWFYYHGKLTEANRLISVMEEKNIAPDEVTYTIIIDGLCRERKFDAATACFLKSLTSGLSMDVLTVLLNRLVYADKIWEINRIFDGKDFVPDHHVFDLTIRTFCRAGYCHYRTFYKLNLILDAMLKRK >ORGLA02G0125100.1 pep chromosome:AGI1.1:2:10986568:10987975:1 gene:ORGLA02G0125100 transcript:ORGLA02G0125100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELMSASKEFFRQPLQMKREFSNLNDGEQFRAEGYGNDKVRSKDQILDWSDWIYLKVEPEDERNLALRPKHPSSFRDALHEFAVRCRRVKRDVLRAMARIAGLDDDDQHFVDQLGGRATVHARFNYYPPCPRADLVMGIKPHSDGTVITVLLVARGADGLQVLRYGVWYSVPSSSTHALLTNVGESTEVMSNGMFRSPVHRVVNSAEKERISLAMRSG >ORGLA02G0125000.1 pep chromosome:AGI1.1:2:10979055:10981334:1 gene:ORGLA02G0125000 transcript:ORGLA02G0125000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAPEGAVEGSVVGRTEVPTEGSVAHKLTASAIEAMDVLDTLVVRFHIKGEFVTEVREKKYVRSVEALSYIPLGEILAHLREYYKAVEIEKHYKEVKKVKTAQLESLDDVLFEEQRYKPTMQASEDDGNETPYGGGEDEQSVEELGSDGEVRIRQDKQPRYKKKDRVHTFEHAMKFNXKKQFKKAITKYALVEKNAIDFVKDDQKRVLPINGELLCAVGRDGNNQMYPIAWVVAAKDNNEEWDWFIDLLCGDLQVGTGQGRAYLCKLEETFPRQVVPEEVLYMCKGPMQDAV >ORGLA02G0124900.1 pep chromosome:AGI1.1:2:10976502:10977469:1 gene:ORGLA02G0124900 transcript:ORGLA02G0124900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRQPEPTRGTPKPPLFVVRGDRRPPLRSKPEALPFQAQSFGQLQFAQKPAAGRADSSRSGHETKFRHEVDPGVLDRRRCAPKLVRLPCRSTCSTSAVEPITAEERPLAPSATKAPPQEGSRTPMVAPPMTPMRPVWQRRILMGMRCELPRFSGLILYDEHGRPIRGTTPGRSYPQWKKRNAKAATTLRDLL >ORGLA02G0124800.1 pep chromosome:AGI1.1:2:10960603:10967032:1 gene:ORGLA02G0124800 transcript:ORGLA02G0124800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDMLVLAALEEVCARLSLGLPVTEMWTALSGAFNTAGLPMDLAVKRVLFARLIALPIISLVVEGALAHPPRMDMEEAERYDARLLASRPLRDNFLGIYDHRCSASKLSDIQRKTLELIGASRTSSLTQCSLSKEAEIKGNNFHYVVKTLKSQGLIVGKQAIAKINTQAKRKAVSQDKRVISTNSLYLSRYAKNLDMNSYQRIEITKPKLGCNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKVLAISDIKKDLSYRMQSGHKAWRNVLHRLLGAQLVEKIAANVDDKIVHCLRLVKRFNPDEFKPKGTISNYKLGKKGLETDQVMELPLDICIYDMIDAQGPKGITLIEFGVGRGGGGLANVLGRLNRVSSMHDRFNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPNSVSPYGFVVNNKLLYEEDCHDEPPVHRLLSSHEACVGVSQPVEQDKVAFQRKRHSWPTSISDDRRQKRIIHILKIACLPVNQYSCMNYLPKYRCVGNRCSFSL >ORGLA02G0124700.1 pep chromosome:AGI1.1:2:10956429:10956783:1 gene:ORGLA02G0124700 transcript:ORGLA02G0124700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVVDWAKAAGVGQFLFVSSAGIYTPSDEPPHVEGDAVKESAGHVGVEKYIAEQFGSWASFRPQYMIGSSNN >ORGLA02G0124600.1 pep chromosome:AGI1.1:2:10945755:10949995:-1 gene:ORGLA02G0124600 transcript:ORGLA02G0124600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G03030) TAIR;Acc:AT4G03030] MASSSSSSSSAGRRLAGNLSLRPGVASIIPGLPDDVAAVILCLLTFPDQSRLRATSRAWRLLLSAATLLPLRRSLRLPRRHLLCLFPTDPSLASPILLDPAAPTAWWSLPPIPCSPQHYGLANFAAVAVGGQIYVLGGSRFDARSYPLDNPSPSAAAYRLDLALSRHRWERLPDMRVPRGSFACAPAPSGGVIVAGGGSRHPTFPSYGSRTSGVELYDAAARAWRVTAAMPRDRAGCVGFVAHGAGDGREDEFWVMGGYHGYTTVGGVVPSDVYCRDAVALGLWSGKWREIGDMWEEWERERLGPVAVISAEDGRVTEVFMLDGHDIFRYNFSSNSWSKEATLRRKIPNTQSCGFIAMNGELYVLTSAKLPVETSSPWKQSKKRLALEFQVYNPAAKMWRVLTTHPPVNVPIDFRTAALCTVEL >ORGLA02G0124500.1 pep chromosome:AGI1.1:2:10920651:10921415:-1 gene:ORGLA02G0124500 transcript:ORGLA02G0124500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDHGYYGGRDSPPQGYGYGGGYGYGGGYGYDAGGYYSGGGGGYPSAGAAAAAYEDPMVGRRTHDFPAPMNELEFQPSATCPKNYVIFDQTCTKSRVMFHPSLAHKFGGGSSAYDNNVYGGGGAHDAAKGAYRDNVGYDDDDSCSVRQKEDTDEIDALLSSEDGDEDDVVSTGRTPGYRDGSSPDSTCSSSYGGGQARPGRKKERMKKMVRTLKGIIPGGNQMDTPAVLDEAVRYLKSLKVEVKKLGVRGSDN >ORGLA02G0124400.1 pep chromosome:AGI1.1:2:10913550:10914083:1 gene:ORGLA02G0124400 transcript:ORGLA02G0124400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSDSATGGAAAAQAHGSDFDSIDPLFHVLRVLPFSFLRPPRTRLRLPSNLALPSPMTVFALILLTYFAVVSGLVYDVIVEPPGIGSVQDPATGAVRPVVFLPGRVNGQYIIEGLSSGIMFVIGGIGIILLDLAVDRNRPRSLRVSFGGSGVAAIVIAYAMAMLFLRIKIPGYLW >ORGLA02G0124300.1 pep chromosome:AGI1.1:2:10911701:10912652:-1 gene:ORGLA02G0124300 transcript:ORGLA02G0124300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEERPCRSARLDDEPEKRGRCEPAQGSPPTRSRTPRSSRRSPARTTSTTHSPFCHSWRMTPWLPTSCSSPTSSTSPSARATRPRRSCSSPVFGARGAAGIKPDLKAYNAAIAAYCKSDLLRDTNRLLLHDMPSNGVAPDAESYSPMRRAGVAPSVVTYNTMLRVYGDAALFGEAVHLFGLMRSAASDGSDRGGSIIKPNVVTYNTMIAIYGMSLGDEKAGSLVQDMQANGISVQKFR >ORGLA02G0124200.1 pep chromosome:AGI1.1:2:10901613:10901885:-1 gene:ORGLA02G0124200 transcript:ORGLA02G0124200.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVTERTYGCKSVGCKWVLKKKLRPDSTIEKYKARLVAKGYTQKDGKDFFDTYSPVARLTTIRMLLSLAASHGLLVHQMDVKTAFLNGELD >ORGLA02G0124100.1 pep chromosome:AGI1.1:2:10901001:10901612:-1 gene:ORGLA02G0124100 transcript:ORGLA02G0124100.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIYIDQPDEFVVEGQEGKVCKLLKSLYGLKQAPKKWHEKFDRTLTSAGFTVNEADKCVYYRYGGGEGVILCLYVDNILIFGTNIEVINEVKSFLSQSFDMKDLAVADIILNIKLIRGDNGITLLQSHYVEKILNRFVYIDSKPSPTPYDPSLLLRKNKIIARNQLEYSQIIGSLMYLASATRPDISFAVSKLSQFSSNPGDDH >ORGLA02G0124000.1 pep chromosome:AGI1.1:2:10882267:10883811:1 gene:ORGLA02G0124000 transcript:ORGLA02G0124000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAVRSLVVLFLAVASPFLVAGGGGAKLNASSSSSPLYGIEFPPFNAGVADGGCDGKLMVQGVEEVSRSPSLKLHMTHRSAAEAAAAGRTRKESFLDSAGKDVARIHTMLRRVAGAGGGRAATNSTPRRALAERIVATVESGVAVGSGEYLVDLYVGTPPRRFQMIMDTGSDLNWLQCVPCLDCFEQRGPVFDPAASLSYRNVTCGDPRCGLVAPPTAPRACRRPQSDPCPYYYWYGDQSNTTGDLALEAFTVNLTAPGASRRVDDVVLGCGHSNRGLFHGAAGLLGLGRGALSFASQLRAVYGHAFSYCLVDHGSSVGSKIVFGDDDALLGHPRLNYTAFAPSAAAAADTFYYVQLKGVLVGGEKLNISPSTWDVGKDGSGGTIIDSGTTLSYFAEPAYEVIRRAFVERMDKAYPLVADFPVLSPCYNVSGVERVEVPEFSLLFADGAVWDFPAENYFVRLDPDGIMCLAVLGTPRSAMSIIGNFQQQNFHVLYDLQNNRLGFAPRRCAEV >ORGLA02G0123900.1 pep chromosome:AGI1.1:2:10855827:10871677:1 gene:ORGLA02G0123900 transcript:ORGLA02G0123900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPESSHHHLLESGLLEVSKAPSAAVAAEEEEKKEAAAWTPSSSSSMTGRKIKSEASPLLRRLLGGAAAQLQEVLLGTKLYPLFSAVPLAVAAESLRLGRVWVFAFSLIGLAPLAERVSFLSEHIANTVGPTAGGLMNATCGNVPELIIALFALHKNKMEILKWSLLGSILSNLLLVLGSSLLFGGIVNIGKERPLDKRQADVSIGLLLLGVLCHIATLVSKYTSSTGDSINSSSVMQLSRSCAIVMLIAYFGSLMFQLKTHRQIFALEEDSSDSSSSEDDATDKSVIGFASAVVWLIGMAIVTAMLSSYVVTTIEEASESMGIFCQVHXYYPTTYCWKCSRACRRYHICLQEQNRYQSGNYSWISNSNIDACGTSHSNCVLGECHPYGSXLQPSXDWIIGNGSNHHRIYTSGXQMALLEGFESSIFLYRHSGVLFRHESSPNAKKGR >ORGLA02G0123800.1 pep chromosome:AGI1.1:2:10851674:10852051:-1 gene:ORGLA02G0123800 transcript:ORGLA02G0123800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAQAKDGPRSATSSPPVSGERARGHPPGDDRDGKAQRRRKQTAHCVAEGTARGGAEMLEPAVGWSTRRRTTKEMGPAAASDTLAVMPLLPPAGCRHRQRRGGRGREGENPTASPSMAAERERV >ORGLA02G0123700.1 pep chromosome:AGI1.1:2:10844332:10844526:-1 gene:ORGLA02G0123700 transcript:ORGLA02G0123700.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGLICLVFSGKLPGWQDFVGIITLLIMNSTISFIEENNAGNAAATLMGRLAPRAKVCSCDDCVL >ORGLA02G0123600.1 pep chromosome:AGI1.1:2:10824823:10831339:-1 gene:ORGLA02G0123600 transcript:ORGLA02G0123600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITEVTVVHHAALVLLALWAAVSAGWAHPAIFLAALLYLFAVNERYTMRLRKRIQHEERKCANQRRLLSDAETVRWLNYAVEKIWPVCMERVASEQFLLPIFPWFLEKFKPWTARKAVIQDLYLGRNPPMFTDIRVVRQSTDDDHLVLEIGMNFLSADDMNATMAVQLRKRLGFGITTNMHITGMHVEGKVLVGVRFVRQWPYIGRVRVCFVEPPYFQMTVKPLFGHGLDVTELPGISGWLDRMLDVAFGQTLVESQTSEVLGINIVQNATFTVLPNMLVIDLEKFASESTDSWFSVDEKPPIAHAKVEILEGADMKPSDPNGLADPYVKGHLGPYRFQTKIHKKTLNPKWMEEFKIPVTSWEALNLLSLQVRDKDPIFDDTLGDCSISINKLRGGQRHDIWIALKNIKTGRIHIAVTVLEDENEKVPNDDDEQCGTPKGGKASTPRSSFSSRTNNESESSEEFRKISAEYEPVDIEGLEKVDVWVHRPGSDVAATWEPRKGRARCQDSRILRENDVCCDSPKSCQSESQRSDSSTEEPANGKSRHHLHKVKKGLGKLAGAMFKSPKKESDDEASPCVTPHPNIKPLGEKRVSVRYVVDEDPSENLPDDQHSSPERGESESPTKRHLRKKAVHMVKHVGNKTAHNLKNMFSRKGSDKSKEGQDDQKDDPDTIVVEPSKVKKDNPDASEVDIDPSQVENDIPDSTAIDPLKVDSNVANNIVVDALEYASESDAKDKPQ >ORGLA02G0123500.1 pep chromosome:AGI1.1:2:10809937:10810888:1 gene:ORGLA02G0123500 transcript:ORGLA02G0123500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGAFGGNRGVRPVPPEKGVFPLDHLHECDLEKKDYLACLKSTGFQSEKCRQFSKKYLECRMERNLMAKQDMSELGFRSEDVMNPSPNKKNNLESSASGPNEER >ORGLA02G0123400.1 pep chromosome:AGI1.1:2:10808286:10808957:-1 gene:ORGLA02G0123400 transcript:ORGLA02G0123400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSAAPSSDACPGPDTAVRRRMSSTASLSLSFLPVRLSSSSSSMVRGSVRRQRVGRSNIQSAIGSGEGRRSARRCRLEVGCWRRPQRGGVGRWAQEANASSLREDGVGTEFSKARSRSGGAWLDFRVMWGLHEQWQAPVFSGHQREEELSPSPSRSLDASSLPSSHHAIVHWYLIFISIPHQDLRCCFV >ORGLA02G0123300.1 pep chromosome:AGI1.1:2:10805230:10805574:-1 gene:ORGLA02G0123300 transcript:ORGLA02G0123300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRRRPPPRALPCPAHLLPHPQALSARFSSPPVAAVTMFCVGLHGAVTTVASARKRPMDQWWEHLARTHSLKNQTLACDGKQARGCQVRSPLRWFPFLFAFLQFLLALPTVR >ORGLA02G0123200.1 pep chromosome:AGI1.1:2:10788713:10797904:1 gene:ORGLA02G0123200 transcript:ORGLA02G0123200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDADAAEVERLYELGERLSSAKDKSQHAADYEAIISAVKGQSVKAKQLAAQLIPRFFRSFPALAPRAMEAMFDLVDMEELATRIQAIRGFPLLAKDAEFVSKIADILGQLLASEENVERDAVHKALMSLIRQDVKSSLQPLFKHVESGSEIREKVICFLKDKVFPVKAELLKPQAEMERYITDLIKKSVLDVTGLEFKLFMDFLRSLSIFGDSAPRESFQELIEIIQAQADLDAQFNVSDIDHIERWTSCMYMALPIFMRGGSSSKFLNYFVKQIVPVFDKIPEEKKLDLLKTVAASSPYATAQDARQLLPPVVQLLKKYMPGKKVEDINHNYVECLMYTFHHLAHKTPNTTNSLCGYKIVTGQPSDRLGEDFSEHYKDFTERLTGTEETVRAVSKRLTQGMADFNKAISSAKTEEEKTKIKSDQQKSTMTMRAYNNILAMAQPLRAKSPLFIGDKKITLSWMEQPKKPAPTTTGGKRSQPATNGNTPASKKGRGEGAARNQLVNRAFEGLSRGGRGSGRGRGRGGRGRGWGYR >ORGLA02G0123100.1 pep chromosome:AGI1.1:2:10782126:10784166:-1 gene:ORGLA02G0123100 transcript:ORGLA02G0123100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFKMLNEEGQGDFVLLFAIDWPLVDISDFMYSTGTTKSYQVAFLCAFDEVADFYRSQLITSETRRGIVVATALEVDKASNCLSTIAATTLMFLHNRDYQRYALLEEVRNSLLKEPTLHDAIKIAVTYRKQELLQLEEQNNDPAEPEVVIVKDDEVVIEPVPKKKRTGNKGFTISEELLRGGGGA >ORGLA02G0123000.1 pep chromosome:AGI1.1:2:10776998:10777780:1 gene:ORGLA02G0123000 transcript:ORGLA02G0123000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGLCIINGHCQGFLGPCVGRVTCTSLPLAKMITLFAAKAIRCAQIIAKSLEKLKPQGMKEKPKKTVEIFKLPKLAILPIHFINTIPPNEPIFIRRDMVLV >ORGLA02G0122900.1 pep chromosome:AGI1.1:2:10772691:10773509:1 gene:ORGLA02G0122900 transcript:ORGLA02G0122900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSPIRSTSSGCSFQRTRAAASSAPWLRCACGKAAAVNKSNTPRNPGRRXIQCGKEPKCCSLWIWEDLLNEYVEEMVAYSHAGEDDGLRDMLRQLAEEHKEERLRMQGLVEANHRQMQSIYQQLNDSKKKCEQLKKMLKEEKCSRSRQLYVMLFLLAIIMYFYDKSGSSRYKLILCVCSKS >ORGLA02G0122800.1 pep chromosome:AGI1.1:2:10764272:10772213:-1 gene:ORGLA02G0122800 transcript:ORGLA02G0122800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:negative regulator of systemic acquired resistance (SNI1) [Source:Projected from Arabidopsis thaliana (AT4G18470) TAIR;Acc:AT4G18470] MATRRAPPPAAAAAADGSIEENAMAILDTAGIKDARDLHDDRCAFLETVRSACLAADSPSPPSWRMYNAVFQILQDSSSLELTMASLHLLMELGKQYPRAYLTDSGSGQALVAVKEAWSPFHLRSDVGCGEIGGNNRHLDHLFDSSRFSSLIEDMVETANDTDANNGIEHIKNMVLLEYLVSTLEADFVPRQIAYKESLDWVIFRESLLQMLLVSRKVAFKSLVKNCISFLLNQYNQGVEDGISSKEGSAKSAPDLESSLAIISFEFERKALASVQKLFTMVMNLDLIRKEADTMGLTSRADGCRNPILDVILDELTYNISYLSPFLLIFVEWKWKLEIILQYFSKYCGKPAVRTRRSDNSQHDLTLENVLTLFSTAATTKAIVKKMSSEVVQLLLANAYQVCLHLECDSSKDSDTTKKIGATLLQISESFVSAFHNMRKINPDMQLSPFEKEALFTAASMARVLKNKQR >ORGLA02G0122700.1 pep chromosome:AGI1.1:2:10759307:10762133:-1 gene:ORGLA02G0122700 transcript:ORGLA02G0122700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: protein complex assembly; LOCATED IN: mitochondrion, chloroplast; EXPRESSED IN: 19 plant structures; EXPRESSED DURING: 9 growth stages; CONTAINS InterPro DOMAIN/s: ATP11 (InterPro:IPR010591); Has 304 Blast hits to 304 proteins in 167 sp /.../ Archae - 0; Bacteria - 0; Metazoa - 101; Fungi - 112; Plants - 39; Viruses - 0; Other Eukaryotes - 52 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G34050) TAIR;Acc:AT2G34050] MRRLPLRLLRSAASSPRRRPAPSPPPSPNPAAPPALLSRWGWGWAPPRRGYSRFATGFTPLQPKPLASILDVERASGLSPDHLVAAWDDYHLGRGHIGASMSAKLYHLMEQRSATCRHFVIPLWKGTGYTTMFMQVQMPHMIFTGLEDYKARGTQASPYYTITHYTEFAETKDTVLIRGDVVFTSKLTDSEAKCLLESAHSFYLNDVRYRLVERFNKEPHEFEFKDVLQVLEMPTM >ORGLA02G0122600.1 pep chromosome:AGI1.1:2:10748293:10750179:-1 gene:ORGLA02G0122600 transcript:ORGLA02G0122600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAC-like 2 [Source:Projected from Arabidopsis thaliana (AT5G45970) TAIR;Acc:AT5G45970] MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIHKKWIPELRHYAPNVPIVLVGTKLDLREDKQFFLDHPGLAPISTAQGEELKRMIGAAAYIECSSKTQQNVKSVFDSAIKVVLCPPKPKKKNTRKQRSCWIL >ORGLA02G0122500.1 pep chromosome:AGI1.1:2:10744006:10747117:1 gene:ORGLA02G0122500 transcript:ORGLA02G0122500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPLAKLGTLALKTMSKPIAIRLKTEASRHPQFRQLIINLAQTNHRVSTNIQRRIYGHSTKVEIRPLNEEKAVQAAADLIGELFVFSVAGAAVIFEVQRSARSEARKEEARRNEVEALRQKEDQLAREIEIVKQKLGEIERQANSRGLSGVLFRSSSAPDQTKAS >ORGLA02G0122400.1 pep chromosome:AGI1.1:2:10708785:10709006:1 gene:ORGLA02G0122400 transcript:ORGLA02G0122400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAEKMVGCPASGKTKARSCRRMRQQAEMEFGRGDRFVSTPSGCGSVRKWSSAVPECFATPPSACGSKRKWI >ORGLA02G0122300.1 pep chromosome:AGI1.1:2:10665959:10667131:1 gene:ORGLA02G0122300 transcript:ORGLA02G0122300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPSPESHPTFRMTDICNTFSTIVAEAVSGSHVIKIAGYSRIKVLLRNGESLTSIPFSVAGHSWTIRFYPNGDSAESQDYLSFYLILDSANSYDVKVIFSFELLGKNGRSVSSYSFTTDLRTFSYKGSLWGYNKFIHQTVLEESSAHLRDDSFSIRCDIKVFKEIYSQETKGVHSKFVEVPPSNLHQHLGNLLDSMDGSDVVFEVREERFSAHRCVLAARSSVFKAELLGTMKEKADGAIQVDDMEPGVFKSLLHFIYTDSLDTMAQEDQSRDEASEEEDLVMAQHLLVAADRYNVERLKLICEEKLCESIDSSMVATSLALAEQHNCNGLKEACFEFLASPSNLLEMMASDGYDHLKTSCPAVLKELTTRFLPPETKASEEITIGLYN >ORGLA02G0122200.1 pep chromosome:AGI1.1:2:10659266:10659499:1 gene:ORGLA02G0122200 transcript:ORGLA02G0122200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLPPVSYNHFRLTKKERWVARVDGEDGGPVLAELGEGVDEEDLNEARLAAATALQDGTPVRLDALLEEEDDGVI >ORGLA02G0122100.1 pep chromosome:AGI1.1:2:10653852:10656415:-1 gene:ORGLA02G0122100 transcript:ORGLA02G0122100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDERQEAVVVAEEAEQTKEMERVRGNSSSSKRSEPVDLVKEEKEEDGEDWFDALLRKLGHPFTVDEKGRPVDDADDREKGIWNASMVYMRAQNMSSEYDMVPNNLVLYPMMFEKRRWYHCNILGCKRLNDSTGEHSTQQRLFVELIINGGFIYNVLSCTKVDGDIDNNLCIACPPNSGIVHPPAGGFICGVSKDQKIFKVPFY >ORGLA02G0122000.1 pep chromosome:AGI1.1:2:10639572:10640540:-1 gene:ORGLA02G0122000 transcript:ORGLA02G0122000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTNNTSSSVIATETTSASHVIKIDGYTVTKDLMENGEFVSSIPFSVGDFLWNVRYYPNGNCSKNADYLSFSVFLESHWAEDVKAKFSFKLLDTNDKPVRSRNFISNTHNFSRRGSNWGYSRFIKKRDLEQSEHLIDDSFTIRCDLTVMKGFSSKGSHCKPSVEVPAGRLDLHLGNLLSNKKMNGKDVTIYVGKERFRAHKCILAARSSVFRALFFGAMIAETPRTIEIEDMEAGVFRLLLHFMYNDSLPETWSQDVMMAQHLLVAADWYNVGRLKLICEEKLAKHIDCNMVVTTLALAEQHSCQGLKEACLEFLASPTNL >ORGLA02G0121900.1 pep chromosome:AGI1.1:2:10633502:10634247:1 gene:ORGLA02G0121900 transcript:ORGLA02G0121900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSSNRLCALPSAVKAYRLYGEHVLLLILCSHHLPATXARHLWSCFSSCCSSLRFRDSRSSPMRWLKELQKINFAARSSSPIR >ORGLA02G0121800.1 pep chromosome:AGI1.1:2:10604055:10604498:-1 gene:ORGLA02G0121800 transcript:ORGLA02G0121800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIPVGQSTECREYLSLYLFLDSFARDGKATYSFKLLDKNGRPLLLNSIASPIRTFKLRGTGWGYPMFIKSKDLKASESLRDDSFSIRCIVTVMKPICSKETPAMPKPSVEVPPGDLHQHLGDLLKNMDGADVTFDVRQERFSAHKCFH >ORGLA02G0121700.1 pep chromosome:AGI1.1:2:10600140:10600484:-1 gene:ORGLA02G0121700 transcript:ORGLA02G0121700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDVGSVDDTPVELPKKLYIGPPSAKTIQLPDGRHLAYKEQGVTADRARFSLIAPHSFLSSRLARIPGIKPSLLEEFGARLVIIN >ORGLA02G0121600.1 pep chromosome:AGI1.1:2:10599296:10599538:-1 gene:ORGLA02G0121600 transcript:ORGLA02G0121600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYIILCSYEICIYGMWGTILFVGYRIVYLPNGSQLCSNLKFTINFILYEYHVVLRICIGSQCVIIILAKGDICRYTKS >ORGLA02G0121500.1 pep chromosome:AGI1.1:2:10596792:10597598:-1 gene:ORGLA02G0121500 transcript:ORGLA02G0121500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSTVFLVLSLTLATVSVVVVSGAGEAPSPAPTGPLNLTEILTKAGHYNTFVRLLKDTEVTSQVSSLLNNDRNGDGLTVLAPTDAAFGRLRPGTLNRMDAQAQAELVLYHVLPRYYGFVTFETTTNPVRTQASGQRGVCTVNVTTAGEDRVRVSSGVVEAELGRPLRDGHPLAVYSLDAVLLPPDMFGPGAKKDYGAADAPAAAGKHGKPQTASSSSVAAAPDEAPSKEVDATATAAAGRMAPAGWAAAFAGVVTAVAAVSLLSY >ORGLA02G0121400.1 pep chromosome:AGI1.1:2:10589629:10593748:1 gene:ORGLA02G0121400 transcript:ORGLA02G0121400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEHRFYDSNGDVSRYMLDDMEFLPLFGEAEAATTLPLPVLPDVEPLPTAPAPAATAHVEPAPAADFGLGNPVLADLGFDVDLDFFPELNFHSPPPPPAMNAGGYTPQVQASPPVMHHQQQQQQPLAPLPAAHGFAGQPAPATTMAPSGGDDGLFLAAPSRDAPCSPVMFNFMDFNVDMGDVDMDDVLMWADQDTHGAAAGGDTAPPVVVDEYADFVPFQAGDLDCSNCHLVREMMHANASRTIYFLVHATGVGSFQHAIVDRRYTATGAEGLHFPGRQLLYFDLTNHTIESASDFIASNVEKLKNDTTGHHFLDTGYNFSGAVRTDMANSHTAMEMNMLHTIVSAPFENVTTDAASPPAAQFIGAPPAAELPAPVPAPAPPAAHEQNAVVATLLFKVEEFYAAANSRPAAKRADVKILESSQVTQQAGGSSAATATMYPSMVDRKRKRAQATPSRMAPHEVIQYLRATAVETDKELETLNNFFKVCDGEDKALITFSVEQVRMCMENDHLHASSC >ORGLA02G0121300.1 pep chromosome:AGI1.1:2:10575549:10576938:-1 gene:ORGLA02G0121300 transcript:ORGLA02G0121300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWFHSSSSSSSTHHHHRHVVHHASAYFDGDDDEGDVKPAVTQHWRYDARAAASYGGGEDVKPAVVVKQPPLPRPRVGRGVHDRAEETTALSWPVVEPFRSTLRTQESLAGIRARYSVPEGFGLHPAGANQTACAPPPVTPRGGRGGGAAAAAVPICVYAQAFAAGMRLPLHPFVSDALAHFGIAPSQLAPNGWRVLAGFAVLCHFRGVGAPSLPVFRHFFTLAPLPKGKGWYSFRARESVPALFTGLPSSVKAWKEEFLFVSPPPAAPWRCPVRWGTPSKEATSDPALTEREAAVARRLTQGQGVVDLKTYLSESNLVAAKISSAPACLGGTEASRGSSVAAGKKRKVLGDGVSTGGGVLRSELQAKDKALAKAQGEISRLKAQLGSAKARELEEARQALEYERKLGTQVLKSDGAAAGASKRRRGGQ >ORGLA02G0121200.1 pep chromosome:AGI1.1:2:10571871:10574706:1 gene:ORGLA02G0121200 transcript:ORGLA02G0121200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHSSPRLLLRHRLLLPPSRDLLPAASASALRLRRSVAVRAEPELSTSAAEPPPGDDGEGDGPVELRTPTLFSIDENPTPLQTATSVLLTGAISVFLFRSIRRRARRAKELRVRSGGVEKPNNLSKEALEGLRLVSASPIEVDKPPSPVQALLGGIAAGVIALILYKFTTTIEAALNRQTISDSFSVRQITITIRTIINGICYLATFVFGINSIGLVLYGLQLTFASIMGDDNSSSAAEKISEQSNTMASSNSSTDSTSDNESTSNDKSKG >ORGLA02G0121100.1 pep chromosome:AGI1.1:2:10547066:10557425:-1 gene:ORGLA02G0121100 transcript:ORGLA02G0121100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMLGLRGSASKDRDRGRRGGDEASPGPGSPWTPSSSASSPRSPFAGGGGGRPLRLVYCDERGRFRMDPEAVAALQLVKGPVGVVSVCGRARQGKSFILNQLLGRSSGFQVASTHRPCTKGLWMWSAPIKRTALDGTEYSLLLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRANGGKSTASELGQFSPIFIWLLRDFYLDLVENDRKITPRDYLEIALRPLEGRGKDISSKNEIRESIRALFPDRECFTLVRPLNSENELQRLDQIPIEKLRPEFQAGLDELTRFILERTRPKQVAGTVMTGPVLAGVTQSFLDAINNGAVPTISSSWQSVEEAECRRAYDSAAEVYLSAFDRTKQAEEDALRDAHEAALRKALEAYGTVAVGTGTSRMHYEKVLSNFCRKTFQEYKRNAFLEADKQCSNMIQIMERKLRAACSAPGVKVSNVIQVLESLLTEYETSCSGPSKWRMLAAFLRQCLEGPILDLCLKLVNEAESERTSFALKYRSNEDQLELLKKQLEANEAHKSEYLKRYEAAISEKQRVSEDHSAHLANLRTKCSTLDERCLSLSKELDLVRHECTDWRVKYEQYVTQQKAEQDGFISQLATLESRYSSAEGKLGAAREQAAAAQDEATEWRDKYETAAAQAKAALERLASVQEQINKIAHERESGIRAEFASHLEEKEEEMKRLVAKIRHAESEESVLAERLQVAESKAQNHNKETAALKDEIRELTGKLEFLRDRAVSFEKQARMLEQEKNHLQEKFLSECKKYDEAEERYKAAEREAKRATELSDVARTEAVTAQKEKDEAQRLSMEKLAVIERIQRQVDRLEQEKVNLLDEVQKMRKSETDALSKVALLESRVAEREKEIEELMIQSNEQRSSTVHVLESLLSTERAARAEANKRAEALSLQLQSTQSKLDVLHQELTSVRLVETALDSKLRTTTHGKRLRENEVGMESVQDMDIDRPERSRKRSKSNTSPLKHFQSEDGGSVHMGEDSVTVSTDTKDGNPDGYKKLTIAKLKEELTKHGFGAQLLELKNPNKKDILALYKKLVLGK >ORGLA02G0121000.1 pep chromosome:AGI1.1:2:10542040:10545992:1 gene:ORGLA02G0121000 transcript:ORGLA02G0121000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKLLQVERGATEEELKKAYRKLAMKWHPDKNPNSKKEAEAKFKQISEAYEVLSDSQKRAVYDQYGEEGLKGQVPPPGAGGPGGSSYYGGDGSTFRFNPRSADDIFAEFFGFSSPFSSMGGMGGMGGMGGGVDRGMRGSKFGMYDNDIFGSFSQFPGEASMHAPQRPQKAAPIENRLPCNLADLYKGTTRKMKISREILDSSGRTMVVEEILTIDIKPGWKKGTKITFPEKGNESPHVIPADIVFVIDEKPHDLFTREGNDLVMTQKISLAEALTGCTVQVTALDGRNLTVPINNVVYPGYEEVVLREGMPIPKDPSKKGNLRIKFNIKFPSRLTSEQKSEIKRLLAS >ORGLA02G0120900.1 pep chromosome:AGI1.1:2:10535115:10538067:-1 gene:ORGLA02G0120900 transcript:ORGLA02G0120900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDAAILAAVVAFLLPLRLLSLLARLSLTGSAGDLRRPCAAFALSAALLAAIFALPRDHARECAAASVVVPDDGEGAFRGEVRSDIEQLKLQLARLESMWDNNSKPLDGKSGALEEEDGEVVRAMGLDIQSLINEHENIKESLCGSYSDNTIKAMEKEDSNSNGXIKKDELQYSQYMVNGKGYRXXSQRSAFRCXYGSGSNGXIKTDELXCSXIMVIGXGYREKSRGSTFRYEKGYGILECLSIEKTKSLVIILLSTLNLMHERG >ORGLA02G0120800.1 pep chromosome:AGI1.1:2:10529364:10529687:1 gene:ORGLA02G0120800 transcript:ORGLA02G0120800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFTSPMFHRLPAEDNDAPASVSGSSADADEHRGLKVALRHRGRRSGRQGGWGKASAAAMEAVRLEEPERRWAKEEVGRRLEGWPAALSAQLGGDDGDKGRRGRR >ORGLA02G0120700.1 pep chromosome:AGI1.1:2:10520677:10526038:-1 gene:ORGLA02G0120700 transcript:ORGLA02G0120700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASCCCAPPESVSHTRRISYKYSGTSYPTRTTTTSSSAPEFTNKKQSTAMAPTTAAAAASSNGGGESDGSSKEWRLTAPTRGGAMAAAGDKMSIRAVRYKISASVDDRGPRPVLPLAHGDPSVFPEFRTAAEAEDAVADALRSGDFNCYPAGVGLPAARRAVADHLSRDLPYKLSSDDIFLTAGGTQAIEVVISILAQPGTNILLPRPGYPNYEARAAFNNLEVRHFDLIPEKGWEIDLNSLESIADKNTTAIVIINPNNPCGNVYTYEHLSKVAEVARKLGILVITDEVYGNLVFGSSPFVPMGCFGHIVPILTIGSLSKRWIVPGWRLGWVAICDPKKTLQETKIATLITNFLNVSTDPATFIQGALPNILKNTKEEFFKRIIDLLTETSDICYRGIKDIKCITCPHKPEGSMFVMVKLNLYLLEGIHDDVDFCCQLAKEESVILCPGSVLGMKNWVRITFAIDSSSLLDGLERIKSFCQRHKKKNPLNYI >ORGLA02G0120600.1 pep chromosome:AGI1.1:2:10508638:10514791:-1 gene:ORGLA02G0120600 transcript:ORGLA02G0120600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAVLFLLHHHLAFFGLRISPSVSAPSPRRRSAGEVALLAVVVIAALLTATTHAASATIESRPADALRSEVDELRLRVLHLESLLEENTKTLKSKANNLEENSNLIGTMEHDIEILMNKYESTKKSQSKSYPESNVKALEDEVQLLWRVVRKMNENADSIESLANGAEKRVESLSSEVKKMEGVIAEQWIQIRQLEQAFVLTKMMTSKVHQRSRLSETAYKWPGKDLVLKYFRNLHGTFLMGVSYTKSCFSHTYKHGRSFIQAMNRPYHEVSRFCKAICGQHIRDVDKPNVFFLGGSISRSCISAPYKQLKIFMLLAQNFHHKVQIFLQDAMRSNSYSRGFATEIITFCLAYFVVISPMWILWFLYSTRFGSKK >ORGLA02G0120500.1 pep chromosome:AGI1.1:2:10501099:10501296:1 gene:ORGLA02G0120500 transcript:ORGLA02G0120500.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRAKPGSLTSAAGDSTPQANLDGSDETNLSKTAPTEKNFNSGVGEKRARLSTTHCTQQVIPEEEV >ORGLA02G0120400.1 pep chromosome:AGI1.1:2:10499011:10500915:-1 gene:ORGLA02G0120400 transcript:ORGLA02G0120400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHYNKLGMDVISLFPSRNPIVFRYRMSKKLSKVVRTMDVLVRQMNDFGFTQRQQVTPSMQWRQTDSIMIDSDKDIVSRSRNEEKEKIIKILVEQEGNGGLMVLPIVGMGGLGKTTFVQLIYNEPLVKEHFSLQRWCCVSDDFDIGNIARNICHSQEKNHEKALQDLQKELSEQRYLIVLDDVWNRDADKWGKLLTCLKQGGRGSTILTTTRDAEVARVMTMGVPGAYNLEKLGNKYMKEIIQSRAFRVQKPNSDELDVIVDKIVDRCVGSPLAAKAFGSMLSTKTSMQEWKDILVKSNICNEKTEILPILKLSYDDLPPHMKQCFAFCALFPKDYPINVERLIQLWMAHDFIPAREEDNPDMVGKEIFNDLAWRSFFQDVEQAPPPTGYYVRRPKFRYIMVCKIHDLMHDVALSVMGKECATIVNMPDMKSFINPTRHLFISYREIHTHLDGMLKKQSPTLQALLYTDPYTYVSPPRLSKHNSLRAMQLCRLRKLPIRPRHLQYIRYLNFSNNWWIKKLPEEISLLYNLLTMDVSDCDSLCRLPNAMKYMKNLRHIYTGGCESLECMPPDLGQLTSLQTLTFFVVGSSSSCSNVSELENINLVGELELTGLENVMEAQAKAASLGSKEKLTHLSL >ORGLA02G0120300.1 pep chromosome:AGI1.1:2:10497925:10498725:-1 gene:ORGLA02G0120300 transcript:ORGLA02G0120300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVEFPALKKLQLHDLESFESWVATPGKEELSFPVLEEIDIRNCPKLTSLPGPPKGVLTSSSYGGAGQVIQSRLIYRWSMAMTSRPQVSQRSQEITIYLA >ORGLA02G0120200.1 pep chromosome:AGI1.1:2:10491700:10492167:-1 gene:ORGLA02G0120200 transcript:ORGLA02G0120200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKKLVQFGKGFFERKEESTSTDMPLGTAIHNIEITRGRGGQLARAAGAVAKLIAKEGKSATLRLPYGEVRLVSQNYLATVGQVGNVGVNQKSLGKAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGKAPIGRKKPTTPWGYPALGRRTRKRKKI >ORGLA02G0120100.1 pep chromosome:AGI1.1:2:10491126:10491341:-1 gene:ORGLA02G0120100 transcript:ORGLA02G0120100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPGMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYESARKDTKSRR >ORGLA02G0120000.1 pep chromosome:AGI1.1:2:10480802:10483871:-1 gene:ORGLA02G0120000 transcript:ORGLA02G0120000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDHWISRLMAAKRQYALQRAQNHHHATATATATSHSHLDRYGYDDVEPEDEVRPDFPCPYCYEDHDITSLCAHLEDEHPFESKVVACPVCSARISKDLLDHITLQHSYLFRLQRHHRLRRVAVPSNHALSLGGRDLQETYLKVLLGNSSRSSGTNAASSVTDSLLSSLVLNLSSSEAEDTAKFSAPAVVENNWFKRTLPSKTWKASSDSNLSQEERERRRRRAAVRSSFVQHLLVSTLFDD >ORGLA02G0119900.1 pep chromosome:AGI1.1:2:10474694:10476319:1 gene:ORGLA02G0119900 transcript:ORGLA02G0119900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G43820) TAIR;Acc:AT5G43820] MARRLLLLHHLRRRYSVSVSSAEDMVVSSLRILSSASPSEPTTLPPPTIHPDPDATATSPTTTAAAAALLSPADRLRGVFLLKPPGRAALHRALSSTGIDAAAALSPEVLSGVVSHGNFSGAATVDFFDWAIANSKLPPSVDTCNIVIRALGRRKFFAFFEPALEIMRKNGVSPDISTLEIIIDSLIAARHVNTAIQLINTDHFGLGVWQTCQRKEIFTVLINCLCRRSHVGLASSLLQASRGETIDLDNHMYNEVIGGWARFGRVDKVEHFWETMLEDGLVPDQVSYCHLIEALGRANRAEEALQVFEKMVHEGYCPTTMAYNALIFNFISVGDFDRCIKYYKDMLDNNCPPNIDTYRKMIRAFLRERKVADALQMFDEMLSRGILPSTGMITLFIEPLCTFGPPHAALLIYKRSRKAGCRISMKAYKLLLERLAMFGKSGTVLQIWEEMQESGHPSDKEIYEFIVNGLCNVGKVDAAVSVVEESIRKGFCLGRVVYGKLNNKLLEMNKVETAYNLFKKVRDARVIANSRNYWRANGWHF >ORGLA02G0119800.1 pep chromosome:AGI1.1:2:10473446:10474189:-1 gene:ORGLA02G0119800 transcript:ORGLA02G0119800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CHHLSLFPLPSSLSLTFLFSEGRPAGGDWGGRRGEAGEEVPQSPTGHAAAATRDKGRAAAAVPTGPHRARTRRAAPTRVELGPASPCRRRRSEPRAPAHGEEELALPRVPHPQRLERRGRALAAAAVDRDERLDAGNGLGREPERPVQERLGAVLRRRAAVIAAVDLRELVVRESDLRRAAHPSHELTNCMAPTPSNMQWLMARPSTNPPHLNLVK >ORGLA02G0119700.1 pep chromosome:AGI1.1:2:10460442:10467633:-1 gene:ORGLA02G0119700 transcript:ORGLA02G0119700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQGKPSQGSPARSDGRGIDHLMRKNRYVPVASSRLSDPLPAAAPAAARLLLRPQGQQQDAARGSGRRAATAAVERELQTDQRDGGNDRQTDHHQAMDESSAAAVAEAEATAAAAAVDEEETPAPAPQPAPRREDELVGQGTYSNVYKARRGGTGGVVALKKVRFDTSESESVRFMAREMMILRRLDHPNVIRLDGIATSRMHRSIYLVFDFMYSDLTRIICRPDHRLTEPQVGKMLATWIEGGVESGADEVKRKLSTKAINGRVEKGAVPDHEVESKPRAVAVGRSGSWFARSRGTRRIKCYMQQLLSGLQHCHERGILHRDIKGSNLLIDRHGVLKIGDFGLANYYGRRRPLTSRVVTLWYRAPELLLGATDYGVGIDLWSAGCLLAEMFSGRPLMPGRTEIEQLSRIFTLCGSPPDDYWRKMRLPPTFRPPRTYKPSMVDKIAFLPPPALALLATLLALDPAARGTAAQALQSSFFSTPPLPCHLSELPVVYKEEDEVAASHDGRKPKLRERSHKRRDNKPKAEEQHKDKEQNLNSSPSNKEEKIMEDTKKSAQDSKRFSDGQVQEVFPKGSPAPQDQQVPRTNTYSPDNDHHKNHKVVIFNHNLWTLGIVLVYVAKGPLAFWIPGCSTTGLQGGCLRV >ORGLA02G0119600.1 pep chromosome:AGI1.1:2:10457780:10458165:-1 gene:ORGLA02G0119600 transcript:ORGLA02G0119600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSQLLLLTLALVLLSSNKLANASAESNINPEADCSPAVIMIGDKCIPNVCLHKCLALGAARGNCIERPACNCDFCGPNAPPPSIVQ >ORGLA02G0119500.1 pep chromosome:AGI1.1:2:10412026:10412682:-1 gene:ORGLA02G0119500 transcript:ORGLA02G0119500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTPCRLAISVILLCCFSLLPLAHARLLLSDKNTLNDSKAFSIKGGDGAGGGRGFGVSISHGGHDTSIGIGGGFGGGAGTTRGGGASVGGGAGGGVGIDVGHGGVDVGIGGGGGATVNGGGVHAGGGGGGGVGVHIGRGGVSISTGGGGGAGGGESAGSSGGGFGGGNGVGRAGNAVGGGGGSGSVIGSSGSGGGSGVGSTSSAIGGGSGSGGGQG >ORGLA02G0119400.1 pep chromosome:AGI1.1:2:10389539:10393455:1 gene:ORGLA02G0119400 transcript:ORGLA02G0119400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:I1NZN8] MAAMAMDHDHDHASSPAPPARLPAPPYHHSPSAAAAAAVEEVATPPRKSSAAGAAAQRSSPMPSPLQLSGCSLQELLLMSPPPGSARRNRSRHRGAGLDESLEMVAAPAAGTPPRRRRRVAAEQCAAPAVASPRNGRRARRRLEKEIEVEDDAARRARRRKSTRAAATKAAPAAADKVATAAAADKEDTSMALVLASTDAIRGADALEQSGWEDLWERIVDLVMWKNVAKSALWFGLGSMFFFSCSFSREITFSPISALCHMGVMVLGLAFFKDSIPQSRQQVERGRSFRLTEDDVLRAARAVLPVANSMISTAQVIFSGEPSMTLKVLPALLFGAKYGSLVTVWRILATAFFASFTLPKLYSCYSSQIHKRVENLTDRALEAWKSCPRKKLVAGTAVTMCWNLFSVKTRIIAAFISVVILRYNQKYRKAVVDTGVESCQDEQEQKMEIED >ORGLA02G0119300.1 pep chromosome:AGI1.1:2:10378241:10382511:1 gene:ORGLA02G0119300 transcript:ORGLA02G0119300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGGGKRRGDDGAEAAPRWRFSRPSSQGGPLAAAGLTSIRAVLNRVNSSVDAAAAGGPRPVLRLGNGDPTASACYRTAPAAEDAVVDALRSGAHNGYSLTVGVLSARRAIAEYLSRDLPYELSANDIYLTSGCVQAIEVMISVLAQPGSNILLPKPGFPLYESRTTFSNLEVRHFDLIPERGWEVDLEGVQAIADENTAAIVVINPSNPCGSVYSYDHLAKIAETARKLGLLIIADEVYDHLAFGNNPFIPIGVFGKTVPVITLGSISKRWLVPGWRLGWIATCDPNGILKEAKVNQSIENYINISTDPATFVQGAIPQIIANTKEDYFNKILDQLRNAADLCYDKIKDIKGITCPHKPEGSMFVMVKLDLSYLDGFHDDMDFCCRLAKEESVIVLPGSALGLKNWVRITFAIDIPSLVDAFERIKSFCQRHGKLEN >ORGLA02G0119200.1 pep chromosome:AGI1.1:2:10366554:10370697:-1 gene:ORGLA02G0119200 transcript:ORGLA02G0119200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRGPGQLSTAPSQLQPSLIPDSPIPTAPSQRRGGVVAREMTGGESEEGEADGNHPSVTLALQSPFTVEPCVVAFPRRCHHIKAPHAWSSFPEAGCNGRSLAKAPSRSGQLPQQHRVHGPLHCSVRGSGLFCQRGHCLIHHRRGFFPFPKCTTYLPLRKLFFSNEIDRIPMAVATRLMLALMYHVVMGDDLDVRTDKPSLDMFLAEMRRFEVLPYLQINMLPRSKLLTLWPTGDFGKILSCFTMAFHCLAHEIVSASCMVVQLFGDIKEEITIWREAGFMDWQEYDTAVQWWEGFVSIPRIPKKPLKTLIIMFSWILSNEKNARIFRNTAQTPTALIQAIKDGAALWYRAGARCLTEFVPSGELNERKRKDLPEYDANAHSSDPGYQRWSCV >ORGLA02G0119100.1 pep chromosome:AGI1.1:2:10360032:10365361:1 gene:ORGLA02G0119100 transcript:ORGLA02G0119100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGAGGEGETAGWRFGAANPALAVAGSQSIRAAVTRIYRCLDGSGDARPVAPLAHGDPSAFACFRAAPAATDAVAAAAASGKYNHYSPAVGIAPACSAVAAHLSRELPYAVSPADVVLTAGCNHAVEIMMSVLASPGANVLLPRPGYPLYASRAALSGLEFRHFDLLPDSEWEVDLAGVEALADANTVAMVIVNPNNPCGCVYSRDHLAKIAETARKLGIMVISDEVYDHFAFGSKPFVPMGVFGDVAPVMTLGGISKRWMVPGWRLGWIAATDPNGILRNKKIIDSVIDYRAISVDPVTFVQGALPDILAKTDDAFFTNALGVVKKAAEICYEKLKEIDCITCPHKPEGSMFVMAKLDLSSLDGIEDDVDFCSKLAKEESVVICPGSGLGMKNWLRITFAVDPQLLEDGLERTKCFCKRHGKIKEAS >ORGLA02G0119000.1 pep chromosome:AGI1.1:2:10348222:10349382:1 gene:ORGLA02G0119000 transcript:ORGLA02G0119000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGDASAAAWRFGAANPAMEAARSQSIRALVYRVYACLDRGDARSVAPLGHGDPAAFACFRAAPAATGAVVAAAASGAHNSYAPAAGIAEACSLCDNAFAGEIPDELHNCTALDVAYLNNNNLDRRRHSTVA >ORGLA02G0118900.1 pep chromosome:AGI1.1:2:10340295:10340861:-1 gene:ORGLA02G0118900 transcript:ORGLA02G0118900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATAAGSGRRSARRRWRAVRWRRHRARGRRKELMWADGWRRRPRHDDGDGVVATRPDGDRVRRRLPGPATGAREGGGLVGGARPGAAAARSRMKSASTTASVAFGQGKAEAVGGEDRRERSGGPIPRGRCKRRRGCGGGGGGDGGGRRKGRQRAATGMAPAPVARSRV >ORGLA02G0118800.1 pep chromosome:AGI1.1:2:10332655:10337450:-1 gene:ORGLA02G0118800 transcript:ORGLA02G0118800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGAMTALIAKLTTLLMDQYKLQNSARGDIIFIKAELESIQAALEKLFEVQVTDRQVKIWERDVREQSYDMEDIIDNFMVHVETHLLAKPHELKGFMKGSLSLLRRAMVRYRVATDIKRIRRLVNETSARRDRYKVGTIVAAAKTETAIDPRLIGIYGEATKLIGISGPKEELTKLLMDSKGNSKNKLKVISIVGVGGLGKTTLANVIYQQLRGQFECHAFVSVSLKPDLKKVLSSILRQFSEQGYAWTETWCAQEIINKIRDEIKEKRYLIVIDDIWEKSAWECIECALIENDRGSRIITTSRVLDAATPCSSEVDHTVYKLQPLSSDNSKKLFYKRIFYCEDGCPLELKDISEKTLRKCEGVPLAIITIGSLLAMRPQNLYQWDRVHNLIGSGLEKSHHVENMRHILSISYYDLPAHLRACFLYLSIYPEDYNIQKDQLIRRWISEGFILGEDMDTLHEGGKYFNELINRSMIQPAYIDSHGRVHACRVHDMVLDLITSLSNETNFVTSLGGQQPTYHPNRARRLCLQNSIYDHTIRQEKMKWPRVRSLIVFPHATNLLPSLSRFCILRVLDLEGCQDLKNHQIEGISDLFHLRSLVLKDTNIGSLPKKIGNLSCLHTLDIRHTIITELPSTVVHLRRLVRLLIDASVKLPDGIGKMECLQEISLVGISKSPNFLKELGSLTELRILQISESTGAWHDSYENTLIDSLCNLHKICDLYIHGCKLSTEFISNIRCSPRYLRYLSCGQLSILPRWINSSLLSLSTIDLILNVLRQDDLHSLGALQSLCCLRLNVFKIEPERLVVGTEHAKFHWLAEFSFTTNAMGLIFSQYSMPRLENLELAFNVXETKYFDIGLEHLSSLKDVTARIDCRDSSIFEVQNADAAIRRIAYMNSNQPKVHVIRHYEHMLMDEVKIQKETEEKEILENRIGPWGGNGGVTCDIKVAPKRLESITICSGIIIDALAFSYLDKDGERHTTSLWGGLGGSVQLIDLDEREFLMEVIGTVGPFNVLSEAITSLTLVTNVRSYGPFGQPQGTPFRTPRKKNSCIVGFFGRSGTYLDAVGVYFHPM >ORGLA02G0118700.1 pep chromosome:AGI1.1:2:10324065:10329566:1 gene:ORGLA02G0118700 transcript:ORGLA02G0118700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIQVPNPTDPELAQRLVLDLLDPDLRGHALAELRKKREMFPDLAPLLWHSFGTIIVLLQEIIVVYPVLSPPTLSLLASSRVCNALALLQCVASHPETRSHFLKAHIPLYLCSFLENTSKTRPFEYLRLTSLGVIGALVKAEGTEVINFLLQYEFVPLCLHAMAVGSELSKTVATFIIEKIVLDDAGLGYICATADRFFAVGTALAGMVTSMDDKPSPRLLKHIIHCYLRITDNPRGLEALQTCLPTTLIDGTFNNLTKDDPTMQQWLQELLVKVRSGKMGGLPPPVHGHMPRV >ORGLA02G0118600.1 pep chromosome:AGI1.1:2:10315559:10315909:1 gene:ORGLA02G0118600 transcript:ORGLA02G0118600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTGGQDGNVKLGLRLNLYRVPRRPFCCPLCVLFVCQDGREADLARPSEGAIRHGSSGQRRGLRRSSLASYWFRCRMLLGRSIEEDVSSTLHDHHGLGNSAHRVPGSCVVYCVYP >ORGLA02G0118500.1 pep chromosome:AGI1.1:2:10307422:10312385:1 gene:ORGLA02G0118500 transcript:ORGLA02G0118500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAAAGPSDDGLRKLEYLSLVSKVCSELETHIGVGDKVLAEFITELGRDSASVADFDTKLKANGADLPDYFVRTLLTIIHAILPPPSDSRNPSSASQPAAGGSKFPGLSRPDDPDRARNLRLELERDAEEAAATAAPAPARDDRGRRRDERGRDRGRDDRGRDDRGRDRDYERRGRDHDRSRGRDRDHGHDRDRDRACDGDRQRGRDYGHDRDQDRDHDRDREGERRRDRDKDRGRDIDRDMDRDHRRGRRYDDEEEPEQFGGRKEGALVNSSGEPELYQVYRGRVTRVMDTGCFVRLEDVRGGREGLVHISQMATRRVANAKEMVKRDQEVYVKVVSVKGQKLSLSMRDVDQDTGRDLLPIQRGGDDAPRANPSGGSASGVGVGSGKRLGLSGIMIAEEDEVAPPSRRPLKRMSSPERWEAKQLIASGVLDVRDYPMFDEDGDGMLYQEEGAEEEQEIELNEDEPAFLQGQSRFSIDMSPVKIFKNPEGSLSRAAALQTALIKERREVREQEQRAMLDSIPKDLNRPWEDPMPDTGERHLAQELRGVGLSAYDMPEWKKEAYGKALTFGQRSKLSIQDQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLIKRRSDMRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDHACQCLYERMKGLGKDVPELIILPVYSALPSEMQSKIFDPAPPGKRKVVVATNIAEASLTIDGIYYVVDPGFAKINVYNSKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGSTVLNMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDVVSAGRNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLTELAPRFYKSADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >ORGLA02G0118400.1 pep chromosome:AGI1.1:2:10304833:10306436:-1 gene:ORGLA02G0118400 transcript:ORGLA02G0118400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYYQPVPKRTLECGAGTPTGLKKRANVTKKFNPDATTSDLNRHRSIDGYHGETKDKVGGGTSDHSKGRKGLEDFGYQSKSHELSSIHPDIGSAYRICFIASLDCARFLLMQGMAFSGPGQSPTSINKGNLLELIDLYKKRDKEVERAFDMNVVGNIDITSPLIQKGLAKACAQEVTEVIIGEIGDGNFTILIDDSHDMAEKYQMAVFVRFVNKKGKVIERFLGLEYLTEDTPAAFKETLVGLLAQHGLSISKLRGQGYDGASNMRCEFNDMQLLIHNENPYAFYVHCFAHQLESVLITVSRCSSSLIHDFFVSISLIITTTIESCQMMDKSTEKHHQTTLNKLESGEILSEGSNHKEKNLASLGGTRWGSYYTTLGRINMMWDSVLDVLMIVHQHGRQPSRAGGLIQTMESFEFVFILKMMLKLFTITNELSLVLQRKDEGIIQAVGQLTDVKECLQTLRNNGWESLFEDVKSFCATNWIPVPNMDEHIRATGHSRRDGVTLT >ORGLA02G0118300.1 pep chromosome:AGI1.1:2:10229469:10233001:-1 gene:ORGLA02G0118300 transcript:ORGLA02G0118300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1NZM7] MVSPDTIRTAIGVVGNGTALVLFLSPVPTFIRIWKKGSVEQYSAVPYVATLLNCMMWVLYGLPAVHPHSMLVITINGTGMAIELTYIALFLAFSLGAVRRRVLLLLAAEVAFVAAVAALVLNLAHTHERRSMIVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIRFDLYITIPNGLGVMFAVAQLILYAIYYKSTQQIIEARKRKEADHVAMTDVVVDSAKNNPSSGTAAAAANGRY >ORGLA02G0118200.1 pep chromosome:AGI1.1:2:10215575:10220143:1 gene:ORGLA02G0118200 transcript:ORGLA02G0118200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGGEAGSGGSAPVCSFVRKPPKNIRKRPTAPAGSDDDDEDGSGAIAAARAKKAPSSTSKLFFSSADGSSEPRRFQYESSRTIQASTDSRATATLETETEFDRDARAIRERQLKQAEESLKKNPSAPASGSGSGSGEVYKGIHGYTDYKAGFRREHTVSSEKAGGSHGPLRASAHIRLSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQIEKEWEEAEKARKRRIAMGGDGSDDEAGEEDDDDDEEALPFACYICREPFVDPVVTKCKHYFCEHCALKHHSKNKKCFVCNKPTLGIFNAAQEIRKKMAQDKKQ >ORGLA02G0118100.1 pep chromosome:AGI1.1:2:10213902:10214848:-1 gene:ORGLA02G0118100 transcript:ORGLA02G0118100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEFYKIRTAITFSIHQLPFPSSSSFLPSSSLHLTLYLPQCLRKAIPCPRAPSRAGRMSVHGEEDGWGGRVVHTKGRAWWPEMTTVTVMDGGTVAALVKSCITIVWIASALHVAVNSGQYPYGGFSPNRPMAHHRQMPERGTEEYTELERRPDAAFIRTITGQLRTLLGISLIEILSKHSDDEVYLGQRDTPEWTLDTATEAFRRFGDRLVGIEARIAEMNRVTGTRATGIARTRRGSPTRCCPPRPQHLRRLPQATSAGAPQVRPPLCHRSISLNGSPHTKSLHHRICLVEENPFP >ORGLA02G0118000.1 pep chromosome:AGI1.1:2:10207371:10208179:1 gene:ORGLA02G0118000 transcript:ORGLA02G0118000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWRGEVADLVPLRLDLAPRSGQCGNNNPGTAAARRHDGDGVAAWGWCGGGAAKDSPAVERPPWWSSGHRHDVVQSRVLLWPKLVRRLAGGGTEEAWASFXGWRQGIAACVKVGWWRGAGAVVWRPRAAIAGAVVSELMGNKLQSKVVGAPGESLAWWFIGPATATPLASQPPLGRC >ORGLA02G0117900.1 pep chromosome:AGI1.1:2:10205168:10205596:1 gene:ORGLA02G0117900 transcript:ORGLA02G0117900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVARMLGNGRCEAQCIDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGEIPDHVRLNEGVVDEDDAAAHDDYIQFEDEDIDKI >ORGLA02G0117800.1 pep chromosome:AGI1.1:2:10196091:10199559:1 gene:ORGLA02G0117800 transcript:ORGLA02G0117800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYSALGSCSARSIASSAASAARSLSCATSWPPXTPSSACSPRPARAPSTTSYGSGCGRSARSPTTPRTASTSTSAAAASAGGRXGTACSAGPGSWPPRSSRGAASPVTSRLSAPGRSRSASATPGTASTARNFAAALRLLLLWSPRQRRLSTQLPVALTSWSASRARLTHWRTSXMRWTKAAIXRCSPSWASVGXGRRRWPWEVCRKLESVFQRQAMVSVSQAFDSSKDLQVLLKRILVQVVTPKRSNDNSINEEQSTGDIDRMDVSTLFQKLEGSLTGMRYLIVIDDVWSTSAWNAIQSKLQENNCGSIIMVTTRIETVAKASSSPSVSGDYMHKINPLGEKDAEKLFVSRAFGSKESCCPEDLKEQMKSILKKCAGLPLAIVSIAGLLSSYRSSSSGSIRMWRRISNSIGSQMEIHPTLEGMKQIIALSYNHLPHHLKACMLYLSIFPEDYVIKKKRLLLRWIAEGFVMEKRGLTLFEVAESYYDELVSRSLIDAARVRLDGAVKAVKVHDMMLEVIVSKSLEENFVSFVGSQYGGGTPSYDSVRRLAIHXDGGPKHVVDVMSAIHVRSLSTFGRRGNIPVLNRLAEFTLQKVLDLEDCKEVQNCHVKYICQLFLLRFLSLRNTNVSTIPRQISRLQHLQTLNLYGTRIEKLPTSVTMLERLEYLFFSNRYTRCRWKIPVGLERMMALRTLRTICLPNDPNVVKEIGALSQLQTLDITIDNSNEEVLANLADALDKTNNLRSLYAYGTGKDEHKDRLLNFLLRLKTPPLLLESVRIDGVMDQLPKWFNSLLPNLASVSLAYGSCTDDELLVRSAFKFPALKSFYVDPCTTPRAIRFEKPAMEKVETFKVIFRDNDGSGRPILAGIENLTSLKKLEVLTPSKYAEIEILERLNVESDRHQN >ORGLA02G0117700.1 pep chromosome:AGI1.1:2:10165725:10168135:-1 gene:ORGLA02G0117700 transcript:ORGLA02G0117700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRSSSVRGGGSSKQLGCRDLFELSSTTSAPSCCVSKCSMYSSMVAELDGEPAPLAKEKSGSATSTTETAPLAKLGAVTGDLICDCFATSATPRLGKTLVSDRPENTGLSGVTVTSDEPPPIKATSPMAAASPHDELDGGGVWRATNPRSRGVTVESDERPLPRWPAVAAGLRRRLASGVLTLDGDEHSRLHLHVYCIDSVLSVHPTILILDSPPAQCMCMRAVVFGGDMHAIVRAGGTMY >ORGLA02G0117600.1 pep chromosome:AGI1.1:2:10163035:10165218:-1 gene:ORGLA02G0117600 transcript:ORGLA02G0117600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVCNATAALPPDCDLRQLARIEALVLLCSVVLALLVFLGSSRRYSGSAMVRFILWGAFAVSYPLAAYTIGLMQSTPMHHELFLVWSCFFLFVLASSDTITAYSLADVKSPGIILLNRGLQVIYVTILLQYYSNVLSAKLKLFVFGVWLVSLGKIALSALSYRQALQSEGLQRDNQLIADYMINQTESSHGGAEDPNTNTNTNPDPMLLRRRCGNFPLAESGLAKTRNLVLNRLLEQGSTRAFQVIEVELGFLYDLFYTRYPFVCHAVTTTLPHLAMCAIMVTVGVLTLLSPALRHYHPTHHRSIMLYDINLDVVLTMAIIVLVIVLEAYQFVAVLFSDWQKVKVLCRYVLWPSSLQNNPFIEVLLGVLCYCGSGKYWTRKMRQYSIIRHAILGHPVKDWLSGVTRGWLDNLMFNSGKTRSAKLSGDLQNALASALKKSGGFLSDGAAAGGGDQQRRHREIAMSLSRYCAYLVSSAPELLPDHQYTTQTIAEAVLLDLRRCLHGCTSNEAAVLKLQDTAKLAIRTPNTSAPDSIHVLGVRLAEDLMKIGEAKRWEVLADFWAELMLFVTPADNAMAHVEHLTMGGELITHLWALLTHAGIVQRPSHATQSQSV >ORGLA02G0117500.1 pep chromosome:AGI1.1:2:10111444:10115863:-1 gene:ORGLA02G0117500 transcript:ORGLA02G0117500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kish [Source:UniProtKB/TrEMBL;Acc:I1NZL9] MSALFNFHSFVTVVLLLICTCTFLKMHFPSILVRRTGFRGFFWKAARIGERLSPWVAIGCSVMGISIIFY >ORGLA02G0117400.1 pep chromosome:AGI1.1:2:10093245:10096687:-1 gene:ORGLA02G0117400 transcript:ORGLA02G0117400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCVVSHGDPSAAAAAAGEEGDVRIFYQRYGHGGTKVLLIIGFAGTHESWGPQVKGLTGAVEPVDEESPAGDDGAAGEGAEVCCFDNRGIGRSSVPPHKSQYTTVIMAKDALALMDHLGWRKAHVFGHSMGSMIASKLAAIAPERVASLALLNTTGGGYQCIPKIDWQTISLACRFLRARTPEQRAGVDLDVHYTREYLDEIVGSNTRRQMLYQEYVKGLSSCGMQSRHGYEGQLNACWTHKLTQKELDRIRSSGFLILVIHGRDDVVAQLYHARRLAEKLQPAAKLVELHGGHLVSHERTAEVNMSLMEMIKASKSNTDQGEWSNLPKKSDDQLLAGSDSRLAKRECNIIVIYNLLGKLQLILLFFFGVFYIILEHARRVLRVLKPVRVSATSL >ORGLA02G0117300.1 pep chromosome:AGI1.1:2:10086934:10092404:1 gene:ORGLA02G0117300 transcript:ORGLA02G0117300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKHAAAGSGGGWLAAVRKVFRPSKDAADKKGGGGGDREEEAAAEAAPEVLLLEHFPAGGTSPSAEGTGNDQGGTVVGKGEGEEEDGEDGYGEVERARALAAAAEAAVAAAEAAARVVRMSALRRASREERAAVRIQAFYRGYLARRALRALRGLVRLQALVRGHQVRRQVHLTMRCMQALVRAQARVRARRLITDHLHAGVAPLRLPPLPPATAAATYSSRRREGGYSWHPLRNNSYHAQLGERDESDDDVDGDGGKVGEHARQQQQRQRGNDVRSRSPFRSWDGSSRTPEEDRAEGARRHDAAARRERARAYAYGYQQRQWQEKAGGFQWLDRWMAAQAQQHAPEPDKSRRRAALTAAADGTTMPERTVEMDMTSYRSPLNSHSAAVQGRPPAVPGYMAATQAARARARTALPATPAHARSRSGAVLAGDTSSSGQSGSGSGGGGAHVQEPCAVYSPESRGTGDWTLPRLAVSSRATRLVYA >ORGLA02G0117200.1 pep chromosome:AGI1.1:2:10080434:10082292:1 gene:ORGLA02G0117200 transcript:ORGLA02G0117200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRLKATRSIRRRCASGSRRSQKAGRRRQQCGLSRRPLCSASSLPPPASVAMASPWXSPQPRTSPPGSPVHTWDSSTDQATVTTEITSSRLSLTPSRTLNSPTSTATMSASTSIALCLQMPLMPATMMTTPIDVTLASVGIKKPFKPLVSTRFNLSAVITDEAYVGFSASIGTMTSQHYVLGWSFGVGTQAPAIDMDKLPRLPGTGRRSKKSYRPKTIVIALPIVSVVLVIAVAAGVFLLIKRKFQRYVELREDWELEFGAHRLSYKDLLQATERFNNKNLLGIGGFGRVYKGVLPTSSSEVAVKRVSHDSRQGIKEFVAEVASIGRLRHRNLVQLFGYCRLKKELLLVYDYMPNGSLDKYLYSHDDKPTLNWAQRFQIIKGIASGLLYLHEEWEQIVIHRDIKPSNVLLDNDMNGRLGDFGLARLYNRDTELQTTVVAGTFGYMAPELALTGKASPLTDVFAFGAFLLEVTSGRRPVEQDIEGHPLLLTDWVFEHCSNEQILAVIDPRLNGNINISEASLVLKLGLLCSHPMSNVRPTMQQVVQYLNGDMPLPEMSPMRFTFSLSALMQNQGFDSSSKSLGTISTLSIGR >ORGLA02G0117100.1 pep chromosome:AGI1.1:2:10051773:10052165:-1 gene:ORGLA02G0117100 transcript:ORGLA02G0117100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERLANHSFFCFLDGYSWYHHISIHPEDQSKATFTCPYGTYAYRRMSFALCNAPASLQRCMMSIFLDMIEDIMEVFMDDFSVYGNTFGHCLQNLNKVLQRYQEKDLVLNWETCHFMVCEGMVLGHRVSK >ORGLA02G0117000.1 pep chromosome:AGI1.1:2:10045140:10045517:-1 gene:ORGLA02G0117000 transcript:ORGLA02G0117000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYGKGLLWPLTTSEKEGAAESRSPPPMKVKHHLTPPPIVRAPGQFQEDEVKWPEPSVHKLAVQVKKLRKENIELRDRNAELGVELTELRNNFDTLSRGLCTKIKRAFVEMGKEKKYYANWMSPLP >ORGLA02G0116900.1 pep chromosome:AGI1.1:2:10037253:10039105:1 gene:ORGLA02G0116900 transcript:ORGLA02G0116900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLKATHSIRRRCASRSRRHQKAGRRRQQCGLSRRPLCSASSLPPLVSAAMASPSSSPQPRTSPPGSPAHTWDSSTDQATATTEIISSRLSLIPSRAPNSPTSTTTTSASTSIALCLQMPLMPATMMTTPIDVRLAPVGIKKPMKPLVSTRFNLSTVITNEAYVGFSASIGTMTSQHYVLGWSFGVGTQAPTIDMDKLPKLPGRRSKKSYPPKTMVIALPIVSVVLVIVVAAGVFLLIKRKFQRYVELREDWELEFGAHRLSYKDLLQATERFKNKNLLGIGGFGRVYKGVLPTSSSEVAVKRVSHDSRQGIKEFVAEVASIGRLRHRNLVQLLGYCRLKEELLLVYDYMPNGSLDKYLYSHDDKPTLNWAQRFQIIKGIASGLLYLHEEWEQIVIHRDIKPSNVLLDNDMNGRLGDFGLARLYNRDTELQTTVVAGTFGYMAPELALTGKASPLTDVFAFGAFLLEVTSGRRPVEQDIEGHRLLLTDWVFENCSKEQILAVIDPRLNGNINISEASLVLKLGLLCSHPMSNVRPTMRQVVQYLNGDMPLPEMSPMRFTFSLSALMQNQGFDSSLKSLGTISNLSIGR >ORGLA02G0116800.1 pep chromosome:AGI1.1:2:10019250:10021660:-1 gene:ORGLA02G0116800 transcript:ORGLA02G0116800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVVGGSISRQSSTPDWAQDSYWPTQPIFGAEPGLNNESRWSQPPHLARFADSRLHRTSSSPQQDAQYNPNEHILGAMPSPLHRTSSYPQQEPQYNHTEPIPVPKSSFIPYPPSGAVSHSSPGQPHNMNMPSPPTSFQMPVSAQNELHHPQFPRGGMPPGPLGRSMAHLDSAGLSTNNLQQNALNSGPVRPNGAMLTPGFMQHNLQRPNGLVPPQMLSRRPQHGMAPIQHSPPQFSQFHAQMLGPRHPPPQGRQMYNPQHPSQMMSGFDANLAMPDLSDPRARAMLHHGVHGQHYLPQGFELAGMRMDNGWPRFRSKYMSTAEIENIARMQQAATQINDPYIDDYYHQACLAKKSAGGQLKHHFCPTLIRDPSSHARSKDEPHAYLQVDALGRLPFSSIRRPRPLLDVEQTSESSDDVTEKSASKTLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFSQQQDGGLQLRNRRQALLEQLAESLQLVDPLTPSNNAPLSPNDDLVFLRIVSLPKGRKLLSRYLELVSSGSELARIACMAVFRHLRFIFGNLPSDSSAVETTTKLATAVSTCVVRLELSGLSACLAAIVCSSLQPPLRPLGHAAGDGASFIIKSVLDRATELLTDQHVASTYSMQNRALWQASFDAFFGLLTEYCMSKFDSVIHALQTQPAVAAVIGREMPVELLRASLPHTNEYQRKQLLGFAQRTVPVNNHSSHGSSNGPMTSESIPSSESWKI >ORGLA02G0116700.1 pep chromosome:AGI1.1:2:10009458:10014200:1 gene:ORGLA02G0116700 transcript:ORGLA02G0116700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G08560) TAIR;Acc:AT5G08560] MARPLPSQGKEVMVGSKGVIKRDEFVRIITKALYSLGYEKSGAVLEEESGITLHSPTVNLFRRQVLDGNWDSAVVTLKKVGLLDENIVKSAIFLILEQKFLELLRNDNVMGAIRTLQSEITPLGVNKKRVHELSGCIISSPQHVLLGFSKLGIESSNSRLKLLEELQKVLPPTVMVPERRLENLLEQALTVQREACYFHNSIDGLSLYTDHHCGKDQLPSCTVQVLRAHRDEVWFLQFSNNGKYLASASNDKSAIIWKVDEDGELSLKHTLTGHDKPVMMVAWSPDDCQLLTCGMEEVIRRWDVESGKCLHVYEKSGIGLISCGWFPDGKHILSGLTDHNFCLWDLDGKEVDCWKGQRSSKTSDFAVSNDGKLIISMNRESTIRLFDRETKQERLIEEDNTITSFSLSEDGDFLLVNLISEAIHLWNIRNCPIRVNRYAGHKRSRFVIRSCFGGSEQAFIASGSEDSQIYIWHRATGDLIETLPGHTGTVNCVSWNPANPHMLASASDDHTIRIWGLKKANLKRKDVGSSNGIYANGNTPSNGVVHQCNGNSSK >ORGLA02G0116600.1 pep chromosome:AGI1.1:2:9994930:9996195:-1 gene:ORGLA02G0116600 transcript:ORGLA02G0116600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLREILLRLAHPTFLVRAALVCRRWLRHASDRAFLRRFAALHPPRLLGFYVDSASLPRPRFVPLPHPPELAAVVRRGSFDLGTAADLHFHLGTGIYCCRNGRLLVCHRGGGESTLQLRRPLHPASDGAVISTFRTPPRPLPPNQRHTRYILLPEDGGDGDAVACTVVTLVSSEREVFAKVEKILRAGVWDVARTSAPIELPAHWRRSLSRGFLVNGKLYMLGTTGYILGLELVSMSLFFIEVPDAVRDDCPESFQLSVKLSQAEKSGLYLIHVEGFKIHVWLHGTDGNSTADWNLVNTICLREVFGHLVKPSWESGDSRISLPGSGDNAEFVFLEVDGEVFCMDIISRTVEKVYEMAMKDDFLFEIYPFMMVWPPIFPALIKTHDLE >ORGLA02G0116500.1 pep chromosome:AGI1.1:2:9985394:9987320:1 gene:ORGLA02G0116500 transcript:ORGLA02G0116500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF2043 (InterPro:IPR018610), ENTH/VHS (InterPro:IPR008942); Has 308 Blast hits to 279 proteins in 95 species: Archae - 2; Bacteria - 30; Metazoa - 120; Fungi - 19; Plants - 44; Viruses - 2; Ot /.../karyotes - 91 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G61800) TAIR;Acc:AT3G61800] MPRPAASSSSRPPPEGEPASSRATSSAESSIASLIDRATSTTSPSVDPALLRAIKSAARASDGAIRDAFRILLSLMSKPHAHVRLLAFTIADELFMRSKLFRSLLADVLDGFLPLAVGFRRAHPLPPPAASATLLRKAAVQALERWHHLFGAHYRQLRLAVEYLKESARVQFPGLRAAVEARAAREARTQEILTAKVEQLRRNLAAIKAEIRSVIDEIHNGLDIIRIESEKFNNYANDEDEEKGIASLAMRSIRMASLIAGEWVPETQENEAVFDALRESYRLLVSKHLVTVQEWISVLVRVNLADNRFRDSALKEFIDVKNEIRAVRNQCSELGLNLDNVHRRKGVQEEEDDDFWVEGNIEVPSPGRVGTSADVASSSKDTRKGKKPVGGTDTDDVKAPNAGNSPQELDPERAKLHAEAPVLPWSSVLDRWGSNRDALVNQRGLELESHWGRVDNDAVIPAAKIAELNVHSSIYREDPVEILPCLAPLKKGGLCQRRDLKICPFHGPIVPRDAEGNPIHQSDGHCDAEGNPVGQTDGRYDAAVSPIDPNEDSSDVRENMIMPDGSSDGECIYESSPSRTANINNDISDSDVGHDLGKITVEQLARQAVQNVRKRDRD >ORGLA02G0116400.1 pep chromosome:AGI1.1:2:9975166:9983276:-1 gene:ORGLA02G0116400 transcript:ORGLA02G0116400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADFDVAAAQQGIVYIDEVDKITKKAESINLSRDVSGEGVQQALLKMLEGTVVSVPEKGARRHPRGDNIQIDTKNILFICGGAFVDLEKTISERRHDSSIGFQAPIRSNMRTGGVINAEITSSLLESVESGDLIAYGLIPEFVGRFPILVSLSSLSEDQLVEVLTKPKNALGRQYTKLFEMNDVKLHFTEKALRLISKRAIAKNTGARGLRSILESLLTESMYEIPEIRTGKDKIDAVVVDEDSVGSTNQHGSGAKILCGEGALDLYLDEHNKESTLRRSEKVDGEPDIDTEAPSRVASM >ORGLA02G0116300.1 pep chromosome:AGI1.1:2:9969861:9973197:-1 gene:ORGLA02G0116300 transcript:ORGLA02G0116300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:I1NZK7] MDMASIECVSYSDSMDDDDDDGVGVGGVSHLPRPILVKPSSAAAAVNVVVVSAGSGGGAGGGGGGGVGVVAGAPAVPPATSVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGVAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQQNPDSGACIPNLFS >ORGLA02G0116200.1 pep chromosome:AGI1.1:2:9965987:9968605:1 gene:ORGLA02G0116200 transcript:ORGLA02G0116200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSDESELSDADIDDYADKCYMDLKTGKPVVSLGNEKFRCPFCLGKKKQDYRYNELLQHAIGVGASNRAPKVKANHMALANLLKNDYADAAGSLPSRQAVGPSNPPRPLQDQEAYVWPWMGILANVPAEKTKEDGASLMQQLANFNPLQFTAVLCSEGRYTGYAVVGFSKDWIGFTNALAFHNYFKSQRLGKKDWAALGQEKYICGWMAKEEDYKSSDPVGRFLSANGDLKTASGLENDLSRKTETLIANLSHQITAKSKYLVELECRCNQMNLSVKRAMEETDLLHKSYNEEMRNMQSAAREHSQKIFEETDQLRKQLDDKENAIERRSKQLSKFVAQTDIERRKLESEMKKNSEQNDSIHMARIEQQKSDKNVLKLVEKHKKEKEIALNKILQLEKQLDEKQKLELEIQQLRGRLLVVQHMEGEGVDVKKRTDELTEELNEKIEEMEYMEGLNQTLIIKERKTNDELQDAKKALISGLSELLGPRSTIGLKRMGELDEKPFLAACKKRYGTADGEAEIKAAEFCSEWQENLKDANWHPFKIVTRGGKTEQIINEDDEKLVGLKEQLGDEVYKAVTTALLEINEYNASGSYVVSELWNNKEDRKASMQEALQHVLEQWKLRRRRR >ORGLA02G0116100.1 pep chromosome:AGI1.1:2:9954612:9954875:-1 gene:ORGLA02G0116100 transcript:ORGLA02G0116100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAEEATIVTWVMNGMGWSSVQAELFLHVGVVIGEEGCRAEKEVNRVAARSCRWPRSMTSWRRWAVELDVGRCCCSLSSAEHSPC >ORGLA02G0116000.1 pep chromosome:AGI1.1:2:9950384:9951220:-1 gene:ORGLA02G0116000 transcript:ORGLA02G0116000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEELDYVLIPLGMALMVGYHAWLLLRIRRRPATTVIGVNAINRRIWVRHIMEEASGKHAVLAVQTIRNNIMASTLLASTAITLSSLIAILMSSAGGGGGDGLLPGAPLVVGAAGETALSVKFFAILVCFLVAFLLNVQSIRYYSHTSTLVNVPVRLIQRRRRPGLAVDYVTATLNRGSYFWSLGARAFYFSCPVFLWLFGPIPMFAACAAMVCALYFLDVCDDWEEEHHDHDEQDGSGSDERSGQAKV >ORGLA02G0115900.1 pep chromosome:AGI1.1:2:9948077:9948607:1 gene:ORGLA02G0115900 transcript:ORGLA02G0115900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAHIHSLSLPALFTLAAATWTCEGGGCGVSVELRGRGVELRRATVAASAWSYEGRGCGIGVQLRGHRLRRRRVVVRAGRGAARAVAAASAWSYEGGSAAMVAEAVASERLCTQLPARRVATAVDLVALRGRGGPHRSHKRGEESSYGTPPLLDRGRGQAQGHICIRRPPPPRRA >ORGLA02G0115800.1 pep chromosome:AGI1.1:2:9940226:9944043:1 gene:ORGLA02G0115800 transcript:ORGLA02G0115800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHRPAATAGGRAALPSLSFFLRRPLRLHFSFPTSPAAVASLSFQAARGDDASSVFLQTPSVAGASFQVLGSAEGHKTAVPAIFVFGDGMLDVGNNNYLPSDAPQADYPYYGIDFPGSEPTGRFSNGYNMADFIAKDMGFKMSPPAYLSLNSSIKMETNFTGVNYASAGAGIQIIMNDEATIPFVYQVKNFNDTVSQMEANLGHQKLSKLLAKSLFLISIGTMDLSVNIWRVLRYSRKPSPFNIPYTLSSYKAIIMQLYGLGARKFGIINIQPLGCQPWMRKNLENNVDCNDSMNSLAREFNDGLKPLFSNLSSQLSGLSYSIADFYAFSNATFMNPRAYGFVNINSTCCIPPCTPEHEPPCQNRKQYWFWDLSYTTERAAKLAASAFYDGPARFTAPVNFKRLIKMK >ORGLA02G0115700.1 pep chromosome:AGI1.1:2:9933830:9934320:1 gene:ORGLA02G0115700 transcript:ORGLA02G0115700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPLPPPPSSYPTLAASFDAINRLHHPTISLAASIASSALTALCPIRLLRLQRPRVWLWRFAGGEWSVCKTVACVKGICMPAKGFSVYRCDVEADARE >ORGLA02G0115600.1 pep chromosome:AGI1.1:2:9929544:9929828:1 gene:ORGLA02G0115600 transcript:ORGLA02G0115600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLAMAALTFQVMLLGGAVVAGGCNDTRRSSVPAIFLFGDGALDVGNNQYLPSSEAGDPIRADHPFYGIDFPGGKATGRVSNGYTMADFIGN >ORGLA02G0115500.1 pep chromosome:AGI1.1:2:9921091:9925025:1 gene:ORGLA02G0115500 transcript:ORGLA02G0115500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSDAMGTFLAMAALTFQVMLLGGAVAAGGCNGTQRSSVPAIFLFGDGALDVGNNQYLPSSEAGDPIRADHPFYGIDFPGGNATGRFSNGYTMADFIAKAMGLEMSPPAFLSLNNSLIEVDVGFSGINYASAYAVIWTRFSPDFPKHHTFIQDDAESVSLPRQVRYFSNTVEELNGTVTEHELTELLSKSLFLISAGTSDLYRIANILDSPSPSPPDNETDIPHLVASYGELVVRPLHALGARRFGVVNAPPIGCAPAVTEQPHSHSPGGGCDDRMNALAREFNDGLGSLMAGLSSSLPGLRYSVADFYGFSNATFMNPSANGFTNTDAACCKGPCNEQFGAPCGNRREYWFWDVGHTTEKAAKLAAAAFYDGERQFTTPLNFKRLMGIHXRD >ORGLA02G0115400.1 pep chromosome:AGI1.1:2:9896436:9896594:-1 gene:ORGLA02G0115400 transcript:ORGLA02G0115400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPMAMPAAPSCQAPPTGLPLLTPPPAARTLSFARRLRSHGAATAAASPAASK >ORGLA02G0115300.1 pep chromosome:AGI1.1:2:9893706:9895423:-1 gene:ORGLA02G0115300 transcript:ORGLA02G0115300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSGSTNVATSISSHEDNLAGRVAKTNQTSKENQKMIKISDKLIGVFMVDKPTPTDWRKLLSFSREWDNIRPHFFKRCQERADAESNPEMKHNLLRLARKLKEIDEDVQRHNELLEVVKSTPSDEIGSVIAKRRKDFTVEFFNHLYYVAESYHDDPEKQRELAQLGNDCVDALQAHDDTSGSLEALSAAELKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSEAKDIMFHLYMAAVANLQRQMPKDIRILKHLIMIEDPEERLSALNDAFTPGPELQGDNVDTLYTSPEVLHTWASAIVDAYYNSREGTLLRQARDLMNPKIIKRVEEILKLIKDKYL >ORGLA02G0115200.1 pep chromosome:AGI1.1:2:9886664:9888760:-1 gene:ORGLA02G0115200 transcript:ORGLA02G0115200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFNRAPQHEDPAILAKETTFSVSEVEALFELFKKISHSIFRDGLIHKEEFQLALFRNSNKKNLFANRIFDLFDLKRNGVIDFGEFVRSLSIFHPETPLGDKIAFAFRLYDLRGTGCIEREELHEMVLALLNESDLFLSEEAVEKIVDQTFKQADLNDDGKIDPDEWKTFASKNPALLKNMTLPYLKDITMVFPSFILNSEVCEEEL >ORGLA02G0115100.1 pep chromosome:AGI1.1:2:9852672:9854636:-1 gene:ORGLA02G0115100 transcript:ORGLA02G0115100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKQFKRAAEHEDPAILAKETTFSVSEVEALYELFKKISHSIFKDGLIHKEEFQLALFRNSKKKNLFADRIFDLFDLKRNGVIDFGEFVRSLNIFHPETPLAEKIAFAFRLYDLRGTGYIEREELYEMVLAILNESDLLLSDDAVEQIVDQTFKQADLNSDGKIDPDEWKAFASKNPALLKNMTLPYLKDITMAFPSFVLNSGVDDEEL >ORGLA02G0115000.1 pep chromosome:AGI1.1:2:9845069:9851799:1 gene:ORGLA02G0115000 transcript:ORGLA02G0115000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10950) TAIR;Acc:AT4G10950] MALPFLLAFALLFQLSAPPRFCSAAPASSPPPSPPPSPAAAAAPRRTPLVPALFVIGDSTADVGTNNYLGTLARADREPYGRDFDTRRPTGRFSNGRIPVDYIAEKLGLPFVPPYLEQNMRMGVGSVDLSNIDGMIQGVNYASAAAGILSSSGSELGMHVSLSQQVQQVEDTYEQLSLALGEAATTDLFRKSVFFLSIGSNDFIHYYLRNVSGVQMRYLPWEFNQLLVNAMRQEIKNLYNINVRKVVMMGLPPVGCAPHFLWEYGSQDGECIDYINNVVIQFNYALRYMSSEFIRQHPGSMISYCDTFEGSVDILKNRDHYGFLTTTDACCGLGKYGGLFMCVLPQMACSDASSHVWWDEFHPTDAVNRILADNVWSGEHTKMCYPVDLQQMVKLK >ORGLA02G0114900.1 pep chromosome:AGI1.1:2:9836101:9841045:-1 gene:ORGLA02G0114900 transcript:ORGLA02G0114900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prolyl oligopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT5G24260) TAIR;Acc:AT5G24260] MRSGEAAGAVRSSSDQGNSRKKPRFDAGEEEEELARMPLADAFVGAGSSGDGDGAAAGAGGCAAAPSVELLDIVQHPLPGYGAPVALSFSPDDRRVAFLYSPDGTLHRNVYAFDPAQRRQELLFGPPDGGGLEEGNLSAEERLRRERARERGLGVTRYEWRARLPGTPASRAGIVVPLPSGVYFQDLSGAEPVLKLQSSATSPIIDPHLSPDGSMIAYVRDDELHTVGFSDGQTTQLTYGASESGKIHGLAEYIAQEEMERKMGFWWSPDSKHLAFTEVDSSEIPLYRIMHQGKSSVGPDAQEDHAYPFAGAANVKVRLGVVSSHGGEITWMDLLCGEPNSIHGDEEYLARVNWMHNSAIAVQVLNRTHSKLKLLKFDIASGKREVILEEEHDTWITLHDCFTPLDKGVNSKHPGGFIWASEKTGFRHLYLHDKNGVCLGPLTQGDWMVDQIAGVNESSGVIYFTGTLDGPLETNLYSTNLFPDWSLPLQVPKRLTHGTGRHSVILDHQLLRFIDVYDSIKSPPVILLCSLLDGSVIMPLYEQPLTVQPLKKFQQLSPEIVQIEGKDGTALYGTLYLPDEKKYGPPPYKTLVNVYGGPSVQLVSDSWISTVDMRAQFLRSKGILVWKMDNRGTARRGLQFEGQLKYNIGRVDAEDQLAGAEWLIKKGLAKPGHIGLYGWSYGGFLSAMCLARFPDTFNCAVSGAPVTAWDGYDTFYTEKYMGLPSEQRDAYRYGSIMHHVKNLRGRLLLIHGMIDENVHFRHTARLINSLMAEGKPYDILLFPDERHMPRRLGDRIYMEERIWDFVERNL >ORGLA02G0114800.1 pep chromosome:AGI1.1:2:9830407:9832468:-1 gene:ORGLA02G0114800 transcript:ORGLA02G0114800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGIATLSPLLDQFCFVPHGEPRSQQLDSIVIFLAMPGVAPMPMRVLHSDSVASVKLRIQQSKGFVTTKQRLVFSGHELSLNNSHVRDYGLTDGNVLHLVVRLADLRAISIETANGKKFQFQVESCCNVGYLKDKLSAESGQQLGSLKDQRLVFDGEELEDNQLIADISKKGAAVIHLFIRRPAKVQTQQGDKETVVTVVTPKDNDNLQTDALNLAKPAKGKPAPVEPIIANGKVKLSPAVMEMIYSTISGIENGYLPVMSTEGSGGVYFMKDSSGESNVAVFKPIDEEPMAKNNPRGLPLSTDGEGLKRGTRVGEGALREVAAYILDHPVYGCKSCDVPGFSGVPPTALVRCFHMGKGSKVGSLQLFVDNNGSCEDMGPQAFPVKEVQKIAILDIRLANADRHAGNILVCQDGEDHLKLIPIDHGYCLPEKFEDCTFEWLYWPQAREPFGPETAAYIGSLDADKDVALLKFHGWALSPQCARVLRISTMLLKKGAERGLTPYDIGSILCRQTVKKESEIEAIIEEAEDAILPGTSEETFLETISEIMDFHLDKLAVKLKKF >ORGLA02G0114700.1 pep chromosome:AGI1.1:2:9825803:9829214:1 gene:ORGLA02G0114700 transcript:ORGLA02G0114700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleolar essential protein-related [Source:Projected from Arabidopsis thaliana (AT3G57000) TAIR;Acc:AT3G57000] MGRPYAVKGRKKKRKLDDGGASREPPVDEEAAEELPPPEGVEKEEGKEEDVAAAAAAGEVADGLPVVPRPVDGKRRPGAIFVLERACLEVGKVGKTMQILNSDDHANYLRKQNRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPYVRMPRTFKRFCGLMSQLLQKLSITAVGKREKLLNVIKNPVTRYLPVGANKIGLSYSAEKSVNLFDYVAKSSDDVPLVFVVGAMAHGKIDNEYSDDYIQICNYPLSAACCLNRICSALEQKWNIQ >ORGLA02G0114600.1 pep chromosome:AGI1.1:2:9810078:9818612:-1 gene:ORGLA02G0114600 transcript:ORGLA02G0114600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHWAEGSKHASAQASYSRYQATSARSRQRNIFDLLAQREISPRTKHQAKKLWSKSPGNDADSNELRYAATDAKHDIYSWAESQSLHHWSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDYQTGKCLKVLSGHRRTPWVVRYHPLLPDILASGSLDQEVRLWDAKTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNKRDEAAAPTIILRTRRSLRAVHFHPHGAPYLLTAEVNNLDSADSPLTLATSSGYSNYPSAVFFANINSRNCPHHEANSSSPCLLWPAYLRDDGSLCLIRNDLVSSSTNVHQRPSSLAQNPLLASDVENQQPDQHVTPMDVCPGEPSTSHASASGLSGVEMQIDRGQPSSRLLGSSSTSNHESSTDRDDVQMPSLSNSVPIPATSQPSEHDGRHGMPMNSFTTSSGLDVHMILRNSEGGNHHHDLFSDSRSWELPFLQGWFMAQNHTGASPSIPIDVGSSRGSNRHHASRRHVVGSLRGVGSSLLGPQIDEAEVHAASLGVGSELTTSLLAAGAAELPCTVKLRIWRHDIKDPCVTLEPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVQYDSAGAGTSPTRHPLPSHRVIYELRVYSLEEATFGKVLTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSMLRSIVMDGETGIPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADTTSTGLNCFIEENMLEIQRYALEG >ORGLA02G0114500.1 pep chromosome:AGI1.1:2:9796987:9799779:1 gene:ORGLA02G0114500 transcript:ORGLA02G0114500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08490) TAIR;Acc:AT5G08490] MLMFPLSRPPGRHYTDATLRRGQWRLSHHLRAAAATTSGGGAPDVFDAVPALDDRMRCSALLRERAAGGDHYGCTSLLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGTVVAKAVMDMYGRIGSLVDAHTVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLASGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFNTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIVTWNTVIAGYVMNRYPSRALKLFQGLLFAGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQEISLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAGRNIVTGNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLSPDTISITNILSACIHLSSVQLVKQCHGYMLRASLEDIHLEGALLDAYSKCGNIANAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYSFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTEHLVVTYEL >ORGLA02G0114400.1 pep chromosome:AGI1.1:2:9790445:9790885:1 gene:ORGLA02G0114400 transcript:ORGLA02G0114400.1 gene_biotype:protein_coding transcript_biotype:protein_coding FEESNQQEHPREFSRFGYHVLMGGRCTINLAKPSLRGMQGGDKTTATVNTVTRKKTPASAPSTMGSNDGDHWPCTEIATAGPESLRRRRRLRIQPREVEGSGGGEEMPEERREMVAGGVEEAHPASRGGQEWRWTAAALAATKQEP >ORGLA02G0114300.1 pep chromosome:AGI1.1:2:9778094:9778390:-1 gene:ORGLA02G0114300 transcript:ORGLA02G0114300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSGGGGCGGQIRRQRRRLPLPPLASLTRLKRRWWRASAAQLGKPLPAATPRPLTGFGHGRRWQQRRLWKNLLDFCLICFFYLRLLFFYSYIWDLT >ORGLA02G0114200.1 pep chromosome:AGI1.1:2:9775698:9776798:1 gene:ORGLA02G0114200 transcript:ORGLA02G0114200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLGAGGVVTAAAGIGAAIRGLDNGVLDGADGWFQKIVSLMPHRPMSIAGSREVKDSGDEGGENCVVEVGEKGQHATPYGGFR >ORGLA02G0114100.1 pep chromosome:AGI1.1:2:9761336:9761998:1 gene:ORGLA02G0114100 transcript:ORGLA02G0114100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTQRRPGCCVLPEGTKLTLAHDDHGVAAAAPRFIYKDKADAVPSNLRAMDAILAMFGILPGSDKAAQVADTLRTCGELTAAGGEEPRHLPRGGARLRRLGAGHQRAARGHHARAREGAQQVRGSGRRRRPDRRRRRGGVPPYAISIRGVLLPPASRRGGAEGRPPRRRRRGPGRRHRRRRVPRQHHHLGQCLLRAPQGKPCDAICHYMPQGYVLWLAN >ORGLA02G0114000.1 pep chromosome:AGI1.1:2:9722643:9728251:-1 gene:ORGLA02G0114000 transcript:ORGLA02G0114000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGRYLILEGGKHXILMMCQLWMIMTVFKASSLTLRRSSSQFLVQGSTPMLQQLSXSKLLFLQPGNXSSSLQFVPYSDCLFICWSIPDXVLCXLPESKSTDRXRRLHFGVEFCCCAVHRRPLIKAFALQIATTWCAGALSSCRHHLPKGSLPLKSVQGKHFKWRDHQCRERXRGYSSNADPILHSWFGSICSPCCHCFDNVSKSTYREDPAKLPRENDGCQGCXNEGYVXDAAEHVHSQASRMGNGLLVEDHGVXEGGDALAKERCIHSAMLIFVFFGAPAFIAMVTFGTCLLLGIPLETGKVLSALATFRQLQGPINSIPDTVSVIIQTKVSLDRICSFMHLEELSSDVVTKLPRGTTDVSIEVRNGQFSWNTSSEVLTLRNLNFRIQQGMRVAICGTVGSGKSSLLSCILGEIPRLSGDVQTCGRIAYVSQSPWIQSGTIEHNILFGTKLHRERYEKVLEACCLKKDLEILPLGDQTIIGERGINLSGGQKQRMQIARALYQDADIFLFDDPFSVVDAHTGLHLFKECLLGLLASKTVVYVTHHVEFLPSADAIMVMKDGQIIQVGNYAEILNSGEEFTKFVFSHKDAISTLESLEHSSGNPESSLIPGDSGSMLFRQDKQKDENEGAEGIVQNGQLVQEEEREKGRVGISVYWKYITMEYGGALVPLILLAQIIFQVLQIGSNFWMAWAAPISKDVNPPVNSLKMASMSFFDSTPSGRILNRASSDQSTVDTSIFDLMGYVLFPVTELLGTIILMSRVAWPVFVIFVPIIAASLWYQQYYIDGARELQRLTGVCRAPLMQHFAESVAGSNIIRCFGKERQFINYVSHFMDNLSRPSLYNAASMEWLCFRLDILSSFIFAFALVLLVTLPAALIDPKTAGLAVTYGLSLNMLQGWAIAVLCSLENRMISVERIFQYMFIPSEQLLTISKSRPNCQWPTNGEIELPNLHVRYATQLPFVLXGLTCTLPGGLKTGIVGRTGSGKSTLIQALFRIVEPSIGQVLIDGLDICTIGLHDLRTRLSIIPQDPVMFEGTLRNNIDPLEEYSDEQIWEALNSCHLGDEVRKNELKLDSAVTENGNNWSAGQRQLVCLGRVILKKRKILVLDEATSSVDPITDNLIQKTLKQQFFECTVITIAHRIASVLDSEKVILLDNGKIAEDDSPAKLLEDNSSLFSKLVSEYTKGSEY >ORGLA02G0113900.1 pep chromosome:AGI1.1:2:9712412:9714142:1 gene:ORGLA02G0113900 transcript:ORGLA02G0113900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRRAPTPPPPPPPPPEAESSADSSGEEEEEEEERESPVAPPPQKPSGRGAASSDEEEEEEDSDTDTYAQGFQLRKVGGGDEEGEEVEGDSSESEPEPEPVKKESAKKAKAEAKKKRAAPEPAPSGKAKKAKPEKSSSAAAPEPAPSSGKSKKAAKAEAAKAAAAEPAPSTGKVSKSKLAPEPSPSSKSGKALSRWTTDDEVKILEVLVAHFKSHGTQLNVEGIIAAVGDSLERKSIKYSDMYEKVRRLKQRYEATAKKVEHGGDLPAKEDDLRMYQLSSEIWGKNAKDAGNSSKNKKGQAKKDKVSGDSKEAAKEDKVDEAAIAVNEKGGTLAENKKGKTNKQKTGMETKVGLSKEAALAASPTKGKKKGSHKDKLDEEAKSGTAKVTSTIATDDDDDDDGTLGGSKREKAGKEELDGDTHIVMPKEATTTAARDDGTLVGSKKGKADNGKLDGDTHSVMPKEATAGTQNGGILTGGENHKEKVDKDANVPSIRREYAELQSLYPNLASFVNGIEAQHPCGSTFKRAFEFISDDKACTLESKIKKQKIAEVRMQLRLADTKKEVANALLGLLD >ORGLA02G0113800.1 pep chromosome:AGI1.1:2:9706156:9709157:-1 gene:ORGLA02G0113800 transcript:ORGLA02G0113800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1NZI2] MGHAVACRLILLLVFLPCFLLPLSGAHAFPASALEAEAVAARHRRLRIALNATAVHVGKALEALSGAAVAPASAVMTTPFSAGSSSSSPLAAAARDDCAELLEDSLDLLAGAGEPSAAHDDAVTWLSAALTNHDTCADSLNEAGIPHGADAAPHLAAARAMVRDCLTMYAEVASAAMATSNKDGLAGVPVRNGGGKSKKQRKRGRRRRSLFPRWLSARDRRLLLGPAAPLVESADMVVAKDGTGTHRTISDAVKAAPERSGRRTVIHVKAGRYDENVKVGRKKTNLVFVGDGKGVTVVSAGRSVADNFTTFHTATFAASGSGFMMRDMTVENWAGPERHQAVALRVSADRAAVYRCSIIGYQDTLYAHSNRHFYRDCDVYGTVDFVFGNAAAVLQRCNLWSRSPLPGQKNTVTAQNRRDPGQSTGLVIHACRVVPSPPPPSTAPAVAAPLAPTYLGRPWKLYSRVVVMMSYIGGHVPPEGWLAWNATFALDTLYYGEYMNYGPGAGVAGRVAWPGHRVINDSAEAERFTVARFISGASWLPATGVSFLSGLSL >ORGLA02G0113700.1 pep chromosome:AGI1.1:2:9703596:9704834:-1 gene:ORGLA02G0113700 transcript:ORGLA02G0113700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGTQPPEPAAAAAISSVLANDDLLREILLRLGLPTTLVRAALVSTRWLRLASDPAFLRRFRARHPPRLLGFYHTARARFQDEVPAFVPLPQPPELAAALRGARLRLAPGSSGSGPDAPVILSCRNGRVLAAEFPPDGPRVSIISPMHPARHPPALPLVYELPRQPGQILYASCMLLFPDVGSDDPSYTFVEFLRKDQEMLAKAVSVRAEISDLNSVRESATIEIQESWERSIRRDVLVNGNLYLLGGKGHVLGLNLASMRLFLFRLPDGVQQLHRMGNIELLRAGDSGLYLIHLKGFQIHVWFRASDSDIGGGNWELVDNICLRESFGQFAEPNWESGDALVALHRVEDNAEVFLRVDRVIFHIHIMNRTVNKVFEMSPEAYRYFDIFPFMMLWPPTFPQLRNDHDQDQ >ORGLA02G0113600.1 pep chromosome:AGI1.1:2:9699740:9701011:-1 gene:ORGLA02G0113600 transcript:ORGLA02G0113600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEDEATRPSSPPPAASAASSVLADDDLLSEILLRLAFPTTLVRAALVSSRWLRLASDSSFLRRFRARNPPRLLGFYHTARRDEQPAFVPLPQPPELAPVLRRLGGFALGGADVSAVVFDCRNGRLLRAEFPPPPDELRFGVVSPLLPPARQPPDLPPNLHSQLHQVPNDARVLRPGWMLLPEEEEEDDGGDDLSYTLVVLIRRGRGLFARAVLVRGESDDQIRTSDSIELPNHYLPNKKMNRGLLFHGSLYMLGREHVLGLNLASMSLFLIKLPDGVEQLEHMGNLELLRDGDSGLYLAHLKGFQIHVWHRATDGGGNGGDWEMVDTMSLHQSFGQVARPDWESGDPSLGDALVSLRRVEDNAELFLTIDRVIFHIHIASRTANKVFEMAPKEDIGFEIFPFMMIWPPTFPALNYDDDDDQ >ORGLA02G0113500.1 pep chromosome:AGI1.1:2:9697006:9697797:1 gene:ORGLA02G0113500 transcript:ORGLA02G0113500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDTLLGRATDVCILLPEDGGDGQSYTVVTLVRSKRVDWRVRWEFFAKVSVLRAGAWDDDVVRATAPIELPARCRGDTTWNRVLLVQGKLYVLGMQSHAILVLDLASMAASFINLPDGVRHEQDGDLDLFRSNDAGLNLIHVNGFQARLWRRGGDDHDHDDGDGMAAGNWVLVDDIGVRRVFDHLTKVGGKMNIGGLVGVIKVGDDCDFMFLCVDGDVLYMDIRRKMVKKIFEASPKRGGVLPVIHPLTMVWWPPIFPVLE >ORGLA02G0113400.1 pep chromosome:AGI1.1:2:9686785:9687114:1 gene:ORGLA02G0113400 transcript:ORGLA02G0113400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWIWLTTSREKEGRRRSCGLAGDDMVDRPATLRERKKCEERNKRESEKVRRGRGGERREERKKERATVTTFLLIDTKVVYKLECLTLDNYSQVPLCSMVLFSTLNFF >ORGLA02G0113300.1 pep chromosome:AGI1.1:2:9662396:9673695:-1 gene:ORGLA02G0113300 transcript:ORGLA02G0113300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLLNHPDSCSSEATTSTRSVFTDAGFFSILTFSWMGPLLDHGRRKSLDLDDVPILDDNDSVQGILPNFEAKLVSVSDSGKYTDVTAIKLVKALILTTWKLLVFTAVCALLRTVSSYVGPYLIEYFVDYLNRSPRSAKEGYILVLSFVVAQFIEGLSSRHLVFRSQQLGVRVRSALVAVIYQKGLSLSNQSRQSSSSGEIINSVSLDAERVADFNWSMHELWLFPVQIILAMLILYSTLGLAAFAALAATVLTMLANLPIGRIQQNYQEKMMDAKDARMRAMTEMLQNMRILKLQGWEMVFLSKIMELRKEEMHWLKKDVYTSAMLISVFFGAPAFVAMVTFGTCLLLGIPLETGKVLSALATFRQLQGPINSIPDTVSVIIQTKVSLDRICSFMHLEELSRDVVTKLPRGTTNISVEVRNGQFSWNTSSEVPTLRNLNFHIRQGMRVAICGTVGSGKSSLLSCVLGEIPKLSGDVQTCGRIAYVSQSPWIQSGTIEHNILFGTKMFRERYEKVLEACCLKKDLEILPFGDQTIIGERGINLSGGQKQRMQIARALYQDADIFLFDDPFSAVDAHTGLHLFKECLIGFLATKTVVYVTHHVEFLPSADAIMVMKDGEITQVGNYTKILNSGEEFTKLVFSHKDAISTLESLEHPSGDPESGLIPGDSGSTLLRQDKQKDDNEGAEEGIVQNGQLVQEEEREKGRVGISVYWKYITMAYGGALVPLILLAQIIFQVLQIGSNFWIAWAAPISKEVNPPVNSLTMVLVYVALAFVSSLFIFVRSHLLVMAGCKTAMMLFDKMHRCIFRTSMSFFDSTPSGRILNRASTDQSTVDTRIFDLMGYLLFPAIELLGTIILMSRVAWPVFVIFVPIIAASLWYQQYYIDGARELQRLTGVCRAPVMQHFAESVAGSNIIRCFGKERQFINSVSHFMDNLSRPSLYNAASMEWLCFRLDILSSFIFAFALILLVTLPTALIDPKTAGLAVTYGLSLNMLQGWAIAVLCSLENRMISVERIFQYMAIPSEPPLTISKSRPNCQWPTNGEIELRNLHVWYATQLPFVLKGLTCTLPGGLKTGIVGRTGSGKSTLIQALFRIVDPSIGQVLIDGLDICTIGLHDLRTRLSIIPQDPVMFEGTLRNNIDPLEEYSDEQIWKALDSCHLGDEVRKNELKLDSAVTENGSNWSAGQRQLVCLGRVVLKKRKILVLDEATSSVDPMTDNLIQKTLKQQFSECTVITIAHRITSVLDSEKVILLDNGKIAEDDSPAKLLEDKSSLFSKLVSEYTKGSEY >ORGLA02G0113200.1 pep chromosome:AGI1.1:2:9647581:9650218:-1 gene:ORGLA02G0113200 transcript:ORGLA02G0113200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:I1NZH6] MAVGKNKRISKGRKGSKKKTVDPFSKKDWYDIKAPTVFSVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKVRLRAEDVQGRNVLTNFWGMSFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASTCDLKELVSKFIPEVIGKEIEKSTSSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGMKLDRPAETDEAVAGEVAAAE >ORGLA02G0113100.1 pep chromosome:AGI1.1:2:9632391:9636568:1 gene:ORGLA02G0113100 transcript:ORGLA02G0113100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNGCDTTNSDVKVQENSASCHGCSMRWQEWQDLRGSPLSKGQVPPWLCSRVRPRQCVGKIHSNAMNTQVDVENVDVVIKPSMVPAHQGWQTSLCDCFGDGCESFCLSAWFPWLSISCIGEIVDQGSTEWCCICFIYLIAAYFGVWWAYAGWYRGKLRAQYGLPESPLPDCLTHLFCHWCALAQEHRELAARGYNVGTAGRRWLQYRLRRVVRPQSRLR >ORGLA02G0113000.1 pep chromosome:AGI1.1:2:9598454:9602784:-1 gene:ORGLA02G0113000 transcript:ORGLA02G0113000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATGALPSVIAKLGDLLVGEYNLQKAVKGEIRFLQSELESMHGALGKVSATPADQLDPQDKIWARDLRELSFDIEDTIDAFVVRGMGDDDDHSHSAKPHGISKLIDRSVGLFRKAKARHGIASEIMNIKSRVVEVHERRRRYEINIAVGGGDKPATIDPRLFARYTDAKELVGIVSQTPDLKKLFKGTLCQLDAKKYERRLNENPLDEVQLINELREFLQQKRYFIVMDDIWDISIWKIIKCALPGNDVGYKIITTTRIFEVAEKAGGVYKLKNLSLNNSRRLLYGRIFGNCEDTGKCPDEELAEVSERILRKCAGVPLAIITMASLLACKARNKMEWYEVYNLVGTGLENSLDVKNMRKILSFSYYDLPPHLRTCLLYLSVFPEDYKIEKDHLIWMWIAEGFIQCEKQGRSLFELGESYFNDLVNRSMIQPIYDMYTDIVSECRVHDMILDLICSLSSEENFVTILNGCDLSQGYSLKYVGNLFHLRYLGLRDTHIREAPEEIGNIQFLQTLDLRENPICDLPLNIVKLRHLTSLCFDGFARVPDGIGSLTMLEHLANVLIDCATVGMMEELGNLTELRVLCIIFWDGWNDKLLGLLQKLQKIQRLSIDVCMSNVRKNIGGLDAWVAPRHLVALKTENICWFSSLPAWMTNPSHVPNLRSLSIAVREIRQADVETLGRLPALRDLQLQVDHEELGIRGVVLVIGSAGSFACLVCCGLWGFVGPAVFRRGAMPRLRTLRSRFSVREAIAFAGAGDDGLDLGLGSLPSLQEVNVSLDCEGASEEEVKELKAALRSATKIHPNHPSISIDGEEEEDTYLISIYHTWHIAVRSAWWRCSMRRRTAWRPNTSTTACSRACPRLGAPSRSWWWPPPTPGPTRSPSSSTAATW >ORGLA02G0112900.1 pep chromosome:AGI1.1:2:9594565:9596019:-1 gene:ORGLA02G0112900 transcript:ORGLA02G0112900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKDEEEVLGTTPNERSLRVRPRHVGGPPCAPAGVNHCEFYDECAPPRYHFVLRDNGHLDMLDDGVPYAINNCMCMRNLGDTKEVARRTIGGLMVAFLRDALEDQHDDLKLVLKVGVNPGLAPAVIKPVAYDLA >ORGLA02G0112800.1 pep chromosome:AGI1.1:2:9583020:9584699:1 gene:ORGLA02G0112800 transcript:ORGLA02G0112800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRDIFGISGPTYLNPVNWNCEHNRRSVAACLVQAVYVLERDRQLGRQSVEALGPPWWEFFHFELIRKLVDDADLSIFGAIFEFNPPSSKESSADNAPRFVIAFRGTITEKETISRDIALDLHLVQNGLHRTSRFTIAMQAVQNVASVFPGSNIWLAGHSLGAGMAILTGRNMVKKGVLLESYLFNPPFVAAPIERISDERVKHGFRIARSVITAGLAIAMKGKGEGSSQRSVAEDSFHILSSWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFYKALGWESEPLHLLPSADLIVNVSPSPDFKYAHGISQWWQPELNLQCSKYRYSVGA >ORGLA02G0112700.1 pep chromosome:AGI1.1:2:9576316:9578019:-1 gene:ORGLA02G0112700 transcript:ORGLA02G0112700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAESQGLSPGFKFNPSVEQLLCFFLLPYLQHRRLLVDGVVFLDDPASAPPWALLHRHGRGGEDEAYFIGPVPAGDGHGGRRQQQVSRTVTGGGGGGKWIKQRTERPRGEEEPVVVFGGETFRWEEFSLNFHADERCRSGSTGWVMHEFAVVPPAGSRVAATHTACRIAFTGHGQKRKRVPDGYVFVDVHVQTAAAAAAAVAPPLPMLSSYGEPPHEHFSDDHPPPHSYTYYTQEYQQFLPAAEQSDQEQEYCAPEQQNFQDYHVAAAEQTDQDYFYTEMINQEQDYAYQQQDYAYQQQQQHLFHGDFLATSQQFLGQDHEVMVTGLGGGLVVSDNGEHASAAAPATEPPVHDVFLETLVPEPPENAYVDGAGESAMASASSAGGAPLLEQPFATPPQQFLDQEPAPAGLNDGGGMIYNNNGDGEHDAAPAAQPPARYYSGPVPAVDSVFLDKMREYLMADAKGLCRIDAPINNGEHAAAPAPAADDPLAAQHGHGDAPPLPVPPDAAELERVVGHLLREVEDIIKVAAAGGYGGSSDKPLSEFDKAQNQILAKLMAVFNQVAES >ORGLA02G0112600.1 pep chromosome:AGI1.1:2:9571279:9572784:-1 gene:ORGLA02G0112600 transcript:ORGLA02G0112600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSQGLSPGFKFNPSDQMLVELFLLPYLIDGELPVRGLVLVEDDHLGGLPLPPWILLDRHGRGDEDEAYFVAPMGAGDGARQVRSVAGGGKWVKQRSEGKGEVVVAPGGEAFLWEKFSLNFHRDDRRSGSTGWVMHEYIVSPPAGSAVAASHRATHIAFTGHGQNRKRVPDGYVLVLDDAVPAAAAAAAPPPESEQSNQEEQEYAAYTDQIQQQCFVPEQQMSNQEYFPEAAAEQSNQQFFVPAEEQSSHQLFLPAEEQSNQQFFMPAEEQSSHQFLPAEEQSSHQFLPAEEQSNYQQFLPALEQMTQSNQEFAYGEQSQCYIVPEQQQLSNQEYAYSEQSQCYILPEQQQLSDQEYAYSEQSQCYILPEQQELSNQEAEYAFVCYDEQQQQQQQSKQEAEYAFACYDEQQQQQQYLHGDLTSWQEPLVTSSSSSSQQFLGQEQLLPDGLLLDGFGEISQQQGDQEYAYCEESQCYTMPEQQQQSNQEAEFAFACYD >ORGLA02G0112500.1 pep chromosome:AGI1.1:2:9563277:9570481:1 gene:ORGLA02G0112500 transcript:ORGLA02G0112500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongation factor family protein [Source:Projected from Arabidopsis thaliana (AT5G13650) TAIR;Acc:AT5G13650] MQMQAATTTTAARRLAPKPQPQANRCRPSSVSVVAAGRSRRRSARSSLRASASLDQEVKERASPAAGKSGQATRRDVRNIAIVAHVDHGKTTLVDSMLRQAKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPTARPEFVVNSTFELFIELNATDEQCDFQTVYASGIKGKAGLSPENLGDDLGPLFEAILRCIPEPRIEKDGALQLLVSNTEYDEHKGRIAIGRLHAGELQRGMEVKVCTPDDACRISKISELFVYQNFSRVPVDNVSAGDICAVCGINDIMIGETIADKVSGTPLPTIKIEEPTVRMSFSINTSPFVGKEGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGFEFMIGPPKVINKTVDGKLQEPYEIAAVEVPEEYMGSVVELLGKRRGQMVDMQPSGPEGTTLLKYKIPTRGLIGLRNAVLTASRGTAILNTIFDSYGPWAGDLSSRDQGSLVAFEDGSTTSYALLNAQERGILFVSPGQDVYKGQIVGIHQRPGDLAINVCKKKAATNVRSNKETTVVLDEALSYSLDDCIEFIQEDELVEVTPASIRMCKNPKVSKKNR >ORGLA02G0112400.1 pep chromosome:AGI1.1:2:9550017:9551591:-1 gene:ORGLA02G0112400 transcript:ORGLA02G0112400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPSPRMVVPAKDQSPDKPSGFRKSINPIYADAVVVDMAAAAARPSRTAAAAAVEDDDDGGAAPALVRRHTGGAGDGRWEAIRAASARESPLSLGHFRLLRRLGYGDIGSVYLVELRGGGSGALFAMKVMDKSSLVSRNKLARAQTEREILGLLDHPFLPTLYSHFETDKFYCLLMEFCSGGNLHSLRQKQPNKCFSEHAARFYASEVLLALEYLHMLGVVYRDLKPENVLVREEGHIMLSDFDLSLRCSVSPALVRSPSGRVGAGAGLVHGCVLPRILPRRSGKKKKKQKGNDQEVTSATGDGNGKNRPPPATSLEFTAEPTGARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGTTPFKGSGNRATLFNVVGQPLRFPDAPAASAAARDLIRGLLVKEPQSRLAYRRGAAEVKQHPFFDGVNWALVRSAMPPYIPEADVAAAAVDCRSPLARATQGGGTPKKSAAGGKASSPRDDPSYVEFEYF >ORGLA02G0112300.1 pep chromosome:AGI1.1:2:9545402:9545668:-1 gene:ORGLA02G0112300 transcript:ORGLA02G0112300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAIVEFAACLQRPSSTAYGDPTTLSLQIHHPGPEPPPVPLLDLGEGRGLEVLPEPSQGLAVVMVAAAGSTSSTVTCPSPSPPRRRI >ORGLA02G0112200.1 pep chromosome:AGI1.1:2:9539470:9540760:-1 gene:ORGLA02G0112200 transcript:ORGLA02G0112200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWKTKVLPKIKVVFAKGGNAKKAAAAELIKSFDESKEGINGEFEEKKADLQPKVVEIYEAAPAPLKVLIKDRAKVSGIKKNSAAVTKFVDDLAKIEFPGAKQLSEGIAKVGPALLSGPVFATFEKVSTLLPADEEEIKPKEAPAAAAEEEKKEEAAAAAATDAAGEEKKEEAEEKKEEEAAAPADEPAAAAGESAPAAAEAEPPVEAAATAAAAEAAPAKAEEEEAPKA >ORGLA02G0112100.1 pep chromosome:AGI1.1:2:9529133:9529594:-1 gene:ORGLA02G0112100 transcript:ORGLA02G0112100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEAGEDDSADEIERGDGGRRLQRAKIWEPVWPKGAGGRPEPFSFMDPRRRPPPPLVLTSTDTASSPGERAPCSLHCLPLSATIRSGTLAFSPIATTRFDICGRLTTWLMSSLSSWLGARAAIKLRHSALQRRRHRVIARARCVAVNFRSI >ORGLA02G0112000.1 pep chromosome:AGI1.1:2:9528247:9528636:-1 gene:ORGLA02G0112000 transcript:ORGLA02G0112000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAASLALRRLAAAAPRPPPAHLAVGPAAPRLAAAAPPSAAVARFLLQPAGATPAALHARRGYAAAGRKAKAVSESEDEDEDEDDEFEAMGSDGEFDDDLEDFDDDDEVSGFEDDDDDCKPAKKRGRH >ORGLA02G0111900.1 pep chromosome:AGI1.1:2:9524692:9525105:-1 gene:ORGLA02G0111900 transcript:ORGLA02G0111900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L27 [Source:UniProtKB/TrEMBL;Acc:I1NZG3] MVKFLKPGKAVILLQGRYAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFLKLVNFTHIMPTRYTLDVDFKDVASGGPDALATRDKKVAACKAAKARLEERFKTGKNRWFFTKLRF >ORGLA02G0111800.1 pep chromosome:AGI1.1:2:9516686:9519702:1 gene:ORGLA02G0111800 transcript:ORGLA02G0111800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDNARPPELGMKFATLEDAQRFYETHALKTGFVAKRGTNYRRKKFTIECHKTGTSKLTPNPQRKRKKNIIERTQCQAKVVVKLNKGQWEYAAVRNEHNHPLCPSYSLRFSKRKRRRNPPSQKQLDVQRNSDQLTQADNLEERLSQPLISADSNEVNNKRMPRHAEISISTVEKEPVDKLQRAGDYQEQSSNGIDKNTERPIVDKLGDQTSSIDHSLQHTEEHNRSHDNVESSEAPSEDTSSESNSDSSSGDESDKELGKYFYPSFDELKNSRPPEPGMKFPSLQAAQRFYYAHALLTGFVGKRGTNYKRKKFHLECNKSGKMKLTKSSENPMKKRRSNLVEKTQCKARVIVKLDKGEWEFTAVRHEHNHPLCPSPLLARFIIDHKQMSTGEKSFLRVLQQNRVPPKKIMKIFRKLRVCFGDIPFENKDEHNIAQTEHRKANSDVESALKHFTELQIQNPEFLYVMQKDEDNTVTSIFWTDARLRIEYDIFGDLIMFDAAYSTDMYNMPFVPIIGINSHATPFLLGCALLKDEKVETFEWMLRTFLQVMGGKMPRAVITNQDTSMEKAFAELMPHVRLRFCKRHVMSKAQEKLGDFMTARGNINTDLHNLVGNSLVETEFEEGWVELIERYNASENQHLQLMWQTRKSWAPVYFREDLYPFIDSVGSNEGINSLFKGNMLPKDTIDKFIGQFKEIQENIAKVHEEDRFQSGADLKYISMQPIEQHAAHIYTREIFLKVQKELLHSTAFNVQEIQIGTVYRLEKVFNYENPEFDRNYFEVLAEPGINAFKCQCAKFTRDGIPCCHIFRLFTQFGINEIPEQYIMPRWTKKFREEQLKQNKEKLFDKHGIKDSENTLRYAMLMSKAAEICQKICHDEAKCSIFMQELDKIQEKLITESRENAQNDNPCREQGALQQEHRGSSSNAEQEQQHTGYSTVMLGPKCAVGQ >ORGLA02G0111700.1 pep chromosome:AGI1.1:2:9499074:9499493:-1 gene:ORGLA02G0111700 transcript:ORGLA02G0111700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQYELNSDRDPNRSPTGLGGPSDGSYIFVRGVTGGAWEYGEENARGGEGRAGAIDYFLYIDARYFARFPSTSQRLLPLRSASSGNDL >ORGLA02G0111600.1 pep chromosome:AGI1.1:2:9471157:9476962:1 gene:ORGLA02G0111600 transcript:ORGLA02G0111600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRVAVLIIAVSLPSFSASDRQGDALYDMKQKLNVTGNQLSDWNQNQVNPCTWNSVICDNNNNVIQVTLAARGFAGVLSPRIGELKYLTVLSLAGNRISGGIPEQFGNLSSLTSLDLEDNLLVGEIPASLGQLSKLQLLILSDNNFNGSIPDSLAKISSLTDIRLANNNLSGQIPGPLFQVARYNFSGNHLNCGTNFPHSCSTNMSYQSGSHSSKIGIVLGTVGGVIGLLIVAALFLFCKGRRKSHLREVFVDVAGEDDRRIAFGQLKRFAWRELQIATDNFSERNVLGQGGFGKVYKGVLPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLKLIGFCTTQTERLLVYPFMQNLSVAYRLRDFKPGEPVLNWPERKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGQLGSIVDRNLNQNYDDEEVEMMIQIALLCTQSSPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQEYERMQRRFDWGEDSVYNQEAIELSGGR >ORGLA02G0111500.1 pep chromosome:AGI1.1:2:9442627:9448077:-1 gene:ORGLA02G0111500 transcript:ORGLA02G0111500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGRSSRIAVVTEDRCRPSKCGQQCRKRCPVNATGRQCIEVTPSSRVSLISEELCIGCGICVKVCPFDAIQIINLPSDLDKETTHRYGPNSFKLHRLPVPRPGQVLGLVGTNGIGKSTALKILAGKVKPNLGKFTDPPNWDEILRNFRGSELQKYFTRLLEDKMKATMKPQYLDHIPKSVKGKVGDLLSKKDERHMKNLLCDTLELNQVLDRDVSALSGGELQRFAIAARAMEEADVYMFDEPSCYLDVKQRLKAAQVIRSLLQPKNYVIVVEHDLSILDYLSDYICCLYGTPGAYGVVTLPSSVREGINIFLNGFITTENLRFREEKLTFRVTESTEEIVEGQTYQCYQYPTMTKTRCGFKLSVTEGSFNDSQIIVMLGENGTGKTTFIRMLAGRVKPDKVGDEEVDVPEYTVSYKPQELISKYSSTVRDLLFEKVPGSCTQAQFRSDVMKPLKIEQLMDRQVPTLSGGELQRVALCLCLGKPADIYLIDEPSAHLDSEQRLLATKVIKRFILHEKKTAFVVEHDFIMATYLADKVVVFEGKPSVDCIANAPEPLASGMNRFLSHLDVTFRKDPTTYRPRINKLGSIKDAEQKAAGCYYYLEY >ORGLA02G0111400.1 pep chromosome:AGI1.1:2:9438269:9439228:1 gene:ORGLA02G0111400 transcript:ORGLA02G0111400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDIVLRSEVQVVSQLQLHLPNMHYVTYDGFGNLENVVSRPSPITTLIKYFEMNRIDPDECMQEERAYEDGHDCQNASCSTLVICDYTRCDITYYYESGQSVCPDS >ORGLA02G0111300.1 pep chromosome:AGI1.1:2:9435421:9436091:1 gene:ORGLA02G0111300 transcript:ORGLA02G0111300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRXASPVLSRRRLAGWGGGTGGDRGGWEEESRRCEERWLGVGGGRETLKILDGDFYTKLTEGPHCKCIAGNPKNGVADTIFFFFLIIQIKSWR >ORGLA02G0111200.1 pep chromosome:AGI1.1:2:9425124:9429198:1 gene:ORGLA02G0111200 transcript:ORGLA02G0111200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKIVGAINGINEISTLCKMVKDIIKSCWSGIREQELQDKVMKLENDLERLRDILPAMYNLIDRAEWTIHRDHVPELLLKLKDAVYDAEDLLDELKWHELKVAMEDSANKSPLIDFLDSVIQGSFNKVSGTYEKLNNVSSLLEKMGLHEVTQHFDKSFRPETTSFLTETEMFGRDNELEQVMQSLGVPAKGSRVLSKRKKPSSAINALPSTSKTKQHNGTQMSDESGITCIPVLPIYGIGGVGKTTLAQHICHDSRVMSHFDPIIWICVSDDFDVKRLTKEAIQSCSTKEADNLDYLQRALSEEVMNKRLLIILDDMWGDVLRESGHCWKRFCAPLTNALQGSMMLVTTRSPDVAREVQTMEPIRLEGLQDDVFWDFFKLCAFGSKNSENYPELVHIGKSIVQKLKGVPLAAKTLGRLLRTSLDTEYWNRILKSELWELKQNNTEILPALRLSYLYLPSHLKRCFSFCAVYPKDHKFEEDNLAEIWIAEGFVQPEGNTPILDTGKQYFEDLVNRSFFQSVGGNDDCFILKDKDDFEKGVGLTLMKNVNQICGGVTINNLDAISKDIAAESAIKNKKNLDRLNLKWSSVRSQDHNDIEVLQVLIPPTSLKCLTLNGYLGQSLPNWFHPHNLPSLKSLEFHDCHRLCSLPFCGISPPCINLNEAPEVPIENGMGSIGVFSSLVDLKIVKCGYLPNLDKFIQPACIPAIKRITLQRLTYTKTKVLPLPIGKFDCLEELHVTGYQIFNASESLSMRTLKELKLWDSGDLPCFFEFPSLTNMFLAVLPVTSIPLRVWCSNLPALLRLKIYSCANLEFIGESVFTGNRPQRDSCSTTTFASLTSLEICGCEKLTSIDDLVTPEYLPAIEKIDVSSCVKLSSLPGWSNWRDGANRCMTVGELPALL >ORGLA02G0111100.1 pep chromosome:AGI1.1:2:9422354:9422880:-1 gene:ORGLA02G0111100 transcript:ORGLA02G0111100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIGMGYILPSYHLGDGTRSIWRSYWTPSYPSELVKIAEEIKERHYTDVGLTKMSKDAQKNNRVRVQVKVEAVEIYNQEEKFRRRSFILAVSMDEAPRSIDTTEMAREELGKLGFSGSQRNQGFVRKGGERC >ORGLA02G0111000.1 pep chromosome:AGI1.1:2:9419621:9422014:-1 gene:ORGLA02G0111000 transcript:ORGLA02G0111000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMKYDLPLLDYKTRFSLWQGRSEQRAYNDSNDRDKSQSRGRSKSRGKKFCKYCKKKIHFIEECWKLQNKEKRKSDGKASVVTSAENSDLGDCLVVFAGCVASHDEWILDTTCLFHICINKDWFSSYKSMQNGDVVRMGDDNPCEIVGIGSVQIKTHDGMTCTLKDVRHIPGMARNLISLSTLDAEGYKYSGSGGVVKVSKGSLVYMIGDMNSANLYVLRGSTLHGSITVAVVSKDEPKWKVMIERQTKKEVKVLRTDNGGEFCSDAFDDYCRKEGIVRHHTIPYTPQQNGVAERMNRTIISKARCMLSNARMNKRFWAEAANTACYLINRSPSIPLNKKTPIELC >ORGLA02G0110900.1 pep chromosome:AGI1.1:2:9415930:9416382:1 gene:ORGLA02G0110900 transcript:ORGLA02G0110900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGPLGAHCRLRAALGCAPXPAKQEPLDGHHRTCALAGPPSFRSLVTGEKGDRERRIWELGFCPSLSVGASSDAGMIGAVHQIGRLIFAPRRAAAADYSAQWATELHRAIAANVGHAQLLGLWAVELTRLARRRVGPSLGWLWKTWAKS >ORGLA02G0110800.1 pep chromosome:AGI1.1:2:9407148:9407345:1 gene:ORGLA02G0110800 transcript:ORGLA02G0110800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTCASGGRWRVCDGNDAVRVEEDGEERRGNAREGRRTAWRRRRRRMRGEEPRRRAWRRAEGGG >ORGLA02G0110700.1 pep chromosome:AGI1.1:2:9397114:9399524:-1 gene:ORGLA02G0110700 transcript:ORGLA02G0110700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ribosomal protein L53, mitochondrial (InterPro:IPR019716); Has 50 Blast hits to 50 proteins in 19 species: Archae - 0; Bacteria - 0; Metazoa - 6; Fungi - 0; Plants - 42; Viruses - 0; Other Eukaryotes - 2 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G39600) TAIR;Acc:AT5G39600] MLKFLSKVVVEYCPLDPRKAAVVELLAQCNGRKAKDSNPACSVELRRLPSPPPADAAAAGEKNAHPPPRVLVTYFNGVEESFVAAEGATAQGIRDQILDRGRLLDTEQLFRDGGEKWPVLIPEEELTMSFPGIKPKKAEEKPQA >ORGLA02G0110600.1 pep chromosome:AGI1.1:2:9391839:9395333:1 gene:ORGLA02G0110600 transcript:ORGLA02G0110600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKTIGFISGINECFNFFQWAKSAMSCVHSRWSNTQDQKLQGELLQLQSSLQCLRDTLPAKYDLIDRAEWRSHERRVAELLPNLKDAVYNVDDFLDEFRWYEQKVALEGNASQSPFMDFFDSVIQGSFNKVNDVIKRLYNISSQLEKMGLHEVPRRFDNSLRPETSSFLNEREIFGRDNELQQVMELLGVPKNGTDARSKRRRKNNDESTSTSRCNQENIPVLPIVGIGGVGKTTMAQHILHDPRVISHFDMIIWICVSDDFDGKRITKEAIQSYSKKESTADHLDSLQHALSEKVRDKTLLIILDDMWDDALRESGRCWKRFCAPFSNVSAQGSIMLVTTRSLEVAHEVKTMEPVRLEGLKDDIFWNFFKICTFGSSDSSDYPELERIGRNIVPKLKGSPLAAKTLGRLLRTSLDIAHWNNILQSELWELRQHNTEILPALRLSYLYLPFHLKRCFSFCALYPKDHLFEKAGLAEIWIAEGFVEPEGSIPILDIGCQYFEELVNRSFFQKVHGNYVIHDLLHDMAQLVSKHECFILKDKDDFEKVPSSVRHLFILPSTNFDCNLLLSLCKHKKLRTLLCHRSLQDKTLACVMDRWCTELQHMRVIVCPYTKELPASIGKLKHLRYLKISGDCPFKSLPQEFCHLYNLQIFSATKCRLENLPSDFNKLRNLRRFDSCAFRCDPKFQTHFDAINGQEVGAILQNVNHICGCLTIDNIGLIRKDIAAKAALKNKKYLNMMTLKWSSMGQQVQKLTEVLQVLIPPTSLSYLNLTGCPGEFLPTWFHPSNLPMLTSLELIACHGFVTIPISSMSQSIDPNEIPRVLTENNTGRPGIFSSLNHIIIESCNKLSNLDQFLQPAYLPAIKTIKITKCRQLVELPTDRLGEFHCLEELEVSHCPNLNDPQSLSIPTLKKLKLINSWNLLGDIECCSLTSLVFSLWHVTSIPLHVWSSSFPALQKLQISDSGITGESQSSVLTSLSVPGEYSSIRTFSCLTDLKISSCNNLTTLDHLLSPEHQPAVEKIYVALCSSLRTLPCELLKDFSVLKDLKICFCPSLKWHRRLVLPSTLQRLSLTRCGDLSPCVPSCLENLASLVSLEITFCSIVAYIPASLWRGNLSSLRDLHIRGCEDLVSIGGAGAIAEINKVKIEGCLKLKEIEQPMSRARL >ORGLA02G0110500.1 pep chromosome:AGI1.1:2:9378223:9384175:1 gene:ORGLA02G0110500 transcript:ORGLA02G0110500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKTIGFISGINECVNLFQWATSALSTLHSRWSGTQQQKLQGELLQLQSSLQRLRDTLPANYDLIDRAEWRIHEHCVANLLPNIKDAVYNADDLLDEFRWYEQKVALESNANQSPFMDFFNNMIQGSFNKVNDIMERLDNISSQLERMGLHETPQRFDKSLRPGTSSFPNERKIFGRDKELKKVMELLSVPINLTRACYKRKRNSSTADASMSTSEKSRISCFSVLPIVGIGGVGKTTLAQHICNHPQVKSHFDLIIWTCVSDDFDATRLIKEAIQSSSGKEATTDNLNCLQLGLSNIVNNKRFLIILDDVWDDALKENGQCWERLCLPLENGLQGSMVLVTTRSSKVADGVSTMDPFTLDGLKDDIFWDFFKLCAFGSQSSNNDPDLEDIGRGILPKLKGSPLAAKTLGRLLRMNLQIPYWNNILLSELWDLKQEETDILPALRLSYMYLPFHLKRCFSFCAVYPKDHKFEKNILAEIWVAEGFVESDGGFPILDIGHRYFEDLFLYAIKCALESLPSDFSNDRNVRLMKNLNQFCGDLDIYPRGFSKDLAIEIDLKNKKDLRRLTLNWLFSSCKNNEIVLQVLQPPTNLKCLEVAGYGGEYLPCWSNNGSISVFPSLTDLAISSCEKLSSLDHFLQADYMPVLERISIRECANVTSLQTERFGEFSCLGDFTVSNCPKLFHNSGSLSVPTLKNLELRNSGILLSNIECSSLTSLSFKCVHMTAIPIQLLSGNLPSLQKLNIIECESLTFIGESYPLNGAFSFLTVLIIECCHRLPTLDGFLKKEHLPAIEIIKIYSCTGLLSLPGESLTCLVELTMIKCHGIESFPNQMWRSNLASLKELHIADCPDLVSIGAVKPISKIKTVYIYGCQKLTTVKQPFTRRGRPYQILSLPNFGMTNFGKRSGVSLCMEPVRAGRIGQLDRCDVLILRESVAGDGSGVNLGEDDIRTIRISCGTLSLKNNARG >ORGLA02G0110400.1 pep chromosome:AGI1.1:2:9333607:9336984:1 gene:ORGLA02G0110400 transcript:ORGLA02G0110400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKTIGFISGVNECVNLFQWARSAISSLHTRWSGTQDQKLQDEVLQLQSGLQLLKDTLPAMYDLIDRAEWRSHEDCVAKLLPNLKDAVYNADDLLDEFRWYEQKVALEGNAASQSPFLEFFDCVIQGRFNKVTDIIERLNNVSSELEKLGLREIPQRFDKTLRPETSSFPSDREIYGRDNELEKVMELLSVPKNYTGVHSKRKRGSNDASTSTSTSNQVSVPILPIVGIGGVGKTTLAQHICNHLRVKSHFDPVIWIFVSDDFDVKRLTKEAIESASGKEAKTDHLDSIQHVLRENVKNKRILIILDDVWDDALKENGQCWKKFCSPLANVCQGSMMLITTRSSKVSNALGTLEPFTVNCLENDIFWDFFKLCAFVSDSSNNDPELECIGRSILPKLKGSPLAAKTLGRLLRMDHHTTHWKNVQKSELWELKQEETDILPALQLSYMYLLLHLKRCFSFCAVYPKDYNFEKDSLCEIWVAEGFVEPEGDIPILDTSKKYFEDLVSRSFFQKVYGTYVIHDLMHDMAQLVSKHDCFIIKDTGDFQKVPHNVRHLMILDSEKFDCSNLLSLCKHTKLRTILCNKSLWHKTLASVMDHWCTELWQIRVFSCAFLKEIPKSIGNLKHLRYLQISGSCHLNSIPLQFCCLYNLQCFNALECVVESLPCDFDRLIYLRRYKSQGFVYDRMGQLHLGTHWEHEVRLMKNFNQFYGDLKLSNLGALSKDLAAEIKLNRKRYIGCLTLQWCLWISQEHNEMEVFQVLHPPTSLISLKLMYYPGKSLPCWFQEQNGCNEIAGVIANNNNGCISVFSSLTYLDISDCEKLSNLNQFLQVAHVPSLERIRISNCGRVASTPRFGDFHCLEELILDHCKIFDHSESLSIPSLKKLVLHYSGNPICKIECRSLTSLSFVCPSVTSIPLQVWSSNLPALQNLDIKWCPSLTFIGESEPADFTNLSHQVSSSSSRIRTFSSLTVLTIHGCEKLLTLDDLLKQEYLPFIKSIKISYCQGLLSLPGEMFGSFPFLNDLGIWNCPSLTWQRGLVLPSSLLELNLIDCGYFSTWLPSCLENVTSLVILRMIKCRGITYITDQTLSSNLASLQELCIEDCPDLVSIGRGKLIAKLKKVRIFERR >ORGLA02G0110300.1 pep chromosome:AGI1.1:2:9324774:9327911:1 gene:ORGLA02G0110300 transcript:ORGLA02G0110300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58530) TAIR;Acc:AT3G58530] MAAAAAAAAGDEAWCRETVPRVMELVSPRLPQRDACALLAVSPWCHRALVANPKLWEVLDLHEMNKAGDRLISAISLPRYCHLKIINLEFAQDIDDRHFVRLKEMGCTSLQELELLNINACQKVSDKGIETITSLCPNLRALSIYWIVGYTISECFLCHTAPLVSNWWTFCFHRLTDLTIRHIVQNCKHIVDLNLSGCKNISDKGMQLVADNYEGLKKLNITRCIKLTDDGLQEVLQKCSSLESLNLYALSSFSDKVYKKIGSLTNLTFLDLCGAQNVTDDGLSCISRCVCLTYLNLSWCVRVTDVGVVAIAQGCRSLQLLSLFGIVGVTDVCLEALSKHCSRSLTTLDVNGCIGIKKRRRDDLIQLFPLLRCFKVHS >ORGLA02G0110200.1 pep chromosome:AGI1.1:2:9308320:9314324:1 gene:ORGLA02G0110200 transcript:ORGLA02G0110200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine phosphatases;protein kinases;catalytics;cAMP-dependent protein kinase regulators;ATP binding;protein serine/threonine phosphatases [Source:Projected from Arabidopsis thaliana (AT2G20050) TAIR;Acc:AT2G20050] MGCSPSKVCSCPHYKGSLCFCDCGCFGQTPDSPRESRGKSNRVRGKTDSSASDASSDDLEEDDDGLHQMNITRDSNVGINRLSRVSSQFLPPEGSRKVRIPLGNYDLRYSYLSQRGYYPESLDKPNQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDDRFRTDAVQALHSAFLATNSQLHADSLDDSMSGTTAVTVLVRGKTIYIANTGDSRAVIAEKRGEDVVAVDLSIDQTPYRTDELERVKECGARVMTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVVANPEIFILELNANHPFFVLASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITIIVVHINGLTDMECTQTVMKVSLQPSQQVVELVGSESPSTISLNPKNQRSRQDLSRARLRALESSLENGRLWVPPSPSHRKTWEEQAHIERILHDHFLFRKLTDSQCHVLLDCMQRVEVKAGDIVVQQGGEGECFYVVGSGEFEVLAIQEEDGKEVTKVLHRYTADKLSSFGELALMYNKPLQASVRAVTTGTLWALKREDFRGILMSEFSNIPSLKLLRSVELFTRLTILQLSQLADSLVEVTFGDGQMLVDKNDDASSLYIIQRGRVKLKLAADQVNSDAWDLLSSQTKVAQSSREDGNYVFEIDEGGHFGEWALFGETIAFTAMSVGDVTCSTIAKEKFDSIIGPLPKVSQSDSKLKDSLVPKGHGADDSSFRKAQLSDLEWKMCIYAADCSEIGLVQLRGSDKIKSLKRFYIKRVKDLHKEKHVFDEKDLMKSLSQSTCVPEVLCTCADQSYLGILLNCCLCCSLASILHAPLNELSARFYAASVVVALENLHQRSILYRGVSADILMVDRSGHLQLVDFRFAKKLQGERTYTICGIADSLAPEIVLGRGHGFSADWWALGVLIYFMLQSDMPFGSWRESELEPFAKIAKGHLVMPSTFSIEVVDLITKLLEVNENARLGAKGAESVKRHPWFDGIDWKQIADGTYTVPQEITDRVDSYVETLTEDLTASPSMPSEETADQAAPEWIQDW >ORGLA02G0110100.1 pep chromosome:AGI1.1:2:9276135:9277826:1 gene:ORGLA02G0110100 transcript:ORGLA02G0110100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRAPAIVQELAAAGVEEPPSRYLLREKDRSDVKLVAAELPEPLPVVDLSRLDGAEKATKLRVALQNWGFFLLTNHGVEASLMDSVMNLSREFFNQPIERKQKFSNLIDGKNFQIQGYGTDRVVTQDQILDWSDRLHLRVEPKEEQDLAFWPDHPESFRDVLNKYASGTKRIRDDIIQAMAKLLELDEDYFLDRLNEAPAFARFNYYPPCPRPDLVFGIRPHSDGTLLTILLVDKDVSGLQVQRDGKWYNVEATPHTLLINLGDTMEVMCNGIFRSPVHRVVTNAEKERISLAMLYSVNDEKDIEPAAGLLDENRPARYRKVSVEEFRAGIFGKFSRGERYIDSLRI >ORGLA02G0110000.1 pep chromosome:AGI1.1:2:9266615:9268504:-1 gene:ORGLA02G0110000 transcript:ORGLA02G0110000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPMAAGRAAAVAACASPAVPRRSLLLSTAAAGEPPYRPPADSSSPSKFSRFDRSAVRLLGWTAALQPEPVRLSRGASAAPKLRASPPDAAQAATAAAAFGSKEAFAWAKSDNRRLLHVVYRVGDIDRTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGAEDNHFVVELTYNYGVDKYDIGAGFGHFGIAVDDVAKTVELIRAKGGKVTREPGPVKGGKTVIAFVEDPDGYKFEILERPGTPEPLCQVMLRVGDLDRAISFYEKACGMELLRKRDNPEYKYTVAMMGYGPEDKNAVLELTYNYGVTEYDKGNAYAQIAIGTDDVYKTAEVVKLFGGQVVREPGPLPGINTKITSILDPDGWKSVFVDNIDFAKELE >ORGLA02G0109900.1 pep chromosome:AGI1.1:2:9259259:9264233:1 gene:ORGLA02G0109900 transcript:ORGLA02G0109900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGAKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLADREGYQYDHVFDWTLLKCKQSQKAKAQQQDPGVSSRAVPTNIEKHQVSVSRPTEASGQLEAEQRPAIRMQFKSTAENSRSSNRHTDKLRVGTSTENVLLQSTSFGHADAPRRNIALSKTQGLVDSNAHGTNNPGPSTHL >ORGLA02G0109800.1 pep chromosome:AGI1.1:2:9253068:9254897:1 gene:ORGLA02G0109800 transcript:ORGLA02G0109800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1NZE2] MGRLSLLLVVFATAAAAAVGLAGASFRDECDIPWEPQNARFTDDGNGLSLSLVSNYSGCMLRTKKQFIFGSVSTLIQLVPGNSAGTVTTYYTSSVGDNHDEIDFEFLGNETGQPYTIHTNIYANGVGDKEMQFKPWFNPTDGYHNYTVSWTPCMIVWYIDGTPIRVFRNYEKSNGVAFPTKRPMYGYSSIWAAEDWATQGGRVKADWSKAPFVANYRGLNINVCECSTTSGGGNSCAAKCASTYNSKSSACQLSDSELARMRKVQDEYRIYNYCVDPKRYNGSVPVECSLPQ >ORGLA02G0109700.1 pep chromosome:AGI1.1:2:9248416:9249486:1 gene:ORGLA02G0109700 transcript:ORGLA02G0109700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1NZE1] MAAKLQGGGGGAAAMAAVVVVVAMVAGVASGGNFYEECDATWEPQNCWSSDNGKSLSLALVSNSSGSMIRSKRQFVYGSVSTSVQLVPGNSAGTVTTFYTSSLGDKHDEIDFEFLGNETGQPYTIHTNVYANGVGDKEMQFKPWFDPTDGSHNYTISWTPCRIVWYIDGTPIRVFRNYQSSNGVAFPTWQPMYAYSSIWAAEDWATQKGRVKTDWSKAPFVANYHGIDLDVCECYGGDCVYGCAAAFSQGGGCAGQQLTGGEMGQMKWVQDNFRIYDYCVDYKRFNGQMAPECSLPQY >ORGLA02G0109600.1 pep chromosome:AGI1.1:2:9244409:9245114:-1 gene:ORGLA02G0109600 transcript:ORGLA02G0109600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQGERNSIFPEDPGQLLHDNAVKKRGQPDQDESCEEAKTMDLRSRGLCLVPVSCTVDVGVDAGPADYWAAPPAFGIGFGR >ORGLA02G0109500.1 pep chromosome:AGI1.1:2:9220327:9228647:-1 gene:ORGLA02G0109500 transcript:ORGLA02G0109500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tocopherol cyclase, chloroplast / vitamin E deficient 1 (VTE1) / sucrose export defective 1 (SXD1) [Source:Projected from Arabidopsis thaliana (AT4G32770) TAIR;Acc:AT4G32770] MDLAAAAVAVSFPRPAPPPRRCAPRRHRRALAPRAASSSPSPSTAVAAPVYAPTPRDRALRTPHSGYHYDGTARPFFEGWYFKVSIPECRQSFCFMYSVENPLFRDGMSDLDRVIHGSRFTGVGAQILGADDKYICQFTEKSNNFWGSRHELMLGNTFIPNNGSTPPEGEVPPQEFSSRVLEGFQVTPIWHQGFIRDDGRSKYVPNVQTARWEYSTRPVYGWGDVTSKQKSTAGWLAAFPFFEPHWQICMAGGLSTGWIEWDGERFEFENAPSYSEKNWGAGFPRKWYWVQCNVFSGASGEVALTAAGGLRKIGLGETYESPSLIGIHYEGKFYEFVPWTGTVSWDIAPWGHWKLSGENKNHLVEIEATTKEPGTALRAPTMEAGLVPACKDTCYGDLRLQMWEKRNDGGKGKMILDATSNMAALEVGGGPWFNGWKGTTVSNEIVNNVVGTQVDVESLFPIPFLKPPGL >ORGLA02G0109400.1 pep chromosome:AGI1.1:2:9216214:9219054:-1 gene:ORGLA02G0109400 transcript:ORGLA02G0109400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHLALMSCLLVLLLSLDKFLLHYLKKRWLSPRISTSPSKSRRSMAAAAAGGGGAKWSETAMLVIDMQKDFVDPAMRSPMLVDGGQAVVPTVAEAVAVARERGIYVVWVVREHDPSGADVEIFRRRYYSGGKGPTVKGLKGADLADGLVIKEGEYKLVKTRFSAFFATPLDSVLKTSGIKNLVIVGVQTPNCIRQTVFDAVALDYEKVTVIIDATAAARPEIHLSNIRDMKNIGVETPTLEEWRR >ORGLA02G0109300.1 pep chromosome:AGI1.1:2:9210975:9211448:1 gene:ORGLA02G0109300 transcript:ORGLA02G0109300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPAPLRGWDFSLGDSIRDEAWRCFGSPVHFSSHFSSSPFRLVVDVPRSTFRLTPSSVALYLRATIRGSPLGFQVQSLTDWSFSFVVMGWRRVVGARGEGSGRWGPLSRRTFLPTSCQLRPPRADTSEDSPTLSPAEDVLERAKLAQVPLASSGFG >ORGLA02G0109200.1 pep chromosome:AGI1.1:2:9199322:9207544:-1 gene:ORGLA02G0109200 transcript:ORGLA02G0109200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAGSGDEWSETAMLVIDMQMQKDFVDPATSSAALLAGEAIRPTVTEAVAVARQRGIFIVWVVREHDPSGRDVELFRRHFYSSGKGLGVEGSKGAELADGLTIKDGDYKLVKTRFSAFFATHLDSVLKTSGIKNLVIVGVQTPNCIRQTVFDAVALDYDKVAVIIDATAAAKPEIHLSNMIDMKNIGVETPTLEEWRR >ORGLA02G0109100.1 pep chromosome:AGI1.1:2:9193221:9194399:1 gene:ORGLA02G0109100 transcript:ORGLA02G0109100.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRGRASVELEPHRRPAPRRPPLRRVQLAIMPESPRGAPGAVRPRHGTAPYDKALRQLKSGDGAAAADGDDDDCRYVVSIGYDRGLGNRVIAIVSAFLYAVLTERALLVAPYNGDVAALFCELFPGTTWLLPGGRRFPLRRLRELDGKSRESLGTLLKSNAVSVDAGGNGTSSWSGRPPPYVYLHLDGSADYHDKLFYCDEQQRLLRGVPWLLMKTDSYLVPGLFLVPSLRGELERMFPEKDAVFHHLSRYLLHPANAVWHAITAYHRDHLAGAGHLVGIQIRVYHEETPPVSQVVLDQVLSCARREKLIPFPTAGTTTNTSSSDQAVLVTSLNSWYSDRIRDELGGGGGVHQPSHEGWQRMGERRTT >ORGLA02G0109000.1 pep chromosome:AGI1.1:2:9190159:9190410:1 gene:ORGLA02G0109000 transcript:ORGLA02G0109000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDGDGGPSFSPASTTEVAVKAAPWWRRRPLLSGCGTGRKAVRAASVAAAVLIAAVVLSYYARGDYDEMPSSLFTTTTATGG >ORGLA02G0108900.1 pep chromosome:AGI1.1:2:9172844:9173416:-1 gene:ORGLA02G0108900 transcript:ORGLA02G0108900.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTGGSQGLVAAPMASSSSASAXTRRNKVPLILCPSCENKTVVKRTSKTISSLDRIFYTCPDHEKDGSGYNFWFWEEGYMKYLKKNGLIAGEEAADVNAQVAASLKNATLLDETEVLREDDDELKQTLITAVSIGRELVVVLKNMLVLGWLGVAVLVCILVVLMI >ORGLA02G0108800.1 pep chromosome:AGI1.1:2:9157851:9164202:-1 gene:ORGLA02G0108800 transcript:ORGLA02G0108800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGGGGWDDDDDGDEQCATPPPPRSFSPMMMTEAGMKLVTPPWRRWRRWRGGCAESGRAVRAACVAAAVVLAVVVLSYYARWGGDQDEMPTSLFTTRGSEGATSANLTDDQLLGGLLTAAFSPQSCRSRYEFAGYHKRKPPHKPSPYLVAKLRSHEALQKRCGPGTAPYDKALRQLKSGDGAAAADGDDDCRYLVSISYNRGLGNRIIAIVSAFLYAVLTERALLVAPYNGDVAALFCEPFPGTTWLLPDGGRRFPLRHLRDLDGKSKESLGALLKSNGIVSVAAGVNGSTSSSWSGRPPPPYVYLHLDGGADYHDKLFYCDEQQRLLRSVPWLLMKTDSYLVPGLFLVPSLRGELERMFPEKDAVFHHLSRYLLHPANAVWHAITAYHRDHLAGAGHLVGIQIRVYHEETPPVSQVVLDQVLSCARRENLLPAAGNTSSSDQAVLVTSLSSWYYEKIRDELGGGGGGVHQPSHEGLQRMGDTAHDMRALSEMYLLSTCDALLTTGFSTFGYVAQGLAGLRPWIMPRRPWWEKEAATAVPDPPCARVATPEPCFHSPSYYECAARRNYDDIGKVVPYVRRCEDVSWGIQLVNGSSQSQW >ORGLA02G0108700.1 pep chromosome:AGI1.1:2:9155667:9156946:1 gene:ORGLA02G0108700 transcript:ORGLA02G0108700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT1G15220) TAIR;Acc:AT1G15220] MATEEDVKQRQIIESRARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIKSGKSDKEIYKKLQADYGETILYTPKFDLQTAAIWLSPVIVGGVAAGVWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLDVLTPPPPANKWWWPGK >ORGLA02G0108600.1 pep chromosome:AGI1.1:2:9144438:9150103:1 gene:ORGLA02G0108600 transcript:ORGLA02G0108600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLKSSAYKRVPSRDAAMDLDVETPAKMADGGAPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISTDLGFAGNTLAEGLVVSICLGGAFVGCLFSGSIADGIGRRRAFQLSALPMIIGAAVSALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPSVRGTYGSFVQIATCLGIVVSLLIGTPVKDIDRWWRVCFWVAAVPATLQALGMEFCVESPQWLYKCGRTTEAEIQFEKLLGPLHVKSAMAELSRSERGDDGENVKYSELFYGRNFNVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPPNLANICMGIANLSGSIVAMLLMDKLGRKVLLSGSFLGMAFAMGLQAVGANRHHLGSASVYLSVGGMLLFVLTFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWVVNFFVSLLFLRLLEQLGPQVLYTMFSSACVVAAIFVRRHVVETKGKTLQEIEVSLLQTQ >ORGLA02G0108500.1 pep chromosome:AGI1.1:2:9135343:9135705:-1 gene:ORGLA02G0108500 transcript:ORGLA02G0108500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLGSLVFCGAYLIDGGGGERGVGMSNYRVLCMFGELACVFSPGSDGGWRFVDTGAKYGDGEDVEFPEDTQFVGRAAGKIYWWAPGGLVQVFDEATATFFLMESRSTCGGSTTSPTSG >ORGLA02G0108400.1 pep chromosome:AGI1.1:2:9125184:9125985:1 gene:ORGLA02G0108400 transcript:ORGLA02G0108400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHVMDMSWQVKEVKGYNMFLLPMEEEDHTGGMVVLLEKISSSLMENMCTGMTQTCLQEKVTGYVRIPLAEILILLGGLTVTTATRNAMHLPCTSPATVLTDASSTLHHKGHRVGLLVHRVAVICQGRNKGIDHHRVVGVWSDRMIIGTILRSCPKIVQDEWQTLCTGTGSTSGMNFHTDSVGSLTGMAIITRSIHAMDHILTEENHGWGLPGLTGDKLYGTEVIHPCVTSP >ORGLA02G0108300.1 pep chromosome:AGI1.1:2:9112564:9118831:-1 gene:ORGLA02G0108300 transcript:ORGLA02G0108300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRSAPVAPPPPPPPPQQKKPAVPVSRPWPMSFTPHPPPPPPPPKPAVEVKNASPKKRKHCNCKNSRCLKLYCECFAARVYCDGCHCSPCGNKIENENIRKEAIETILLRNPLAFQPKIENSPNTVTVRKDNSEAIPPIPKHNKGCHCRKSECLKKYCECFQANILCSKNCRCQDCKNFEGSEERKALVQMKNASDRNHIQEAANFALNGATGSLGYKSSPVRRKKYQENSLGEQILSEAQFQPTDHADVSQLASSCTGFGGDIASNYQSKSSEMIYRSPLANTIPLIEVNDLVKNALVACRKAPEAFLTKAGNKVEMQAEKQYQTNDEINNDKTKEQNLKEASLKDIQNKACIDRQNINGTEPHLANSFKDSRPASPGTQALMCDEMDTTFGNEDYRSPFVVPSRDQDISELNADQERIVLTGLRDYLRVLITRGNINATKFSLETAMELDSRRHHGATTVFSQDKSMSSSCPETLGNNQTSMSNDEQKEKSGG >ORGLA02G0108200.1 pep chromosome:AGI1.1:2:9100521:9100664:1 gene:ORGLA02G0108200 transcript:ORGLA02G0108200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDTCSGLHLVLVCLHVLAEVEMGVRFLAKNSDIRSVVTSINSACM >ORGLA02G0108100.1 pep chromosome:AGI1.1:2:9091715:9094582:-1 gene:ORGLA02G0108100 transcript:ORGLA02G0108100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMCAGGAAAIIFLTALSSAASALGVAAAAAACVPSERAALLAIKAGFTSDPDGRLASWGAAADCCRWDGVVCDNATGHVTELRLHNARADIDGGAGLGGEISRSLLGLPRLAYLDLSQNNLIGGDGVSPSPLPRFLGSLSDLRYLNLSFTGLAGEIPPQLGNLTRLRHLDLSSNVGGLYSGDISWLSGMSSLEYLDMSVVNLNASVGLAGVVSNLPSLRVLALSDCGLTAAPSPPARANLTRLQKLDLSTNVINTSSANSWFWDVPTLTYLDLSGNALSGVFPDALGNMTNLRVLNLQGNDMVGMIPATLQRLCGLQVVDLTVNSVNGDMAEFMWRLPRCAFGKLQVLQLSAVNMSGHLPKWIGEMSELTILDLSFNKLSGEIPLGIGSLSNLTRLFLHNNLLNGSLSEEHFADLVSLEWIDLSLNNLSMEIKPSWKPPCKLVYAYFPDVQMGPHFPAWIKHQPSIKYLDISNAGIVDELPPWFWKSYSDAVYLNISVNQISGVLPPSLKFMMFALAIYLGSNNLTGNVPLLPEKLLVLDLSRNSLSGPFPQEFGAPELVELDVSSNMISGIVPETLCRFPNLLHLDLSNNNLTGHLPRCRNISSDGLGLITLILYRNNFTGEFPVFLKHCKSMTFLDLAQNMFSGIVPEWIGRKLPSLTHLRMKSNRFSGSIPTQLTELPDLQFLDLADNRLSGSIPPSLANMTGMTQDHLPLALNPLTGYGASGNDQIVDSLPMVTKGQDRSYTSGVIYMVSLDLSDNVLDGSIPDELSSLTGLVNLNLSMNHLTGTIPQKIGALQKLESLDLSINVLSGEIPSSLSDLTSLSHLNLSYNNLSGRIPSGNQLQALANPAYIYIGNAGLCGPPLQKNCSSDKNKTSQGGLHQDGKGLSDAMSFYFGLALGFVVGLWMVFCCLLFVKTWRIAYFQAVNKAYDTLYVFIGVRCAKFREDKTATS >ORGLA02G0108000.1 pep chromosome:AGI1.1:2:9076978:9085044:1 gene:ORGLA02G0108000 transcript:ORGLA02G0108000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase family [Source:Projected from Arabidopsis thaliana (AT4G29010) TAIR;Acc:AT4G29010] MAGAIRVTMEVGADGVAVVTICNPPVNALHPIIIQGLKEKYAEAMDRDDVKAIVLTGAGGKFCGGFDINVFTEVHKTGNVSLMPDVSVELVSNLMEAGKKPSVAAIQGLALGGGLELTMGCHARISTPEAQLGLPELTLGIIPGFGGTQRLPRLVGLPKAIEMMLQSKFITAKEGKERGLVDALCSPDELIKISRLWALEIANYRKPWIRSLARTDRLGSLSEARSVLNSARQQAKKVAANLPQHQACLDVMEEGVLCGGHAGVLKEAKVFKELVLSPTSKALVHAFFAQRLTTKVPGVTDVQLKPRKIRKVAVIGGGLMGSGIATALLVSNTSVVLKEVNPQFLQRGQKMIAANLEGLVKRGSLTKDKMNKAMSLLKGALDYSDFKDVDMVIEAVIEKIPLKQSIFSDLEKVCPPHCILATNTSTIDLNVVGEKTNSQNRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKMIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVSIGIDVFRIDRVISSFGMPMGPFQLQDLAGYGVALAVKDIYAAAFGTRNLDSNLVDLMVQNGRQGKSNGKGYYLYEKGGKPKPDPSVQVVIDEYRRCAKTMPGGKPVTLSDQDILEMIFFPVVNEACRVMDENVVIRASDLDIASILGMGFPKFRGGLVFWADTIGAPYIHSKLSKWTEIYGDFFKPSSYLEDRAKRSLPLSAPNATQQASSRSRM >ORGLA02G0107900.1 pep chromosome:AGI1.1:2:9066623:9070127:1 gene:ORGLA02G0107900 transcript:ORGLA02G0107900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVTCSLPGAVTTHASTRRFGGSQFQTSQASCISFKREVSAKAVLRSVRCNATQTQSAQRKSSTATVKRSDPKGKTQGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFACVLLLDYLKEFEKNLIARRQRAGYDANNDMFQQ >ORGLA02G0107800.1 pep chromosome:AGI1.1:2:9050871:9052395:-1 gene:ORGLA02G0107800 transcript:ORGLA02G0107800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAVAARSRAIARAASSSLLARGLLPTSRRATCINRLPLVSGGLLSALPLHSAVASARLRSAIAPESQSWGLVPQGNSMPL >ORGLA02G0107700.1 pep chromosome:AGI1.1:2:9044017:9047593:-1 gene:ORGLA02G0107700 transcript:ORGLA02G0107700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPLFVPPPHLLFLLPATTSSSPAASLRRLLLPPLSCHARQVLDVMPQGDRVAGPRAAEGAGAKGATAQVGVATRVAGTAGRHGRGRHGGGARPWRRGEDRPARGGQHAADDGKVQGTRRGGDLKQRREGRRWTRGETQARESGKAGNSGRGQSNASGGNASRKGTKKAKGGENEVKLRVELDMCSKRGDVIGAINLYDSAVKEGIKMGQHHYSVLLYLCSSAALGFVQPAKSGNAGSGIASIGQLHSSSTQSVGNLEGDDVQSEGHSEDQEGNKTDLFALDDGTEKLSRIPVSDELREYARTRGFEIFEKMRSEEEKVPMNESALTAVARMAMSMGNGDMAFDVVKQMKDQGIAPKLRSYGPALTAFCNSGNVEKAFEVEAHMLESGVRPEEPELETLLKASVAAQQGDKVYYLLHKFRTTVRQASSTTAKLLEDWFQSPTASKVGKRKWDAGAITKAIENNGGGWHGLGWLGRGKWTISHSHIDRNGACLACGEKMTIIDLDPKETEDFATLVAKLAIKRERRSNFDNFQKWLEKHGPFDAVVDGANVGLFSHKHISLSKINIVADVIRQRFQSRKLPLIVVHNRHLTGERMQKPSNRKLVEKWKLSNAIYATPTGSNDDWYWLYAAIRCKCLMVTNDEMRDHTFQLLERDFFPKWKERHQVRFNFEDSCVTLQMPPPCSVVIQESENGQWHIPVVSEEGSLEKDRTWLCVTRRN >ORGLA02G0107600.1 pep chromosome:AGI1.1:2:9035154:9039849:1 gene:ORGLA02G0107600 transcript:ORGLA02G0107600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLVDRATNDMLIGPDWAMNLEICDTLNRDPGQAKDVVKSIKKRIAHRNAKVQLLALTLLETMIKNCGDIVHMQVAEKDILHEMVKIVKKRPDFHVKEKILTLIDTWQEVFGGVRARYPQYYAAYQELLRAGAVFPQRSNGSVPIFTPPQTQPLQNYPASLRSAQQEPPGSSVPDLPSLSLAEIQNARGIMDVLSEMLNALDPSNREGLRQEVIVDLVDQCRSYKQRVVELVNTTSNEELLSQGLSLNDDLQRVLAKHDAIAAGVAVRLEKTKSLQTKIDSSPPANPGTSKAPVQSSSGTASTSNQSTLALPAPASSSSPKAPAAPVPVIDLLSGDDYIKPEPANSLALVPVTEYSAADQNVLALADMFEQNNANRSNNNLTNSFNTSAPNSNFPASQAYPAPVQPALPQHPVAYSNGVSSNAIVPYYDDQNGGLPPPPWEIQQSMDNPPQPTQLGQMPLQPGQPVGMHPQSPHSGQFGQGSFMSPQQMANGQLGGTQPRQSPQPQSAPNLQYGGMMMYPNSMQVNQGAGMYSQPMFGGQFYGMSHHHQMYAVQMAGYGFGQQPGAYYIPNAAYAYVSANELTQRMNAVSVQEGNSHGGAMASRPEDSLFGDLVSIAKMKQNKPAAGKVGGL >ORGLA02G0107500.1 pep chromosome:AGI1.1:2:9024194:9024850:1 gene:ORGLA02G0107500 transcript:ORGLA02G0107500.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTLGYVQFDDFCELDNLKEKLIAESDLPCPTNAIFHIFGEYNDRGIFLVHRVYICSDLEHLVVSDKICKLERHVTANHIVSSLSCFDCKKQVVFSVLREEHHMEKPRTVFREEGEDDVTMATTDATIAHIMDEQEDIKIKSSKCWNPIRPPTTLLTSNGRRICIRPPFSAREYLMESSWSPLSNGSSLIAKXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA02G0107400.1 pep chromosome:AGI1.1:2:9006169:9012931:1 gene:ORGLA02G0107400 transcript:ORGLA02G0107400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNIDFGKVLGEIQESLVSLHRAQGDTQAAIIKLDQGVSAWRPQVEAAVQDLREEVGDLRQQVDLLNKAKQAPPAASSPRVPLTGEERKAPLLPTSTATSPAAVVEGAEKWANGHRLHQNFRGKASGVVTTLIHSLGKDAPMKNVNHLLVQGIVVNISIVVALVPLDCIFQKDIDGDVSIKSKKAWDQGLSLQQKRSHDQNPTNPDNRYTR >ORGLA02G0107300.1 pep chromosome:AGI1.1:2:8997315:9002891:1 gene:ORGLA02G0107300 transcript:ORGLA02G0107300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWCGGERFLRGDELRHRQAGFLAHRRVHPSQGRQERHPLAQRRAGQHERRAAEAGRHGRRPDRCADQGVEEQGAXAILRHRGLHRPLLAKPQQWXCQCXSPAKGRAQDEEAVGEPSDWRXDQTTQGTCYRREREAXTVXDRGSAHGCTPTCALGSSSTSLVXASQKPCGHXXASGTDNWLDKERREATEGGVHLWNGRVGQDYSSYGGVSQDXXIIXLSGYGLCISDSXYQEASXRHTLSDXRARVXKVKRLGDGTTYTEVEEELRRQEVLFHHXXYLEHRCMETIEVSLAYQXQQEXNNYYNTHKRCGKVMLLRLCGAYVRSHASKXRELLQIIFXKSLFXRRLPQTFDRSSXCNFEEMWWLAISHYQYSWVIVQXESDSGSVDQNKELYLFYGXERFSCXQNEEDIVSQLFXSSSISEDVLVIFLSVFPEDSNIDPRRLIRLWVAEGLILGQSRACTEQSGESYLHELINRSMIQPSKIGADGRVKICRIHDVILDFIVSQAEEENFVTILNNSDPSDYTSNKFLRLSLQSGFSEGSKMPKASKDLSHLRSLHMFKHESLPVVPSEFAKCQVLRVLAIKLRLGDNYIKHVGHFCELKYLRIKGGIHKLPEQIGKLQHLQTLDLAYTRIEKLPASIVQLQKLVHLLIPFGVPLPDEIGNLQALEVLSGIDLDRASVKSIYGLGELSKLRDVRIWWSDSNEDNSKEGHRTACISSLSKLVKCSLQSLRLARGLSNPDVIASLMISCGFIPPLRRLVFYDEFPTIPSQFASLVNLTRLRVEVGGVGGLEILASLPILQSLTLDTNSDVSNLRWVISGQGFQNLRKFNFEIRDSVVGLMFEPGAMPKLQRLKLWLYARWQLDVHGGPVVGLHHLSALKSIALVFNCNGAVAAEVESSEDDARAAAVSHPNRPTLEIQRYNERRMKTRQTNSKHRLITKQITDSACKL >ORGLA02G0107200.1 pep chromosome:AGI1.1:2:8988777:8996328:1 gene:ORGLA02G0107200 transcript:ORGLA02G0107200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:I1NZB6] MGSRPVDEVLDAAAAGVHYSTLRLDELNINGSMTGEEQPTTSGVENGHQEPFVIGVAGGASSGKSTVCKMIIDQLRDQRVVVVTQESFYYGLSDEELVHVHDYNFDHPDAFDTELLLSCMENLKHGKAVDIPNYNFKTYKSVASARKVNPSDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKVHPNLYVIQTTYQIRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGKQLIYHNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQETNIIFLNLISAPQGVHVVSKRFPRVKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDEKNRVRLL >ORGLA02G0107100.1 pep chromosome:AGI1.1:2:8971414:8975114:1 gene:ORGLA02G0107100 transcript:ORGLA02G0107100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacid aminotransferase-like PLP-dependent enzymes superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57850) TAIR;Acc:AT5G57850] MMASLSTPPATAGVSPSPRPSLLAYKKAAGLTPSPWCGWRRAAVATAATSSNRTAAPAETIVTGNDVPLLSFAEVAERLDEFHASGTRNQNYMAMYSSIFGGITTNPSAMVIPIDDHMVHRGHGVFDTAAIMNGHLYELEQHLDRFLKSASMAKITLPFDRSTIRSILIQTVSASKCTQGSLRYWLSVGPGDFQLSSAGCANSALYAIVIESPSLPVPAGCKVITSSIPIKSQQFAVMKSVNYLPNALTKVEGEENGGFTGIWLDDEGFVAEGSNMNVGFVTQSKELLMPRFDKILSGCTAKRVLTLAKQLVADGRLSGISSRNVSVQEGKAADEMMLIGSGILVKPVVQWDDQIIGSGKEGPIAQMLFNLILEDMRSGPPSVRIPVSY >ORGLA02G0107000.1 pep chromosome:AGI1.1:2:8964303:8965363:1 gene:ORGLA02G0107000 transcript:ORGLA02G0107000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDREERSSPPVEASPSXHQPSPAPVRRQALSPPSLVARGPYVSLRLHAAPVLSAPPTTSAAGECLQVFVFRELPLPASRRGAWRVGIRARAGRRWVAGXRPVGREEEGERAAEEGVRSPDLRKKEGWDFGQRRVGGGSPDDDRWDLRKRTRGSPDDARWDLQKKRREEEERNGGGSPEPELGKKNDEEEKKKVVVVARGEEGGEEGEEKWCAGMRVPWVEEGPHMLYAGPSFLAGAAPDPSSLPIPSFGPPRRRTSSSGGGVAVRVALIFLAGLVLRLRQLERVRVSRLALLCLSQ >ORGLA02G0106900.1 pep chromosome:AGI1.1:2:8957350:8962510:1 gene:ORGLA02G0106900 transcript:ORGLA02G0106900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: positive regulation of catalytic activity, protein processing; LOCATED IN: integral to membrane; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Aph-1 (InterPro:IPR009294); Has 268 Blas /.../ to 262 proteins in 79 species: Archae - 0; Bacteria - 0; Metazoa - 212; Fungi - 0; Plants - 34; Viruses - 0; Other Eukaryotes - 22 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G31440) TAIR;Acc:AT2G31440] MTVAAGLGYALVALGPAFSLFAGVVARKPFLVLTLLTSTLFWLISLIILSGIWRVFLPIRSGAWWPYAILILTSVAFQEGIRLVFWRLYKKMEEMLDSFADRISKPRLCLTDKMLISLAGGLGHGVAHAVFFCLSLLTPAFGRATFYVEKCSRMPFFLVSAIISLGFLVIHTFSMIIAFNGYDERKRSDQVFVPVVHLIASVMTLINLAPGGCVIGTPLLCVMGAVTLQYCWQMVWRRLSEQQHRQFSS >ORGLA02G0106800.1 pep chromosome:AGI1.1:2:8953479:8953841:-1 gene:ORGLA02G0106800 transcript:ORGLA02G0106800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRCGCQDPDIGVLPMPSDVRRQVRTPARASERAEHSGMEGSDGGVLTGDVPFPLICGGSGVGAGLVRRWDNEGRRLDGHGDWRHPQRAVPIESTRSSRQRATDGGWTEASDASCPRS >ORGLA02G0106700.1 pep chromosome:AGI1.1:2:8944451:8948713:-1 gene:ORGLA02G0106700 transcript:ORGLA02G0106700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERPFCRERRMLEALPAAASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPSALLFAVSSAYLVSGISVAYAAPRRHPLICVVENNFCASKRGGVRCLAILNAVFAVIFGLMAIILGSTLLALGSSCSVPLFWCYEITAWGLVILYAGTAFFLRRKAAVILDEGDYTPHNVGLEMLETTIEVTPEMQRRINDGFKSWMGPSTLSSDDEEEASDDYIEHNVPIPTASVQVRQENDLES >ORGLA02G0106600.1 pep chromosome:AGI1.1:2:8941469:8943286:1 gene:ORGLA02G0106600 transcript:ORGLA02G0106600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLRRHSLDKNSAQFHRQLSHDSSSSSSDTADMHEPHGNGSGGTPPLPNGRAAAAGAPRSRFGRDGPPSDLDVMKERFAKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEHRKLEPMAPDTKERWKKEVGWLLSVTDHIVEFVPTRQTAENGTTMEIMSTAQRRDLQMNVPALRKLDAMLIGYMDNFVDQSEFWYEKGGDNKRDDDKWWMPTVKVPAEGLSEVTRKWLQYQKECVNQVLKAAMAINAQVLVEMEIPEVYIESLPKKGKTSLGDAIYRSITDEEFDPIEFLEGVDLSTEHKVLDLKNRIEASTIIWKRKMQTKQEAKSSWGSIISFEKREQFEERAETILHLLKLQFPGTPQSQLDISKIQYNRDVGYAILESYSRVLESLAYSVMSRIEDVLGADAAAQNLTATEAARRLMDGDAAARKLDAMEELEKLNEAPASMTLYDFMGWHFDQDELMRKKEEGTLDEAGEAKLKKAPSLAPKKFSYVDSLSAGGMRSPSSRH >ORGLA02G0106500.1 pep chromosome:AGI1.1:2:8933217:8934889:1 gene:ORGLA02G0106500 transcript:ORGLA02G0106500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVLIIGAGPAGLATAACLTLQHVAYAIIERESCTASLWRHRTYDRLKLHLAKEFCELPHMAYPSGTPTYVPRESFVEYLDSYTDRFGIQPRYDTSVESATYDQGKKHWAVLAQDTDTGVVARLTARFLIMATGEKNAASIPLVPGLAGFEGEAIHSSAYKSGNGYTGKSVLVVGAGNSGMEIVYDLATHGAHTSIVVRSPVHIMTKELIRFGMTMVQNLGLSVTIVDPLLVMAAKLIFWDLSKHGIMRPKMGPLLLKSQTGKSAVIDVGTAKLITRGVIDVLEGILKINANNVEFHCGRQIPFDAIVFATGYKSTVNTWLKNGESMFRNDGFPKKKFPNHWRGENGLYCAGFARRGLVSIAMDAKNIVDDIRATMYQVSC >ORGLA02G0106400.1 pep chromosome:AGI1.1:2:8920845:8921204:1 gene:ORGLA02G0106400 transcript:ORGLA02G0106400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVNRYQSQESVLVSQEDRISQQQRSFYYRVGVQSCWAADRSRAHNCITKGKRKPRLGPNITSVTWELGRNPKTHRSRLAPGRTPRQRDLLHLLQQLGGDYLYRARMSTGVLSKPWEISV >ORGLA02G0106300.1 pep chromosome:AGI1.1:2:8907248:8908351:-1 gene:ORGLA02G0106300 transcript:ORGLA02G0106300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADAPPLAQQERDEAAPARRRRRNARGPAAPRRDGGRRRRRSSAASPVGDRRGEWLPVEDHPRGALGRRGLCEVAAAVAAAADAVLISGDEDYSRNXWGFVRWCGGQGGEASSSSLSKPAPAAPLPTVRTSSSSAVAADGLKLKPPPEAAATATSSKKRNSGPESSPTASSKRPKTVHRQQNKSPLPPPPPPKRAARARFAAIPLPPPPSNEEVLATRKRVDEMRKKYAGLIAKSQDVIGVAHREAAVPEKAKGRRANLAAAAEDELLLLRQRRRGRDAERAKAREALREMEREARRAGLFAMEAIRREHLRALDITRDIEYAVSPECHRGEDGVLRVIAPSRHSPVSSMLGLLLRPQDGGELELDEE >ORGLA02G0106200.1 pep chromosome:AGI1.1:2:8901984:8906073:1 gene:ORGLA02G0106200 transcript:ORGLA02G0106200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVEGEGEGEEEGEGKGNLANAPEDSHKHCQGEELEAGQSCKINYQDIMDKCGIEISEKRRRRWSTKEDESLIQMINIYGHNNWETVARAIPGRNAQQCRVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQGASSKCDGSSGENQLLSDLQASLMSKQRTSSKYKQGASSKSKQGTSSKSKQGFIDLCENTDTSEGESSELICTERPDPDSGEASQRIRDRLNWRKRARKKLVFLSSPVELKVSAVAESERHLQESKEMSPEVNIVSPPAVLQGFSPEVPSVCEKIVEPPLADFNQAKNVCSLETSSDPCTLEQHLANVSDLLDMSYIDGLMIIPPAGCPYDGDFI >ORGLA02G0106100.1 pep chromosome:AGI1.1:2:8892089:8893231:1 gene:ORGLA02G0106100 transcript:ORGLA02G0106100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSMSSSSSSEWRDWSSLPEDLLLLILERLRWSSHPSVAAVCLPWRSAVPPSYPAWITPLLLNAADVGTTNIRYYSPYFHKNFEIDRTLTDPGAKICCSAAEHLTTLCTPDDVVLDADMVSGSIRELPRLPDSKFNFIVYDDGALRTTMYAIVTVGSLRICRTGLNDDSEWDDWDLVDSPDEQMVPTLPISNPVFHGGMLYLLGKDGRLALYDPCNHERGFEILDKPESFGFETDDSYLVESEQGELMAILVGRRGTPVHIVKLNEEAMKWEEVESLQGRTLFTGTLTTMMRSVKIKWMQNKVFLPKLYDWPETIQVNLVLRDGELAFVPKSGGENTILKDGEDYMEKMWCYEPGQRQAKKFWGTKSVDYGIWVNFST >ORGLA02G0106000.1 pep chromosome:AGI1.1:2:8890693:8891778:1 gene:ORGLA02G0106000 transcript:ORGLA02G0106000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINKKAQDSPSLMESRLAPLLLFGHGEAGDKFLYSIPSRRQLTAAAAAAAFVDLIGHYSWITPRGWVLTLQPAGRRGGGDAPAEAFLRDPFSSRRVPLPPPDEEISGLAAKALGGGDDDAAWCVLSHDAADPRCVVVVVHPTEPVLFYCRPGPGDSAGRRRWLRHEYQPEAIIAPANHDDDDDLDAIVIESMGWLTASGGKLFADLEWSGKMATLEFSPSPATPTLASTPLAMVPCPAWWNHWNSSLVDSRGELFVVHFRNSLLCQRTVLLVQVHRLDSTRRAWVKADGLGSNRVFLVTSQFGVSMAADEAGLEENCIYFTKLDDKGLYVYDVGQGTTALYDPREDIPDSMEPILLMPVS >ORGLA02G0105900.1 pep chromosome:AGI1.1:2:8862881:8865726:-1 gene:ORGLA02G0105900 transcript:ORGLA02G0105900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDLQRCSQNLKLKKLQAKLPEVLSVNPNIYHQAHTTRSWDFLGLNYYEQSGLLKKANYGEDVIVGVIDSGIWPESESFNDSGYSSVPTRWKGKCQTGMAFNATSCNRKIIGARWYLGGIQDESLKGEYLSPRDANGHGTHTASTIVGGQVWNASHKRGGLAAGSAHGGAPRARVAVYKACWGAAGGGISCSNAAVLAAIDDAINDGVDVLSLSIGGPVEYLSSRHAVARGIPVVFSAGNDGPTPQTVGSTLPWVITVAASTIDRTFPTVISLGNKEKLVGQSLYYKATAKSGKFEMLVDGGFSCDKETLALINVTGKIVLCSAPLQAKLNPPRLMLPAIIGDVANAGAAGLIFAQYTVNILEDLDACNGSMPCVLVDYEIANRIRSYVASTRMPVVEVSPAMTVVGSGVLSPRVAAFSSRGPSTLFPGILKPDIAAPGVSILAALGDSYEFMSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTASVTDRFGIPIQAEGVPRKVADPFDFGGGHIEPDRAVDPGLVYDIDPREYAKFYNCSINPKDECESYMRQLYQLNLPSIVVPDLKYSVTVWRTIINVGVAEATYHAMLEAPVGMTMSVEPSVIKFTNGGSRSVTFKVTFTTRQRVQGGYMFGSLTWQDGSTHSVRIPIAVRTIIQDFVADTS >ORGLA02G0105800.1 pep chromosome:AGI1.1:2:8840570:8849864:-1 gene:ORGLA02G0105800 transcript:ORGLA02G0105800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSALLLLVLLVSPFFTNASSRLYIVYMGEKKHDDPSVVTASHHDTLTSVLGSKDGAMKSIVYSYKHGFSGFAAMLTESQAEELARLPEVISVKPNTYHQAQTTRSWDFLGLNYNEQSGLLKKAKNGEDVIVGVIDSGIWPESRSFDDNGYSPVPARWKGKCQTGAAFNATTGCNRKIIGVRWYSGDIPDENLKGEYMSARDLGGHGTHVASTIVGGQVRNVSHRQGGKLAAGTARGGAPRARVAVYKVCWGVPAQCGGAAILAAIDDAMNDGVDVLSLSIGGAGEHYETLHAVARGIPVVFGGGNDGPTPQIVRNTVPWVITVAASTIDRAFPTVISLGNNEKFVGQSLYYNATASSNKFQMLVDGSSCDAETLASINITRKVVLCSPPSMTPPRLLLGDVIGRVIKAGANGLIFVQYSVSNALDFLNACSRASVPCVLVDYEITRRIESYMTSTSTPMVKVSPAMTVVGSGVLSPRIAAFSSRGPSSLFPGILKPDIAAPGVSILAAVGDSYELKSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTASVTDRFGMPIQAEAVPRKVADPFDFGGGHIEPDKAIDPGLVYDIDPSHYTKFFNCTFLEAEDDCESYMEQIYQLNLPSIAVPKLKDSVTVWRTVTNVGEAEATYHAVLEAPVGMTMSVEPSVITFTRGGSRSLTFKVTFTTTQRVQGGYTFGSLTWLDGNTHSVRIPIAVRTIIQDFVADTS >ORGLA02G0105700.1 pep chromosome:AGI1.1:2:8829488:8832969:-1 gene:ORGLA02G0105700 transcript:ORGLA02G0105700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPFPSTLLFVLLLVLPVFANASSRLYIVYMGEKKHDDPSVVTASHHDALTSVFGSKDEAMKSIVYSYKHGFSGFAAMLTESQADELAKLPGVITVKPNTYHETHTTRSWDFLGLNYYEQSSLLKKAGYGEDVIVGVVDTGIWPESQSFDDNSYGPVPARWKGKCQTGVAFNTTNCNRKIIGARWYSSGIPDESLKGDYMSPRDLNGHGTHTASTIAGKQVRNASHHRSGLAAGVARGGAPRARLAVYKACWGTTGTCSTAAVLAAVDDAINDGVDVLSLSLGIGSDIPGTLHAVASGITVVFAGGNAGPAPQTVENVVPWVITVAASTIDRSFPTVVSLGNKQKLVGQSLNYNATKNNSNYHMLVFGSSCDEESLATVNVTGKIVLCYAPLEAAATSSPNPAFGTAAIGIAKGGAKGLIFAHQRTNIFDDLENCNKILPAGCMMVDFEIAARIASYLNSTRKTVAKISRAVTVVGNGVLAPRVAAFSSRGPSIDFPGILKPDVAAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWSPAMIKSAIITTASVTDRFGMPIQAEGVPRKVADPFDFGGGHIDPDKSVDPGLVYDIDPKEYTKLFNCTLGPKEDCESYVGQLYQLNLPSIAVPDLKDSITVWRTVTNVGGSEATYKASIEAPAGVIMSVEPSVITFTKGGSRSAKFKVTFTAKQRVQGVYTFGSLTWVDGVTHSVRIPVVVCIIIQDFISDTS >ORGLA02G0105600.1 pep chromosome:AGI1.1:2:8813223:8816420:-1 gene:ORGLA02G0105600 transcript:ORGLA02G0105600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTRDHLFLLLCSWFLFXYSQFSPMRPADSTSFTWGRRSMMIHLWSPHRTMMLLLRCFRWNSKDEAMKSIVYSYKHGFSGFAAMLTESQADEVEKLPGVVSVKPNTYHKAHTTRSWDFLGLNYYEQSSLLKKAKYGEDVIVGVVDTGIWPTSRSFDDNGYGPVPARWKGKCQTGADFNATSCNRKIIGARWYSGDIPDELLKGEYMSPRDLSGHGTHAASTIVGGQVWNASHRQSGLAAGVARGGAPRARLAVYKACWGDTDRNATCGDASVLAAIDDAINDGVDVLSLSLGGYGEVAGTLHAVARGITVVFAGGNEGPVPQSVSNAVPWVITVAASTIDRSFPTVISLGNKEKLVGQSLNYNATMNSSNFHMLVDGQRCDEDSLASVNITGKIVLCSAPLEAANSSPNSAFAATFVAVVKRRARGLIYAQYSANVLVGFEDYCHLYLPASCVLVDYEIASRIASYAKSTRKSVVKISRVVSMVGNGVLAPRIAMFSSRGPSNEFPAILKPDISAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPYWSPAMIKSAIVTTASVTDRFGMPIQAEGAPRKIADPFDFGGGQIDPDKSIDPGLVYDIDPKEYTKFFNCTLGPKDDCESYVGQLYQLNLPSIAVPNLNDSVTVWRTVTNVGGEEGTYKASIEAPAGVRMSVEPSIITFTKGGSRSATFKVTFTARQRVQAGYTFGSLTWLDGVTHSVRIPVVVRTIIQDFVSDTS >ORGLA02G0105500.1 pep chromosome:AGI1.1:2:8781444:8788360:-1 gene:ORGLA02G0105500 transcript:ORGLA02G0105500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRSAFCRSALLLVLLVLLPLSASASTKLYIVYMGEKKHDDPSMVTASHHDALTFVIGSKDGAMKSIVYSYKHGFSGFAAMLTESQAEELAKYPGVINVKPNTYGKAHTTRSWDFLGLNYYEKSGLLKDAMYGEDIIIGVVDTGIWPESPSFNDDGYGPVPARWKGVCQTGDAFNITNCNRKIIGARWYSAGATDDMLKGEYMSPRDFHGHGTHTASTIAGGQVWNVSHHQGGLGAGVARGGAPRARVAVYKVCWGVGGNFGDAAVLAAVDDAINDGVDVLSLSLGGPNEIHGTLHAVARGITVVFAGGNDGPTSQSVQNTVPWVITVAAATIDRTFPTTISLGNNEKLLGQSLYYNATVSSIKFHSLVVVDGQSSAINFTGNVVLWPEPYNIRNDTINLLAKEGVKGIIFAQGNTVNVLEILDACNGIMPCAVVDKEIANRIASYATSTRHFFSLSSMPVVKVSPAVTVVGNGVLSPRVAGFSSRGPGTKFPGILKPDIAAPGASILAAVGDSYKFMSGTSMACPHVSAVVALLKSVHPDWSPAMIKSAIVTTASVTDRFGMPIQAEGSARKVADPFDFGGGHIEPNKAIDPGLVYDIDPKDYTKFFNCSLDPQEGCKSYMGKLYQLNLPSIAVPDLKDSVIVWRTVTNVGGSEANYKVVVEAPAGVNVVVEPQVITFAKGGSQSATFKVTFTARQRVQGGYTFGSLTWLDDNTHSVRIPVAVRTIIQDFVSDTA >ORGLA02G0105400.1 pep chromosome:AGI1.1:2:8772403:8772807:1 gene:ORGLA02G0105400 transcript:ORGLA02G0105400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKARVEDTDMPARMQEAATSAASRALDLFDVADCRAIAGHIKAEFDKRYGVGWQCVVGANFGCFFTHTSGTFIYFSLERLSFLLFKAAAAAAIAAS >ORGLA02G0105300.1 pep chromosome:AGI1.1:2:8755667:8761312:-1 gene:ORGLA02G0105300 transcript:ORGLA02G0105300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDAKPSAVHIPMPARDRDRDRDRELLLVPPAAVATSASASASAAGRDSDSDDESKPSSASAAAAAAQTGREAFHKVVHSWASKKFMTGCVILFPIAVTFYITWWFFRFVDGFFSPIYAHLGINIFGLGFVTSISFIFVVGVFMSSWLGASILGLGEWFIKRMPFVRHIYNASKQISAAISPDQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQDYSSEEQMYCVYVPTNHLYIGDIFLVNSSDVIRPNLSVREGIEIVVSGGMSMPQVLSIVETEQNQWSRMRSSRS >ORGLA02G0105200.1 pep chromosome:AGI1.1:2:8743505:8744460:-1 gene:ORGLA02G0105200 transcript:ORGLA02G0105200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARPFALLFLAVTVGFVLLTAADDSANATATTTTAMAPSPSTDDAAPPVWLKAHATFYGGADASGTMGGACGYGDLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCKPGVTVTITATNFCPPNWNLPSDNGGWCNPPRPHFDMAKPAWEKIGIYRAGIIPVIYQRVPCVKKGGVRFTINGHDYFNLVLVTNVATTGSIKSMDVMGSNSTNWMPMVRNWGANWHSLSYLTGQMLSFRVTNMDGQTLVFRNIVPSGWKFGQTFASKLQFK >ORGLA02G0105100.1 pep chromosome:AGI1.1:2:8733278:8734193:-1 gene:ORGLA02G0105100 transcript:ORGLA02G0105100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARAFVLVLLAVASASTAAANTATTTPTNPVDAPTQWQKAHATFYGGADASGTMGGACGYGNLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCKPGVTVTITATNFCPPNWNLPSDNGGWCNPPRPHFDMAQPAWEKIGIYSAGIIPVIYQRVPCVKKGGLRFTINGHDYFQLVLVTNVAAAGSIKSMEVMGSNTADWMPMARNWGAQWHSLAYLTGQGLSFRVTNTDDQTLVFTNVVPPGWKFGQIFASKLQFK >ORGLA02G0105000.1 pep chromosome:AGI1.1:2:8730286:8732120:-1 gene:ORGLA02G0105000 transcript:ORGLA02G0105000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAFSRLSIYFCVLLLCHGSMAQLFGPNVNPWHNPRQGGFRECRFDRLQAFEPLRRVRSEAGVTEYFDEKNEQFQCTGTFVIRRVIEPQGLLVPRYSNTPGMVYIIQGRGSMGLTFPGCPATYQQQFQQFLPEGQSQSQKFRDEHQKIHQFRQGDIVALPAGVAHWFYNEGDAPVVALYVFDLNNNANQLEPRQKEFLLAGNNNREQQMYGRSIEQHSGQNIFSGFNNELLSEALGVNALVAKRLQGQNDQRGEIIRVKNGLKLLRPAFAQQQEQAQQQEQAQAQYQVQYSEEQQPSTRCNGLDENFCTIKARLNIENPSRADTYNPRAGRITRLNSQKFPILNLVQLSATRVNLYQQNAILSPFWNVNAHSLVYIVQGHARVQVVSNLGKTVFNGVLRPGQLLIIPQHYAVLKKAEHEGCQYISFKTNANSMVSHLAGKNSIFRAMPVDVIANAYRISREQARSLKNNRGEELGAFTPRYQQQTYPGFSNESENEASE >ORGLA02G0104900.1 pep chromosome:AGI1.1:2:8711819:8712751:1 gene:ORGLA02G0104900 transcript:ORGLA02G0104900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMAPARALALVLLAVAVGSALLAAAQDAPSPPTPMAPSPSTDETPPVWLKAHATFYGGADASGTMGGACGYVDLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCKPGVTVTVTATNFCPPNWNLPSDNGGWCNPPRPHFDMAQPAWEKIGIYRAGIIPVMYLRVPCVKKGGVRFTINGHDYFNLVLVTNVATTGSIKSMDIMGSNSTDWMPMVRNWGANWHSLSYLTGQMLSFRVTNMDGQTLVFRNIVPSGWKFGQTFASKLQFK >ORGLA02G0104800.1 pep chromosome:AGI1.1:2:8701192:8707171:1 gene:ORGLA02G0104800 transcript:ORGLA02G0104800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTSVSDLPPELVCLIGSRLHTAINVVRFHAVCSDWRQSLRHIPPSPPPAAALLPWHLAPSSGDDADAAAGVACRCVFSKTSYHAPGLCFRDRRVAHADGTASWFINDKLVNPLTGWINVGRVKKYPWMWRWEEQEEDAAARANLQRLHRHQQRDLRIHVGDLSPKVDSLQLREMYSEHGKVVRARVAYDKRGRSRGFGLISRWICNLIPVGQILLPTRDFPTGTTKTALMRRTQRATVPSCRRIC >ORGLA02G0104700.1 pep chromosome:AGI1.1:2:8691792:8692187:1 gene:ORGLA02G0104700 transcript:ORGLA02G0104700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSEHAVEEDVVRRLKLLSAKGASRVAIDATLLEEISRSTALLKCKPEEEFVFSRALHVPEKIDTSKGVLAKEECLVHRTRRVALVTRPTPDKTIPDTRRKLNVKHHVPDKKKLGDDRDSEGAVDVKNPSVVR >ORGLA02G0104600.1 pep chromosome:AGI1.1:2:8684519:8685742:1 gene:ORGLA02G0104600 transcript:ORGLA02G0104600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVARMLAAVVCAIMAAAAMAAGGVGALEPSGWVRAHATFYGGADASGTMGGACGYGNLYAQGYGTRTAALSTALFNDGLACGQCYKLVCDRKTDRTWCKPGVSVTITATNFCPPNWDLPSDSGGWCNPPRPHFDMAQPAWEKIGIYRGGIIPVIYQRVPCMKKGGVRFTINGHDYFQLVLLTNVGAAGSIKAMDVKGSKSPDWMAMAHNWGAQWHSLAYLTGQGLSFRVTITDGQTLVFPNVVRPGWRFGQTFASNLQFK >ORGLA02G0104500.1 pep chromosome:AGI1.1:2:8676786:8682800:1 gene:ORGLA02G0104500 transcript:ORGLA02G0104500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastidic type i signal peptidase 1 [Source:Projected from Arabidopsis thaliana (AT3G24590) TAIR;Acc:AT3G24590] MSSIHFFPATSQAAAPSRRPLTKPSPKPPAATAAYHRGGGNEPVLFPRLFLRRRARPAAAPAEAPPVGPDGSSSGGGGGGGEGGGGGGDDEEDEGTRKGLLPEWLSVTTDDAKTVLAAIAISLAFRSFVAEPRFIPSLSMFPTFDVGDRIVAEKVTYYFRKPCINDIVIFKSPPVLQEVGYTDNDVFIKRIVAREGDVVEVHKGKLVVNGEVRNEEFILEPPSYDMNPVQVPENSVFVMGDNRNNSYDSHVWGPLPSKNILGRSIFRYWPPGRIGSTTTDCLIPEINPSSLIDVKLAK >ORGLA02G0104400.1 pep chromosome:AGI1.1:2:8673775:8674251:1 gene:ORGLA02G0104400 transcript:ORGLA02G0104400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSNKIEENDFVLLLLKHLTSLRLIAKIALIMPWDLAESEGQIYKAFGTVQVCNINQEWSFASSKVYLISTCGQVEFQGEGIVRIRGLYVGQFSCYAYKGPIMSMRLIQKRQQQQASKIDQSVGKTANGDNVLVLGLEPWRSPSPPLLLPPNPLLSF >ORGLA02G0104300.1 pep chromosome:AGI1.1:2:8671858:8673765:1 gene:ORGLA02G0104300 transcript:ORGLA02G0104300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQECLEANHRLEEKIDLILKKLNEIEANRSKFFEEMSASIKATTTVLTAASHPPLXAPPSSTPTTCLMKCSNDDRPWATSSSGHIDKETAPTVALDLGDGEDKVHDPCIVTKDSSKVTPTMCSMKCSSPDTKLDLTMVAEVTYASAATTSMELVTAQEAIGAMYSDTSDHSKVMHTKCLTVVLDAIGDIGQAMVVFQTWTDAFKDDPTSVQFMDFFSSSMMANIKWNTPMPTKCSVQCLGHGSMALMPTNAFDVNPWPPPTLEKYRSQAVVHMLLFETLFNEELRLERIELKPWPPPTYDGVISGWDSQPMAGPEFKLYWARVRRLPPWPPPIEVSCLALVCHDNVMIFTELKDINLHWGELKPWPPPSQTNFKNIMVQPEQCKYWEIRVEMSIFARKEKWNLLNQKSCTMVAISSLKEHVNGQEXIWCRPWNPSDYKVSPDIIMLITSSVLHPYHLDHIVSLTYPEGLDTLVSHKVVQFGRADTACSHQNKHTIVRTIGTFVRPELGTGNGTHILLVSEAEAGYVSMRNLLELTRNARQWHNSMVEYLSWFVDAWMVAKHASNFGWYLGWASQIKNMVKNLLRQANRTSNFDTSILEMNTHVXKHSAYTQVHSRAEVVTVKPETKELLNPEL >ORGLA02G0104200.1 pep chromosome:AGI1.1:2:8668078:8670766:-1 gene:ORGLA02G0104200 transcript:ORGLA02G0104200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCPSELNFEAFFHGERGEDDADAAADQKPGGGPHPPPFAMFSAADLSSFGFADSVTSTITGVIPNHIWPQSQSLNARHPAVYTIESQSSICAAASPTSATTLNMKESQTLGGTSGSDSDSESLLDIEGGPCEQSTNPLDVKRMRRMVSNRESARRSRKRKQAHLADLETQVDQLRGENASLFKQLTDANQQFTTAVTDNRILKSDVEALRVKQVKMAEDMVARGALSCGLGHLGGLSPALNPRQGACRVPDVLTGLDYAGDDPFTGLSPPEQVQMPGGGEVGDAWGWDNHSNGAMSK >ORGLA02G0104100.1 pep chromosome:AGI1.1:2:8656100:8664239:1 gene:ORGLA02G0104100 transcript:ORGLA02G0104100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) TAIR;Acc:AT3G24560] MMPLLLLLLPVRSAPLRRLLLCRCSSSSSSAAAASASSSACPVPASSVLAPYHRAFARRMALAGVHPHHRVAVGVSGGPDSMALCVLAAAWKKAGEGREQEDEGEGGVSGFVDGLLGVVVDHGLRPESADEAQLVRDRVRGMGVVCEIATCEWPNGRPKLGHIQEAAREMRYQKLLDICIKQRIAVLLIAHHSDDQAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGDNFSRYGVILVRPMLEFSKDDMYKICQGSNHLWVEDPTNNSLLYVRNRIRASLRSLSIEGTFQSELHKLIYACRLTRAFIDNACSMVLKKSLTIMEHGYAVIDLEKLDPHNVDDLFLSRYLAYVLQFVSQRHRPLRGRSAQLLIDYIRTIPCKAALSVAGCYLCAVPRSKGTKVLVCCSVDLMESSSVHMSYKCSYVKQPPPVSEINQIVTESRIYSDQFRQNCPNTPFPSSKFSTDVLNKAKDLKLIGDCTLEKLNYLQTDEHQKFITTKEHGQEQYLEKTSFPYLEVLNLWPGETCHFMGRFLITWRTSEVVVNGMCLHDSQKHTCQYCMVNQDGSLAIRHMFDTDWLFLAEVCKIHSLEENKNYSNALCDKLEDAKLVQHSRYLQLSAMKSLQILRSIPAPARRMLPVLTNSQGAVLSIPCQPGVAEAIATFGTRQVEMKVPLDHCESNFVSAGGGSSA >ORGLA02G0104000.1 pep chromosome:AGI1.1:2:8646603:8650103:-1 gene:ORGLA02G0104000 transcript:ORGLA02G0104000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein / ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G24530) TAIR;Acc:AT3G24530] MPVPGSQNGRPRPAKAETIHGLARAGDLAGVQRKLQENPALINDRNPVMSQTPLHVAAGYNNTGIVKFLLDFQGTDKVELEAKNMYGETPLHMAVKNSSCESAKLLLERGAHIEAKANNGMAPLHLAVWHALQSGDCSTVSVLLSYNADCYAKDNEGKIPSNHIPGGAGNEKLQKLLTRHMEEQRKRKALMSCREGKAMAEFEEAISQIVGLQDLKMQLRRWARGMLFDEKRRAMGLGIASRRAPHMAFLGNPGTGKTMVARILGKLLHMVGVLPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQDAEGGILFVDEAYRLIPMQKSDDKDYGVEALEEIMSVMDNGKIVVIFAGYCEPMKRVIASNDGFCRRVTKFFYFDDFTTTELAEILHLKMNNPTESSLLYGFKLDPSCSIEVVGELIARETTEQRRKQMNGGLVDTLLINARENLDLRLDFNCDDANTMITITLEDLEAGLKQISKQRQLQ >ORGLA02G0103900.1 pep chromosome:AGI1.1:2:8642073:8644583:1 gene:ORGLA02G0103900 transcript:ORGLA02G0103900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16010) TAIR;Acc:AT3G16010] MASRAPCLLASRGIASSPHLARRLKQTENEIVQMFRTPSPRNEDAVAALSPRYTNSVRVLDERFIRILKIFKWGPDAERALEVLMLRVDHWLVREVMKTDVGVNVKMQFFRWAAKKRNYQHDTSTYMALIHCLELVEQYGEMWKMIQEMVRSPICVVTPMELSQVIRMLGNAKMIGKAITIFYQIKARKCQPTAQAYNSMIIMLIHEGQYEKVHELYNEMSNEGHCHPDTVTYIALISAFCKLGRQDSAIRLLNEMKENRMQPTAKIYTMIISLSFKMDNVHGALSLFEEMRYMYCRPDVFTYTELIRGLGKAGRIDEAYHFYHEMQREGCKPDTVVMNNMINFLAKAGRLDDGLKLFEEMGVSHCIPNVVTYNTIIKALFESKSRVSEVFSWFERMKGSGISPSPFTYSILIDGFCKTNRIEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLACELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAINLFDEMSKLGCTPNVYAYNALMSGLARACMLDEALTTMRKMQEHGCLPDINSYNIILNGLAKTGGPHRAMEMLTNMKNSTIKPDAVSYNTVLSALSHAGMFEEAAELMKEMNALGFEYDLITYSSILEAIGKVDQE >ORGLA02G0103800.1 pep chromosome:AGI1.1:2:8638168:8641733:-1 gene:ORGLA02G0103800 transcript:ORGLA02G0103800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G03120) TAIR;Acc:AT4G03120] MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQVGAPFNQHLLSFPGGVPRPRLPILPTPGMPLGVPQVPGAPLMPGVRPPILPAPGIPGYPGAPNVPTMPQTGAPPGSMPPGSMPPGSMPMQMAPLPRPPTLPPPTSGAPGAPIPNSGAPPAMYQTNPPQPAGPTSGAPPPVAAPPPAAPPQAPFSYAQPPEGNH >ORGLA02G0103700.1 pep chromosome:AGI1.1:2:8634378:8636943:1 gene:ORGLA02G0103700 transcript:ORGLA02G0103700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQVSLSLSLSLSLFAATVAPSISSVARQPQRFPAPSTSGNSKFPLFKTSCLAMSHGLVSNTAVSSRPNDGAAKLIQPVVKMCGITSAKDAETALEAGAKLIGMILWPNSKRSVALAEAKEISRVAQSYGAESVGVFVDDDEETILRVSDSCDLNLVQLHGDESRSLLHVLSKNNRIIYVLNANDNGKLINALPDEKYELDWFLVDSAKGGSGKGFNWQKFQMPSVRSKNGWLLAGGLHADNVCDAFYALKPNGVDVSSGICAPDGIRKDPTRISSFMRNVKSLGRSQ >ORGLA02G0103600.1 pep chromosome:AGI1.1:2:8628972:8631169:1 gene:ORGLA02G0103600 transcript:ORGLA02G0103600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:I1NZ80] MAEPAGEAAPQADGVSEEAAARPRGFRLLGEDKSVHQALGGGKAADVLLWKDKKISAAVIGGATVLWVLFEVVDYHFLTLISHVLIGVLAILFLWFKATIFIKKSPPNIPEVKISEDLAVNVALALRTDINQALHLLREISLGHDLMKFLGVIVALWILSEIGSLCDFLTLFYVAVLMLHTVPILYHKYQDKVDHFAGKAHVELSRQYSVLDAKVLSKIPRGPAKDKKQN >ORGLA02G0103500.1 pep chromosome:AGI1.1:2:8626281:8626886:1 gene:ORGLA02G0103500 transcript:ORGLA02G0103500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDAAKPPSPRLRVALLACGIASAAVAAAVLLAVTLTVFRVREPVMTMNAISVKGFGGAAPGGGGGSSPLWMTVVADVSVRNPNAASLRYAGSKTAVYYRAARVGGASGPPGTARARRTVRLNVTVSVAVGALLGDPGFLGDVAAGAVAVTTATTVRGRVAVLGGVVRRRVVLEMNCTATVAVADMSIRNQSCLQRVWL >ORGLA02G0103400.1 pep chromosome:AGI1.1:2:8617669:8625084:1 gene:ORGLA02G0103400 transcript:ORGLA02G0103400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVELPLPAKVDFGKILTPAAVEGLEGGGGGGGGGGGGGGGDVLRRCADADRRHGDVKQHNQNVDSISSYRIKGTSSEVPMQKSLALGIKSENNGKRDYIGTETGQALHKQDSKVLTKKTIKLDAPPCSKRPKLEPVQITRETESKSHDFLLQKNVPELMQCTPSEKSRLLKQKRIYDPKRIDKKNFRSGVRSKYDCFTSRASLGNFDPCLGNNTLGTHGLRSDIRDITNHIENLSLSELLNGTYKYSSLGREKGKKVLRTKDELLVSVRKAFSMLSGRDSYSKDPNFLLSPKLPTASTSSCDGKDQCTDKPMKGPSQMEVCDSTIHCPKDILNRLTLPQGQDLDSLLSPGCESSAAVKPSLPSVTTHGASLPPFPWSHSQAGGYRPGADCGKHGSSRSNTQWQWVRVGSNLTALDSEDPSVHKIDDLLQEMDTAKTSIMDSYGKQSSLCCTESTSGSLGQIIQSRKKLNGHNPQQLFSMDNVDSSDSFQKNDNESFLLRTPQASPKVLQAAEILCDMRSSMDVWSPQVFSNGTIKWPKSPSEKVMKARKPSSPFGTAESSSGSRNSDAARAGNNHSTKKIVDRKNDSVRMNNPGKGSIRWPVPIEDGVSPVKSERGLVLDMRQNHVNAARHPNQVSSQAKEYENQQKLRKATLTSSLGSAGDWNRDRNKRM >ORGLA02G0103300.1 pep chromosome:AGI1.1:2:8607773:8609025:-1 gene:ORGLA02G0103300 transcript:ORGLA02G0103300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSSFAAAPAGGAPWRGVVGAGRVAVGFLPRRRAVALVVRAQAELDVEPTKEETTTSSTPTPTPSPVAAAPKAKPAASTGLWDVLAFSGPAPEPINGRLAMVGFVSALAVEASRGGELLEEASSGGGLAWFAATAAVRPSRPPRRRFRVPRRRCLCPTPRARGRLLVMAAAAASVSXXXXXXXXXXXXXXXXXVPARRGGGRHRRLVPRRRSRCVRVTRCRLRRRIPRLRRQR >ORGLA02G0103200.1 pep chromosome:AGI1.1:2:8595078:8600083:-1 gene:ORGLA02G0103200 transcript:ORGLA02G0103200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47810) TAIR;Acc:AT3G47810] MVLVLALGDLHVPHRAADLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPDLHITRGEYDEDARYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATMHA >ORGLA02G0103100.1 pep chromosome:AGI1.1:2:8586171:8586947:1 gene:ORGLA02G0103100 transcript:ORGLA02G0103100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDGGGVADVASLGDGDAITTEDDGGGADPVRDDGGGGGCSDAVRGGGGGSDAARGGGGCTDAARGCAVAASGGDDCTVVAYVGVGGVSLVLLLHLVQVRSSPTLCYREQFIRRWLCSSLHQGGWIWDPSSSLSPGSGIHAGCAIRVELKLLRFNGELRGEVWLSPVKLPPKSTAKQQISNLCSFCGGDRRGLTVRQAVCMLKETQGCNRHGFATAPCRFAPSALSSFRRLFVFLFQFSILCILLSLLTMFGGLPS >ORGLA02G0103000.1 pep chromosome:AGI1.1:2:8583595:8584897:1 gene:ORGLA02G0103000 transcript:ORGLA02G0103000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELCGNNWDLDAVVRLGCCRQRISPAAVAQQVDPFASFLQQGVAMEVAAEKEVGVEAAWSFPELTVRDGGGGGLGRDADELLKAFCAAFPSSSSSKSSPLPTPPPPPPTQPQPEQQKPVTVQENLPAPTTAPARASQPAAARQVPAGGVPRSKRRKNQQKKVVRHVPADGVSADVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRSDPNTFILTYTGEHNHSAPTHRNSLAGTTRNKLPSSSSASAASAQPQPPPPSVVVVGAGGGGAEAAGLSPTTPLRTPSMEEDEEEEEEEELLVEDMEMAGEDELLFLNGGDDNAALDGTPMSSLFDIADEPFLPSPWTEPTAAGS >ORGLA02G0102900.1 pep chromosome:AGI1.1:2:8563562:8565558:-1 gene:ORGLA02G0102900 transcript:ORGLA02G0102900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARAPLGVVAVVAVLVVGIFMPAAAQAPAPAPVSDGTSIDLGIAYILMLVALVLTYLIHPLDASSPYKLF >ORGLA02G0102800.1 pep chromosome:AGI1.1:2:8544747:8546622:-1 gene:ORGLA02G0102800 transcript:ORGLA02G0102800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDAAEAEEVLERIWDLHDRLSDAILAVSRAHFLLPPPPPPPPPSQPSAPPAREGRNGYVFVKGVKGGGGGGGGGVGDGVGVGSAKAAAAEAMAEARSLHAIRTALEDLEEHLEFLHTVQSQQRAEQDAAIARLEQSRLVLAMRLAEHQGKKYRVIDEALAFVGEVGDKSRFISPEDVRATHNQSGEDVMDSGSNGSSIMGNVLSCSLSLAKNSFRVDKIGSALGNAAAFAVSMFAFLQLHQVAFGSKSPAMDYRRHSYHSGSSLQSGKGKQLEVYLARG >ORGLA02G0102700.1 pep chromosome:AGI1.1:2:8507074:8510225:1 gene:ORGLA02G0102700 transcript:ORGLA02G0102700.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLKSETYTSSTKHSNPINPSCIWHHLSRSAGGGDPSMDVAVGAASWLLGKVVTQLSDGMVAAYVASTELGLNMEQIKTDLAYTQGLLDAAEERDVRNNHGLRVLLEILTKQADEAEDVLDELQYFIIQDQIDGTHEATPMVDDGLRGQVLIHGRHALHHTTGNWLSCFCCSSARDDADDPHDIPKSHSDVPDHVSKLTFNRVDMSNKIKLVIEGIHASCTPVSNLLKIIHPAVGRALPPKRPPTSSTITQDKLYGRENIFNQTLDAMTNFTIHSRTLSVIPANRLQGLGDSEFFTFFEECIFGHDKPEYYEDNIIDIARKISKKLKGFPLAAKSVGRLLKYRISQERWIEILERNEWQHQRNDDDIMPALQISYDYLPFYLKRCFSYCALYPEDYHFNNIEISHFWEALGIIDSGSHKNRAEDIGLKYLDELEGNGFLVKKVDDRTGRQYYVMHDLLHELAQNISSQECINISSYDFRSDNIPWSIRHVSITLQDNYEDSFEREMENFKRKIDIGNLRTLMLFGEGNASMLILIKDLLKETKRLRVLFMHANSLQSFPHNFSKLIHLRYLKLEIPYDVELSLPNAVSRFYHLKFLDLGYSKCILPKDINHLVNLCLLNARKELCSNIPGIGKMKYLQRSEEYHVKKRDIGFELSELGDLTDLGGELKIFNLEKVATREEANKAKLMSKRNMEKLVLAWGMVQRTTRSDVLEGLQPPSNLKALVIKNPGGSIGPSWLCGNICVNYLKSLHIEGVSWGILPPFGQLMQLEELTLNNIPSTRRFGPNFGGVTQKSFSHLKKVEFVNMPELVEWVGGAHCHLFSKITSIRCENCPNLSMLLVPSYAQDINTIWFPNLCSLEIENCPKLSLPPIPHTSMLTCVIVSEHKRDLLFSSKKKERFVVSSRKQADLTWIQRCFGL >ORGLA02G0102600.1 pep chromosome:AGI1.1:2:8496975:8502629:1 gene:ORGLA02G0102600 transcript:ORGLA02G0102600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSYVPIFLGLERXSIYSGWKSTMLRKRTLDLNXVSWGIXQSLEESXRYLTLKMWQQEKKQMMLNXYRKGIXKHXHXFGVCKATLHRIWRSXWSATTSXSXSTXHTKPWWFHWTYLVMWXCLCQRLEISPSRRLILGNSPTFRATNASGGTYFDQCCWHTXVWTXFWWCYPKEFFTLEENXICWHARTCGVGWGSSLAFVFKACKHQMXRLSQFLGATVAILXVLCHLHTRQTQYGTFPNLCSLEIRNCPKLSLPPMPHNTMLTRVTVKEDNQEKLHFEEETLRIDGYGGALAFHNLDKVKDVTIENMFHISLTDLQKLNSLTSLDVTGCKSMLSSEVDNGFIFCSVQQLNLRDCHLTEKSLGGSFQQLVRLDVDSISAVFVETICSLLAATLIELRFTSDLITDQSFTEKQEQKGESKMSQGQRHIDPVWSTGHNACSNRMASKVTETAPGALSNGLWLAWAECAQTNQPMCVFGVAQGPARLLGFSSLYSSTHCKQRGARSLLPPRMTPPDE >ORGLA02G0102500.1 pep chromosome:AGI1.1:2:8495439:8496169:1 gene:ORGLA02G0102500 transcript:ORGLA02G0102500.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTFTPCRVIGFDNEGSSRIHESSNLDQLQKCIAQRLKSKRFLLVLDDIWKCSSEDEWKCLLSPFTKGEARGSVVIVTTRFPYIAQMVKTTNMIELQGLEHNEFFAFFEACIFADCKPEIYVDDLIDIAKEISMKLKGFPLAAKTVRRLLKKNLSQECWI >ORGLA02G0102400.1 pep chromosome:AGI1.1:2:8484954:8485325:1 gene:ORGLA02G0102400 transcript:ORGLA02G0102400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDEDSGPARWMRARMTGWTPATTAAAEDEDSGGRAGDDGGHGMADVGGRAGREPQRRRPPPPWTRSAAEAVAVGEGHCQGEQRRWRRQPWRTRVATTVDKGDGDAGHGARGGGPVERDDGR >ORGLA02G0102300.1 pep chromosome:AGI1.1:2:8474726:8477191:1 gene:ORGLA02G0102300 transcript:ORGLA02G0102300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVGAASWLVGKVVTQLSDSLVEAYVASTELGLNMEQIKSDLMFTQGLLHEAQMRRDVSNPGLPGLLEILSKKADEAEDTLDELQYFIIQDQIHGTHEATPVVDSSIRGQALHGHHVLHRTVGNCLSCFSSSSTRDGAGDHVGKLTFNRVDMSKKIKSIIEGIHAACNHVSNLLKIIHPTVGRVLKRPPSSSTITQNELYGREDIFNQTLDDMCTIRTETLSVLPIVGPGGIGKTTFAQHLYNHKKTVAHFSKNKAWVCVSTNFDVVRLTQEILRWHMSKWKXGKXXSTXNIQLGSAPKIHXRKTGSXKIFTCLGXYVEMQQXGXMGKSTSSIQNRRSQRKCGYRHNSLSIYSTNDENNQANRTARSRGXXILDILXRMYIWSRQACMLXRXLHXYCKKNFQEIEGFSTSSQKRWSIIEEXPFSGKLDGSSXKKXVEKSARXRXHNASTTYXLXLSSILSKKVFFLLCPLPXGPLVXXIRDYSFLGSIRHPMLWMSKXQRYXYRIKVFGXTLGNGFLMKEGDDSRPYYVMHDLLHDLAQNISSQECINISSYSFRSNSIPQSIRHVSITLQDKYEESFEREIEKFKKKIDIGNLRTLMLFGESNASMAFFKDLLKQTKCLRVLFMHADSLESFPHNFSKLIHLRYLKLKIPYNVKLSLPNAVSRFYHLKLLDLGNRNCILPKDINHLVNLCLFLASKELCSNIPGIGKMKYLRRLEEYHVKKEGIGFELSELGDLTELGGELKIFNLENVTTREEANEAKLMIKTNLKTLKLVWSVVQRTTRSDVLDGLQPPPNLKTLVIINHGGSVGPSWLCSYICVKY >ORGLA02G0102200.1 pep chromosome:AGI1.1:2:8473197:8473418:1 gene:ORGLA02G0102200 transcript:ORGLA02G0102200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFGTFGYVKFLSCTRGFPKVFRTPSMSLVRGFRLPTSCINRGGA >ORGLA02G0102100.1 pep chromosome:AGI1.1:2:8446834:8447376:1 gene:ORGLA02G0102100 transcript:ORGLA02G0102100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQICAQVWLATSLLADGLAVAGQALLVSAFAKKDHYKVAVTTARVLQLAVVLSVRLTAFLAAGMWFGAGVFTSDAAVISTIHKGVPERCGMQTINTLAFVFDGEWRGMASIRIG >ORGLA02G0102000.1 pep chromosome:AGI1.1:2:8436814:8440136:1 gene:ORGLA02G0102000 transcript:ORGLA02G0102000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATLMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >ORGLA02G0101900.1 pep chromosome:AGI1.1:2:8428700:8429557:1 gene:ORGLA02G0101900 transcript:ORGLA02G0101900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPPPPPTKPKPKPATAAQPAPAPATARTTPPLKKPPPLAPPTQARPLKPPPAHQYRQQQQQPANRKRQYGRHRGGGGCSGRRVCCLATGFVLLALCLALAAACLAYLYYHPRPPSFHLQPLAATRFRVGNSSAVSAMDVTAAVRVVSWNPNDRVSFEYGDGEGRVALADADGDVALGWAPVAGFRHAPRSVATVAFVAAAKGVVVDEAVAARVRDRYRRRQLVFKVVVDTHVGARAGAVRTGMVPVRLLCDGGAMAPRGGVSGSVVGPMSKCQVYLFRVRWLV >ORGLA02G0101800.1 pep chromosome:AGI1.1:2:8416020:8420875:1 gene:ORGLA02G0101800 transcript:ORGLA02G0101800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEAAAAQEAEMDPDFSGGAGGGPSFEFAFNSVNFSDRVLRIEVVAGDDDDDDDHAPGSSRDGGAGSLSDWARHRKRRREELLKEKESEAVMPDQINCKVEPEECDAYEENQEEPVAMMDDSPPSVGPDGDDGPSMDSPWSGGVSTPVLRVKNIYISSAILAAKSPFFFKLFSNGMKESDERQATLRITDSEENALMELLSFMYSGKLTSTDPTLLLDILMAADKFEVVSCMRYCSQLLTSLTMTTESALLYLDLPCSISMAAAVQPLTDAAKEYLSNKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDAIYDFLIRWARAQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLICTDLDHEQATKCVTEALLYKADAPHRQRALAADVTTCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRMYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWSTFMADDSLFFLDGVLHLRAELTIKQPTV >ORGLA02G0101700.1 pep chromosome:AGI1.1:2:8403976:8407732:1 gene:ORGLA02G0101700 transcript:ORGLA02G0101700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPAAAQPSSSAASGAGAGAAGPVLGKVAGQEGASTSAAAAVAARRGEGDCRGEEEVPLRVRLGRAARRRAGPCTPSPSWKLEGEEVEVAAGELAPVHPAVAPARRSSASASASARQLGASLWEIHDVMGEGRGGGSRRRRSGRPLASAGGELHQNTSGFYTLFLGIGKKNSGGFGRHIADSSTNHQKLNQARNCTAQPFSPGSYRSSIGDSSINQAISPARSLDIKGRFRGADYNLKTSTELLKVLNRIWSLEEQHTADMSAINGLKLELQHAQEHIQELKCERRGYRHDVASLVRQLSEDKLVRKTKDKEKIAADIHSLQDELEDERRLRRHSEDLHRKFGKELSEIKSAFVKAVKDLEKEKKTKNLLEDLCDQFAMGIRDYEEEVRALKQRHVNYEYQFDKSVLHVSEAWLDERMQMQNTDVKEDSLKKSTITERLRSEIEAFLLAKRSVSFKNNDNYMHDSRPNARLRRQSLESVHFNGATSAPQLAEDDDDDSVASDLHCFELNMHGSSIQKHDHTGPRRSYTGNMDAPKRRTEYSHSVVGESSHMSDVQIYSQCNKARSSSSRPWHATRTQEIDSQASARTVLAEEQNEIPCPHISQGYHNGTTSKNNLGAHADCLGQESLDHYSRASLFCDGTTSGDLCNPLSPSRQLDYPSASLGHDIGECSTGLLVGMKENTLKAKLLQARLEGRHARLKASGGSVTSRRK >ORGLA02G0101600.1 pep chromosome:AGI1.1:2:8393386:8395642:-1 gene:ORGLA02G0101600 transcript:ORGLA02G0101600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSALLPPIAAAPPLAGSTLSFGVPYQNKRRILTMRVYCAADEEEEVNDLGVNVALSMLKFYKREISPLLPSSCRYVPTCSEYSMQAYKKYGVAKGTILTAWRLCRCNPLGGHGYDPPRWFGEEELPKQ >ORGLA02G0101500.1 pep chromosome:AGI1.1:2:8390689:8391056:1 gene:ORGLA02G0101500 transcript:ORGLA02G0101500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLLANFKNIFDKFTFKDSSAAAKDYEKKDEAGTDAANKAAGSDSDDDDKQGTRQKEGIFERYVFCLSVCLQLLKCGFVNHPVIRI >ORGLA02G0101400.1 pep chromosome:AGI1.1:2:8382639:8383865:1 gene:ORGLA02G0101400 transcript:ORGLA02G0101400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMGSEEWELYPSSFIGAQVIDYGHVSGDMDDDQSGDLAVSMDAVLPDDLLEKVLSFLPVASVIRSGSVCKRWHEIVHARRQTWSKMVPQKPWYFMFTCSEEAVSGFTYDPSLRKWYGFDFPCIEKTTWSISSSSGLVCLMDSEDRSRIIVCNPITKDWKRLVDAPGGKSADYSALAISVTRTSHQYMVAVARCNQVPSEYYQWEFTIHLYESEINTWVSPFTELLIGWRGGDECVICDGVLYYLVYSTGVLVNNNEHRHCLLMYDLSTRPTHTSLMSMAIPVPCPLTCGRLMNLNERLVLVGGIGKQDRPGIIKGIGIWELRNKEWHEVARMPHKFFQGFGEFDDVFASCGADDLIYIQSYGSPALLTFELNQKLWKWSLKSPVTKRFPLQLFTGFSFEPRLDIAS >ORGLA02G0101300.1 pep chromosome:AGI1.1:2:8359567:8360941:1 gene:ORGLA02G0101300 transcript:ORGLA02G0101300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLHDEALSENSNELQQCTTSERSSTVISPAAADNSMITMAATSVESSVSADKVSPVEGQVTEAAVEHAPVEKDQCEEPNTTIVDSGDSSVSLKNEELQDHDQAPSGSSIMSNSAEQPEEASDVNMQQQVENVDTTQDATEESDATPEIGMVDVTYADTENYVQSPLLQTTSKLQSTTEQNVLKEPEAAKQSDVSGEYESVLVGKQNESANNLTGDSAKEEPPLVGLGMEADTHESAPRELKDEPILPETERSELSCEHGDITGHEDSEMYAPPECETDVQKESFCVDRRRADMQVPKEVECDELGDDNPKEDASVQTENMASEEASLASATPDGMKDENKVAEETTSDYATPYSSKSDNENKPAEENQKLKEMLQNLLASGSDQMGVIMELSEKVKTLERKLARKKRPKVRVHRPTRQATAKVH >ORGLA02G0101200.1 pep chromosome:AGI1.1:2:8349564:8350257:1 gene:ORGLA02G0101200 transcript:ORGLA02G0101200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRGDCCADKAVLGEEGRGRGHPQRRGSLAVIDCDGSNSDIKMKQGYMSMKALADDRTPKDSCKFTITPGPCFPMLCLTYCHAQVAAISTGKCTPEGCQCTYCLPSPPL >ORGLA02G0101100.1 pep chromosome:AGI1.1:2:8345296:8347161:-1 gene:ORGLA02G0101100 transcript:ORGLA02G0101100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L21 [Source:Projected from Arabidopsis thaliana (AT1G35680) TAIR;Acc:AT1G35680] MATATLPLRLLASKTLAFPSAPSLPASRCSLPVAASAPRRCWRLLASAEEPAPAPVEAEAEAEVVEEEEVEEEEAAVPEPVEAQIAAAGAGKDADIFAVVMIGSRQYIVMPGRYIYTQRLKGANVNDQIILNKVLLVSTRDKAYIGMPVVTNAAVHAVVEEQGRDDKVIVFKYKKKKKYQRKLGHRQPNTRLRITGISGYEDFPADPILEYVPA >ORGLA02G0101000.1 pep chromosome:AGI1.1:2:8325352:8327247:-1 gene:ORGLA02G0101000 transcript:ORGLA02G0101000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLGRSAFINFSNPNAYVGKFPVISTVSHPTASSQIDVLAHCSSYNLPRGTKRKFDGLSLGLGNSSSSESSKQSMGTGCTISSAKGSDDGSSIDLDLNHFTLGNEGTSRLDKRACDSRRALDKPELNLELSLSSQSAITGADFTAATEYNSPSLQPYYMDLVPTVDEGSTSARRPSGCQVLSFLNKTAKMSEFSPREVFPGSSNQSQGPAPMPTLLQLPKSPVACTSSLSRPQQRSSSTKNCTYPGCMKGARGSSGRCIAHGGGRRCQKDGCDKGAEGKTIFCKAHGGGRRCEHLGCTKSAEGRTDFCIAHGGGRRCSHEGCKRAARGKSGRCIKHGGGKRCQHAGCTKSAEGRSGLCIAHGGGRRCQQDGCGKGAQGSTNFCKAHGGGKRCTHPDCKKGAEGSTAFCKGHGGGKRCSAEGCTKSVHGGTLCCVAHGGGKRCVVEGCTKSARGRTDRCVGHGGGKRCQSSGCDKSAQGSTNFCKAHGGGKRCLWGHEGSDHGAGDTPCERLARGKNGLCVYHNPQVDENRVHGGFSVVSDALSQGDRPSNTETSRRSIFSHPMEAPRRVAAPADEGRVHGGNILSMFANGMSLGKHPADQAEASTSAPRNSKSTNGMVTGNSAARGSWL >ORGLA02G0100900.1 pep chromosome:AGI1.1:2:8317835:8320949:-1 gene:ORGLA02G0100900 transcript:ORGLA02G0100900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMPLRCLSELARRRPDRAVDAMRVGLRCLMSTCPSTKATDDSQRLYATSSCANVPEVAPSEAPLSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAQGVQLTPNSELLSHDEIIRVAGLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGLVLSKKLPRLKECGLNALNISLDTLVPAKFEFMTRRKGHSRVMESIDASIQLGFQSVKVNCVVMRGMNDDEICDFVEMTRDKPVNVRFIEFMPFDGNVWNVKKLVPYAEMMDKVRQRFKGVERLQDHPSETAKNFKIDGHAGTISFITSMTEHFCAGCNRLRLLADGNLKVCLFGPSEVSLREPIRAGVDDAGLREIISAAVKRKKAKHAGMFDIAKTANRPMIHIGG >ORGLA02G0100800.1 pep chromosome:AGI1.1:2:8313689:8313973:1 gene:ORGLA02G0100800 transcript:ORGLA02G0100800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPSVVRLQPKAEKTTAAAGGEQQHGGGGGGCGGSSFRMPLHYPRYKKAEYEAMPEWRVDCLLREYGLPVDGDLDAKRRFAMGAFLWPDQY >ORGLA02G0100700.1 pep chromosome:AGI1.1:2:8295725:8296182:-1 gene:ORGLA02G0100700 transcript:ORGLA02G0100700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLIRNVTGSREHSPTIASAGALPAVGHLATARSTWHLSFPSFGFARLAEKKDQKAKTGLIDRNRSLHF >ORGLA02G0100600.1 pep chromosome:AGI1.1:2:8287967:8288368:1 gene:ORGLA02G0100600 transcript:ORGLA02G0100600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRTVAARLLLPRRRWMAGDQAAPRRWSRRRAAQIRASMAGSGRGEASESRWRRWRGGRQRGRRGPDGGGGSGGGKATGRARWWGRRGGCRRIWQLAWRLASHWPDPASSSGEGGHLPVCQREEELRLGMR >ORGLA02G0100500.1 pep chromosome:AGI1.1:2:8255247:8258551:-1 gene:ORGLA02G0100500 transcript:ORGLA02G0100500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGSGGLCELFSDDHRDIRHVADADLFRILETWEECINGGAGGGGGGVSLAGVADQGAAAASTGAGGGARMTTTTTAANGRRREGRDEEKGGGGGGGPPAQKKQKGSSSSSSSPAALAAAVGDGDGAAKMSHITVERNRRKQMNEHLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLRSLEAKKNRKAYADQVLSPRPSPAAAALMVKPTPPISPRFAAAAAAGVPISPRTPTPGSPYNKHAAAAAAAARPPHPAAATSSCSVAYSMSPAMTPTSSSSTTTTTTHELSPAPAFLPILDSLVTELAARGGASCRPLVIPSSAAAIAGIVGVPDVRVEFAGPNLVLKTVSHRAPGQALKIIAALESLSLEILHVSICTVDDATVLSFTIKIGIECELSAEELVQEIQQTFL >ORGLA02G0100400.1 pep chromosome:AGI1.1:2:8247946:8250835:1 gene:ORGLA02G0100400 transcript:ORGLA02G0100400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid rhodanese-like [Source:Projected from Arabidopsis thaliana (AT4G01050) TAIR;Acc:AT4G01050] MAATTTILSSAAPTPLTAPPRARARAPAARRRRLRARDILGAALGLANGGASAALAAPLSYEETLRLSTDSGGGGGGGGEFALPDLGLGGVLDFVAQNPLVAAAGVAAVALPLVLAQVLGGASKPYGVVSAAAAYRALVEEPGAQLVDIRPPGDARQSGAPDLREAKKKAAAVPYDGEDKNGFLKKLSLRFKDPENTTLVILDKFDGNSELVAELVTANGYKAAFAVKDGAEGRRGWLSSSLPWTAPKKGFSLSDLIGDGTDGLPVTLGLAAATGLGILAYTEIETVLQFLGSAAIVQLVASKLIYAEDRKRTLKQIDDFFNKKVAPKELVDEIKEIGQALLPSTGTKSQPAITEAAPATAEAAPAAATATAAPPAAPVEETSTEAAPAEPTPLSPYTNYPDLKPPSSPSPLAPAEATKNESGSESAATESAPAVNAAPVAEAAPEAAPPAAPRPLSPYPNYPDLKPPSSPSPSAP >ORGLA02G0100300.1 pep chromosome:AGI1.1:2:8244230:8246321:-1 gene:ORGLA02G0100300 transcript:ORGLA02G0100300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATARECRAYGLGGGGRAARGRWEYINAGAYVFAAVLLAGGFGWHLSAWSATTRSGLAAAALGLLLLLAVNAHDLLAHAAGVDYSLALAAGLDSQFALVEVAVPAVHFAGTVLTLIALIFFEIQMARGYRHSLEKHGLNMLIAGPALWLLGSIQNICQVYEHANGHVQILQKCVQTPLLLGSTLFLIGGIINRHDIHSQSTSRSELLGRSWGWFCVSGSLLFVAAGLLNLLKVFKMQQMDGRGLEKLRGGAQERLNREREGKVPLILEEGRRRTPAPVSGQVPPPPAGSYKEAVVSGAPAG >ORGLA02G0100200.1 pep chromosome:AGI1.1:2:8241930:8242386:-1 gene:ORGLA02G0100200 transcript:ORGLA02G0100200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGSVCVAVLLAVCCAETILVAGATEWHVGDDKGWTFGVAGWENGKAFKVGDVLVFKYSPMMHNVLQVDHAGYDGCKVGAGDKKYASGNDRITLAAGKVFFICGFPGHCAKGMKIAVATK >ORGLA02G0100100.1 pep chromosome:AGI1.1:2:8235220:8235878:-1 gene:ORGLA02G0100100 transcript:ORGLA02G0100100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSAAAPGLLLALCCAAAAIAVVHGEDWAVGDNKGWSFGVAGWENGKRIQPGDKLVFKYDAKIHNVVEVDRAGYGGCTVTGPSKLYNSGDDRIKLAGGEAFFICSIRDHCTAGMKVKVAVTANA >ORGLA02G0100000.1 pep chromosome:AGI1.1:2:8232009:8232344:1 gene:ORGLA02G0100000 transcript:ORGLA02G0100000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAADEELELRDVEGRRRHSWGRAVQSATESGRDRRAGGCDQARRPRRRGPSHRVKPRRRSCRQTPSSSSFMEASNEAHGYRRHSRLCRRWFPPHAGRDRPTCRARRRLPC >ORGLA02G0099900.1 pep chromosome:AGI1.1:2:8224621:8225652:-1 gene:ORGLA02G0099900 transcript:ORGLA02G0099900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAVAAALLLVALCRVVHGEADQETAPAYANREAYVEHNGGDAAGTSVAIVPPYLDAAAAARDEPAAAAASPEGPVIPVDDDAADQQGFLRFPCRYHCRYRHHMRHGGHRHGEGFHGKEEKQQLVFEMPVEPATRGEERREEEEGVVLPVAEPDPDSRRQYAAVAAAEEEDEDEMARLHHGRRSHHHHHHHHHHHHDEHEEDEHEQADEASPAVERLISFHRRRHHHHHHHEDDHEQREEGAPMKRFRHHHEEEEESEMRTKRFHHHHHKDDDDRELEEMARRWIRKALMSSRMHHHRGCRFHHHHHHLSFRHRAEDAAAAGEEEEKGGVMSWLKDFVNRF >ORGLA02G0099800.1 pep chromosome:AGI1.1:2:8216203:8218848:1 gene:ORGLA02G0099800 transcript:ORGLA02G0099800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHGHGFAVTVLLVVLLVQCDAAEATTCAGAVPARPRPETVSIAEFGGVGDGRTVNTWAFHKAVYRIQHQRRRGGTTLLVPAGTWLTGSFNLTSHMTLFLARGAVLKATQETRSWPLAEPLPSYGRGRELPGARYTSFIHGDGLRDVVITGDKGIIDGQGDVWWNMWRQRTLQHTRPNLLEFMHSSGIHISNIVLKNSPFWNIHPVYCDNVVITNMMIIAPHDSPNTDGVDPDSSTNVCIEDSYISTGDDLVAIKSGWDEYGIAYGRPSSGITIRRVRGSSPFSGIAIGSEASGGVSNVLVEDCSIFNSGYGIHIKTNIGRGGFIRNITVDNVRMNSVRNGLRIAGDVGDHPDEHFSQLALPTVDAVSIKNVWGVNVQQPGSIEGIRNSPFTRICLANVKLFGWRNNAAWKCRDVHGAALGVQPGPCAELTTSLSSGFCSY >ORGLA02G0099700.1 pep chromosome:AGI1.1:2:8206587:8206940:1 gene:ORGLA02G0099700 transcript:ORGLA02G0099700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSSSSLSPLLFSSPLLSSSVGKPAGGWGGGRRGEAGEEVGGGSLRSGGSCRRRTRRGVAAAEEDDEEEMGLVRSTSVSATAVEKKAAAVTAKAWWGWHFPSPLKAFRHRRSSASMPE >ORGLA02G0099600.1 pep chromosome:AGI1.1:2:8192277:8202581:-1 gene:ORGLA02G0099600 transcript:ORGLA02G0099600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKAKPHKAKGDKKKKEEKVLPTVLDVTVETPDYTQLTLKGISTDRILDVRKLLAVHVDTCHLTNYSLSHEVRGAQLKDTVEVASLKPCHVSIVEDIRRSTRPFVDDKTEDDFFQIDVRVCSGKPVTIVASKAGFYPAGKRALISHSLVGLLQQTSRAFDGAYKALMKAFVEHNKFGNLPYGFRSNTWVVPPAVADLPSVFPPLPTEDETWGGNGGGQGRDGKHDHRPWAKEFAILAAMPCKTAEERQIRDRKAFLLHSLFVDVAVLKAVAAIQQMVPDKSSLETPNDTTNPDLHTQQIGDMKITVTKDKADASSKLDAKLDGSQAPGMLSDELAKRNLLKGITADESATVHDTATLGVVVVKHCGYTAVVQVPADAQLTTVSLAQHDIDIEDQPEGGSNALNVNSLRMLLHKPCIQPSGGVQRLQSSPQESEYSTNFVRKIMTDSLQKLECEAPRETRPIRWELGACWVQHLQNQTSEKANTKKNEETKDVPTVKGLGKQFGQLKEIKKKTDEKSGKGASTKENTSTNTNDAQTVNSSSTKEDNEAILQRWLPEAAFQRLKESETGLHAKSPDELIEMAHKYYDDTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGQVVELADKLPHIQSLCIHEMVVRAFKHVLRAVISAVHDINDMAEAVASCLNILLGPFPEENNDGKCYEDNNLRQRWLEVFLVKRFGWTWKDEYRADLRKYAILRGICHKVGLELVTKDYDMDMPHPFRRSDIISIVPIYKHVACSSADGRTLLESSKTFLDKGKLEDAVNYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMQKKQARAKIKGRAGQNPSEVVDDEDQRSPPPKSDHSLIEKESSEVKENGTFIQKEKLKEEIPGNTLSRIPQDDFTEEYTSDEGWQEAVPKGRSTGNRKTGVSARRPNLAKINTNALNNTENGRYKGRAPSNFSSPRVLPSEAVTAKKLVKSSSFNSKPGSPAISSNSAENSSNPNSLSASPATTPAAAKAVLSSAPIASQTVRKALSYKEVAIAAPGTLVKALNDAQTEEKDATDAGANIETAKAPKESNGHLSKEKDGAVQVSPKDSTSQGSKETGEGKSSNPDDEQTVVLAESNQSETQPEKKRDLVASDVSSSSQSLTTATEANAPNEVASMVTEANDSSSNDDERDAGEDAQEQMSSGGENEKSSPSESEKNDSPGAKETASKLSAAAAPFNPSTVPAFGSMAIPGFREHGGLLPSPANVPPMLSIPLRKHPHQSATARVPYGPRLAGGYNRSGHRGPRNKSALPSSEGLTEANTFATRVMNPNAAEFVPGQSRSPNGNPASPNGPLASPGGTEASPHGLPSPSDSIVESPATASPQVSEISQTSPEGNDTTSGVDTENGSEKQDTDGKNHVESKDGEGEPEQTEASKGDGDGAITPEDGSAVTENPKSWADYSDGEAEAVEVAS >ORGLA02G0099500.1 pep chromosome:AGI1.1:2:8183133:8186727:1 gene:ORGLA02G0099500 transcript:ORGLA02G0099500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGGGAAEAAAGRRWRLADERCDLRAAETEYVRRFHRHEPRDHQCSSAVAKHIKAPVHLVWSLVRRFDQPQLFKPFVSRCEMKGNIEIGSVREVNVKSGLPATRSTERLELLDDNEHILSVRFVGGDHRLKNYSSILTVHPEVIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALLKCNLKSLAEVSERLVVKDQTEPLDR >ORGLA02G0099400.1 pep chromosome:AGI1.1:2:8168323:8170424:1 gene:ORGLA02G0099400 transcript:ORGLA02G0099400.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQAVWSVVRRFEEPHIFQPFVRGCTMRGSTSLAVGCVREVDFKSGFPAKSSVERLEILDDKEHVFGVRIIGGDHRLKNYSSVLTAKPEVIDGEPATLVSESFVVDAPEGNTADETRHFVEFLIRCNLRSLAMVSQRLLLAQGDLAEPPAQ >ORGLA02G0099300.1 pep chromosome:AGI1.1:2:8145537:8147566:-1 gene:ORGLA02G0099300 transcript:ORGLA02G0099300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRTMVNAERRGKATALLQPISGVMVSFLNIMKHRGYIKKFEVIDPHRVGKINVELHGRIKDCKALTYRQDIRAKEIEQYRVRMLPTRQWGYVVITTPNGVLDHEEAIKQNVGGQVLGYFH >ORGLA02G0099200.1 pep chromosome:AGI1.1:2:8138518:8144351:1 gene:ORGLA02G0099200 transcript:ORGLA02G0099200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLASLRRALPLLLRATTTTTPRFLLPRALSGGVGGGAAVDARALLRGHSGWRGLRVAARMMLDSSDSAAAAGQMQPQRRAAGAVACSAQDGGAAGYASGGWAREDGKLKCGYSSFRGKRATMEDFYDVKLTEIDGQAVSLFGVFDGHGGPRAAEYLKENLFENLLKHPEFLTDTKLAISETYQKTDTDFLESESNAFRDDGSTASTAVLVGGHLYVANVGDSRAVVSKAGKAMALSEDHKPNRSDERKRIENAGGVVIWAGTWRVGGVLAMSRAFGNRLLKPFVVAEPEIQEELVNEDLECLVLASDGLWDVVENEVINHEAVSLAKTEDLPESVARKLTEIAYSRGSADNITCIVVQFHHDKTE >ORGLA02G0099100.1 pep chromosome:AGI1.1:2:8125919:8131590:-1 gene:ORGLA02G0099100 transcript:ORGLA02G0099100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKNILMVIVICQYVPRLIRIIPLYLQITRSAGIITETAWAGAAFNLLIYMLASHVLGALWYLLSIQREDTCWKDACSRHDGCDSGSLFCGSNAARNNSFLQDFCPTNGTDNADPTFGIYLPALQNVSQSTSFFEKLFYCFWWGLQNLSSLGQNLKTSTYTWENLFAVFVSTSGLVLFALLIGNVQTYLQSASVRIEEMRVKRRDTEQWMAHRLLPDNLKERILRHEQYRWQETRGVDEEGLLSNLPKNLRREIKRHLCLSLLMRVPMFENMDEKLLDAMCDRLKPMLYTEGSCIIREGDPVNEMLFIMRGNLESMTTNGGQTGFFNSNIIKGGDFCGEELLTWALDPTSASNLPSSTRTVKTLSEVEAFALRADDLKFVATQFRRLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEDTLFEKEKRLQAAIVSDGSSSLSLGAALYASRFAGNMMRILRRNATRKARLQERVPARLLQKPAEPNFFAEDQ >ORGLA02G0099000.1 pep chromosome:AGI1.1:2:8114503:8116301:-1 gene:ORGLA02G0099000 transcript:ORGLA02G0099000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDLAKRQLYAAKGKCDVYRGAELLALLTMLRSERMRMRVHGTGATDVKLNGLDHTCCSVNKCGDTMASKGWIADRVVDWLKEKPTLGPKELQEKVNKKYKMDVPYFRVFRAKEKAMDMIYGKRDESYDLLPTYKEALLKAIPGSVVELDTEEHNGDVCFRRFFVALKPCIDGFLQGCRPYIAMDSTHLTGRSRGQLAAAVAIDGHDWLFLVAYGVIEIESKESWTWFIQNLKQAIGTPTGLVISTDAGKGIEGAVDDVYPGVEHRECMRHLWKNMKKKYHGTLFS >ORGLA02G0098900.1 pep chromosome:AGI1.1:2:8111124:8114448:-1 gene:ORGLA02G0098900 transcript:ORGLA02G0098900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIKEKCPDAIQWLDENHPYVWSRSKFSELCKVDYISNNLSESFNSWVSKTKDLQIVDMHEKIRHMIVAKFDLRANIARNMEGKIIPAITKDLNAQSKAIKDHEVLRCGDGTAEVTVSTITHAVNLNERTCSCRAWQISGKPCSHALAFIAKLSRQVHMGDFMDECFSVERFRKAYAGLFNPMTSKHLWPLVDVGYKIKKPKLRRKPGRPRVSRMKASDEVGQRKKRKCSECHELGHTAKYCQGGLTASQKRKKATQESNSDAHASNASAGGCEGEGTMNARGGRASGRGRGRGRGRGRARGRASSSSSGGGGGGGGGGGGGRGGAGGDGGGGAGGDGAGGGGGGGRGRGRARGGGGDGATGEVAEVGEELEAISDCCNSEDLFHQQECDIRVGKLTKGAGPPTTLPWSHHDKKNRGKRKRRMRIFGSDAGQRRGLWRSAAGRVAACREEEQKPR >ORGLA02G0098800.1 pep chromosome:AGI1.1:2:8104472:8108063:-1 gene:ORGLA02G0098800 transcript:ORGLA02G0098800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGARNGSTTGAARTGGSGGDAAGGGSGGSAGNGKPLPPCCVKARAAAPESEAKCHATVVSGWFTEPRSRGGKTSKVQYYNNPMWPGEAHSLKVEKILYQGKSPYQEVLVFESSTYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREICRHGSVESIDICEIDQLVIDVCKDFFPDLSVGFKDPRVRLHVGDAVEFLRNAPEGTYDAIIVDSSDPIGPAQELVEKPFFDTIARALRPGGVLCNQAESMWLHTHLIQDMLSICRETFKGSVHYAWTSVPTYPSGVIGFLLCAKEGPPVNFLTPANPIEKLEGAMEAGRDIRFYNSEMHRAAFVLPTFARRELEAYCTTIEREQQEETTAEPLKMNIMPNSEILTAS >ORGLA02G0098700.1 pep chromosome:AGI1.1:2:8086473:8086883:-1 gene:ORGLA02G0098700 transcript:ORGLA02G0098700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLQSGGGGAAALGDGKMSMRRRVCGGGGGGEEEDEAAGSSSSSVMKVKMVLTKAELEWLMAQLKAGDRRLEDVLQEMARKRNRGLLTTAAAAGDACAACAAGAGGGDGADGCWRPSLESIVEGPEMSSFSFDY >ORGLA02G0098600.1 pep chromosome:AGI1.1:2:8060906:8061280:-1 gene:ORGLA02G0098600 transcript:ORGLA02G0098600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WYAHYYALQIIHKECSSGPAKIRALAGKVIFHETWIIEVLRTTLGRIYHAIKTISASSLPTSNLVLIEMLEVRNTLVVGFNDGSGRMQNSSGELSDRYKDENVLISVQSAISILDSFVLKSYLLL >ORGLA02G0098500.1 pep chromosome:AGI1.1:2:8037162:8037718:1 gene:ORGLA02G0098500 transcript:ORGLA02G0098500.1 gene_biotype:protein_coding transcript_biotype:protein_coding SWLLCFCHAAYWFRCLSGRLSTALALRIRTLRGCDPTFTRRGFFRYRRLPVEAQSLSTAADDKSLRLHGGQLRNYSSTTMSNESLVPNHNIGLLGRIQQLIDSHQSRRCSTAAFDVLRKVLKHA >ORGLA02G0098400.1 pep chromosome:AGI1.1:2:8032416:8035648:1 gene:ORGLA02G0098400 transcript:ORGLA02G0098400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILIVSDKQIVVRFPNHVLPASLRENLGKEGATADNMDPSCPFLVLEKYDSNKSYEWLNLRAAWVAKNMPNDIIFPDPTPQSVRDAFSEISRRLSIIMAKDSVRSFLRLFLESSSTFTYKFTITSETLTFMIRYNALRCAKVVLEGKAPDLGSMHANPNCMNPYGCFALHEAAERCSPDMIKLLFRHGASANYQEDCIYKLIHLLCLPDMMVFLDVIRLLAKKTDNVVDELWNYMKNGKLAQAALLFLAAQKHFRNGKPNGFSIIAQRIFGDYDSLMCDKGNGEAHKQLEERKALLNCQFLLYNIISQAGEALYGYIQTHSEVPPVEVLAHVSSILKEYGFCPKEEYIDTMNLSHNLDNFFRDIFQEGQTKPVEQTSSPNAAEKKVIVFRCXQXV >ORGLA02G0098300.1 pep chromosome:AGI1.1:2:8020823:8021122:-1 gene:ORGLA02G0098300 transcript:ORGLA02G0098300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTKGTFTGVHNVIGAHGPLMGRLAIAGPVALRLDGPERLPRPSRGRTCHGEVVFLRQIVFALHPSPETPLAWQGTRTTLRLTPFLLHSLRHGFRQI >ORGLA02G0098200.1 pep chromosome:AGI1.1:2:8008217:8008417:1 gene:ORGLA02G0098200 transcript:ORGLA02G0098200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGKPRRYSESKGISGEGISGELQRLRHDEFGIKEAQQAAPGLLGPFAIDQAQPISPLKAHMCK >ORGLA02G0098100.1 pep chromosome:AGI1.1:2:7966057:7966317:1 gene:ORGLA02G0098100 transcript:ORGLA02G0098100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVIVRSFLTHGPWQGSRGADMQTAWVTASVTHVHEDSKRIDDSSAALSSMSESVSTFPVVATHDRGCSGNGPLSPDATPASPTP >ORGLA02G0098000.1 pep chromosome:AGI1.1:2:7964872:7965690:-1 gene:ORGLA02G0098000 transcript:ORGLA02G0098000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPATVLVTNGTVSPQAPPSAASFLDSTPGAYTTARATAAGGLLWWQRHLLRLADSTRLLARFHPHLLGLAALPPRKPFEDSLRGIEPLVNRSVRVALDEMPGEDMALTALLRASPAEEESELEVCVHLGAYVPPVFGEAGARLAVAGRGRDAAAAKYAPWARMRKSMEKMRPPGVTELLLTNDGDHILEGSITNFFVVCRRVSLMLGFGFLGIQTKLLVPCYWISPTETTEK >ORGLA02G0097900.1 pep chromosome:AGI1.1:2:7954589:7955710:-1 gene:ORGLA02G0097900 transcript:ORGLA02G0097900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGALSPVEEKPAVVKTTKAEQHEEEAVVAVKSAAEMMKKSSPCCPRCNSIKTKFCYYNNYSMAQPRYFCRECRRYWTQGGSLRNVPVGGGCRKSKRSSASSASASAASPPAPAVGAAPPVVPALSSAISKLLQSEPMAAPCADFPNVLPTFVSTGFELPSAAGDRLSLGSFGAFGNLSAAVAAPGGGGGSSTTTSFMDMLRGVGGLFDGVGNSHQMGGNGGGGGSYYAPLITGAGNGMLMPPPPLPPFSGSLMQHGMQGLFANHAMGGGGGGVMNAGEDGSVMAGLGGGQWPPALGGADEQQGGGDGGEAVMTKDTGGGASSSASRPDYFYGWNSAAGGVVAGGGIGGNAAAATGATPWQGLIDSSSAMM >ORGLA02G0097800.1 pep chromosome:AGI1.1:2:7948056:7949416:1 gene:ORGLA02G0097800 transcript:ORGLA02G0097800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC (No Apical Meristem) domain transcriptional regulator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79580) TAIR;Acc:AT1G79580] MHPNGAPLAVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIREIDLNKLEPWDLKDRCRIGTGPQEEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIFLGSGGGTRIGLRKTLVFYTGRAPHGKKTDWIMHEYRLDDDNVDVPEEGWVVCRVFKKKSIHQRGFDQPDMAAAADEDELRYQLLHGAGMSSSPVDQKHVLLQEQLVAHGAHGGGFVVPAFDASMHLPQLASADAAPCGGGGGGHVAFASMNPLDAAGCGSQNMMTMKMAATSGGEMLLMSGGGVDGGRFGAAADWSILDKLLASHQNLDQLFHGKVAGAHQQQQQMAMDAASSLQRLPFHHYLGLEAADLLKFSM >ORGLA02G0097700.1 pep chromosome:AGI1.1:2:7938931:7941913:-1 gene:ORGLA02G0097700 transcript:ORGLA02G0097700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYRSPPRRGYGGRGRSPPRRGYGGRKEQGSGSLLVRNIPLSCRAEDLRVPFERFGPVRDVYLPKDYYTGEPRGFAFVEFVDPYDASEAQYHMNRQVVFGREITVVLAAESRKRPEEMRSRARVRGYSDHEGRRSSHYGRSRSRSRSRSPRYRGRPRSRSYSPAPRRRDDYSASPQRKDTHRAKSPRRQPKEHEVDKKRRSYSPANKDGDQRDADNGYEKRSPPADSDGSPPHRRSPRQSSGSPPGSRSRSADGSPARSD >ORGLA02G0097600.1 pep chromosome:AGI1.1:2:7930437:7937957:1 gene:ORGLA02G0097600 transcript:ORGLA02G0097600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQSLESEREAAVLRTATGVGIDGGGIGIGVGEEESLPGVAASVAGGQKEERRGGGGVASAAGRRRRGGGILSGRGGGSGG >ORGLA02G0097500.1 pep chromosome:AGI1.1:2:7929025:7929285:1 gene:ORGLA02G0097500 transcript:ORGLA02G0097500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHGHGGCCRNRTPFRLHRASLPLSLSLTAPAAELLTVATSPAAVAAGSGERWATTMGRAAVPPDLGEESEVGGGDGEGYSAV >ORGLA02G0097400.1 pep chromosome:AGI1.1:2:7927235:7927615:-1 gene:ORGLA02G0097400 transcript:ORGLA02G0097400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGCNGNGGGGGGVKVTFIETQFVTSDAAGFKSLVQRLTGNDAAVPAAPPQRPRPCRADGWRGAGGASATVVKREAVPPPVAPWVDEMMMLYETCDLAEMLRVDVVGASGGGRCHGGGGYGGFPC >ORGLA02G0097300.1 pep chromosome:AGI1.1:2:7924846:7925199:-1 gene:ORGLA02G0097300 transcript:ORGLA02G0097300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGCNGNGGVKVTFIETQFVTSDAAGFKSLVQRLTGNDAAVATAPPLERPRPCRRGVDGWRGAEATVGVGLPAARAPWMDEIEMMMLYETCDLAEMLCVDVAAGGGGCHGGGGGRR >ORGLA02G0097200.1 pep chromosome:AGI1.1:2:7922967:7923808:1 gene:ORGLA02G0097200 transcript:ORGLA02G0097200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase-related [Source:Projected from Arabidopsis thaliana (AT4G18593) TAIR;Acc:AT4G18593] METIQALELGVEQKKSEPEVDEMPGSMEDQKPAEISQDKVAETDIKPAVQTELETSPVANPNPAETNQYTDGVTYGDLETTDPGTTYRCKRCRTLVATEGYVVTHKVGRGEKCFATRKKYHVDEKEPECTCLFVEPLKWMQPVVEGYISGKIACRKCNSRLGQFHWAGVQCSCGAWVNPAFQLVKSKIDQCXM >ORGLA02G0097100.1 pep chromosome:AGI1.1:2:7902016:7903431:-1 gene:ORGLA02G0097100 transcript:ORGLA02G0097100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQQKQPRKAKQEEGEGHSAEEAAGLEEIGRYRAQAQQNSMDAIRDAEERYAKANREHGGATRAPGATVVSCVERKKEQPPPPPQHGKKHEAAEEGHGGGKAETGGQEGVTGKNRGEGRQQHGEPDAAGAAAREKSAGATHGAKQAGSTAATRAADYAAAKGTEAKDASAHAAQAATEKAKVAAGTATDYARQAAAKAKDVTLSTGGTAAEKTKDVALSTGGTASEYAKQAAVKGKDVTLSAGETAAEHAKAAAEKARDAAVAAGRTTAEYTQQAAVKAKDVTLSTGATVAQKAKEVTADTAHKVAEYAREKAEQGKEAAARAADGAEEPSSGTADKAGDMAGQTKDTTSDTTGGMAHKAGAMAAQTKDTVKDAAAAMAQKTSDTIAQAGHGADEAKNRAAESGKNSSATTTIGSGGGGDGDDTTVVGDVLEAVGATVYGIAKHTKGIVAGEEELVPVAKEEDKGKLE >ORGLA02G0097000.1 pep chromosome:AGI1.1:2:7892461:7895282:1 gene:ORGLA02G0097000 transcript:ORGLA02G0097000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRGILVVAVVLAVATILAGAAEGKVNGKAKGKYRALFNFGDSLADAGNLLANGVDFRLATAQLPYGQTFPGHPTGRCSDGRLVVDHLADEFGLPLLPPSKLKNSSFAHGANFAITGATALDTPYFEAKGLGAVVWNSGALLTQIQWFRDLKPFFCNSTKAECDEFYANSLFVVGEFGGNDYNAPLFAGKGLEEAYKFMPDVIQAISDGIEQLIAEGARELIVPGVMPTGCFPVYLNMLDEPADGYGPQSGCVRRYNTFSWVHNAHLKRMLEKLRPKHPNVRIIYGDYYTPVIQFMLQPEKFGFYKQLPRACCGAPGSVAKAAYNFNVTAKCGEAGATACDDPSTHWSWDGIHLTEAAYGHIARGWVYGPFADQPIFQSS >ORGLA02G0096900.1 pep chromosome:AGI1.1:2:7881187:7883484:1 gene:ORGLA02G0096900 transcript:ORGLA02G0096900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPGAGAGGEERPAASPAAPAVAEAAEEGPVTSRWAPEIRVYRRKYPRKNPKPPPNPSPSSSPLAQTLASIRRSIRRPEDGPAAPRPDPPAAPASSPHPPPPSAPVAPAQQGEPAAPASDGVSAGPNRDGGAVPNGHGDVRAAAEEKARKRRARSELRRQLASELDQVRGLSKRLKAAAEAIAAESAAALALPVVVPPPQLPVGYAQSQFALADPVTPIPGQVAGAIVPVRSVMQRGPLTVSVTHTESFEKEKRTPKANQLYQNSEFLLAKDKFPPSDSHGRKKPKHHKKKHRSLASHGAGYDAEQRLYSHAFKKSMSLLSRLMKHKFGWVFNKPVDAVALGLHDYFAIIKHPMDLGTIKTRLTHGQYRNPREFADDVRLTFHNAMTYNPKGQDVHFMAEQLLGIFEAQWPEIEAEVQYLASCPPLPNKFPPPPIDVRFLDRSDSVKHHMALDSKSRPLSHTPTYSARTPSMKKPKAKDPDKRDMTIDEKRKLSNNLQNLPPEKLDVVVQIIKNKNLSVRQHDDEIEVEIDSMDTETLWELDRFVANYKKNLSKQKRKAERAMLARQDAELHAQHVAPQQPSQEPNIGVKSPKQNLIVDEKLATSVPEQADNNGQNASRSSSSSSSSSDTGSSSSDSDSDSSSSDGSDAANSS >ORGLA02G0096800.1 pep chromosome:AGI1.1:2:7872198:7872962:1 gene:ORGLA02G0096800 transcript:ORGLA02G0096800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDGFSDSILLDNFGYGGSNLTREQLSTRVGCGRVHPIIENDLDKCRVVTPNLPTKTDDLFHSTGHGWTIQEKVVNG >ORGLA02G0096700.1 pep chromosome:AGI1.1:2:7870475:7870807:1 gene:ORGLA02G0096700 transcript:ORGLA02G0096700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLITLSCLCLCLLLLLVTGSSSPVSVSVSGDRCPVLHHHRRLHDMVAAAVVSQPPPRPPPPAAPAAARTSGTAVETVLPRQRDDGEEIDETVYEGSKRLSPGGPNPQHH >ORGLA02G0096600.1 pep chromosome:AGI1.1:2:7855758:7857577:-1 gene:ORGLA02G0096600 transcript:ORGLA02G0096600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFSRFSIYFCVLLLCHGSMAQLFNPSTNPWHSPRQGSFRECRFDRLQAFEPLRKVRSEAGVTEYFDDKNELFQCTGTFVIRRVIQPQGLLVPRYTNTPGVVYIIQGRGSMGLTFPGCPATYQQQFQQFSSQGQSQSQKFRDEHQKIHQFRQGDIVALPASVAHWFYNDGDAPVVAVYVYDVNNNANQLEPRQKEFLLAGNNNRAQQQQVYGSSIEQHSGQNIFSGFGVEMLSEALGINAVAAKRLQSQNDQRGEIIHVKNGLQLLKPTLTQQQEQAQAQDQYQQVQYSERQQTSSRWNGLEENFCTIKARVNIENPSRADSYNPRAGRITSVNSQKFPILNLIQMSATRVNLYQNAILSPFWNVNAHSLVYMIQGRSRVQVVSNFGKTVFDGVLRPGQLLIIPQHYAVLKKAEREGCQYIAIKTNANAFVSHLAGKNSVFRALPVDVVANAYRISREQARSLKNNRGEEHGAFTPRFQQQYYPGLSNESESETSE >ORGLA02G0096500.1 pep chromosome:AGI1.1:2:7850825:7852586:-1 gene:ORGLA02G0096500 transcript:ORGLA02G0096500.1 gene_biotype:protein_coding transcript_biotype:protein_coding APPSSPPWIGDRVPAAVGAGGRFSLRFDDFRCRILGCRDGLVLAVNPVMPRRRGCFLVWDPVSGDQRRVAFPPECDQGQTEVRNGAVFRLPGGGGGFRFQIVLVGTRHQYEAIGCIYSSETGKWGDLIATPLPHNLTRISLAVPGVRIGDSLYWLISGIPGGILEFDLNEQRLAVIDDVPMAVSDGYRRFCVVPAADGGLGFVFMSDLGSQFWRRKNDWDDDVSESGWVLEKTVQLGELLSLSPTERNGSPIVMGFPEDYNVIFLKTINGLFMVHLESMEFKRILKDCAALFIYPFASVYTAGMSIGDGHDEDGHSPAMLVYNPLNPTFSIAHLLFCPYQINPLYPFVARLGHGLVVRGLSVTLIF >ORGLA02G0096400.1 pep chromosome:AGI1.1:2:7843544:7845357:1 gene:ORGLA02G0096400 transcript:ORGLA02G0096400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIFSRFSIYFCAMLLCQGSMAQLFNPSTNPWHSPRQGSFRECRFDRLQAFEPLRKVRSEAGVTEYFDEKNELFQCTGTFVIRRVIQPQGLLVPRYSNTPGLVYIIQGRGSMGLTFPGCPATYQKQFQQFSSQGQSQSQKFRDEHQKIHQFRQGDVVALPAGVAHWFYNDGDASVVAIYVYDINNSANQLEPRQKEFLLAGNNNRVQQVYGSSIEQHSSQNIFNGFGTELLSEALGINTVAAKRLQSQNDLRGEIVHVKNGLQLLKPTLTQQQEQAQAQYQEVQYSEQQQTSSRWNGLEENFCTIKARVNIENPSRADSYNPRAGRISSVNSQKFPILNLIQMSATRVNLYQNAILSPFWNVNAHSLVYMIQGQSRVQVVSNFGKTVFDGVLRPGLLLIIPQHYAVLKKAEREGCQYIAIKTNANAFVSHLAGKNSVFRALPVDVVANAYRISREQARSIKNNRGEEHGAFTPRFQQQYYPGFSNESESETSK >ORGLA02G0096300.1 pep chromosome:AGI1.1:2:7832080:7833491:1 gene:ORGLA02G0096300 transcript:ORGLA02G0096300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSTKKVVIDKPSMARDIPTSLCDLPQAVRDGIVNALVDHQEVAATKDVVEMEIGSSTAEKVANMASQPGSSGTVGSKKRKWGFGVDPTGGVLTKGTHSLTSSPDNAPTSGRLKPMRFMSKSKAKAAPTISNQNMGKHDEASSQPATHIIVSPSTAPLPSVAAVVLPPPGTAFNHLASACQEVLLSAKAASTEVNRLIAELTATNEKLSKLKEELATANTNNESLRLLIKENSEMHQEGQKALAEEKSKREALYAGLKENFFAFNEVAKQLGRGVQPPPHFDDVSLLASIGELVGEMEKVPADIIQKADWDTRIALKTGACHTLACISSKHPELDLNKEVHEGVAEEEREKLMDQLEKTGEAVASFYLD >ORGLA02G0096200.1 pep chromosome:AGI1.1:2:7825811:7826149:1 gene:ORGLA02G0096200 transcript:ORGLA02G0096200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGSRACGGDDKENVPPMPAMAAAVAASSWHGIAVVKNQRMKRPGGGGGKLRRRVPLRDITNLMYVAARPPAPPAASSVTAAAAAARSREEPVAAAAALPARRSLRKEFR >ORGLA02G0096100.1 pep chromosome:AGI1.1:2:7819514:7820428:1 gene:ORGLA02G0096100 transcript:ORGLA02G0096100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAGGAPGPAAQRHGGGGGGGGCCSSGVTLELVGAFTAVCLVLYGVILYFNYLYVRWSGRDGVHRTSGGGGGGGGGAAARKRGGGGGLDKAALAAIPVFRFKASASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARPAVPPPPPAKPPCLKAPEPAAAAAGRQPVDLESQV >ORGLA02G0096000.1 pep chromosome:AGI1.1:2:7813657:7814458:-1 gene:ORGLA02G0096000 transcript:ORGLA02G0096000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDPSSATAADAVAGGSYRVCDTVVLVCLAFASSIIVFTVAVCFRRAVALQGYAASASASPSGRGGGAAAAPAAVXXXXXXXXXALAAIPSSRTGGAPRAAAAGGRSARSASAWCATARPCGGCRSASTCSTWSASTCGCTRTPRARSAGETSAPPPPRPATKS >ORGLA02G0095900.1 pep chromosome:AGI1.1:2:7807664:7808218:-1 gene:ORGLA02G0095900 transcript:ORGLA02G0095900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSSNSLPYSTDQGGYSTHDTLVLLGIGFFATAVSVLMIVLCECLCCRRRRRGGGGGTVVYVAARPFFLGGGGDSGGGLSASAVATLPSFVYRREEWAEAAPRGDGSGSGRGGGGGWAQCAVCLSIVQEGETVRQLPACKHLFHVGCIDMWLHSHSTCPLCRASVEPLGKETPLKDQAPPV >ORGLA02G0095800.1 pep chromosome:AGI1.1:2:7803052:7804866:-1 gene:ORGLA02G0095800 transcript:ORGLA02G0095800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSLLSSCLCALLLAPLFSQGVDAWESRQGASRECRFDRLQAFEPLRKVRSEAGVTEYFDERNEQFQCAGVFVIRRVIEPQGLVVPRYSNTPALAYIIQGKGYVGLTFPGCPATHQQQFQLFEQRQSDQAHKFRDEHQKIHEFRQGDVVALPASVAHWFYNGGDTPAVVVYVYDINSFANQHEPRQKEFLLAGNNQREQQIFEHSIFQHSGQNIFSGFNTEVLSEALGINTEAAKRLQSQNDQRGDIIRVKHGLQLLKPTLTQRQEEQRQYQQVQYREGQYNGLDENFCTIKARVNIENPSRADYYNPRAGRITLLNNQKFPILNLIGMGAARVNLYQNALLSPFWNINAHSVVYIIQGSARVQVANNQGRTVFNGVLHQGQLLIIPQNHAVIKKAEHNGCQYVAIKTISDPTVSRVAGKNSILRALPVDVITNAYRISRDEARRLKNNRADEIGPFTPRFPQKSQRCYQFLTEGLSLIGM >ORGLA02G0095700.1 pep chromosome:AGI1.1:2:7801572:7802165:-1 gene:ORGLA02G0095700 transcript:ORGLA02G0095700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVNLYSRLTASTGVGVGVGVDDDDDDVSGGYGVLDGACGGTLAVFCALAVSVVVWKACAFVAMAAALLAIGWRVVAPRRSVGRAGAGAPTPAECGLTAAAIDALPASEYERPRGGGGDPACSVCLEDVRGGETVRRLPACGHLYHAACIDAWLRSRTTCPLCRSDLSSRRGGTASGRPRPRLVTHESLLPPLPSV >ORGLA02G0095600.1 pep chromosome:AGI1.1:2:7797585:7799388:-1 gene:ORGLA02G0095600 transcript:ORGLA02G0095600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISVFSRFSIYFCVLLLCNGSMAQLFDPTTNQWQTHRQGSFRECRFERLQAFEPLQNVRSEAGVTEYFDETNELFQCTGTVVIRRVIQLQGLLIPRYANTPGMVYIIQGRGSMGLTFPGCPATYQQQSQQFLFQGESQSQKFIDEHQKIHQFRQGDIVVLPTGVAHWFYNDGDTPVVALYVYDINNSANQLEPRHREFLLAGKNNRVQQVYGRSIQQHSGQNIFNGFSVELLSEALNINTVTTKRLQSQNDQRGEIIHVKNGLQLLKPTLTRRQEQEQAQYQEVQYSEKPQISSRWNGLEENLCTIKTRLNIENPSLADSYDPCAGRITSLDSQKFPILNIIQMSATRVNLYQNAILTPFWNVNAHSLMYVIQGRARVQVVSNFGKTVFNGVLRPEQLLIIPQNYVVLKKAQHEGCQYIAINTNANAFVSHLAGVDSVFRALPVDVVANAYRISREEARRLKNNRGDEYGPFPPRLQQQIYPEFSNESKGETSE >ORGLA02G0095500.1 pep chromosome:AGI1.1:2:7795780:7796151:-1 gene:ORGLA02G0095500 transcript:ORGLA02G0095500.1 gene_biotype:protein_coding transcript_biotype:protein_coding HAKNIVPNRGLVGAAIDALPAFAYARPAADDGGGGGSKSGRCALCSVCLEDVQAGEMVRQLPACRHLFHVGCIDMWLHSHSTCPLCRCNVSPPATIVMKATATSTATAAAAAQQLPADTLPPV >ORGLA02G0095400.1 pep chromosome:AGI1.1:2:7790526:7791213:-1 gene:ORGLA02G0095400 transcript:ORGLA02G0095400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCFRVYGLAIANAVSIGGTSLLVYQLVRLARTPGSKGGVALAIFLVFWVSINAVAYSVFCGMLFPWSALRRCLAPLPRAARWLLCLPCRCARRXXXXXXXXXXXXXXXLPPHMYVLEREPPVRWGARVATADDIPAYEQPAASEGGAAAAECAVCLGEVEKGEMVKRLPVCLHMFHRRCIDPWLRDHSTCPVCRCDAFAAPPLPAQMV >ORGLA02G0095300.1 pep chromosome:AGI1.1:2:7789152:7789787:-1 gene:ORGLA02G0095300 transcript:ORGLA02G0095300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFVRCYMLAIANGVCIGGAAMIVYGIVQVSRTGGDAGSLVVLSLFLALWVAVGSCVYASFCGAFFPWASLRRPLAPVREALSRCARALLPRRNGGGGDGLPSHLGDGVQPRETPTVRGGGGARVATADDIPAYEQPPAGEGEGGAAAAPECAVCLGEVEKGEMAKRLPACLHVFHQRCIDAWLRGNSTCPVCRRNAFAAAAPPLPAQMV >ORGLA02G0095200.1 pep chromosome:AGI1.1:2:7785127:7785753:-1 gene:ORGLA02G0095200 transcript:ORGLA02G0095200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLLLAVVPLAVIAGVLVYVAGVPWAISIVVLVVVFVVVHRARRGRSPAAGGGGGMVRDDQEPTVARPAAPSAVVVVVAPPLPPPLPIQRVPAAAVQPSAPPVVADDVALLAYAYEKKKKKKRRGSDGDSGGGDDGDGGGEECSVCLGEMRQGEAAKRLPVCLHVFHEECIDMWLGSHATCPICRSPVDAGAVAARVQVQVQVLSC >ORGLA02G0095100.1 pep chromosome:AGI1.1:2:7780705:7784255:1 gene:ORGLA02G0095100 transcript:ORGLA02G0095100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPTRCLPVLLLLLLVVAPLLAHGRRPFISDGGNANANANASVLRLPSAAAAAGEDMGCEMSYGFLPCTTTAWGNLFLVLAYGFLMFKSATYLSSGSEMLLQILGPGIVGGLFLPILGALPDALLILVSGLSGTKEVAQSQVLIGMGLLAGSTVMLLTLLWGSCVVVGKCDLSENSTAIDSRDTKGFSLLGSGVSTDKQTSYAARIMAISVLPFIIVQIPKIFKLHSGHQITVLIGLIAAALLLLSYCLYQVFQPWIQRRRLEYTRLKHVMSGLLRHAQKHSIGRLLDDEGRPNVSVIEKLFHRIDQDNDGKLERGELQAFIVGINFEDIDWNSNLAADQVMADFDTSRNHFIEKGEFVNGMLRWLDEAKRTVTSGAYSKKFLNDFHARTRDEQTGLLDKDEEEGEADGNPTWTCIKAILLLLLGTAMAAASADPLVDAVHNFSNATHIPSFFISFIVMPLATNSSEAVSAIIFASRKKKRTLSLTFSEVYGGVTMNNTLCLAVFLALVYVRGLTWDFSSEVLIILLVCIIMGLFTSFRTDFPLWTCFVAFLLYPLSLIMVYILDYKFGWS >ORGLA02G0095000.1 pep chromosome:AGI1.1:2:7765063:7769806:-1 gene:ORGLA02G0095000 transcript:ORGLA02G0095000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:UniProtKB/TrEMBL;Acc:I1NYZ4] MALHAPVLVLKDSLKRESGTKVHHANIQAAKAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNCILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAQAFIDKNYHPTVICRAYTKALDDALSVLDKIAIPVDVDDRVAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGIREVDIKKYIKVEKVPGGQLEDSKVLKGVMINKDVVAPGKMRRKIVNPRIILLDCPLEYKKGENQTNAELMKEEDWQVMLQMEEEYIENLCAQILKFKPDLVITEKGLSDLAVHYLGKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTGAGLFEVKKFGDEFFAFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNIWKNPKLLPGGGATELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLLQNCGLNVIRTMTQLQGKHANGENAWVGIDGSSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASSAPKQPQIEQEGDADNEQMIPE >ORGLA02G0094900.1 pep chromosome:AGI1.1:2:7762685:7764248:1 gene:ORGLA02G0094900 transcript:ORGLA02G0094900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPRAPSAAAAAAAAAGQEWSAMAAAGEFLGFAAARRGAHRRSASDSAAFLMEAAVPMDDVIVGVGGGGEFDRLDDEQLMSMFSDVEAPAVSDGGGGGGGEEGAAGEAHLMDMGDGDDGMGATSPAGAGAMAAVAAAAAADGIADPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQMEVSALSPRVAFLDHQRSLLTVGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQVYHQQQIKATGGADIATAASMQAKHELLACEGAAMR >ORGLA02G0094800.1 pep chromosome:AGI1.1:2:7738346:7755287:1 gene:ORGLA02G0094800 transcript:ORGLA02G0094800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIVEVGPSSRPLRYVPAQQRWRPTPTPLPPPPPPLPPPPAASAASSGAGDAAAVAAAAANQFDSEKLPQTLVSEIRPFLRVANQIEHESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDEKSTFTKRMAKSDSQEIRLFYEKKEKADERELLPVLAEVLRAVQIGTGMEKQKRIASETFADKSALFRYNILPLYPGSTKQPIMLLPEKGNVANQREHLILLLANMHARLNPKSSSETMLDDRAVDELLAKTFENYLTWCKFLGRKSNIWLPSVKQEIQQHKLLYISLYLLIWGEASNLRLMPECLCYIFHHESLKNKNGVSDHSTWRNYDDLNEFFWSADCFKLGWPMRLNNDFFFTSNKNKNSRLPITQLRTSQQTEQQNTQLRTSQQTEQQNTQLRTPNGSSSFQNMLNPEAPEQTQQQTTSDTSQQKWLGKTNFVEVRSFWHIFRSFDRMWTLLVLGLQFFRDIYLENLQYVGLVVSVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGEMDLMTMPMSLEHRSGSIRWPMFLLAKKFSEAVDMVANFTGKSTRLFCIIKKDNYMLCAINDFYELTKSILRHLVIGDVEKSFSSACPCEYYYDVLQILSRVIATIYIEIEKSIQNASLLVDFKMDHLPSLVAKFDRLAELLYTNKQELRYEVTILLQDIIDILVQDMLVDAQSVLGLINSSETLISDDDGTFEYYKPELFASISSISNIRFPFPENGPLKEQVKRLYLLLNTKDKVVEVPSNLEARRRISFFATSLFMDMPSAPKVSNEWRNFLERLGPKVTQEEIRYWASFHGQTLSRTVRGMMYYRKALRLQAFLDRTNDQELYKGPAANGRQTKNMHQSLSTELDALADMKFSYVISCQKFGEQKSSGNPHAQDIIDLMTRYPALRVAYIEEKEIIVDNRPHKVYSSVLIKAENNLDQEIYRIKLPGPPLIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRGKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLIEAETQNMKSLETALVSQSFLQLGLLTGLPMVMELGLEKGFRVALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVAFHASFTENYQLYSRSHFVKGFELVFLLIIYHIFRRSYVSTVVHVMITYSTWFMAVTWLFAPFLFNPAGFAWRKIVEDWADWTIWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFIYQYGLVYHLKISQDNKNFLVYLLSWVVIIAIVGLVKLVNCASRRLSSKHQLIFRLIKLLIFLSVMTSLILLSCLCQLSIMDLIICCLAFIPTGWGLLLIVQVLRPKIEYYAIWEPIQVIAHAYDYGMGSLLFFPIAALAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >ORGLA02G0094700.1 pep chromosome:AGI1.1:2:7730598:7731176:1 gene:ORGLA02G0094700 transcript:ORGLA02G0094700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMMRSQGGGGVAGEYQYYYHGGTGVGGLVDQEMAVAAPPSSDDGVVLLMELLDGEEEMGDDYSPSPAATTDGDGDADQLSRVIRSLEAEIGGGGATGAVAAATATRDSDESMAAAGPASDDDGAAAAGIRRLEDMFSDDLDGYGGGAFGYGWPPELALPAAASWCVYDDEHLYYGDGSIDEQVYSPLWEQ >ORGLA02G0094600.1 pep chromosome:AGI1.1:2:7715955:7716188:1 gene:ORGLA02G0094600 transcript:ORGLA02G0094600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEICRSSTTVVASKQSSPSPSSSAGASQGETLPRGSEMIPPAVTVAESSRWKEQQHQQQAVPWAKLLSQSSRRCMP >ORGLA02G0094500.1 pep chromosome:AGI1.1:2:7710094:7711267:-1 gene:ORGLA02G0094500 transcript:ORGLA02G0094500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRRLRGALRPAKSGVPVLLHQERGRVHRRRHLPSHLHHAPGHRGHCKLQRQSQGRICALLWLADWRFVTSRILPEMQRTVAQFNLIGFATRRRWPTMVVMRIAALFRCKKYVNQHWYLGHLSSTPIIIEFIRKDLKSRWVDDLTNAAAYRRFNDRRGQWTLRRERCYQELGWSVTELPFDEAVLVWHIATDIYLDCNNGIENPPATADERATVKCSREISNYMMYLLLFQPDMLMPGTRQSLFAVACHEIKHALRDQRQRLDERGVARWISENPNAAQPGDHLAAARRLAEAMMQMNDAGRMLKVISGVWVEMICYSASRCRGFLHAKSLGAGGEFLTVVWLLLHRMGMEVLADKLQKPEIPRHVQILP >ORGLA02G0094400.1 pep chromosome:AGI1.1:2:7704499:7705208:1 gene:ORGLA02G0094400 transcript:ORGLA02G0094400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSTPAAVREMQRDLESQANALSKIQKDISKNHQVRKQYTIQVGENELVLKELELVNDEANVYKLIGPVLVKQDLAEAKANVKKRIEYISAELKRMDRALKDLEEKQNSKKESVCSSSCYYFWFYWQVS >ORGLA02G0094300.1 pep chromosome:AGI1.1:2:7703368:7703739:1 gene:ORGLA02G0094300 transcript:ORGLA02G0094300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDLGGGADGASINGGLAGAAQAQAAAREQRHKRLRDTAGLARRRPGHRVGGSGGGTAAWGLEAARLRVLGVALPRGGGDGTGGLEELVECGVEASGNGLVALGNAHPRLPIRAHLADLLL >ORGLA02G0094200.1 pep chromosome:AGI1.1:2:7698794:7699153:1 gene:ORGLA02G0094200 transcript:ORGLA02G0094200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPSCLHLLRVVPAQVGDSDRAARLAVLLSRKASDGIDNDQIMSCTGCQQQRPDRPKLLPPRSLTDEVTATCLDLLLRAVPAQVNNSDPSLAWLMTPSAAASRRIGVSGAAAVGERG >ORGLA02G0094100.1 pep chromosome:AGI1.1:2:7686118:7690032:-1 gene:ORGLA02G0094100 transcript:ORGLA02G0094100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAHSKSCFHQFWDGLQIKRSSDSFTVELLPSLGATINHSNKLQKFIISPYDPRYRSWELFLIVLVVYSAWICPFELAFLRDLPSKLLLVENIVDIFFAIDIVLTFFVAYVDSKTHLLVDDRKRIAMRYLSTWFIFDVCSTAPFQPIILLFTHKGNDIAFKVLNLLRLWRLHRVSSLFARLEKDIRFNYFWTRCSKLISVTLFAVHCAGCFNYMIADRYPNPEKTWIGAVMSTFRSESLWTRYITALYWSITTLTTTGYGDLHAENPTEMLFDIVYMMFNLGLTAYLIGNMTNLVVHGTSRTRKFRDSIQAASEFAARNQLPENIKQQVLSHFCLQFKTEGLNQQVMLDCLPKGIRSSIAYSLFFPIIRQAYLFNGVSGNFIAELVMEVQAEYFPPKEDIILQNEGEADVYIVVSGAVNIITTIHGNEQVYEKIAEGEMFGEVGALCNIPQPFTCRTAELSQLLRISKTRLREIIEENREDSNILMNNLVQKLKLRESLPDMNQPDRRFLSKYELFHVPREAWLLKKSQLHYTEHTSRGSSNNTPVFGGDRYSRQLLGEETRSSASENENSGMTDKEENHDEVHTNCETKKRTEEHCIQINSEDSSSTYSQRTMNATVQTGSPHKTEENITRRIPDEYYIKEANKRVTIHKYCHNSTVSAAQNGKLIKLPTSLEELFKIGSQKFQGFHPRKVVSRDYAEIDDVSVIRDGDHLFLLEM >ORGLA02G0094000.1 pep chromosome:AGI1.1:2:7675199:7676300:-1 gene:ORGLA02G0094000 transcript:ORGLA02G0094000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECLLEGWKAISLSYMILTIYFIYADEQDRILMVLSRAWYLRHDIVQCRGGEGSGGEGGGGGGVAVELEAPGTAVVCVGRRREQQRSAETGGGGATETVEERSGATQRRTRGSCEEWWRIVGHRGGDRGRLSVIEAEIVGGADGMEEGEGSGERWEGISEAGVGVLGTWFDGGVTSLAVAAAERAEENGGGGESGVERKGRERWRMR >ORGLA02G0093900.1 pep chromosome:AGI1.1:2:7669673:7672013:-1 gene:ORGLA02G0093900 transcript:ORGLA02G0093900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQWWEEWQLRVLVLTSLFLQCFLFFSATFRKHRIPAILRASIWLAYLGSDAVAIYGLAAIFSRHGKNAGDDGGRGESSMLEVLWAPVFLIHLAGAQDSITAYDAAEDNALWARRAVAMSSQAAVAVYVFCRSWSGGKVPARCPVALFVTGFLKMGLMLWALRRASATRIATVGRKAAAVEANWSLERYLQWASKSAIEATRNIQTNDDGGENRSAARRHRSATSELHLDLIDLEYQNDLMELFIDFPNTYTSRLSYLSSFLKLEPYDAYCRLCDLVDYAFQIFYTSRNAAYPFASLFLILRFIFFMLAATAIGGFDGLDSNMDGLDTNDVQVTYILLYSVFAVEFSNMVSLRYHKWPVCKLEPQIKRTIAQFNLIGCTSRSRWSTKMNSNLSRRYLLIHTCQTVLNRVAMLLRCNNQYYWYHVEHSSSTEKVVDLIREDLRSGWVEDDLRSAAAYRRFNNRRGQWTLRREQCYGEMGWSVAKLPFDEAVLVWHVATDICLHCTQNIDIDISSSPAAGADEVAAVTEISNYMMYLLAFQPNMLMPGARQGLFTAARHEIMHAIRHQGRHQQQLSERDLARCLAGGDDDDEYSTPATEEQAGAGSRLLERKGGGGGGRHLAHAQRLAGAMMKLDAGKRLRVIGGVWVEMICYSASRCSGSLHLKILGVGGGEFLTVVWLLLHRMGMEVLADKLHRPELARDEPDAVATFLSTDDATIADTIKRRNLDLEPGHWSQICTRIPESLLQVNVVQITLETISTSRTL >ORGLA02G0093800.1 pep chromosome:AGI1.1:2:7656689:7657458:-1 gene:ORGLA02G0093800 transcript:ORGLA02G0093800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRWINASTKLDQTLSLKLQLLERIRIRLPGDEVVDDEVAGRLPGHLPQLDGAELDADRHARPHRERHEPGLLLLQQLRRHRVQDARRGAQRDGEDEHGEQRPAHATAAPPPTAPAPTPTGWIW >ORGLA02G0093700.1 pep chromosome:AGI1.1:2:7652672:7653577:1 gene:ORGLA02G0093700 transcript:ORGLA02G0093700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLMENMKKLFHGSIYARKMWPAAKTYMLEKHDKWMDEVTTASPEVKQWLKEYHNLLWARRKFDCAIKCDYINNNLAESWNSWIKDLKDLPVNALADAIREKTLILFEKRRRTSTALNGVILPVVIHQLNEASKGLGHLKVTKGNPDQAEVTETYKDEEVTRHVVYLDKWTCTCREWQVTGKPCPHALALITTIRQPNMEKYVDTAYSVHRFQATYASVIPNITDKKQWPKVDKGFKLLPPVPKKRGVGRQRKNRIPSALEKGKGKATRQVQCPDYQRFGHRKGSVRCELTGTRKRLVQL >ORGLA02G0093600.1 pep chromosome:AGI1.1:2:7648220:7649871:1 gene:ORGLA02G0093600 transcript:ORGLA02G0093600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLLNLNWGIILLFYLVEDLVATALWLNSKRRGVERAGSELLATIDKAHRFINGDWEQQEQRQHRPEKHCEERTARAPEHREWVTSARGGAARPTGTVS >ORGLA02G0093500.1 pep chromosome:AGI1.1:2:7641845:7642813:-1 gene:ORGLA02G0093500 transcript:ORGLA02G0093500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) TAIR;Acc:AT1G29260] MPAFKAPAPGFSVRFSPFHEQRLLAAASQHFGLVGNGHLIVLDLSAAAAPGGAPAPPPVFAFPTSDALFDCAWSESHESLCAAASGDGSVRLFDVALPPAQNPVRLLREHAREVHGLDWNPVRRDAFLSASWDDTLKLWSPDRPASVRTFRGHEYCVYAAAWSARHPDVFASASGDRTARVWDVREPAPTLVIPAHDHEVLSLDWDKYDPSILATGSVDKSIRVWDVRAPRAPLAQLAGHGYAVKRVKFSPHRQGMLMSCSYDMTVCMWDYRKEDALLARYGHHTEFVAGIDMSVLVEGLLASTGWDEMIYVWPFGSDPRAM >ORGLA02G0093400.1 pep chromosome:AGI1.1:2:7633539:7636049:1 gene:ORGLA02G0093400 transcript:ORGLA02G0093400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAILPLPAPDGDGDSHPQQPPPPPPGGGAKPEPPPTVATHTRPLGIIHPPPDIRVIIEKTATFVSKNGPEFERRIISHNAGNAKFNFLQPSDPYHAYYQHRVSELAAAPPSAAASAADAAPEPDESGAAPPPADSAAAPTVDGEAAKADHSAPFRVPPPTKVLVPPKAELYTVHLPEGITGEELDIIKLTAQFVARNGKSFLTSLAQRESTNPQFHFIRPTHSMFTFFTKLTDTYSRVLNPVEGMPALLKDLQDGAKDLTTVLERCLNRLEWDRSQEQARQQAEDEIEQERMQMQMIDWHDFVVVETIEFADDECEGLPVPLTLEELKRRKRIEDLGEEEAAMELAEPAKDVEMEMDEEEIQLVEEGMRAARLEENEGGVQVKLAGDEEPPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSMGGEEQVDASNVPGPAPLPRPPMPLLRPPQPLPLVNVPRFQPSAMPYPPHQQPHLMQGVPHMMPTMHPPPPPPIPGQPQVIRMPGSMGPMPTNIPPPPPGQNPYMPGPPRPYSMPPPPHMPTMATMVNPIGIPQPPPPLPPQPPAEEQPPQPDEPEPKRLRTDDASLIPAEQFLAQHPGPAHISVSVPNLDEGNLRGQVLEIGVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNIGPGVVINLTLRERGGRKK >ORGLA02G0093300.1 pep chromosome:AGI1.1:2:7614617:7620559:-1 gene:ORGLA02G0093300 transcript:ORGLA02G0093300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGKAAMERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVQECYELSAEYENDRDEARLDELGRKLTSLPPGDSIVVSSSFSHMLNLANLAEEVQIAHRRRIKLKRGDFADEASAPTESDIEETLKRLVTQLGKSREEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRIRADDLHRSSRKAAKHYIEFWKQIPPNEPYRVILGGVRDKLYYTRERTRHLLTTGVSEIPEEATFTNVEEFLEPLELCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDAITTYLGIGSYAEWSEEKRQDWLLSELRGKRPLFGPDLPQTEEIADVLGTFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHIKQPLRVVPLFEKLADLEAAPAAVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELVKVAKHYGVKLTMFHGRGGTVGRGGGPSHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATNEYRSIVFKEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGGAFKHIMQKDIRNIHTLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAALYDKLLVAGDLQSFGEQLRNNFEETKQLLLQVAGHKDILEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPSFEVMPQPALSKEFVDSNQPAELVQLNAASEYAPGLEDTLILTMKGIAAGMQNTG >ORGLA02G0093200.1 pep chromosome:AGI1.1:2:7606251:7610314:1 gene:ORGLA02G0093200 transcript:ORGLA02G0093200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIEAAAAAAAERGPIRAVAEAEAEAEAKVVDVEGKEEEEEEAAKVEEEEEREYKSDMRKLEELMSKLNPRAQEFVPSSRRAPPXXXXXXXXXXXXXXXXFVSAAEYFGGAGAGAGQLQVGGGGGGGGGGRDSSSDGSSNGGGQPQNRRRRSGFNQGRRRTGGRTRRADREDSVRRTVYVSDIDQQVTEQKLAEVFSNCGQVVDCRICGDPHSVLRFAFIEFADDAGARAALTLGGTMLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVTEEDVKIFFQQLCGKVSRLRLLGDYVHSTCIAFVEFAQAESAILALNYSGMVLGTLPIRVSPSKTPVRPRSPRVMSN >ORGLA02G0093100.1 pep chromosome:AGI1.1:2:7580975:7587627:1 gene:ORGLA02G0093100 transcript:ORGLA02G0093100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) TAIR;Acc:AT1G17110] MLQPRESDVPVLFVVFIVLPVIAYFLLGRWHDAVSKKARVSVLAQRAAEETFKVETMATPDVILPGPSLRPMPYMRSAPSARPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQQWNGFGTSSSGGLPPTENTEQMPFLSNLNSPLRGSDVHLHDMDFDTMSEPSFVTTDSYNLDTSPFLSDRSNMNKPNQFLHTSENGAAIGSYEKNDYSIDGEVPSSEILSGNKGLNNSSGSGENCGNRDVIYPLNSVVHQPNNYAPEIRKRPKASITVYESDKGVYLTSDMISSGEGPYASAAESLQRSNSSGNVTGKGNMIHKKPPYPSGKVSSSQKSQEKVLTSHQYDGHEKNPHNKNEQRSTKTAVSTNSSLQGCNGISKAGASKVEALKKPSKFLKTSLVGLINDNKRSKVLFPYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCCSKNWCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQGACLDGLGGEKQVEASLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCSAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPDMLDMVPFVTGAGDNPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVQAVSLNQVMSEGAYMLFYMRSFPRPPKIYIEKGLSSVPTCSKRHSSKSSKGSKQDLNHTESLFASSDQTYGIYDFRPDNGYIQDQHAALRTRNFYHTDDAFADSISTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPYYAQDHPPGSFASCTRLSPSNPQTRYFQENTGFVSDSSMPAHLHGNVHRGRYPDRACSSSAEPPASANPRSVYGRYGLSREGFVQTSGFCQM >ORGLA02G0093000.1 pep chromosome:AGI1.1:2:7561612:7567493:1 gene:ORGLA02G0093000 transcript:ORGLA02G0093000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXGGRRKAGVEERYTRPQGLYEHRDIDQKKLRKLILEAKLAPCYMGADDAAAAADLEECPICFLYYPSLNRSKCCSKGICTECFLQMKPTHTAQPTQCPFCKTPSYAVEYRGVKTKEERSIEQFEEQKVIEAQMRMRQQALQDEEDKMKRKQNRCSSSRTITPTKEVEYRDICSTSFSVPSYRCAEQETECCSSEPSCSAQTSMRPFHSRHNRDDNIDMNIEDMMVMEAIWRSIQEQGSIGNPVCGNFMPVTEPSPRERQPFVPAASLEIPHGGGFSCAVAAMAEHQPPSMDFSYMAGSSAFPVFDMFRRPCNIAGGSMCNLESSPESWSGIAPSCSREVVREEGECSADHWSEGAEAGTSYAGSDIVADAGTMPQLPFAENFAMAPSHFRPESIEEQMMFSMALSLADGHGRTHSQGLAWL >ORGLA02G0092900.1 pep chromosome:AGI1.1:2:7557058:7559201:1 gene:ORGLA02G0092900 transcript:ORGLA02G0092900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAAFEERVRQMEDARNHRLSLLHAEKELQAERSRLLDAKLASARRLERRRLLLERRAANLASRALSARAGIDAARARRVAISGDLRQARAYASPTQTPPPSRLLKFPHPHRSVRGEIEEAERREEEWDRFYEAKRKEMEEFQAMSGRFEAAARDEVQSLRDLVSQLKSTLQEHHGGVMYLNNAEITAAEARKSDLMAKKAKLDESLASARQFRALLQQQLQKSFASQIGDQKTTQTTI >ORGLA02G0092800.1 pep chromosome:AGI1.1:2:7552576:7554645:1 gene:ORGLA02G0092800 transcript:ORGLA02G0092800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSWPGMVQWWEEWQLRVLALSSLFLQCFLFVSATFRRYRIPALFRTCIWLAYLGSDALAIYGLATLFNRHRKPAPGAVAAAGGTSNGHGRSSMLEVLWAPVFLIHLGGQDTITAYNIEDNELWARHAVAMSSQAAVSVYVFCRSWSGGKVPVRCPVALFVAGFLKMGHRLWALRRASITWHATVSSDRRSRRKTTAEEEGGDMSLENYIRQAREQAATRNIDDAVNINDDGEARRAARRRSREQRAQLLAPNILEELMELFIDFPAPYARRIVYLTSFMALENYDAYYNLCNLLDLAFQFFYTKKNTNYTIVGIFLWVLFFLLGITAVAGFDGLDSNKDGLDRDDVKVTYILLCSAIVMEFSSLVWLNDWNWVPLWMLAPEMHRTIVQFNLIGFAARSRWPTMVMWIATLLGCKNYVNQHWYLEHRSSTAKIIGFIRKDLTSGWVSLRSVADHRRFNDRRGHWTLRREQCYGELGWSVTELPFDEAVLVWHIATAICLHCTDVPTAAEDADGASAAARSMEISNYMMYLLLFQPDMLMPGTQQSLFTVACREIRRALRNQRQQEKLSERELARWLLFSVDEPTTAAAEQGGGGGEGRHLANARRLAGAMMELDADRRLRVIGGVWVEMICYSASRCRGFLHSKSMGVGGEFLTVVWLLLHRMGMEGLADKLQRPELTTGDVQDAVVV >ORGLA02G0092700.1 pep chromosome:AGI1.1:2:7541549:7543018:-1 gene:ORGLA02G0092700 transcript:ORGLA02G0092700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NYX1] MEPFTSAAVEPAPPTADDQRDAPRPHVVLLASPGAGHLIPLAELARRLADHHGVAPTLVTFADLDNPDARSAVLSSLPASVATATLPAVPLDDLPADAGLERMLFEVVHRSLPHLRVLLRSIGSTAALVPDFFCAAALSVAAELGVPGYIFFPTSITALYLMRRTVELHDFAAAGEYHALPDPLELPGGVSLRTAEFPEAFRDSTAPVYGQLVETGRLYRGAAGFLANSFYELEPAAVEDSKKAAEKGTFPPAYPVGPFVRSSSDEAGESACLEWLDLQPAGSVVFVSFGSFGVLSVEQTRELAAGLDMSGHRFLWVVRMPSLNDAHRNGGHDEDPLAWVPDGFLERTRGRGLAVAAWAPQVRVLSHPATAAFVSHCGWNSTLESVATGVPLIAWPLHSEQRMNAVVLEESVGMALRPRAREEDVGGTVVRRGEIAAAVKEVMEGEKGHGVRRRARELQQAAGRVWSPEGSSRRALEVVAGKWKAAAQK >ORGLA02G0092600.1 pep chromosome:AGI1.1:2:7538478:7538797:-1 gene:ORGLA02G0092600 transcript:ORGLA02G0092600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPRRLRALSLATPDSSAWRSGAARSGLLEVGACQIRPALTAHALGLEEDDDGGSGGSKEIAICNVDGEIADGAEN >ORGLA02G0092500.1 pep chromosome:AGI1.1:2:7528464:7528721:-1 gene:ORGLA02G0092500 transcript:ORGLA02G0092500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRREESSSGRYTEKHTGGDAGENGIDKTLIGDVMLQHREEAANETPGHQQMNRKEKKMGIYLDGGRAGEEIEVLRGAVVKC >ORGLA02G0092400.1 pep chromosome:AGI1.1:2:7502515:7504362:1 gene:ORGLA02G0092400 transcript:ORGLA02G0092400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAFSRFSICFCVLLLCHGSMAQIFSLGINPWQNPRQGGSRECRFDRLQAFEPLRKVRHEAGVTEYFDEKNEQFQCTGTLVIRRIIEPQGLLLPRYSNTPGLVYIIQGTGVLGLTFPGCPATYQKQFRHFGLEGGSQRQGKKLRDENQKIHQFRQGDVVALPSGIPHWFYNEGDTPVVALFVFDVNNNANQLEPRQKEFLLAGNNIEQQVSNPSINKHSGQNIFNGFNTELLSEALGVNIGVTRRLQSQNDRRGDIIRVKNGLRLIKPTITQQQEQTQDQYQQIQYHREQRSTSKYNGLDENFCAIRARLNIENPNHADTYNPRAGRITNLNSQKFSILNLVQMSATRVNLYQQNAILSPFWNINAHSLVYTIQGRARVQVVSNHGKAVFNGVLHPGQLLIIPQNYVVMKKAELEGFQYIAFKTNPNAMVNHIAGKNSVLRAMPVDVIANAYRISRQEARSLKNNRGEEIGAFTPRYQQQKIHQEYSNPNESETQEVI >ORGLA02G0092300.1 pep chromosome:AGI1.1:2:7496938:7498359:1 gene:ORGLA02G0092300 transcript:ORGLA02G0092300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NYW7] MPMADLARRLVAFHGCAATLVTFSGLAASLDAHSAAVLASLPASSVAAVTLPEVTLDDVPADANFGTLIFELVRRSLPNLRQFLRSIGGGVTALVPDFFCGVVLDLAVELGVPGYVFVPSNTASLAFMRRFVEVHDGAAPGEYRDLPDPLRLAGDVTIRVADMPDGYLDRSNPVFWQLLEEVRRYRRADGFLVNSFAEMESTIVEEFKTAAEQGAFPPVYPVGPFVRPCSDEAGELACLEWLDRQPAGSVVFVSFGSAGMLSVEQTRELAAGLEMSGHGFLWVVRMPSHDGESYDFATDHRNDDEEDRDGGGHDDDPLAWLPDGFLERTSGRGLAVASWAPQVRVLSHPATAAFVSHCGWNSALESVSAGVPMVAWPLYAEQKVNAVILTEVAGVALRPAAARGGVDGVVTREEVAAAVEELMDPGEKGSAARRRAREMQAAAARARSPGGASHRELDEVAGKWKQTNRAPYE >ORGLA02G0092200.1 pep chromosome:AGI1.1:2:7480188:7481642:-1 gene:ORGLA02G0092200 transcript:ORGLA02G0092200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NYW6] MAEAATGATDTSLPPPPPHVVLMASPGAGHLIPLAELARRLVSDHGFAVTVVTIASLSDPATDAAELSSLPASVATAVLPPVALDDLPADIGFGSVMFELVRRSVPHLRPLVVGSPAAAIVCDFFGTPALALAAELGVPGYVFFPTSISFISVVRSVVELHDGAAAGEYRDLPDPLVLPGCAPLRHGDIPDGFRDSADPVYAYVLEEGRRYGGADGFLVNSFPEMEPGAAEAFRRDGENGAFPPVYLVGPFVRPRSDEDADESACLEWLDRQPAGSVVYVSFGSGGALSVEQTRELAAGLEMSGHSFLWVVRMPRTGGLLSSMGASYGNPMDFLPEGFVERTNGRGLAVASWAPQVRVLAHPATAAFVSHCGWNSALESVSSGVPMIAWPLHAEQKMNAAILTEVAGVALPLRPVARGGVVSREEVAAAVKELMDPGEKGSAARRRARELQAAVAARAWSPDGASRRALEEVAGKWKNAVHEDR >ORGLA02G0092100.1 pep chromosome:AGI1.1:2:7474620:7475783:-1 gene:ORGLA02G0092100 transcript:ORGLA02G0092100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVPQLRALVVGIGSTTAAIVCDFFGTPALALAAELGVPGYVFFPTSISFISVVRSVVELHDDAAAGEYRDLPDPLVLPSCAPLRHDEIPDGFQDCADPNYAYVLEEGRRYGGADGFLVNSFPEMEPGAAEAFRRDAENGAFPPVYLVGPFVRPNSNEDPDESACLEWLDRQPAGSVVYVSFGSGGALSVEQTAELAAGLEMSGHSFLWVVRMPSTGRLPYSMGAGHGNPMDFLPEGFVERTSGRGLAVASWAPQVRVLAHPATAAFVSHCGWNSTLESVSSGVPMIAWPLYAEQKMNTVILTEVAGVALRPVARGGDGGVVSRKEVAAAVKELMDPGEKGSAVRRRARELQATAAARAWSPDGASRRALEEVAGKWKNAVREDR >ORGLA02G0092000.1 pep chromosome:AGI1.1:2:7464055:7473478:1 gene:ORGLA02G0092000 transcript:ORGLA02G0092000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWSSHAEHKLGSISLTKHERNSGSPGSSHCGEGDHSLWAAQTLWCTGCLSSPIPNGFYSIIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLCAALVKGLKSNPAAMIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKSTNSMEGKLRCSSHSEPNVANSFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQHGDGVAVSRSDGASTSNMRRGRRRSISFTPEIGDDIVSAVRAMSERMRENRLSRGQNDGSPGQLNDSQKNESPDDFNDNEVHVRLPDEQEGSRRQVSNQKAVSLPSSPHRLRSDGSGLRGPAEFLTADLMSTWNKVLRSSPFLNKPLLPFEEWHIEFSEITVGTRVGIGFFGEVFRGIWNGTDVAIKLFLEQDLTTENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSLVTEYMEMGSLYYLIHASGQKGKLSWRRRLKMLRDICRGLMCMHRLKIVHRDLKSANCLVNKHWAVKLCDFGLSRVMSNSAMNDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGIPSVQVVYNVANEGARLEIPDGPLGSLIADCWAEPDKRPGCQEILTRLLDCEYTLC >ORGLA02G0091900.1 pep chromosome:AGI1.1:2:7454969:7455472:-1 gene:ORGLA02G0091900 transcript:ORGLA02G0091900.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDTCSKKSRIKDYGHFKSISHGNCWYKDDPFIFATQATKVFYLEDNKHGEPWKVVQKFSHRHLWNVPEEENDDLPAQAEHELSYQDDEQENTSFQVADLERASINEQPSNYEDGICVQASLVDQIWRERELEVEENGFEDDADETVRQYDSENEGSIHDDGEYSDFE >ORGLA02G0091800.1 pep chromosome:AGI1.1:2:7450366:7453158:-1 gene:ORGLA02G0091800 transcript:ORGLA02G0091800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKMLSGKNKPPHPPSVLPDPAATPCLPPRSKTMALGGNITHSRHPSVLPDEAAAMEMPEEEDMDLSTSRARNIMRNNRFANQLGIRKLAQIFQSSVAKKKAVGKKSKALLLGEDVAITGDCVTTGTGRASKRVLAPENLEETMRYTRQRAMKQVSTTSLIEATEVGLEPDLSANQNQGDTNNTGQGNLTLSTELEPMGLSTTQQGTLTGSRQVETRGKSMGKEINSISKGLGTMIPIIVKEGKKRLEAPMQGAKLASESGIIIRQHIPIYPSWTDYKNDSSQLDNLKGKLKGKFSIDIHSDPVIAAMEDILRCGTRQMRYRLKKYFDGVPANQVRTTSPLKCMTNEQWTKLVDMWSSPEHKDKCAKNQLNREKVQFQQRTESRSYIAHAYVV >ORGLA02G0091700.1 pep chromosome:AGI1.1:2:7442582:7445140:1 gene:ORGLA02G0091700 transcript:ORGLA02G0091700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEVLVEIVEDDELKRSIGRNQLDLNEEVMDVESEEGEVGDDEDDEDEEDDDDDDDGGSTTDVAGSRSSSNNSSTNNVSESKLKGDKDSGGGRLEGINGGEQRVPSVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHEGRQIRGAIASVFSPMDFHLMRGDRRFHDMLLQRAAALSSSRPEHGGFFSSRSGGGLPPEASRLYGLLQHRQSPAMATMQTFDFKNSSFRNQEWSFSFNHQRKETMNPSSSSSTTAAIRRWPSAAGAVADVAGERQRLAERFGYYTGNGGASSTSLPLITRAAMAAPPPMFAAAVAPPGRDHHRLPFGWHAGGGGNKNRSSSDPVVIDEALDSRRLEHQQKHVEQPRTTPTTTTTPAGKRPPEWSPPDLQLTLSPTTAPAADGGGAKRSKTSTTTTNTTAAISGEQEAEKNMDRCKNKLSISLSLSPPAAAAAAANFSSMDLSMEQQKQKQEKTTIGSSEEEAGDLGQSTLDLTMSIRALE >ORGLA02G0091600.1 pep chromosome:AGI1.1:2:7429622:7432104:-1 gene:ORGLA02G0091600 transcript:ORGLA02G0091600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMLHAILLLAVAATATSASAATAPAAARNSTSNCTVPLPDAGIVFAAFKNVTNFPLPRRPSCRPVRRLAYPSRNLAGVVGWAALGNLSGLLTVDLSGNSLEGDDCFGGGALWRAPLLRSVDVSRNRLGGALRLGASARMASLNASRNGFTSVVGVDGLAAGLVVLDVSGNRIAAVPEGLRRLTRVRRLDMSRNSMAGRFPDDLPPLDGVEFLDISDNNFSGVVNSTWVTKFGRSAFLRAGNASSLVIEDNPPASAPAPAQATMTPPSGRKKHKRVVLIVVVVVCGVVAVSAAVAFMAGCVACGFNRRKKGGKKAAAAAAWEDDEVAVGAVKVAATAPVVLVERPLMELTLADLTAATSGFGRESQLADVGGRSGAAYRAVLPGDLHVVVRVVDGAVAGVGGDDDGDVAAAAAGLRELARLRHPNILPLLGYCIAGKEKLLLYEYIEKGNLYRWLHELPASSMDMEETGADMWDTTEQNKKSIDDWPTRYHIILGIARGLAFLHQGWAGSSGRPIVHGNLVPTNVLLGDDLEPRISDYIHPMDSNNGEVAPESDVYSFGVLVFELVTGQVRWDDSTVSWARGVIRNRKSLNIVDARLREEEEEGGTRGAAKTTMTVAEREMVECLQVGFLCTAHSPEKRPSMQQVVGVLKDIRPAPPPAGGAGETP >ORGLA02G0091500.1 pep chromosome:AGI1.1:2:7412205:7413374:1 gene:ORGLA02G0091500 transcript:ORGLA02G0091500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NYV9] MAAASSTTTTAWRCCLLLALVLPMISSFAAGDDAPPLPMTPSYYSKSCPTLEAIVRGTMVSAIKAERRMGASILRLFFHDCFVQGCDASILLDDVQGFVGEKTAGPNANSIRGYEVIDKIKANVEAACPGVVSCADILALAAREGVNLLGGPSWEVPLGRRDSTTASKSEADSDLPGPSSSLADLIAAFGKKGLAPRDMTALSGAHTIGYAQCQFFRGHIYNDTNVDPLFAAERRRRCPAASGSGDSNLAPLDDMTALAFDNAYYRDLVGRRGLLHSDQELFNGGSQDERVKKYSTDPDLFAGDFVAAMIKMGKICPLTGAAGQIRKNCRVVSSS >ORGLA02G0091400.1 pep chromosome:AGI1.1:2:7399861:7401037:1 gene:ORGLA02G0091400 transcript:ORGLA02G0091400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NYV8] MASRTWHCLLLLVFFLLSDDASGQLSTSYYADSCPSVEKVVHATVASAIQAERRMGASLIRLFFHDCFVQGCDASILLDDVPATGFIGEKTAAPNNNSVRGYEVIDQIKANVEDVCPGVVSCADIVALAARDSTALLGGPSWAVPLGRCDSRTASRSEANSDLPGPGSDLTTLIARFRSKGLSPRDMTALSGSHTVGFSQCTNFRAHIYNDANIDPSFAALRRRACPAAAPNGDTNLAPLDVQTQNLFDNAYYGNLLVRRGLLHSDQVLFNGGSQDALVRQYAANPALFAADFAKAMVKMGNIGQPSDGEVRCDCRFINDS >ORGLA02G0091300.1 pep chromosome:AGI1.1:2:7382994:7384053:1 gene:ORGLA02G0091300 transcript:ORGLA02G0091300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NYV7] MASRRSSWHCCLLAFFLLSSAACGQLSTTFYAASCPTLQLVVRATVLSALLAERRMGASLVRLFFHDCFVQGCDASILLDDVPATSFVGEKTAFPNVNSVRGYDVIDQIKRSVELLCPGVVSCADIVALAARDSTALLGGPSWEVPLGRRDSTTASLSAANSDLPAPSSDLATLVARFGSKGLSPRDMTALSGAHTIGFSQCANFRDRIYNDTNIDPAFAALRRGGCPAAPGSGDTSLAPLDALTQNVFDNAYYRNLLAQRGLLHSDQVLFNGGSQDALVQQYSSNPALFAADFAAAMIKMGNIKPLTGAAGQIRRSCRAVNSS >ORGLA02G0091200.1 pep chromosome:AGI1.1:2:7361238:7361918:1 gene:ORGLA02G0091200 transcript:ORGLA02G0091200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAAGIGGGKEQLGVEGIGGDLTTKGLPAGGSVEGEPSVGISGECYVAINLEVFMGVPLSPEICS >ORGLA02G0091100.1 pep chromosome:AGI1.1:2:7268596:7269003:1 gene:ORGLA02G0091100 transcript:ORGLA02G0091100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGFEIASTTTFPTATQISFGAFDFLADSAGILRQVSSGVTGPVMACRYAPGTRFSFGSLDFIATGSGVLKLAPGEPAPPMTTPTIPLGINNFTASAAQALQAGRIGTSVLANASSSHRSGHHGSSKRRHRAP >ORGLA02G0091000.1 pep chromosome:AGI1.1:2:7264069:7264389:1 gene:ORGLA02G0091000 transcript:ORGLA02G0091000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGASGRRGDETRGRGRGRMGWIRMLTGERMRREQENAGATSASRMPAAGQARRRESRAAGEETGRIGEAEVVQRSAAVYSYAQAHEAGMFSSPKKVHLRSLSCR >ORGLA02G0090900.1 pep chromosome:AGI1.1:2:7257870:7262586:-1 gene:ORGLA02G0090900 transcript:ORGLA02G0090900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:scramblase-related [Source:Projected from Arabidopsis thaliana (AT2G04940) TAIR;Acc:AT2G04940] MRWLLRLLSHAAAAGRATARTTGSHVGGSNGFASGRGGGDGTVVPREWLRKLWTEELRKQRDVARRWGWRAVATSDGGGADSFGDFAMAPSRSYQHDDRDLTPVEAKLKPLLSRANLLIARDIEWANIMLAFEQESRYIIMDPLFPQSPVGFIREKSNVIFRQLFRSRRPFVAEITDAMGNEIFTVRRPFWLINSSIYAEVNGKEVGVVHRRWHLWRRIYDLYLGNRQFAVVENPGFWNWTFTLTDEDDNVLAQIDRNWRGIGFELFTDAGQYAIRFGDAGLNRKFGLASDIDELHVVRQLTLPERAVALALAVSLDCDYFSRRGGWGLPFLIATE >ORGLA02G0090800.1 pep chromosome:AGI1.1:2:7180898:7184029:-1 gene:ORGLA02G0090800 transcript:ORGLA02G0090800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19530) TAIR;Acc:AT5G19530] MVGAVQEGIVREMNGGFEVMSGYNRSSMAPKQQQREEESKWYEEEIDDDLKLCYALNSVLHRGASKYQEIALIDTKHFGKALIIDGKMQSTEVDEFIYHESLIHPPLLFHPNPKTVFIMGGGEGSAAREVLRHNTVHRVVMCDIDQEVVDFCRTYLTVNWDAFASDKLCLIINDARAELEKSREKFDVIVGDLADPVEGGPCYQLYTKSFYQHIVKPKLNDRGVFVTQAGPAGVLTHKEVFSSIYNTLRHVFKYVKAYTAHVPSFADTWGWVMASDYPFSMNAQQINERIKERIDGELVYLSGESLISSTILNKSVYQSLLNETHVYTEDDARFIYGHGRARCA >ORGLA02G0090700.1 pep chromosome:AGI1.1:2:7178246:7179262:1 gene:ORGLA02G0090700 transcript:ORGLA02G0090700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NYV1] MAAMSASGRRVFLLGALLLVAVSCHGGFGGVGVAEGLSTRYYAKTCPAVESVVRSVMARAVAADRRMGASVLRLFFHDCFVNGCDGSVLLDDAPPGFTGEKGAGANAGSARGFEVVDAAKARVEAACRATVSCADVLALAARDAVALLGGPTWPVRLGRKDARTASQAAANGNLPGPVSSLTSLLATFAAKGLSARDMTALSGAHTVGRARCATFRGRVNGGDANVNATFAAQLRRLCPAGTGGDGNLAPLDAETPNVFDNGYFRELTKQRGLLHSDQELFAAGGGGRSSSQDALVRKYAGNGAEFARDFAKAMVKMGNLAPAAGTPVEVRLNCRKPN >ORGLA02G0090600.1 pep chromosome:AGI1.1:2:7169499:7170671:1 gene:ORGLA02G0090600 transcript:ORGLA02G0090600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NYV0] MAVGGRGRRPLLLLLLLLAVALALAARARAQLSPGFYSASCPTVHGVVRQVMSQAVMNDTRAGAAVLRLFYHDCFVGGCDASVLLDDTPAAPGEKGVGPNAVGSTTVFDLVDTIKAQVEAVCPATVSCADVLAIAARDSVNLLGGPSWAVPLGRRDALSPSRSAVSTDLPGPEADISALVSAFAAKGLSSRDLAALSGAHTVGRASCVNFRTRVYCDANVSPAFASHQRQSCPASGGDAALAPLDSLTPDAFDNGYYRNLVAGAGLLHSDQELFNNGPVDSVVQLYSSNAAAFSSDFAASMIRLGNIGPLTGSTGEVRLNCRKVNS >ORGLA02G0090500.1 pep chromosome:AGI1.1:2:7159507:7161331:1 gene:ORGLA02G0090500 transcript:ORGLA02G0090500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NYU9] MAGAVLVRRMVVVVAIAALVAPGEVAAQLTPTYYDGSCPSLQSIVRSAMAAAVQQEPRMGASILRLFFHDCFVNGCDASVLLDDSSTITGEKNAGPNANSLRGFEVIDSIKSQVEAACPGTVSCADILAVAARDGVNLLGGPTWAVQLGRRDTRTASQSAANSNLPSPSSSAAALVSAFASKGLDSRDMVALSGAHTIGAARCATFRARVYNDTNISPGFAVRRRQVCPASGGDGNLAPLDALSSVRFDNGYFRNLMGRFGLLHSDQELFNGGPVDSIAQQYAANGAAFSRDFVTAVVKMGNISPLTGSSGEVRSNCRKPN >ORGLA02G0090400.1 pep chromosome:AGI1.1:2:7150898:7151539:-1 gene:ORGLA02G0090400 transcript:ORGLA02G0090400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKFPTKRQAKRKKHFDEQNDQNEEQQSVVESFRVNYFLVMIDVAIASLTSRFEQLKAFENVFGFLFTSKNLKSLDDTDLWQCCTNFVETFSHNNSSDVELNDFFSELKVLQATLPDALKSAPEILEFITAADCYPNVLVAYRILLTVPVTVASAERSFSKLKLLKNYLRSTMSQERLNGLAMCCIEKNVLENIDLDTVINDFASRNARRSIFFM >ORGLA02G0090300.1 pep chromosome:AGI1.1:2:7140780:7141778:-1 gene:ORGLA02G0090300 transcript:ORGLA02G0090300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFILQQMVQKEEPKDQATLIKEVSKFQGCYEQIERRHPSGIPHQELVLEAEALYSSNASKNRAFQFNHCWLKLRNSPKFQTLESHKRPRSRKSSTPIESAGEEDEEGDDARKSTTPDLLXPSAKKRPMGRKQAKEKMKNGEDGPYKEAMKDVRIYVLAMRTQIAASKVAALNGGFDGSSGFGGEFGDGNGEV >ORGLA02G0090200.1 pep chromosome:AGI1.1:2:7127438:7131344:-1 gene:ORGLA02G0090200 transcript:ORGLA02G0090200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPGGPHPTGGGAAADPMQVDQPRSAAAAAAVAPAGEKQHGASLMEGSDPVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRSMDHCNVVSLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYSNMNQRMPLIYVKLYVYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKICDFGSAKMLVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPNLRCTALEACAHSFFDELREPHARLPNGRPFPPLFNFKQELANLSPELINRLIPEHARRHCGFNFLPAAGP >ORGLA02G0090100.1 pep chromosome:AGI1.1:2:7119187:7123377:-1 gene:ORGLA02G0090100 transcript:ORGLA02G0090100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G45780) TAIR;Acc:AT5G45780] MAGPRALAVAAAVVVAAWAVAAAGDPPLSPKGLNYEVAALMAVKSRMRDEKGVMGGWDINSVDPCTWSMVACSPDGFVVSLQMANNGLAGTLSPSIGNLSHLQTMLLQNNMISGGIPPEIGKLTNLKALDLSGNQFVGEIPSSLGRLTELNYLRLDKNNLSGQIPEDVAKLPGLTFLDLSSNNLSGPVPKIYAHDYSLAGNRFLCNSSIMHGCKDLTVLTNESTISSPSKKTNSHHQLALAISLSIICATVFVLFVICWLKYCRWRLPFASADQDLEIELGHLKHFSFHELQSATDNFNSKNILGQGGFGVVYKGCLRNGALVAVKRLKDPDITGEVQFQTEVELIGLAVHRNLLRLYGFCMTSKERLLVYPYMPNGSVADRLRDYHHGKPSLDWSKRMRIAVGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAIVGDFGLAKLLDRQESHVTTAVRGTIGHIAPEYLSTGQSSEKTDVYGFGILLLELITGPKTLSNGHAQSQKGMILDWVREVKEENKLDKLVDRDLKDSFDFAELECSVDVILQCTQTNPILRPKMSEVLNALEANVTLPENGIDLNREVPPYGGSCSFSVRHEDPHDSSSFIIEPIELSGPR >ORGLA02G0090000.1 pep chromosome:AGI1.1:2:7114611:7118386:1 gene:ORGLA02G0090000 transcript:ORGLA02G0090000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:I1NYU4] MAMSSSRSAAAIRGRRVGALARARRHATRAMASLFGHVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLECVREAERRIAGNLNMEYLPMGGSIKMIEESLKLAYGEDSDFIKDKRIAAVQALSGTGACRLFADFQRRFLPNSQIYIPTPTWSNHHNIWRDAQVPQRTFTYYHPESRGLDFAGLMDDIKNAPNGSFFLLHACAHNPTGVDPTEEQWREISYQFKIKNHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCEDEMQAVSVKSQLQQIARPMYSNPPVHGALVVSIILNDPELKSLWLKEVKGMADRIIGMRKALWENLEGLGSPLSWDHITNQIGMFCYSGMTPEQVDRLTNEYHIYMTRNGRISMAGVTTGNVAYLANAIHEVTKTK >ORGLA02G0089900.1 pep chromosome:AGI1.1:2:7106122:7106550:1 gene:ORGLA02G0089900 transcript:ORGLA02G0089900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEVNAKIMVIVEDLTEEQRQQMEQFVADFQTHCLQCFIMTPEEPTQKTRFPKPIVEILQDTVDEAVHHTLFDQSGVLMSRLQDVIKKIVPEIIVQKNQLEGQAYQYRPEELRQYGHDEEYQYNNDLPDRIAKIIEEQFGI >ORGLA02G0089800.1 pep chromosome:AGI1.1:2:7098209:7099909:1 gene:ORGLA02G0089800 transcript:ORGLA02G0089800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRPRLPLLLLLLHFSLLASPCSARWRDGGGVGDLRESFLRCVARRSPATAGDPSRLVHAPGDASYPSLLDSTIQNLRFASPRTPRPALVLTPVTADEVRACVVCCRAHGLTVRARSGGHDYEGLSYRSLGRSPRFAVVDVAALRAVRVDAARGVARAEAGATLGELYYTVAEGSGGRLGFPAGICPTVCVGGHLSGGGFGPMMRKYGLAADNVVDAEVVDAEGRLLDRAAMGEGLFWAIRGGGGGSVGIVVSWTVNLVPVPAVVSAFTVHRLLLRRGGHDEQSLLRLLTKWQAVAHALPDNLFVKMSMEAKTINDGDDSTRHPLVTFKSLFLGNCSDMITQIDHHLPELGIKPTDCREMNWLQSMLYSYGYTNGQPAEVLLDRTLQPKDYYKIKLDYLTSPIPTPGLIELLTKIVEDEDGSIDIDPQGGEMSRIPESGTPYAHRSGYLYNLQYFVKWGGDKNVSYEDDHLSWVRGLHELMTPYVSKNPRAAYINYRDLDLGQNVEGNTSYEEARVWGEKYFRGNFRRLAMVKGEVDPDQLFWSEQSIPPLVVSTRDAGLVSDS >ORGLA02G0089700.1 pep chromosome:AGI1.1:2:7097390:7097629:1 gene:ORGLA02G0089700 transcript:ORGLA02G0089700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESTREVAFVVSVVNGKADLGGGEGARWWRRSSVALVRELGTGDRAGETPPGPAVTAPACLPLVPAVVRCGGDEDETM >ORGLA02G0089600.1 pep chromosome:AGI1.1:2:7085035:7095138:1 gene:ORGLA02G0089600 transcript:ORGLA02G0089600.1 gene_biotype:protein_coding transcript_biotype:protein_coding QASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >ORGLA02G0089500.1 pep chromosome:AGI1.1:2:7067887:7068144:-1 gene:ORGLA02G0089500 transcript:ORGLA02G0089500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPRGARLQLVGSRAARLFAGVPPPAPPTRTLPPRALHRPRRPHHPPRHPGLRPPPPSPSVEPRAGGLRLPRLACRGVATSSC >ORGLA02G0089400.1 pep chromosome:AGI1.1:2:7056464:7058385:1 gene:ORGLA02G0089400 transcript:ORGLA02G0089400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein C3 [Source:Projected from Arabidopsis thaliana (AT1G60430) TAIR;Acc:AT1G60430] MVYHSSFVDDTGITKACGCPLLPLKTHIRGPAPAFDQDKADIVDEAITFFRANVFFKNFNVKSPADKLLIYLTFYINIALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPGLFTLPQSQEEAELLRNYLKQIREETSGRLLNCAYRANGTPNKWWLAFAKRKFMNIVIL >ORGLA02G0089300.1 pep chromosome:AGI1.1:2:7043933:7047691:-1 gene:ORGLA02G0089300 transcript:ORGLA02G0089300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 small nuclear ribonucleoprotein A [Source:Projected from Arabidopsis thaliana (AT1G09760) TAIR;Acc:AT1G09760] MVRLTADLIWKSPHFFNAVKDRELDIRGNKILIIENLGATEDQFDTIDLSDNEIVKLENFPYLNRLGTLLVNNNRITRINPNLGEFLPKLHTLVLTNNRLTNLAEIDPLASLPKLQFLSLLDNTVTKQPDYRLYVIHKLKHLRLLDFKKVKQQERIAAAQKFHSKEAEEEAKKVPAKTFTPGQVVDAQDTTMEEQGPKVVAPTPEQITAIKAAIVNSQTLEEVARLEKALSTGQIPAEFAIPKPDANMADASEETEKMETDGQNQENGADEKKQNEESTPIEED >ORGLA02G0089200.1 pep chromosome:AGI1.1:2:7040451:7040873:-1 gene:ORGLA02G0089200 transcript:ORGLA02G0089200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCHSSPPSLVDLVSLPPSSPAGGGGGGAGAGVVQVVPLDVSEELLGKFQDTSEFGFEYEKSGLWSPLVVRPEALVLAGGGKRRRRSWRRKVFCCW >ORGLA02G0089100.1 pep chromosome:AGI1.1:2:7034722:7039363:1 gene:ORGLA02G0089100 transcript:ORGLA02G0089100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18810) TAIR;Acc:AT4G18810] MSCCAASSSSPVLANPRGGFAASCSTRRNHRVIFLGSRQFSPIIYSPARRASSRLSRREVIAFAGQQSWDIGRFVRTLYFFNGPPNPLKIVESILSSFTGSAPGEVPKKMESSDVVLVTGATGGVGRRVVDILWNKGIPVRVLARNEEKARSMLGPDVDLIIGDVTKENSLDPKYFKGIKKVVNAVSVIVGPKEGDTPDRQKYKQGIKFFEPEIKGPSPEMVEYLGMQNLINAVKNSVGLTEGKLLFGGTGNLSGKIVWGALDDVVMGGVSESTFQIQPTGSETDGPTGLFKGTVSTSNNGGFTSIRTKNFTVPEDLSAYDGIELRVKGDGRRYKLIVRTSFEWDTVGYIASFDTTKGEWQSVKLPFSSLNPVFRARTMPDAAPFDASNVTSLQLMFSKFEYDGKLNPTFTEGPFELPFSSIRAYINEPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRMNKELGSILTYKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEIAFICVAALASPNAVEKTFEVKSTVPFSEPFVVDPSNPPPEKDYDVYFKELKAGITGKEALEGTPAQV >ORGLA02G0089000.1 pep chromosome:AGI1.1:2:7031448:7033958:1 gene:ORGLA02G0089000 transcript:ORGLA02G0089000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NYT4] MPWAESLLFLLLLFSLPIPFSHSWSFDYPSPIANINSLWTNNNATIPYSATYPDGSTIRAILVRQNPTWYSPFFACGFICTAPCNDFLFAIFSVSVGDPSNPAFNTSSMPRIMWTANRSRPVKDNASLQFKDGNLILRDFDGSLVWSTNTSDSRVVGLNLAETGNMVLFDAMGKTVWESFEHPTDTLLLGQSLRQGKRLTSDSLATNWTQGQFYLTVLDNGLYAFIEADPPQLYYQRRFNITDAIVQPNMNISSDGAKNYTTYISFLKGSLSAFVSFNNTDINLFDISLPSPSSAQFMSLENDGHLRVYRWDGTSWKPQADVLHVDLDDCAYPTVCGDYGICSEGQCSCPSRNSGDEDQFFRQLDNRQPNMGCSLAIPLSCDLTQYQQLLPLPNVMYFNLGQNWTTDEYSCKEACLKACSCKAAFFKYNNVSNGSCYLMPKLFSLMNYQPEVVGYNLSAYIKVQMLPPPPRSKQLNPLVYHVGAPIIVAVICIIILIIRRIMKRKMDDDDPFKGLAGMPTRFSYKQLREATNNFSKKLGQGGFGPVYEGKLGNVKIAVKCLRDIGHGKEEFMAEVITIGSIHHINLVRLIGYCSDKFHRLLVYEHMTNGSLDKWIFRKNPRGTLSWATRYKIILDIAKGLAYLHEECRQKIAHLDIKPGNILLDDKFNAKISDFGLAKLIDRDESHVMTKIRGTRGYLAPEWLSSTITEKADIYSFGVVVLEIVSGRKNLDNNQPEASNNLINLLQEKIKVGQVLDILDNQNEEIQLHGEEIIEVIKLAVWCLQRDCSKRPAMSQVVKVLEGAIDTETSAGYDAASKDDINFDASSPLSPAPVSAR >ORGLA02G0088900.1 pep chromosome:AGI1.1:2:7025513:7027699:1 gene:ORGLA02G0088900 transcript:ORGLA02G0088900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 17 [Source:Projected from Arabidopsis thaliana (AT1G29340) TAIR;Acc:AT1G29340] XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRAVASLAASLVAGARPPSQRRNVDALARRLALLSAILESILLDTAAAGAFSDAANLCFRELYVVLFRAELLVSYVASAGRAWALLRSPHLAASFRDLDAELAVVLDVLPAASLRLSHDATGLLDLLRAHCRCRAPAQYHDPDEAALRERLMDALRQFDLGQPPDHPSLQSLLADMGIYTAASCRAEIDYLEEQILSQEEDTDLPLVGSVLALLRYCLFAVFDPSNAKALRDWPLSGNRQRLLSIGGGDDTSFSVPKEFSCPISLDLMRDPVVASTGQTYDRPSIIQWIEEGHSTCPNSGQTLADHRLVPNRALRSLISQWCGVYGLQYDSPESNEGMAECVAASCSSRAAMEANKATARILVRMLEDGSENVKAVAAKEIRLLAKTGKQNRAFIADLGAIPLLCRLLLSNDWMAQENAVTALLNLSIFEPNKGRIMEQEGCLRLIVGVLQNGWTTEAKENAAATLFSLSVVHNFKKLIMNEPGAVEELASMLTKGTSRGKKDAVMALFNLSTHPESSARMLESCAVVALIQSLRNDTVSEEAAGALALLMKQPSIVHLVGSSETVITSLVGLMRRGTPKGKENAVSALYEICRRGGSALVQRVAKIPGLNTVIQTITLNGTKRAKKKASLIVKMCQRSQMPSAMALGSTLTVVDRSLVGNNTLRRAASFGSGELSNPISISVQVP >ORGLA02G0088800.1 pep chromosome:AGI1.1:2:7007614:7011925:1 gene:ORGLA02G0088800 transcript:ORGLA02G0088800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G14860) TAIR;Acc:AT3G14860] MASLLLLLLLVLSLAAVHTDAAAFPSPADSIVRQLSSVVKWPRVPSSSSSSSHGHKQPSHPQYDGGVALQFESGYFVETLVEGDKLGVTPHTIRVSPVEGGELLAVDSAHSNIVRITPPLSEYSRGRLVAGSFQGHSGHIDGKPSDARFKRPTGVAVDDTGNVYVADTANLAIRKIGESGVTTIAGGKSNIPGYRDGPSEDAKFSADFDVVYVKKMCSLLVIDRGNAALRKIALPQEDCTYQDATLLSSDIILVIGAVVAGYIFSVVQHGFGSSTAEKIEAPEDEHQESSTVGKPPLVVESLKEEPSAGWPSLGTLIGDLLKLVIEGVGNQLLRLVPSRLQHGKRKTDLTPLKDRLVMPEDTEETPVAQKLSSTPMRPETLHGPNPVNETAPKAQKSVKSSKFRDSTLSSKHRSSKRQEYAEFYGSSETPQVSSKVPKDRLRHRHREKSGEAVYGTSHPEPKPAEVKPADYSDPKYDPYNIRSKYGADSGYRY >ORGLA02G0088700.1 pep chromosome:AGI1.1:2:7003783:7004097:-1 gene:ORGLA02G0088700 transcript:ORGLA02G0088700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAGLAFLQASAEEGAADDQRRHAMASAAAAVPWRRVLRHRPGRKEHGGGGGGGDDGDDDLDWRSWRIPPSGPSSRGHVAVDADAPGKEKKTATVGGGAP >ORGLA02G0088600.1 pep chromosome:AGI1.1:2:6994725:6999009:-1 gene:ORGLA02G0088600 transcript:ORGLA02G0088600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWNTDSDSDSDTRKKPIWRTYIFEFLSSHLLQPLAPASTCAQVLDQQLAPRHGSRHPAPPSLRDLNADPPAEDDDHIVHLHGEPLPQPPYFPEPKPPPTSTVVETVAVAVVAAAAAASPATCHYIWRLIQAIKPLLTIGQRNNRQDMFRLLHYLADCLILRIILVKWLGFGHLVNFIMENYLKRKVPDSSNNARTSCPEVNDLNWKEEIKYDPGLRKPIDAYHPNLRDRIQSEDAQKAYFTRLNGSISVARRLLKQGLPFRGHDESKDSYNKGNFLEFRDMLEEHDHALGKAVGKYGAGNSLMTSSDIQKDIVECFAKEILHSIMEELGHDVFCLLVDESRDVSYKEQMAVVLRYVDKCGFVKERFIGVVHVKETTSSYLKAAIDSLFAGFKLSLKQVRGQGYDGASNMRGCGMLQTGTGLNQEQCLQRPGDTRWSSHYKTLKSLLDMFPTIVKVLEFVEKDDKDRTNRDQANGLLVYFQSFEFVFYLHLMSTILIITNTLSLALQRKDQDIVNAVKCVKSTRCHLDDLRRDGWENLLGDVYAFCDKYDIIKLEMGEAYVNPKKQRQKTGITNQHHYEVDCFNDVIDWLLQELDSRFNETSSELLLCSAAFSPRESSHDFNVENLMRLAKLYPNDFNSGELSDLRHHLCLYNAYVREDDRFSNIQTIASPLDPAPPSLRDLNADPPAEDDDHIVHLHGEPLPQPPYFPEPNAAADLDGGLDGDAEASFPGSNPEADGRELDCDLEQDHSLFMFLDPGEVRCRKRLRASEEDDVDVGDARGAPGRCYDDDVAEDGRSTQSRYSWRPRNADDQSYTHDDDTPAAQEISGEQIAADDTYYDSYYYMDGEYGGAYDEEEHQQDDMAAADFHDGNQLSPEHQRVLDRLFGEADGSTRQEEQEAAAQGSGGEHVPPEEDSYEAAAVLAGDDVDEEQLQRQEQVDMTDGTDETF >ORGLA02G0088500.1 pep chromosome:AGI1.1:2:6985336:6989291:-1 gene:ORGLA02G0088500 transcript:ORGLA02G0088500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRGALPPRAPLRPAPGGLCGRALLRRTCCLSWPATTGGAALRLAPDAAARCLLFSSPATLGQFSVNRNFGGADLYYAEDLVVDLISALYSYQMKNSRWKPVFALETGGPSNADSQDFEDDGGFLGRTRLGRLIQAAGRELLEKLNSARSNSPTKIFLVLFGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPMSRPPGRFQSLIAMVNYWKAGEAKVRECLGDRRRRGELDAGWEWWIVEGDELLLDIICHSPLGIGALLWVWRIHTVHAAAYSQRVTIQLPTMGLESGDATSGGDLRSRAKDDSYHNPQAPCKEEVGAVTGQRRRWW >ORGLA02G0088400.1 pep chromosome:AGI1.1:2:6969685:6972752:-1 gene:ORGLA02G0088400 transcript:ORGLA02G0088400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGGGGGRVPTWRERENNRRRERRRRAIAAKIYAGLRAYGNYTLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKYWSLDRIEAAHVKKGLVKEAIMETKGCKPPASELADQLGRSPSASPCSSYQPSPRGTSSFPSSGSSSQITLGGGGGEGSSLIPWLKTLSSAGVGIGGGSSSKFPAHYSYFGGGSISAPVTPPSGSPPRTPRLKTAAWEEYHHHHAGSVLPPWATVGASYAYAASSSLPNSTPPSPRRKVAAAAAAGGGGNDAAAWLAGFQISSAGPSSPTYSLVAPPPNPFGAAAAAAGSSSRVMSGACSPVAGGDVQMADAARREFAFGGEGGKMTGLVKAWEGERIHEECGSDDLELTLGSSMTRGDR >ORGLA02G0088300.1 pep chromosome:AGI1.1:2:6963611:6967958:1 gene:ORGLA02G0088300 transcript:ORGLA02G0088300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAERPYYPARPTDGGSGQPAGCDSPVRWDDDDEDHGGGGGDGMTAVAGFNLFEEESDDPPAKNGVVDDPDTTTTSDCNTDMSEDLVKREEGMNFHCDPPLHEHTGIWVPVSVPPMTKRDHEEWHKGFGHNGDYFPEDEFNWEIDEENKEMTMWDVFSEMVVAAKDKVISVASYDLGRRGMSMLSKFFFQEAWKDMAQTLADANAGIANELLETERTIWLPDSAASACMLCNVRFHPIMCSRHHCRFCGGVFCGGCSKGRSLMPPKFNTSEPQRVCDVCGVRLESIQPYLMNRISRASQPPTNDVTDLSTLRSWLNFPYAHTMEYEIYKAANSLNSYCKVGRLKPEKAIPYTILKQAKGLAIITVAKVGMMVAYKVGTGLVIARRADGSWSPPSAIATCGIGYGAQAGGELADFIIVLRNTEAVKTFSGKAHLSVGAGVSASVGHVGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSLVSTRDTENARFYGGPIKAPDILMGSMARPPAAAALYKVLSELFDNPEKQSL >ORGLA02G0088200.1 pep chromosome:AGI1.1:2:6933191:6935738:-1 gene:ORGLA02G0088200 transcript:ORGLA02G0088200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDNNSQTTNGGSGHEQRAMEEGRKQEEFAADGQGCGLAFSVPFIQKIIAEIFGTYFLIFAGCGAVTINQSKNGQITFPGVAIVWGLAVMVMVYAVGHISGAHFNPAVTLAFATCRRFPWRQVPAYAAAQMLGATLAAGTLRLMFGGRHEHFPGTLPAGSDVQSLVLEFIITFYLMFVISGVATDNRAIGELAGLAVGATILLNVLIAGPISGASMNPARSLGPAMIGGEYRSIWVYIVGPVAGAVAGAWAYNIIRFTNKPLREITKSGSFLKSMNRMNSST >ORGLA02G0088100.1 pep chromosome:AGI1.1:2:6918830:6930369:1 gene:ORGLA02G0088100 transcript:ORGLA02G0088100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSRLFLLLLLPLLLLLLGAGCRDGRRCAAAAAQTQRLPQQEVEALKGIARKLNKMDWDFSVDPCTGSKTWVNASDSNSYPKSSYPNFPVSNLTCDCSFKNNTECHVISLELMRQNLSGVLPEEVVNLTYLTNLDLSRNFIQGPIPASWASLPVFNLSLQGNRISGTVPKELGRMPFLKSINLEGNQLEGHIPPEFGNIISLERFFISANDITGELPSTFSRLTNMTDFRIDGTNISGRIPSFIKNWQRVNRIDMQGTLMSGPIPPEISLLNNLTELRVTDLSGPSMKFPPLQNAQHLTKVVLRNCSIYGEIPPYLGQMQYLILMDLSFNKLTGQIPQNFDRMVALQLQYLTDNMLTGDLPGWMLKNKASNKVNMDVSFNNFTGNPPNECQQANVNMVSSFSSSNDNLLQPCLRKNLPCMDKPRYSSLFINCGGKSVEVNGNIYEDDSSRIGTSTFVLSNDRKWAYSSTGDFVGNPDADYIARNTSELTLDHPELYTEARLSPLSLKYYGVCMENGEYSVELHFAEIVFTEDHTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKTFTTNITDNTLEIHFYWAGKGTTGVPDRGVYGPLISAISVIQLNRNHHGISTGLMITIIVAACLIVILALILCYIKFFRKKNLKGNVCITGLQFFYHGRKTDNSDLQTRTQYFFSLKEIESATKNFDPANKIGEGGFGPVYKGTLANGTTVAVKKLSSQSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGEQLLLIYEFLENNSLGRALFGRGDHQLKLDWPTRYNICLGTAKGLCYLHEESTLKIIHRDIKPSNILLDERLQPKISDFGLAKLNDDRGRMSTRIAGTVGYMAPEYATRGCLTCKADVYSFGVVTLEIVSGMSNTSSMSDDEYLHLLDWAERLKQEGRLLEIVDQRLGSHYSQEEALRMLNVALLCTNTSPVQRPRMSSVVSMLCGQAPLEVVPDEDLSGYIRPSYSQSNQSMNNSLTEWSYAPSSDPSILLQNSMESGYLPSSSSPSSKL >ORGLA02G0088000.1 pep chromosome:AGI1.1:2:6908668:6914728:1 gene:ORGLA02G0088000 transcript:ORGLA02G0088000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:I1NYS4] MDRARLAVLSAHLASPAAACGEADAAGPLERSAASAGARGGALAVVDGRTGKRYEVKVSDEGTVRATDFKKITTGKDDKGLKIYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEELAESSSFVEVAYLLMYGSLPTQSQLAGWEFAISQHSAVPQGLLDIIQAMPHDAHPMGVLASAMSTLSVFHPDANPALRGQDLYKSKQVRDKQIVRVLGKAPTIAAAAYLRLAGRPPILPTNSLSYSENFLYMLDSLGDKEYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVFTALSGAVGALYGPLHGGANEAVLKMLNEIGSVENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAVALEKAALSDDYFVKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGWLAHWKESLDDPDTKIMRPQQVYTGVWLRHYTPVRERVPASQGEQLGQIATSNATRRRRAGSAL >ORGLA02G0087900.1 pep chromosome:AGI1.1:2:6902771:6904351:1 gene:ORGLA02G0087900 transcript:ORGLA02G0087900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLATGRVIGDVLDPFISTVDLTVMYGDDGMPVISGVELRAPAVAEKPVVEVGGDDLRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDATYGREVVCYESPNPTTGIHRMVLVLFRQLGRETVYAPAVRHNFTTRAFARRYNLGAPVAAVYFNCQRQAGSGGRRFTGPYTSRRRQA >ORGLA02G0087800.1 pep chromosome:AGI1.1:2:6884197:6886122:-1 gene:ORGLA02G0087800 transcript:ORGLA02G0087800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53490) TAIR;Acc:AT1G53490] MKCNACWRELEGQAVSTTCGHLLCTEDAKKILSNDAACPICDQVLSKSHMRPVDTNPNDDWTNMSMAGVSPQILMKSAYRSVMFYIGQKELEMQYKMNRIVGQCRQKCELMQAKFTEKLEEVHTAYQKMAKKCQLMEQEVENLSRDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESAKRSAIQPANNYFPRAQPDLFSGMPNIMDSSDPLRQGLAGLPETPGRRDEGWAPPPRQRRSTSGPFELSAGSPAHNAAPPVDIRPRQPARPVFGTAMNNTSAALRNMIISPVKRPQLSRNRPHMFT >ORGLA02G0087700.1 pep chromosome:AGI1.1:2:6880028:6881016:1 gene:ORGLA02G0087700 transcript:ORGLA02G0087700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTAEGGGGVAPFVAKTYRMVDDPATDGVIAWGRDSNSFVVADPFAFSQTLLPAHFKHSNFSSFVRQLNTYGFRKVDPDRWEFAHVSFLRGQTHLLRRIVRRSSGGGGAKRKEEAGGCGGGGEAAAGDVDEESAVVAMEVARLRREQREIEGRVAAMWRRVQETERRPKQMLAFLVKVVGDPQVLRRLVDRDNTNAAAAASNADDAAVHHQVKRPRLLLDSSSTTTTHGDRHLVTAAADGFYAGGCGPEAAAAAAFVPDDAVDFTGLYTGGDGFGNAVVDAGVDYPPAYAFPVVDSGY >ORGLA02G0087600.1 pep chromosome:AGI1.1:2:6849956:6853621:-1 gene:ORGLA02G0087600 transcript:ORGLA02G0087600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAALVAVAAILFFSTLTALADEREALLCLKSHLSSPNGSAFSTWSNTISPDFCTWRGVTCSSKLQERPRVVVALDMEAGGLTGEIPPCISNLSSLARIHLPNNGLSGGLTFTADVARLQYLNLSFNAISGEIPRGLGTLPNLSSLDLTSNNLHGRIPPLLGSSSALESVGLADNYLTGEIPLFLANASSLRYLSLKNNSLYGSIPAALFNSSTIREIYLRKNNLSGAIPPVKMFTSRITNLDLTTNSLSGGIPPSLANLSSLTAFLAAQNQLQGSIPDFSKLSALQYLDLSYNNLSGTVNPSIYNMSSISFLGLANNNLEGMMPPDIGSTLPNIQVLMMSNNHFVGEIPKSLANASNMQFLYLANNSLRGVIPSFSLMTDLQVVMLYSNQLEAGDWAFLSSLKNCSNLLKLHFGENNLRGDMPSSVADLPKTLTSLALPSNYISGTIPLEIGNLSSMSLLYLDNNLLTGSIPHTLGQLNNLVVLSLSQNKFSGEIPQSIGNLNQLAELYLSENQLSGRIPTTLARCQQLLALNLSSNALTGSISGDMFVKLNQLSWLLDLSHNQFISSIPLEFGSLINLASLNISHNRLTGRIPSTLGSCVRLESLRVAGNLLEGSIPQSLANLRGTKVLDFSANNLSGAIPDFFGTFTSLQYLNMSYNNFEGPIPVGGIFSDRDKVFVQGNPHLCTNVPMDELTVCSASASKRKHKLVIPMLAVFSSIVLLSSILGLYLLIVNVFLKRKGKSNEHIDHSYMELKKLTYSDVSKATNNFSAANIVGSGHFGTVYRGILDTEDTMVAVKVFKLDQCGALDSFMAECKALKNIRHRNLVKVLTACSTYDPMGSEFKALVFEYMANGSLESRLHTRFDPCGDLSLGERISIAFDIASALEYLHNQCIPPVVHCDLKPSNVLFNHDNVACVCDFGLARSIREYSSGTQSISRSMAGPRGSIGYIAPEYGMGSQISTEGDVYSYGIILLEMLTGRHPTNEIFTDGFTLRMYVNASLSQIKDILDPRLIPEMTEQPSNHTLQLHEHKTALPNLSSEVS >ORGLA02G0087500.1 pep chromosome:AGI1.1:2:6847706:6848981:1 gene:ORGLA02G0087500 transcript:ORGLA02G0087500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEDLTDSEEDEDDDMMFFILPTLYLVSTGSEEPCHTSKFSGAEWICEVLEDDRGEGYAKFRMEPQILQKFSDFLRSKNLLRNTRGVSVEEQIGMFIYMLSRNASFQKMSDRFEHSRETIHRHIKACFDAITSLTDEFVKHPSTETHWKISSDPQYGPYFKNCIGAIDGVHVPITISDYEAAPYRNIEDSLSQNVMLACDFDLNFVHVCSGHEGSASDAAVLYAAVECGFEVPSNKYYLVDRGYANTPSFLAPYREAAYHIEEQSNCQPSDYKELFNLRHSKLRNNIKRATALLKMRFPILNVATFYQIETQAKIPAAAVVLHNIMQGQRDDDDDDDGWAFNQAMPVSSRRTVVLPSGDDAYGNDVEELNNQCSMGDALRDYIAKKMWTDYERNRR >ORGLA02G0087400.1 pep chromosome:AGI1.1:2:6844046:6844477:-1 gene:ORGLA02G0087400 transcript:ORGLA02G0087400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRPSRLRLVSVSSSSWLSSPPARRRRRQGSSTASVAPTGGSPRRRRRRSCTTPGGRRPSPSTSATPSVTNQRQFHLIVVNSSSISSSLILHSHSHPWRVVAEFEYRNDSVIKVSKAGYYHCNETAGVDAGDAPVPGDGARVFYL >ORGLA02G0087300.1 pep chromosome:AGI1.1:2:6799453:6799890:1 gene:ORGLA02G0087300 transcript:ORGLA02G0087300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGWVQPWRRVAGDGVSGRGAIGCGRQWQAHQRGRIEAVTAAEAREATTAGATAALAWPVASAVTVDGTTMAASTPEWPAVVGCEEGNEAFRRAVAAQREVRPVEAEPSKAAHVKTGRQGAPVRWCPHIDISLNGGGATVHLW >ORGLA02G0087200.1 pep chromosome:AGI1.1:2:6785032:6785262:1 gene:ORGLA02G0087200 transcript:ORGLA02G0087200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGVQIRRPPTSRQPVRQPPTSMPANPLARPPRKSREERRGGEGAVVTVSSIVVVAPPMGGNIEGLLTKEMETE >ORGLA02G0087100.1 pep chromosome:AGI1.1:2:6769085:6769828:1 gene:ORGLA02G0087100 transcript:ORGLA02G0087100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELEVCSPASSGGASPPESPVEGRRGGGGGEKRGRSGDGGRHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPAAVLNFPDMAASLPRPASASPRDVQAAAARAAAMEPPQPPPSSLTVQADSAAAAFLTPKNGGVSGAGAADDELEAIVELPRLDELDAAELVFGAAFQDTAAEHPWCDPVWIDGGYAAAAAAAAAAAAHDDLFGLDADHHGWAQSVGALLWNL >ORGLA02G0087000.1 pep chromosome:AGI1.1:2:6753750:6754743:1 gene:ORGLA02G0087000 transcript:ORGLA02G0087000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENRKGEEEKAEELPPXEEEEEEEEGGGEEKGKDEAAAGDYLRAREGLPRGSLNGLFAPEMTSSDIKPKLPDRLPGALDGPPSRTQAFRSVWAEVVCTGIFSKTK >ORGLA02G0086900.1 pep chromosome:AGI1.1:2:6738633:6739534:-1 gene:ORGLA02G0086900 transcript:ORGLA02G0086900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPPSAGGGGGGGKPDRKTTERIRREQMNKLYSHLDSLVRSAPPAVNSIPSHSNSNSKYHQRKLRILGGAAAATTRPDRLGVAAEYIRQTQERVDMLREKKRELTGGGGGSSSSSGAGAATAAAPEVEVQHLGSGLHAILFTGAPPTDGASFHRAVRAVEDAGGQVQNAHFSVAGAKAVYTIHAMVNWRWIWRH >ORGLA02G0086800.1 pep chromosome:AGI1.1:2:6731382:6732434:-1 gene:ORGLA02G0086800 transcript:ORGLA02G0086800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVLLISAVLVGLLSLSSCRSLGELSEQKTYSSTTQYGGSPTPSYGSDGGYKPTPTPTPAYGSTPTPSYGTTPTPSYGTTPTPSYGTTPSTPSTPDVPEVPTKHDFCGSCDYWKNHPDVIISAIGSLGDIGKTLGTACSLITGKKLENLHDALSNTGTDGTGALLREGAAAYLNSIVNKKFPFTTQQVKDCIVVAMTSDGAASSQAEIFKKANDYHY >ORGLA02G0086700.1 pep chromosome:AGI1.1:2:6728819:6729877:1 gene:ORGLA02G0086700 transcript:ORGLA02G0086700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLAGIPQLRRPAALIASCCALVLLATVLLLPRAPPAAPEQLASAAAAAAVRLDARVERRSGNEVLWQLPPPTTPPRAAVFVAPGCTIRATDFFDASPGCPRCAGLPEERRFTREALRRGYAVLAVSSRAECWSLDTGDGGELAAVESIIEWWVKERHPNQLAGLPLVGIGASSGGYFLSALAARVRFSSVAIMIAEGVFATMEEIPARYPPALFVQMPKDGERAREVAASMGKLRGSRVSVREIQCGEFAVSAQFLAARIPGLTLAVADGLVDVLRRKGFVDEKGFLKNDGRSTPWKKAAEEAKILPEEFRLERHVTEELNLAYAYHEFTSLKNGEIFDWFESHMDHKS >ORGLA02G0086600.1 pep chromosome:AGI1.1:2:6723771:6726224:1 gene:ORGLA02G0086600 transcript:ORGLA02G0086600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT5G19680) TAIR;Acc:AT5G19680] MASGDPVDAPAAAAEPMEVEADQCLDLTSCQLHDLSEVEIPPTLEELDLTANRLAAVDPRIGRLPGLRKLSFRQNLLDDAAVAPLSTWADIAGLQELVFRDNKLTTIPDASIFKGLLVFDVSFNEISSLKGLSKVSSTLKELYVSKNEVAKMEELEHFHALQILELGSNRLRVMENLETLANLQELWLGRNRIKTINLCSLKAIKKLSLQSNRLTSMNGLQECIALEELYLSHNGIQKMEGLSTLQNLRVLDVSSNKLTAIEDVETLSRLEDLWLNDNQIPSLDGIESALASSREKLTTIYLERNPCAKAPNYSSTLKTIFPNLEQLDSDMLA >ORGLA02G0086500.1 pep chromosome:AGI1.1:2:6721588:6721930:-1 gene:ORGLA02G0086500 transcript:ORGLA02G0086500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRSFSRPAAAFLRSAAGRSPAASLPRAVAPVTRAASMGRLVGLARSLQPLHSAVAEARLTSRLGAEVARAVSQGTLCSSFPGV >ORGLA02G0086400.1 pep chromosome:AGI1.1:2:6717689:6718255:1 gene:ORGLA02G0086400 transcript:ORGLA02G0086400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGEASSAVVAAVAPFLATFIGNHHLGHGGDGHGHKEMELLATTTGGGGGGGESIPAEEQQQVVEEAECECCGMSEECTAAYAGAVRRRFSGRWVCGLCAEAVAEEAGKKKGGEREAALAAHMAVCRRFNGFGRTHPALFQADAMRHILRKLSAAAAPGSPKPTNTSRRHLTTERGPSNPPAACSSA >ORGLA02G0086300.1 pep chromosome:AGI1.1:2:6708900:6709787:1 gene:ORGLA02G0086300 transcript:ORGLA02G0086300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGGGKVVAAEPGVARRLWRVVRAVLYMLRRGLQAPSGRKLAMDLHLLLRRGKIAGKALGHLVTFHHHHHNHGHGFSASAAAAGSSSLSCRGIDPALAVYEPSRGRRREVEFSCSNTPSSTTGGGGGGGLLGRRRRNRHHRRDDYEFSNDAGAGGSGYYDHGYDAAYVARVFEMLNDSEHLFNDDDAAVAVAPATAETTPLWTPARSHHSHSPAPAAPSRHRGRTTDSPFAASNGDEAGGGAQQQVDRKADEFIRRFYEQLRAQRSVAATPDYYGASPYAGRRAPRPVAAGIA >ORGLA02G0086200.1 pep chromosome:AGI1.1:2:6708082:6708339:1 gene:ORGLA02G0086200 transcript:ORGLA02G0086200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLPEVVMRVRGVGFVGNEAGGLTMASESFSGEVAMAPFGWAFLARCGHTVRPVDKLSRHESQEILSLTREVDGQQWHRVVCG >ORGLA02G0086100.1 pep chromosome:AGI1.1:2:6689053:6693515:-1 gene:ORGLA02G0086100 transcript:ORGLA02G0086100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNAAAAVASPDPSRRREDVVGWLLALFPDLPLPPPPEATDEDLRAALATGRLLCALLRRLCPGALLDDASTDNVGRFRAAVERMGVAKFSASDLERGQMTAVVNCILALKDRFGLRGGDDHRNPGFLTRCDSEGGRKRVESKLQRMLTSPIMSGIPGVDKLTIATDFVMVFQLKQGGYADQLGGKYSDLLKSTSLDNAPTQSLLGVFNSILDESIERKNGQIPYRIACLLRKVILEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEVLAGGMEKDKFGDKGQLAVEDMERLMKYQDDVVRLMKENEDLVRLLREKEDMVRLLKEKEDMVRLLKEKEGMINLKTVKAEETQRIEDEEYRIIKEKDDALDRLVKEKEEMIRLLKEKDDVVRLMKEKEDLLNLEKGEVEGTTKMTDDNKDRLIKEKNDVVLRLTEEKEEMVRLLKEKEDIIRLMKEKEDMVYLEKGEVEDRKQMTDDIKDKLIKEKDDIVFRLTKEKEEIIKLLEEKEDIIILMKEKEDMVNLGKGEDEDRKQMADDNKDRLIKEKDDIVVRLTKEKEEIIKEKDDIVVRLTKEKEEIIKLLEEKEDIISLMKQKEDMFMSIKEKENKAELKKIADEDAARSIKDKAEIMRLMKEKEDGDNTILKLKKESETLRSSYEESCRLLESKKEDVARLLTDKENNDSIISELKKELEETKRLHEAHSQQLETKAAQVSKELEQRIEEVKLMLDDSTKRRIELEELSETRIQFWKKKEVVINQFVSLQVQNVQDLKLSSVSVRHEILNCQNKWSEELAGLGKSLKVVTNTAEKYHGALAENRKLFNEIQELKGNIRVYCRIRPFRPGEDDKSSSVEYIGDNGELVLSNPTKQGKEGGKNFTFNKVFGPITTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRRDTITYELGVQMIEIYNEQIRDLLGSGGVQKKYPFPPDLH >ORGLA02G0086000.1 pep chromosome:AGI1.1:2:6687126:6688811:-1 gene:ORGLA02G0086000 transcript:ORGLA02G0086000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVGMQKPXCLCRSTQMFHLTQRLXVLXNLRNECLGWNLVLLGAIRRGRREKMSKNXWISFHFXKIPFQRRTRKSTGFKYSIVAPDXSQRDKLIPYXSIRPLPRALHPXGRAQVLAVGQLLILITSPTPVTGNLKLGPCSPLILRFLALRMSTRMEGXAMLQMVFPWVQKLIVQXAMXQIKSKRKHPTLLRKSGXLGRXTVSRSLHCRKLASHQACDLNQEILPQLDLQVKDTIY >ORGLA02G0085900.1 pep chromosome:AGI1.1:2:6681666:6685653:1 gene:ORGLA02G0085900 transcript:ORGLA02G0085900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALVAIAASIGNLLQGWDNATIAGAVLYIKKEFKLESEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSILYFLSSLIMLWSPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPSEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRGREDVSGEMALLVEGLEVGADTSIEEYIIGPAIEPADEHVVDGDKDQITLYGPEEGQSWIARPSKGPSILGSVLSLTSRHGSMVNQSVPLMDPIVTLFGSVHENMPHAGGSMRSTLFPNFGSMFSVTDQHPKVDQWDEENLHRDDEEYASDGAGGDYEDNVHSPLLSRQTTSAEGKDIAHHAHRGSALSMRRRSLLEEGGEAVSSTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHQEEVPGSRRGSVISLPGGGDAPEGSEFIHAAALVSQPALYSKDIIEQRMSGPAMIHPSEAAAKGSSWKDLFEPGVRRALLVGVGIQILQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDISGRRFLLLGTIPVLIVSLVVLVVSNVIDLGTVAHAALSTISVIIYFCCFVMGFGPIPNILCAEIFPTRVRGICIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCSIAFVFVFLKVPETKGMPLEVITEFFAVGAKQMQATKA >ORGLA02G0085800.1 pep chromosome:AGI1.1:2:6670309:6670805:1 gene:ORGLA02G0085800 transcript:ORGLA02G0085800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRAPSLSTWTRRCCHHVVTAWRPGSAPLWPRRRHSQAQQMRKKMEMDGKSDGIGMIPIL >ORGLA02G0085700.1 pep chromosome:AGI1.1:2:6668244:6668444:1 gene:ORGLA02G0085700 transcript:ORGLA02G0085700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAVTGATLFAVGVMLCPFPALVPSCRNLTAVLPELWDLLAAVYFHIRDELHQLLVRRSPPHDDG >ORGLA02G0085600.1 pep chromosome:AGI1.1:2:6666184:6667566:-1 gene:ORGLA02G0085600 transcript:ORGLA02G0085600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24 [Source:UniProtKB/TrEMBL;Acc:I1NYQ0] MADTKTAPAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKADLKEKLAKLYEVKDSNCIFVFKFRTHFGGGKSTGFGLIYDNLDAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >ORGLA02G0085500.1 pep chromosome:AGI1.1:2:6652855:6655870:-1 gene:ORGLA02G0085500 transcript:ORGLA02G0085500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1NYP9] VGEHEEDQQRPSRRKLDGGAKRSPSPWRXTGGGGEDAGAQPWAPRRRMASGVQGQGDDDEALHCSSCCCCCXXWXQELHALPGLLHTRLPLARQGKQNKGSPEEEEAHPPPATGNNALASNNNGCFQTRSPSTPVVGWPPVRTFRRNLATSSKASLELQNGKKAAKAEEIKRAPFIKINMDGVPIGRKINLNAFDSYEKLSLAVDKLFRGLLAAQRDPLAAGAKDCQQEDVAISGLLDGTGEYTLVYEDYEGDKVLVGDVPWGMFVSSVKRLRVLKTSDLSSSLITSGRKRTAAEC >ORGLA02G0085400.1 pep chromosome:AGI1.1:2:6644347:6644710:1 gene:ORGLA02G0085400 transcript:ORGLA02G0085400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTAAGSGSGRRARCGLGTGGGGLPSSTARRIPPLQCRGGRGGSAASGGAARRRFSAAADGSGDSVRRGDGSGGGLGFRGFLDFIFFVCRIYFCMRPV >ORGLA02G0085300.1 pep chromosome:AGI1.1:2:6629193:6632365:-1 gene:ORGLA02G0085300 transcript:ORGLA02G0085300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYNILILFLLLLLFSLSTAQPSADEQKLLLAIKQDWDNPAPLSSWSSTGNWIGVISSSTGQVTGLSLPSLHIARPIPASVCSLKNLTYIDLSCNNLTGDFPMVLYGCSALEFLDLSNNQLSGRLPDRINRLSLGMQHLNLSSNAFTGDVPSAIARFSKLKSLVLDTNRFNGNYPGAAIGGLVELETLTLASNPFEPGPVPKEFGKLTKLKMLWLSWMNLTGTIPDDLSSLTELTLLDLSQNKMQGQIPEWVLKHQKLENLYLYASNLSGEIGPNITALNLQELDLSMNKFSGSIPEDIANLKKLRLLYLYYNNLTGPIPAGVGMLPNLTDIRLFNNKLSGPLPAELGKHSELGNFEVSNNNLSGELPDTLCFNKKLFDIVVFNNSFSGVFPTNLGDCKTINNIMAYNNHFVGDFPKKIWSFELLTNVMIYNNNFTGTLPSEISFNISRIEMENNRFSGALPSTAVGLKSFTAENNQFSGELPADMSRLANLTELNLAGNQLSGSIPPSIKSLTSLTSLNLSRNQISGEIPAAVGWMGLYILDLSDNNLTGDIPQDFSNLHLNFLNLSSNQLSGEVPETLQNGAYDRSFLGNHGLCATVNTNMNLPACPHQSHNKSSTNLIIVFSVLTGVVFIGAVAIWLLIIRHQKRQQDLAGWKMTPFRTLHFSECDVLGNLHEENVIGSGGSGKVYRINIGGKGSAGMVVAVKRLWRTAAKSDAKSDKEFDAEVRILGEVRHINIIDLLCCISGDDTKLLVYEYMENGSLDRWLHRRDDGGAPTAPLQWPTRLCIAIDAARGLSYMHHECAQPIMHRDVKSSNILLDPAFRAKIADFGLARILAKSGEPNSISAIGGTFGYMAPEYGCRAKVNEKVDVYAFGVVLLELTTGRVANDGGADWCLAEWAWRRYKAGGDLHDVVDEAIQDRAAFLEDAVAVFLLGMICTGDDPASRPTMKEVLEQLVQYDRTSSVAAACRDDSGGAPSFSKGKKDGKGKSSAAGTTARKMWGAGTGDEESGSFVAHPI >ORGLA02G0085200.1 pep chromosome:AGI1.1:2:6611562:6613175:-1 gene:ORGLA02G0085200 transcript:ORGLA02G0085200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKHVVDSRLLFLLLLIIGCAAVPAVQCRSNGDMLGEKINIPPGPLCAKRPDLCKNCWCCEVSDGQCYQSLEACQVNCPLPSPPAI >ORGLA02G0085100.1 pep chromosome:AGI1.1:2:6604724:6608010:-1 gene:ORGLA02G0085100 transcript:ORGLA02G0085100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTNNLLLLLLLLFFSGSSTELNDGDELQTLLTIKRDWGSPAAFSSWEVRSSISFGYCDWVGVVCTDGEVTSLSFPSFQIANPIPTSICSLKNLQYLDLSYNNLTGDFPTVLYGCSALVYLDLSNNELSGRLYGDIDKLSLGMLHLNLSSNAFVGDVPLAIEKFSKLKSLVLDSNSFNGNYPGAAIGGLVELETLTLANNPFEPSPVPKEFGNLTKLKLLWLSWMNMTGTIPNDMSSLTELTLLDLSQNKMQGQIPEWVLKHHKLENLYLYASNLSGEISPNITALNLQELDLSMNKLSGSIPEDIANLKKLRLLYLYYNNLTGPIPAGVGMMPNLTDIRLFNNKLSGPLPTELGKHSELGNFEVSNNNLSGELPDTLCFNKKLYDIVVFNNSFSGVFPANLGDCETVNNIMAYNNHFVGDFPKKIWSFELLTNVMIYNNNFTGTLPSEISFNISRIEMENNRFSGALPSAAVGLKSFTAENNQFSGELPTDMSRLANLTELNLAGNQLSGSIPPSIKSLTGLTSLNLSRNRISGEIPAAVGWMGLYILDLSSNQLSGEVPAALQTLAYEDSFLDNPSLCCQSESGMHIRTCPWSQSMSHDHLALSKIAILVILPCITLASVAITGWLLLLRRKKGPQDVTSWKMTQFRTIDFTEHDIVSNISECNVIGRGGSGKVYRIHLGGDIKAGRHGGGCTPRTVAVKRIGNTSKLDTNLDKEFESEVRTLGDLRHSNIVDLLCCISSQETKLLVYEHMENGSLDQWLHRYKRAGKSGPLDWPTRVAIAIDVARGLSYMHEEFVQPVIHRDVKCSNILLDREFRAKIADFGLARILAKSGESESASAVCGTFGYIAPEYVYRSKVSVKVDVYSFGVVLLELATGRGPQDGGTESGSCLAKWASKRYNNGGPVADLVDGEIQDPSYLDDMVAVFELGVVCTSEEPASRPPMSDVLHRLMQFDHSGTHSDGVVAKGVFDIDDSSDCIV >ORGLA02G0085000.1 pep chromosome:AGI1.1:2:6565338:6569532:-1 gene:ORGLA02G0085000 transcript:ORGLA02G0085000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSKAVGFGKPWPQEEQIRWSRPPGDWIRRSYGEKARRRRGNGEEESAPPGRELGGSKEERAPLWRKRGGAAMSPGETLEERSEERLKNLTSIDLSYNNLTGDFPTVLYACSALELLDLSNNQLSGRLPDDIDKLSSEMQHLNLSNNVVPISVIAGGVVIFAVGCVDAGLATTTXLLXFXVIKWRIIXSTTLWTXTGSSVAQAQRQETHCTVWGLLTTAATPTGDXLXRRCRTRPGVWTPNSRTGAKRRRPRSAXSSTPTSLSCXATFGGTTXSXFCTRTWRTAAWTSGYTTTRRPARGACARRWAGLAIVIDVAGAILYMHHGCRRPIIHGDIKPANILLDGNFKAKISGFSYARINLAGRNTLLGNVRLHAGAFGYFAPEYTDTEVSEKVDVYSFGVVLLEIVTGKVVNDQHGHLTTWAKAYLNTWARHGYDDKLFTDDVLDNDIASDAARYLKEMKAVFKLGVECTMMDPQRRPSMQTVLRRLRQCARWWRRFPCFV >ORGLA02G0084900.1 pep chromosome:AGI1.1:2:6555408:6560109:1 gene:ORGLA02G0084900 transcript:ORGLA02G0084900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFVAVRGKPRSSPKRSGGGGADPPLSLAMPTVADLHKTAELEKFLVEAGLYEGEEESAKREEVLREIDQIVKEWVKKVTIQKGYSEQMVKEANAVLFTFGSYRLGVHGPGADIDALCIGPSYVKREEEFFVMLYGALSEMEEVTELQPVPDAHVPVMKFKFRGLPIDLLYASVSLPVIPPDFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNAEIFRKTLRCLKYWAQRRGVYSNVTGLLGGVSWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENDDNLGFAVWDPRKNPRDRSHVMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIELNKASWSSLFEPFQFFEAYTRYLVVDIVADDDDDLRLWKGWIESRLRQLTLKIERDTKGMLQCHPNPCEYADPSIQCAHCAFYMGLSRKEGMKIRGQKFDIRGTVDEFMHEIGMYTQWKSGMDLAVTHVRKKEIPLYVFEQGCQKTRPPTPICAEQQDQSDKNDSEVCTTTASLVGQLKRKYHSVGGADVDSFKSVRRASVSPACEEASIQLHDDANFGLTNCSTSPHGSEGSTVSGNSCAAVGTIGLVDETSLPGCLMPNVENDPIRTILEQAPSESVVEKDERKLEGIESLASSNCTEFMEVAEVVAGTILTENGDIRLSGHEVI >ORGLA02G0084800.1 pep chromosome:AGI1.1:2:6550297:6552434:-1 gene:ORGLA02G0084800 transcript:ORGLA02G0084800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:early nodulin-related [Source:Projected from Arabidopsis thaliana (AT5G25940) TAIR;Acc:AT5G25940] MAARSFQARSPKEESDAAVHEAVTQGLKNAAISGTVVAVPTLVGCRVLPWAKANLNYTAQALIISAACIAGFFITADKAILRNARQNTIGKIDRST >ORGLA02G0084700.1 pep chromosome:AGI1.1:2:6546997:6548246:-1 gene:ORGLA02G0084700 transcript:ORGLA02G0084700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMGKRGELNLDTCLCLPKSGVQKYTGDKQPFAPGRMREACAPVPPGAHEEACIEQSDDRSEDGSFSGSSIGSSCSSASDLSDDGSSYRPGDYLEPSSSSSSASSSTLQLDSEGPLCDLSSLIAQLPIRRGLSNYYQGKSQSFTSISDATCVQDLAKKITYNKRMKACKSYAAGLDMNQRSNHLPKPCNKMIAKRPSKGSFTCLLSRPSSTSFLCSSAKPPTHQSKKDVQMHINS >ORGLA02G0084600.1 pep chromosome:AGI1.1:2:6541655:6546148:1 gene:ORGLA02G0084600 transcript:ORGLA02G0084600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT2G25870) TAIR;Acc:AT2G25870] MARLHHLLLSRALASHPLHHHLLPSPPPSSSLRPPLLPSPPRPPSPPPPLPGGRGVPHSSLAAASRHYASSTPGRRRRAPPMLLRRRRARRPPREGPAELNVQIGIEEALPDDPTILSIAEALQMDVAKAAKVAFDHLENSEYKTRDNCISDVNKYDTVEVSILLCDDDFIRKLNKEWRDEDHATDVLSMSQHIPGLDIPILQLGDIVISIDTAQRQAEERGHTLHDEIRILMVHGLLHLLGFDHELSKVAEEEMESGEEHILNSLDWKGKGLIKSAYDSIHDMDHSQSYVEASRDSDEINLREVHQPKLSHIICDIDGIVDNEGYPHEESIESLREAVSRGINVITVTGKTRASTIRTFRLLDLGGKDRFMSESSPGVFLQGSLVYGRHGQEIYRANLGLDICKEAFLYSLKYSMPLVAYHEEQCLTLFEHPLVDLLHTIHYETKVKVVPSVEDLLGYSSFQKLLFLDKVDGDSSVLRQHWSELTQGRARVIKAHSSTIEIVPLNASKGGGIRILLDHLGITDSDLDAVGDYTRWLSNIFT >ORGLA02G0084500.1 pep chromosome:AGI1.1:2:6538622:6540961:1 gene:ORGLA02G0084500 transcript:ORGLA02G0084500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVVPSSYSKSYLSLPHPRISSTKQVSFGIAAGSVFRQPCLKLSIFSGGKTLSKGTNAAISDTPLLGTIDVLDAFEDDYGGIVVNSTSLPNTSNAFSSSLQSSLCYWNKQTGNLNFICFTFFLGGLCLSSCRARVLVVKEGKCPSHCSDIWKIPTGFVDKFEDLFSGAIREVREETGNSTFQIESCFLDVVAFRHAHQVLFDKSDILFICTLKPLSSDISIDESEIEAARWMPVEEFVSQPFHQEDEMSRAITDICISAHHKCYAGLAAHQVMSMLDNRVAYLYTGDTREATGMCP >ORGLA02G0084400.1 pep chromosome:AGI1.1:2:6530691:6533785:1 gene:ORGLA02G0084400 transcript:ORGLA02G0084400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 [Source:Projected from Arabidopsis thaliana (AT1G51965) TAIR;Acc:AT1G51965] MPRRLATTYSGRIAAARPSPAGPSLTVTVAPTPPPTPLDPRGYPLPRRHLVCAAARILRSHASPSPLLDLADYLRGLRLTLTAAEASEVVKALYGDPPLALAFFRFAAASLPGFRHDAFSYNRILALLFRTRAGPSEALRLVADMERDGVAGNISTINLLVGMGGGGVEVERCLELASKWGLRLSGYTYKCIVQAHLRSREVSKGFQVYEEMRRKGYKLDIFAYNMLLDALAKAGMVDQAFQVFEDMKQKHCVPDAYTYTILIRMSGKAGRTSKFLSFFDEMVSKGCVLNLIAFNTIIEALGKNKMVDKVIFVLSKMVENDCQPNQFTYSITLDILATEGQLHRLNEVLDICSRFMNRSIYSYLVKSLCKSGHASEVHNVFCRMWNSHEKGDRDAFVSMLEVLCNAEKTLEAIDLLHMMPEKGIVTDVGMYNMVFSALGKLKQVSFISNLFDKMKTNGIIPDVFTYNIMISSYGRVGLVDKASELFEVMEASSCKPDVVTYNSLINCLGKHGDLDEAHMLFKEMQEKGYDPDVFTYSILIECFGKSNKVEMACSLFDEMISEGCTPNIVTYNILLDCLERRGKTEEAHKLYETMKQQGLIPDSITYSILERLESRSQRTVRIRKPTRISGWVVSPLR >ORGLA02G0084300.1 pep chromosome:AGI1.1:2:6510459:6511091:-1 gene:ORGLA02G0084300 transcript:ORGLA02G0084300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHMERALREAVASEEERRELEGVVRAHHTFPAAERAAGPWRRPTCTSLVAQRVDAPLAAVWPIVRGFANPQRYKHFIKSCELAAGDGATVGSVREVAVVSGLPASTSTERLEILDDDRHVLSFRVVGGDHRLRNYRSVTSVTEFSSPSSPPSPSRPYCVVVESYVVDVPEGNTEEDTRMFTDTVVKLNLQKLAAVATSSSPPAAGNHH >ORGLA02G0084200.1 pep chromosome:AGI1.1:2:6497215:6499525:1 gene:ORGLA02G0084200 transcript:ORGLA02G0084200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPSFSQLGAVDAAMNGGYFMAASGNGADVPLFHPAMAPPHDHGGSFGYGDAAAAAMDVGAHFAAANNLVLASLATQLFGAAPAAAAHGHGDYLGATTPPEEEMGGGYDVAVGDSSGGAVSLACLGHGQPGDMAAGWCSTSARKPSCNWSSSNAGVHGGSYYLAGVPEAAGFVSAAAAASELSLSLCSKSSSDSMLNAGGDQCSSAASRSGLTQMSRVVVVEPEPPLVPYFPAANFAVVVARSRYAAVAQQVLNDAVGCVLGGVADAAADSASGVDSGGSRPSSCSVAGGAPSSAVSSNNQLIASSGEHTHGGGDASAQRLRSELLTMLQLMDQKYNQCLDEIQSTTARFNTLTHATARAAGMSSSSICAPFAHRAVSAMYHGLRRRIAGEIMSAAAAAGRPCRGGESSSAVTGGERERSWESAFIQKHWAVQQLRRGEQQCWRPQRGLPEKSVAVLKAWMFENFLRPYPKDSEKEMLAARSGLSRNQVSNWFINARVRLWKPMIEEMCEELKRSSGGGAGNQALAMEHMNSQDVVS >ORGLA02G0084100.1 pep chromosome:AGI1.1:2:6482726:6486383:1 gene:ORGLA02G0084100 transcript:ORGLA02G0084100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT4G26180) TAIR;Acc:AT4G26180] MEARARGREAGEGGWGGGGGGLPLAVRELVAGGVAGGVAKTAVAPLERVKILFQTRRAEFHGSGLIGSFRTISRTEGLLGFYRGNGASVARIVPYAALHYMAYEEYRRWIILGFPNVEQGPILDLVAGSIAGGTAVICTYPLDLVRTKLAYQVGLTPCTLHVKGAAKLCLREYKPSEQVYKGILDCVKTIYRQNGLRGLYRGMAPSLYGIFPYSGLKFYFYETMKTYVPEEHRKDIIAKLACGSVAGLLGQTITYPLDVVRRQMQVQAFSSSNLEKGKGTFGSIAMIAKHQGWRQLFSGLSINYLKVVPSVAIGFTVYDSMKVWLKVPSREDTAIAALTEERSNAAPAHSS >ORGLA02G0083900.1 pep chromosome:AGI1.1:2:6465539:6468118:-1 gene:ORGLA02G0083900 transcript:ORGLA02G0083900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein [Source:Projected from Arabidopsis thaliana (AT4G04470) TAIR;Acc:AT4G04470] MAAAAVAAGRGGVRGRGGEGEEGSLARRAWRQYLRQLQLHPLRTKMITAGCLAGVSDSVAQKLSGYQRIEKRRLLLKMLFGFAYGGPFGHFLHKVLDYIFKGKKDTKTIAKKVLLEQITSSPWNNLLFLFYYGYVVERRPFKEVKTRVKKQYPSVQLSAWMFWPIVGWINHMYMPLQFRVIFHSFVACCWGIFLNLRARAMSLKQS >ORGLA02G0084000.1 pep chromosome:AGI1.1:2:6478166:6479145:1 gene:ORGLA02G0084000 transcript:ORGLA02G0084000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGGNGVVVVFDFDKTIIDCDSDNWVVDALGATARFDDLLRRLPWNSAIDAMMGELHAEGRTVEEVAASLRAAPLSPRVAAAVETARALGCELRVLSDANAFFVGAVLDHHGLAGCFSAVDTNPAAVDADGRLRILPYHGLPGHGCPLATCPPNMCKGKVMERIIDELSCGCGGAPAARRRRVVYVGDGRGDYCPSLKLTEMDYVMPRKGYPVWDLIAGGDRAAVRADVREWADFEDLEAVLLGIVAECLTSEHDDADDDGGEAAPPAECRALPATLASVQEAILPKAVHVPN >ORGLA02G0083800.1 pep chromosome:AGI1.1:2:6461712:6463122:1 gene:ORGLA02G0083800 transcript:ORGLA02G0083800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIQAHQRLFLASTCAGQRLRPRPSRIAAPCRAAVSGGVRTAQSPSSSSGSGSPSSMSLNLDWIAAVPAKEDVSGAAAVELEKLRAIAEAAADRAEMHDIIGRQRDNWNHLLLHSNNSLLLAASVMAALAPAAPTVVALKASAGVLLASAAVTMAAVNKIQPSQLAEEQRNATRLWRQLERDVRATLALAAPVTRADVHDAMDRVLALDAAYPLPLLPGMLEKFPKTVEPTRWWPKKKQQQQQQQRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNTVEHGGQLGMVFELLRNCAGFYRKMQEEIEANLGEADVERREDGEVLETKVALQLGRSTSELKQFRRMASPSFKDDDVKDFAGKLF >ORGLA02G0083700.1 pep chromosome:AGI1.1:2:6442628:6443935:-1 gene:ORGLA02G0083700 transcript:ORGLA02G0083700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQAHHRLFLASTCAGQQRRRLRPSRIAAPCRAAVSGGVRTAQSPSSSSSGSGSPSSLRLNLDWVDPRVVAVPTSSPGAQVEVEKLRAIAEAAADRAEMHDIIGRQRDNWNHLLLHSNNSLALAASVMAALAPAAPTVVALKASAGVLLASAAVTMAAVNKIQPSQLAEEQRNATRLWRQLERDVRVTLALAAPVTRADVHDAMDRILALDAAYPLPLLPGMLDKFPKTVEPTRWWPKKKQQQQRAPVNKSNSFGSSSRRRGARGNGWTPELEEEMRGILRVIKAKDEHQYITVGKMVLGLNKGLAVAGPALAGTAAVAAAFIGSGEAGAWASGAAVLGGALAAAANTVEHGGQLGMVFELLRNCAGFYRKMQEEIEANLGEADVERREDGEVLETKVALQLGRSTSELKQFRRLASPSFKDDDVKDFAGKLF >ORGLA02G0083600.1 pep chromosome:AGI1.1:2:6437389:6439434:-1 gene:ORGLA02G0083600 transcript:ORGLA02G0083600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSKDLSKTIHRYFGWLKLFIDTDLQIATRSQRLQGLELLENGVQNQELVPNDHYVEEQNITNTDWRTNCYEYYPDGRIKRRRGPTKLANVGNLPEGVKIIVKLDRFNVPCSQSAIVLGSYLGTLVRKPHLAPLNILQWNHKLYKRVYHPKMISEVELDGKWRQYKSKLKKGYYKPNLPMERVLQTVPKTVAESQRATLVSYWYSEDSKNEVYMKLAEKRVDGQELTEADFEQAMLEVFGKDHRGRVRGMGPTITPTNYYGGRFSNISGSSEGSSSSNVNGFISFIVSYLAEKYPEDNLISRLPPSVARVIPRQEVDQNEGSQPPNTATSSLPFDQNHENQLPNTTPSSSARASSQSCSEEE >ORGLA02G0083500.1 pep chromosome:AGI1.1:2:6430115:6432125:-1 gene:ORGLA02G0083500 transcript:ORGLA02G0083500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: ATPase, vacuolar ER assembly factor, Vma12 (InterPro:IPR021013); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 /.../ce: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G52980) TAIR;Acc:AT5G52980] MASGLVVAATDPLRAFLASAAASHDLPADLRDLASSLAARSAVPYRSLRDIWCAASPGARPPLRRLLHGADFLLSSPKPRDKSDELKARLDKLREMQERKEYAELVRDVAPPAKEDSPELFSSYKDQIGFGLHVVLIMFTGYLVGFVAFRALFNNSPVMNAAGGILGLVGGMLMETVLFIIRSSSKELATSVPRPKKVQ >ORGLA02G0083400.1 pep chromosome:AGI1.1:2:6423947:6425654:-1 gene:ORGLA02G0083400 transcript:ORGLA02G0083400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALARSLATAAAAVMLPPPPAASSLRRRSSSRVAASGLRASVSASTATSSTSMAQLVAAGSGIDELRLVDERAAVAALQLLPVGGCEVEKLRAVAEAAADRAEMHDIIGRQRDNWNHLLLHSNNSLLLAASLMAALAPTAAPTVVALKTSAGVLLASAAVTMAAVNKIQPSQLAEEQRNATRLWRQLERHVRATLAHAAAPATTTTKADVHDAMDRVLALDAAYPLPLLPVMLDKFPKAVEPARWWPPTKKHQQRSPTKVAATNGTACRRATSMAGNGWTQELEEEMRGILRVIKAKDENEYVSVGKLVLAVNKRLAVAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNTVEHGGQVGMVFELCRNVAGIYRKMQEDIEANLEEADVERRENGEVFETKVALQLGRSTSELRQFRAMASPAVKDEDIREFAGKLV >ORGLA02G0083300.1 pep chromosome:AGI1.1:2:6421438:6422028:1 gene:ORGLA02G0083300 transcript:ORGLA02G0083300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTATTANNDSGSGGGGGGGGYIRTVTLRGFDGIRVRVSAGTMAAASATARARLDEAIRRTPRHAAVPDDVLINVPGVARPVLARVADYCDRHYGGGGEGGEFAAPEGYGFDDPLARFDDELMDGADVGTVVDLLRAATFLRVERLADLASREVAACMRGRTVEGIRQVFGIANDYTDEEEQDVRKENSWAFDAYND >ORGLA02G0083200.1 pep chromosome:AGI1.1:2:6408444:6420246:1 gene:ORGLA02G0083200 transcript:ORGLA02G0083200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFTHKCXSERKEXGQPVITAKPHESTSQNVIRTSRKTTNGVAGRFSRDRQQALIRQWEEATEGIKSTSDVFASGAESAPLPAFLVAGSRARYFAFLPSCACNIRILEGPLRTTSPQGGHCSAGTYSELRTTGGPFRKKPTPIVRPFCAAXYFADISRLVVSSAPQERVAGSRLPISIWRGEQIRAGWRMSSAAVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSAKKKKANGAAIANTSTLFQMLQLFQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVGDLAVARGKKGLGDGTVGMFSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAEKKIMESKKELLLKDVARANSIEVSILKDRLYKLDSEKPRPYGTIQAVREVYCESGIRGFWKGLIPTLIMVCNPSIQFMIYETLAKRLRSKRSGKELPKKNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTGTIDAIIKMIRYEGLHGFYKGMGTKIVQSVFAASVLFMVKEELVKFVVMLIARSRTVLGPSSKRR >ORGLA02G0083100.1 pep chromosome:AGI1.1:2:6404541:6406490:-1 gene:ORGLA02G0083100 transcript:ORGLA02G0083100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAWKEKLPQGFLISPKPTTYRLFSRLGVGYGNDGKCKKPKGKHKVVLLGFSRIKRFHSVAYLQQIRCFEVVGEIDFSFPVLTYSLYFRIHLGRFYKRFGRRACSTEHVHGWDQKPVRFQLSTSNGQHSLSQCSLGEPGSWVLYHAGNFVVSKPDRTIKLKFSMAQIDCTHTKGGLCVDSAFIYPKGFQQERMVRSQKCRC >ORGLA02G0083000.1 pep chromosome:AGI1.1:2:6398447:6402422:1 gene:ORGLA02G0083000 transcript:ORGLA02G0083000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSIDVERISFGGKEHHIHTNHGPVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPISPNAPIPSVDDLADQVADVLDFFGLGSVMCLGVSAGAYILTLFAAKYRDRVLGLILVSPLCKPPTWTEWFYNKVASNLLYYYGMCGLVKEGLLQRYFSKEVRGCSDLPESDIVQACRSLLDQRQSMNVWRFVQTMNMRYDLTEDLKQLQCRTLIFVGEYSQFHTEAVHMTSKLDRRYCALVEVQACGSLITEEQPHAMLIPMEYFFMGYGLYRPSQLDCSPRSPLSPFCISPDLLSPESMGVKLKPIKTRVRLEV >ORGLA02G0082900.1 pep chromosome:AGI1.1:2:6379414:6387302:1 gene:ORGLA02G0082900 transcript:ORGLA02G0082900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein [Source:Projected from Arabidopsis thaliana (AT4G29490) TAIR;Acc:AT4G29490] PAMAAAAAPPSSSSLAPPEVPMELHAGNRDRLVAALRAHLSASGRPLRGLVLLQGGEEQTRYCTDHLELFRQESYFAYLFGVREPGFYGAIDIASGQSILFSPRLPADYAVWMGEIKPLSYFKDRYKVDMVFYVDEITQVLQDRFSDHGKPLLFVLYGKNTDSGNYSKPASFEGMEKFDSDLSTLHPILTECRVIKSDMELALIQYANDVSSEAHIEVMRRARPGMKEYQLESIFLHHVYMYGGCRHCSYTCICATGENSSVLHYGHAAAPNDRTLNDGDMALMDMGGEYHCYGSDITCSYPINGKFNNNQTIVYNAVLKAHNAVIAHMQPGVNWLDMHKLAEQTILESLRNERILHGDVTDMMAQRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERPKEPGLSSLRTIRELKEGMVITVEPGCYFIDALLIPARDDPVYSKFFNWEEIEKYKSFGGVRIESDVYVTAHGCKNLTNCPRETLEIEAVMAGAPWPVRATNNSLSKAS >ORGLA02G0082800.1 pep chromosome:AGI1.1:2:6377315:6378580:1 gene:ORGLA02G0082800 transcript:ORGLA02G0082800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCLLHCCSAWFVVYYLVVYTYTDVQTIFLQNRARNPMSGGYSQQGYRPPRPQSNWGPHGGAPMQQPGYGYMQPGAYPGAPPQYGAPQQPYGSYPPASGGYQTGWDQSSNQQSQQAPPGTGYDYYNQQQQPQQQQSAPGTAAPGDATSYNSSQPPAYASQGYDSSYAQQSGGQQQAYDYSSYYQTQGQQQGYSQQTGYDQQGYGTSGYGSAANSTQDGSAPSYGAQGVAGQASPGQQTSTPAAGSHPGYSSQPPTSAASSYPVQGSAPQSGYGAPPPQTGYGTQPQPQGGYGQGSYGAPPQGQKAPPNTSPYGQAPPPGSAPGGYGQYGYSQNQQGYGAPPPYPGAPAASHPGYGQQQSYGDPYGSGSYGQPTAYSTEATTAAASQDQSASAPAAGAAPATTAAPAPTAPENSGAQSPAS >ORGLA02G0082700.1 pep chromosome:AGI1.1:2:6356071:6363298:-1 gene:ORGLA02G0082700 transcript:ORGLA02G0082700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:I1NYM1] MDPSSKSPDDDDLRPEAEAARRPQPQPQPREWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDSRDSASRSELERQDYPIARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANNALFLLSTNDKTIKYWKVQERKVKRISVMNLNTSQSSGNGTTSSSSSSSSRAILPNGGCSEKLYNFPNNDLLFPPGGCTSLRLPVVVTGQDLNLVPRCRRVYSHAHDYHINSISNNSDGETYISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDNHAKLFEEHEAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKFWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCTPGSAEATTLEASRNPMRRQVANPTRPARTLTSLTRAVRRGGENPGVDANGNSYDLSTKLLHLAWHPTENSIACAAANSLYMYYA >ORGLA02G0082600.1 pep chromosome:AGI1.1:2:6328892:6329702:1 gene:ORGLA02G0082600 transcript:ORGLA02G0082600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVTEGVPCEHRLNGKAVEAMAGTQGGGGGHSRGWRWQWRPPPALRWDIVASVSSTHQSSPMCGPPFPRQCDGGCSGVANGDDPQVEAYDGDRQADAGWWGCRYSGFHAPMLGCWWWSIKAATAN >ORGLA02G0082500.1 pep chromosome:AGI1.1:2:6282869:6283558:1 gene:ORGLA02G0082500 transcript:ORGLA02G0082500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMERSVSCAERPPAAFGGGAAADLRCYSASYATSYKPGAGAAAAAGAGTNTTTKVMKRATSANAWSRPGGGGGVQRSGSTKTVASSAAGWSRGGGPTPGFNLRSYSASYAASYSPFEDPSPAEKTGGGGGGAATWASSAGRRSVNLRGYTPSFAALDDTAVAPPIPAKKQVSPTSSFAGAVVDDAELQRRKRLVAYKAYDVEGKVKDSVRRSVKWIKGKCSRAVDGKW >ORGLA02G0082400.1 pep chromosome:AGI1.1:2:6232153:6233587:-1 gene:ORGLA02G0082400 transcript:ORGLA02G0082400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAEAVAAASAWRQGRGGCRCRACPAGVVHNLAGCYPPPELVQTAEKRTPTRAGVIGELGPAEVAALCHWRMQPSEQWV >ORGLA02G0082300.1 pep chromosome:AGI1.1:2:6215808:6216047:-1 gene:ORGLA02G0082300 transcript:ORGLA02G0082300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEQWNHYDLWARENLPLLLWNSLDMNRDFYTCLCKLHVFEKISGNLYCFIQNKVLPCSSYCLVEYYGSWCKSSLFD >ORGLA02G0082200.1 pep chromosome:AGI1.1:2:6197475:6200972:1 gene:ORGLA02G0082200 transcript:ORGLA02G0082200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRYASFFLFFMMPFSLLHKSYPQSVNQSSDEHQILLELKKHWGSSPVLSRWSSNSAAHCNWGGITCTNGVVTGISLPNQTFIKPIPPSICLLKNLTHLDVSYNNISSPFPTMLYNCSNLKYLDLSNNTFAGKLPNDINSLPALLEHLNLSSNHFTGRIPPSIGLFPRLKSLLLDTNQFDGKYPAEDISNLADLERLTLAVNPFVPAPFPTEFGRLTHLTYLWLSNMNITGEIPESLSSLRELNLLDLSSNKLQGKIPTWIWQHKKLQYLYMYGNKFTGEIESNITALNLVEIDVSANELTGTIPDGFGKMTNLTLLFLYFNKLSGSIPPSVGLLPKLTDIRLFNNMLSGSLPSELGKHSPLANLEVSNNNLSGELPEGLCFNRKLYSIVVFNNSFSGKLPSSLDGCHPLQNLMLYNNNFSGEFPRSLWSVVTDQLSVVMIQNNNFSGTFPAQLPWNFTHLDISNNRFSGPIPTLAGKMQVFIAANNFLSGEIPWDLTGISQVTKLDLSRNQISGSIPMTIGVLARLNTLNLSGNQISGNIPVAFGYMTGLTVLDLSSNELSGEIPEDFNKLMLNFLNLSMNQLTGEIPTSLQNKTYEQSFLFNPGIYVPASDSPQNFPIYRERANISKDQSGRSIALISAVASIMLLVSAMVGFMLFRRKKRIQDHLSWKLTPFHVLHFTASDILSGLCEQNWIGTWKWQVWXGVPGLCRRXNKWRQDGGCQKDMEHAKSXXQAGDGLPYRGSDIGRDQAHKHCQAAMLHFKLRGKASYLRVHGKWQPTSMASPEGKNWCARTSGLANKIANCHRLSERSLLHASPLLASHSASRCQVCQHPSGSQLQSKDGRFWACKDSSQSWRRRVFLLXLELLATWHQNTDIGSRXTRRSMSTALVWSFWKSXLVEWQMMVESTIAWHNGHGGSTKNMVCQLIFSMRGSETQPMLRTHWRCLHLLXFVLGSILQCGHQXKTYXIFLSNLTINLQGFRVAXNMLSLMKQHFLNP >ORGLA02G0082100.1 pep chromosome:AGI1.1:2:6194407:6196474:1 gene:ORGLA02G0082100 transcript:ORGLA02G0082100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGRKGVPSLLSSQGECIASNITQLIGWTPLIELKNIAKKDGIAARLVGKIEPYQPLSSVKDRSALRLIEDAEERGLISPGITTLAGVTSGNLGIRVAFVAAQKGYKFIAVMPAKLSIDKQILLRYLGVEVILVDPALNGFKGLLDRVEQLKKDMENVFVIDQFTNPANPDAHFRWTGPEIWKDTAGKVDIFVAASGSGGTVTGVGRYLKMKNPSIKLICVEPAESAVISGGEPAFHNIQGIGPGFIPEILDRSQIDEIVTVTTQEAMDMARRLAREEGLLVGISSGANAAAILKVAAREENKGKMIVTMFSSGGERYLNSELFAQVKEECINISGGCSTL >ORGLA02G0082000.1 pep chromosome:AGI1.1:2:6185333:6187672:-1 gene:ORGLA02G0082000 transcript:ORGLA02G0082000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSMEASNCSIALEISHVATPGLPVLLLGSSLALLAVFLVYFYAPFWSLRTVPGPPTRFPIGHLHLLAKNGPDVFRAITKEYGPIFRFHMGRQPLVIVANAELCKEVGIKKFKDIRNRSTPPPNVGTLHQDALFLTRDSTWSSMRNMVIPLYQPARLAGLIPTMQSYVDALVDNVAGCPDQDCIPFCQLSLCMAIDIIGKTAFGIEFGLSRKAADTAAGDDGDGDDDDDVKEFLREYKKSMEFIKMDLSSSLSTILGLFLPCVQTPCKRLLRRVPGTADYKMDQNERRLCRRIDAIIAGRRRDRDAGDGAALDFIAALLDARESGGGGHGGFALEDRHVRALAYEHLIAGTKTTAFTVSSVVYLVSCHPRVEERLLREIDGFAPRGRVPGADELHAGLPYLDQVIKEAMRFHLVSPLIARETSEPVEIAGHLLPKGTYVWLAPGVLARDAAQFPEPEEFRPERFAAGAAEERARHPYAHIPFGIGPRACVGHRFALQQVKLAAVGLYRRYVFRHSPAMESPLQFDFDLVLAFRHGVKLRAIKRTNT >ORGLA02G0081900.1 pep chromosome:AGI1.1:2:6181145:6184346:1 gene:ORGLA02G0081900 transcript:ORGLA02G0081900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAARKPSAAQTVAVTLALALASAGLLFLLFHLSPSSPTPHPHPHRRLRLRGARASPSPRGQIPFDPVIAGLERRRDDREWERLAAAGLHAPGFEAAPVPEDYIDGGGGFGADPDEDYINDAARFNLTRRVEALFPKIDVDPADGAVTPAELTAWNLASARREVMHRTARELDLHDRDHDGRIAFSEYERPSWAWRFDDHNSSNDGVGWWKEEHFNASDMDGDGFLNLIEFNDFLHPADTTNPKLINWLCKEEVRERDKDNDGKLNFQEFYNGLFYSIRHFDEEASTDDSNASDAPARKSFTHLDLDNDGLLSADELKPIIGNLHPPEHFYAKQQADYVITQADTNKDGQLSLQEMIENPYVFYSALFTEDDYGFHDELR >ORGLA02G0081800.1 pep chromosome:AGI1.1:2:6173897:6176803:1 gene:ORGLA02G0081800 transcript:ORGLA02G0081800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVNWELQGCCDRDQKIFIAAVGVSTVVILLLWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHPNEGGVTQTRGGIYWIILPAGYLGSSFWGMVFILASTNLLTTRIAAGCFILALIVVLFVAKNWFLRWLCFGFIVFLAVVWVIQEFTKFHSLKYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEICPCPCNGCAWGVIWGFISFIFLCASIYLGLVILS >ORGLA02G0081700.1 pep chromosome:AGI1.1:2:6165367:6168702:-1 gene:ORGLA02G0081700 transcript:ORGLA02G0081700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEENQHGMNGYEEEEEVEEVEGFDEEGRPGRRGGGGRDGGDGGAYGDAGGDDGRAPGGDSSGKIFVGGVAWETTEESFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGLPSSLTEDELREHFSPYGKIVEHQIMLDHSTGRSRGFGFVTFESEDSVERVISEGRMRDLGGKQVEIKKAEPKKHGGDHSSNGRSSHGSGGGYRSSYRSGGAAASGGGGGGGGGGSGSSGGYGYGAGYRSAGGGYYDSTAYGYGRGGYGYGGNAGFGSGYGGGYGGSLYGGAYGAYGAYGGGAYGGGAYGGGAYGGGAYGGAPGAYGGAGGYGSYGGAGAGGAGGRGSSRYHPYGK >ORGLA02G0081600.1 pep chromosome:AGI1.1:2:6150266:6159376:1 gene:ORGLA02G0081600 transcript:ORGLA02G0081600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAQMTRMKDGVARDDGGESERLHEDGGAPPPTFRPLLNDGSPPHGCCDGTPAARGLQGGAAAGDGEVLWLSSAGKIHQSGKGKAMVQKMLCFGWLGAYIGDINRKVYMAQQEHNMVTKGTERLVAGAQLFGHSTRQPFYMIQPSEEVVQKEKFYHHCLLITSDVSNLDLRKVKVEVSKFWKLTDWEIRTEGRHNFLVSLNSEDDLVSCLKTPRIETSIDDKEVNFTVARWKEDDDENIELIREWILVYGVPRIYRNWKELYQIASAVGVLIDVDEESLEGDHKEPIKLKVALRGVDAAPFSCHFVFGWYSRCVTFTIEDKAQTTECEREVLEDCNGEDHLDEFGKEYNNKTDKLIEMPPEILNKGMNLESSSHDTSIVVSTHNHTSDVKGCSDKELEAIESAVLVEEPSSIEDNNISAPAPTTTIISEKTAEDSPWAEREQSISGSSTSMIGDTLFKAATVIHSKKTTEDIPKAEGGQSTSGNSTSIIGEEPFRGIQKPPVKIVYARRNKRSMEKQDYKSFLEKQHQKEMEAAIVGQRQKSDDESGGDGNNKDSNSIAPSAIAINSKKKQTTKDIVTTQGAQSISESSIGETQTKDLDKPSAVHQRGIVPLCNGLDIIQQSLFGTTVTLCCGILQRLDYASTECQALVLVPTRDLAHETKNVIGVLGQFLSAKAHAFCGGTSAHEDQQILSTGVQVAVGTPYHVLGMLQGRALCPDHIRMFVLDEADEVLRGFKDQIHGIIQFLPNKTQFGFFSASMSHEALDMCRKYMNKPVEIIVPRDEELEGINVKQFYVNVEKEDCKLDKLCGLFNTMEITRSIIFVNTRHQAKSLTEKIRGKGYTVSAIHGGIHQRARDKAVQEFQSGSSRILITTDLRGIDVLRAPAAIFYDLPTQPVCYLRHVQRSGQHGRKGVAISFITNTDERVFSTIQKFCNIQIEELPSNVADLLREEFTQ >ORGLA02G0081500.1 pep chromosome:AGI1.1:2:6133281:6134467:-1 gene:ORGLA02G0081500 transcript:ORGLA02G0081500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VELIVTEPLSFLFLLYTLLSSDQSSQGRLGTRRRPLKPWWELACATLAGGRCERQRLAVVMAWMLAKAAGGCGQPSWLANVYLQQVYVMHECIFFSHPLTRQPFSVGIDESLXATFCLELVMGGAFVTTP >ORGLA02G0081400.1 pep chromosome:AGI1.1:2:6130503:6131813:-1 gene:ORGLA02G0081400 transcript:ORGLA02G0081400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFVLGQESRQRILHRAAARLPGCAYVCAWAPLPLVAAASLHHQRPSSGGAAGAARLLYCVDGWLSGGEDGGGCVRALFDAYRGSVCGAVTGCVPGWAYVGGGGGAFMELSELELVASASLPVQQSFYQEAGIKMAAFMGCESGEIEVGFSTAPAENYGGGGGGGSLQASVEQVFSEDFFQQSLLEELLQLPPTRPSSSSSSLVGSPADGAASTSLLRTMTPMMASSSATPSPRELAAQVATTTTTPSSSSRLHPRPPAPHHVHVSPFSRHGGVGSSGVLHFPSAEADDAAMAQAMLDVISSPSTSSSAAALHAPWSSVKHRAQIIRSPRRGTPTTTAFRAYNAALAPR >ORGLA02G0081300.1 pep chromosome:AGI1.1:2:6111063:6117402:-1 gene:ORGLA02G0081300 transcript:ORGLA02G0081300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11850) TAIR;Acc:AT5G11850] MSRMKHLLRKLHLSGGGGSGGGAAAAGAPSGEHHHRPRQHRRSSAQPPPLPPPPVVAAAAATEAAPVMAPVAAPVVAAAAAAEEPRGMGADATMTRLEEEYQVRLALAISASDHAGLVDADSVQIRAAERISLGGAAGDRGPMEALSARYWNHCVVNYDERLSDGFYDVCGAPMHPHFQAKFPSLTTLRAVPVGGDAAYVAVLVNRERDPALKRLEGRALAIAAQDRAEHGGVASPELVQKIANLVVDAMGGPVDDADEMNREWGVKSRALCLQRNSIVLPLGLLPIGLSRHRSLLFKVLADRVNLPCKLVKGIYYTGTDEGAINLVKIDFDSVEYIVDLMGAPGTLIPSDISGSQFQDSNNSQLSNDAIEESVAELCIALEQISAGCKNTSDMGGSSSEQKSALALASSQLEDIFHTENPLKQSTISDEGEIPHLMKVNDAPMYLVPTEVDPQFAQNLQDLLLEGTALLPTYEKPEICKHTASEDDKTAGWLVIAKTGQNLPNGHVAEDSPLQHGNTKTLAVVNCFHEDAQHDVGNTEAIGRNLDLHDHTAHAIANEDQRFSEDSLVKMPGSSNGNLDKSSCSSTKTISSVIDDVADYEIPWEDLHIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVALDQFKCEVGIMSRLRHPNVVLFLGYVTQPPNLSILTEYLPRGSLYRLLHRPNSQIDETRRLKMALDVAKGMNYLHASHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATMRVPWSGLNPMQVVGAVGFQNRRLEIPKEIDPLVATIISSCWENDPSKRPSFSQLLSPLKQLQRLVVPENC >ORGLA02G0081200.1 pep chromosome:AGI1.1:2:6098225:6100425:1 gene:ORGLA02G0081200 transcript:ORGLA02G0081200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVNFDGNQLEATTDADWSFLTSLTNCSNMILIDVSINKLQGVLPKAIGNMSTQLEYFGITNNNITGTIPESIGNLVNLDELDMENNLLTGSLPASLSNLKKLNRLSLSNNNFSGSIPVTLGNLTKLTILLLSTNALSGAIPSTLSNCPLEMVDLSYNNLSGPIPKEPFLISTISSFLYLAHNKLTGNLPSEVGNLKNLDELDLSDNTISGKIPTTIGECQSLQYLNLSGNFIEGTIPPSLEQLRGLLVLDLSQNNLSGTIPRFLGSMTGLSTLNLSSNDFEGEVPKDGIFLNATATSVMGNNDLCGGAPQLKLPKCSNQTKHGLSSKIIIIIIAGSTILFLILFTCFALRLRTKLRRANPKIPLSDEQHMRVSYAQLSKATNSFASENLIGVGSFGAVYKGRIGIADQQMVVAVKVLNLQQAGAYRSFDAECEALRCIRHRNLVKILTVCSGIDFQGSDFKALVFEFLPNGNLDQWLHKHLEEEGEPKVLNLIERLQIAIDVASALEYLHQHKPCPIVHCDLKPSNILLDNDMVAHVGDFGLARFLHQEHNNSSEKSTGWNAIRGTIGYVAPEYGLGNEVSIYGDVYSYGILLLEMFTGKRPTNSEFGEVLTLHEYVETALPDQTTSVIDQGLLNATWNSEGTAQKYHDIEEIRTECILSILKVGILCSKEIPTDRMQIGDALRELQAIRDRFDTHQL >ORGLA02G0081100.1 pep chromosome:AGI1.1:2:6085858:6088950:-1 gene:ORGLA02G0081100 transcript:ORGLA02G0081100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLTGSIPSEIGNLANLMTLNLQFSNLTGGIPEEIGDLAGLVGLGLGSNQLAGSIPASLGNLSALKYLSIPSAKLTGSIPSLQNLSSLLVLELGENNLEGTVPAWLGNLSSLVFVSLQQNRLSGHIPESLGRLQMLTSLDLSQNNLISGSIPDSLGNLGALSSLRLDYNKLEGSFPPSLLNLSSLDDLGLQSNRLSGALPPDIGNKLPNLQRFVVDINQFHGTIPPSLCNATMLQVLQTVYNFLSGRIPQCLGIQQKSLSVVALSKNQLEATNDADWVFLSSLANCSNLNALDLGYNKLQGELPSSIGNLSSHLSYLIIANNNIEGKIPEGIGNLINLKLLYMDINRLEGIIPASLGKLKMLNKLSIPYNNLSGSIPPTLGNLTGLNLLQLQGNALNGSIPSNLSSCPLELLDLSYNSLTGLIPKQLFLISTLSSNMFLGHNFLSGALPAEMGNLKNLGEFDFSSNNISGEIPTSIGECKSLQQLNISGNSLQGIIPSSLGQLKGLLVLDLSDNNLSGGIPAFLGGMRGLSILNLSYNKFEGEVPRDGIFLNATATFLAGNDDLCGGIPEMKLPPCFNQTTKKASRKLIIIISICSIMPLITLIFMLFAFYYRNKKAKPNPQISLISEQYTRVSYAELVNATNGFASDNLIGAGSFGSVYKGRMTNNDQQVVAVKVLNLTQRGASQSFMAECETLRCVRHRNLVKILTVCSSIDFQGNEFKAIVYEYLPNGNLDQWLHPNIMGQSEHKALDLTARLRIAINVASSLEYLHQYKPSPIIHCDLKPSNVLLDSDMVAHVSDFGLARFLHQESEKSSGWASMRGTVGYAAPEYGIGNEVSIQGDVYSYGILLLEMFTRKRPTDDEFGEAVGLRKYVQMALPDNAANVLDQQLLPETEDGGAIKSNSYNGKDLRITCVTSVMRIGISCSEEAPTDRVQIGDALKELHAIRDKFEKHVSNEGTSSQ >ORGLA02G0081000.1 pep chromosome:AGI1.1:2:6083485:6083963:-1 gene:ORGLA02G0081000 transcript:ORGLA02G0081000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSLFQCPISMELMEDPVTVATGVTYDRRSMERWFFKLAVNVLWLVACAPTPAERVLEDMVVGGAVAKLLALMQVESSPSTKDKAVKMLRAHGAFWRQ >ORGLA02G0080900.1 pep chromosome:AGI1.1:2:6078001:6081620:1 gene:ORGLA02G0080900 transcript:ORGLA02G0080900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIRSDPTQALASWGNQSIPMCQWRGVACGLSGRRTGRVVALDLTKLNLVGAISPLLGNLTYLRRLHLHKNRLHGEIPSELGHLRDLRHLNLSYNSIQGLIPATLSTCRGMENIWLYSNKLQGQIPSEFESLQNLQALVLGENRLTGSIPSFIGSLANLKFLILEENNFTGEIPSDIGRLANLTVLGLGSNKLSGPIPASIGNLSALQFLSVFSNNLVGSIPPMQRLSSLEFFELGKNNIEGSIPTWLGNLSSLLTVKLGGNRLDGNIPESLGKLKLLTSLDLSSNNLVGPVPDTIGNLYSIKQFHVENNELEGSLPSSIFNLSSLEELNLQTNNLNGTIPLDLGNRLPKLQLFLISENQFHGSIPPSLCNISTLRWIQTVNNSLSGTIPQCIGINQKSLYSVTFAVNQFETSNKYGWSFMSSLTNCSNLRLLDVGDNKLTGELPNSIGNLSTRLEYFVTNYNSMTGKIPEGLGNLVSLKFIEMNNNFYEGTIPDSLGKLKNLNRLYLTNNNLSGSIPSSIGNLRMLTILSVAGNALSGEIPPSLSNCPLEQLELSYNNLTGLIPKELFAISVLSTSLILDHNFITGPLPSEVGNLTNLALLDFSSNLISGEIPSSIGECQSLQYLNTSGNLLQGQIPPSLDQLKGLLVLDLSHNNLSGSIPKFLGTMTGLASLNLSFNNFEGDVPKDGIFSNATPALIEGNNGLCNGIPQLKLPPCSHQTTKHKKQTWKIAMAISICSTVLFMAVVATYFVFHKRAKKTNANRQTSLIKEQHMRVSYTELAEATKGFTSENLIGAGSFGSVYKGRMKINDQQVAVAVKVFNLKQRGSSKSFAAECETLRCVRHRNLVKVLTVCSSIDFQGRDFKAIVYKFLPNRNLDQWLHQNIMEDGEHKALDLITRLEIAIDVASSLEYLHQYKASPIIHCDLKPSNVLLDDEMVAHVGDFGLARFLHQDPEQSSGWASMRGTTGYAAPEYGLGNEVSIHGDVYSYGILLLEMFSGKRPTDSKFGESLGLHNYVNMALPDRTASVIDLSLLEETVDGEAKTSKSNQTREMRIACITSILHVGVSCSVETPTDRMPIGDALKELQRIRDKFHRELQGAGATNHQDIQIC >ORGLA02G0080800.1 pep chromosome:AGI1.1:2:6056522:6061989:1 gene:ORGLA02G0080800 transcript:ORGLA02G0080800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSAGAGGNSLASASCGDAQKRRVCYFYDPEVGNYYYGQGHPMKPHRVRMTHALLAHYGLLAPAKMEVLRPLPARGIDLCRFHSDDYVAFLRAVTPETQLGQVRALRRFNIGPDCPVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKYQTGVALGEELREKLPYNEYYEYFGPEYSLYVAASNMENRNTNKQLEEIKCNILDNLSKLQHAPSVQFEERIPETKLPEPDEDQDDPDERHDPDSDMLLDDHKPMGHSARSLIHNIGVKREITETETKDQHGKRLTTEHKVPEPMADDLGSSKQVPTADANSMAINAPGNAKNEPGSSL >ORGLA02G0080700.1 pep chromosome:AGI1.1:2:6045568:6053224:1 gene:ORGLA02G0080700 transcript:ORGLA02G0080700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G62760) TAIR;Acc:AT5G62760] MDHPWRFPAGDELCPVCAAPHFPFCPPPPHPFPYDLHPPPPPPPPEYHAPFHPPPLPPPPEYHVPFHPPPPMWAPPGPHPYEVLDMEAPHKRMRVGDPYGDGMPPPLPPPPPPGMVPVEGDRLLGLIRDHGRPPFPPPPGMLHGEPYPPPDRFGYGGGRGYPPPPNYDNPYAHEGSFPDYEHAGRFPPAHERLAALGSSFVPGGPQEGYFNHDHRYNRFQRSESPVAPPLPPPARYPEARSRYDSHGWHPEADVPPPPPPLEPPVPSSSDYHAKPPLQAVKSSLFPIHSGSPAATAHPPSSHTLHQAHLMPNANRYNGPIHNEVPGLAYQPHLEQHLGGGRQTQAQHSINNAKISVISACDLFKQPLRGSRPDHIVIILRGLPGSGKSYLAKALRDLEVENGGNAPRIHSMDDYFMIEVEKKVEDNEGSKSSSTSKGRKQLTKKVIEYCYEPEMEETYRSSMLNAFKKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTGCAARNVHGFTVDDVNKMAADWEEAPPLYLRLDIHSLFNDDNLREHSIQEVDMETEDTDGASNTATSTEAENTQKAVSESLDNGHDQDISGAGKKWDSSEEDDLDGYKELGQSKWSKDFDEDTEKSDHAEGSTHALSGLAQTYSTHRKTVTWGDRLEKGGFSIGAAKRRLTSSLIIGPGSGYNLVSNPLAEDNSKQAKGVINTDTKKRFSEQLRDEGESFRAVFDKRRQRVGVFGNGDGE >ORGLA02G0080600.1 pep chromosome:AGI1.1:2:6043479:6043901:-1 gene:ORGLA02G0080600 transcript:ORGLA02G0080600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAASPRARSRFQWQTPRGHHRLLLVERNHQSSSPTRNPHRLLLQPPSRLHLTLLAQGLHLVELRPGTKPPRSRSTPASPIRAFSSCAYATSWRRTRELLRLGVARRVFDEMPKRTVARFNALFAGLAQRGEADEARRES >ORGLA02G0080500.1 pep chromosome:AGI1.1:2:6035170:6039857:-1 gene:ORGLA02G0080500 transcript:ORGLA02G0080500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSAGAGGNSLASASCGDAQKRRVCYFYDPEVGNYYYGQGHPMKPHRVRMTHALLAHYGLLAPAKMQVLRPLPARDRDLCRFHSDDYVAFLRAVTPETQFDQIRSLRRFNVGEDCPVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTGVALGEELQEKLPYNEYYEYFGPEYSLYVAASNMENRNTNKQLEEIKCNILDNLSKLQHAPSVQFQERIPETKLPEPDEDQEDPDERHDPDSDMVLDDHKPTGHSARSLIHNIGVKREITETETKDQHGKRLTTEHKGPEPMAEDLGSSKQAPTADANAVAVNAPGNARNEPGSSPK >ORGLA02G0080400.1 pep chromosome:AGI1.1:2:6024062:6025460:1 gene:ORGLA02G0080400 transcript:ORGLA02G0080400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPQLAFSRMPPGFRFQPTDEQLVVDYLQRRTAAQPCVTPDITDIDVYNVDPWQLPAMAMYGSDHDRYFFTMAAREVQTRRTTPSGFWKPTGTKKTIFVVAGEHEVPTAVKRRFVFYLGHHQPSGSNNNNNKTSWIMHEYRLMNSPRAAVPLSSSVNRLPTDDLTEEMVLCRISNKDLPKPPFIHNGLLQFSSVGLNGDGYNYLILDHLEPPAMEYPNVGIGNVDDAAAGTDDPGDLDEEIDDSMQRNHGG >ORGLA02G0080300.1 pep chromosome:AGI1.1:2:6018952:6021908:-1 gene:ORGLA02G0080300 transcript:ORGLA02G0080300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:I1NYJ7] MDDQRLQWRKPGSFLLVAGVFLAAAAAVSNAGIGEFDEHWEKRRVAAEAAAREVYKPDPFNVTNEFNHAVIRSTERGGVLRRELSGKNSKYKGPCLATNPIDRCWRCRKDWATDRKRLARCAMGFGRGATGGASGKIYVVTDPGDGDAANPRYGTLRWGAIQAAPLWITFARSMVIRLTQELLVASDKTIDGRGAQVHIARGGAGITVQFARNVIITSLHVHDVKHSDGGAVRDSPTHIGPRTRADGDGISLFAATDVWVDHVSMSMCEDGLIDVVQGSTGVTISNSHFTNHNDVMLFGASDSYPQDKVMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGGMSPTILSQGNRYIAPPNIAAKLITRHYAPEWEWKNWAWRSDGDLFMNGAYFQASNGAINRKVKGSDMVKPKPGSYVRRLTRFAGALSCRPGEPC >ORGLA02G0080200.1 pep chromosome:AGI1.1:2:6016576:6018455:1 gene:ORGLA02G0080200 transcript:ORGLA02G0080200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNAse Z1 [Source:Projected from Arabidopsis thaliana (AT1G74700) TAIR;Acc:AT1G74700] MANSGKSSPAATSTTAPPPGRPKAKAPPLTVEGYPVEGISIGGQETCVIFPTLSSAFDIGRCPQRAVSQEFLFISHAHLDHIGGLPMYVATRGLYRQRPPTIFIPACLRDPVERLFELHRSMDQSELSHNLVPLEIGQEHELRRDLKVKAFKTYHAIPSQGYVIYTVKQKLKPEYLGLPGSEIKRLKLSGVEITNTLTVPEIAFTGDTMADFILDPDNADVLKAKILVVESTFVDDSVTIEHAREYGHTHLFEILNQCDKLENKAILLIHFSARYTAEEIDTAINKLPPSFRSRVHALKEGF >ORGLA02G0080100.1 pep chromosome:AGI1.1:2:5979812:5980128:-1 gene:ORGLA02G0080100 transcript:ORGLA02G0080100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLRRLHRLLAVVQLAVALWLAVDGSHTPLHGRAGARSRCRRSGRRRVAFGHRPLPDSLS >ORGLA02G0080000.1 pep chromosome:AGI1.1:2:5976357:5977969:1 gene:ORGLA02G0080000 transcript:ORGLA02G0080000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RASPAITILAMSLLLLPPPLALGSLAGGDQRRKEPWIATILNGGGSIAGMELQQRRRGCHGLPVMTLVMVDVILQSPLHETDQGRKPDEVGNCALCAREKLVPWAEYPXFGLCNFVRKL >ORGLA02G0079900.1 pep chromosome:AGI1.1:2:5954162:5955649:-1 gene:ORGLA02G0079900 transcript:ORGLA02G0079900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQRRRLVLALLQLAVALWLAATSGCLCRQPPSPIAGVPSPPILLDGSRTPESPPAPVSAKHPNFSVLPPPGCSPDCTDQQRQP >ORGLA02G0079800.1 pep chromosome:AGI1.1:2:5936668:5937514:1 gene:ORGLA02G0079800 transcript:ORGLA02G0079800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFMARVVLILVLSLWLLPSLLPSFLAAGASSAGNELAPLSGGSSRRMAMVRSRERTDGYGLSIAKSARRSLRPTPGYEQSKQRLE >ORGLA02G0079700.1 pep chromosome:AGI1.1:2:5913377:5914784:1 gene:ORGLA02G0079700 transcript:ORGLA02G0079700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITGSVKFYLSQLVDATENFSDGNKIGQGARTRRLHLDWSTRSRIIDGIAEGLLYLHKHCGLHIVHGDLKPSNILLDSNMNPKISDFGLARRYSPGVDEEYADRILGSIGFIAPECRERRLFSTKSDVYGFGALVLEIISGKRCFSLVSGDSGDNYRFLNKR >ORGLA02G0079600.1 pep chromosome:AGI1.1:2:5872364:5874899:-1 gene:ORGLA02G0079600 transcript:ORGLA02G0079600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLLMKNIVHTEPACIKTKTVITYSSSAPLLKWNRSARRPQIEKYSQYRLWSVAELIKNNTVKQYAKTLEWIGKQDDVEEGLQELTNETDLANLAIPKQTCFVADHVVNSHSLPCKAWAPLWLQQSWSSLGSRDSWALPTAPAPLAAAKPAVPADALASTGRPCEQPIFVELDGTTAPCSPAPRDEHGVEQAD >ORGLA02G0079500.1 pep chromosome:AGI1.1:2:5864246:5865173:1 gene:ORGLA02G0079500 transcript:ORGLA02G0079500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARFCVGLQAILVMSLLLPLPFLPCVLAGAGPGGELVAGEEKHQGRVFASGISTRSLRILSQNPGPGGEHHPPISSGRGKG >ORGLA02G0079400.1 pep chromosome:AGI1.1:2:5861481:5861861:1 gene:ORGLA02G0079400 transcript:ORGLA02G0079400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRIRVELQAILVMFLLLPLPFLPRVFAAGSSGGNSGELVAGGRETRRKSTRFLSGGVPANPGGGHDPPVNDGRRGR >ORGLA02G0079300.1 pep chromosome:AGI1.1:2:5859045:5859771:1 gene:ORGLA02G0079300 transcript:ORGLA02G0079300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAWLGVGLQAILVMFLLMSPPFLPCALAAGCSSEGKSGDQVVGMVREMQEGHDFFASSKSTWSRRILNAGEDGRHNPPFSPGHK >ORGLA02G0079200.1 pep chromosome:AGI1.1:2:5856708:5856914:-1 gene:ORGLA02G0079200 transcript:ORGLA02G0079200.1 gene_biotype:protein_coding transcript_biotype:protein_coding QYGDSRTCFRKCYRTNFDSACLLIYWQIWKERNARVFDQRSRSPNQLAEAIKEEILVWKEAGYFENAVL >ORGLA02G0079100.1 pep chromosome:AGI1.1:2:5852672:5853031:-1 gene:ORGLA02G0079100 transcript:ORGLA02G0079100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSGKLSSSTLVLVLLLLVTTEMMGMQARLCEKPSSHFKGLCLRSQNCDNECMLEGYMDGKCKYLTRRCICSVECATMSNGGLTLME >ORGLA02G0079000.1 pep chromosome:AGI1.1:2:5845256:5847277:-1 gene:ORGLA02G0079000 transcript:ORGLA02G0079000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFASFPKLIPLLAVFVFSCSLPIAINDDTDTDRGALLCFKSQISDPIEPXGLGAIHPWTSATGRVFHATTHRPKSESWHXTSAPKDSVAQYHLALAIXAPLQASTYQTTPFLEKYQLSSGTWDKSATSTXASTLLKATFQMNCLCAANSRYSAYATTPSKVRYHQAXHNALTSSKLCFAIISSKAEFPPNLGCCMNXKLWISPTTPSQVTYHHCWAVAHLLYMLILGAISSQEESRSSWQIAHPFKCLVSSKIILRGDPTSPLQQLHVDKPXQLSGFHTTSYSNCCTFSIPXSSPEXTQRRDTSLTGQPFFPGSSFSSSKXLGGEYPWEPKXTPKTREVNSHIXQLVWSCAPVNFQRVIFAISXNGQXLSHQPTTSLPPDIGNRLPNLQSLILSMTHLSGPIPSSLANMSKLEMIYLVATGLTGVVPSFGLLPNLRDLDLAYNHLEAGDWSFLSSLANCTQLKKVCLDGNSLEGSLPSSVGNLAPQLXWLWLKQNKIYGIIPSEIGNLRSLTILYMDNNLFSGHIPPSIGNLSNLQILSFALNDLFGEIPDYIGNLAQLIEFHIDGNNFSGSIPSSLWHWKHLEKLDISDNSFFGYIPPAVGNLSSIRDLIFARNNFFGHIPSTVGNLSSLSILSFAQNNLFGHIPELVGNLVKLTNLFLHGNSFSGSIP >ORGLA02G0078900.1 pep chromosome:AGI1.1:2:5836674:5840190:-1 gene:ORGLA02G0078900 transcript:ORGLA02G0078900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFAPCPKLIPLLAIFIISCSLPLAISDDTDTDREALLCFKSQISDPNGALSSWTNTSQNFCNWQGVSCNNTQTQLRVMALNVSSKGLGGSIPPCMGNLSSIASLDLSSNAFLGKIPSELGRLGQISYLNLSINSLVGRIPDELSSCSNLQVLGLWNNSLQGEIPPSLTQCTHLQQVILYNNKLEGSIPTGFGTLRELKTLDLSNNALTGEIPPLLGSSPSFVYVDLGGNQLTGGIPEFLANSSSLQVLRLMQNSLTGEIPPALFNSSTLTTIYLNRNNLAGSIPPVTAIAAPIQFLSLTQNKLTGGIPPTLGNLSSLVRLSLAANNLVGSIPESLSKIPALERLILTYNKLSGPVPESIFNMSSLRYLEMANNSLIGRLPQDIGNRLPNLQSLILSTIQLNGPIPASLANMTKLEMIYLVATGLTGVVPSFGLLPNLRYLDLAYNHLEAGDWSFLSSLANCTQLKKLLLDGNSLKGSLPSSVGNLAPQLDWLWLKQNKLSGTIPAEIGNLKSLTILYMDDNMFSGRIPQTIGNLTNLLVLSFAKNNLSGRIPDSIGNLSQLNEFYLDRNNLNGSIPANIGQWRQLEKLNLSHNSFSGSMPSEVFKISSLSQNLDLSHNLFTGPILPEIGNLINLGSISIANNRLTGDIPSTLGKCVLLEYLHMEGNLLTGSIPQSFMNLKSIKELDLSRNRLSGKVPEFLTLFSSLQKLNLSFNDFEGTIPSNGVFGNTSRVILDGNYRLCANAPGYSLPLCPESGSQIKSKSTVLKIVIPIVVSAVVISLLCLTIVLMKRRKEEPNQQHSSVNLRKISYEDIAKATDGFSATNLVGLGSFGAVYKGLLTFEDNPVAIKVFNLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTVDPNGYDFKALVFQYMPNGSLEMWLHPEDHGHGKQRFLTLGERINVALDIAYALDYLHNQCVSPLIHCDMKPSNVLLDLEMTAYVSDFGLARFMCANSTAAPGNSTSLADLKGSIGYIAPEYGMGAQISTRGDVYSYGVLLLEILTGKRPTDEKFKDGRSLHELVDTAFPHRVTKILDPNMLHNDLDGGNSEMMQSCVLPLVKLALMCSMASPKDRLGMAQVSTEIHSIKQEFLDLSSGGKIV >ORGLA02G0078800.1 pep chromosome:AGI1.1:2:5817573:5821102:-1 gene:ORGLA02G0078800 transcript:ORGLA02G0078800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFASCPKLIPLLVVFIFSSSLPLAISDDTDTDREALLCFKSQISDPNGALSSWTNTSLNFCSWQGVSCNSTQPQLRVMALNVSSKGLGGLIPPCIGNLSSIASLDLSNNAFLGKIPSELGRLGQISYLNLSINSLEGRIPDELSSCSNLQVLGLWNNSLQGEIPPSLTQCTHLQQVMLYNNKLEGEIPTGFGTLRELKTLDLSNNALTGDIPPLLGSSPSFIYVDLGVNQLTGGIPEFLANSSSLQVIRLMQNGLTGEIPPSLFNSSTLTTIYLNRNSLVGSIPPITAVAAPIQYLSLAQNKLTGGIPASLGNLSSLVLLSLGANNLVGSIPESLSKIQTLERLVLTYNKLSGNVPQNIFNMTSLKYLGMANNSLISRLPPDIGNRLPNLETLILSTTQLNGPIPASLANMSKLEMIYLTATGLTGVVPSFGSLPNLQDLDLAYNQLEAGDWSFLSSLANCTQLKKLALDGNILRGSLPSSVGNLPSQLNWLFLKQNKLSGTIPSEIGNLKSLTVMYMDNNMFSGSIPPTIGNLSNLLVLSFAQNNLSGHIPDSIGSLSQLTEFYIDGNNLNGSIPANIGQWRQLEKLDLSHNFFGGSLPSEVFNISSLSKSLDLSHNLFTGPIPLEIGNLINLGSISISNNRLNGEIPSTLGKCVLLEYLHMEGNLLTGSIPQSFMNLKSIKELDLSRNSLSGKVPEFLTLLSSLQKLNLSFNDFEGAIPSNGVFGNASRVILGGNYRLCANAPGYGLPFCPESGSQSKSKSTVLKIVIPIVVSAVVISLLCLTVVLMKRRKEEPNLQHSSVNLRKISYEDIAKATDGFSATNLVGLGSFGAVYKGLLAFEDNPVAIKVFNLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTVDPNGYDFKALVFQYMPNGSLEMWLHPEDHGLEYGMGGQISTKGDVYSYGVLLLEILTGKRPTDEKFNDGLSLHDRVDAALPHRVTEVLDPNMLHNDLDGGNSELMQSCVLPLVKVALMCSIASPKDRLGMAQVSTEINSIKQAFVDLSSGGKIV >ORGLA02G0078700.1 pep chromosome:AGI1.1:2:5811823:5812341:1 gene:ORGLA02G0078700 transcript:ORGLA02G0078700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPTRSAPRSPSPPRSAHRSPRASSLCTSSPRDDPPPDQRPRLLAPPQRRTSLFLATVQRRRTTAPPYQRRGCRRPRLSAGAGAEEGPFRRRRHHHRHRALEVVPLPHRLQPEPTSSPSATAAAADGAEVVPSHRRQRSRGRLQPMPPFSWYPIPSINLIMQRMPSLSHLK >ORGLA02G0078600.1 pep chromosome:AGI1.1:2:5808572:5809453:-1 gene:ORGLA02G0078600 transcript:ORGLA02G0078600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLSVGLQTILVMSLLLLQIMPCTLATGGNSAELLIMGRETHQGHHRLLAPTKSIWSRRILLHENFSGPQHDPPNHRQQGN >ORGLA02G0078500.1 pep chromosome:AGI1.1:2:5804779:5807975:-1 gene:ORGLA02G0078500 transcript:ORGLA02G0078500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHANLSKSRGEMGQGRGGLGWNIPIPGPEPTTRRIMSPVPTPIRSPHSERCSWVCGRRWKRDDTPGMVGKENGLGLIDVLVCCESPRARAGGGRGGALATMTLLAHAPAEAKEEAHPGGGRGGALCRRICRCVMEVYLELGMELVVDTGSIAVGAAPDANAAALPSPTAMNMRDRIADGKLRSVALAVTAKMPWLWAMTIMPFLPCTLATGSKSVELLMGRETHHGGHHRLLAPSKSTRSPRILLHENWDGPGITNVDTELGWLSTNLHRSRGDNGVGQGWIGTSPPLAPNQRPGE >ORGLA02G0078400.1 pep chromosome:AGI1.1:2:5784158:5792840:1 gene:ORGLA02G0078400 transcript:ORGLA02G0078400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAGKTNCCIVLFPLLLFSAIGGLQIAINVEMLRGLAAAASVDCGGCGGGVAVAANATGGMDCPTPCALPRAPKWPPLLQIPPPERRAVGDGDLLPFAGDLPDAASCRAAGSCAAAFLVTGGNRSFVARVMDNMFPAHNSSAKLPSDISALSDYVLAEADDELDFNSFEVSSFLQKTCTPNQTLSFTYQSGNTTETKHVQCTQGLMLWRDSLWLISDELYRGYYQGNSKKKTNEIAAAYDFLSSDQGNFNVFISYNSTKKFDAYEQDISLTFNQRAWQAPRLVQVSRLINMASNAYLHLRASGLKISFDFVKDMPRAARPMRPIDISSLIGQLPYVWTMELLFPVILTNIVYEKQKKLRIMMKMHGLGDFSYWTISYCYFFLLSLLYVMSFTLFGSVLGLRFFRLNDYSVQFVYYFAYMNLQISFAFLMASCFSSVRTATGNLLXLFGISCLSDRILLHNWFWPFRRILIQVLCXRCFPLKKLDYAFGAFPCIFTVSHYLXVCTICIARELYELFRDEVGXLEXSQKWNEKCFDHNGTRMVSVPFIGILFGSLRFLPKWNKKSSSTISLTRXXESFSSYSAXHPASRVQSFCXQXEDRCYQRERNGXTDLTRIKEXLLGHLXQPXESVPWKRWECKKNCCQRAISFYATWAMFWCSWTKRRWKNHSHXHVDWIYXTYIWHGVHXRNGHTIRDEQDLCRNWCLSTARLAMGNTDWSXAFVVLWXTXEFAGCTIISGNIXPFAHSMEEAEFLCDRIGIIANGSLQCIGNSKELKAKYGGSYVLTVTTATGEAEEEMRRLVQSISPTMNIVYHISGTQKFEMAKQEVRISQVFRAMEHAKRRMNVLAWGLADTTLEDVFIRVARESDSASSSVA >ORGLA02G0078300.1 pep chromosome:AGI1.1:2:5782144:5782599:1 gene:ORGLA02G0078300 transcript:ORGLA02G0078300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHHLVLHAITKAAATPAAEAWCGKLGAAAAAFLAVCALALALCASHAAPERLRRALASVSRRRTEPVIVSIHQVQPGVVGAGGELAGDDDGLGMGMGGGPPSCVWQKNILMGGKCQLPEFSGVINYDAAGNVVAPSGRPRAAVPAALGW >ORGLA02G0078200.1 pep chromosome:AGI1.1:2:5779361:5780498:1 gene:ORGLA02G0078200 transcript:ORGLA02G0078200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSCSMGFLRNPKAFLMVLKAVIEKTDYRFILFSSGYQPLDSAIQSFAPSVAESSEYQASALHCDSNLLFNGRLFCFSGSIPYSWLFPKCAVAIHHAGSGSTAAALFAGIPQISCPFLLDQFYWAERLHWLGVAPEPLRRQHLILDTDNASSINNAADMLIGAIKSALSPEIKAQATRIANKLSSEDGIGEALRILKERVLPQIES >ORGLA02G0078100.1 pep chromosome:AGI1.1:2:5771130:5774700:1 gene:ORGLA02G0078100 transcript:ORGLA02G0078100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEASITSTSSCSQTSSMISPTSPALFLVLLALTCSWPPSSSAGHGDGNDIDQQALLSFRSLVSDPARALESWRNTSLDFCHWHGVTCSTTMPGRVTTLDLSSCKLAGLIPPCIANLSSIERLDLSNNSFHGRIPTELGRLEQLRHLNLSVNSLDGHIPAELSSCSRLEVLSLWNNSLQGEIPASLAQLVHIQLIDLSNNKLQGSIPSGFGTLGELKILNLATNTLVGNIPWLLGSGSSLMYVDLGANGLSGGIPEFLANSSSLQFLSLTQNKLTGELPRALFNTSSLTAIYLDRNKLFGSIPPVTAVAAPIQYLSLAENNLTSEISASIGNLSSLVGVSLAANNLVGSIPESLSRIPTLEMLILSINNLSGQVPQSIFNISSLKYLELANNSLIGRLPPDIGYMLPNLQRLILSKTRLSGPIPASLVNASKLEIIHLVDIGLTGILPSFGSLSHLQQLDLAYNQLEAGDWSFLSSLANCTQLQRLCLDGNGLQGHLPSSVGNLPSELKWLWLKQNKLSGTIPLEIGNLRSLEVLYMDQNLFTGTIPPSVGNLSNLLVLSFAQNNLSGHVPDSIGNLVKLTELYLDGNNFSGTIPASLGQWRHLEKLNLSRNSFGGSIPSEVFNISSLSQSLDLSHNSFAGPIPLEIGSLINLGSLSISNNRLTSNIPSTLGKRVLLESLHMEENLLVGSIPHSLMNLRSIKELDLSSNNLSGNIPEFFASMNYLKDLNLSFNDFDGPVPSIGIFRNASRVSLQGNDGLCANTPELGLPHCPALDRRTKHKSIILMIVVPIAAIVLVISLICLLTVCLKRREQKPILTDISMDTKIISYKDIVKATKGFSTENLVGSGSFGDVYKGTLELEVDLVAIKVFNLNRHGGPSSFIAECEALKNIRHRNLVKVITLCSTLDPKGEEFKAIIFQYMPNGSLETWLHQKVYGHNQKQVLTLGDRISIALDIAYALDYLHNQSASPLIHCDLKPSNVLLDLQMTAYVSDFGLARFMCTTTAACANSTSLADLKGSIGYIAPEYGMGGPISTKGDAYSYGVLLLEILTGKRPSDDKLKDSLSLHELVESAFPHKLDEILDPIMLQSDLNGGKYHTEIMQSCIIPMVKLGLLCSSISPKDRLGMSQVSAEMGTIRQSFLELQ >ORGLA02G0078000.1 pep chromosome:AGI1.1:2:5757692:5757907:-1 gene:ORGLA02G0078000 transcript:ORGLA02G0078000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRAASFSTCRNTSSGCVAADCADDLAAPSGAGTDQYSAVMPENSSVTFGDDEADNGSHRSEGDEPEAKR >ORGLA02G0077900.1 pep chromosome:AGI1.1:2:5748951:5750356:-1 gene:ORGLA02G0077900 transcript:ORGLA02G0077900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSGSFKKYADLKNQAALDDMESGGGGGGGGEGANLEQFFEEVEGVKGEMRGLEALHGRLQASHEGSKTAHDARAVRSLRARMDADVEQVLRRARAVKGRLQALDRANAASRKLPGRGPGSSTDRTRSSVVSGLGTKLKDLMDDFQGLRSRMAEEYKETVARRYYTVTGEKAEESTVEALISSGESETFLQKAIQEQGRGQVLDTISEIQERHDAVKEIERGLLDLHQVFLDMAALVEAQGHQLNDIESHVARANSFVRRGAVELETAREYHRSSRKWACIAILAGVVLVVIIVLPIIVNLHLLTIR >ORGLA02G0077800.1 pep chromosome:AGI1.1:2:5745437:5745762:-1 gene:ORGLA02G0077800 transcript:ORGLA02G0077800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSIKVQAVILTYVLLAVLLHPLLCQGSPAASLLEAQTSDGKTIDQGIAYILMIVALVVTYLVG >ORGLA02G0077700.1 pep chromosome:AGI1.1:2:5740161:5740703:-1 gene:ORGLA02G0077700 transcript:ORGLA02G0077700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRSETGGAATTTTVVVAAAVDAPPPWRLRRQRSVPAAVVATFAPCVGIGGHHAPRRVLRLGGNKAAAAAAVHHRRGEAEEEGEYFDSGGGVGVLRALWRRIVRGRRWKVMSRSGSSTARRREQYAQDEYEQNFDEGAAAAGGEPEPEYLSRSFSARYAAAGGGRRSAGLARFGVSRAN >ORGLA02G0077600.1 pep chromosome:AGI1.1:2:5737055:5737210:-1 gene:ORGLA02G0077600 transcript:ORGLA02G0077600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAGAAGEEKAAAGAGRRGMIVIDRQAVDRGIAYALMVVALVATYALH >ORGLA02G0077500.1 pep chromosome:AGI1.1:2:5734932:5735739:-1 gene:ORGLA02G0077500 transcript:ORGLA02G0077500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLREVSLSVVFSVWCLLLLLLLLLCSQFLHSQTDPSDFYDDVEDGMRENYCKGKAENGRVPDGAAAHRLEPSGGEYNYAAASKGSKVLAHNREAKGAANILGATLLRYHTAPLPRSSATSAPRPPHSSAATLLCRPRLLHLRADIRAAALASPCRPPVPALVLAVRERKE >ORGLA02G0077400.1 pep chromosome:AGI1.1:2:5723348:5732150:-1 gene:ORGLA02G0077400 transcript:ORGLA02G0077400.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVGSRSGWISRGSSRRGRRGSSPWICIRPSHGFCRVCIQGASASGTTRRRRWXNHLKSQSYQVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIILTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWSKHNEIQTVNIKTIGADNEIADGERLPLAVKELGTCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIYSKNFQERKSIRPPFSAERIFGGVLLAMCTNDFICFHDWAEGRMIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNLDMAEECLLHAMDLSGLLLLYSSLGDAEGLTKLTSMAKEQGKNNVAFLCFFMLGKLEECLQLLIESNRIPEAALMSRSYLPSKVPDIVTLWKKDLQKVNPKAAESLADPDEYPNLFEDWQIALNVEANVAPKRGIYPPAEEYIIHAERPNETLVEAFKSMHIHLEEVLPDENGDDTHEAIEENGVEESQEDAVEVDVEADGSTDGAVLVNGNDTEEQWVLTPDQ >ORGLA02G0077300.1 pep chromosome:AGI1.1:2:5696539:5704254:1 gene:ORGLA02G0077300 transcript:ORGLA02G0077300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSP (nuclear shuttle protein)-interacting GTPase [Source:Projected from Arabidopsis thaliana (AT4G13350) TAIR;Acc:AT4G13350] MASRLKEDERNERIIRGLLKLPANKRCINCNNLGPQYVCTNFWTFICTNCSGAHREFTHRVKSVSMAKFTAQEVSALQEGGNERAREIFFKEWDAHRNSFPDSSNADKLRNFIKHVYVERRYTGERSADRPPRGKDDKDEYSENRRSDGNWGGSRSPPYNESYSDRRSYSGRSDDRNSRYSYGERSPGYEHNDYKKSPRYFEVDDRNREDRSGKTTPVQRFEDRRPSEPQRPDNGSPNYQKETDGSSPVVRPVRDILGDNAPQLRVGEPPKPNVARMIDPPRPIDPPRPIDPPRLIDPPRPIDPPRPNGTRTIEPPPQMQRTSTASSIGSSEGTSEQIKVASTISLIDFSADPEPSASVPPPQSTPTSQQQPASAQPVQPVNAPAQQPAVEQGKNVSSVSSGGGDWASFDSFGQQQTPQTGNSVDPLESALAQLSFSETPSAPNASAFPASVMPTSVPNDGGSSMMGQSHSSFFGAPPGVSGHQASTGMSIHGSSVQQTGLAAPAAGLPFQVSANSRATSGIQEAAPNTDSRSIGRKELPADIFTSLYPPGPQTVGGWQRTPQFGMGYAMPYQTAMGMQAYPQMAFAQPAYQQPVYPQQQHAYPQPAKASNPFDLGNEPAPVQAHTQQPLPGPLGASAGMTPPGLLGTSSFGVLPQQPQQLYQSPAPPNHYMMQQVPNMSEQLPNTMLPMQQGGLGSLNMGFDQQAAPRYPQPSTPPSYGSVGGNPFG >ORGLA02G0077200.1 pep chromosome:AGI1.1:2:5683969:5686496:-1 gene:ORGLA02G0077200 transcript:ORGLA02G0077200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLALAQAEPPLAAAGPANKYAGLSTSYRLVLHDPVAGGWAALPPLPGAGGLPLFCQLAAVAACGGERRRLVVVGGWDPETWAPTDAVHVYDFLSGSWRRGAAMPGPRRSFFACAAVGRWVFVAGGHDEEKNALRSAVAYDAEADAWVPLPDMAAERDEARGVYVGGRFVAVGGYPTEAQGRFAGSAEAFDPAAWAWGPVQERVLDEGTCPRTCCAAPAPAAGATMYMLRDGHLAARDATNNGGAAWRAVASLPEDGRAVTALAAIGDSRVVAIGAGSHGGEQAVYLLTTEEGGDKNGAAQSWARAAAPPEFAGVSYWARAKSRFSLYTMFLCQLPRVTLWGRVEMAKPTHDMTKEGDVCYRFMRAELPSHI >ORGLA02G0077100.1 pep chromosome:AGI1.1:2:5675136:5680161:1 gene:ORGLA02G0077100 transcript:ORGLA02G0077100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNQGQTPMSTNMGSQPLPSSNIQPNQAEYPSMLYPSLPADWGAQPMFSMGASVPISSYFIVPMSQQSVQIGASRPETPRSSGAHSLSRVSLRPPQQVLSIRTSLPTMVGSQHSPAGKKLQPTIASPKVQILKSTQSQSSNKRSAQKETPSKVQTQQLESVRSKFRESLSAALRTDSDQSKNQSSDVQPDGSADQKKEMDVDADQVATTSQGMSAAKSEVLTSVGAERRAEDEKLNSDLVSNIATPLNADIQQQPENASLQDEMLGQYTVVADELLQGHGLCWVSDFDAGVPEPATQPNLKRSRASDIDPVVADALSESESKRMKSANDEEAIDKDSIIQKADDLAVRIEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPELRERVLSGDITPDRLCSMTAEELASKELSEWRLAKAEELAQMVVLPSTEVDVRRLVRKTHKGEFQVEVEETDGISVEVELGGNLLTQVPSKAPEDQTKSDDKDSTDDKTGIQDNDKAPDGTSQDEDNGAGKNDPQDDLEYVDNEKSDLMQELMVDDLKDTENLPPIPSLDEFMQGLDSEPPFENLSAGTPEEDSDDRDEADTTAESAELPEEEVKVSAAEKIVSEADLPSSQDKSESKLESPKDEVGSNLGPVEQREGKLIKSSPDSVEVKQTTTENVLNRDSTVHNMATTLPMIRESIWEGAIQLTMSSLTNVVAIFKSGEKPPVKEWRSFVEIKGRVKLSAFQEFVEQLPKSRSRAIMVTELCWKEGSHESGRQHLLQTIDSYISDERVGLAEPADGIELYLCPSQGKTVEILSRHLPKEHLESLAVSASSIIGVIVWRRPNVPRMPAHPRHDGSRRPSILKKPQVTGSTPGPRPSLPMSSHGAPPGFPVQRHRHEEDVTDDVPPGFGPGVARDEDDLPEFNFVNSSNPAANVTTTQAYKGRQHVPPTSARPVEQMRELVQKYGKRSSIQARPWDDDDDDDIPEWNPNQLVTQQQTTRQLPVPPTPQQPLPPPPPPPSLQQLHHPYQHQQQQQQQQQLYHHQNALQPQIPSNSIPQAYLRTQQPLPQLPLMQQQLQPAQAWQQTNAWWPAQGGAAAAAAAPASMVQQSQYGVIPNSNNSAQSYGSGSVGGMAWRSR >ORGLA02G0077000.1 pep chromosome:AGI1.1:2:5669333:5669772:-1 gene:ORGLA02G0077000 transcript:ORGLA02G0077000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSQGGDQKLSAMEHVKKRHEEKGFLYACLFMLCCCFCCYETCEHCLECFCCCCKKDD >ORGLA02G0076900.1 pep chromosome:AGI1.1:2:5655682:5662091:1 gene:ORGLA02G0076900 transcript:ORGLA02G0076900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVRMGSVASGGGSVRRTASSWRGTSGRSDAFGRSVREEDDEEALKWAAIEKLPTYDRMRKGILTAGGVEEVDIGGLGLQERRNLIERLVRTAEEDNERFLLKLRDRMERVGIDNPTIEVRFENLSIDAEAYVGNRGIPTFTNFFSNKIMDVLSAMRIVSSGKRPISILHDISGIIRPGRMSLLLGPPGSGKTSLLLALAGKLDSTLKVSGRVTYNGHDMDEFVPQRTSAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLTELSRREKEANIKPDPDIDVYMKAISVEGQESVVTDYILKILGLEICADTMVGDAMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYDLFDDIVLLSEGQIVYQGPRENILEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNDFSEAFKEFHVGRNLGSELRVPFDRTRNHPAALTTSRYGISKMELTKACFSREWLLMKRNSFVYIFKILQLIILGSIGMTVFLRTKMHRRSVEDGAIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWVLKIPISFLECAVWICMTYYVMGFDPNIERFFRHYVLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLILLVLGGFLISRENIKKWWIWGYWSSPLMYAQNAIAVNEFLGHSWNKVVDPTQSNDTLGVQVLKVRGIFVDANWYWIGVGALLGYIMLFNILFILFLEWLDPLGKGQAVVSEEELREKHVNRTGENVELLTLGTDSQNSPSDANAGRGEITGADTRKRGMVLPFTPLSITFDNIRYSVDMPQEMKDKGVTEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDSEARKMFVEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLINYFEGIQGVRKIKDGYNPATWMLEVTTLAQEDILGINFAEVYRNSDLYQRNKTLISELSTPPPGSTDLHFPTQFSQPFFTQCMACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKINKRLDLFNSLGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFLQTVVYGLIVYSLIGFDWTVEKFFWYMFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYCIWNIFAGFLIPRPRIPIWWRWYSWACPVAWTLYGLVASQYGDITNSTLEDGEVVQDYIRRYFGFRHDYLGYVATAVVGFAALFAFVFAFSIKVFNFQRR >ORGLA02G0076800.1 pep chromosome:AGI1.1:2:5648919:5652959:1 gene:ORGLA02G0076800 transcript:ORGLA02G0076800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G19960) TAIR;Acc:AT5G19960] MSAAVNEETSVYVGGLPYEANEDMLRDAFGRFGTIVSVKVINDQRVRGKCYGFVTFTHADAAQHAISGMDGKRINRRVVRVNEVRTRGAREFGREGFRREPGSARDAYWDRRDRERSYDRDRDPYHDRDSDRSRDRDRDRFYEPRGFDQEIDYPMDQDHGDERRRDYDRAAEMHNVDSDNDREKENSKDYDSEREKEKEQRSRKRFSRPKDHDSRDLSVSSDDLHSDAKRQLNKAIQMREDLENEVSQIKDKVAAKEQHIADLQKRSQKLEDELSAARKVSSERQLVVTKLYKCFLQLQDYNDRVKMSEKELQSLIDDAMGEVDIGEDATTKDGSMYENGVA >ORGLA02G0076700.1 pep chromosome:AGI1.1:2:5643706:5646518:1 gene:ORGLA02G0076700 transcript:ORGLA02G0076700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:I1NYG1] MESGLVASHRLRVPFAAAHHHHPAAPPHLLRQRRGSVAVTPLRLGLHLPTPTPLRLPAALPLRPSLPPLRAAAASAAAPEPVGSASPKFLGVETKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLADVLSKEALFYTVIFPFIAFFGAFGYLLYPMRDAIHPTALADRLLAALGPSFLGPVAILRVWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANVALIFSGRTVKYFSNMRQNLGPGVDGWAISLRGMMSIVVLLGLVIAGIYWGVNKFVIDKSAAPVVERKKKDKPKLSMGESLKVLVSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQYPSPNEYSSFMGDFSTATGIATFTMMLLGRVILRKFGWGVAATITPAVLLLTGVGFFSLILFGEPLTPLMATLGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSPLAKQELEKEKMLKAKTVETTAQVVGSGNGSLQETLASENSANGSAIKQSQEPESTTSEKSGQQSQ >ORGLA02G0076600.1 pep chromosome:AGI1.1:2:5632468:5633499:-1 gene:ORGLA02G0076600 transcript:ORGLA02G0076600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lysophospholipase 2 [Source:Projected from Arabidopsis thaliana (AT1G52760) TAIR;Acc:AT1G52760] MAPPPQPPTATKYFWGDSPEPDEYYASLGLRHAEAYFQSPCGRLFTHSFHPLSAASDGDVKGVVFMSHGYGSDSSWMFQNIAISYARWGYAVFCADLLGHGRSDGVRGYLGDMEAVARAALSFFLSVRRSGAYASLPAFLFGESMGGAATLLAYLRSPPDAGWAGIILSAPLLVFPDDMYPSRVRLFLYGLLFGLADTWAVMPDKRMVGRSIRDPAKLRVIASNPRLYRGSPRVGTMRELARVTVLLQESFGEVAAPFLVVHGTDDGVTSPEGSRMLYERAASEDKSLILYDGMYHSLIQGESDENRDRVLADMRAWIDERVRRYGAAAGAAAADGQAEAPAA >ORGLA02G0076500.1 pep chromosome:AGI1.1:2:5619705:5620943:1 gene:ORGLA02G0076500 transcript:ORGLA02G0076500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSLQPAKMHASMKVKLIHFATIFLNFSKVVKIFLDGGGAASTAATKPLHRWVVLELRRLEVHVADGTRHLDDPLHPPRAAGVDHDLAVVGVERGELPPDLLRGHVVLGREPLGDGLIGCRAAAEDAAGVAGVGDVEHVAGDGAQEAAGARGGRAGHVRQ >ORGLA02G0076400.1 pep chromosome:AGI1.1:2:5612145:5613671:-1 gene:ORGLA02G0076400 transcript:ORGLA02G0076400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NYF8] MAPTAELDTATSPPPPHFVIVPFPAQGHTIPMVDLARLLAERGVRASLVVTPVNAARLRGAADHAARAELPLEIVEVPFPPSAADAGLPPGVENIDLITDYADFRPFFDVMRDLAAPLEAYLRALPAPPSCVISDLSNSWTAGVVSRVGLPRLFFHGPSCFYSLCDLNAAAHGLQQQADDDRYVVPGMPVRVEVTKDTQPGFFNTPGWEDLRDAAMEAMRTADGGVVNTFLDLEDEFIACFEAALAKPVWTLGPFCLYNRDADAMASRGNTPDVAQSVVTTWLDAMDTDSVIYVNFGSLARKVPKYLFEVGHGLEDSGKPFVWVVKESEVATPEVQEWLSALEARVAGRGVVVRGWAPQLAILSHRAVGGFVTHCGWNSILESIAHGVPVVTWPHFTDQFLNERLAVNVLGVGVPVGATASVLLFGDEAAMQVGRADVARAVSKLMDGGEEAGERRRKAKEYGEKAHRAMEKGGSSYESLTQLIRSFTLQEPKNSSSITVECSANRHI >ORGLA02G0076300.1 pep chromosome:AGI1.1:2:5603306:5604325:1 gene:ORGLA02G0076300 transcript:ORGLA02G0076300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMRTADGAVVNTFKDPEDEFIACYEAALGKPVWTLGPFCLYNRDADAMASRGNTLDVAQSAITTWLDGMDTDSVTYVNFGSLACKVPKYLFEVGHGLEDSGKPFICVVKESEVATPEVQEWLSALEARVAGRGVVVRGWAPQLAILSHRAVGGFVTHCSWNSILESIAHGTSGSSIPPTTILPLARPRLHFSLLRRLHGPLLLLRPGSLRHHLRRHPLRLPPLARHHLRHDRRRRQLRCRAHAAIVLHVVEVLHGHGAGVHGHHDHGVHAAVSVKLHVIEVLHGHGATSTSSLAPASAADSPRPPPVLAVRTAREREDREGRGEEEHDRWVPPFFIK >ORGLA02G0076200.1 pep chromosome:AGI1.1:2:5597943:5598365:1 gene:ORGLA02G0076200 transcript:ORGLA02G0076200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLDKRIYEERQETKLFNDMIQKVPKYLFEVGHGLEDSGKPFIWVVKVSEVAMPEVQEWLSALEARVAGRGVVVRGWALQLAILSHRAVSGFVTHCGWNSILEAITHGVPVVTWPHFSDQFLNERLAVDVLGVGVPVGAT >ORGLA02G0076100.1 pep chromosome:AGI1.1:2:5590548:5592050:-1 gene:ORGLA02G0076100 transcript:ORGLA02G0076100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NYF5] MAPTLESVSATSPPPLPPPHFVVVPLPAQGHTIPMVDLARLLAERGARASLVVTPVNAARLRGAADLAARAKLPLEIVEVPFPPSAADAGLPPGVENVDQITDYAHFRPFFDVMRELAAPLEAYLRALPAPPSCIISDWSNSWTAGVARRAGVPRLFFHGPSCFYSLCDLNAAAHGLQQQADDDRYVVPGMPVRVEVTKDTQPGFLNSPGWEDLRDAAMEAMRTADGAVVNTFLDLEDEFIACYEAALGKPVWTLGPFCLYNRDAEAMASRGNTPDVAQSAITTWLEAMDTDSVIYVNFGSLARKVPKYLFEVGHGLEDSGKPFIWVVKVSEVATREVQEWLSALEARVAGRGLVVRGWAPQLAILSHRAVGGFVTHCGWNSMLESIAHGVPVVTWPHFSDQFLNERLAVDVLGVGVPVGVTTPVLLFGDEAMAVTRGDVARAVAALMDGGEEAGERRRKAKEYGEKARRAMEKGGSSYESLTQLIHSFTLHGAKNALEH >ORGLA02G0076000.1 pep chromosome:AGI1.1:2:5578248:5579726:-1 gene:ORGLA02G0076000 transcript:ORGLA02G0076000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NYF4] MTAESTTQPPSPQPHFVLAPPAAHGHVIPMVDLAGLLAAHGARASLVTTPLNATRLRGVADKAAREKLPLEIVELPFSPAVAGLPSDCQNADKLSEDAQLTPFLIAMRALDAPFEAYVRALERRPSCIISDWCNTWAAGVAWSLGIPRLFFHGPSCFYSLCDLNAVVHGLHEQIVADNEQETTYVVPRMPVRVTVTKGTAPGFFNFPGYEALRDEAIEAMLAADGVVVNTFRDLEAQFVACYEAALGKPVWTLGPLCLHNRDDEAMASTDQRAITAWLDKQATGSVVYVGFGSVLRKLPKHLFEVGHGLEDSGKPFLWVVKESELASSRPEMQEWLDEFMARTATRGLVVRGWAPQVAILSHRAVGGFVTHCGWNSLLEAIAHGVPVATWPHFADQFLNERLAVDVLGVGVPIGVTAPVSMLNEESLTVDRGDVARVVSVLMDGGGEEAEERRRKAKEYGEQARRAMAKRGSSYENVMRLIARFMQTGVEEH >ORGLA02G0075900.1 pep chromosome:AGI1.1:2:5572435:5573904:-1 gene:ORGLA02G0075900 transcript:ORGLA02G0075900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NYF3] MAAESTAQAPAQPHFVLAPLAAHGHVIPMVDLAGLLAAHGARASLVTTPLNATRLRGVADKAAREKLPLEIVELPFSPAVAGLPSDCQNADKLSEDAQFTPFVKAMRGLDAPFEAYVRALERRPSCIIFDWCNTWAAGVARSLGIPRLFFHGPSCFYSLCDLNAVVHGLYEQIVADDEQDMNYVVPGMPVRVTVTKGTVPGFYNAPGCEALRDEAIEAMLAADGVVVNTFLDLEAQFVACYEAALGKPVWTLGPLCLHNRDDEAMASTDQRAITAWLDKQATGSVVYVGFGSVLRKLPKHLFEVGHGLEDSGKPFLWVVKESELASSRPEMQEWLDEFMARTATRGLVVRGWAPQVAILSHRAVGGFLTHCGWNSLLEAIAHGVPVATWPHFSDQFANEQLAVDMLGVGVPIGVTAPVSVLNDESMTVDRGDVARAVSALMDGGGEEAGERRRKAKEYGGKARRAMAKGGSSYENVTQLIARFTQTGEE >ORGLA02G0075800.1 pep chromosome:AGI1.1:2:5535137:5535388:1 gene:ORGLA02G0075800 transcript:ORGLA02G0075800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRMAPVASRIYRRHRHMGIAVDEFIAAADTLGGGGRLPQCAASTTQCRTKGGGRCRWATVTMKAPRTTRLCVGCLRGLVCS >ORGLA02G0075700.1 pep chromosome:AGI1.1:2:5530080:5531861:-1 gene:ORGLA02G0075700 transcript:ORGLA02G0075700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1NYF1] MDNNAEAANDGAGAGERRRLPDFQQSVRLKYVKLGYHYLITNGVYLLLTPLIALVAVHLSTLTAGDVAGLWSHLRFNLVSVVACTTLLVFLSTVRFLTRPRPVYLVDFACYKPPPERRCSRDAFMRCSRLAGCFTAASLDFQRRIVERSGLGDDTYLPAAVLREPPNPSMAEARREAEAVMFGAVDDLLAKTGVSAKEIGVLVVNCSLFNPTPSLSAMVVNHYKLRGNIVSYNLGGMGCSAGLLAIDLAKDLLQVHRNSYALVISMENITLNWYSGNDRSMLVSNCLFRMGGAAILLSNRWSERRRSKYELVHTVRTHKGGDDKCFGCVTQEEDGEGNVGVALSKDLMAVAGDALKTNITTLGPLVLPLSEQLLFMATLVAKRLLKMKNVKPYIPDFKLAFEHFCVHAGGRAVLDEIEKNLSLGEWQMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRVRRRDRVWQIAFGSGFKCNSAVWRALRSVDPEEEAMKKNPWMDEIDRFPVVVPRVSRISTD >ORGLA02G0075600.1 pep chromosome:AGI1.1:2:5524007:5528863:1 gene:ORGLA02G0075600 transcript:ORGLA02G0075600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKKAGVPLVCHGHSRPVVDLFYSPVTPDGCFLISASKDSNPMIRNGDTGDWIGTFEGHKGAVWSCCLDTNALRAASGSADFSAKVWDALTGEEFHSFEHKHIVRACAFSEDTHLLLTGGLEKILRIYDMNRPDAAPREIDKSPGSVRTVAWLHSDQSILSCCTDMGGVRLWDVRSGKIAQTLEIKATVTSAEVSQDGRYIITADGSSVKFWDANYFGLVKSYNMPCNVESASLEPKYGNKFIAGGEDMWVHVFDFFTGEEITCNKGHHGPVHCVRFAPGGDSYASGSEDGTIRIWQLGPATSDEQESPPNANGKLKVNTVSDAARKIEGFHLPKDGQPEG >ORGLA02G0075500.1 pep chromosome:AGI1.1:2:5518227:5522449:-1 gene:ORGLA02G0075500 transcript:ORGLA02G0075500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDISKPPPAAGGDEAAAAKGRGGAGGGGGEGLRQYYLQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >ORGLA02G0075400.1 pep chromosome:AGI1.1:2:5510861:5512157:-1 gene:ORGLA02G0075400 transcript:ORGLA02G0075400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSASLLLLMVVLCAAASGTALAAITDGLLANGNFERGPAPSQLRGTRVVGASAIPSWRTSGFVEYIPSGRKQGDMVLVVPEGSHAVRLGNEASIRQRLAGAARGARYALTFSAARTCAQAERLNVSASGQWAVLPMQTMYSSNGWDSYAWAWDAAADAFDVVIHNPGVTEDPACGPLIDSVAIRTLNPPRRTNKNLVKNGDFEEGPYIIPGTRWGVLIPSMVVDEHSPLPGWMVESLKAVKYIDSDHFAVPRGRRAVELLAGRESAIAQVIRTVPGRQYALSFTVGDASNGCEGSLVVEAYAGRESTRVAHESAGRGGAAKRAVLPFRAAAARTRVVFFSSFYSTRSDDMSSLCGPVIDDVAVVSVRARRPAAKRG >ORGLA02G0075300.1 pep chromosome:AGI1.1:2:5506599:5508419:-1 gene:ORGLA02G0075300 transcript:ORGLA02G0075300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLRRVYLAGSHGSAAKLLDRAASGVAQSGANLLSIKHLSSCSWIRPFGNSIVSGDSVHSHGFCVNTMPMRGLSTVGSAEVSVEEESSDSPAVEHPPRIKFKRPDKTARHIMNILNKEAVDKVRGEREIPDVQPGCIIQMRLQVPENKRRESTLKGIVIARRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEIKVLDRKKVRRAKLYYLRDRMNALKK >ORGLA02G0075200.1 pep chromosome:AGI1.1:2:5452292:5454593:-1 gene:ORGLA02G0075200 transcript:ORGLA02G0075200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGGGAGWGWATWRVAALAAAAAVWVAMHVAARMADALWWRPRRLEAHFAAQGVRGPPYRFLLGSVREMVALMAEASSKPMSPPTSHNALPRVLAFYHYWRKIYGHRFLIWFGPTPRLTVAEPELIREIFLTRADAFDRYEAHPVVRQLEGDGLVSLHGDKWALHRRVLTDAFYPDNLNRLIPQVGKSVAALAAKWGAMAEAGGSGEVEVDVAEWFQAVTEEAITRATFGRSYDDGRVVFAMQGQLMAFASEAFRKVLVPGYRFLPTKKNRLSWRLDREIRRSLMRLIGRRSDEAEQGEKADDGSFRDLLGLMINAGAGAATRGNAGGEKNSPAAAIPVEDMLEECKTFFFAGKQTTTNLLTWATVLLAMHPDWQERARREVFDVCGAGELPSKEHLPKLKTLGMIMNETLRLYPPAVATIRRAKVDVQLSDGCMIPRDMELLVPIMAIHHDTRYWGPDASQFNPARFANGASKAAKHPLAFIPFGLGSRMCVGQNLARLEAKLTMAILLQRFEIRTSPNYVHAPTVLMLLYPQYGAPLIFRPLSSHPPDSTGP >ORGLA02G0075100.1 pep chromosome:AGI1.1:2:5440278:5444840:-1 gene:ORGLA02G0075100 transcript:ORGLA02G0075100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGHDMNLGTALQLLQESTASARXLKLSFALLAHGFQLSLRDIRGNMFTQVYLVKSALSADAAETAASYRMAMRGRRAEKEAQVQLQQLAASGAMNDEIVAECGSACRQAVGGAGESPGPRLSRVHVCLINHPGRPSTPDKPR >ORGLA02G0075000.1 pep chromosome:AGI1.1:2:5431402:5432905:1 gene:ORGLA02G0075000 transcript:ORGLA02G0075000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAMRPLRPPLDGIVCWVKGEIAEVFDSYAWKVAEVVRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNRLLLKKKKVYEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGRCLPVVPGGSLHLMDKVDAVDSQCLELGEKYMHDSLSKRANGFHKTNLAAVKANFDYLDPAVTTQDSDTDSVVSSVGSCNPCGSPYGSTHPQEYDCADICSRTDDAEASVSGRESFSRTDDAEASVSGRESPAPVNDGLKEKTHFLELHAYRATLMALYASGSISWEQEAMMTNLRLTLNISTDEHLSELRSLVSSEVHSR >ORGLA02G0074900.1 pep chromosome:AGI1.1:2:5423930:5427108:1 gene:ORGLA02G0074900 transcript:ORGLA02G0074900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyase family protein [Source:Projected from Arabidopsis thaliana (AT3G15620) TAIR;Acc:AT3G15620] MDAAATAATATAAAAMVWFRKGLRVHDNPALDAARRGGAAARLYPVFVLDPRYLRPDQAAPSPGSARAGVARVRFLLESLSDLDARLRRLGSRLLLLRARDDGDVACTVCAALKDWNIGKLCFESDTEPYALARDKKVMDFAAASGIDVFSPVSHTLFDPAEIIEKFLFSFGKWKNGGRPPMTYQSFVAIAGEPPEPIMEEYSELPPVGDTGEYELLPVPRVEELGYGDISQEDLSPFRGGETEALKRMRESLHDKEWVAKFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRYFYHCIQDVYRSTKKHTNPPVSLTGQLLWRDFFYTVAFGTPNFDQMKGNKICKQIPWTENEELFPAWRDGRTGYPWIDAIMIQLRKWGWMHHLARHSVACFLTRGDLFIHWEKGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQYHRIYSPTSFGKKYDPNGNYIRHFIPVLKDMPKEYIYEPWTAPLSIQKKANCIIGKDYPKPVVDHAIASKECKKMMGEAYASNRLDDDKPDKGKSSNSSRRKLSAGSQVTPNSSKTKQLKRSS >ORGLA02G0074800.1 pep chromosome:AGI1.1:2:5415741:5420903:1 gene:ORGLA02G0074800 transcript:ORGLA02G0074800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNPIVFMDVSIGDEPDERMVFELFADVAPRTAENFRALCTGEMGIGQTSKKPLYYKGSLFHRVIKGFMAQGGDFSNGDGSGGESIYGGTFEDENFVLRHDERGLLSMANAGPNTNGSQFFITFKHNSRLDRKSTVFGKLILGNDVLKRIEYVDVHGAGSTPVVPVRIVDCGELVDGKCLGSITVENDKKRSVKSKLSKDESSDEENNEGKRKRHHKKSSRRRRKKKRYSSSESESSSESESELSDSDSESDTCSSDSSDLSSSSDDRRRRRKRHSKKDKHKRGKRKRDRRRERKRRKRDRKSKQKSKRMLESDSETGNVSDSSLEDDKSKRHHRGRKSKASSQVSGENHTALAALKDAASTQQKSATPRSLAQEDKSPKENGDTRTNGVTESKTERNADIALTSNRSKSRFPIFLHTSIILCAYCVHEQCFI >ORGLA02G0074700.1 pep chromosome:AGI1.1:2:5412763:5413376:-1 gene:ORGLA02G0074700 transcript:ORGLA02G0074700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TARPLAMAMTQGAWVCHFLAEIVELVAFIFSLPFRLLAEILSGGGGDGDLQDAHDYFVEEGRKHLAGPGALHLLGERRRDALSALDAALSAGYGYGYHDLLPLEERADALVARAEIELARYLRCTLLNSGHRGQAIADLREAVRICPDNGRANALLLKYN >ORGLA02G0074600.1 pep chromosome:AGI1.1:2:5402117:5402861:-1 gene:ORGLA02G0074600 transcript:ORGLA02G0074600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDQQRGAAGAYTGPIGYAAAAAAGALAAGGAVAVLVAFTLPTPDQPLPPLPPIVVRCRKLLDDIRRATPRSHHRFQVASGALAEADRAIAAGAWGGLHKPLLLVVRAFALDALGQRRRALRALDAALAGRLPPRERGDALVKRAEINLGYYRRCFFPCPARLDRAAADLKEALCFVPDNARARARCSASARGRRAATRRRIWLGHAAVKG >ORGLA02G0074500.1 pep chromosome:AGI1.1:2:5396380:5397108:-1 gene:ORGLA02G0074500 transcript:ORGLA02G0074500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G65520) TAIR;Acc:AT5G65520] MAALALGAAATWPEAIVQCLLFILAAAMLVALHSLPRRAAHRLRRASSAGSSSAAAAQSRRHFAQGAQLLARARAAAAGGTKKPPGPLARAALAEADRAIALDPRDAAPLILKALALDLQGHRLPALRALDAALAPPLARSLEPRERGDALAKRAEIALALHRRHRRRLDQAADDLAEAVRLSPQNARAHALLGECYERKGMAAEALDAFKTAASIDPSLAAARDALRRTEGSDDGVESDSD >ORGLA02G0074400.1 pep chromosome:AGI1.1:2:5389982:5390323:1 gene:ORGLA02G0074400 transcript:ORGLA02G0074400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAHVSAAARGRVAVPCQGKCGTDRWGLVVVPSWMARIVGVVHVFWARRNRACHVVIWTVRRVIDVGPRLWLIRDEKYQIIILVLVWVAVDAADVKVGVALAVRGIIGHVFKYV >ORGLA02G0074300.1 pep chromosome:AGI1.1:2:5382606:5383916:-1 gene:ORGLA02G0074300 transcript:ORGLA02G0074300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWDCRACQHLNFSRRDLCQRCGEPRGAADRGSGGGGDYANFGGRGGSSFGGGFGTGSDVRPGDWYCNCGAHNFASRSSCFKCAAFKDDAAVNSGGAGAFDGGDMSRSRGYGFGSGAARASRPGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGSAMTYENYLH >ORGLA02G0074200.1 pep chromosome:AGI1.1:2:5376499:5380173:-1 gene:ORGLA02G0074200 transcript:ORGLA02G0074200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDVEVDEGTGPEDSVLELVAARRTHAIGLFDRKRGHMDAVNVLASATQLVSAMLTAVGALEQAAADFAEAPRRLQVLEDFVSDLGLLMQQSKQKHAHKMHAPQLERQLQSLGKLMDQLHANITKARRVLKKGKRKKGLARVVWSSVTGDPLMKYVQLIRDDLNWWLELQKLTESVGNVIASSAKSTPSLVRVKSEHGYPVSKKCSYVRELLINDGSHRVVLIVGLSGIGKSCLARQIASDPPGNFVDGAIELSFGRWCSRAACNGNRDEYHKRLVRKICKFLVQIGSMTVNEDVGKDLEDVCYLLQTALVGRSMLILLDDVWEQDIVDRFTNLYDNDCRYLVTTRDEAIYEIAEAEKVEISKDDIKEIGKDILLYHSLLTVEELPPVAYDLLDRCGHHPLTVAVMGKALRKETRVEKWDRAISNLSTYATCAPGPVSYVNEKEVETTLTIFGSFEFSLEAMPENSRRFFMVLAAISWDEPVPEACLESMWSALMQDTLFPLVVSKLVEGSLIIKLEDQSMYHMHDMVSLYLESKTDNAVHTLLFGSFPEYAALVSPWLFIFGKESAKERAEQKIRSLFSLLEFMEIEILLGSTTQALMECKSISEFEASRLRFSKILSPRIAELISVGSTSLIVTVTKSITVIFFQGDYAKLAQSLETAGSVDKLIHVLRGCEDSSTLANVSTVLAKISEHVDATTADEILATIPMDQIAKLLSPENEEWHEIVFTTLASLIKVGKLRAVETMIESGIDKKLLVLLGSGSEISQHHAIIMLKTFCELGAPLQGCMGPGVLTHLPWHARLSLERFVLFDQNVTPSPKPQQSFELILHKILQRDNKDNIEAIQGLLPLAERANDSRVQDLLLGSNMSDGLALLLQRRDIESNQVRSHTAFLVMNLACTGGEPYVHRFLEANIVHELIDMMQCNINDLQDSAYYALHQIIFAKGGSLVLQRFLQAGTIEKLVNLLDRKSSKTKELTMQLLVDIAVVGTKPCIERMLSSQIIEKFVALEKAGGSFSGAVSRYVQGLNMCKNVQSAERSVMKQQILRKVRSEIRGHDLEASLVASVEACISEKGASSSRRKK >ORGLA02G0074100.1 pep chromosome:AGI1.1:2:5367401:5368846:1 gene:ORGLA02G0074100 transcript:ORGLA02G0074100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NYD5] MARQHFLVVAYPGQGHINPARALAARLARATGAHVTLSAAVSAHRRMFPSLAAPDEEVHDAGADGGGGISYVPYSDGYDEGFRLFAGDGEAAWRHAETFGRVGREAFAGVVDRLAARGRPATCVVYAFLMWWAADVARERGIPRVLYWIQPATMLAVYYHYLHGLEDLVTEHAGEPEFTVAMAPGLPPMAIRDLPSFFTDLGDTRLAAAFHGVRTTIEQLDIDRRSSSKPPMVLVNTVEELELDVLAASFPDLDILPIGPAATSLDGGAAAAARASRDLYKHDEKGYMEWLDAKPAGSVVYVSFGSMSVVSRRQKEELRRGLAATARPYLWVVRSDDRDDGDGDLHAGDGGMVVEWCDQVRVLSHGAVGCFVTHCGWNSTLEAVACGAPMVAVPQWSDQDTNARLVAGWGVGVRAATGADRVVEAGELARCVETVMADTEAAAAVRRSSAAWKAKVREAVAEGGSSDRNLKAFLDRIANVA >ORGLA02G0074000.1 pep chromosome:AGI1.1:2:5350156:5353808:-1 gene:ORGLA02G0074000 transcript:ORGLA02G0074000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRASLTDPLFPSPAARAPVKAKKLSWSMLHAGSKDERRGQSGEAEAEASGGVHANPSSPARMQEQATSSRPSSSERSSSSGGHHMEIKEGKEAPLRSLPLPFPFLISILFAPLSGMESDEEIGRVPELGLEPGGASTSGRAAGGGTERAQSSTAQASARRRGRSPADKEHKRLKRLLRNRVSAQQARERKKAYLNDLEVKVKDLEKKNSELEERFSTLQNENQMLRQILKNTTVSRRGPVLPKIPKSGLREAAPAGCGGLREAEGDEQFVLNGFTAANLSFDGMATVTPNGLLMLTNGTNXLKGHAFFPAPLQFHRTPNSTAMQSFSTAFVIGIISAFEDQGSGSPAAAGGSGRAA >ORGLA02G0073900.1 pep chromosome:AGI1.1:2:5345715:5347282:-1 gene:ORGLA02G0073900 transcript:ORGLA02G0073900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSSFXVDSSACLCRVERSVAGAAAARLMPRAKACAQPSLRASIHPLKPKRSPGRDHRGGGGGGRQCPLIPGLPDDLAVACLIRVPRGDHWKLRLVCRRWSRLLAGNYFYGLRRRLGLAEQWVYAVKRDGEGRVSWDVLDPARRAWRALPPVPGEYAGAAGFGCAVLGGCHLYLLGGSDPRRGPMRRVVFYSARSNRWHRAPDMLRRRHGFGCCVMGNRLYVAGGEGCGVGGGGGGGLRSVEVFDPAKNRWSFVSDMAASLMPFVSAVHGGRWYVKGLGAQRQVMSQVYSPEADAWSAAHELDAMVTGWRSPSASLGGRLYAADCKDGCRLRAYDEAAGAWSGRVDGGQHAGSSHAVEAAAMVALHGKLCVVRNDMSVSVVDVAAASPRWETVVGKGQMKAFVANLLSAIAGGRGRAKNRVLHCQVLEA >ORGLA02G0073800.1 pep chromosome:AGI1.1:2:5341247:5343875:-1 gene:ORGLA02G0073800 transcript:ORGLA02G0073800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVVPLASTAQGPCEPSPGSGPLPVSAAASDPPAPSSSVPAGGREPSASGLPPAVTPQLGDHDQGGLPNCVPKVGMTFNSENEAYDFYNSYARNVGFSIRKNHANTRADGSLCSKYFLCSNEGQPVASTTQPGRKKRSSTRSDCKARLQFYISREGIWTVQKVELDHNHYLVSPDKSHMLRSQRRLTPSYQQIVNEMRQEGITAADMQRVFRQWSRGAENVHLLKKDTQRKYLQPSYAQKLLEYLKNKQTENPSFFYAVQLNDDGRIANFFWTDCQAIVDYACFGDVVSFDTTFETNKFEMPFAPFVGTNHHKQPILFGASLIYDESSESFNWLFQTFLTAMSGKQPATIFTDPSAEIIKSVRLVFPNSSHRLCLRHICHNAVKHLNHVICNHPEFLSDFKRRIFEERSVTFFDLKWKELVNAYNLDGNDWMNNLYAMREKWAAVYSRDSFYADMMTIQNAEGTSDALKNFRRKLCLPEFLEEYEKCITSFRQNELEADYNSRQTSPVPYVPDLPMLKTAAESYTRNLYSHFEEEFKKLFTLSCSLLSQDRTISTYKLTPLNSEEEAYVVFNSEDTTVSCSCRMYECTGMLCKHALRVLNYSNIFTFPSHYVYKRWTKYAKAGLFGCRNNSQSGNGSSMLRCARISQKMHSVALRYSMSEKALQFLESGVDKLTCEVENLLSHINLNGNGNDTGQSSGFCNGAMAESLGHSESMYSEGA >ORGLA02G0073700.1 pep chromosome:AGI1.1:2:5339091:5339993:-1 gene:ORGLA02G0073700 transcript:ORGLA02G0073700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAQPLQHEHDPSPPEPDAAADADDEEEESRVWSQIKAEARRDADGEPALASFVYATVLSHPTLAGSLAFHLANKLASSSTLLSSTLLYDLFAAAHAARPDLRAAAAADLLAAGARDPACAGRLSHCLLDYKGFLAVQAHRVAHALWAQGRRALALALQSRVAEVFAVDIHPAAAVGSGVLLDHATGVVIGETAVVGDGVSILHHVTLGGTGEAVGDRHPKVGDGVLIGAGATILGNVRIGAGAKIGAGSLVLADVPPGATAVGNPARLLLGGDQRGGAPAGESMDHASFMLEWSDYTI >ORGLA02G0073600.1 pep chromosome:AGI1.1:2:5335637:5338517:-1 gene:ORGLA02G0073600 transcript:ORGLA02G0073600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT2G25570) TAIR;Acc:AT2G25570] MLAASARRVAAAAAASSSHSRLANQLAHALNPQRWIHDRNKKAMELVAKGWSALQEVDRVIDYADRNDKRLIPLLRGAKENFELALEIDNMNTHARLWLAKMHFKYHVPGACKAIGAALLVEAANMGDPDAQYELGCHLRIENDYVHSDQQAFYYIEKAVDQLHPGALYLLGAVYLTGDCVKRDIASAMWCFHRASEKGHSGAAIAYGSLLLKGAEVPEVITRFNSGKSPSTGKMRKRPIQQDPVKLAKEQFQIAAEAGCDLGLRWLKRLGDYEKQQEQPKQIQQ >ORGLA02G0073500.1 pep chromosome:AGI1.1:2:5328068:5332817:-1 gene:ORGLA02G0073500 transcript:ORGLA02G0073500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVGNLTIAAGEAAGAGGGAAEAHKKNRIQVSNTKKPLFFYVNLAKRYMQLHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDSRSRPMQKAKIEIVLGKTDKFDELMAAAAEEREAAAAEAEAEEQS >ORGLA02G0073400.1 pep chromosome:AGI1.1:2:5325504:5327232:1 gene:ORGLA02G0073400 transcript:ORGLA02G0073400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMAVTTMVTRNNAVGGGAVAVVDRKGWFVPEVSFPWSSVEGERINSSSKRLEFPRRAAAPPLFASVGLSLPSAAKGRDNCDVARQLAAAEAEEAAGKKRQGRKMKGGGGLLSLRKVRVKIGNPHLRRLVSGAIAGAVSRTFVAPLETIRTHLMVGSCGAGSMAEVFRWIMRTEGWTGLFRGNAVNVLRVAPSKAIEHFTYDTAKKYLTPEDGEPAKIPIPVPLVAGALAGVASTLCTYPMELVKTRLTIEKDVYDNVLHAFVKIVREGGPGELYRGLAPSLIGVVPYAATNFYAYETLRRLYRRATGRADVGPAATLLIGSAAGAIASTATFPLEVARKQMQVGAVGGRQVYRHVLHAMYCILRGEGAAGLYRGLGPSCIKLMPAAGISFMCYEALKKVLVEEEAAPELEAECAEEIKEKVA >ORGLA02G0073300.1 pep chromosome:AGI1.1:2:5298728:5320829:-1 gene:ORGLA02G0073300 transcript:ORGLA02G0073300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3414) [Source:Projected from Arabidopsis thaliana (AT5G51200) TAIR;Acc:AT5G51200] MPPPPPPRELLAVVEAALLGPSPPSPAQRVELLHAVRDAAPTFRALLSYPGPKASDRTQVEAKEVRLPDMPPITLDDTDVQTALKLSDELNLNEIECVRLLVDANREWVLYGREPLEIYRLAAGLWYMERRDLITSLYILLRSVVLDQGLDADLMYEIQNQMEALFIEGLGQRIITLVKELNREESTGVGQPSSEHYVLDFRGALVERRAIVSRERLSLSHCLALSALIKLMSPREVKDVFSLLKDCAAEVNENSSVEIQITYGVLFSLVVTFVSDALSTSHEKPSLSSSDSSFRRDFHELVMRSDNNLTIEGFVGVVRLAWAVHLMLTQDRSSARDTLTSSSRDVTDIWACLEIICRQNSFQFLRERIMQTAAYKNDDEDIVYMYTGYMHKLMMCFLSHPTSRDKIKEIKEKTMNALSPYGSIRDHREDPSRTGEQIGQPTNQPFISLLELVREIYQKEPELVHGNEELWTFVISAGEDHTNTLTLVAFLGLLSTLASSEVGAAKVYELLQGKVYRSLGWSTLFDCLSIYEEKFKESLQSSASVMPEFPEADAQALVSYLAVLQKVVENGNTTERRKWFPDIEPLFKLLSYENVPPFLKGALRNSITAFIKVSPLLKDAIWSYLEQYDLPVVTPPLGQHNATQMEECVWQIYDMRFELNEVEAMRESYPSTISFLNLVNALIAEERNISDKGRRFMGIFKFVYEDVFGPFPQRAYADPREKWELAVACLEHFRMVLSMYDIKDDDIYAAVNASGPSTTSHASIDRQLPVLELLKDFMSGKVAFRNIMNIVSVGVDTLINERTTQTYGILLEKTVHLSFEIFILVMERDLVLADVFRPLYQPLDVVLAQNHRHIIALLEFVRYDYLPQIQQCSIKIMGILSSRIVGLVQLLLKADVAKSVIEDYAACLEFRFDDFQVIENTKDDVGVLILQLLIDNICRPAPNITHLLLRFDVNGSIERTVLKPKSHYSCLKTILDNLEKVTKPDINALLHEFGFQHVGTIGVSPLPRRNNNQSLRISMLHERAWLLKMLALALHVSDISSSLYRESCLAILCHTFGHCAENLRSANLLQSPGSSNLAMNGNKVLDLLEVVQFRCPDTSIKYPQMLSNLRLESKIEEILRNSATSEFGGVYYYSERGDRLIDLDAFHEKLLQMSQLLNPQLSESEKSELKESFHQMLKWAWRYNKNLEEQAAQLHMLTGWSQIVEIAVSRRMSLLEDRSHLLFELLDASLSATTSPDCSVKMAYILTNVALTCMAKLRDERFICPTGADSDAVTCLDIISAKQLSNAACTSLLFKLTMAILRNESSETLRRRQYALLLSYFQYCRSTLDSDVPPPVLRFLLLEEQEGDDDELGLQKVLKEQNELAHSNFSIIRKEAQAVIDLVAKDAIHGSEAGKAISFYVLDSLISIDHDKYFLNQIQSRGILRSCLSDVNNYLSKEASFSSESSQRFCTIDAQLSLLLRISHHYGKHGSQILLSMGALHNLSSCNLMGSQKKANSRLNSNVVKERAGEIDKRRSLTAPILRIVTSFTSLVDSADFLEVKNKIVRELVDFAKQHQPVFNIILRESISGANIFNLERLNMVVSILGKVWAYEENDECSFVQDLFSMMQFLFSLDIGSLNFMQSSNMIENQKSELIVFGLCFSLISYLYVLATKKDMRFQISYDDTTEGQQQPTLQLISDLLNSITVAMERVAEEKYMLLNKIRDLNELSRKEVDDIIKLCMKQDCISPNDNIRKRRFIAMIELCCMAGNRGQLITLLLQIAECAVTILLVHFQDEYESCAKDISSFSDELLPILERLEHFTEDKVGRSLKLFHRSVTTLKEMTIRSMSL >ORGLA02G0073200.1 pep chromosome:AGI1.1:2:5295444:5297463:1 gene:ORGLA02G0073200 transcript:ORGLA02G0073200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSSQIVEMQPEWRDNFLSYKDLKKRLNLISGGAAGKRASKRRRVGGATAVTVTAAAAGGMTLEQAGFVGLLDAELDKFNFFFLEKEEEYVIKQKELRERKMASAEEVMRVRKEIVDLHGEMVLLENYSALNYTGLVKILKKYDKRTGSMIRLPFVQKVLQQPFFTTDLLYKLVKECEEMLDQLMPTNEHSVASEDGKDDSEGEEKGSKPSSSSSANGGAVPGEADAEAEAEDERSTDMKSTVTAALRALREIRSGSSTVSVFSLPPLHGSNGQDEPGR >ORGLA02G0073100.1 pep chromosome:AGI1.1:2:5283861:5286149:-1 gene:ORGLA02G0073100 transcript:ORGLA02G0073100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02065) TAIR;Acc:AT3G02065] MEQEENHSADHLSAQPGNGNELEESSVKERCFEQREALVGEPRCVICGRYGEYICDQTDDDICSVECKTILLSKLSAETRPAVKAAKRVNLPVGDESFCIRDENFPKIPSMHDGQIASLRSKLDICVKGEDVPDPIMCFSSSGLPEKLVLNLEAAGYVMPTPVQMQVIPSSICNRSLLVSADTGSGKTASFLVPIIAHCSHVRSERCTDKQGPLAIVLAPTRELCLQVEEQAKVLGKGLPFKTALVVGGDPLAQQIYRIENGIELIVGTPGRLIDLLMKHNVDLNKVDVFVLDEVDCLLERGFRDQVMQIFQALSHPQVMMFSATVNSEVEKMSNSLAKNAIHISCGNPSRPNKSVKQVVIWVESKQKKQKIFEIMTSKQHFKPPAVVFVSSRIGADLLSEAITVATGLKVVSIHGDKTMNERRESLRRFLTGEVSVVVCTGVLGRGMDLLKVRQVILFDMPNSIDEYVHQVGRASRMGVEGMAIVFVNEEDRNLFRELVQILKTAGAPIPRELANSKYTTGIPLGGGKKRKLKSR >ORGLA02G0073000.1 pep chromosome:AGI1.1:2:5277135:5277873:1 gene:ORGLA02G0073000 transcript:ORGLA02G0073000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVQRNSTGDLATAADRDARSNGGSRNSSAGNLSQILSAKLRKCCKAPSPSLTCLRLDPEKSHIGVWQKRAGARADSNWVMTVELNKEVEPTEPAAQPTSTATASQVTMDDEEKIALQMIEELLSRSSPASPSHGEGEGSFVI >ORGLA02G0072900.1 pep chromosome:AGI1.1:2:5258145:5260383:-1 gene:ORGLA02G0072900 transcript:ORGLA02G0072900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPEVCTWFHLISLFEGFDLSPLFEHDPAASSGRAMARAGGTWFATREAASGVVARLEALATGGAMPTRVTRSSARGVRLAVAADRHLQRGAVVARGGRQEGWRRRHGVLVVLQXRAPAGAXGHRLVAGGDLTTRRDVAAAIIARHRIVSVAIHRGALLFGADLAILFDACVMLAKLFVRLRTFGADRWIAHMTAQKRFAIAKGEAGDLQKPEEKNNTVTHLAHSILLWSGLLWKQNVV >ORGLA02G0072800.1 pep chromosome:AGI1.1:2:5253060:5256283:-1 gene:ORGLA02G0072800 transcript:ORGLA02G0072800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVATHAAEPPRPSAAAAEVAVAGGGGGCGAARKPAATMEHVLLALHETEAEREARIRAMFGFFDAAGRGHLDHAQIEAGLAALHLPPPPPPEEGGGGGGGGYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWHALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAAIPERISKHASASKYLIAGGVSGATSRTATAPLDRLKVIMQVQTNRTTVLQAVKDIWREGSLRGFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSDIGTSGRLMAGGLAGAVAQTAIYPIDLVKTRLQTFACGSGKIPSLGALSRDIWMQEGPRAFYRGLVPSLLGMVPYAGIDLTVYETLKEMSKTYVLKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSEAAYRGMSDVFWKTLQHEGISGFYKGLVPNLLKVVPAASITYLVYETMKKSLSLD >ORGLA02G0072700.1 pep chromosome:AGI1.1:2:5242654:5243172:-1 gene:ORGLA02G0072700 transcript:ORGLA02G0072700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSSSSSGGGGSLALAAATAVAVSGSIVIFSLCRAARPAAAAEAEAEASLRPCLSSSSSSSSRRRRRSGRRVRFAADVVDNEGAARPARRLAAAEEHTCRGDAAAAAAAERMPANREALYRGMLRDRSSHRVAFSY >ORGLA02G0072600.1 pep chromosome:AGI1.1:2:5227678:5230966:-1 gene:ORGLA02G0072600 transcript:ORGLA02G0072600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGCSSLTSWVRRLVACVGNCFGCAKPTPIIAVDEPSKGLRIQGRSIKQRSLSEDFWSSSPPGMENSAMQSQRSMSSISTAAQSSDQHGAGSSTNPNEFVNQGLLLWNQTRQQWVGNRRHNSQRQQPREPKISWNATYESLLGSTKPFPQAIPLGEMVDFLVDGWEQEGLYD >ORGLA02G0072500.1 pep chromosome:AGI1.1:2:5225977:5227019:-1 gene:ORGLA02G0072500 transcript:ORGLA02G0072500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARQCLSKHLAAGCALARPPHAASPVAAAAANSHGPLNFRALFSSAGADAAATTGGCAPAKGDGHSREVAVVDRSRRRWPWRYLRDFVPFRLVDGIGSALSQVAETLTRPLTGKVREDEERYRLRFDVPGLGKDDVRVYVDDGVLAIHGEKRDLVEEDRGRDGDGECWAAATYHAGLLLPEDAVAEGITAEVRDGVLHVTVPRSPERKRSVTEVKVR >ORGLA02G0072400.1 pep chromosome:AGI1.1:2:5215183:5217326:-1 gene:ORGLA02G0072400 transcript:ORGLA02G0072400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFYGLGDGGCLVSAPAELAGMFCRGGVVVQQQQRKRSLVAASAVAAADCVVRAAKRQRQRQQRQPPSLDALPDECLFEVLRRLPGGRERGASACVSRRWLALLCSIRASELNQATAAAAAAAPPSLPDLNEEFVMEEDDEEEKESSPVVDPCVERVLEGKEATDVRLAAMAVVAGSRRGLEKLAVRGSHPTRGVTDRGLLAVARGSPNLCSLALWDVPLVTDAGLAEIAAGCPSLERLDITRCPLITDKGLAAVAHGCPNLLSLTVESCSGVGNDGLRAIGRSCSKIQALNIKNCTRIGDQGISSLVCSATASLTKIRLQGLNITDASLAVIGYYGKAVTDLTLVRLPVVAERGFWVMANAAGLQNLRCMSVTSCPGVTNLALAAIAKFCPSLRQLSFRKCGHMTDAGLKAFTESARLLESLQLEECNGVTLVGILDFLVNCGPKFRSLSLVKCMGIKDICSTPAQLPLCKSLQFLTIKDCPDFTDASLAVVGMVCPYLEQVDLSGLREVTDRGLLPLINSSEGGLVKVDLSGCKNITDAAVSTLVKGHGKSLKQVSLEGCSKITDASLFAISENCTELAELDLSKCMVSDNGVATLASAKHLKLRVLSLSGCSKVTPKSVSFLGNMGQSLEGLNLQFCNMIGNHNIASLEKQLWWCDILA >ORGLA02G0072300.1 pep chromosome:AGI1.1:2:5210286:5213282:1 gene:ORGLA02G0072300 transcript:ORGLA02G0072300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTIADAVGAPGIEKEVNGKPALPDSMEEHEEAHEVQANGDHSGESDVINPPEEASGESTSHLDGKKPRPAKATQSHGPKVVKSRSPKSGGEGQARRSTPSSTLSKAPVARVSHADSSTGSKTNGDSSVDRNKAEKQEPRSSTKETSLEDSKEKRKTQKPLGQNSSVKKDDESNPESRKAGGTPAYGFSFKCDERAEKRKEFYSKLEEKIHAREMEISNLQAKSKETEEAELKMLRKSLNFKATPMPSFYQEPTPPKVELKKIPPTRARSPKLGRSKNKSAGETKETVTPPGRPVRLSLDEKVSQNGVKKANPSNAVKPQRKSLPKLPSEETGPFDSSHLKNTELSTGNIQESGSTTPNQQETESNTSISESIQDRIATGGQELDEQIIA >ORGLA02G0072200.1 pep chromosome:AGI1.1:2:5203449:5205924:1 gene:ORGLA02G0072200 transcript:ORGLA02G0072200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQAQLSFKASLHHPLGGGDHYSTARQRRGYWWLVKDVVAVQTCHSEMNGFAFLDLYSSNLVAHLILHGTTSRRLLVLGPPGNLQFWGGGNGAGGDNRGGATGGSGAGVAPGGGGVSGGAAGLIYPDSTRHRGTYCDTMHLPAMDDGSMLQKRNSILAAHMKDPVHKLGLRVAWTRPQLGPEKSQKARIISAWKKYTQVLSVVLTPVLAYVAAESAXNPRGPHMSACHVNSLLLQQQQQAAANLSGRKTKAGGDAGVVVLRRQLGRHRRRRRQXLWARRCSGSQAAGGDHGGVRCLAALREGASHPGHVDAERCRSHRPRRRRGAPTMCCTRSAGAGPRHRHWHGCGXFYYSVNHGVHERYKYVSSLTLYEHGYMHADVSVFWLSESQSNGIDRY >ORGLA02G0072100.1 pep chromosome:AGI1.1:2:5198192:5200128:-1 gene:ORGLA02G0072100 transcript:ORGLA02G0072100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTARKARVLLLSSPPPPPSPPVMLRRGGVVLLVVVVVLGLLAARCDGKKVSSFVGTYGVNYGRIADNLPPPTEVVKLLRMARIKNVKIYDADHTVLDAFRGSGLNLVIAVTNGEVKDIAASPAKAMDWLNENVQPYYPSTRIVGITVGNEVLGGADAGLAEALIGAVVNIHDALKMLGLATKIELTTPHSEAVFANSYPPSACVFRDDLMVYLKPLLDFFSKTGAPFYVNAYPFLAYMSDPAHIDVNYALFKPNAGIYDAKTRLRYDNMFEAQVDAAYFALEAAGYPEMEVRVAETGWASAGDATEAGADPANARAYNFNLRKRLFLRKGTPYRPGRVAKAYIFALFNENLKPGPTTERHYGLFKPDGSVSIDLGFKGLVPSSSSPSSSIISFKRARERGWMALVQYSATLLSCTFIFLVLS >ORGLA02G0072000.1 pep chromosome:AGI1.1:2:5190482:5194998:1 gene:ORGLA02G0072000 transcript:ORGLA02G0072000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASDDAVKQLALLMDQVEAPLRRTFQNVHQGYPKETLLRFLKAREWNVSKAHKMLVDSLNWRIQNEIDTVLERPIVPVDLYRSIRDSQLVGLSGYTKEGLPVFAVGVGQSTYDKASVHYYVQSHIQINEYRDRVILPMLTEKFGRPVTTCVKVLDMTGLKLSALSQMKMLTSISTVDDLNYPEKTETYYVVNVPYIFSACWKVVKPLLQERTKKKVKVLHGCGRDELLKIMDYSSLPHFCRREGSGSSKHSSTDADDCYSLDHPFHKELYGHIEELASRKELIKMGSLHVSIPEPDPDDAKIVEVIQAEFQKIGEQNGSANGHKV >ORGLA02G0071900.1 pep chromosome:AGI1.1:2:5181753:5186716:-1 gene:ORGLA02G0071900 transcript:ORGLA02G0071900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDAAAARRRAAVTEYRKKLLNCRELESRVSTVRENLKNAKKDFTKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQARMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVVHEDFMKAVRKLNDAKKLESSAHYSADFGKE >ORGLA02G0071800.1 pep chromosome:AGI1.1:2:5173442:5179528:-1 gene:ORGLA02G0071800 transcript:ORGLA02G0071800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVGGGSGGGGERPGSAPMRLCVHVLEARGLPAAYLTGHSDPYVRLQMGRRRAKTTVVKRCLSPLWDEEFGFAVGDAEEELVVSVLNEEGYFGGGFLGRVKVPLSTVMAADGLSLGTAWYHLHSKGGRFRKKRRVAGEIRLRIYLSRTAICDELRNMPMQLINDTPCSSMRSVGTTASSLSARSVGTTASSLSARSVGTTASSLSASVSSLDLSACPSMERASCSSMDKLSQGIMDQQGRRSPGQLSCISTERSILLEPEEDDNDATTNASSVVEVMSRYFCRKPVDAAPSATSDHEQFQDTQMNSESCENGDNGALPETSLNELMKSLESKDKGSEMPENLRGGILVDQSYVLQPTEMNSMLFSAHSDFWPAVAEVQGLSGFQTDPWKLVSNDCLKRTLSYTKAASKLVKAVKITEEQTYLKAAGNSFAVLSSVSSPEVPCGNCFKVEILYCITPGPQLPSKEQTSHLTISWRLNFVQSTMLKGMIESGTKQGLREGYAQFTEVLSQKTKVIAPDDSNLSKDEILSSLQTQEESIWKLAARFLGNFAFIFSLCIALYAIAHLRLVKPNMVHGLEYFGIDLPDSIWEVVFCAILIIQGQNIFKSGRRFLYAWKQRGSDHGVKAHGDGWLLTVALIEGSGVVGSGTPGLPDPYVVFTCNGKRKTSSVKFQTSEPKWNEIFEFNAMDDPPSRLEVVVHDSEGPHNKIPIGQTEVNFLKNNLSDLGDMWLPLDGRFPQGCEPKLHLRIFLNNSRGTEIVMNYLAKMGKEVGKKIHLRSAQTNSAFRKLFSLPPEEFLIDDFTCYLKRKMPLQGRIFLSSRILGFYSNILGRKTKFFFLWDDIDDIQVAPPTLAKVGSPSLMIILRKDRGLEARHGAKTLDPQGKLKYHFQTFVSFNDAHRIIMALWKMRSVDPEQKGEMIDKNSELKQLPCEEGSLLANEDVKMSEVYSAVLSVDINSLMDMFSGGPLEHKVMQKAGCVDYSSTEWELLNQNIYQRQISFKFDKILSRFGEASTTQRKYNLANRDGWVIEEVMTLQGVQHEDYSSIQLKYQMTSTSLKPSTCSIQVLLGIAWLKGAKQQKKVVKNVMSNSANRLKEIFSEVEKELASKKGVS >ORGLA02G0071700.1 pep chromosome:AGI1.1:2:5163530:5164807:-1 gene:ORGLA02G0071700 transcript:ORGLA02G0071700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAGETQVPDDVVDEILVRLPSRSSLARAAATCSAFRALVSSPRFLRRHRARHGPCPGALLGSFAFSSEGGAFHPAEPPHASAAAARAVAAAADFSFAFLPPSPVVGDDDPRRGLGWIVRDHRDGRFLLDRVASLDDNVFPELAVCDPLSRRYVVLPPIPRELAAAVDRPLGVIGGRRRCEPFLAPCDADAESEPAFAVIWTARCPRKVVAFAFASRDGRWRALPSPECFVWSRHRSPFGCPVHAVWNRRFYAHGCFYWLDCLTHRWLVLDTRAMEITVKQIPSPAGYWEEHVAVVEGEDGKVGVFAHDFYHAGGEACLYYYTIVNGGDGPRWRLERTVPLPWPAAHGRPYSIRAAANGSLILEVSHGTPAFMTSYRSRDVELYRIDVKSFELEMICRARCAAGDIAWAYFGFPPLLSLPTV >ORGLA02G0071600.1 pep chromosome:AGI1.1:2:5161584:5162894:1 gene:ORGLA02G0071600 transcript:ORGLA02G0071600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNDEILDGQNEEVQSQVSLPQDIQRIIIGFLPGRTVLKFCSVCKFWRDCIVEPAFVDHHLNCALRFRQAIACFTSVDNGLVQMYMFDPITVNFKRTEPVFSSRFHMSQPCNGMVCTYDLKGAAEVLNPTTRKHLTLPASESVYQAQYSEYFLGYVHSTKEYKVVALRHWIKHLTFEVCTIGTLSWRTVRGSEEEELLKTTKPVVVNDEMHWLLLDDESSHFTRKILSFNLTDEKFSYLDVPDSVRDRDLELVEGEGKLHLWSMPCKGAAYTESEIWLADSTRQFWVHLHNIAHPSVLGTKPFFMYKSKLFLGSQKRFIYIDILDGTVCYVDIPSGENIISSGMFVESFVPALTGTGLVNSMTLLTGSRYAGSSSRGSGPSSRAAGSSSTRTRRSPAASRWSSAVVQSSKRAKRTINLVWKMYTEGTSKIQQGL >ORGLA02G0071500.1 pep chromosome:AGI1.1:2:5155438:5157035:-1 gene:ORGLA02G0071500 transcript:ORGLA02G0071500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1NYA9] MAPASLTRLTNPLPCASPSPRCRRRPGRSPPARAAACGVVSRRRAVSEMAILGGAAAAAASCCVDLFFAHMPARAATLEPDVIRYRKLDSGVKLEDVVDGEGPEAREGDVVQFNYVCRRANGYFVHSTVDQFSGESKPVTLALDGKEMIRGLKDVIVGMKTGGKRRALIPPQVGYTDESLQPIPEEFGPRRSLLSHAKEPLVFEVQLLKVL >ORGLA02G0071400.1 pep chromosome:AGI1.1:2:5153362:5154909:1 gene:ORGLA02G0071400 transcript:ORGLA02G0071400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAIVSGVVADMVGRLMSLVAGQLRDRRGDAEEKLRRLRRLVVRIESAVEAAEARRITGRALLAWLSELVDGALQGRYFLDAFPVATDHDGGGRREAAVANPLNPAKRLRVAARRLVFWDGGAAAELDGVLADLESVSGDLTGFITMLQSCPPALHRPLNTNIYADSQMFGRQVERRRVFDFLLHDSDGDGGGEPAGAELAVLSIVGRQGLGKTTLVQHVCNDLEVRRRFSLIIELDFHCLSLMAAGETALLLRSMFAGTGGAASATTTSVFGDSGETLALLERRLRGVRFLAVFDSVDERRRRVIDAIMPTLRRGRRGSKVIVTSRHAEHVAGLAAAAADTITLRPPPPAEYWLFFKAHAFGGADAEADPRLVAAGQAIAKRLRLAASFFGGKMLAALLRSRPDPRFWRTVLSSGAADLPCLGYADDAVAGRLFPPHVTLQSVTMSRSPERGILSLQDSCLVTPPATQSGDHHRRRRSPELPVLLCKSVFPSYCIYYTAHCTISDSDTDNKQ >ORGLA02G0071300.1 pep chromosome:AGI1.1:2:5148587:5150473:-1 gene:ORGLA02G0071300 transcript:ORGLA02G0071300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSPDTVRRDESAISDLAGHGLEEEDYPVVDYESDLQTAMSTTHFKLESRAEGVGVCELACQWAKHALAHASAYVVEDSFASDTEVCDTQVVVDVQAVAMTELDLYGLMESQLQDVAVDVAGSEVDAMAVAEMEFHGAVVDVTESEVRIVLAVRDEAMAMVVAVPKMEPNGAAVAVTESELDAAMAVAEMELDGATVTVAESELDAAVAVVEMELDGAAVTVLESKLDSVVAVYEVVVDVDAFIEQSRAYFLHNMLKKCCHSENRCNRYK >ORGLA02G0071200.1 pep chromosome:AGI1.1:2:5147881:5148343:1 gene:ORGLA02G0071200 transcript:ORGLA02G0071200.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVLMLPEAKELLPLEMEVLPPKLEDMLESRVIILLLEILNLHKDLLLERKVNFFY >ORGLA02G0071100.1 pep chromosome:AGI1.1:2:5141526:5143019:1 gene:ORGLA02G0071100 transcript:ORGLA02G0071100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLVSLVAGHLLADRRGVYDKLRRVRRLVVRIESAVEAAEARRITGRALLAWLSDLVDGAHQGRYFLDAFPVVADHDGDGDVEVAPSSFNPAKRLRVAARRLVFRDGGGAAAELDGVLADLESVSGDLTGFIMMLQSCPPAMHRPLATNIYADSQMFGRQVERRRVFDFLLQDGDGDDGGEPAAAELGVLSIIGRSGLGKTTLVQHVCDDPAVRRRFSRIILVDFHCVSLMAAGETTALLRSLFAAAAAAAGTGSTSISGVGEKLRLLEKNLRGERLLIVFDNVDARRRPAVDAIMRALRRGGRRGSKVIVTSSDARHVAGLATAADTITLRPPPPAEYWLFFKAHAFGGADDADADQRLAAAGQAIAERLRLRASYFGGKALGALLRWRPDHRLWRRVLSSGAADLPCLGTGDYIAAAAGCLFPPHLNLHGVTVSRSPLRGLVGLHGSSLMTPPPTDSGRRLPELPVLLCKSVFPSYCLYYAAHCTIDDTEIKQ >ORGLA02G0071000.1 pep chromosome:AGI1.1:2:5137091:5137672:-1 gene:ORGLA02G0071000 transcript:ORGLA02G0071000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12/ ATP-dependent Clp protease adaptor protein ClpS family protein [Source:Projected from Arabidopsis thaliana (AT3G06040) TAIR;Acc:AT3G06040] MSLSTIARRLCCSRPTSGGRLSAVWAHLYSTEAAKDTGAKKYKYPEVYDPYGPMSPPSQKVVDLADRIAALPPEEIKQIAPALLLRLNQPPPQAISGQGFSFSPQGGGGAAGAAKAEEKKAEKTVFDVKLEKFDAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVILKQSLTKEEAEAIIEKIKVAGGVAVME >ORGLA02G0070900.1 pep chromosome:AGI1.1:2:5135050:5136042:1 gene:ORGLA02G0070900 transcript:ORGLA02G0070900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRSKSCEPSSEMDERRRRGGVGGNGATTCDDSACGARAAASSASSSSSSATTSHCSSVSVYRAKINGAPRHVTAVWHRTLINQSFTISIDGGGGGGAGAGDDGALSHKVELKPWPFWSKRGAKTLDVDGDRLDIVWDLRSAKFPASSPEPAAGYYVALVSRDEVVLLLGDGKKDAFKRTRSRPSLDDAVLVSRRESVSGRRTFAARAPLAAGRKDHEIVVDSAIAGPREPEMRITVDGVVLVHVRSLQWKFRGNETVIVDQSPVQVLWDVHDWIFAGGPAAQAVFVFKPGAPPPGGDRCGRRGGGGGGAGGIGDEGGYSFFLQAWKTE >ORGLA02G0070800.1 pep chromosome:AGI1.1:2:5114043:5120877:-1 gene:ORGLA02G0070800 transcript:ORGLA02G0070800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIVVLLVCSLPSLLVCSLPSLLVGAAAAGGGEKQSYVVYLGEHAHGERLGAAAAADVDVEALARQAEDSHCELLAGVLGDKEKARDAIFYSYTRHINGFAANLDAAAAAKIAEKPGVVSVFPNRGHKLHTTRSWQFLGLAGVGGAPTGAAWKKARFGEDTIIGNLDTGVWPESESFRDDGLGPIPSWWRGECQKGQDDAFSCNRKLIGARFFNKGYASAVGNLNTSLFDTPRDTDGHGTHTLSTAGGAPVAGASVFGYGNGTASGGSPKARVAAYRVCYTPVNGSECFDADILAAFDAAIHDGVHVLSVSLGGDAGDYFADGLAIGSFHAVRHGIAVVCSAGNSGPAPGTVSNVAPWLFTAAASTMDREFPAYVVFNDTKLKGQSLSASALSPASSSFPMIDSSLAASPNRTQNESQLCFLGSLDPEKVKGKIVVCLRGVNPRVEKGEAVLEAGGAGMVLANDVTTGNEIIADAHVLPATHIKFSDGQILFSYLKNTKSPAGTITRPETRLGTKPAPFMAAFSSQGPNTVTPGILKPDITAPGVSVVAAWTRASAPTDLAFDKRRVAFNSESGTSMSCPHVAGVVGLLRTLRPDWSPAAIRSALMTTAVEVDNERHAILNSSFAAANPFGFGAGHVSPARAMNPGLVYDLAAVDYLNFLCSLRYNATVMAMFAGGGGAAPFRCPASPPKVQDLNYPSITVVNLTSSATVRRTVKNVGKPGVYKAYVTSPAGVRVTVSPDTLPFLLKGEKKTFQVRFEVTNASLAMDYSFGALVWTNGKQFVRSPLVVKTTTPTMA >ORGLA02G0070700.1 pep chromosome:AGI1.1:2:5108010:5112238:1 gene:ORGLA02G0070700 transcript:ORGLA02G0070700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin family protein [Source:Projected from Arabidopsis thaliana (AT3G13235) TAIR;Acc:AT3G13235] MKVTVMTADEQILTVDVDPDESVENLKALLEVETSVPLRQQQLHFNGREIQNTDKLSTVGVQDGDLVMMVKVTSNERPSQDIIRLNPDGSAVDPQAFRQHIRGDSQLMGQLLQNDPALAQAILGDDINELQNTLRSRHQQRLELKRKQEEELALMYADPFDVEAQKKIEAAIRQKGIDENWEAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYRGVAIGVGQSEILGRIHVAPIKIGHVFYPCSFTVLDAPNMEFLFGLDMLRKHQCIIDLKDNVLRVGGGEVSVPFLQEKDIPSHIRDEEKLSKLASLSQGAAGESSTAREKTPDAPPRAPTTGAPAVNPPQPQGGGDFEAKVTKLVELGFDRASVIQALKLFNGNEEQAAAFLFGG >ORGLA02G0070600.1 pep chromosome:AGI1.1:2:5103173:5103430:-1 gene:ORGLA02G0070600 transcript:ORGLA02G0070600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVFEPHGGAAVAVAGVGGGDVVFCVVILCLSVLSMIIFAAASPGGERRRRRRSSSGPVFVGGRGCGCGGRSSGACVCGTYLS >ORGLA02G0070500.1 pep chromosome:AGI1.1:2:5095004:5101484:-1 gene:ORGLA02G0070500 transcript:ORGLA02G0070500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGTGSPAPPPPRSPLAERLPAGQIAAPRSPALYGRGCSGVGVGVGGGVGNGLFSPKSLPPVRTTARHSGLLGRHHHSVLLAAADSEEEDGEEGEESVASWGVPDDCYYGNFSDTLEEEEEDGACSSGDSSLLRRAMDRGGVAFDDEVTSQLSRRGGGGGLVRGQSKENLRVEVRAASAFAGKCSSGHNTVDSTSHEHYFGEQKFQAIGTPSAPPIAGDGVEAIFDTVAETKGGLERAGVSSVADILAQDVHEPELPTRSNVQEDGVHVPYVESNLLAQMPSFTAKIQSFLLHPKHKSQDDGKSIYDKDGSCNMKGRKLVKQIEIEEMFTCTLELQSSCKDNQRDSISPQYLKPGTGESQLFYLESQGDSILVEVQDNNRVVIGRAKIQVSSITDTHLCINFSVSSDNQGAAKMLQGGPAVDTIVYDMVLEAAMRAQNFNSKMLHVSGSWKWLLDEFSDYYGVSDAYRKLRYLSYILNVATPTKDCLELAYELLLPVMKARDDRTLTRQERSILLDCEDRIKSLLAVVFENYKSLDENSPTGLSDLFGPISDCAAPALAPAVQIFSVLHDILSNEAQNILRNYLQTAAAKRCRRHMIETDEFMSSNNDSLLTDPMAISAAYLKMKTICINISREIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLEHVAELLIATADFERDLDSWQVRPVHGGVVSRDLFHGYIMVWIEDTRLQLLDNCRADKAIADVEREIMKALEKQYMETLLPLRDGIPKILEKQVQRLTRRQSISPYVVPNQLGTFMNTVKRMLDVLHCRVEDSLKSWAAYLTITNGNAVFGEQMNSITVMLRKKYKKYLQAIVEKLVSNAQANRTTRLKRILEETRESEGESDIRERMQALRVHLSDSIYNLHEVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGILDDVFASEMQKHLGNSLQDRDLDPPQSVVDARSILC >ORGLA02G0070400.1 pep chromosome:AGI1.1:2:5089166:5091721:1 gene:ORGLA02G0070400 transcript:ORGLA02G0070400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRDDSAKMAKLKELLHRSENRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDQWSDNEIDNVIEVGGNSHANAIYEAFLPQNHSKPHPDSTQEEREKFIRSKYELQEFLEPSLRIVSHQSSDSGKHAGSASHSVSSKSEVGMIEFIGILNVKVKGGTNLAIRDMSSSDPYVVLTLGQQKAQTSVIKANLNPVWNEELKLSVPQQYGPLKLQVFDHDMLSKDDLMGEAEIDLQPMINAAAAFGDPGLLGDRQIGRWLKSGDNALVRDSAVVVAGGEVRQELALKLQFTESGEVELEMQWFPLNM >ORGLA02G0070300.1 pep chromosome:AGI1.1:2:5085701:5087564:1 gene:ORGLA02G0070300 transcript:ORGLA02G0070300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVGRGDSPRHTSPASKLERKMVEAMQQRAQKGTSVKSFNSVIMKFPKIDESLRNCRIIFQQFDEDSNGEIDQQELKHCFQKLDISFTDEEIKDLFQACDIYENMGMKFNEFIVFLCLVYLLNDPLVSEARKRMGLGSLEPTFETLVDSFVFLDKNKDGYVSKNEMIQAINETIGGERSSGRIGMKRFEEMDWDKNGTVTFKEFLFAFTRWVGIDDNEDDDDEEGV >ORGLA02G0070200.1 pep chromosome:AGI1.1:2:5075447:5076661:-1 gene:ORGLA02G0070200 transcript:ORGLA02G0070200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRHRRHHRRDGTDLLEAEPLLDDSHLMTVRDPLPAAYIAVCRRDWYSHSYYRLYKVEDDSSSSSSPSSPVTKQVLHRFAHLPTDVACKTVVSVRSRWIVSVGGNPGGTVLFDTETRRVITGPKLLSAKLSPVAAAVGDRIYVLSSRPQYLEDPDFEPWFEVLDLSRATVVATADGRHTLDGCSSEALPDPICFPCMLSPAGYLAPPLILVTSYVLFLPYLLVSVNNMGKMTTYAFDTGTHRWHKLYDYGLPFFGSATPLVGIRLVSSAPHLKLSVTEFPVKTEAREEVGVGEALCLASMEDEGSFSCITFRLDDREHHMSYDKDIHEFYPRKMYLNLTTYKIVEEEKTDMDIVVRCKRDKALKIFSSHGFSSPPIAFALSI >ORGLA02G0070100.1 pep chromosome:AGI1.1:2:5067586:5073408:1 gene:ORGLA02G0070100 transcript:ORGLA02G0070100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRSEYNPDQYLWETEFILAGRKYKRLDLELTNARGLTIKCSHYVPAFIPENTSLPCVIYCHGNSGCRADANEAAVILLPANITVFTLDFSGSGLSGGDYVSLGWHEKEDLKCVVSYLRTTKQVSCIGLWGRSMGAVTSLLYGAEDSSIAGMVLDSAFTNLYGLMMELVDVYKIRVPKFTVKMAVQYMRKIIQKRAKFDIMDLNVLQFAPKTFIPALFGHASNDMFIQPHHCDRIHQAYGGDKSIIKFEGDHNSPRPQSYYDSVSMFFYNTLHPPQLPVKCSNNLGAFKVGTVTNESFIFEIISGLRGAGTNSCSSSIDASKFPNATTPVVELLSESVNQLSIKNDSDLDFLLDENRTLSEIDGDSAGSRLQDKSSGHNEESCSCTSSNRESWGRCSSLGGASDDSFPGDISDKQENMTVKALATPLRQKDSKSTTPKTKEKKKSLWKKLNRERAGVGDSLSQRLKMCLSHSSRHKRVKSSGIV >ORGLA02G0070000.1 pep chromosome:AGI1.1:2:5063667:5065136:-1 gene:ORGLA02G0070000 transcript:ORGLA02G0070000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGAAVVRRLHAAQAQAQPPRLTKLALRAPKFVEVEFEDGSSFHLPAEFLRVYSPAADSKIRSVAGEKVIFGRRHVGIMSAESVGNYGIRILFDDLHKTGIFTWDYLHHLGSNKFGLMRSYITTLKKHGLTRDPQSRK >ORGLA02G0069900.1 pep chromosome:AGI1.1:2:5060797:5061132:-1 gene:ORGLA02G0069900 transcript:ORGLA02G0069900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEQNVPVEFQEAHGYAAREKVVLRMLGRSWTVRLKHTKGRRPRRERAVLRYGWHRFCADNGLAVGDTCFFRALRSAGSGAGDVDDGDGDHVLSVTVHKADGGDPLE >ORGLA02G0069800.1 pep chromosome:AGI1.1:2:5059394:5059654:-1 gene:ORGLA02G0069800 transcript:ORGLA02G0069800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNISVKEWGLAGEREFGVDHAGRRSSLELHMQGGEPCRCHKLLPSAAQDYVRKPHPLLVPLTLKGGDNPSLLTIGSLRTSGQSDL >ORGLA02G0069700.1 pep chromosome:AGI1.1:2:5054963:5057285:-1 gene:ORGLA02G0069700 transcript:ORGLA02G0069700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKLILGLLWCLVHLVISFFGSLSHLKNDLECYLISFKLLPKYRNLHLERLAYLGVVVDSREAKNALKVKQLLCWFSTIGINYLILYDIEGVLKELIQPGIETSTDGNPINSLDVVADTKASCYRHGGMFMECLSSSDGKEAIAKAANLLYSTCCNSDNKSEIAFTEADMTHALKAVGTGGPEPDLLLVYGPGRFHLGFPAWRLRYTEIMYVGPLESMKYGTIVKALYRFSRKYQNYGK >ORGLA02G0069600.1 pep chromosome:AGI1.1:2:5052546:5054016:-1 gene:ORGLA02G0069600 transcript:ORGLA02G0069600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I light harvesting complex gene 3 [Source:Projected from Arabidopsis thaliana (AT1G61520) TAIR;Acc:AT1G61520] MAAQALLSGRQLLGRPLQSSVSRSSSSRKAPFMVRAEATPPAKQGADRQLWFASKQSLSYLDGTLPGDFGFDPLGLSDPEGTGGFIEPRWLAYGEVFNGRTAMMGVVGMVAPELLGKLGLVPAETAIPWFQTGVIPPAGTYTYWADPYTLFVFELALVGFAEHRRFQDWYTPGSMGKQYFLGLEKYLAGSGEPAYPGGPLFNPLGFGTKSEAEMKELKLKEIKNGRLAMLAFLGFSVQALFTGVGPVQNLLDHLADPVHNNILTSLKFH >ORGLA02G0069500.1 pep chromosome:AGI1.1:2:5044794:5047392:-1 gene:ORGLA02G0069500 transcript:ORGLA02G0069500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G48330) TAIR;Acc:AT5G48330] MRPLLRRTAASASGFLRRRLLSELAGNGAPLPRVGAVYAFGDNSHGAAGQPAPAADVYVPTPVPSLPTSVAAVAAGHYHSLAVSAEGEVWAWGRNDEGQLGRGHHAPRNTWSKPEKVRGLENVQVRAVSACGVVSAAIGCDGSLWVWGRSKRGQLGLGKDIVEAAVPSRVEALANYDIVKVSFGWGHAMALTKDGGLLGWGYSENGRLGEIGQITQASSAKELLGKTVDKYSSSMLEAVEKMVEEKIRSEDNMPIIWEPSLVHEVCHVEVSDVSCGLDHSLVLCSDGIVLSGGDNTYGQLGRKSAWSKFLPVDISHSPFSVSASVGHSLATCIISTEGDDHAETGVLSWGWNCSSQLGRPGKEDVPAIVDGLNGERPVSASAGRVHSVALTSKGEVWAWGSGRNGRLGLGSSMDEPEPFLIDTLEGAEVSQVAAGMDHTLLLVAE >ORGLA02G0069400.1 pep chromosome:AGI1.1:2:5043305:5043598:-1 gene:ORGLA02G0069400 transcript:ORGLA02G0069400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGRGVVGERWSQRVLWLCAIGSAVSLYYVAVERQAQNRARAVAEGLKALDGAGAGEDV >ORGLA02G0069300.1 pep chromosome:AGI1.1:2:5030126:5031391:-1 gene:ORGLA02G0069300 transcript:ORGLA02G0069300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSSARDRGGGDDAEASEQPQPQPQPPLSPRAGGGEARGLVLACADLVHRGDLDGARRVAEAVLAAADPRGEAGDRLAHHFARALLALRGGGKGGHGGGVVPSSAAYLAYIKIAPFLRFAHLTANQAILEAAAAADAGGAHRRVLHIVDLDAAHGVQWPPLLQAIADRADPAVGPPPEVRLTGAGTDRDVLLRTGDRLRAFSSSLNLPFRFHPLILPCTAELAADPTAALELHPDETLAVNCVLFLHKLGGDGELAAFLRWVKSMNPAVVTIAEREGVLGGDVDDDNVPDELPRRVAAAMDYYSSVFDALEATVPPASADRLAVEQEILSREIDAAVAAPGAGGGGRSRDFDAWASAARAAGLAPRPLSAFAASQARLLLRLHYPSEGYKADDDGGRGACFLRWQQRPLMSVSSWQPQP >ORGLA02G0069200.1 pep chromosome:AGI1.1:2:5020326:5025407:1 gene:ORGLA02G0069200 transcript:ORGLA02G0069200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:I1NY86] MGAGEGGEEQSLALTPTWVVAGVCFIIVAISLAAERLLHRLGKVLKFNGQEALFSALQRVKEELMLLGFISFLLSVFQKFINHICIPESAAHLMLPCITRETSETTEDASKLCKRKGEVPMLSEEALHQLHIFIFVLGIVHVVFCVTTLLLGGAKMKKWEKWEKEIQQGRTKERPKRPGWMKFIVVRCAISFLKQFYDSVGKPDYQVLRSAFVQRHYPNRPDFDFHKYMVRALEHDFKEVVGISWYLWLFVIVFLLLNINGWHTYFWLSFLPLILLLIVGTKLELISTRLAQEAADCPDEATGNPWTKPCKEHFWFSKPRIVLHLIHFILFQNSFEMGFFFWVLATYGFDSCIMENKIYALPRLAIGIIVQVLCSYSTLPLYAIVTHMGGDIKLQAFGETVHVSVHSWATDVRKKKAAPPPHSHLRIPFLMKRRHSTRGADDAADDAGGDVDHHHHHHGHHHHGHHHHEGSSAAAAAPDLEEIVATTSGGEDGHPPAPPPPPQGPRP >ORGLA02G0069100.1 pep chromosome:AGI1.1:2:4998872:5002649:-1 gene:ORGLA02G0069100 transcript:ORGLA02G0069100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ketoacyl synthase [Source:Projected from Arabidopsis thaliana (AT2G04540) TAIR;Acc:AT2G04540] MGCLRRALRLGLRRGLSSSSAAPAAAEQAELPPPRPSAGRRVVVTGLGAVTPLGRGVGPTWDRLVAGGCAVRALAAEDLRLPGGADAGRTLEQLPSRVAAPVPRGKGDAEFDEEAWTKDNKSISGFIAYALCAADEALRDANWLPSEDEKKERTGVSIGGGIGSISDILDASQMILENRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSIAGFSRLRALSTKYNSLPQAASRPFDCGRDGFVIGEGCGVMVLEALDHAKERGAKIYAEVRGYGMSGDAHHITQPQNDGRGATLAMKRALDQSGLQADQIDYLNAHATSTPLGDAVEANAIKSVFGDHATSGGLALSSTKGAIGHLLGAAGSVEAIFTVLAIHHGIAPPTLNLEKPDTLFEGAFMPLSSPKKMPIRAAISNSFGFGGTNTSLLFSCPP >ORGLA02G0069000.1 pep chromosome:AGI1.1:2:4991562:4994879:-1 gene:ORGLA02G0069000 transcript:ORGLA02G0069000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSRSPLRSFVEVAPGSHFPIQNLPFGVFRRRGSPEPEPPRPAVAIGDFALDLAAVSDAGLFHGPLLSASPCFRQETLNMFLGMGRPAWKEARATLQKILSADEPVLRDNEALKKKCLVPMSDTEMLLPITVGDYTDFFCSVHHARNCGFIFRGPQTPVNPNWFQLPIGYHGRASSVIVSGTDIIRPKGQGHPTGDSRPYFGPSKKLDFELEMAAIVGPGNELGKPIDINDAEEHIFGLMIMNDWSARDIQAWETIPLGPFLGKSFSTSVSPWIVTMDALKPFTCEAPKQEPEPLPYLAEKNHVNYDIPLEVWIKPKEQSEPSMVAKSNFKHLYWTLTQQLAHHTVNGCNLRPGDMFATGTLSGPETESLGCLLELTWNGQKEISVGNSIRKFLEDGDEVILTACCKGEGYNVGFGTCTGKVLPALP >ORGLA02G0068900.1 pep chromosome:AGI1.1:2:4987636:4990044:1 gene:ORGLA02G0068900 transcript:ORGLA02G0068900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTRRTTSSLSATTAAAIAAAAAALMVSVAFATAQYTPAAPGAAAAGAAAGATPAAPYTPATPGAAGAAPSVPAGPLDIAQLGAKGDGTSDSTAFVLQAWKNACNATGTQKIVIPPGNYLTGALNLKGPCTSSIILRLDGNLLGTGDLNAYKTNWIEVEHVDNFAINGHGIIDGQGPLVWTHNQCNKNYNCKILPNSLVIDFSTNVTVRGITLKNSKFFHLNIYESKNVVIDKVTITSPGDSPNTDGIHVGDSTNITISSTTIAAGDDCISIGPGTKMVRVNGVRCGPGHGISVGSLGRYKDEKDVEDITVTNCTIKGTTNGLRIKSYEDSKSQLRATKFLYDGITMDNVSYPIIIDQKYCPNNICSASGTSKVAVTDIVFKNIVGTSATPEAVTLNCANNLPCQGIQLHNVDLKYAGQGNTTLSVCKNVAGKSSNVAKELACV >ORGLA02G0068800.1 pep chromosome:AGI1.1:2:4981879:4986729:1 gene:ORGLA02G0068800 transcript:ORGLA02G0068800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGENHLKDPLLQADGGGSGASPAGASPRKERKTRKVMFNVRGISCASCAVSIETVVAGLKGVESVSVSPLQGQAVVQYRPEEADARTIKEAIEGLNFEVDELQEQEIAVCRLRIKGMACTSCSESVERALQMVPGVKKAAVGLALEEAKVHFDPNITSRDLIIEAIEDAGFGADLISSGDDVNKVHLKLEGVSSPEDIKLIQSRLESVEGVNNVECDTAGQTIIVAYDPDVTGPRLLIQCIQDAAQPPKYFNASLYSPPKQREAERHHEIRNYRNQFLWSCLFSVPVFMFSMVLPMISPFGDWLFYKVCNNMTIGMLLRWLLCSPVQFIIGWRFYVGAYHALKRGYSNMDVLVALGTNAAYFYSVYIVLKALTSESFEGQDFFETSAMLISFILLGKYLEVVAKGKTSDALSKLTELAPETACLLTLDKDGNAISETEISTQLLQRNDVIKIVPGEKVPVDGVVIKGQSHVNESMITGEARPIAKKPGDKVIGGTVNDNGCIIVKVTHVGSETALSQIVQLVEAAQLARAPVQKLADRISRFFVPTVVVAAFLTWLGWFVAGQFDIYPREWIPKAMDSFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKVKAIIFDKTGTLTVGKPSVVQTKVFSKIPLLDLCDLAAGAEANSEHPLSKAIVEYTKKLREQYGSHSDHMMESKDFEVHPGAGVSANVEGKLVLVGNKRLMQEFEVPISSEVEGHMSETEELARTCVLVAIDRTICGALAVSDPLKPEAGRAISYLSSMGISSIMVTGDNWATAKSIAKEVGIGTVFAEIDPVGKAEKIKDLQMKGLTVAMVGDGINDSPALAAADVGLAIGAGTDVAIEAADIVLMRSSLEDVITAIDLSRKTLSRIRLNYVWALGYNVLGMPVAAGVLFPFTGIRLPPWLAGACMAASSVSVVCSSLLLQLYKKPLHVEEVAAGPKNDPDLV >ORGLA02G0068700.1 pep chromosome:AGI1.1:2:4949599:4956151:-1 gene:ORGLA02G0068700 transcript:ORGLA02G0068700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:outer envelope protein of 80 kDa [Source:Projected from Arabidopsis thaliana (AT5G19620) TAIR;Acc:AT5G19620] MGTRRDVRFVSSGVKLPCADAAPAPAPAPTLLSAALPFARIGRAIDGVVRHVARSLPRLPVARAETGAGAAAAPIALPRRQKDGGGGGGGEERVLISEVAVRGKDGEPLERPELEAAAAASLRACRPNAALTVREVQEDVHRVVESGLFRSCMPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANMLPSKFLEDAFHDRHGKIINIRHLDQVIKSVNGWYQERGLTGLVSYAEILSGGILRLQVSEAEVNNINIRFLDRRTGEPTVGKTQPETILRHLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSNKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNYTDPWIDGDNKRTSRTIMVQNSRTPGTLIHGGDHPDHGPITIGRVTAGIEYSRPFRPKWSGTLGLIFQHAGARDDKGNPIIRDFYNSQLTASGNAYDDTLLAKLESVYTDSGDRSSTMFVFNIEQGLPILPEWLSFNRVTARLRQGYEIGPARLLLSASGGHVEGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSCRMFGPLEGVVFGDYGSDLSSGPKVPGDPAGARGKPGSGYGYGVGIRVDSPLGPLRLEYAFNDKQARRFHFGVGYRN >ORGLA02G0068600.1 pep chromosome:AGI1.1:2:4945414:4945689:1 gene:ORGLA02G0068600 transcript:ORGLA02G0068600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCKGHSQEANPIKASTMEVEAFMAKQENHNHRFEIHHQSPQGRAPTLAGLLIGKLKNGRRNLLLLVDLKDYMLQMLKTKASVLSPTVAG >ORGLA02G0068500.1 pep chromosome:AGI1.1:2:4940629:4942084:-1 gene:ORGLA02G0068500 transcript:ORGLA02G0068500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGASASSTGVAGDDGGGGAMAGVGVEVESVRRSGGGGGGGQYWIPTAAQILAGATQFSCPVCRKTFNRYNNLQMHMWGHGSQYRRGGTAALRGAQPTAMLRLPCYCCAAGCRNHIDHPRARPLKDFRTLQTHYRRRHGARDFACRRCAKRFAVRGDWRTHEKNCGRLWRCACGAHFRHKRSLNDHARAFGAAHAHAGAAAEDDGRAAAAAAGVLR >ORGLA02G0068400.1 pep chromosome:AGI1.1:2:4933439:4937225:1 gene:ORGLA02G0068400 transcript:ORGLA02G0068400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family [Source:Projected from Arabidopsis thaliana (AT3G20870) TAIR;Acc:AT3G20870] MESQVLVALALSLVGGLSTSIGALFVILNPTPNLKMLGLLQGFAAGLMLSISFLDLAHNALNSIGFLKGNLWFFAGVLFFGLVVKFIPEPTVVPTADAGKKQTDDDGSGKDMMKKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSMKGLRVGLNLAIAIALHNIPEGVAVALPLYFATNSKWQAFKVATLSGFAEPLGVIIVAYLFPSSLNPEVLEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFVGMAFMSASLYFLEISLPKEVSL >ORGLA02G0068300.1 pep chromosome:AGI1.1:2:4931600:4931950:1 gene:ORGLA02G0068300 transcript:ORGLA02G0068300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPAIKIPRAPRLAPQLNATTARLQPEKPSIDNITRLLPHPAEPSTSPPPPGMEMKKIACAVLLAVAASASAVAASESPASAPGPGSASGATAVAAAPAAAAAVVLSFLAYCLH >ORGLA02G0068200.1 pep chromosome:AGI1.1:2:4927581:4930848:1 gene:ORGLA02G0068200 transcript:ORGLA02G0068200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRRARVSRDGDGEEEEEPAPVAAESKSLYEILGVERTASQQEIKKAYHKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDEEKRALYDETGIADDDALVGEAADNLQEYFRAVYKKVTEADIEEFEAKYRGSDSEKKDLKYLYTKFKGNMNRLFCSMICSDPKLDSHRFKDIIDEAIAEGELKSTKAYDKWAKKISEIEPPTNPLERRVKKNKKSEENDLILAISQRRAQRKDRFDSVLSSIMSKCDPKGGSSSEPTEEEFEQARQRLEKKRSKNRK >ORGLA02G0068100.1 pep chromosome:AGI1.1:2:4923177:4923698:-1 gene:ORGLA02G0068100 transcript:ORGLA02G0068100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKEAGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKEEQAKLAASSIDSIVNGCDGGKEHIVAASGSTAVAVAQVEAKTLVVQPTDVAGTSEEVAVVPKVKEGPNRCATCRKRVGLTGFNCRCGNMYCALHRYSDKHECQFDYRTAARDAIAKANPVVKAEKLDKI >ORGLA02G0068000.1 pep chromosome:AGI1.1:2:4916557:4921348:1 gene:ORGLA02G0068000 transcript:ORGLA02G0068000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVVVVVVVFLAAAVDGVAGKDCTNGFPGLTASHTERAAAAAELRPDGEVEAARVLDLLLPHGHGHGDDHDGDRHLTPTDESTWMSLMPRRLLASPASSPRRDAFDWLMLYRNLRGSGSGAGAIAASGGALLAEASLHDVRLQPGTVYWQAQQTNLEYLLLLDVDRLVWSFRTQAGLPASGAPYGGWEGPGVELRGHFVGHYLSATAKMWASTHNDTLQAKMSSVVDALHDCQKKMGSGYLSAFPSEFFDRVESIKAVWAPYYTIHKIMQGLLDQYTVAGNSKALDLVVGMANYFSDRVKNVIQKYSIERHWASLNEESGGMNDVLYQLYTITNDQKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVIGAQMRYEVTGDLLYKQIATFFMDTINSSHSYATGGTSAGEFWTNPKRLADTLSTENEESCTTYNMLKVSRNLFRWTKELSYADYYERALINGVLSIQRGTDPGVMIYMLPQAPGRSKAVSYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKGDRPVLNIIQYIPSAYNWKAAGLTVNQQLKPISSLDMFLQVSLSTSAKTNGQSATLNVRIPSWTSANGAKATLNDNDLGLISPGSFLSISKQWNSDDHLSLQFPITLRTEAIKDDRPEYASLQAILFGPFVLAGLSTGDWNAEAGNTSAISDWISPVPSSYNSQLVTFTQESSGKTFVLSSANGSLAMQERPTVDGTDTAIHATFRVHPQDSAGQLDTQGETLKGTSVQIEPFDLPGTVITNNLTQSAQKSSDSLFNIVPGLDGNPNSVSLELGTKPGCFLVTGVDYSVGTKIQVSCKSSLPSINRIFEQAASFVQAAPLRQYHPISFIAKGVKRNFLLEPLYSLRDEFYTVYFNLGA >ORGLA02G0067900.1 pep chromosome:AGI1.1:2:4909344:4913494:-1 gene:ORGLA02G0067900 transcript:ORGLA02G0067900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMARWPWRVLLPLLLLHSSSVFFVFAQEGQDNDPSTLFKRALEMMNLRKYDGSLGLLNAVLEVEPNHSEAYRQRASVLRHKCRYKEAEGDYSKYLELKPGSSSVEKELSQLLQAQNALESAYGQFESHDFSKVLDYINKIVLVFSPDCLKAKLLKAKALLALKDYSTVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLVKKTKSAEDNAAKGKLRVSAEDYKAALAMDPDHTSYNVHLYLGLCKVLVKLGRGKEAISSCTEALNIDGELVDALTQRGEAKLLTEDWEGAVQDLKEAAQKSPQVCTVHAQDMGIREALMRAEKQLKLSKRKDWYKILGISKTASAAEIKRAYKKLALQWHPDKNVDKREEAENMFREIAAAYELQVLGDEDKRVRYDRGEDLDEMNMGGGGGGGFNPFGGGGQQYTFHYDGGFHGGGGFPGGGFPGGFQFNFG >ORGLA02G0067800.1 pep chromosome:AGI1.1:2:4903061:4905404:-1 gene:ORGLA02G0067800 transcript:ORGLA02G0067800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNLGLVHYVLDHIYGTVLHRTKLGTPFFSKGWGGTKLDLLEKMVKQLFPEARCQNWPPTAVQPMWKTVWETKSSCLREGVFRTTCDPRLIEALPPESHNARVAFLTPKSVSPEKMACVIHLAGTGDHSFERRLRLGGPLLKDNIATMVLESPYYGQRRPSMQHGSKLQCVSDLLLLGKATIDEARSLLYWLQNEVGYGKMGICGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCDGLYRHATAWDALRKDAATLAQDVTSLTEDTAQKSGITIEQVRERLRSVLSLTDVTRFPVPKNPQAVIFVGATDDGYIPKHSIMELQKAWPGSEVRWVTGGHVSSFFLHNDAFRKAIVDALDRL >ORGLA02G0067700.1 pep chromosome:AGI1.1:2:4900027:4902336:1 gene:ORGLA02G0067700 transcript:ORGLA02G0067700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARHAEPLTEQQAAGVYGVQQSAREREEALDRDLHATHHALSDAVSSDSLLLFPPSTGATAYSDVAMAHLSLAISNLSSLEAFVRQADALRLQTLYKLPQILTARQSARCFLAIADHSHRLRALTSLWLSRPRHPDQPAPPPPPPPPINPRN >ORGLA02G0067600.1 pep chromosome:AGI1.1:2:4892511:4896541:1 gene:ORGLA02G0067600 transcript:ORGLA02G0067600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRPWQQQLQPHDQQAASCSVTAGMMMQASATSSSIHGNNIIRKDPGGGYDMAELDHIFLYLNSQDQASAAIQEQPQTLNIFPSQPMHAGEPSPKGSSSMAAINSAPSNNALAIAAGSSKRPLAAAAAGGQPSRLNNPADQPSASGKDGKAAVVKKEGGGGGGKHHGGASSAAASEHEGPKTPDAKTLRRLAQNREAARKSRLRKKAYIQNLETSRIRLSQLEQELVQRSRTQGAILGGGAFSAGIGGQSPEAAWFDGEYARWVESHERMMAHMRAAVEEQPQHGGVAAAAAEAQLRQLVDAAVAHHGVLVELKAAVASADVFHLVSGTWLPAAERCFLWIGGFRPSELIKMLI >ORGLA02G0067500.1 pep chromosome:AGI1.1:2:4875998:4884278:-1 gene:ORGLA02G0067500 transcript:ORGLA02G0067500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPLDPPPEPQPQTPPAVAAASPSPSPPPLLLRPRREAFEHGLLPIPKLIFPEGTLAHTLAQVKDKLASAADGGGRVAAAALAEALQIPHEQAALALATLAAVLPADDPALDSGGGGGADLRDVLLFLYIQSYKRLVPRAHKDSPAVTDVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEIHQLSYLQKHMANILTLLADSVEGEGDDSLVLTMETFEHLGFLLKFSEGTPLSQAATFFANSDPDMPAAPVPAAQVHDWISQNISASLEFSTEKSISKEVSQQVTSDIDVTMADANASNARNSTPTGTNPAYYRNTTFVEGFSKTSVVKHASDVKGHSVKVLNCHESVIYILAPLKYATVYGCSDATVVLGAIGKVVKVEHCERVHIIAAAKRICIANCRECIFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLAKVGVDPAINKWDQPFVLGVVDPHDSLSHPAGVSDVQAESAARLDPDLFTNFMIPSWFGTEVQEPTKCCPFPLPEIYCASQSKKLAALEDIRRTIRELQIDDNRKKELTNALHAQFKDWLYASGNIRQLYCLQGD >ORGLA02G0067400.1 pep chromosome:AGI1.1:2:4854951:4860478:-1 gene:ORGLA02G0067400 transcript:ORGLA02G0067400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:I1NY68] MIHPNQPLALSAAKNASTVVVGSPLLAASPASSPAAACCSSQLQQRRAAGPGRRGAGRLAAPRCSTIEQAAGVSSAVTVDRTLTVTATVTVQPPIGVVYAARGIDDLTDLIGKTLLLELVSSELDPRTGKEKETVSAFAHRTMKQDTYEAEFAVPATFGPVGAVLVENEHHREMFVKEIRLVTGADDSSTVTFDCNSWVHSKFDNPDRRIFFTVKSYLPAQTPKGIEALRKKELETLRGDGTGERKFFDRVYDYDVYNDLGDPDFKIEHLRPVLGGDEHPYPRRCRTGRPHTEIDPRTEKRRGPVYVPRDEQFSDVKGMTFSATTLRSGLHAMLPALEPLLANQELRFPHFPAIDGLYSVGIPLPAQLAAAGAAAAAAGGAAASSSTNIVGGVIPRLVRMIEDTTDHVLRFDVPEMFERDRFSWFRDEEFARQVLAGVNPICIQLLTEFPIVSKLDPEVYGPPESALTKELLESQIVESVTVEEAMAQRRLFILDYHDVFLPYVHRVRERPETTLYGSRTVFFLTGASTLSPLAIELARPQSPTRPQWRRAFVHGPDATASWLWKLAKAHVLSHDTGYHQLVSHWLRTHCCVEPYIIAANRQLSRMHPVHRLLHPHFRYTMEINALARESLINADGIIEESFWPGRYAMELSSVAYAATWRFDAEALPEDLVRRGLAVRQEDGELELTIKDYPYANDGLLVWNSIKQWASDYIDFYYKSDEEVACDEEVRAWWEEVRTKGHADKKDEPWWPAVDTRDGLIGVLTTIMWVTSGHHAAVNFGQYHYGGYFPNRPTVMRKNMPVEENKEEEMKKFMEMPEHVLLDTMPSKMQAITIMATLDILSSHSPDEEYMGEHAELAWLAEPRVKAAFERFAGRMKEIEGIVDERNNDPELRNRCGAGIVPYELLKPFSTPGVTGRGIPNSISI >ORGLA02G0067300.1 pep chromosome:AGI1.1:2:4848220:4854076:1 gene:ORGLA02G0067300 transcript:ORGLA02G0067300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRUBBELIG-receptor family 2 [Source:Projected from Arabidopsis thaliana (AT5G06820) TAIR;Acc:AT5G06820] MAAAALPRLLLAAAVLCAAFAPVSGFTDPSDALGLWELYRTLDSPWQLSGWTSQGGDPCGRGGEQRPWHGVLCRDSSIVALNISGLGVGGWLGLELLKFYSLKILPVFNFFASLNHDDRRDVSFNNIAGEIPRNLPPSVEYLNFAANQFEGSIPPSLPWLHTLKYLNLSHNKLSGIIGDVFVNMESLGTMDLSFNSFSGDLPTSFSSLKNLHHLYLQHNEFTGSVILLADLPLSSLNIENNSFSGYVPGTFESIPELRIDGNQFQPGFKCASPSFTRSAHSPPTPHPPPSSPPPPMSPPPPAVKENLKHKPEPLKPSLSHSSMYNHNQHRKSHSRVTAAAIATVTGTAFVLLIVGLVLKSCTYSPKSTANNAKSPPANVEKVPKANEVLYSWNSLMNDCEASSSDVIKPERAMRTKVWAKTSKNFLTAKQFQAVDILAATRNFSKECFIGEGFTGQVYRGDFPGGQLLAIKKINMVDLSLSEQDELIDMLGKMSNLKHPNISALVGYCVEFGHCALLYEYAENGSLDDILFSAATRSRALSWKARMKIALGVAYALEFMHSTCSPPVVHGNIKATNILLDAQLMPYLSHCGLARLSQFVSAIRTDSEALNSGKGYVAPELTDPATDSIKADIYSFGVILLVLLTGQKAFDSSRRQNEQFLVDWASPHLHNLDSLERITDPRIHASMPPQAISTLGNIILLCIKKSPELRPPMTVITDKLLKLVQSTGLQKTSTTTQHLEVDAQEPSFKTTRPYFEPSFTVSQSATGGCISQR >ORGLA02G0067200.1 pep chromosome:AGI1.1:2:4839153:4845715:-1 gene:ORGLA02G0067200 transcript:ORGLA02G0067200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLSIASRSPSPALIAPHASARATGLRAPFAGNRIVGWGWGDQTKSGTDRNRASICVVLFLRVRSGEPTQAPRDPPAAVGGGGCYVRLPAPPRDRDAVLYHVCLFTLLLCFIPITALAESDIKNLFALRKAIAVGKGFLHNWFELETPPCNWSGISCVGLTVVAIDLSSTPLYVDFPSQIIAFQSLVRLNVSGCGFSGELPEAMVNLQHLQHLDLSDNQLGGPLPASLFDLKMLKVMVLDNNMFSGQLSPAIAHLQQLTVLSISTNSFSGGLPPELGSLKNLEYLDIHTNAFSGSIPASFSNLSRLLYLDANNNNLTGSIFPGIRALVNLVKLDLSSNGLVGAIPKELCQLKNLQSLILSDNELTGSIPEEIGNLKQLEVLNLLKCNLMDTVPLSIGNLEILEGLYISFNSFSGELPASVGELRNLRQLMAKSAGFTGSIPKELGNCKKLTTLILSGNNFTGTIPEELADLVAVVLFDVEGNRLSGHIPDWIQNWSNVSSISLAQNMFDGPLPGLPLHLVSFSAESNQLSGSIPAKICQGTFLQILRLNDNNLTGSIDETFKGCKNLTELSLLDNHLHGEIPEYLALLPLVSLDLSHNNFTGMIPDRLWESSTILDISLSDNQLTGMITESIGKLLSLQSLSIDRNYLQGPLPRSIGALRNLTALSLSCNMLSEDIPIQLFNCRNLVTLDLSCNNLTGHIPKAISHLTKLNTLVLSRNRLSGAIPSELCVAFSRESHSELEYVQHIGLIDLSRNRLTGHIPRAINNCSILVELHLQDNLLSGTIPVELAELRNITTIDLSSNALVGPVLPWPVPLASLQGLLLSNNRLSGSIPSGIGNILPQITMLDLSGNALTGTLPLDLLCKESLNHLDVSDNNISGQIPFSCHEDKESPIPLIFFNASSNHFSGSLDESISNFTKLTYLDLHNNSLTGRLPSAIARVTSLYYLDLSSNDFSGTIPCGICGMFGLTFANFSSNRDGGTFTLADCAAEEGGVCAANRVDRKMPDHPFHVLEATICCIATAIVIVLVVILVVYLRRRRKMLRRRQFVLVPAGDNAMADHETTLSDNLLGRRRMKKREPPSINLATFEHAPVRVTVDEIMRATGNFDGMHVVGDGGFGTVYRAELPGGRRVAVKRLHGVGRRFQGGEREFRAEMETVGKVRHPNLVPLLGYCAAGDERFLVYEYMEHGSLEDRLRGGGGAALGWPERLTICGGAARGLAFLHHGFVPHVIHRDVKSSNVLLGEGLQPRVSDFGLARIISACETHVSTVLAGTLGYIPPEYALAMRCTAKGDVYSFGVVMLELLTGRPPMWSSAEVTAEGDDERGGGGSLVGLVRWMAARGRGGEVFDACLPVSGAEREQMARVLDVARDCTADEPWRRPTMAEVARRVGAIEAMEYGPLVVAVSSGEPPAMP >ORGLA02G0067100.1 pep chromosome:AGI1.1:2:4833662:4835642:-1 gene:ORGLA02G0067100 transcript:ORGLA02G0067100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASFYNAVQRPREQIADAETLLDIANTLVTSVRSQSSEGITPSDFVTAMLKKFGQSGGLDSEAASLRWGDVGLSVSHVFRAAPGCCTMLGPMNTEVKQRKAVVANRKRTARPTENARPEQLAESSEGVKTDTDRNVSVIFDILRRNKRARLENIVLNRCSFAQTVENVFALSFLVKDGRVAINIDDNGHHIVYPRNAPAASAIASGEVSYSHFVFRFDFKDWKLMQEVVPDGEELMPHRNPENIPCAEDHDQADPPELCAQRTPIRKLTRNRGLVMQQDQMVVAETPEEKQPTSKRRRLFRDQQS >ORGLA02G0067000.1 pep chromosome:AGI1.1:2:4830407:4832756:1 gene:ORGLA02G0067000 transcript:ORGLA02G0067000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRKRGKPDGANGAGGKRARESESFQTGVGSKSKPCTKFFSTSGCPFGEGCHFLHHFPGGYQAVAKMTNLGGPAIAPPPGRMPMGNAVPDGPPTPTVKTRLCNKYNTAEGCKWGDKCHFAHGERELGKPMLMDSSMPPPMGPRPTGHFAPPPMPSPAMSTPASFGASATAKISVDASLAGGIIGRGGVNTKQISRVTGAKLAIRDHESDTNLKNIELEGTFDQIKNASAMVRELIVSIGGGAPPQGKKPVGGSHRGGGPGSNFKTKLCENFTKGSCTFGDRCHFAHGENELRKSAAA >ORGLA02G0066900.1 pep chromosome:AGI1.1:2:4822196:4827965:-1 gene:ORGLA02G0066900 transcript:ORGLA02G0066900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:I1NY63] MAFFRGLTAVSRLRSRVAQEATTLGGVRWLQMQSASDLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMTGMLWETSLLDPDEGIRFRGLSIPECQKVLPTAVKDGEPLPEGLLWLLLTGKVPTKEQVDALSKELASRSSVPGHVYKAIDALPVTAHPMTQFTTGVMVLQVESEFQKAYDKGMSKSKFWEPTYEDCLNLIARLPAVASYVYRRIFKGGKTIAADNALDYAANFSHMLGFDDPKMLELMRLYITIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIGETGSDVTTDQLKEYVWKTLKSGKVVPGFGHGVLRKTDPRYTCQREFALKYLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMEWLENHCKKVAA >ORGLA02G0066800.1 pep chromosome:AGI1.1:2:4820930:4821235:1 gene:ORGLA02G0066800 transcript:ORGLA02G0066800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEHVLFFLSVYLFSIGIYGLITSRNMVRALICLELILNSINLNLVTFSDLFDSRQLKGDIFAIFVIALAAAKAAIGLSILSSIHRNKKSTRINXSNFLNT >ORGLA02G0066700.1 pep chromosome:AGI1.1:2:4816771:4820488:1 gene:ORGLA02G0066700 transcript:ORGLA02G0066700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:switch subunit 3 [Source:Projected from Arabidopsis thaliana (AT2G33610) TAIR;Acc:AT2G33610] MATPSMPAPAAEAAAAATTATANYMPPAPPQPPSSGAPFSQPPSTAGTVKTEAPPPPSTSASSAASAAAPAPAAAAAEESPCTITIPSYSAWFSYDSIHETERRLMPEFFEGEAAAASGSRGPEAYKYYRDTLVRRFRARPGRRLTLTEARRGLVGDVGSVRRVFDFLEEWGLINYGASPSGAKQGRDKKEEAALSQSSLPIGATMPSKLCTGCRTVCGLAYFSCEKADISLCARCYVRANYRPGLTSADFKRIEITEDAKSDWTDKETLHLLEAVLHYGEDWKKVSHHVGSRSEKDCIARFTRLPFGEQFMGPKEDKIQFGNDCDLNEESGSHISKRLRLTPLADASNPIMAQVAFLSAIVGSDVAVAAGRAAISAQSQVDISASETDSSINISKEEESSCTNGLSANDLLKEASANAQVQLEKERKAIEQSLSDIVGVQMKEIQDKIRRFEQKELLMEKERKQLHCLKELLFSDQLAVVQHQRRPPAVTTESKDDEKPKPVISIS >ORGLA02G0066600.1 pep chromosome:AGI1.1:2:4807996:4810062:1 gene:ORGLA02G0066600 transcript:ORGLA02G0066600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVDALEVLLQGLSGVPKERVRVHELCLKSGPNLGVVPSEVRLLCDLAQSTPSWTIRHVGGAMRGAGAEQISVLVRSIVESKASNNVLRYFYGIGYKLDHEVLKGGFAFRFHRGAQITVTVTSVSKMTKLHATNEAVPITPAIQLVEITAPAAADNYNDVVSAVTSFCEYLAPLLHLSKPGNSTGIVPTAGAAAASLMSSGGGGGGKTL >ORGLA02G0066500.1 pep chromosome:AGI1.1:2:4799860:4807415:-1 gene:ORGLA02G0066500 transcript:ORGLA02G0066500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGAIGGASPSGRVLGPALDRIIKNAAWRKHSGLVAAAKAALDLLSSSAYASASAPSPPSLLLGLPAAAADACIHALLLALESASPKVADPALDCVAKLLYHRLLVGDLGGGGGDDDSPSSKLLAAVLSCGALADDAMELSTLRVLVAAARCPSVAIRGEGLGQMLKTCYNIYLSSSSGANQLCAKLALAQVLVIVFARVEVDSMDVRVRTVSITDMMDMSDRNLNDSSIVHAAQSFINETMEGSDVPEPGSPVEPAETDGKEDVVMSKIREDGLTLFKNLCKLSMKFSTPDNPEDQVLLRGKVLSLELLKMVIDNAGAFWRTNEKYLGAIKQYLCLSLLKNSALSAMSIYQLLCSIFLGLLSRFRSGLKEEIGIFFPMLVLRVLENVHQPSFLQKMTVLNLLEKICKDSQVIIDVFVNYDCDVDAPNIFERIVNGLLKTALGVPPGSATTLTPAQDQTFRIESVKCLATIIKSMGSWMDQQLKIGEFSPKPSEISLNSIDIPNILVGEDGGAVDYELQTDSGNPDLSDASSLEQRRTYKIELQKGISLFNRKPSKGIDFLIKSKKIGHSPEDVASFLRDTAGLNATMIGDYLGERDEFPIKVMHAYADALNFEGMDFGEAIRYYLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNTMVKDKMSKSDFIRNNRGIDDGKDLPEHYLSTLYDQIVKNEIKMSADSSVPQSKQPSSVIKLLGLDNIINLVNWKQAEDKALGANDLLIKNIQEKFKAKSGKSESIFHVITDSTILRFMMETQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLQDSWEHVLTCLSRFEHLHLLGEGAPTDASFLTVPLVESEDKTQKSSSTTASKRTNALQNPAVMAAVRGGSYDSTTAKNNASPLVTPEQINSFISNINLLDQIGIFELNHIFAHSQRLNSDAIVAFVKALCKVSMTELHSPTEPRIFCLTKIVEIAHYNMNRIRLVWSHIWKVLSDFFVSVGSSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAVVMQKSNASEVRELVVRCISQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFVCHEKDTDHQSNNLDVSDGNATLHKDDHVYFWVPLLAGLARLTTDTRPTIRKGAVEVLFDILKDHGHLFSQSFWRNIFESVVYPLFSTGSSTPNGHINLTEDDSWNSETKTVAVKCLVDLYITFFDEMRTELSRVTSVVTNFIRSPYKQSASTGLSVFQRLTEGLESRLSKEEWKEILLCFKDSAMQTFVVFDKIVRMMQDIEIPDRNESYPEVERYSDNDIYNDDEEEANMETTSYAIIKLKNHMAQQLLVVQGIVKLYETHRWSFYAEHMGIILETLSAIASHASEVSSESTLLMKFHKACSLLEVSEPAVIHFENESYQSYLKLLQALVHDHPSISEDMKIESHIMLVSEKILRKYLKCAGRERSNDSSGRDPALRWKLPLGTAKKEELSARTSLVLHVMQLLGGLERDCFRRNLPLFFPLLTNLIRCEHSSGEVQLALYDIFQSSIGPIIST >ORGLA02G0066400.1 pep chromosome:AGI1.1:2:4795867:4797031:1 gene:ORGLA02G0066400 transcript:ORGLA02G0066400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSHSNSCHFSDSISRRIVMQQRCEAESDQTKSQPHKTKAQKCSEFPTHKYKVISISCRPATGRRGRRVRHVRLGSVLRLRVRLFGVVGLLLRCLEELNCCPRRWSPATAAGAATTVMRAQRLSQDCRRRRLAAPAEAGGESSFQAEAIADCLEFIKRSYLQPTTASAC >ORGLA02G0066300.1 pep chromosome:AGI1.1:2:4789036:4791882:1 gene:ORGLA02G0066300 transcript:ORGLA02G0066300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BUB1-related (BUB1: budding uninhibited by benzymidazol 1) [Source:Projected from Arabidopsis thaliana (AT2G33560) TAIR;Acc:AT2G33560] MAAAMATTAAAAAEEEVVALDKETLMLMGCGDAPPAAPCAEWETFKENVRPLKRGRNVGLLNRALKAHADPAQRAALLAARRKMIEAIDEYSGEDPLQPWIDCIKWVQESFPTGGDCSGLVVIYEQCVRAFWHDDRYKDDLRYLKVWLEYAGNCADSEVIFRFLEANQIGQSHTNYYLSYASVMESKNKLKKANEIFNLGIARKAKPVEKLETTYRAFLQRSSKKKAHPEDDTTTDDHPVRNFGTVLNRGEIRGQHAENSHLVKPRATLQRVDVNRPLAVYTDENSLPSHGLARTRSNNTAWQTLGSQADRNKENNMRPAKWTSHKIPQKVGSRAAVQPTRVSSIEVFVDDECAEEPVPQVPKSTKPSILKLRQATSRNLKQETELLMENPLRNFPLTSLR >ORGLA02G0066200.1 pep chromosome:AGI1.1:2:4787744:4788184:1 gene:ORGLA02G0066200 transcript:ORGLA02G0066200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPRGMPRDEFATPKTHKRKVVSRPLPPSQIKAEPELLRREVPLTSGKTKKAPKITFKNEPRHSAPQSDWGTPDSVPEFGPADEYRALRKKYLLLEDENGELDKQLSQAEEEASVLEDEKFALLDQLVVLEGLVDPSQMQPPRRL >ORGLA02G0066100.1 pep chromosome:AGI1.1:2:4786887:4787135:1 gene:ORGLA02G0066100 transcript:ORGLA02G0066100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSSSSPARSPTAAATPDRRRSPGSPARAGECRDKGGVVEYRVKEREISPRLVVSSAPRAAAKTSRGGGAAIEQSRGGEGIL >ORGLA02G0066000.1 pep chromosome:AGI1.1:2:4774749:4775297:-1 gene:ORGLA02G0066000 transcript:ORGLA02G0066000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIPTFSPTLIPMGLKLSCISRRRGSPVPAPARVIAADGSLKELHAAASPAVADVLRGEGESFFVCNSDALYYNEQPPAMAPGEALRPGQIYFVLPAAMLGQPLSTADMAALAVRASAALAAVKAPRRRGARRGGDRKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA02G0065900.1 pep chromosome:AGI1.1:2:4768796:4769398:-1 gene:ORGLA02G0065900 transcript:ORGLA02G0065900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLSCISCRRRSSSHQPAPAPARVITADGSLKELAVSSAVADVLRGEGEGRSFFVCNSDALYFNEQPPALAPGEALRPGQIYFVLPAAMLGQPLSTADMAALAVRASAALAAAAAKAPRRRGVRRGGGDRKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRVKIDEDSA >ORGLA02G0065800.1 pep chromosome:AGI1.1:2:4763311:4763943:-1 gene:ORGLA02G0065800 transcript:ORGLA02G0065800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLSCIYRPESDIVVESEAPAPPPAAARVIAADGSLRELPASPCVAVVSDVLGGGDDAASFFVCNSDALYFDDRPPALSPGEPLRPGELYFVLPRAMLGRPLSSADMGRLAVRASLALVGERPQRRRRHRRGGGKKQKKKVHVMPAQAQSRDDGDGDVDGVFNEKLNEQTLGVFAVFLSPVRGAAPAAAAAAARSPLKRALSLVEEEA >ORGLA02G0065700.1 pep chromosome:AGI1.1:2:4759416:4761500:1 gene:ORGLA02G0065700 transcript:ORGLA02G0065700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPRRIRRGGAGLSLVVVALLLAVAARAQQEYEANQQNACYATNASSTLGYTCNATSASAAAAAPCDAYLVFRSSPPLYASAVSISYLLNVAAAAVADSNAVDPVAPVAADRLVLAPVPCGCSPGGYYQHNASHTIRDTGVETYFIIANLTYQGLSTCQALIAQNPLHDSRGLVAGDNLTVPLRCACPSPPQAAAGVKHMVTYLVTWGDTVSAIAARFRVDAQDVLDANTLTESSIIYPFTTLLVPLKNXXXXXXXXXXXXXXPAQAPPPPAPAPPRAQPPPGGSGSGKGVAVGVGVGCGVLALAGVFGLLFFCLRRRRGVGEESVRPGKVVGNVSSSAEYGALASGKQTTTATSMSSLSAARSLMASEVRDALESLTVYKYAELEKATAGFSEERRVPGTAVYRGVFNGDAAAVKRVSGDVSGEVGILKRVNHCSLIRLSGLCVHRGDTYLVFEYAENGALSDWLHGGDAATGVLGWRQRVQVAFDVADGLNYLHHYTNPPCVHKNIKSSNILLDADLHGKMSSFGLARALPAGDGAAAAAAQLTRHVVGTQGYLSPEYLEHGLITPKLDVFAFGVVLLELLSGKEAASSGDGENGEALLLWESAAEALVDGGGEDAGSNVRAFMDPRLGGDYPLDLAMAVASLAARCVARQPAARPAMDEVFVSLAAVYGSTVDWNPSDHGNSGSSLIGR >ORGLA02G0065600.1 pep chromosome:AGI1.1:2:4754952:4755629:-1 gene:ORGLA02G0065600 transcript:ORGLA02G0065600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTAAALSTLSAASVTSGKRFITSSFSLSFSSRRLATGVRAAGARAARRSAASASTVVATIAVGDKLPDATLSYFDPADGELKTVTVAELTAGRKAVLFAVPGAFTPTCSQKHLPGFIEKAGELHAKGVDAIACVSVNDAFVMRAWKESLGLGDADVLLLSDGNLELTRALGVEMDLSDKPMGLGVRSRRYALLADDGVVKVLNLEEGGAFTTSSAEEMLKAL >ORGLA02G0065500.1 pep chromosome:AGI1.1:2:4739443:4745941:-1 gene:ORGLA02G0065500 transcript:ORGLA02G0065500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGGGAAGLPEAWSQVRAPVIVPLLRLAVAVCLTMSVLLFLERMYMAVVISGVKILRRRPDRRYRCDPIPDDDPELGTSAFPVVLIQIPMFNEREVYQLSIGAVCGLSWPSDRLVVQVLDDSTDPVIKDMVRIECERWAHKGVNITYQIRENRKGYKAGALKEGMKHGYVRECEYVAIFDADFQPDPDFLRRTIPFLVHNSDIALVQARWRFVNADECLMTRMQEMSLDYHFTVEQEVSSSVCAFFGFNGTAGVWRVSAVNEAGGWKDRTTVEDMDLAIRASLKGWKFVYLGDVQVKSELPSTFKAFRFQQHRWSCGPANLFRKMLMEIVRNKKVTIWKKIHVIYNFFLIRKIIAHIVTFTFYCLIIPATIFVPEVRIPKWGCVYIPTIITLLNSVGTPRSFHLLFFWILFENVMSLHRTKATLIGLLEAGRANEWVVTEKLGNALKMKSSSKSSAKKSFMRVWDRLNVTELGVAAFLFSCGWYDLAFGKDHFFIYLFFQGAAFFIVGIGYVGTIVPQS >ORGLA02G0065400.1 pep chromosome:AGI1.1:2:4732944:4737638:1 gene:ORGLA02G0065400 transcript:ORGLA02G0065400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRPLDFRTIDIRLAMGAYYGSWEAFLEDVQEVIRNLHTAFGDRPDVLEMVVALSQSFESLYKTEVLDLVEKFDKYLSDKNAGSEMHEELHDILTAANSLPKAPWEDGVCKVCGIDRDDDSVLLCDKCDSEYHTYCLNPPLARIPEGNWYCPSCMLGQTKAHHDQGVQDVKRQQKKFVGEEAHAFQEELNKLATAMEEKEYWDLNMQERIYLLKFLCDEMLNTALIREHLDQCSDKLGDLQQKFRASNFELKDLKYKEEMRTSYARQSRSSKTEQHFNNSSGPVENQQQCTPTALDHLEEAEQGNVGVNLNNPADGVPDGQLNVGKPYKSDKDISSASMVEERKSSGLSEQPSGMAIDQIDGDAIDEGSQSCEKRSLGAKSSTCDNLNLKDTEFSTPGRELPDERASTSFQDNLEASSTKSIELDADNNEMDTLSDDISKLQDSISLLESQINMASSRRECLGKDSIGRLYWVIGRPGKRPWLVADGSMLIPKERDISMVNSYPPSAFDCKGWNSASIFIYESDEEIQCLLDWLRDYDPREKELKDSILQWQRHFCHQSSSPLVDPPISGPKSEQLMELPNTKAAVILEQKYGLQLDQDTSDLPKKRGKKIKLSSEDRTYRCDCLEPVWPSRYHCLTCHETYLISTEFEGHNDGKCSKIHQSPDESRENDEPKVKVTKSDMKEKDSLERSSVIEPSSDRKLMQCPYDFEEICRKFVTNDSNKETVKQIGLNGSNGVPSFVPSPAFFLEPAIVQSQNRKDDELKDWTSSLEECNAMSAQKLVQEVSKSGQSCPGNVGDEKVQKSKKPTPDNTSGEEAHSTTGKPTRLLAVNGGLVPESSLRPLIGRNSHILKQQKINLLDIEAALPEEALRASKCQQIRRRSWRAFVKDAESISQMVLAANLLEGMIKAEFLKNDWWYWSSFTAAMKTSTVSSLALRVYTLDDCIIYSKDQVPSVEPADNTRSGNRGGRRRRELESLAS >ORGLA02G0065300.1 pep chromosome:AGI1.1:2:4718265:4719047:1 gene:ORGLA02G0065300 transcript:ORGLA02G0065300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPHLVIDLNEEPEPAPCETPPPAPAPAPAPAPPPVPAAAAAAAPLPLFPAQPTAPAAMGGAVVSQVGMLPYEAREVAMGIHRDAVAQAQALRVAAASAIESVTARAPPFGPPAARHPGEAGRGNPPPPCAACGLPENPGGTIVCDSCERGFHLACVRVWPPLMPPPPPPPGPPGARRPRATANEDWICPECEMRGARTNRWKLGPVPLDINVPPPSTPDAEDPVAVATRDVTRQLSQLSFPQLLLLTADLIRWSKKC >ORGLA02G0065200.1 pep chromosome:AGI1.1:2:4709930:4712162:1 gene:ORGLA02G0065200 transcript:ORGLA02G0065200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKQIVAVLQVGGEFKTDDDGQMSYSGGEAHAMHVKSDWTFKTFKHEISSTLNNLKLDSYVFKYFLPRNNKTLISISNDKDLKRMVEFHAESETTYIYVMKKADNRVKTSAVVPAASADHAVAATTPDGSKRQKICASWENAITGAGQVFEGPKEFRDALHKYAIAHRFHYRFVKNDSSRVTVECTAEGCPWRIHASKSPAKKDFMIKKVFGSHTCESESVKSHRLASQKWVASVIKEKLRDSPNYRPRDIANDLQREYGLSLNYSQAWRGKSIAQKELYSSHEEACNQLPWFCQRIVETNPGSAATVEALEDSKFRFFVAFHASIQGFVHGCRPLLFLDVISVKPNKHWKLLAATSVDGEGDMFPVALSVVDDESQENWHWFLEQLKASLPVSGELTFISNGKCGLLDEVSLIFPDSYHGYHVNYFIEEFKAQLDDSWSEELKDTMVEHVKKAMYSCKVDEFNQCIELIKVESDKLAEWLLETKPEKWSDAFFKGSRLGQYTCNVPETILQWVPSRYELSVVQLVDTIRCNLMEMMYTRREYSNSWTEPLTPSTNQKIQEEMGKALTHSVVCSTGNDGNNNVFEVCDGAVNVVNIDTWDCTCRKWHVSGIPCSHAIAVFERTDHNPLDFCAKYFTTECYRLTYAMSINPIPDIVMAAPSTDPSQGAALHQSPILTRRQVGRPKEKPADPRIAIKRAVRCSRCKGYGHNKATCKVPIAA >ORGLA02G0065100.1 pep chromosome:AGI1.1:2:4705518:4708098:-1 gene:ORGLA02G0065100 transcript:ORGLA02G0065100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGRAPPPYHHRGAHEMMHRDPYGGAPGMPGPFPYDMLAAAAPPPEILEQKLMAQRGELQKLAVENDRLAMSHDSLRKELAAAQQEAQRLQAQGQAARAAEEQEARGILDKVAKMEADLKARDPVKAELKQAHAEAQGLVVARQQLAADTQKLSKDLQRNLGEAQQLPALVAERDAARQEYQHLRATYEYERKLRMDHSESLQVMKRNYDTMVAELDKLRAELMNTANIDRGGMLYNTNTAQKDDGAPSLPVGQIAYDSGYGAAQGRTPPAGLGDSLSGNPAGTAPRTGFDPSRGNMYDASRIASFSSSKAGGHDASRGAAGYNSLKGAGYDPSKAPALGGQATAAAAHGSSADYYGSNQATPPSYAWGQAASAYGSAQVPQSHASGPPVQSTSYSATTARNFGSAQALPSYAHAQEQPSYGHAQLPSSYGLAQASFPFAPAQGVSPYGSGAQPPQYGAGQAATNPGSAYQAPHGRK >ORGLA02G0065000.1 pep chromosome:AGI1.1:2:4699449:4699682:1 gene:ORGLA02G0065000 transcript:ORGLA02G0065000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEFIGAALIEVWRWEERRMVEKKRTRNTRERGGLFPRKLQLRALAAIARFRRWGFDHTFLDPFTLEIGDLQEVP >ORGLA02G0064900.1 pep chromosome:AGI1.1:2:4665025:4665471:-1 gene:ORGLA02G0064900 transcript:ORGLA02G0064900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAGAADMAMGGIGGAAASTSSVPAMPAAVAPPPFWSTPTPYLFIGFGVVMALIAVALAVLLCTRRKDGGRGVGGEEGGDVVVPPGMMSVRVLAPLDREAPPRVVVVMAGDDSPSFLASATPLAFAAAAATGVPLAPAPKVVAPPQP >ORGLA02G0064800.1 pep chromosome:AGI1.1:2:4657861:4661317:-1 gene:ORGLA02G0064800 transcript:ORGLA02G0064800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADLSAAAEALALQVDLLQLPPEIPAPGAPALSVVLDRLFAHWLTLPDTVALVGCLVQKAKASGGGGGGGGGGGGGAAGAAVGPGSAMLPSMMMQGGAAVPPLSPRSPRLSRRPSGVGTGGQSNRSASPLRPTTARAVKEVIPQFYFQDGRPPTYELKKQCIAIVDQLFAGHSNGLRIQEFRLVTRELCKLPSFFTTVLFGKIDKENTGFVTRDAFIDFWLNSNMVTMDVATQVFIILKQKNQDFLTKEDFKPVLRDLLDNHPGLEFLKSTPEFQERYAETVVYRIFYCLSRIGGGHLTLRELKRGNLIDALRHADDEEDINKVLKYFSYEHFYVIYCKFWELDTDHDFFIDKENLIKYGNHALTYRIVDRIFSEVPRKFTSKVEGKMGYEDFVHFILSEEDKSSEPSQEYWFRCIDVDGNGILTHNELQFFFEEQFHRMECMAQEPVLFEDILCQLIDMIGPENETFFTLKDFRRCKLSGHFFNILFNLNKFMAFEARDPFLIRQMREEPSLTDWDRFARREYIRLAMEEDGEDASNASGDVWDESLESPF >ORGLA02G0064700.1 pep chromosome:AGI1.1:2:4653290:4654005:-1 gene:ORGLA02G0064700 transcript:ORGLA02G0064700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQTCLQHKAPNSLKLKSTASFLLHIANLPKSPSLSNMQHDAISNIAYHPSMDFTSFFLPQTDPYSHDLSALLDMAVVDPYISCNGSSITMIPVTEDEANAQPMNHGNDERKKRRLVSNRESARRSRVRKQRRLDELSSQVSELRDTNQRLLVELNHMISKHARIVRENSQLREEASDLQRKLSEMEMEDAEVAAAAAAAAPRTLEVA >ORGLA02G0064600.1 pep chromosome:AGI1.1:2:4649994:4652174:1 gene:ORGLA02G0064600 transcript:ORGLA02G0064600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAGDDSSFFGDDGDGDEFCYGPFDVEDLCYGASDVDDDWEEFCDGPFGGGGGVEEFCVSGFSVRDLSDASSSGARETGDPHDDDPLPQTLARSLDSDGDLSATLHQIVSAMRLSEDEEEEEELLVLGHGHGVGIGGGGDVDGFMVSAFDLDTAMAIGGILEDIHEVMGADEVREEVVVEEEEAGNGRGIMPNGFEFGPPPRAISGTAAGFRMMVDADDTDSDDFQFVEVLGGGQVREAGAGMSMRPSRASQLVVESLPEATLSEEEASRGCAVCKDCFASGQIVALLPCKHYFHGDCIWPWLAIRTTCPVCRHQVRTEDDDYEQRMARRVIVLAAVEHQGAPAQGCGDSATMGAEGASECVVENGPDKTSS >ORGLA02G0064500.1 pep chromosome:AGI1.1:2:4644224:4646411:-1 gene:ORGLA02G0064500 transcript:ORGLA02G0064500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGNGSPSDSRHVSHKEIRDETTPLLPIKEEEEGIHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIILVALLTEASIDMLVRCSHEGKITSYGWLMGETFGQWGRIALQASVVINNIGMMIVYMIIVGDVLSGTSTSGVHHRGIFEGWFGPHLWNSRPVVLLATTLFVFGPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIVRLIEGTVEIPKLFPELDGTNSIWELFTAVPVVVTAYICHYNVHSIDNELEDRTQTKPIVQTSLALCSSVYIATSFFAYLLFGEGTLADVLANFDSNLHIPFSSVFNDVVRVSYVVHIMLVFPIVFFALRLNLDGLLFPTARHISRDNRRFAIITGSLLAVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYGIATKRDKILALTMIVLAVLSNSVALYSDALNIIFHRKVEV >ORGLA02G0064400.1 pep chromosome:AGI1.1:2:4639448:4643178:1 gene:ORGLA02G0064400 transcript:ORGLA02G0064400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPWPTPRTVRQAAELHARLTTSGHLLLHPPSARHLLNSLVNCLEPHPLHLRYALHLFERMPPSTFLFDTALRACSRAGSDPHRPFLLFRRMRRAGVRPDGFTFHFLFKCSSSSSRPHSLLLCTMLHAACLRTMLPSAAPFVSNSLIHMYIRLGLAADARRAFDEIHVKDAVAWTMLISGLAKMGMLCDAQLLLSQAPVRDVISWTSLIAAYSRANRAREAVGCFKTMLSHGIAPDEVTVIAVLLACAKLKDLELGRSLHLLVEEKGMPTSENLVVALIDMYAKCGDFGHAQQVFDALGRGPRPQSWNAIIDGYCKHGHVDVARSLFDEMEVRDIITFNSMMTGCIHSGQLREALLLFMSMRRHDLRVDNFTVVNLLTACASLGALQQGRALHACIEQRLVEADIYLGTALLDMYMKCGRVDEATIVFQRMGKRDVHTWTAMIAGLAFNGMGKAALEHFYQMRCDGFQPNSVSYIAVLTACSHSCLLNEGRLYFDEMRILYNIHPQIEHYGCMIDLLGRSGLLDEAMDLVKTMPIQPNAVIWASILSACRVHKHIDLAQCAAEHLLKLEPDEDGVYVQLYNIYVDSRQWENASKIRMLMEERQVKKTAGYSSITVAGQVHKFVVSDKSHPRILEIIAMLEEISHRLKSLGYSPLTSQITVDVDEEEKEQALLAHSEKLAIAFGLISLAPNLPVHIRKNLREPQEIHVSENDLIQFSSELNGPTLDIESSAFGLLSQLPRNFIFSDLPEDFSQSAGKGICLPYACFIADFLLWTESGLDGYHCCLG >ORGLA02G0064300.1 pep chromosome:AGI1.1:2:4633504:4636245:-1 gene:ORGLA02G0064300 transcript:ORGLA02G0064300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVLALGKLVTSFGVSSLRTYLEKEPARLPDLPYTAKRIERELDMIHHFLSQVGTKIYSNKVLEGWIVRVRKVAYRVEDITDEYSYNIALEHENHFKRLIHKFFYPTAFHRIAIELKDIEEEIKHLSQLKRDYREMFNELLDNTSDSAHIHLLSSNGSPHAVKGDDIVGMKEDMELLGRWLDPKELDRTVISIWGFGGLGKTTLVRKVYDWEKGMKSFDCYSWIAVSHNYNINAILRQLIQELSEDQSKIPTDLDTMHHGKLNDELKEVLSNKKYLIVLDDVWDTRAFHELSDSLMDDKKGSRIIITTRNNDVASLAQEMYKMKLNPLGNDDAFELFRRRCFQKSNMECPSHLEELSRQIVNKCGGLPLAINAIGNVLAVQESKEIVWRRINNQFKCELEDNPGLDKVRSALSISFMYLPRHLKNCFLYCSMFPQDYIFKRELLIKLWIVEGFVIQRGQSTLEEVADGYFTELIQQSMMQLVENDEIGRVVSCRMHDIMRELALSFSRKERFGLADINLETQKKDDVRRLLVSNFDQVNQLIKSSMDLPRLRTFIAANRVANYQLLTLLISRCKYLAVLELRDSPLDKIPENIGDLFNLRYLGLRRTRIKSLPISIKKLTNLETLDLKSTNIERLPREVAKLKKLRHIFAEQLYDPEERQLRYFRGVKLPDGAFDLAQLQTLQTVEATKKSVKLLKYLPELRLLCVENVCRADCATLFSSLSNMNHLYDLVISANDLNEPLDFNAFNPICTKLEKLTIRGCWDNETFRRPVFCEYGANIKYLTLTFCKNDTNPLPSISSSVPNLIFLSIRRGCWAEDIILRAGWFPQLRTLWLGKLEELRRLVIEEGAIIRLEVLLLLSLPSLREVPKGLELLASLKKLNVTMQHHELKVEWERDNWKTKLHRVQEIRF >ORGLA02G0064200.1 pep chromosome:AGI1.1:2:4630416:4631846:-1 gene:ORGLA02G0064200 transcript:ORGLA02G0064200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative homolog of mammalian BLOC-1 Subunit 2. Protein - protein interaction with BLOS1. [Source:Projected from Arabidopsis thaliana (AT5G49550) TAIR;Acc:AT5G49550] MSAAASGERDELADSLAELFTNVSLMVRGELQGTNSQLSLLEKMNRRVAEEYNNYGDVASGLRVFVEQLNEKNQSFGEYVSQIDAIDQQVTEFEAVVSMLDKHVSLLEKKVKSAYNIAPTQ >ORGLA02G0064100.1 pep chromosome:AGI1.1:2:4624059:4628037:-1 gene:ORGLA02G0064100 transcript:ORGLA02G0064100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSTVAARFAFFPPSPPSYGAEAPPPPAAAGAGVGVEKDGGGGGVVVELTDVPRRGNVEARRLRTKRGTEVVAMYVRQAGARLTLLYSHGNAADLGQMFELFVELSAHLNVNLMGYDYSGYGQSSGKPSEHNTYADIEAVYRCLVETYGASEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKIPLVRCPVLVIHGTADEVVDCSHGRALWELSKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVGAIEKSPPLYDESPESSGPSDNTQTNPEGTEESRKSTDCREKTRPSIDHRQSTDRRDKSRGSTDRRDKNRKSVDQPRASVDQPDRPRKSVDRFGGVMKSVRYIDCFRVTTASGS >ORGLA02G0064000.1 pep chromosome:AGI1.1:2:4623587:4623895:1 gene:ORGLA02G0064000 transcript:ORGLA02G0064000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSCTGRSDPSVCQLHDQRSSVKFNCCIWQKLALFQSTTVTRAPGGHHNYSKLNDLQYHKINGNRESLLITDRLLSCRHISYEASSINHLGKKKKKLLMDK >ORGLA02G0063900.1 pep chromosome:AGI1.1:2:4618570:4619146:-1 gene:ORGLA02G0063900 transcript:ORGLA02G0063900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLNWVSLLLLLFFVAAHSSFVATAHRELPMARKADEIGDHLQAKLDNQASSVSVTRATAKAEHDHQEAVMRKCKNGRKNCKNFRTRKLPADADGKIHFDGHMPFTADYHSVRRHPPSHN >ORGLA02G0063800.1 pep chromosome:AGI1.1:2:4613878:4615347:-1 gene:ORGLA02G0063800 transcript:ORGLA02G0063800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lycopene cyclase [Source:Projected from Arabidopsis thaliana (AT3G10230) TAIR;Acc:AT3G10230] MATTALLLRAHPSCKPPPPPSPSPRPTRALVCRAAAAGEALRSLAPPSRPELLSLDLPRYDPARSTPVDLAVVGGGPAGLAVAQRVAEAGLSVCAIDPSPALVWPNNYGVWVDEFDAMGLSHCLDAVWPSATVFTHDDGAAKSLHRPYARVARRKLKSTMMDRCVAHGVTFHKARVVKAVHGEASSLLICDDGVAVPATVVLDATGFSRCLVQYDKPYDPGYQVAYGILAEVDGHPFDIDKMLFMDWRDAHLPEGSEIRERNRRIPTFLYAMPFSPTRIFLEETSLVARPGLAMDDIQERMAARLRHLGIRVRAVEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLATAPIVADAIVRFLDTGSGDSAFAGDALSAEVWRELWPAQRRRQREFFCFGMDILLKLDLDGTRRFFDAFFDLEPRYWHGFLSSRLFLPELAMFGLSLFAKASNTSRLEIMAKGTAPLAKMIGNLIQDRDR >ORGLA02G0063700.1 pep chromosome:AGI1.1:2:4604390:4612608:1 gene:ORGLA02G0063700 transcript:ORGLA02G0063700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAALVGFLLAVSSAPAGATTDASDAAALGNLYSSWNSPSQLAGWSAGGGGDPCGAGWQGISCSGAGVTEIRLAGVGLDGSLGYELSSLFSLKTLDLSNNNLHGSIPYQLPPNLTYLNLATNNLSGNLPYSISNMVSLEYLNVSHNSLSQQIGDLFGSLNSLSELDVSFNKLTGDLPNSLGSLSNLSSLFMQNNQLTGSVNVLSGLSLTTLNIANNNFNGWIPQEFSSIPDLTLGGNSFTNGPAPPPPPFMPPPPRRPRNRPSHPRGSGDAPEGSVSPAGQGDKKQGLQTGPLVGIVAGSTVGALCALLLLVFCIRNAQKRKDDTSSNSKDFVGPLSVNIERASNREIPEQSPENTSVATMKISPAEKMTPERIYGKTGSMRKTKVPITATPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSSALSLQEEDNFLEAVSSMSRLRHPNIVPLTGYCVEHGQRLLVYEYIGNGTLHDVLHYSDELSRKLTWNIRVRVALGTARALEYLHEVCLPSVVHRNFKSSNILLDEEHNPHLSDCGLATLTPNTERQVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLMQRASIVRRQSGEELGYSYRAPEREGDMRDLSF >ORGLA02G0063600.1 pep chromosome:AGI1.1:2:4600866:4602027:1 gene:ORGLA02G0063600 transcript:ORGLA02G0063600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VWTGQWTKQKVQKIGRNWTAAKVPPVVVLVLLPPKLLSWKEDDKTQQDYQDQKPQFQTLIILLHLKYS >ORGLA02G0063500.1 pep chromosome:AGI1.1:2:4559716:4581884:-1 gene:ORGLA02G0063500 transcript:ORGLA02G0063500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGRAGKAKARPVFSSFMTVFMHADAADVALMVLGLLGAMGDGISTPVMLLITSRIFNDLGSGADIVKEFSSKVNVNARNLVFLAAASWVMAFLEGYCWARTAERQASRMRARYLRAVLRQDVEYFDLKKGSTAEVITSVSNDSLVVQDVLSEKVPNFVMNAAMFAGSYAVGFALLWRLTLVALPSVVLLIIPGFMYGRILVGLARRIREQYTRPGAIAEQAVSSARTVYSFVAERTTMAQFSAALEESARLGLKQGLAKGIAVGSNGITFAIWAFNVWYGSRLVMYHGYQGGTVFAVSAAIVVGGLALGSGLSNVKYLSEASSAAERILEVIRRVPKIDSESDTGEELGNVAGEVEFRNVKFCYPSRPESPIFVSFSLRVPAGRTVALVGGSGSGKSTVIALLERFYDPSAGEVMVDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEDATAEEVIAAAKAANAHSFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESESVVQEALDLASMGRTTIVIAHRLSTIRNADIIAVMQSGEVKELGSHDELIANENGLYSSLVHLQQTRDSNEIDEIGVIGSTSALGQSSSHSMSRRFSAASRSSSVRSLGDARDADNTEKPKLPVPSFGRLLMLNAPEWKQALMGSFGAVVFGGIQPAFAYAMGSMISVYFLTDHAEIKDKTRTYALIFVGLAVLSFLINIGQHYNFGAMGEYLTKRIREQMLAKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVIQTISAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSKKSIHAQAESSKLAAEAVSNLRTITAFSSQERILRLFDQSQDGPRKESIRQSWFAGLGLGTAMSLMACSWTIGFWYSGRLMAEHQITAKEIFQTFIILASTGRVIAEAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPQGYKPEKLKGEVDIRRVDFAYPSRPDVIIFKGFTLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPIRGSVKIDGRDIKAYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTETASEAEIEDAARSANAHDFISNLKDGYGTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMIDRTSVVVAHRLSTIQNCDLITVLEKGIVVEKGTHASLMAKGPSGTYFSLVSMKQRGNQQVQQ >ORGLA02G0063400.1 pep chromosome:AGI1.1:2:4553928:4554227:1 gene:ORGLA02G0063400 transcript:ORGLA02G0063400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWGPSSIISSDCMTTIMSALRIVDRRCAITIVVRPIDAMSRASCTMRSDSVSNALVASSRSRILGDLRIALAIAILCFCPPDIWTPHSPTCCFISN >ORGLA02G0063300.1 pep chromosome:AGI1.1:2:4527967:4530289:-1 gene:ORGLA02G0063300 transcript:ORGLA02G0063300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQKQRIAIARAILKSPKILLLDEATSALDTESERIVQEALDMASMGRTTIIIAHRLSTIRNADIIVVMQSGEVMELGPHDELIANENGLYSSLVRLQQTRDSNEIDQICVTGSTSAVEQSNIHIMTRRFSTVSRSSSARSLGDARDADNTKKQKLPVPSFRRLLMLNAPEWKQSLMGGFSAILFGGIQPTYSYTLGSMISAYFLTDHAEIKDKTRTNALIFLGLAVLSFFLNIGQHYNFGAMGEYLTKRIKEQMLAKILTFEIGWFDHDKNSSGALCSQLAKDANIVRSLVGDQMALMIETISTILIGYTMGLIIAWRLALFMIVMQPPIIVCFYVRRVLFKSMSEKSKYAQAKSSKLAAEAVSNLQTVTAFSSQERILRLFNQAQDGARKESIRQSWFAGLGLGTPMSLMICTWALELWYCGRLVADHQITNTELFQTFYILINTCRVTEEAGSMTTDLAKGADAVASVFSILDRETEIDPNNPHGYKPEKLKGEVDIRGVDFSYPSRPDVIIFKGFTLSIQPGKSTAIVGKSGSGKSTIIGLIERFYDPIRGSVKIDGRDIKAYNLRYLRRHIGLVSQEPTLFAGTIRENIVYGIDIASEVEIEDAARSANAHDFISNLKDGYDTLCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMVGRTSVVVAHRLSIIQKCDLITVLEKGTIVEKGTHTSLMTKGFSGTYFSLVSLQQAGNQQVQL >ORGLA02G0063200.1 pep chromosome:AGI1.1:2:4525762:4527018:1 gene:ORGLA02G0063200 transcript:ORGLA02G0063200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLSPDLKRGNFTDDDDELTIRLHALLGNKWNTHIKRKLLSQGIDPQTHQPVSARTSVAVASELTTTASTVGFPSLAPAPKLVPIAERPNTRSHREREARNGTRKREAAAAAAGRAGRRRRCAARPTPHLLDRVVRHSSPPGSAAAAPGELVVCLGPHL >ORGLA02G0063100.1 pep chromosome:AGI1.1:2:4524043:4524309:1 gene:ORGLA02G0063100 transcript:ORGLA02G0063100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGSGAAAGCWRSGRRVSAADGVGDLGDVRAHLVASVLAPGRGPHDAALPRMQWPVRRRASTRCCYHHHGQLVLVFLHLELPLTHN >ORGLA02G0063000.1 pep chromosome:AGI1.1:2:4517800:4518399:-1 gene:ORGLA02G0063000 transcript:ORGLA02G0063000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGAAEEDAEAARFRGVRKRPWGRYAAEIRDPAKKARVWLGTYDSAEDAARAYDAAARALRGAKAKTNFPLSLPHAQPQLHHHHHHHLTYPAAAVVAARPATSSLSSTVESFGTRPRPVLPPRPPPPPPIPDGDCRSDCGSSASVVDDDCADAAASPSCRLPFQFDLNLPPGGGGGGGGGFGCAYDDEELRLTALRL >ORGLA02G0062900.1 pep chromosome:AGI1.1:2:4513009:4513877:-1 gene:ORGLA02G0062900 transcript:ORGLA02G0062900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLLVALVPTDPATDYPLVYPVVKCNMSRLWGNKYGNDLFGYFPLLLQILRVTACSPARRSKASHVPDVAPVVCNSGDNDGDGYNNRSWALVMKLLSVIGIRKLF >ORGLA02G0062800.1 pep chromosome:AGI1.1:2:4508664:4509689:-1 gene:ORGLA02G0062800 transcript:ORGLA02G0062800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQGQGPVVPKFGSWDAENIGYTVFFDKVRENKTAPTPATAAPAPATHDDDYEFDPYEHYENLSRKAASRPPSSHGHGHGHAPPPAHHHHHHRAPPPPQQQQHHHHYPASQRSGNGYHRRSGSNGSSAASEASSRGSKFSPPKPYQPRYSSNSNSGGGGGGYGYGGPGAGAYAAPPQMHHHHHQQQHAAQPRVAASPPRHALPQVSRAAKAASAVPKFGVWDEQNAAAAGQGFTVQFEKVKRHREVAKAAGPDVTPRMSPAQAAPARHPRRKAEKSSFLSKVYRCMFPRVRE >ORGLA02G0062700.1 pep chromosome:AGI1.1:2:4503581:4507123:1 gene:ORGLA02G0062700 transcript:ORGLA02G0062700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLVRLLLLLLLVVAAASRGAASAAKAKAKAARVTAVIVFGDSTVDTGNNNQIGTPLRSDFPPYGRDMPGGARATGRFGNGRLAPDFMSESLGLPPLVPAYLDPAYGIADFARGVCFASAGTGLDNATAGVLSVIPLWKEVEYYREYQRRLRAHAGAAAARDVVRGALHVVSIGTNDFLENYYMLATGRFARYSVGEYEDYLVAAARAFLAAIHRLGARRVTFAGLSPMGCLPLERTAGALLGGGGGGCVEEYNRVAREYNGKVEAMVRSLRAELPRLKVAFIPVYDNMLDLITHPEKYGLENVEEGCCATGRFEMGFMCNDESPLTCDDASKYLFWDAFHPTEKVNRIMAQHTLDVCYQQGVL >ORGLA02G0062600.1 pep chromosome:AGI1.1:2:4501592:4502799:1 gene:ORGLA02G0062600 transcript:ORGLA02G0062600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRDLVVAVALLQLSVLLLLLSGEPAAAAGKSKVAAIIVFGDSTVDTGNNNYLSTLVRSDFAPYGRDLQLAGAGVSGSGGGNGRPTGRFSNGRLAVDFISEAFGLPPLVPAYLDPAVNMSSLGAGACFASAGAGYDNATSDLFSVLPLWKELDYFKEYAARLRSFRGDDDAAAAAAAATLSEALYIVSMGTNDFLENYYAVARGHAAEYSTAAAYGDYLLGVAEAFVRELHALGARKVDLNGLPPMGCLPLERATGGACTEEYNAVAERFNAGLQDMIARLNGELGGGARIVYGDVYGAVAAVLADPAAYGVENVKAGCCGVTGVFEMGYMCGAGARSPLTCTDASKFAFWDAIHPTERLHRAIADAKMNTTLHVFL >ORGLA02G0062500.1 pep chromosome:AGI1.1:2:4498681:4500604:-1 gene:ORGLA02G0062500 transcript:ORGLA02G0062500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQSQSSSLQRLNHVEQMIVRAVNLSGTVMEELGNATGPRTEGVAGHCREFMLAMKEIQTTLREEIKSACEYRPFEKCDYSARIANEICCKKVEYVLEKLDAMQKNIEECSTTS >ORGLA02G0062400.1 pep chromosome:AGI1.1:2:4495333:4496328:-1 gene:ORGLA02G0062400 transcript:ORGLA02G0062400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPATTSLLSALLQLPLAPFSGRRSPPPPSVVHVAPPRSPTAAVAATKGYNVQIVVDENEGEESIFRRFRREVMRAGVLQEIKRRRRYESKKDERKRKAREAGRRNRRRRMMEEPRFPEDDADSEAARRARDDEKDNWEIDGIL >ORGLA02G0062300.1 pep chromosome:AGI1.1:2:4491426:4494603:1 gene:ORGLA02G0062300 transcript:ORGLA02G0062300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGCPRGKRGRVRASPHATATAGSDFLSALPEGILHHIMSFLNARQVIQTCVLSWRWRDLWRSVPRINANYGELSMSPIAAFTPDNEAAFKRFVNRLLEHCDPAAVIHTFNLRYTISNPNNRDNDSADANRWISQALQNQASFLKIIVDAHELHLDHTVFTSCYLGRITLKNVFLDQGFFQQLEIGCPLLQDLLLYDCIIGDDEISSETLNVLTMYGCQFPTLQESCISAPNLTSLIMHQPENFVPVLDDVASLVTATVDLFPLIEFCAYDMRQLLWSLSGVRNLDLDYYACKMTIKNNPQLCPKFINLVDLTLGQWCLDSDFYVLIIFLQSSPKLEKLTLKLEKYYPHPYEHIIGDELTERSFTCEHLKIVEIICMEDDEPLAKIVEGLFVDNGMNSVRFDIKYWSQIPFQLPAFYRELYN >ORGLA02G0062200.1 pep chromosome:AGI1.1:2:4487957:4488271:-1 gene:ORGLA02G0062200 transcript:ORGLA02G0062200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTRVWVLAAVLVACAIAVRSARAGHASGHAAAAAAPAPSNGHGCNPLKDKTCRHDDRHDPENQEEEGGFGVRLPSFPSLTGDDGDDDELPSFDTHMTILGH >ORGLA02G0062100.1 pep chromosome:AGI1.1:2:4484036:4486081:-1 gene:ORGLA02G0062100 transcript:ORGLA02G0062100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKGEAGLDRWRGKDFSSSFPLVLILEEVAAASSSSPKIGGVDFVWLLRPTAFLLSWLVGLRLRCCGMPSPDAAAGDIESALDDFARADADCIAAALACGRTSFSYRRLPEPRRLRLTVRKLDDSYFDVQIARSAAVWELKAAIEDVFAALYDETDNKAISWQHVWSHFCLCFKDEKLTDDKATLRAFGIRDGDELHFAQHLSVEYRPCKSLSLRRSRTSLDDFSARSRSLLDDLGEDDGDKFIDTRHSTSVLEEGLYVYQHDEERMEESRKKGGFFSGWFSYSRLRGNSRTHSEDAIQSSCEKRDTRTRPKLGRWLSSKKTKGT >ORGLA02G0062000.1 pep chromosome:AGI1.1:2:4483156:4483356:1 gene:ORGLA02G0062000 transcript:ORGLA02G0062000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRSSSSLSLMQLAVLVVVVLLLCTAVECSRPLRDHVGGGGGFSVGRLPVFAVARAGPSPRGPGH >ORGLA02G0061900.1 pep chromosome:AGI1.1:2:4481911:4482431:1 gene:ORGLA02G0061900 transcript:ORGLA02G0061900.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGAALKQAAAVANSSFPAAAASMSSFPRGGVGVQVVLPGGGNSELVVPGGGGVDVVLPGGGGIDVVLPGGSSVDVVLPGGGGIDVVLPGGGSVDVVLPGGGGVDG >ORGLA02G0061800.1 pep chromosome:AGI1.1:2:4463811:4465244:-1 gene:ORGLA02G0061800 transcript:ORGLA02G0061800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NY12] MGEEAAAATVTAAAAAAASGGAPHLLLICFPGQGHVNPMLRLAKRIAAKGLVVTFSSTSAIGAKLVESSGVSVGGDGVPLGGGRIRFEFLEDGFDGSDLDELMRHLGTAGPAAFAELLARQEAAGRPVACVVGNPFIPWAVDVAAAAGIPSAVLWVQSCAVFSLYYHRVHGLVEFPPEDDLDARLTLPGLPAMSVADVPSFLLPSNPYMSLTEAIQQQIRTIDKATWVFVNSFTELERGVVDALRGVATSPPPPPLIPVGPLIELEGDAAVRGDMIRAADDCVGWLDEHPPRSVVYASLGSVVVLSAGEVAEMAHGLASTGRPFLWVVRPDSRALLPEGFLDAVAGRGMVVPWSPQEQVLVHPAVACFLTHCGWNSTLETVAAGVPVVAFPQWGDQCTDAMFLVDELGMGVRLRAPLRRGAVRDAVDAAVAGPDAGAMRSSAAAWSAAARAAVAAGGSSDRHVEAFVEEVKARAAKA >ORGLA02G0061700.1 pep chromosome:AGI1.1:2:4454008:4454379:-1 gene:ORGLA02G0061700 transcript:ORGLA02G0061700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLETAKKFKEIFSETTTNSREITAEANKQADLLVNQIKHLTTDLPVPSIPAIPHILSAITPKPDAAELEHYGIIEDLCEFVKGMTTLPIPSSRVDADNLLHATASRHRLHSVASRAVDAQLS >ORGLA02G0061600.1 pep chromosome:AGI1.1:2:4445254:4448854:-1 gene:ORGLA02G0061600 transcript:ORGLA02G0061600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAAEKTVTGWAARDASGHLTPYNYTLRKTGPEDVVVKVLYCGICHTDIHQAKNHLGASKYPMVPGHEVVGEVVEVGPEVTKYSAGDVVGVGVIVGCCRECHPCKANVEQYCNKRIWSYNDVYTDGRPTQGGFASAMVVDQKFVVKIPAGLAPEQAAPLLCAGLTVYSPLKHFGLMSPGLRGGVLGLGGVGHMGVKVAKSMGHHVTVISSSARKRGEAMDDLGADAYLVSSDAAAMAAAGDSLDYIIDTVPVHHPLEPYLALLKLDGKLILMGVINQPLSFISPMVMLGRKAITGSFIGSMAETEEVLNFCVDKGLTSQIEVVKMDYVNQALERLERNDVRYRFVVDVAGSNIDDADAPPA >ORGLA02G0061500.1 pep chromosome:AGI1.1:2:4442673:4443587:1 gene:ORGLA02G0061500 transcript:ORGLA02G0061500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEAECDRIKGPWSPEEDEALRRLVERHGARNWTAIGRGIPGRSGKSCRLRWCNQLSPQVERRPFTAEEDAAILRAHARLGNRWAAIARLLPGRTDNAVKNHWNSSLKRKLATATDGGEIDRPCKRVSPGPGSPTGSERSELSHGGCGSGSGGGQVFRPVPRPGGFDAISAADVVRPPRRRDDNDDDGDDDPLTSLSLSLSLPGFHHDSARSHFQELPSPSRSPSPPPSPPSPPAASPSAYPFNADLVSAMQEMIRTEVRNYMAGVGLRAGCGPGAVAESFMPQLVDGVMRAAAERVGVVTRQ >ORGLA02G0061400.1 pep chromosome:AGI1.1:2:4434564:4437407:1 gene:ORGLA02G0061400 transcript:ORGLA02G0061400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAALLLPARPSAAAPPTLLRRGVASASSARAPTPLPAGRLSGGVLSAVRRLTVAAAGSSSSSSGPLYPTPPPTEQDIERAKFEQVVKRLGKTARYFKNLGTLGFWSQLVSTVVSAGILSFSTVITGKVTAPFTFYATAAGIAAGFISVFWSFGYIRLSERLRKTASEPAKAPPRADVIRSLKNGIVLNMLGMGAAVLGMQATVGALVAKALTTSAVPYYQATSPGQSPVLALDVFLVQASANIILSHFLGLASSLELLRSVAIPPAEAAAA >ORGLA02G0061300.1 pep chromosome:AGI1.1:2:4430985:4433719:-1 gene:ORGLA02G0061300 transcript:ORGLA02G0061300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKWAQKTVVIPAQHRGCHLITPKILREIEGDLSGFKCGLAHLFLQHTSASLTINENYDSDVQADTETFLNRIVPEGRSAPWKHTLEGPDDMPAHIKSSMFGCALTIPITDGHLNMGTWQGIWLCEHRDNASSRKIVITLNGV >ORGLA02G0061200.1 pep chromosome:AGI1.1:2:4422642:4426962:-1 gene:ORGLA02G0061200 transcript:ORGLA02G0061200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERYPHMFLILLLFHGAHAALKDPVQKWQTLGGQRPLVIARGGFSGLFPDSSQFSYQFAMSSSLHDVVLYCDLQLSSDGLGFCKTGLTLENSTLIAEAFPKRAKTYKVNGEEIHGWFALDFTADELYQNVTLIQDIFSRPSTFDGAMGMFTLEDLVGLKPPHLWVNVEYALFLQEHKLSAEDYILGLPKDFSVAYISSPEIGFLKNVGAKLKKSNTKLIFRFLREDVAEHTTKKTYGEILKDLKSVKAFASGILVPKEYIWPLGKDQYLRLPTSLVKDAHALGLEVFASGFANDVSMSYNYSFDPSAEYLQYIGNANFSVDGVITDFPPTASGAVACLANTKGNPLPPPGGDGGRPLIITHNGASGVYPGSTDLAYQQAVKDGADIIDCAVRMSKDGVAFCQPSADLSTSTTASTSFMTKISTVSEIQNKSGIFSFDLTWSEIQTLKPDLLGPYTQAGLKRNPAAKNAGKFVTLPEFLDLAKATNVSGIMVEMEHASFLAKRGLGLVDAVSGALANASYDKEGGHLPELMVVSDDTSVLAAFKKFPAFRRVLMVDETISDASAPSVEEIKQFATAVTVGRGSIAQVNGFFLTRFTDVADRMHAANLTVYVGVLRNEFMNLGFDYWADPIIEIATYAFHVMADGLITEYPATAATYFRSPCSDLSLNLSYAILPADAGALVHLAAPGALPPALPPAPVLEPGDVLDPPLPPVAIASPPEEAATAAKPTDSSSSAAAARSSAGAGGCRVLAAAVASLFLLLLPSHGFH >ORGLA02G0061100.1 pep chromosome:AGI1.1:2:4417869:4421887:-1 gene:ORGLA02G0061100 transcript:ORGLA02G0061100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGRFGSPPPLPLPRLRRRATFSAGARRSAARGRITSNYLRNCEIPHLIILANTNSSRNFSRMDSCAARASASGAESSSGGGEDASEIIDAMEVKSSSTAASFLAKVALALGVAATATVISLFMRQPSSGPSFSLPQIVDASAPPDAAATIGYTFSLFGKKVIVPEYTPGWVYFWLLMAAGFGLFISEEALNVWVCPLYVGISLARSLCLDGTWQSLANSFSMNASYIISTVLWVYWGVCISDMVPFYLGKLFRQTRASEDISSKIGIGKEKALSISRAVQKYGNLIGFVERFSVGVRNPTGFLAGALGIPADCYFAGVCCGCLFTLPIQLAVGFFLRERPVVALASVAAAVIESICKFISSPVLHSSPNEKKKRGCCSLFFALELKAIRHPPHIAILYLKSRDFRITDM >ORGLA02G0061000.1 pep chromosome:AGI1.1:2:4413742:4416584:-1 gene:ORGLA02G0061000 transcript:ORGLA02G0061000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLPGAASAAAAPLPRALLLLAALALFSLTFLSLRSLRPAAAPPSLAIGGSRPSSFARPSVYHSAEAFAAGYAEMERSFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTGDPDKAHLFFVPISPHKMRGKGTSYENMTIIVKDYVEGLINKYPYWNRTLGADHFFVTCHDVGVRAFEGLPFMVKNSIRVVCSPSYNVDFIPHKDIALPQVLQPFALPEGGNDVENRTILGFWAGHRNSKIRVILARVWENDTELAISNNRISRAIGELVYQKQFYRTKFCICPGGSQVNSARISDSIHYGCVPVILSDYYDLPFNDILDWRKFAVVLKERDVYQLKSILKSISQEEFVELHKSLVQVQKHFVWHSPPLPYDAFHMVMYELWLRHHVIKY >ORGLA02G0060900.1 pep chromosome:AGI1.1:2:4395049:4398118:1 gene:ORGLA02G0060900 transcript:ORGLA02G0060900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRLALLLLVLAVAAARHALPAAGSDAHPGYDGAEDTCGVPAAAAAAAGRMEEYGSGRILDITHAYRADLPAFAPGAVTGPVVRLRDSMANGTLYNLSELKMECHMGTHVDAPGHMNQGHFAAGLDVDKLDLDLLNGPTLLVDTPRNTNITAKAMESLNIPKGVRRVLFRTLNTDRKLMWKKGGDLSYVGFTEDGAQWLVDNTDIKLVGIDYLSVAAYDHLITAHVVFFKIPNKSLPSIAELSTD >ORGLA02G0060800.1 pep chromosome:AGI1.1:2:4392530:4394168:1 gene:ORGLA02G0060800 transcript:ORGLA02G0060800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELAFYLLLVGLVAVPLLILLGSERRTAARTRLPPGPWALPVVGHLHHLAGGLPPHRAMRDLARRHGPLMLLRLGEVEAVVASSPDAAREIMRTHDVAFASRPVGPMSRLWFQGADGLVFAPYGEAWRRLRRVCTQELLSHRRVQSFRPVREDELGRLLRAVDEAAAAGTAVNLTAMMSTYVADSTVRAIIGSRRLKDRDAFLRMLDELFTVMPGMSLPDLFPSSRLAMLVSRAPGRIMRYRRRMRRIMDSIIHEHQERRAAGDDDEDLVDVLLRLQKEVGAQYPLTTENIKTVMMDIFGAASETSSTTLEWVMAELMRSPSAMRKAQDEVRRALAAGAAGHDTVTEDILPNLSYLKLVVKETLRLHPPAPLLAPRRCDSPREVLVLGHDVPAGATVLVNAWAIGRDTAAWGSSAEEFSPERFERCERDFRGADFELIPFGAGRRMCPGTAFGLVHVELALAALLFHFDWSLPGGMAADELDMAESSGLTTRRRLPLLVVARPHAALPTKYCN >ORGLA02G0060700.1 pep chromosome:AGI1.1:2:4390274:4391923:1 gene:ORGLA02G0060700 transcript:ORGLA02G0060700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMPLVVLLLATIPLLFFTIKRSAQRRGGGGGGEGRLPPGPWALPVIGHLHHLAGDLPHRALSALARRHGALMLLRLGEVQAVVASSPDAAREIMRTHDAAFASRPLSPMQQLAYGRDAEGVIFAPYGDGWRHLRKICTAELLSARRVQSFRPVREAELGRLLRSVAEATSSSSSASLVNLTELISAFVADSTVRAIIGSRFEHRDAYLRMLQDGLKIVPGMTLPDLFPSSRLALFLSRVPGRIEHHRQGMQRFIDAIIVEHQEKRAAAAANDDDDEDEDLLDVLLKLQKEMGSHHPLTTANIKTVMLDMFGAGSESSATVLQWTMAELMRNPRVMQKAQDEVRRALAGHDKVTEPNLTNLPYLRLVIKETLRLHPPAPLLLPRKCGSTCKILGFDVPEGVMVIVNAWAIGRDPTYWDKPEEFVPERFEHNGRNFKGMDFEFIPFGAGRRICPGITFGMAHVELVLSALLYHFDWELPQGMAAKDLDMTEDFGVTTQRRSNLLVRPIHRVSVLVE >ORGLA02G0060600.1 pep chromosome:AGI1.1:2:4387659:4389268:1 gene:ORGLA02G0060600 transcript:ORGLA02G0060600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELTEYLLLLPLLVVPLLYLAASSSRRSGRLRLPPGPWALPVIGHLHHLALAGAPTHRAMRDMARRHGPLMLLRFCELPVVVASSPDAAREIMRTHDVAFASRPIGPMLRLVFQGAEGVIFAPYGDGWRQLRKICTVELLSHRRVHSFRPVRANELGRLLRAVADQAASSSSSPVNLTGMISAFVADSTVRAIIGSRSRHRDTFLRLVEDGLKIMPGMSLPDLFPSSRLAMLLSRVPAKIERRRRGMMGFIDTIIQEHQESRAAAEDEDLLDVLLRLQKDMDSQYPLTTMNIKSILIDMFGAGSETSATTLQWAMAELMRNPAVMRRAQDEVRRELAGAGNDRVTEDTLPSLHYLRLVIKETLRLHPPAPLLLPRECGGACKVFGYDVPAGTMVLVNAWAIGRDAAAWGAAAEEFSPERFERCERDFRGADFELIPFGAGRRICPGMAFGLAHVELALAALLFHFDWRLPGGMAAGEMDMTEAAGITVRRRSDLLVFAVPRVPVPAQ >ORGLA02G0060500.1 pep chromosome:AGI1.1:2:4383882:4385721:1 gene:ORGLA02G0060500 transcript:ORGLA02G0060500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHANTGPSRGPRGPNLRVQGGGEKQQSPTSSASSRPHPASPPQTLSPPASPLLHGGGGHHRTRRSSPAASGTTPSNMDSGSDSDSAPEELTAVQGVEKHDEISKVEKDSAIRVSQQEKERRRRWAQRRTSSKPDKKEPLEVEDKDIKQKAENEEDEESEETHTMPGMLPTNVIEMLAAREKQTFSSDSEEEITNQKVQKRKKRLKSSGPETILLKDVRSTQHVKNALAFLEQRKNQVPRSNAVLKNANKALRLLSSKGNFLS >ORGLA02G0060400.1 pep chromosome:AGI1.1:2:4371696:4380297:-1 gene:ORGLA02G0060400 transcript:ORGLA02G0060400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASCCKGVDGVLPGRRKKKKETTWRIFSLKELQSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKSWSNKAETEFAIEVEVLATVRHKSLLSLRGYCAEGQERLIVYDYMPNLSLHSHLHGQHAAECHLGWERRMKIAIDSAEGIAYLHHQATPHIIHRDIKSSNVLLDKNFQARVADFGFAKLIPDGATHVTTKVKGTLGYLAPEYAMLGKASESCDVFSFGVLLLELASGKRPVEKLNPTTKLTITEWALPLARDKKFKEIADPKLKDVFVEAELKRMVLVGLACSQNKQEQRPIMSEVVELLKGESAEKLSNLENDEMFKPDLTSSFQDSSHSSHPDSSDCITEEKNSKADTIDEAVDSSETVPSAR >ORGLA02G0060300.1 pep chromosome:AGI1.1:2:4366150:4369036:-1 gene:ORGLA02G0060300 transcript:ORGLA02G0060300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related protein [Source:Projected from Arabidopsis thaliana (AT4G32190) TAIR;Acc:AT4G32190] MPPLSPSSSPPATAAAALRCGSPSCPPVTHVLFRQKLSFMVSFQAQHMRCAPHLIKSVVKGIRANITDGENGATEPARELLERLFAKTQRLDTSASQDSELSMSIDVLKSEFEAALSTLRKKERDLRDAENRVSVDQVRLNRAKKDLDQRERGINRAYARQQEMERSLGKASRDLVLQVRQIDNLKLLVDEQDKKIASSQDLLSQKVTEVEKLKQDMLKKNEEVTLMRSEIKSKEQLLLEANQAAEQQEATIKELRSEIKRKEIDFSRSNELRKANEQKLKIAEQELERQNMGWLAAQKELKEVAQLACKDMDGIKDTVSDFKRVRSLLDAVRSELIASKEAFSSSRKQIEDQAVQMQKQVQELSGQRLLLSSFNQNLEAARLEIQGKAKELNAAQSRCHELESLLLQEKEKVESLEAVLTKERESLEEKTKEVELLQKALVQKENEHSNSLKLVEIKESELLEARNEVQDMKSKVESIQIAVQEKDSELSETQRRLAEVNSEVVELKQLLDSKEDQLVQVRTELQDKEQHIQTLQNKLDSMKFSCSQAESVVQKIAELTGNLASSVEGEEMDIYALLDDEISSTGTALKSNLHKHNQLEADIEMLKESLHQKDMDLRAAHEALDVKDQELKAVMRRWDVKEEVDKLEGFLKDPSDIKRPSDFSVHMGLQNLQTEAAEVEALAATTTLKKLADMAKGFLRSGKTDSGINLVASPSVNSTRIVSKTKPNKEMDMILDAEKEIAGLFSLTEQLITEAGIDVAHQA >ORGLA02G0060200.1 pep chromosome:AGI1.1:2:4357786:4359647:1 gene:ORGLA02G0060200 transcript:ORGLA02G0060200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDAVACVVAVVVVVVFAMLRVKLARSGGGGGGGGGGGVRLPPGPWRLPVIGSLHHVVGDRLLHRAMARIARRLGDAPLVYLQLGEVPVVVASSPGAARAVTRTHDLAFADRALNPTARRLRPGGAGVALAPYGALWRQLRKICVVELLSARRVRSFRRVREEEAGRLVGALAAAAASPGEEAAVNFTERIAEAVSDAALRAMIGDRFERRDEFLQELTEQMKLLGGFSLDDLFPSSWLASAIGGRARRAEANSRKLYELMDCAIRQHQQQRAEAAVVDGGAGVEDDKNQDLIDVLLNIQKQGELETPLTMEQIKAVILDLFSGGSETSATTLQWAMSELIKNPMVMQKTQAELRDKLRRKPTVTEDDLSGLKYVKLIIKETLRLHPVVPLLVARECRESCKVMGYDVLKGTTVFVNAWAIGRDPKYWDDAEEFRPERFEHSTVDFKGMDLEFIPFGAGRRICPGMAFAEAIMELLLAALLYHFDWELPNGMATTELDMTEEMGITVRRKNDLHLRPHPPCVVRSNFRSFVERERERRGTSC >ORGLA02G0060100.1 pep chromosome:AGI1.1:2:4353188:4354980:1 gene:ORGLA02G0060100 transcript:ORGLA02G0060100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVSCFAAAAAAVLVVLSLARILLAPRREWDGLNLPPSPSRLPFIGSFHLLRRSPLVHRALADVVRQLGAPPLMYMEIGEVPAIVVSCADAAREIMKTHDINFASRPWPPTVQKLRAQGKGIFFEPYGTLLRQLRKICIVKLLSVRRVSSFHGVREEEAGRLVAAVAATPPGQAVNLTERIKVAIADTTMRPMIGERFERREDFLEVLPEIVKLASGAFRQRQQQKEAMAAPPPDIAKEEEDDLMDELIRIHKEGSLEVPLTAGNLKAVILELFCAGSETSSNAIQWAMSELVRNPRVMEKAQNEVRSILKGKPTVTEADMVDLTYVKMIVKETHRLHPVLPLLTPRVCQQTCQIMGYDVPQGSVIFINSWAIMRDPKHWDDAETFKPERFEDSEIDLKGTNYEFTPYGAGRRICPGLALAQVSIEFILATLLYHFNWELPNGAAPEELDMTEDMGLTIRRKNDLYLLPTLRVPLTA >ORGLA02G0060000.1 pep chromosome:AGI1.1:2:4346751:4348768:1 gene:ORGLA02G0060000 transcript:ORGLA02G0060000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVPCFAAAAAVAVVVVLVLLLARMLRAPRGEWDGLNLPPSPPRLPFIGSFHLLRRSPLVHRALADVARQLGSPPLMYMRIGELPAIVVSSADAAREVTKTHDIKFASRPWPPTIRKLRAQGKGIFFAPYGALWRQLRKICIVKLLSVRRVSSFHGVREEEAGRLVAAVAATPPGQAVNLTERIEVVIADTTMRPMIGERFERREDFLELLPEIVKIASGFSLDDLFPSSWLACAIGGSQRRGEASHRTSYELVDSAFRQRQQQREAMAASPPDIAKEEEDDLMDELIRIHKEGSLEVPLTAGNLKAVILDLFGAGSETSSDALQWAMSELMRNPRVMEKAQNEVRSILKGKPSVTEADVANLKYLKMIVKETHRLHPVLPLLIPRECQQTCQIMGYDVPQGSVIFINSWAIMRDPKHWDDAETFKPERFEDGEIDLKGTNYEFTPFGAGRRICPGLALAQASIEFMLATLLYHFDWELPNGAAPEELDMTEEMGITIRRKKDLYLLPTLRVPLTA >ORGLA02G0059900.1 pep chromosome:AGI1.1:2:4342289:4344207:1 gene:ORGLA02G0059900 transcript:ORGLA02G0059900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGMEKVAWCACFLLLALMVVRLTAKRRGDNGAARLPPGPWRLPLVGNLHQVMARGPLVHRTMADLARRLDAPLMSLRLGEVPVVVASSADAAREIMRTHDVAFATRPWSPTTRRLRCDGEGVVFATYGALWRQLRKLCVVELLGARRVRSFRRVREEEARRLVAAVAASPRGEAVNVSERITAVITDATMRAMIGDRFGRRDEFLELLADIVKIGSGFSLDDLFPSWRLAGAIGGMARRAEANRRRTYELMDSVFQQHEQRRVHVAAPADGAMDDAEEDLVDVLFRIQKDGGLEVPLTIGNIKAIILDLFNAGSETSANTLQWVMSELMRNPKVMRKAQAELRNNLQGKTTVTEDDLTNLKYLKLVIKETLRLHPVLPLLLPREDPKYWDMAEMFKPERFDNSMIDFKGTDFEFVPFGAGRRMCPGIAFAQSNMELVLATLLYHFDWKLPSGMSPEELDMTEDMGLSVQRKNDLYLHPTVCVPL >ORGLA02G0059800.1 pep chromosome:AGI1.1:2:4336819:4338773:1 gene:ORGLA02G0059800 transcript:ORGLA02G0059800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGMEKVAWCACFLFLALMVVRLRTKRRGDNNGGVKLPPGPWRLPLVGNLHQVMARGPLVHRTMADLARRLDAPLMSLRLGEVPVVVASSADAAREITKTHDVAFATRPWSSTIRVMMSDGVGLVFAPYGALWRQLRKIAMVELLSARRVQSFRRIREDEVGRLVAAVAAAQLGEAVNVSERIAALVSDAAVRTIIGDRFERRDEFLEGLAEGIKITSGFSLGDLFPSSRLASFIGGTTRRAEANHRKNFELMECALKQHEEKRAAAAAAAAGAVEDDEDIVDVLLRIQKEGSLQVPLTMGNIKAVVLGEGCALVWHLQKQLWSSL >ORGLA02G0059700.1 pep chromosome:AGI1.1:2:4333166:4334979:1 gene:ORGLA02G0059700 transcript:ORGLA02G0059700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADLELEKVASFLLAALLPLVLFKLAAAKRGGGDGGMRLPPGPWRLPVIGNLHQIMAGGQLVHRTMAGLARGLGDAPLLSLRLGEVPVVVASSADAAREIMSRHDAKFATRPWSPTVRVQMVDGEGLAFAPYGALWRQLRKITMVELLSPRRVRSFRRVREEEVGRLVVAVATAATRRPGEAAVNVGERLTVLITDIAVRTIIGDRFERREDFLDAAAEWVKIMSGFSLGDLFPSSRLASFVSGTVRRAEANHRKNFELMDYALKQHEEKRAAAAAAGAGAVEDDEDIVDVLLRIQKEGGLEVPLTMGVIKGVIRDLFGAGSETSANTLQWTMSELVRNPRVMQKAQTELRDCLRGKQSVSEDDLIGLKYLKLVIKETLRLHPVVPLLLPRECQETCNIMGYDVPKGTNVLVNVWAICRDPRHWENAETFIPERFEDSTVDFKGTDFEFIPFGAGRRMCPGLAFAQVSMELALASLLYHFDWELPSGVAPSNLDMEEEMGITIRRKNDLYLVPKVRVPL >ORGLA02G0059600.1 pep chromosome:AGI1.1:2:4321826:4323823:1 gene:ORGLA02G0059600 transcript:ORGLA02G0059600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQDITGYLCLSLALLLLTLVLHKVARKATGNGAGKPRLPPGPWRLPVIGNLHQIMVGGPLVHRTMADLARRLDAPLMSLRLGELRVVVASSADAAREITKTHDVAFATRPWSSTIRVLMSDGVGLVFAPYGALWRQLRKIAVVELLSARRVQSFRRIREDEVGRLVAAVAAAPAAQPVNVSERIAALISDSAVRTIIGDRFERRDEFLEGLAEAIKITSGFSLGDLFPSSRLASFVGGTTRRAEANHRKNFELIECALRQHEERRAAGAVDDDEDLVDVLLRVQKDGSLQMPLTMGNIKAVVLELFGAGSETSANTLQWAMTELIMNPRVMLKAQAELSNVIKRKQTISEDNLVELKYLKLIIKETLRLHPVVPLLLPRECRETCEVMGYDIPIGTTVLVNVWAIGRDPKYWEDAETFIPERFEDGHIDFKGTNFEFIPFGAGRRMCPGMAFAEVIMELALASLLYHFDWELPDGISPTKVDMMEELGATIRRKNDLYLIPAVRVPLSTVL >ORGLA02G0059500.1 pep chromosome:AGI1.1:2:4312211:4315023:1 gene:ORGLA02G0059500 transcript:ORGLA02G0059500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQYVTGYLCLSLALLLLTLVLHKVARKATGNGAGKPRLPPGPWRLPVIGNLHQVAMGGPLVHRTMADLARRHDAPLMSLRLGELRVVVASSADAAREITKTHDVAFATRPWSSTIRVMMSDGVGLVFAPYGALWRQLRKIAVVELLSARRVQSFRRIREDEVCRLVAAVAAAQPGEAVNVSERITALISDSAVRTIMGDRFEKRDEFLEGLAEGDRIASGFSLGDLFPSSRLASFVGGTTRRAEANHRKNFGLIECALGQHEERRAAGAVDDDEDLVDVLLRVQKEGSLQVPLTMGNIKAVILELFGAGSETSASTLHWAMTELIMNPKVMLKAQDELSNVIKGKQTISEDDLVELRYLKLVIKETLRLHPVVPLLLPRECRNTCEVMGYDIPIGTTMLVNVWAIGRDPKYWEDAETFRPERFEDGHIDFKGTDFEFIPFGAGRRMCPGMAFAEAIMELVLASLLYHFDWELPDGISPTKVDMMEELGATIRKKNDLYLVPTVCVPMSSAL >ORGLA02G0059400.1 pep chromosome:AGI1.1:2:4269116:4270805:-1 gene:ORGLA02G0059400 transcript:ORGLA02G0059400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPTSCYGYYHYLALAVAVLVLVRVTRTRGGGSDGVRLPPGPWRLPVIGSLHHLAGKPLVHRALADLARRMDAPLMYLRLGEVPVVVATSPGAAREVMRTHDVAFATRPVSPTVRIMTADGEGLVFAPYGALWRQLRRIAILELLSARRVQSFRRVREEEAARLAAAVAAAAPHGEAAVNVSERIAVLIADSAVRAMIGDRFKKRDEFLEALAEGLKLVSGFSLADLFPSSWLASFVTGAARRAQENHRKNFELMDRAIEQHQERRAAAAAASGDVVEDDDLVDVLLRIQKGGGLDVPLTMGIIKAVILDLFSAGSETSATTIQWAMSELMRNPRVMKRAQAELRDNLQGKPKVTEEDLADLNYLKLIIKETLRLHLPAPLLLPRESRESCKIFGYDVPKGTTVLVNAWAIGRDPKYWDDPEEFKPERFEDSKIDFKGLDFEFLPFGSGRRMCPGIMFAQPNIELALATLLYHFDWSLPAGVKPSELDMTEEMGITVRRKNDLYLHAVVRVPLHATTP >ORGLA02G0059300.1 pep chromosome:AGI1.1:2:4265841:4267520:-1 gene:ORGLA02G0059300 transcript:ORGLA02G0059300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDVAEYLSIFLALVVAPLLLLRVARRARGNGAGRPRLPPGPWRLPVIGSLHHLMGKPHVHRAMADLARRHGAPLMYLRLGEVPFVVASSPDAAREVLRAQDANFASRPWSPTLHVMMADGEGLAFARHGAHWRRLRKICVLELLGPRRVRSFRRVTEEEVARLLAAVAAAAAAGADAVVNVSERAAVLVTDTTLGDLFPSSRLASLVSGTARRAAAGHRKMFELMDCAIRHHQERKAAMDADEDILDVLLRIQKEGGHDAPLTMGDVKDTILDLFAAGTETSTATLQXAMSEVVRNPRIMQKAQAELRNKLQGKPSVTEDDLVGLTYLKLVIKETXDCTPPHQCLSQGSVGSRVRCXGTTCPGALTCSSTRGRLAEPPITGMTRRRSNQKGVRITSMTSDAPISSTYRLDLDERSALVWRSHMPSWSLRSPLCCTTSTGSSPAELLQGRWIWPRRRASSFARRTTSTCVRSSVCRRELPRAAMVAP >ORGLA02G0059200.1 pep chromosome:AGI1.1:2:4261036:4263243:1 gene:ORGLA02G0059200 transcript:ORGLA02G0059200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSSIFGDELIPSSPPSPSPPHHHHHPAKRSRCSPARAFDEATHRREALLHHLLSLFPHMDPQLLERALEASGDDIDSAIKSLNELCLESAAVGDSNSVLPAALKLSAEGVVNNGHLDVLTENPHATENFQTNHHGSEWVELFVREMMSASDIDDARARASRALEALEKSIMERAGTEAVHNLHKENVMLKEQLAIYLRENAVLKRGVAIQHERQKEFDERTQEVHSLKQLVLQYQEQIKTLEINNYALRVHLKQAQQNNSMPGRFPPDVF >ORGLA02G0059100.1 pep chromosome:AGI1.1:2:4251580:4258917:1 gene:ORGLA02G0059100 transcript:ORGLA02G0059100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHFSALAPPPPLLFLLFLPFPWLRLHSSAHSSPPPRSRRDLHGGGGGGMAGNDNWINSYLDAILDAGKAAIGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQERNTRLENMTWRIWNLARKKKEFEKEEACRLLKRQPEAEKLRTDTNADMSEDLFEGEKGEDAGDPSVAYGDSTTGSSPKTSSIDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRSYGEPTEMLVSTSFKNSKQEKGENSGAYIIRIPFGPKDKYLAKEHLWPFIQEFVDGALGHIVRMSKTIGEEIGCGHPVWPAVIHGHYASAGIAAALLSGSLNIPMAFTGHFLGKDKLEGLLKQGRHSREQINMTYKIMCRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHIIHDFEMDGEEENPCPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITSLVKAFGECRPLRELANLTLIMGNREAISKMNNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMNGLPIIATKNGAPVEINQVLNNGLLVDPHDQNAIADALYKLLSDKQLWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRSPAIGGKQEQKAPISGRKHIIVISVDSVNKEDLVRIIRNTIEVTRTEKLSGSTGFVLSTSLTISEIRSLLVSAGMLLTVFDAFICNSGSNIYYPLYSGDTPSSSQVTPAIDQNHQAHIEYRWGGEGLRKYLVKWATSVVERKGRIERQIIFEDPEHSSTYCLAFRVVNPNHLPPLKELRKLMRIQSLRCNALYNHSATRLSVVPIHASRSQALRYLCIRWGIELPNVAVLVGESGDSDYEELLGGLHRTVILKGEFNIPANRIHTVRRYPLQDVVALDSSNIIGIEGYSTDDMKSALQQIGVLTQ >ORGLA02G0059000.1 pep chromosome:AGI1.1:2:4237223:4242368:-1 gene:ORGLA02G0059000 transcript:ORGLA02G0059000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAILPELAAQVVIPVAAAVGIAFAVLQWALVSKVKLTAEPRRGEAGGAAGGKSGPSDYLIEEEEGLNDHNVVSKCAEIQTAISEGATSFLFTEYKYVGLFMSIFAVLIFLFLGSVEGFSTKSQPCHYSKDKTCKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLLVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMVYPLLVSSVGIIACLITTLFATDFFEIKAVSEIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIFNFGAQKTVQSWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKLF >ORGLA02G0058900.1 pep chromosome:AGI1.1:2:4233681:4236305:1 gene:ORGLA02G0058900 transcript:ORGLA02G0058900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASHRFLSVAEAGAAFRWTPSQLDPKMLSLQNEIFITSGGDRDLINRILSKSKTETVPENKDDGESDDDNDEEGDDEDAENQGDDDAGDEDASEEEGNDEDEDGDPEANGEGGSDDDDGGEDDDDEDEDGDDDEGEGEGEDDDEDEEEEEEEDDDDDVPQPPAKKRK >ORGLA02G0058800.1 pep chromosome:AGI1.1:2:4224865:4232580:-1 gene:ORGLA02G0058800 transcript:ORGLA02G0058800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NXY2] MASEIEVLEDTTAAAAAAAAPAATTGGGEVAGAGAGEDGEAAADAEALKDDVYTGAAYGDLEKLHRLVEREGRPVTEPDALGYHALQWAALNNRVAAAQYILEHGADVNAIDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTSYLYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSVRLLLFLGAYRGRQDKEGCTPLHWAAIRGNLESCTVLVQAGKKEDLMVQDNTGLTPAQLAADKNHRQVAFFLGNARRVHERGCGGNSYFGKLSKLGLAPLLWCIIIGLIYIYVYSVISGQYSSNMTLLFGLFSWLGIFLATAGLVMFYKCSRKDPGYIDKNTRDAQNQRDDEPLLKRGLDNPELLAGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFLILEVSAMIITGVTAVIRVVGDPDSPASFGGWLNYSATNHPWVVSFVVMDLFLFFGVITLTVVQASQISRNLTTNEMANAMRYSYLRGPGGRFRNPFDHGVRKNCSDFLLKGYNEDIERVEQTLQPDEELGMIQMTRSAVSQNGESMSLHANGTDHGCADPQGNSKSHRHSHGSSQCCSHSKRPDKTPLGLGLGLGRNNPSSRYTRSLPSIHSESSAYLPL >ORGLA02G0058700.1 pep chromosome:AGI1.1:2:4221394:4222949:-1 gene:ORGLA02G0058700 transcript:ORGLA02G0058700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVCEGKDFSFPAEEERVLRLWEELDAFHEQLRRTAGGEEFVFYDGPPFATGLPHYGHLLAGTIKDAVARHHAMRGRHVARRFGWDCHGVPVERAVDEALRIATRAQVLEMGVASYNDACRGVVTRYVAEWEAVVTRMGRWVDFEDGYKTMDIKFMESVWWVFAQLWDKDLVYKSFKVMPYSTGMKTPLSNFEAGQHRQFVPAETAMVSFPVVGDVDNAALVAWTTTPWTLPSNLALCVNAKFVYAKVYSVFKYFYCCVC >ORGLA02G0058600.1 pep chromosome:AGI1.1:2:4207915:4218523:-1 gene:ORGLA02G0058600 transcript:ORGLA02G0058600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRCVPPCLGSNILDPGRGFLSDKCFNCREVGHRVATCPVVPPRPQRARPVATGNPPRSLSKLRGKCFNCLAADHRVAFSSASQRAVPVAYSSLSARGYQSMLITFNADAISTALISQAISEKLCIPPAEFRVEILPKMTNDNVGAESRVEVLPKMTNDNVGVPNKVVLGCHLPDGQEVPSSPPEGDLEKLTAAPLPSVVSPLSPSAPNTAVALTVSTSHGSVPSSLNEGHGVSSKIAAPKEKHVTPTMDPPSRCEHLPLEVAPSNLVTDKAELLEITKAPAVKNRLAKRVRQKIITATSRRSVPLPSNMKKRRLLIDQMKTYVLMKKLGIITKDEEVDEDAMDRCIAFLKEAYPGHYVQLAGTDLVVAVDDDGFFKDKISEFNGLLVTDKETNKYVINAVKCRFIRVERIRDQLLECNKETYWVPDYVKEKRFHNWLEGARDWAVSRTRFWGTPLPLWISQDGEEIIVMDSVDKLEKLSGVKISDLHRHHIDGITVPSQRGEEFGALKRVDEVFDCWFESGSMPYAYIHYPFENRELFEKNFPGDFIAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKNNYPSPMEVIDNYGADALRLYVINSPVVRAESLRFNKNGVHGVVKDVFLPWYNAYRFLVQNAKRLEVEGLAVFSPINQAILLRSSNVLDHWIQSATESLVSFVREEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEDDCRISLSTLYHALVTTCVVMAPFTPFFTEVLYQNLRKVSSKSEKSIHFCNFPSSTGQIDERVEHSVNRMMTIVDLARNIRERHNRPLKTPLRHAHYTTILFTQFLVLWEMVVVHPDNDFLEDITGKLKEYVKEEMNVKMVTACSDPLVYSSLRAEPNFSVLGKRLGKDMGKISNEVKKMTQDQILTFEESGEIFFGSHLLTLEDIKVFREFKRPANVSEKEIDAAGDGDVLVILDLQVDQSLFEAGVAREVVNKIQKLKKTAQLRLTDPVDVYYSSQDSSDSSLEKILQSQDQYIRDALGSPLTSKAQAQPNVEVICEEAYNSVFHTITPSVYYRKQ >ORGLA02G0058500.1 pep chromosome:AGI1.1:2:4205710:4206051:1 gene:ORGLA02G0058500 transcript:ORGLA02G0058500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVNSSSSSSSSSPAKNAGAPAAVAKYCLCAPTTHPGSFRCRLHRSPAATAKAKAAIVPPPATEEEEEEGEEMAAARAFLARASRKSRQDGGRNRIKCFHPRTSRLGIIEE >ORGLA02G0058400.1 pep chromosome:AGI1.1:2:4200008:4203421:1 gene:ORGLA02G0058400 transcript:ORGLA02G0058400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAPPLLLLLIVFSLVVLAALLAAAAADASAVAGGGRSSPSTTTFVLAGERTRRKDPLDGLRLYSGGWNISDEHYWASVGFTAAPVFAAAAIWFVVFGVSLFLAGCCFCCCPGSSRRGGGSYSCTALVVSLVLLLAFTAAAAVGCGVLYDGQGRFDGSTAATVEYVAGKSGDAVASLRGFASSMEAAKAAGVGPVSLPASFKGSIDGVVRKMSSAADELAARTASNAAKIRDALETMWKEDFDCCCCHDVDPSCSWARLVFLGWILVAATLLLCGTFLLLHNVVGDTCAAMGEWVQRPQARTALDDILPCVDTAAAADALARSKDVTHHLVTVLNGVIANVSNAAAAGLPPPLYYNQSGPPVPLLCSPGERCAPGEVDLAAAPRAWRERVCRTTRAAAAAPEVCATVGRLTPAMYAQMVAAASACDALSRYGPVLADMADCAFVRRAFRVVGDEHCPGLGRHSAEVYRGLLAVAVAALASVVLWVAHSRERRRRRDAVELRAAASPYTVHHSHLEEGALLKSPRMMYR >ORGLA02G0058300.1 pep chromosome:AGI1.1:2:4185796:4187105:-1 gene:ORGLA02G0058300 transcript:ORGLA02G0058300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G12646) TAIR;Acc:AT2G12646] MWKPAWLEALNTQKFFIACSFHEHAKKNEKNICCLDCCTSICPHCVAAHRVHRLLQVRRYVYHDVVRLEDLEKLIDCSSVQSYTINSSKVVFLKKRPQNRQFKGSGNICTSCDRSLQEPYFHCSLDCKVEYILRQKKDLSAYLRPCKTLQLGPDFFIPHDADDETTHSTLVDVDEPMGSSDSENLSAPCPNFVRKKRSGPYICARSANRVSDDDMATNMSRRKGVPHRSPLC >ORGLA02G0058200.1 pep chromosome:AGI1.1:2:4176244:4180157:-1 gene:ORGLA02G0058200 transcript:ORGLA02G0058200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT2G26000) TAIR;Acc:AT2G26000] MATSGGEDPSGSASSSASALDSLPFSSGNPRIEETRGVVLLHPEPPAASSSSLLPVGRKPRVCVPGVPNHLTYADFGRFCASWASHILETRIIRIDGVEDQYGVLIKFDTQSFTDSFYMSFNGNRFSSLEGNVCRVRFVEDVHYTQLIEHAHSSVTSSAEQPTCPVCLERLDQDPGGILTTICNHSFHYSCMSKWADSSCPVCRYCQQEPEKSSCSVCGTSENLWICVICGHVGCGRYKGGHAIEHWKETQHCYSLELETQKVWDYAGDNYVHRLIQSKTDGNLVEYNFYGDHSVDGMCPTCNGDAGISEALLDSKMEAIVEEYNDLVTSQLEKQRNYYESLLLEVKEDNEKEIAAATEKAVGIKVQKLQAKLDKCMEETGFLNDIHENLVKNMEMWRERIQKVKEREQAAIRLKDEKIEKLEEELRDLIAHFERQNTVAEASESMSSDINGSTILSVPSESSASSNSSIRN >ORGLA02G0058100.1 pep chromosome:AGI1.1:2:4175458:4175769:-1 gene:ORGLA02G0058100 transcript:ORGLA02G0058100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEASPPSPMSSLRDRLRATVCCCFGYGGGGGGGGLGDTVAQWRRRVGSPGEFRYDPLSYALNFDEGAADDEDDDYEAGDNHRADGLLYRSFSPPAAAIAAV >ORGLA02G0058000.1 pep chromosome:AGI1.1:2:4171252:4174085:1 gene:ORGLA02G0058000 transcript:ORGLA02G0058000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHYPDHGLAMDPSSAAASSPNPSFSPGGGGGAGVGGGEREKAAVAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAASAAAAAGGPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSEANMFDGNDGSDGMGFGPLMLTEGERSLVERVRHELKNELKQGYKEKLVDIREEILRKRRAGKLPGDTASILKAWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSGEKTKKKRNVTGDGGAEQSW >ORGLA02G0057900.1 pep chromosome:AGI1.1:2:4162860:4167682:1 gene:ORGLA02G0057900 transcript:ORGLA02G0057900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAGDDDAVAAGIICSLRGADLAGWTPPWWCSSSSKGAAREELIWPPVTRGKRSRRRSPSAVAAAAGKKGRWARASPASPLDYSGGSGSGSGSGSGSAASTSGGEDGAFCSPPGHRPAPATTKVGAMGRQQQLPFSAPSPLRPAGQRPRKKMRLPEVQQLVRSLAVENDSLREEMRTLQRACAALSKENDKLEIRLQISSSRNKPMITEDLKGKQQIDQQSATQSIGGGFALPDLNIPVQDAADGSVH >ORGLA02G0057800.1 pep chromosome:AGI1.1:2:4150466:4153529:-1 gene:ORGLA02G0057800 transcript:ORGLA02G0057800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLTPLSSSSSSSSRFFFLLVLVLLLSCSLPANGRTHRSPAAAASPSPGPGPSPAPATPRVVPPAPAPATGGGGGGGGIFSSNGSLAVTPAAAAAAAAAAVAPSPPLGAVAAMEQRQHHHFHKELIIAIGLASVAGMAIVATVLYACILCRHSRRAHDSKNIRSSSDTARVALVPMLNKFNSMKTNKKGLVAMMEYNTLETATGKFSESNLLGAGGFGCVYKANFEGGLVAAVKRFGHRGQDCEKEFENELDLLGSIRHLNIVSLLGFCIHEENRFIVYELMENGSLEAQLHGPSHGSALSWHIRMKIALDTARGLEYLHEHCNPPVIHRDLKSSNILLDSDFNAKISDFGLAVTSGNHSKGSLKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRRPVEKTAQSQCQSIVTWAMPQLTDRSKLPNIIDPMIKNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPMELGGTLRISPESPCATRKQSPC >ORGLA02G0057700.1 pep chromosome:AGI1.1:2:4145137:4147369:-1 gene:ORGLA02G0057700 transcript:ORGLA02G0057700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:I1NXX1] MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPQAFASLVSALLYEKRFGPYFCQPVIAGLGDNDEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPSMEPEELFETISQALQASVDRDCLSGWGGYVLLVTPTEVQERVIKGRMD >ORGLA02G0057600.1 pep chromosome:AGI1.1:2:4140870:4141448:-1 gene:ORGLA02G0057600 transcript:ORGLA02G0057600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMSKLAGGGGDVTFVGGGRRMAAAAAAALRLVELDLIGTVGAAVPGQATAPRLLVVSPAPAKEEDEEEERDGDDDGEPRQLFACHYCRREFYSSQALGGHQNAHKRERTLARRGGEQASSSSLAIHHGAFVSASPGWMARVLHGEAPPAISVAGDGGGGERWWWGGGNVGYYWPRDGDDQTRQLDLTLKL >ORGLA02G0057500.1 pep chromosome:AGI1.1:2:4120234:4124190:-1 gene:ORGLA02G0057500 transcript:ORGLA02G0057500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:I1NXW9] MTVEERQGRVGGHGVSGGGGGRDQFPVGMRVLAVDDDPTCLKILENLLLRCQYHVTTTGQAATALKLLRENKDQFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRLEQLRTIWQHVIRRKNCDAKNRGNDDDAGQKAQGMNNEGESIGANRNKRQSRKSRDENGDDGDDSDENSNENGDSSTQKKPRVVWSVELHRKFVAAVNQLGIEKAVPKKILDLMNVENITRENVASHLQKYRLYLKRLSTDASRQANLAAAFGGRNPAYINMNSFGNYNAYGRYRTVPTAGHTQANNILTRMNSPSAFGVHGLLHSQPIQLGHAQNNLSTSLNDLGGLNNGNMIRGAQMSTILTGPSGNSFPNISNGAPLATANRSLQPLESSNQQHLSRVHSSSADPFSTLVGESPQFPDLGRTTNTWQTAVPSNIQDRGHNDNMSQATLHMNGPKIEPVSSFTSSNQIPLLGNEMQGQVASLASNVPIAFNQDTSPFNYGSSTNSRDMLNNSHVFSNSSINTSLPNLSLDNPAVPRQTLDRGNTGIVSPIQDGRIHHQAVSNQLNYNDDLMRTTGLQRGLSGGLDDIVVDMFRPDREDDGVPYIDGDWELV >ORGLA02G0057400.1 pep chromosome:AGI1.1:2:4113914:4118979:-1 gene:ORGLA02G0057400 transcript:ORGLA02G0057400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:casein lytic proteinase B4 [Source:Projected from Arabidopsis thaliana (AT2G25140) TAIR;Acc:AT2G25140] MSRATAVSRLARAARAAAAARRHHAGGRDPLRALASLAGDASASAGGGARRPAWFAPPMGRLGGGGLLVPPPPPQRRLFHPTQAARYSTSSSSQITPGEFTEMAWEGVVGAVDAARMSKQQVVEAEHLMKALLEQKDGLARRIFSKAGIDNTSVLQATDEFISRQPKVVGDTSGPIIGSSFVSILDNARKHKKEYADEFVSVEHILRAFTEDKRFGQQLFRDLKIGENELKEAISAVRGSQRVTDQNPEGKYQALEKYGIDMTELARRGKLDPVIGRDDEVRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLQNRKLISLDMGALLAGAKFQGQFEERLKAVLKEITASNGQIILFIDEIHTIVGAGAAGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVEDTISILRGLRERYELHHGVKISDGALVSAAVLSDRYITGRFLPDKAIDLVDEAAAKLKMEITSKPIELDEVDREIIRLEMEKLSLKNDTDKASKQRLSKLEADLESLKQKQKNLSEHWEYEKSLMTRIRSIKEETDRVNLEIEAAEREYDLNRAAELKYGTLLSLQKQLEEAENKLMEFQQSGKSMLREEVTDVDIAEIVSKWTGIPVSNLQQSEKEKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASLMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYIGYGEGGQLTEAVRRRPYSVVLFDEIEKAHQDVFNILLQLLDDGRITDSQGRTVSFTNCVIIMTSNIGSPLILDTLRNTSDSKEAVYEIMKKQVIDMARQSFRPEFLNRIDEYIVFQPLDTTEINRIVEIQLNRVKNRLRQQKIHLQYTPEAVEHLGSLGFDPNYGARPVKRVIQQMVENEIALSVLKGDFKEDDTVLVDVSSVAIAKGLAPQKKLVLQRLENANLELVAND >ORGLA02G0057300.1 pep chromosome:AGI1.1:2:4109890:4112252:1 gene:ORGLA02G0057300 transcript:ORGLA02G0057300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFEGYGYRGSTFEQTYRCYPASFIDKPQLETGDKIIMPPSALDRLASLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLTEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNYSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPERQKAAVPPSTAPTAEEAAEEEPKFIPFTGPGRRLDGKAPKDKDVSASFPAKRQANATNSVQPSTASTSQSSSSRKTTGKLVFGPGGNRTSKETEKVPEKEPKEDPKKDEPKFSAFTGRKYSLKG >ORGLA02G0057200.1 pep chromosome:AGI1.1:2:4104701:4105827:1 gene:ORGLA02G0057200 transcript:ORGLA02G0057200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAIGAREKKLVRISDFLLLHDDSDGAGGADHELLLRRRRRRRRQEDEEEEVVAAAAAQVASPRSPGTPRLRIPGFTCARLRFVSFRGGRGGRRDGGRKEELAAEKSEAASSSADEASGREVAAGSGSGSGASSSAATATTTEAAAGLGLSLLFLLARTSAELNKMAEVRAQMEALLSEMRDEAAICKRNIAAAARRELRTTSSSSSSISTRLASGYSSNTSSAGRAASSPAANGEVEIKKPLQEEEWSDDGEFIELEGGFGFVAGGDEEDGGSGGGGVSGVELERRLREVQHERDRERVAELESALRRAERRLMEKEMEARLWKDTAELALQRPPPPPLAGGRQ >ORGLA02G0057100.1 pep chromosome:AGI1.1:2:4102851:4103099:1 gene:ORGLA02G0057100 transcript:ORGLA02G0057100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWCGLQLLVVVSSLAGGGEAMAPNWDFGSIIWHFAICDERRRWMMGRSNWPCLRADGLFLRGVVVVAFFPTASVINHWGME >ORGLA02G0057000.1 pep chromosome:AGI1.1:2:4084642:4086032:1 gene:ORGLA02G0057000 transcript:ORGLA02G0057000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWISTQPSLSLDLRVGLPATAAVAMVKPKVLVEEDFFHQQPLKKDPEVAALEAELKRMGAENRQLSEMLAAVAAKYEALQSQFSDMVTASANNGGGGGNNPSSTSEGGSVSPSRKRKSESLDDSPPPPPPPHSHAAPHHMHVMPGAAAAGYADQTECTSGEPCKRIREECKPKISKLYVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSAEDNTILVATYEGEHNHGQPPPPLQSAAQNSDGSGKSAGKPPHAPAAAPPAPVVPHRQHEPVVVNGEQQAAAASEMIRRNLAEQMAMTLTRDPSFKAALVTALSGRILELSPTKD >ORGLA02G0056900.1 pep chromosome:AGI1.1:2:4066192:4066431:1 gene:ORGLA02G0056900 transcript:ORGLA02G0056900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCTGRHYSRCQLSSKSFSESSCPLCTNCASAHQIGLPEKKSSIHPRSACHWLKKKCIAAKNAFPDTVCTRVARSSSS >ORGLA02G0056800.1 pep chromosome:AGI1.1:2:4037216:4039894:1 gene:ORGLA02G0056800 transcript:ORGLA02G0056800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVVCVTGAGGFIGSWIVKLLLARGYAVRGTSRRAADDPKNAHLWALDGAAERLTMVSVDLLDRGSLRAAFAGCHGVIHTASPMHDDPEEIIEPVITGTLNVVEVAADAGVRRVVLSSTIGTMYMDPRRDPDSPLDDSCWSDLDYCKNTKNWYCYAKTIAERKAWEVARGRGVDMAVVIPVVVLGELLQPGMNTSTKHILKYLTGEAKTYVNESHAYVHVVDAAEAHVRVLEAPGAGGRRYVCAERTLHRSELCRILAGLFPEYPIPTRCRDEINPPKKGYKFTNQPLKDLGIKFTPVHEYLYEAVKSLEDKGFIKKTSNTKELHRQSSPPQNSPASMLMSKL >ORGLA02G0056700.1 pep chromosome:AGI1.1:2:4025657:4027874:-1 gene:ORGLA02G0056700 transcript:ORGLA02G0056700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase [Source:UniProtKB/TrEMBL;Acc:I1NXW1] MGEAELSLAAVRDALVREEDSIVFALIERARRPRNAPAYAAAAAAGGRSLAEFFVREAEVLHAKAGQYQKPEDVPFFPQDLPSPLFPTKDYPKVLHCFASSVSVNDAIWKMYFNELLPLFTVDGDDGNYAETVALDFACLKALSRRIHIGKYVAEVKFKDASQDYSPLIRAKDTKALMNLLTFKAVEEKVKRRVEKKARIFGQNVTLEDNADKQEGNAGDSECKVNPEVLSKLYDLWVMPLTKDVEVEYLLRRLD >ORGLA02G0056600.1 pep chromosome:AGI1.1:2:4020632:4022225:1 gene:ORGLA02G0056600 transcript:ORGLA02G0056600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKIMAAGKKMVRVREFIMEDLPAVEELERLCQAGLSGDNAAGGGGGKKKKRGMSLYAEQIGDPFARVRHAPDHVILVAECGDEVVGVIKACVRMVTRGSSSSLRKTKTKTNKFVKAACLLGLRVSPSHRRLGIATELVRRAEEWCAARGAAYATMATTASNAASLALFQGRFKYALFRKPRFLGHPVHRHRARVPRAHRVLQLPPPLAAAAYAALLPAAAAAPEFVPADLPALLAHKLTRGTYLAVERSPGAGAPSSFAVLSVYDATRSLSFRVGGAPPLLRASLAAARALDRRAPWLRVPSVPDVFRPFGAYLLYGLHMSGPAGAALLRTLCRHAHNVARNNPACAVVAADVAPDDPAAAAVPHWRRFSCDEDVWCIKKLTSVTANGNAAPAAGDDDDWTTAPPSSVLFVDPREF >ORGLA02G0056500.1 pep chromosome:AGI1.1:2:3999111:4002133:1 gene:ORGLA02G0056500 transcript:ORGLA02G0056500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTALSPVAFKSSFSPLLFNPTRSKINVEGAFCLPCYNRKKASNRSFRVYSLFGGKKDKDENGEEAPSKAGIFGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIDGYCEGELIKVTLSGNQQPVRVEITEAAMEVGAEKLSELVNDAYKDAHQRSVQAMKERMADLAQSLGMPAGLGDGLK >ORGLA02G0056400.1 pep chromosome:AGI1.1:2:3994231:3995475:-1 gene:ORGLA02G0056400 transcript:ORGLA02G0056400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C12, ubiquitin carboxyl-terminal hydrolase 1 [Source:Projected from Arabidopsis thaliana (AT5G16310) TAIR;Acc:AT5G16310] TTLLPNFVGKTYGKTCMQCTGVDELYSLDLDALNDLQPVYGLIVLYKWQPPEKDERPIKDPIPNLFFAKQIINNACATQAIVSVLLNSPGITLSEELKKLKEFAKDLPPDLKGLAIVNSESIRLASNSFARPEVPEEQKSSVKDDDVYHFISYVPVDGVLYELDGLKEGPISLGKCPGGVGDIGWLRMVQPVIQERIDRFSQNEIRFSVMAILKNRREKFTLELKELQRKRENLLAQMGDPSANRHAPSVEHSLAEVAAHIEAVTEKIIMEEEKWKKWKTENIRRKHNYVPFLFNFLKILEERQQLKPLIEKAKQKSHSSANPR >ORGLA02G0056300.1 pep chromosome:AGI1.1:2:3990814:3993319:1 gene:ORGLA02G0056300 transcript:ORGLA02G0056300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSTPKTDKFSEDGENDKLKFGLSSMQGWRASMEDAHSALLNLDNETSFFGVFDGHGGRVVAKFCAKYLHSQVLRSEAYSAGDLGTAVHRAFFRMDEMMRGQRGWRELSALGDKINKIGGMIEGLIWSPRGSDSNNGQDDWSFEEGPHSDFAGPTCGCTACVALIRNNQLVVANAGDSRCVISRAGQAYNLSRDHKPELEAERERIVKAGGFIHMGRINGSLNLTRAIGDMEFKQNKFLPPEKQIVTANPDINVVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEHIQKESSLSAVCERVLDRCLAPSTIGGEGCDNMTMVLVQFKKPITQNKKADVGEQSAKGVEEAEINAAEENGS >ORGLA02G0056200.1 pep chromosome:AGI1.1:2:3979294:3987355:1 gene:ORGLA02G0056200 transcript:ORGLA02G0056200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSTSGSKFVRGGVIDPNAKLRIDSEGGKSKDGGSVPKKGSRYVPSFLPPSFGKEPDKKKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPPLASVKPQTSELVLTPNVPDIVVAPPDDAHVRHVIDTMALHVLDGGCAFEQAVMERGRGNSLFSFLFDLKSKEHTYYVWRLYSFAQGSGDSILLEVNCDSKEGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAALPDVIESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLRATFLRSSHSGVIPFHSLCGDTPEIEKKASSEDGSDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGESHRTGRDDIAVNARNASRPGEGTDSGESDMLGLSHYAMEAGHKRSNESTPAEPVPSKKPKVDPVLPASKWSREDDVSDDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTDHSNHHQDTILDEEHRKKLRQIEIAVMQYRESLEEKGLRNTEEIEKKVASHRRRLQSEYGLSFSNDGANNRRSSERTSSERRDRHDDSSRKRRRSLSRSRSPPRRSLERDREHNRNRDTDRSHGNDAGRERDRVREKSASRGRDDHYDRSRDREKDRRKGR >ORGLA02G0056100.1 pep chromosome:AGI1.1:2:3971807:3976777:-1 gene:ORGLA02G0056100 transcript:ORGLA02G0056100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: autophagy; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Autophagy-related protein 17 (InterPro:IPR007240), Autophagy-related protein 11 (InterPro:IPR019460); Has 8793 Blast hits to 6 /.../oteins in 570 species: Archae - 89; Bacteria - 657; Metazoa - 4844; Fungi - 808; Plants - 441; Viruses - 15; Other Eukaryotes - 1939 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G30790) TAIR;Acc:AT4G30790] MSSGSAVTGGGGGGAEDAAAVALGQKLPVHVAENGHTFEFKCGGETPVEAIQRTIEGLCGIPPADQLLLCGNTSLDGAHHLAYYQLPRDDREVFLYNKARLHDGAPRPAPESIEIPQPSIPPPPRPQDSPPLEVSADPALKALVSYETTFRYHFQVGNAVYQSSVAKHEVCCRLLREGQVQERALDTARSNLEHTARKLTQRYSDFVKCFSQQHRGHAEMLANFERDVERLRAVRLHPALQCEGRRSLIDLVKENDLRKLADGCFSSHKQFDVKVSQHKAKFMELKKRLENLFNIMSSTGCKDLEAMIKEHEKFIGDQKIIMQSLSKDVTTSKKLVDDCSNCQLSASLRPHDAVSAVGRIYEVHEKDNLPSVHNIDRMFTKLLEKCKAKKNEMNTLVHVSMQRVKSAQIVIKEMMNELHAFQEVMGHQDKIFDSLKLASGMGHAYRACLAEVVRRKSSFKLYTGLAGQLAEKLATEREAEVRRREAFFRTWSKYIPEDIMGSMGLFDSPSQCDVTVAPFDCNLLSIDVDDVEKLAPQSLVGSFLKSERSQLPKSSLSNSGTSGNLSKSEQYPPNADDKMDFQDFLGGFDSVDIAGTSKLEVENARLKAELASAIAVLCSFGAEYGYESIDEGQIDNVLKDAREKTAAALSAKDEYANHLQAMLTAKQNQNMSYEKRIQELEEQLANQYIQGHVISGSKDASDSLLSAFKANDCNLHISGGRQTQVRDESSVAMDEASSTSEQPSKQTEGGDENMTDISGALNLQLLDPIARTNLDAFMAELPPDSEHKIVDSDKEGQILTQFTTTDTSGVPIEDPLGILNSRTNEHHTSELRNKELLVSELQSTLEDKSKRLDETESKLNALVDEVNSLKKELEHTQGLLDESQMNCVQLENCLHEAREEACTNKCSADRRAVEYDALRSSALRIHGLFERLNNCVTAPGMSGFADSLRALALSLASAKKDEGDTTIQFQQCIKILADKVGFLSRQSAELLERYSRIVRELEEKKESIKNLYSKLQLEKQASKEKISFGRFEVHELAVFVRNPAGHYEAINRNCSNYYLSEESVALFTEQHPRHPAYIIGQIVHIERRIAKLPSHGDQMEASRLDSGGRRSPASMLNPYNLPVGCEYFLVTVAMIPDNIR >ORGLA02G0056000.1 pep chromosome:AGI1.1:2:3967572:3969569:-1 gene:ORGLA02G0056000 transcript:ORGLA02G0056000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFATLVGPPEARRPSAAVAAAPAPATATATTTGDAFLDLMDANFNRPAPRKALTENLSPTFVSSGDACLDFFFHVVPGTPSAAVASLLAAAWGADPATALRLVANLRGVRGTGKSDREGFYAAALWLHSHHPATLALNAASVAAFGYLKDLPELLHRIVNGGLSTRKPGKKARLAAADGIGFIARYGRGRGRGRGRGCFRGRGRGYARGYHTSSRKQSRGVGSAEERIAASLERDGRLAAKAAVERRCRRAEAAARAVERYSRDPTYRSLHDRTADLFADLLRDDMRKLAEGNVHEFSLAAKWCPSLDKSYDRSTLLCEAIARRLFPKGSSPELAADLPDAHYAYRARERLRKAALVPLRRALKLPEVYISARAWESVVYTRVASVAMKNYKDLFLKHDADRFNAYLADVKSGKKKIAAGALLPHEIISSLDDDDDGGSGVADLQWQRMVDDMRALGKLRNCVAVCDVSGSMTGLPMDVCVALGLLVSDLSDDPWRGRVITFSESPQLHHIVGEALSDKARFIREMNWGMNTNFQAVFDKILEVATGAALSPDKMVRRVVVFSDMEFDQASAQPWETDYEAIVRKYTAAGYGAAVPEVVFWNLRDSKAVPVTSGQKGVALVSGFSKNLLKLFLDGDGVVSPRAVMEKAISGPEYDKLVVFD >ORGLA02G0055900.1 pep chromosome:AGI1.1:2:3955659:3957668:-1 gene:ORGLA02G0055900 transcript:ORGLA02G0055900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubby like protein 8 [Source:Projected from Arabidopsis thaliana (AT1G16070) TAIR;Acc:AT1G16070] MAATKREPLRPISTNAGTVERRARGGAAAAAAAKEKEKEKENEVPTEIGRGKDGGEKKPPVVVAVVVPPAPPLKPSSLQVRMKAEEEKEREEEEEGSSPAVALVAGLQVRMGPRGRELLLPPPPPPPPLPLPTSSSYEAWDLSDNEAAPASSWATLPNRALLCRPLPLDVGRCTCIIAKETLAAAAAGARGVALYSLYTNEGQGRQDRKLAVARHRRRRGRSEFVVAQNLDGIFCTSDKNFLGTLSSNLVGSRYRIWGQGNRVDEIKSQSKRLLGVVAFAPTVTTLTGSFRSMRAWIPKNQSIHLKNSNSAQQIQHISGLPKDWQEKKIKADQLCSRSPFYNNMTKRYELDFRERAGRMGYKVQPSVKNFQMTLEENGRQTILQLGRIGKSKYIMDFRYPLTGYQALCICLASIDSKLCCTL >ORGLA02G0055800.1 pep chromosome:AGI1.1:2:3950909:3954989:1 gene:ORGLA02G0055800 transcript:ORGLA02G0055800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGTQFEIRVQPNDTIMAVKKIIEEIQGKDSYPWGQQLLIHNGKVLKDESTLEENKVSEVGFLVVMLSKSKASGSSGALSSLTSSTPLTRQETPADASRAAPQPLVAPTRTPQPERPPAEAPSNAYGQAASNLLSGSNLDTTINQLMEMGGGSWDRDKVQRALRAAYNNPERAVEYLYSGIPITAEVAVPTGGQGANTTEPSSTREASLSGIPNSSPLNLFPQQGDANDGGGAGGGTLEFLRHNQQFQALREMVHTNPQILQPMLQELSKKNPQLLRLIQENHDEFLQLINEPFDGADGDFLDQPDQDEMPHSINVTPEEQEAIGRLEGMGFDRARVIEAFFACDRNEQLAANYLLEHAADED >ORGLA02G0055700.1 pep chromosome:AGI1.1:2:3944088:3947046:-1 gene:ORGLA02G0055700 transcript:ORGLA02G0055700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAAAASGGVGGRRYALLLALNDSEYARKVYGGYGNVFVSALGGGGGEEERWDCFRVIDGEFPAAEEVGRYEGFVVSGSPHDAYGDERWILRLCSLLRALHAMGKRILGICFGHQVLCRALGGRIGKARSGWNIGVKKMTFVRDFEGSKLFGDLKEIPQSASIIEVHQDEVLEVPPMGKVLAYSDKTPVEMFAVGDNVLGIQGHPEYTSDILLNLIDRLVNNNTITSGIGEEARRTVEASEPDRRFWTGLCKDFLKRPTAATTVDMPPREVAPEMMSCSHIIAGGHFVATTPIGL >ORGLA02G0055600.1 pep chromosome:AGI1.1:2:3937830:3941199:1 gene:ORGLA02G0055600 transcript:ORGLA02G0055600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase [Source:Projected from Arabidopsis thaliana (AT2G23820) TAIR;Acc:AT2G23820] MGGSRALPLSSLLAAATKSPLLHHRPLPLRLAASMSSSSPSPSPSAPASASAIDFLTLCYRLKTTKRAGWVRRGVQGPESVADHMYRMGVMALVAADLPSGVNRDRCVKMAIVHDIAEAIVGDITPSDGVPKEEKSRREQEALDHMCSLLGGGPRAEEIRELWMEYEQNATLEAKVVKDFDKVEMILQALEYEKEQGLDLEEFFQSTAGKFQTDVGKAWAAEVASRRK >ORGLA02G0055500.1 pep chromosome:AGI1.1:2:3934217:3935512:1 gene:ORGLA02G0055500 transcript:ORGLA02G0055500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCAMSHFNIDPNHLLSKSQEQALSNCKDFDEYLKSAIQKGRFKVTVEGAEVEKLEVATPREKELLERIASLERMLHDSQEEVQRLREKGNCIFVLKLYFPEIKDKRSNVMPTATVAKSKYNPS >ORGLA02G0055400.1 pep chromosome:AGI1.1:2:3930377:3933914:1 gene:ORGLA02G0055400 transcript:ORGLA02G0055400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKRRGGSSSSGPQAVARKRDQFPFPSRAKDYELLEPVGDGATAVVRRARCLPLGGEVVAVKIMNMSQRSEDDVNHASEEVKMMSTIDHDNLLGAYCSFTEGETLWIIMPYMAGGSCFHLMKSSYPKGFDEKFIAFVLRETLEGLAYLHRYALVHRDVKAGNILLDQHKGVKLADFGASASLYDPMINRHGKRKTLVGTPCWMAPEVMEQKEYDAKADIWSFGITALELAHGHAPFSTQPPAKVFLLTLQHAPPSLHNTKDKKFSKSFKQMIATCLMKDPSKRPTAQHLLELPFFKKVKFEDNVLKSVLNKLPSLGDRMQSIQENEAKLQAEKKPLDKCKEKASQDEYMRGVSEWNFDIEELKAQAALYPDENDGGEDEYLRFLFELDTICESAPIHDVQSRDYSKNENEKVFQICIL >ORGLA02G0055300.1 pep chromosome:AGI1.1:2:3908635:3915532:-1 gene:ORGLA02G0055300 transcript:ORGLA02G0055300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLSSWPWASLGSYKYVLYGAVVWKVAEEWRQQGAAPVGSWWLHLLLLFAARGFTYQFWFSYGNMLFFTRRRRVVPDSVDFRQVDAEWDWDNFLLLQTLIGATLVGSPAVARQQLLLPSLKQAWDPRGWAIALLLHVLVAEPLFYWAHRALHRAPLFSRYHAAHHHASVTTPLTAGFGTPLESLLLTVVMGVPLAGAFLMGVGSVGLVYGHVLLFDFLRSMGYSNVEVISPRVFQAVPLLRYLIYTPTYLSLHHREKDSNFCLFMPVFDLLGGTLNHMSWELQKEVYLGKNDQAPDFVFLAHVVDIMASMHVPFVLRSCSSTPFANHFVLLPFWPVAFGFMLLMWCCSKTFLVSSYRLRGNLHQMWTVPRYGFQYFIPAAKKGINEQIELAILRADRMGVKVLSLAALNKNEALNGGGTLFVNKHPELRVRVVHGNTLTAAVILNEIPSNVKDVFLTGATSKLGRAIALYLCRKKIRVLMLTLSSERFLKIQREAPAEFQQYLVQVTKYQPAQNCKTWLVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGYCEYTMERGVVHACHAGGVVHFLEGWEHHEVGAIDVDRIDVVWKAALKHGLTPA >ORGLA02G0055200.1 pep chromosome:AGI1.1:2:3904432:3905187:1 gene:ORGLA02G0055200 transcript:ORGLA02G0055200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPSTTTMATFKQSFLKNLLSSLKSSSKNKAAMSTLSERKRAIKSSADIAMATARTGIAGAARWPHAILASSSSSSSSSSSSSSSSSMPRTTFPCKMMQGKVRRRCKSIVRRRTPLMSSSSEVARRLVKKRDKVLRRMIPGGELIADEISLLHEAMDYVVHLHAQVDVLRRVSRAAVARRSNASSSSSGGLAQLKERTVQISGETENPC >ORGLA02G0055100.1 pep chromosome:AGI1.1:2:3900743:3903747:-1 gene:ORGLA02G0055100 transcript:ORGLA02G0055100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVASPPELATLWSYEANVGRLAHYLVKLAGKPLDQLPLQIDDVQHAAYGVLQLQALWSMHPCDVIYCDGMDGWMRFVGLEDLTVERAVRLSSTISFMRTRPKVGNWGADGLRPRREAAHVCSLQSSPERLAQIEGGTK >ORGLA02G0055000.1 pep chromosome:AGI1.1:2:3888194:3891305:-1 gene:ORGLA02G0055000 transcript:ORGLA02G0055000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSREDLDLSDSEDDDSASHASDASSDFATPPPSSSAKPSAAKSSAAAATPASIDAIDRHLRSLHLKYAEPISPNPSPSPTSAAAPAALNAVKLYLHIGGSSPSARWIISDRLAAASFVRAGDDDDDDAPSSGPWCLVVGSKIRARVGPELQLKTFPAQRRVDFVADGVWALKFLHADGYPNFYAKYQSCLFENSYGVAATDEGRAKVFGKDFAAWARPEDGDESIWEDATDGFAPSPSRSPMPSRSPILKPLMEDLREYEEPVEEGGGIQSLALGALDNSFLVGDSGIQVVRNFEHGIHGKGVSVKFSGGSTNFSTPKKALLMRAETNMLLMSPATDGKPHAKGVHQLDIETGKVVSEWKFGKDGADINMRDITNDSKGAQMDPSESTFLGLDDNRLCRWDMRDRRGIVQNIASATESPVLQWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFIDKDGKEKTGFGGRMGNRIAAPRLLKLTPLDSHLAGQNNIFREGRFSWVTENGKQERHLVATVGKYSVVWNFLQVKNSHHECYQCQEGLKSCYCYKVIPKDESIVASRFMHEKYAVSDTPEAPLVVATPMKVTSFSISSKH >ORGLA02G0054900.1 pep chromosome:AGI1.1:2:3885648:3885963:1 gene:ORGLA02G0054900 transcript:ORGLA02G0054900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDSVVDPLREFAKDSVRLVKRCHKPDRKEFTKVAARTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >ORGLA02G0054800.1 pep chromosome:AGI1.1:2:3879371:3880170:-1 gene:ORGLA02G0054800 transcript:ORGLA02G0054800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAEYAMVHGGGPAAAAAGPSSSAVVASTASVAARSPWQSPVPYLFGGLAAMLGLIALSLLALACSYWKLAAGGGGGGQDGGEESRDGGGGGGGEKGSGGGGGGLAREWRDHVVVIMAGDERPTFLATPASSRAEPAAPDVAAAVCCSCGAASGSSSSSSTEVKTPAAAAASEFPAGDGEPQAQSPRLIVIDL >ORGLA02G0054700.1 pep chromosome:AGI1.1:2:3869966:3877163:1 gene:ORGLA02G0054700 transcript:ORGLA02G0054700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRHAAFYWPRLRAADRDDVRAAEMGHGLDPEGITITPLMDMVLGFLYQSIPRPPVSASASLSAATGDGDGDRISLLPDDILRAVVSRLPAKDGARTAVLSSRWRRLWRSTPLVLVDTHLLLRRGGGRPARAGAASRAVADAVSRVLEAHPGPFPFVSLSCSFIGDDAQSGVAARWLDLLAAKGVEHLVFVNRPCPLPGVTLPAALFNCSSLRRLYIGSWELPDTASIPLPRAAAAAAFPNLRELVLGCVVMVDGDLPYLLAASPALETLAVFGILNTLRARLSSGSLRCAQFCLSFMEEVAVLDAPRLERLFLWRNIKNTRVKIGHAPQLRMLGYLQPGVHQLEIGNTIIKARTIVRPGTTVPSVNMLALHLHFGVRNEVKMLPSFLRCFPNVETLCVESEEAPGRTSNIDVNFWQEAGPIECVQSHLKMMILREFQGEESELSFLKFVGENARVLEKMVIVMKLGRYSAPEEVAAKVMDLQSAKWAREGNKLGFLISRLRAGGSAWSLRDGTDLSCDDPFMCL >ORGLA02G0054600.1 pep chromosome:AGI1.1:2:3866532:3867774:1 gene:ORGLA02G0054600 transcript:ORGLA02G0054600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELGLGRYWGVGGRRCGACAVAPAAVHCRTCDGGGGGGGYLCAGCDAEHGRAGHERVWVCEVCELAPAAVTCKADAAALCAACDSDIHDANPLARRHERVPVHPIGSSAAPPPDALLLGGENDAAAAVDGGGGGKEVKLDFLFADFMDPYLGGSPELARFPHADSVVPNHNGSAGPAMELGFAGGGGAAVKPSYSSYTAASLGNSGSSSEVGLVPDAICGGGGGIIELDFAQSKAAYLPYASTPSHSMSSSMDMGVAAPEMSDGAAAAAGRAYAAEGRAARLMRYREKRKNRRFEKTIRYASRKAYAETRPRVKGRFAKRADDHDAAAPPPQIMLDFAGYGVVPTF >ORGLA02G0054500.1 pep chromosome:AGI1.1:2:3858402:3861083:-1 gene:ORGLA02G0054500 transcript:ORGLA02G0054500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) TAIR;Acc:AT5G57630] MGFVESIGRYRVGRTIGAGTFAKVRLAVDADTGATVAVKVIDKRMVIRNNLMYQVKREITAMKLLNHPNIVKIYEVIATKTKICLVMEYVSGGQLSDKLSYLKRLDEKEAKKYFYQLIDAVDYCHRRGVYHRDLKPENLLVDNQGNLKVSDFGLSVLKKPGQFLSTSCGSPCYVAPEVIQHKSYDGAAADVWSCGVILFELLAGYLPFQDCSLTNLYRRISRAQFVFPQWLSVPQKKIIIRILDPSPITRAKISDIFDDKWLQDHCNPSARIENDDDCDVIEEASTDSDSSHNTEVKETEEMTAETDRFINAFQLIARCSDLDLSGLFQEQKTKLASPHPVQETFDKIKVAAKDVSMAVKRMNSSLVEIQDSKLLPRSNLDLTLSAEVIKVTPAHCVVEVSKSTGDLRSYKEFCRSLSSLLNGGQLSASSSDMECD >ORGLA02G0054400.1 pep chromosome:AGI1.1:2:3853001:3857894:1 gene:ORGLA02G0054400 transcript:ORGLA02G0054400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FGGY family of carbohydrate kinase [Source:Projected from Arabidopsis thaliana (AT4G30310) TAIR;Acc:AT4G30310] MPPGGGGAVFLGVDVGTGSARAGLFDEKGKLLGSASSPIQIWKEKDCIEQSSTDIWHAVCAAVKHACSLANVAPENVVGLGFAATCSLVAVDADGSPVSVSWTGDARRNIIVWMDHRAVDQAERINARNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDEVFWEEIGLGDLVEGNHAKIGRSVAFPGHPLGSGLTATAAKELGLRPGIPVGTSLIDAHAGGVGVMESVPDAESKADTSDESDEQAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIVENHVAAPLLANHAASQRISIYELLNKILFSMAHEQNISFISSLTQDIHVLPDFHGNRSPLADPKSKGIICGFTLDTSEKHLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLAKNSLYIQEHADITGCPIILPRENESVLLGAAVLGAVAAKKFPGVRDAMKALNAAGKVVYPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSAMAQALQ >ORGLA02G0054300.1 pep chromosome:AGI1.1:2:3844098:3849854:-1 gene:ORGLA02G0054300 transcript:ORGLA02G0054300.1 gene_biotype:protein_coding transcript_biotype:protein_coding IWGRAMKEKRGLEAAAAGGDGRPEAKRARPPALASVIVEALKVDSLQRLCSSLEPILRRVVSEEVERALGRLGPATITGRSSPKRIEGPDGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDAGTGCVVSSGPESCAKLDIVVLEGDFNNEDEEGWSGEEFESHVVKEREGKRPLLTGDVQVTLKEGVGTVGELTFTDNSSWIRSRKFRLGLKISSGFCEGIRIREAKTEAFMVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNKAGISTVEDFLRLVVRDPQKLRSILGSGMSNKMWDILVEHAKTCVLSGKYYIYYSDENRSIGAIFNNIYAFCGLISGEQFYSSESLDDSQKLFADALVKKAYDNWMYAIEYDGKALLNSKPKKKAAPTGQAETHPPLSQPASYEQRISSASMTGPSPAVSFISGGSGTGTDSIGYDGNQAATQPSQLQSTSANVPVPYDDTFSFLPPSMLMGSDNQETGNDGMGLELGQLQQAISQSQSIQPANVGYDDWTRSQNGQFADDFTEDIRMKSHQMLESEDMQQLLRVFSMGGASTSLQEDAFGFPTYMPSPLPNLGFEGERTRSSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDD >ORGLA02G0054200.1 pep chromosome:AGI1.1:2:3838081:3839552:-1 gene:ORGLA02G0054200 transcript:ORGLA02G0054200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1NXT6] MAATTAAAAAPGVVRAERLLRGGCVVMAATAALLLGFSAETKTVLFVRKTAVAKDVQALWVLTMAAAAAAGYHFAQLVRCMYCSSSGDAGAMAVAWTSFLLDKGCAYVVFASTAAALQACMVGLIGVEALQWSKLCNIYTRFCEQAAAGMLCSFLAAAGMAVLSAFSARRLFRLYSPAGHRRSCPRAAVLATSPH >ORGLA02G0054100.1 pep chromosome:AGI1.1:2:3832038:3836779:1 gene:ORGLA02G0054100 transcript:ORGLA02G0054100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAAEEVVVFRSKLPDIEIDNSMTLQEYCFARMAEVGARPCLIDGQTGESYTYAEVESASRRAAAGLRRMGVGKGDVVMSLLRNCPEFAFSFLGAARLGAATTTANPFYTPHEVHRQAEAAGARVIVTEACAVEKVREFAAERGVPVVTVDGAFDGCVEFREVLAAEELDADADVHPDDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGENPNLYFSKDDVILCLLPLFHIYSLNSVLLAGLRAGSTIVIMRKFDLGALVDLVRKHNITIAPFVPPIVVEIAKSPRVTAEDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQETAGLAWTWHLKYQVEXGVTAXLCHYRILSPLKLSSPSETAGNDSWPYXRAVGNFAGYLNDPEATKNTIDEDGWLHTGDIGFVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDDLAGEVPVAFIVRTEGSEITEDEIKKFVAKEVVFYKRINKVFFTDSIPKNPSGKILRKDLRARLAAGIPDAVAAAAADAPKSS >ORGLA02G0054000.1 pep chromosome:AGI1.1:2:3822303:3825626:-1 gene:ORGLA02G0054000 transcript:ORGLA02G0054000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDTEIEDAE >ORGLA02G0053900.1 pep chromosome:AGI1.1:2:3817299:3821327:-1 gene:ORGLA02G0053900 transcript:ORGLA02G0053900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGDDPPSPPPPAERPGGWFSGLVCGAGRLLAAVLGPDSPDSGTGGSASSSQESSSQSPPPPRGHRGSGDNTAHFASNNQFNQSGKEITLKDSGVGSLALVSEIDPKDAILQMLLQETYSRSECDILIKIIHERVVDSDPDVVEPSIVLPIAWQTSQQQDHVPYSSFRPNTCSASSNVHDCSQQLDNNIVENGWLEESQHALKRSNSCTGHNLDESHSRSVRPKLNDLNISNRQDGILKSHSDIASFEEATTKDPNAFRGIPEDTKKLFKDIPLLGTDNLIFSNIVSYDDTDNDISALRGKRPAVTARTFASATSEANRDNRCPTMLYPYSDRDLTNTFPIKVEPLDDIVPFDPEIVVLSRKNRNTGTICNDPCSVSKLMFQEDKEAAPSSSTGVPLENSPRNCTGASLQRSTQTRRSSPANVYRRQYIDSRTRSRSGPSHQGEPIAVGQEPDLAPTQAKKPVGRPRKSRR >ORGLA02G0053800.1 pep chromosome:AGI1.1:2:3803263:3806816:1 gene:ORGLA02G0053800 transcript:ORGLA02G0053800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAAAVAADVVDFGYAAPMPPPYVGFDPAGMGGERQLFQHGGACHGLYDGGLDFSAAAAFQEAATLGVGLPGGNLLQSLAPPAAAAATPSSLQMPMMMSLPGLPATAADVYPFGGGGFVKREDGPVLDVVGGGGGGRIGLNLGRRTYFSPADVLAVDRLLLRSRLGGMGMEMGMGMGVLGLGLAAAAHHHQPPRCQAEGCKADLSAAKHYHRRHKVCDFHAKAAAVLAAGKQQRFCQQCSRFHVLAEFDEAKRSCRKRLTEHNRRRRKPTAGGQSSKDSPPPPPSKKGTDASIASSYTSCDHHKAAASTTTASGVSCLQELADHHDVGGGHQAAMAAPPPPTLSLAALPPQEEDDEDEDGGLGTVLMMQQHHQRRRLQHDGDGDDDVAAAAAHHHLMRSLARQQQQHRHSSGCSNNNDGDDDDHNNNNNNILSCSSASDQQNSSNNNNMHFFEVDFI >ORGLA02G0053700.1 pep chromosome:AGI1.1:2:3800863:3801225:-1 gene:ORGLA02G0053700 transcript:ORGLA02G0053700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHGRRRHGPAGHQAAAAGHRMGWEVRREGEGKRKGRRGGWEVRRGGGVRSVNRKGNGSVKFPVDVTNQD >ORGLA02G0053600.1 pep chromosome:AGI1.1:2:3779552:3782760:-1 gene:ORGLA02G0053600 transcript:ORGLA02G0053600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVSSVEVVKDGATGLEKLVLREAHGCSVQVFLYGGQVIFWENEYREQLLFVSRKAYFKPPKAIRGGIQICFPQFGNHGVLEQHGFARNRLWSVDETPPPFPATTSNCHIDLILKQSPEDLKIWPHSFEFRLRVALSPTGDLMLTSRIKNTNADGKPXXXXXXXXXXXXXXXXXEVRVEGLETLDYIDNLQCGKRCTEQGDAVVFESEAEKVYLSAPPKIVIIDHDKKRTFELRKEGLPDVGKMLISGLHSTVVLVLLFRLLLCMYVCVCVCVCVCVCVCVCVCV >ORGLA02G0053500.1 pep chromosome:AGI1.1:2:3754524:3754745:-1 gene:ORGLA02G0053500 transcript:ORGLA02G0053500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLGHTQCTKVQSNPGLPHQFAYASRVEIVPRDGTCALQRAWVTSCGALQPPYHYLVMRPRVVVSGPHKKKVS >ORGLA02G0053400.1 pep chromosome:AGI1.1:2:3736233:3742503:-1 gene:ORGLA02G0053400 transcript:ORGLA02G0053400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:I1NXS8] MTVVRSVVGGIKLKSPADIENLSPVVSSLILEGIAQNSSGSVFEPEDGSPIEITGSPTEKAILSWGVELHMKFAEEKSKSSIIHVSPFNSEKKRAGVAVIVDDSDIHVHWKGAAEIVLALCTNWLDVNGISHEMTPDKANQFKKYIEEMAEESLRCVAFAYRNLDLNYVPNEEERINWELPDNELALIGIVGMKDPCRPGVRNAVELCKNAGVKVRMVTGDNLQTARAIALECGILTDSQASQPVIIEGKVFRAYSDAEREAVADQISVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRKEPLVTNIMWRNLFIQAVFQVTVLLTLNFRGRDLLHLTQDTLDHANKVKNTFIFNTFVLCQVFNEFNSRKPYELNIFDGVSRNHLFLAVVSITVVLQVIIIEFLGKFTSTVRLSWKLWLVSVGIGFVSWPLAFAGKFIPVPRTELKTYISRCLPGKKDNEGSTPPPPPPV >ORGLA02G0053300.1 pep chromosome:AGI1.1:2:3730774:3731157:-1 gene:ORGLA02G0053300 transcript:ORGLA02G0053300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELDLLSAQLPPIRTTAAAAAAEMPEFEEVVLCSTPTAAASVLRAPSVCPPAPRKPPRPAAKRRKKDARFSRSCYCCGRRRGGRAPAAAAFVAVPDDLAKVFVPRRPVPCRPPLDGKKIGVHVVG >ORGLA02G0053200.1 pep chromosome:AGI1.1:2:3724761:3725794:-1 gene:ORGLA02G0053200 transcript:ORGLA02G0053200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRTWTTPSPSPTRTTSSAAPWGPRGAPVKEIAFAAALLAFGALGAIGGVLMAANNVGGDRAHGIFFMILGIVMFIPGFYYTRIAYYAYKGYKGFSFSNIPPI >ORGLA02G0053100.1 pep chromosome:AGI1.1:2:3723292:3723582:1 gene:ORGLA02G0053100 transcript:ORGLA02G0053100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVICMFWTALRRTGALPFYSMGEPPFAEVPRETQAANTIGCFLSRSIQISSGNIVSVSHRSVRFFINSLALVFQSIACFCCQSLRIEKTCATEGLI >ORGLA02G0053000.1 pep chromosome:AGI1.1:2:3722048:3722503:-1 gene:ORGLA02G0053000 transcript:ORGLA02G0053000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKDSDDVAAVYTAMDHVVGEVHGDLVAQGVGDGVELAGVLAAVSARWEAKLARRRGGAALDGDGAPPEYKPAAGGGYCCDAPSSGPHHHDAVVKEEEEVAAAVDDDGAFFPAAAAAPETSNDGAASRAVVRRDLLGTLGAKRKRDTCN >ORGLA02G0052900.1 pep chromosome:AGI1.1:2:3708264:3715076:-1 gene:ORGLA02G0052900 transcript:ORGLA02G0052900.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGWRREGGAASGEGAPAAMLVLPLLLLIAAAALPRRALAATVAADVAAINGLYVALGSPALPKWTANGGDPCGDGWQGVVCIGSNIDSIIFNAANLEGQLGSLGNFTSITTINLSNNNIGGTIPEDLPVTLQHFFMSDNQLTGSIPTSLSKLQSLTDMSLNDNHLDGKLPDAFGSLTGLVNFDISSNNFSGSLPPSLGSLSSLTTLHMQDNQLSGTLDVLQDLPLKDLNIENNLFSGPVPPKLLNVPNFKKDGNPFNTSIAPSASPSSTPTGSTPTQTPSSPSSSGTPSPSSSPSNSSGGSTARDSSSPSSRKHKSSTLRIVGYVLLAIVLFIVTVLLVIFCLSKYQERQSRRDYTTSQVGRVHQRVEEPKVKQASVQSRNDAKKGSTEVPERRQVREINLAVPAALEKPPEKRKEHVINLQRSETEIFASTPPPPPPPPPPPPPPPPTPPPPPPRPPPPPPPPVEKVIVNPIVKPEKRVSTPPRTGPSTSATSFSVASLQQYTNSFEEGNLIRESRLGKVYLAELPEGRFLEVMKIDNANDRIPVDEFLELVASVSDIRHPNILELVGYCAEYGQRLLVYNHFSRKTLHDVLHEGEELDGALSWNARLQVALGAAKALDYLHESCEPPVVHQNFEPANVLLGNGFSVRVAECGLAELTLSGSVTQLSGRMRALLNYEAPEIHEAGTFTYRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWADSQFHDIESISKMVDPSIQGECSEKVLSRFADIISRCIRPEPEFRPSMSEIVQDLARIISVTSEESE >ORGLA02G0052800.1 pep chromosome:AGI1.1:2:3694334:3694783:-1 gene:ORGLA02G0052800 transcript:ORGLA02G0052800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGNGKCGGGGAGCELCGGVAAVHCAADSAFLCLVCDDKVHGANFLASRHRRRRLGVEVVDEEDDARSTASSSCVSTADSASSTAAVESEDVRRRGRRGRRSPRAEAVLEGWAKRMGLSSGAARRRAAAAGAALRAVGRGVAASRVPIR >ORGLA02G0052700.1 pep chromosome:AGI1.1:2:3687843:3690237:-1 gene:ORGLA02G0052700 transcript:ORGLA02G0052700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Mitotic checkpoint protein PRCC, C-terminal (InterPro:IPR018800); Has 930 Blast hits to 533 proteins in 146 species: Archae - 0; Bacteria - 18; Metazoa - 327; Fungi - 143; Plants - 61; Viruses - 0; Other Eukaryotes - 381 /.../e: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G19270) TAIR;Acc:AT2G19270] MDSLLANYASSDDEADETLPTPPAAAAARPKPPSGGGIFSSLPQPKSALLFSSLPAPKSGPVFSAIPPPKSSSGNPKRVVQFHPPPIRQPTGESSDEEDDDAEKRRPSEAEPRPPVSAGTGPVSSFLPPPKRSLGLGGGGAARRSAIDTAAPERSNVVAAGPSSSAINAIAPERPDTTSADDEDDESNGSSDDDEMPVPEEQQEQLAVDSEAGQQQQNQQQTYGAGVGSSNGQEGYAWDPNYYANYGANYGWDPSDNVNYGTEAQYAAYGGEHGGVYGNTYGVEHGDGYGHSMEMAYGGGYAGGYEHNAAAATAPPIQQPILPPEVGRIGGKRGRSDMPAEILEVNQAELMKNRPREDKSKLTGMAFGPSYQPAPSAKGKPSKLHKRKHQIGSLFYDMKQKEMELAERRSKGFLTKAETQAKYGW >ORGLA02G0052600.1 pep chromosome:AGI1.1:2:3684907:3685194:1 gene:ORGLA02G0052600 transcript:ORGLA02G0052600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALISEIRGMKVREVPGYLKPRLSWENVKKSSDQAVDRYIDKYIETSSPEPLFHVIYGLMAFSYLINLPKERRHLAHLEELERQGAAAAAHH >ORGLA02G0052500.1 pep chromosome:AGI1.1:2:3679456:3683592:-1 gene:ORGLA02G0052500 transcript:ORGLA02G0052500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRRKAYGALKDSTKVGLAKVNSDFKELDIAIVKATNHVECPPKDRHVRKIFVATSINRPRADVQYCIYALSRRLSKTKNWTVALKTLIVVHRLLREGDPTFKEEFLAYSYKGSVLQIANFKDDSSQLAWNCSAWVRAYALFLEERLECFRILKFDIETERLMRSPECSSKAHSRTRTLPCIELLEQLPALQQLLFRLIGCQPEGAAGTNYLIQYALALVLKESFKIYCAINDGIINLVDMFFDMPKYDAIKALVVYKRAGQQAEDLSDFYDSCKQLELARTFQFPTLRQPPPSFIGTMEEYIREAPRPSINSVENGERKLVTYDQEATEESEKPAEEEKDEPAESEQEQEPKQEPKPPETTGDLLNLDAEVSPLVAELEENNAWALAIVGTGDQTKASTSLDLFSGNTSGWELALVTAPSSTSQTVQTKLAGGFDKLLLDSLYEDETRRRQITGVTYTGSIGGGAPNPFDTNDPFATSSSFLPPSNVQFAMLNQQQHQYYQSQHQQQYYQPHHFQDHQHHHNMYFQTHYQQNQIYQQQQQQQHQYPAPQAGSSNPFSDPFGDLVPMAMAASQKHGHSSLI >ORGLA02G0052400.1 pep chromosome:AGI1.1:2:3678296:3678730:1 gene:ORGLA02G0052400 transcript:ORGLA02G0052400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLSNRFHCPAVNVERLWSMVPAEAGAGAGKAPVIDVTQFGYTKVLGKGMLPPERPIVVKAKLISKVAEKKIKAAGGAVLLTA >ORGLA02G0052300.1 pep chromosome:AGI1.1:2:3673199:3676720:1 gene:ORGLA02G0052300 transcript:ORGLA02G0052300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASQVWQRGSKDMTAMPPPRQRGAAKKPMWIIVLLSLVCVALIGAYAYPPRRYSACYFFASSVCTPFKDWLPTVTRRERTDEEIVSSVVMRDLLAMPMPVSKNPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYIHASRERPVHSSSLFVGREIHSEKVVWGRISMVDAEKRLLANALEDVDNQFFVLLSDSCVPLHTFDYIYNFLMGTNVSFIDCFLDPGPHGSGRYSVEMLPEIEQRDFRKGAQWFAVTRRHALLILADHLYYNKFELYCKPAEGRNCIADEHYLPTLFNMVDPGGISNWSVTHVDWSEGKWHPRSYRAIDVTYALLKNITAIKENFRITSDDKKVVTMTPCMWNGTKRPCYLFARKFYPEALNNLLKHSSYTST >ORGLA02G0052200.1 pep chromosome:AGI1.1:2:3663228:3670175:1 gene:ORGLA02G0052200 transcript:ORGLA02G0052200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDRITTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDALWHFKPEKLGVGDIITGGDLYAIVNENTLMQHKVALPPGAMGKISYIAPAGQYSLQDTVLELEFQGIKKEFTMLQTWPVRSPRPVMEKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSQAVVYVGCGERGNEMAEVLMDFPQLTMTTEDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYYRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVRCLGSPKRDGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALEPHYEREDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIIHFNTLATQAVERAAGADGQKITYSVIKHRMGDLFYRLVSQKFEDPAEGKEVLIAKFQKLYDDLTAGFRNLEDEAR >ORGLA02G0052100.1 pep chromosome:AGI1.1:2:3658611:3658844:-1 gene:ORGLA02G0052100 transcript:ORGLA02G0052100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAEAAAVATAARRRGGEEEWNEEEGKKRICVGLAAALAATAEAGGLASAAYGELVVEMEERERAREMAGRDEEQNQN >ORGLA02G0052000.1 pep chromosome:AGI1.1:2:3655589:3658150:1 gene:ORGLA02G0052000 transcript:ORGLA02G0052000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEAFIHGGSGGGDADADHPLGIFSAADLSGFGFADSSTITGGIPNHIWPQSQNLNARHPAVSTTIESQSSICAAASPTSATNLNMKESQTLGGTSGSDSESESLLDIEGGPCEQSTNPLDVKRVRRMVSNRESARRSRKRKQAHLAHLESQVDQLRGENASLFKQLTDANQQFTTSVTDNRILKSDVEALRVKVKMAEDMVARGALSCGLGHLGGLSPALNPRQACRVPDVLAGLDYAGDDPFTAGLSPPEQLQMPGGEVVDAWGWDNHPNGGMSK >ORGLA02G0051900.1 pep chromosome:AGI1.1:2:3648932:3651118:1 gene:ORGLA02G0051900 transcript:ORGLA02G0051900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCLVVSHKKLQTFRTFAASKFSSFTKSAQKSIKYSFQFIYQNNPLFVHVAYFALISFAGYGSLKVLEPRDKSNTLKDLDVLFTSVSASTVSSMATVEMEDFSSAQLWVLTILMLIGGEVFTSMLGIHFMRAEFGTKESVSTRDHSPCIDIESITSTKFGPSTQGTKVTVSFSELRMENGGHVEPKTIKFLGFVVMGYLLITNLGGSLLIYLYLNLVPSAHKILKRKGIGIIVFSVFTAISSVGNCGFTPVNENMIIFQKNSILLLLILPQILAGNTLFAPCLRLMVWSLEKITGKKDCRYILEYPKAIGYKHLMSTRESVYLTLTVVSLIILQTVLFLSLEWSSVALDGMSNYQKIVSALFQSVNARHAGESVTDLSNLSSAILVLYTIMMYLPGYTSFLPRHDGEDSKTEKINKRKGLLENWIFSHMSYLAIFVMLICITERDSMATDPLNFNVFSILFEVVSAYGNVGFSVGYSCKRLLNHDARCKDASYGFAGKWSDNGKAILIIVMLFGRLKTFNMKGGRAWKLR >ORGLA02G0051800.1 pep chromosome:AGI1.1:2:3641556:3643113:1 gene:ORGLA02G0051800 transcript:ORGLA02G0051800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLEQATERKAPPPARLGSITKLTAASSSFANLFSTFLGPVSSPEPRPRRSFDGGATGVGLGIVAAMSHACLTTEAEPIAIGAAARRRAREEAELSESYTCVITHVAGVDGASGSVRKRVYFGFGDGGGGWLVEADEEEPAPAADFLSRCFLCDKRLDGLDIYMYRGEKAFCSSECRCHQMLMDDHADNCGSEALKANDYSASPHSAPLPFSLSVAAA >ORGLA02G0051700.1 pep chromosome:AGI1.1:2:3631931:3634606:1 gene:ORGLA02G0051700 transcript:ORGLA02G0051700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXCGPASAAGAAGGEAGGGGGGGAGPEGDVLIAFRETLRGPDGAPPGPLRAWGTPAVPCRGKASQWFGVSCHGNGSVQGLQLERLGLSGAAPDLGLLAALPGLRVLSLANNAIAGAFPNVSALAMLKMLYLSRNRFSGVVPDGTFHTMRGLRKLHLSSNELSGPIPSSITSPRLLELSLAHNQFNGPLPDFSQPELRYVDVSSNNLSGPIPEGLSRFNASMFSGNEYLCGKPLDTPCDKLASPSNMSTFMTIAVVLIVVGVILAAAGIATGVIGRRRRKRRRRRPGPGEPGGDQTPSNPKLHTAPAVNINRGSATAAASTAAAAGTSASGGGGGAAAKRGGRRDEHGRLVFVQESRKRFEIEDLLRASAEVLGSGNFGSSYKATLQERPAVVVKRFKDMNGVGREDFSEHMRRLGRLSHPNLLPVVAYLYKKDEKLLITDYITNGSLAHFLHGNRGSELDWGKRLRIIRGTARGLGHLYDELPMLTVPHGHLKSSNVLLDGDMEAVLSDYALVPVVTASAAAQVMVAYKAPECVAAAAAGKPSKKSDVWSLGILILEVLTGKFPANYLRQGRQDNADLAGWVSSVVSEERTGEVFDKDMAAAGAGAEDDMLKLLHVGLGCCDADVDQRWELKTAIARIEEIRVPDPTPTPAAAADAAEPSPSTTTTTNSGETRS >ORGLA02G0051600.1 pep chromosome:AGI1.1:2:3627815:3630754:1 gene:ORGLA02G0051600 transcript:ORGLA02G0051600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDPDAAEWPCIIQALPALPPSPSSTGVPRLPTMVQALPAATDPPPAAAAAARLRRGAEPPSPRRTRSGGAPEWTPAETLALVAEVAAVDDGWSRSVSAFQKWAIVAENLAASRGRAARGRGRGRAASECRRRWEALAAEYGVVRRWEVRGAGGYWRMSAAARRKAGLPADFDAEVYGAMEALTLVEEALLADATAGAGGAEIGEKSAAAAEVGEGDEVEAGEEDGNGDRGEVGEEDEGEVGEDGEEEDGNEMLEVEDDGNADDEDAQPDAMQGQHMSSSLNIISAYWYLSKDEVCETGANNEGKKSQTDACELANKLQENAQHIHMLLKEEAGENENHNLAISSDSMETTRQKGDELIKSLGGLVSYLNQFTDLIKENGFENVVGLWQTLLPALASPFLSAIVYFSVWQSAVLGRFSALYSRIPWI >ORGLA02G0051500.1 pep chromosome:AGI1.1:2:3622425:3624404:-1 gene:ORGLA02G0051500 transcript:ORGLA02G0051500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQPRFHDMIGGGGKGMQDNEINGLFNMPSYHKFVEGSQMSVDSADGFNMANYVGGSVAMSVDNSSVGSNESRTVILKHPGLRDAPTASYSVGNSVFRPNRVAAHTLNEDALARVLMDPSHPTEILSNYEEWAIDLGRLDMGVPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAQALEQQFVQEVMMLSRLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLRLAVKQALDIARGMAYVHALGFIHRDLKSDNLLIAADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNKGARPVIPQDCLPALSHIMTLCWDANPEVRPAFTDIVCMLESAEMEILSNVRKARFRCCITEPMTTD >ORGLA02G0051400.1 pep chromosome:AGI1.1:2:3617612:3620769:1 gene:ORGLA02G0051400 transcript:ORGLA02G0051400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMPPPKPTSPRSPPLLWDWADAAMPGSSSGEVSAAAAAAAAAHPGRRRKEKRGRAEEGGGGGGEVRCQVEGCGVELVGVKDYHRKHRVCEAHSKFPRVVVAGQERRFCQQCSRFHALSEFDQKKRSCRRRLYDHNARRRKPQTDVFSYASARPPSSLLFDDSRQISFVWNKAPLSHVRPFAISPWESSSEVGTTDGHIYLDKSHISKSLPAFNTDIDELLPMKDFSAATIWMFFGVLFIRTAQESCMSFH >ORGLA02G0051300.1 pep chromosome:AGI1.1:2:3603624:3605644:-1 gene:ORGLA02G0051300 transcript:ORGLA02G0051300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFSEHSVKEAAAMEMQRNAASSSGIMGRSMNHDRNVNDAIRMQMEVQRRLHEQLEVQKHLQMRIEAQGKYMQSILEKAYQTLAAGDVAAAVACGPAGYKSLGNHQAAVLDVCSMGFPSLQDLHMYGGAGGGHLDLQQQQPPASTMESFFACGDGGGSLGKTAAKTRHYGGAGKSPMMWGVDDDDDDDPAGKCGGGGHHQLQMAPPPMMDGGIDVMDSLAADVYETKPIMSGDSTGSKGGGYDVAAAASKLERPSPRRPPQLGSPSVMAGAQTRNLSYG >ORGLA02G0051200.1 pep chromosome:AGI1.1:2:3590086:3597298:1 gene:ORGLA02G0051200 transcript:ORGLA02G0051200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 5F1 [Source:Projected from Arabidopsis thaliana (AT1G79440) TAIR;Acc:AT1G79440] MAMAMAMRRAAALGARHILAASSTSSSGVLLRRHMSVDAGAAMEKVRAAGLLRTQGLIGGKWVDAYDGKTIEVQNPATGETLANVSCMGSKETSDAIASAHSTFYSWSKLTANERSKALRKWHDLIISHKEELALLMTLEQGKPMKEALGEVTYGASFIEYFAEEAKRIYGDIIPPTLSDRRLLVLKQPVGVVGAVTPWNFPLAMITRKVGPALACGCTVVVKPSEFTPLTALAAADLALQAGIPAGAINVVMGNAPEIGDALLQSTQVRKITFTGSTAVGKKLMAGSANTVKKVSLELGGNAPCIVFDDADIDVAIKGSVSLAAKFRNSGQTCVCANRILVQEGIYEKFASAFIKAVQSLKVGNGLEESTSQGPLINEAAVQKVEKFINDATSKGANIMLGGKRHSLGMSFYEPTVVGNVSNDMLLFREEVFGPVAPLVPFKTEEDAIRMANDTNAGLAAYIFTKSIPRSWRVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGMDEYLELKYICMGNLN >ORGLA02G0051100.1 pep chromosome:AGI1.1:2:3584479:3586656:-1 gene:ORGLA02G0051100 transcript:ORGLA02G0051100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKFTQQELPACKPILTPKWVISVFILVGVIFVPIGLVSLKASRKVVEIVDRYDDACVPANTTDKLAYIQNPTISKNCRRTLKVPKDMDAPIFVYYQLDNFYQNHRRYVKSRSDAQLRDPKKANDTSTCDPEGTANGMAIVPCGLIAWSIFNDTYGFVRNSKNLPVDKKGISWKSDREHKFGRDVFPKNFQNGSLIGGKTLDPNKSLSEQEDLIVWMRTAALPTFRKLYGRIHNDLKKGDTITVTLENNYNTYSFSGKKKLVLSTSTWLGGKNDFLGLAYLSVGGLCFFLAFAFTLLYLIKP >ORGLA02G0051000.1 pep chromosome:AGI1.1:2:3581789:3583224:1 gene:ORGLA02G0051000 transcript:ORGLA02G0051000.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTQRISFVKEDATAFMKGAISRNEVWDLVILDPPKLAPRKKVLQSASGMYRSLNALAMQVVKPGGLLMTCSCSGAMTQSGLFLRTIQGAASMTGRKVTVLRQAGAACDHPVDPAYPEGQYLSNYLLRVT >ORGLA02G0050900.1 pep chromosome:AGI1.1:2:3577940:3581526:-1 gene:ORGLA02G0050900 transcript:ORGLA02G0050900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQALSLVITVDIPVFFIRMVCPRIHFTRSLLLMHLGPFYIGDLLKWILVSIVQFGVGKRFYVAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFHPPIYFETSAMIITFVLFGKYLEVLAKGRTSDAIKKLVELVPATALLLLKDKEGKYAAEKEIDASLIQPGDVLKVLPGSKVPADGTVVWGTSHVDESMVTGESAPISKEVSSIVIGGTMNLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVAGIFVPIVVTLSLVTFIAWFLCGSLGAYPNSWVDETSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVKYVIFDKTGTLTQGKATVTSTKVFSGIDLGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSSKDDIKKRKQQILSQWLLEVAEFSALPGKGVQCLINGKKILVGNRTLITENGINIPEEAESFLVDLELNAKTGVLVAYDCELIGSIGMTDPLKREAVVVVEGLKKMGIYPVMVTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSVIAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNIIAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLWLRRYRKPRLTTLLQITVEILLTDRTYTRTSAA >ORGLA02G0050800.1 pep chromosome:AGI1.1:2:3576375:3576824:1 gene:ORGLA02G0050800 transcript:ORGLA02G0050800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKIASTVLVLLLLTLDGVAAAKMCHDPSQTFRGLCGHPTNCIACCTNKGYTGGYCTTVRHKCMCTKACGGESPPDDPPSAMPASPVTTTRA >ORGLA02G0050700.1 pep chromosome:AGI1.1:2:3573659:3574157:1 gene:ORGLA02G0050700 transcript:ORGLA02G0050700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVVATVLIVLFLTLGGEAAAKICHDHSQTFKGMCFHTSNCIACCTNEGYTGGYCKPFTYRCMCTKDCGGDSPPDDPPPAMPTSPAATTTVA >ORGLA02G0050600.1 pep chromosome:AGI1.1:2:3565171:3565571:1 gene:ORGLA02G0050600 transcript:ORGLA02G0050600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKVTSIFSSILIYPLLTVSCATFPAPDTTCESPQACANQCVANGYLIGFCEIFTLGLRDCVCVKCPNAQMMHADHLPLQSRFQ >ORGLA02G0050500.1 pep chromosome:AGI1.1:2:3564351:3565162:1 gene:ORGLA02G0050500 transcript:ORGLA02G0050500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPTTPPGALTSAATVARPRRRRRPHRRRRPRRRRRRPRHQPIPGRLPRPSEREETRSAPPPPSLRPRGLAGSRSGDTTGI >ORGLA02G0050400.1 pep chromosome:AGI1.1:2:3560758:3561027:1 gene:ORGLA02G0050400 transcript:ORGLA02G0050400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSKIAAAFFVFFLLATGGGAAGACSVGESSTFRGNCEIDGGGCVESCRGEGYTDGYCFTEVANPGYHVCTCTRGCYSPVQSTRKMMARN >ORGLA02G0050300.1 pep chromosome:AGI1.1:2:3540838:3541258:1 gene:ORGLA02G0050300 transcript:ORGLA02G0050300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKVASIFASILILSVLVMSCDAAGSSCPTVRAPNPTCLSPQICANQCVAAGYLIGFCEFYGSRLGDCVCAKCTNAVQAGRPPTIAPTPAVRRLIL >ORGLA02G0050200.1 pep chromosome:AGI1.1:2:3534467:3538865:1 gene:ORGLA02G0050200 transcript:ORGLA02G0050200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1NXP6] MAQQLSAPFRAAAAAGSRASAAAADPAKVLRLRSAGSAQFTSIAASSSFARNIEPLRAIATQAPPAVPQYSSGEKTKVGINGFGRIGRLVLRIATSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGSIKVVDDSTLEINGKKVTITSKRDPADIPWGNFGAEYVVESSGVFTTTEKASAHLKGGAKKVVISAPSADAPMFVVGVNEKSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRIEKSASYDDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDARSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIAHMALVNAKH >ORGLA02G0050100.1 pep chromosome:AGI1.1:2:3523959:3528761:-1 gene:ORGLA02G0050100 transcript:ORGLA02G0050100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast, vacuole; EXPRESSED IN: 19 plant structures; EXPRESSED DURING: 11 growth stages; CONTAINS InterPro DOMAIN/s: Lytic transglycosylase-like, cata /.../(InterPro:IPR008258); Has 171 Blast hits to 155 proteins in 40 species: Archae - 0; Bacteria - 54; Metazoa - 0; Fungi - 0; Plants - 55; Viruses - 0; Other Eukaryotes - 62 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G16290) TAIR;Acc:AT1G16290] MAISFKYWDDCLDPEDMQLMWADPHVSKEWVDAGEGPGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDRKTKEIKAGIMQVTIEVAQWLGRELGYKYYDIEEDANLLYWPFVNVYFGAAYAKWLFSCDDKERTEEFVVRAYKGGKKKAVHKSTSPIFQRYLYVKEALLSMRQPESFNDLTPNLLANSSSTEGQLIYWDSKVSEVDMDAMWSQSDVIKEWTKSGERRGNVRFSHDSKRRPYLSRVEVKAVAEITISRHLSSKGVTPEALAALAEVCSMRFVHGVRSRTGLMGIDYPTAAWLYRDCGHRAYTVSSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPENVSLQETGPFWNKFLEALRLYQDPKKEQASCCIL >ORGLA02G0050000.1 pep chromosome:AGI1.1:2:3519486:3519881:-1 gene:ORGLA02G0050000 transcript:ORGLA02G0050000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRGGVGGQPPSSSAAAAVVARRCGCGLGRLVRRLRRQAALCAARPAPASSRLRGGRCQYDPLSYARNFDRGGIDVAGGLDDDSDAAQLYYSYTFSSRFVLPAAAAAAAARAHPSSLAAGRTAPATATH >ORGLA02G0049900.1 pep chromosome:AGI1.1:2:3512690:3513469:-1 gene:ORGLA02G0049900 transcript:ORGLA02G0049900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPQPVMGVATGGSQAYPPPAAAYPPQAMVPGAPAVVPPGSQPSAPFPTNPAQLSAQHQLVYQQAQQFHQQLQQQQQQQLREFWANQMEEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIVPRDEMKEEGLGLPRVGLPPNVGGAADTYPYYYVPAQQGPGSGMMYGGQQGHPVTYVWQQPQEQQEEAPEEQHSLPESS >ORGLA02G0049800.1 pep chromosome:AGI1.1:2:3509733:3510189:1 gene:ORGLA02G0049800 transcript:ORGLA02G0049800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMVKLLCTLLLALSLTAHYSDMSMKVSADCQSVNVPGPCSPTTCDDNCKSQIGAGAVGECTSGGCQCTFCTLPPPKKN >ORGLA02G0049700.1 pep chromosome:AGI1.1:2:3499775:3502688:-1 gene:ORGLA02G0049700 transcript:ORGLA02G0049700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPTCSLRELIEHYQTVTNTHFEEINHDQQIFVEMTRMRNEMEKLDGGIRRFTGDDLSNLTLADINDLEQQLEFSVTKVRARKHQLLNQQLDNLRRKEHILEDQNSFLCRMINENHHQAAVGGGDVKAMVEMAPVLSMLTAAPAYYGEESSSTALQLTPPLHAVDAAAAAGFRLQPTQPNLQDPGCSSSSFHAAAAGHGLQLW >ORGLA02G0049600.1 pep chromosome:AGI1.1:2:3496690:3498243:-1 gene:ORGLA02G0049600 transcript:ORGLA02G0049600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGKSRKRRSSPSSGEEEERERKKRRDKKESRRSSRDEQAEEEEEEERRRRKKKKHGDRGKDKERDSKEKHSKEKEKSKRKHKDDDFKEISKDDYFAKNNEFATWLKEEKGKFFSDLSSESARDLFEKFVKTWNKGKLPSQYYEGITRGPRSAHRWNIKA >ORGLA02G0049500.1 pep chromosome:AGI1.1:2:3494002:3495808:1 gene:ORGLA02G0049500 transcript:ORGLA02G0049500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system H protein [Source:UniProtKB/TrEMBL;Acc:I1NXN9] WPWPPPGCCGLPAPPPTSRSPLSPRAFSTVLKDLKYADTHEWVKVEGDSATVGITDHAQHHLGDVVYVELPEVGSSVSQGKNFGAVESVKATSDIYSPVSGEVVAVNDGLGDEPGLVNTSPYESGWIIKVKVSDSGELNSLMDDAKYSKFCEEEDSKH >ORGLA02G0049400.1 pep chromosome:AGI1.1:2:3488560:3491242:1 gene:ORGLA02G0049400 transcript:ORGLA02G0049400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G35130) TAIR;Acc:AT2G35130] LRIEALLYYPVTRPRWKINASQDATQETGLIDAEINSYAYSERKNRKYNGAYIDKDGVSRTFDRKKISRKRGGAMRGRGWKYGSGFVDGVFPVLSPMAQDILEFVQKGTDVAKIWESLDNIPSTHNLFDDLVNVAVQFRMNKKWDLIIPVCEWILYRSSFRPDIICYNLLIESYGKKRQLNKAESIYMALLDAQCVPTEDTYALLLRAYCNAGSLHRAEGVISEMREHGIPPNATVYNAYLDGLLKARCTEKAVEVYQRMKRERCRANTETFTLMINVYGKAKQPMSSMKVFNEMKSIGCKPNICTYTALVNAFAREGLCEKAEEVFEEMQQAGHEPDVYAYNALMEAYSRAGLPQGASEIFSLMQHMGCEPDRASYNILVDAYGRAGLHEDAEAVFEELKQRGMSPTMKSHMLLLAAHARSGNAARCEEVMAQLHKSGLTPDTFALNAMLNAYARAGRLDDMERLLAAMERRGDADVGTYNVAVNAYGRAGYVGRMEAAFAAVAARGLAADVVTWTARMGPYARRKEYGRCVGMVEEMVDAGCYPDAGTARVLLAACSDERQVEQVTAIVRSMHKKPKTLFTI >ORGLA02G0049300.1 pep chromosome:AGI1.1:2:3483273:3487177:-1 gene:ORGLA02G0049300 transcript:ORGLA02G0049300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol monophosphatase like 1 [Source:Projected from Arabidopsis thaliana (AT1G31190) TAIR;Acc:AT1G31190] MARYLLRPPTAAAAAASSHRRNGTTSPRGPVLGLRALASRAGKARPVMAVASEQPAARGKCPKVAAPTTGPIPAAELLGVIQDAARAGAEVIMEAVNKPRNIHYKGVADLVTDTDKLSELVILEVVRKTFPDHLILGEEGGLIGDALSEYLWCIDPLDGTTNFAHGYPSFSVSIGVLFRGKPAASTVVEFCGGPMCWSTRTVSASSGGGAYCNGQKIHVSKTDKVEQSLLVTGFGYEHDDAWVTNINLFKEYTDISRGVRRLGSAAADMSHVALGITEAYWEYRLKPWDMAAGVLIVEEAGGMVSRMDGGEFTVFDRSVLVSNGVVHDQLLDRIGPATEDLKKKGIDFSLWFKPDKYPTDF >ORGLA02G0049200.1 pep chromosome:AGI1.1:2:3464236:3480471:1 gene:ORGLA02G0049200 transcript:ORGLA02G0049200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILRDKLLNGKDQHRDTASIALKTIIAEVTTTSLAEKILVSLAPQLIKGATAGKSAEVKCECLDILGDVLHRFGNLITKDHDCMLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSESANEYTDDEDASWKVRRASAKCLYAIIVSRPEMLSKMYLEACPKLIEWFREREENVKPHSPDYRPYIGPIYKAILARLANQDQDQDQEVKECAISCMSLALSTFGDGLQRELPACLPVLVDRMGNEITRLTAVKIVESNDDLTLIRYLIKWIPAQPWADGEIYLYSPDTHSWSSQGMKMKFFIMDEKYNMEAKGIYKKIDELVNLANHSMFLAPVFALHDKKIGRIILCYPKFDQLLKDWLPAQAFKGRFIPPIWMHLIKDVISYMSNTDNLAASLGSIDSYVLETKPERIKVILFPFESREAYWRKDFATFLIEHLRNKWKSTMLDHFINILQKDFKIGDIQHHPLLQDFDQLSNMIRMTWRESKHLTVERRVLLSSTLNAIRNNIPWTSVTTTDAVVNEYISKATNDSLGLFTEIKKIAAHYIENRRKLNKEKGICNRRQVHPIEIIEEIWPGAIEEIYELSLKSEWLTT >ORGLA02G0049100.1 pep chromosome:AGI1.1:2:3460035:3460855:1 gene:ORGLA02G0049100 transcript:ORGLA02G0049100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system H protein [Source:UniProtKB/TrEMBL;Acc:I1NXN5] YAEAPINLFQGATNLNVLKDLKYSETHEWVSVEGDYATIGISDHAQEHLGYVASVELPEVGQSVSQGNKFGAVVSVKGTTRRINSPVSGEVVEVNDQLSDLPGLVNARPYETGWIIVVKISDSGELNSLMNDEDYSKFCKEGRKRQVL >ORGLA02G0049000.1 pep chromosome:AGI1.1:2:3457437:3459963:1 gene:ORGLA02G0049000 transcript:ORGLA02G0049000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRIEEQRRREAERRRQLEEEMRRWEEEEEEEEEDDDDDFLRMSQQLSVICSESRGKRGDWPNVKATRRGRRRTHTKETPYNEDEEENLSELELAVENTRRRIHQCNCNHIPRHTLFTSHADVEELFLPFKLEEPYLCFASRCQKSAPSYAHFFPDFNRSPRITSDWLRPSTFEGDLQYIQFFHKLMDTVECGNTEDTVLAKAITIATQSYQKMLYFQIEAAVQDCLELLKEMERMNDRYDFYHFIASEILFNNKSYEDVLKLIRDGHVELHYTLKEFSTPHADHHMEDLVHRLVGRTRAYMPTDAQRECGMFKDWVCQQVDKEYESLGYLYMHFLDNKMRAWFAPFPEDLNSSYIWCATVTYINRK >ORGLA02G0048900.1 pep chromosome:AGI1.1:2:3448205:3449189:1 gene:ORGLA02G0048900 transcript:ORGLA02G0048900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRLHLSSPWRPRRGGARDVLWTRIELRDREEEKNTGRQEHAPLNLTAREEEKRNTGRQERAPPKGTSSREEEEVNAGRQEPSAATGTHREEEEANTGRQEHAAAATTGTNHNQNQDCIHRKEGGAPTSEGQEYQEEEIGEANRKDRQQQGHGMGSRGDGTRKWIGGTVTEEKGLPILLNLLPPTCLQIGFLR >ORGLA02G0048800.1 pep chromosome:AGI1.1:2:3434770:3440929:-1 gene:ORGLA02G0048800 transcript:ORGLA02G0048800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRMTWLYDRHHSLKHNKAERQAILSTYRLAKRPNLSSEGMIGESCIVRTNCFSVHLESLDDQTIYEYDVCVTPEVGINRAVIRELVKQQKDSGLGGRLPAYDGRKRLYTSGPLPFDSHRFLVLLDSIEDSPEESRHLRVRDFVVTLKFAAKISLWTLRKFRGGKPNRESRAALRALDVVLKELPTARYTQFAGSFYSPNLGECRQLCKVLESWRGFHQRIQATQMGLQLNIDVSSSVFIKPVPVVDYVAQLLNEDILLDRPLCSTEFLKIKEALEGLKVQINGNLFNTYHVQDLVHQAASFPVNFSIQYPSLPCLKVAHFGETIFLPLEVCKIAEGQCHQKQLNAKHMAALLQVARQPPNERDYNILQTVHQNKYQEDPHAKEFGIKIEEKLVSIKSRILPAPWLKFHDSGETTEFLPQLGIWNMMHKKMINGGRVKSWACVNFCWSVREYAARNFCYDLGFMCRESGMVFSVKPVLPLVIAKPGCVESALRTLHDDVMDILRPQGRKLDLLIVILPNNNGSLYGDVKRICETDIGLISQCCLAKHVLKMNKWYLASVALKINAKMGGRNTVLVDALEMRLPHVRDTPTIVFGAHVTHPHPGKANSSSIAAVVASQDWPEVTKYAGLISVQACHQESIQGLFKVQDDPERGTTTSGMIKEHLMSFYRATKRKPGRIIFYRDGVSKGQLPQALMHELGAIKMACASMGPDYNPLVTYVVLQKCRHTRLFADYYNANTHDSTANIRAGTVVDSNICQPNQFDFYLCSHRSTQGTKRPRYYHVLWDENDFLAGSFQELTNYLCYTSATCTQSISVVAPVHCARLLSSRARCYIKPRSIGDSTSHTSLPSEEDSSAASETGSLLPIKDNLKGAMFFC >ORGLA02G0048700.1 pep chromosome:AGI1.1:2:3428826:3429232:1 gene:ORGLA02G0048700 transcript:ORGLA02G0048700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWPLACTTTTDNIAAVGKGEGRRETKTVARGGDQSCCCLPIFTWSWKKAEDDTSIMFIGAKSFKYCR >ORGLA02G0048600.1 pep chromosome:AGI1.1:2:3414110:3417333:1 gene:ORGLA02G0048600 transcript:ORGLA02G0048600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:I1NXN0] MATKRSVGTLGEADLRGKKVFVRADLNVPLDDAQKITDDTRIRASVPTVKFLLEKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKFLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDVLILGGGMIFTFYKAQGYAVGKSLVEEDKLELATSLIEKAKAKGVSLLLPTDVVVADKFAADAESKTVAASAIPDGWMGLDVGPDAIKTFSEALDTCNTIIWNGPMGVFEFEKFAAGTDAIAKKLADLTTTKGATTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDEA >ORGLA02G0048500.1 pep chromosome:AGI1.1:2:3407463:3410899:-1 gene:ORGLA02G0048500 transcript:ORGLA02G0048500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASFSPPLASPSRWSPPSRRRRLRLRLRVRAGSSLKEWGDFEDAVRSRDLPRALRFLQSVDVETPPAAAAAGAAVARVALPVPPGRDWEVLDACIDADDMRLVGRAYQFLADRGVLANFGKCKNIVLEGPREVTPTVLKEMTGLEAIKLAPKKWGLSGNSSYVLIGFLGGVSYLLNQGVDVRANLAAILGLVAADAMFLGGTCVAQISCFWPPYKRRILVHEAGHLLTAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDEKMEKELAEGHLSSTAFDRYCMILFAGIAAEALVYGEAEGGENDENLFRSLCILLDPPLSVAQMANRARWSVMQSYNLLKWHKKAHRAAVKALESGHSLSTVIRRIEEAISSDK >ORGLA02G0048400.1 pep chromosome:AGI1.1:2:3404939:3406297:-1 gene:ORGLA02G0048400 transcript:ORGLA02G0048400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ribonuclease H2, subunit C (InterPro:IPR013924); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (sou /.../CBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G39440) TAIR;Acc:AT2G39440] MEPAAAAAAAVTAAVDLSTAAADLGRVHLLPCGIKQNGAAAVSDYFKPKDTGVEVEGIRVEEAFFRGRKLQGATISLPDGYRGYVLEKRSGGKDMKKLEGEVSNFKSRAEFQNITYWNHDTTPSAEDPLPRCFHLLTVANAMHKPVTAEEMAYMSAMQNQSS >ORGLA02G0048300.1 pep chromosome:AGI1.1:2:3401545:3404092:1 gene:ORGLA02G0048300 transcript:ORGLA02G0048300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxymethylbilane synthase [Source:Projected from Arabidopsis thaliana (AT5G08280) TAIR;Acc:AT5G08280] MPPPPRCAATTAHHSLLGSPTCLARPRRRCCPVRAAVAVQAEAQAKVSLIRIGTRGSPLALAQAHETRDKLKAAHSELAEEGAVEIVIIKTTGDMILDKPLADIGGKGLFTKEIDDALLQGRIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFICLTASSLAELPAGSVVGSASLRRQSQILYKYPSLKVVNFRGNVQTRLRKLKEGDVHATLLALAGLKRLNMAETATSVLSVDEMLPAVAQGAIGIACRSSDDTMMNYLSSLNHEDTRLAVACEREFLSVLDGNCRTPIAAYASRDKDGNCSFRGLLASPDGSTVYETSRTGPYDFDIMVEMGKDAGHELKAKAGPGFFDSLQ >ORGLA02G0048200.1 pep chromosome:AGI1.1:2:3397602:3399530:-1 gene:ORGLA02G0048200 transcript:ORGLA02G0048200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1NXM6] MVSLSAAVAPAAGVVPPPQKAQAFAVAEAHAPTIPRRHLLLASAASTLPAAAAAASASAASAPSFAEIPGSGGVKALDLREGPGEVPADGDQVAIHYYGRLAAKQGWRFDSTYDHKDETGDPMPFVFTVRAGNVIPGIEAAVKSMRVGGLRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELISIRQHS >ORGLA02G0048100.1 pep chromosome:AGI1.1:2:3392264:3394906:-1 gene:ORGLA02G0048100 transcript:ORGLA02G0048100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQDHASKSSCSSLSTSTQESEEDVTVGTLLTEAKNSGRSLGKRLSHLDSIPHTPRVNGQIPDVNNATIDHETLLERLGTYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKSVVKQLKEFRKHYEGYVPMEYKVYLKKMKRSGEWGDHVTLQAAADRFAAKICLLTSFRDTCLIEIVPRGATPTKELWLSFWSEVHYNSLYATEDLPNRKTRKKHWLF >ORGLA02G0048000.1 pep chromosome:AGI1.1:2:3389853:3391178:1 gene:ORGLA02G0048000 transcript:ORGLA02G0048000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G05320) TAIR;Acc:AT3G05320] MNIILELKHLKQVSLPTRPVVCKGLVIVIALIVLRAIVSPFLAVNPSEKEGFYDPTADLLPGIRRGKFIEVPQIIWGLNNQKIAFARACLTARFLNRSLLMPSLSASLFYKEVDLLRPITFDKVFDFTKFNARCQGFVRLARYSEVSNQTKPFKLQKGSGRRWTVEKDLDQLLQYRRGEADDSEVIEIIGKHPFLWPDHWPVKDYARIFDCLALVPEIETEVVKVISKIREAGIKARHEAGISHNKHVKDGTMNPPVPYIAVHMRIEKDWMIHCKKWEQRSNSKEICSSKEEIIHKVSQITDLRRPVVVYLAVADSLLEDNSITSGWRVGMVAFEKKRLGVTDIYNRQPYLIKSAIDFEVCVRADVFVGNSFSTFSNLVVLSRTQRLYNMGEAISCGENVGLSSYAYNVIGDDGGPQRWMTDMSDTSLQNLSYGTNNISCH >ORGLA02G0047900.1 pep chromosome:AGI1.1:2:3380724:3385699:1 gene:ORGLA02G0047900 transcript:ORGLA02G0047900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSPPMPPMPPSSSSSLRDLLEQERCESHALAAAPRRARSGRHASPPPQSVAPEEEDDGGGGAAAVGAVVAMLSGYVGRFVKDEGFRSGLREKCAACLAPAASRRGAGHAVLANLELGIESIERLAADAASAQAQQRDAKIRSLRNSIRLLSVVASLHAPPRHAAPTSAPAAAEAHTCGVPNSHLSACAQLYLSVVYKMERNDHVSARHLLQVFVDAPYLARKNLLPDLWDHVFLPHLLHLKVWFTAEADLAADDRSRRMKTLQRLYNDHLNSGTAQFAIYYKEWLKSGGAEAPPPPSVPLPSMPGDFDAWDKHSSSLRRSSINRGLYNAVFGTAMEQEDVKDAKLEDDEMSQLVLETDVELEDNPGCLKMGRIAHSNMGLQEKHSVIRKERNIPETAPTPRKSYSLRLFSCRGDLTRNVINHPKIPKKEAVSVEKDLECSELTMNLERAVSMVSSSDSLTQCEYAVQEVARACSNLREDPNLGTWLSCPSFIQGLLEVTFTSKDDLVLECAILIIGELILSNEVNRQIVLNADPQLEVFLRLLRSKELFLKAAIVLYLMKPKAKQMLSLDWIPLVLHILECGDEVQFLSSVKCAPKVAALYFLDQLLMGFDVDRNVENAKQMIALGGLDLLMNRIDGSDSRESKKCISLLTSCIQADGSCRHYLVDNLKKEPIVQLLVGNQKKASSAALNLLSELVCLNRTTQILEFLKELKNGGCLNTMHILLVYLQQAPIAQHPLAAVMLLQLDLLGDSSQYSVYREEAIDAMVAALEHGSHSRKLQEQCARALLLLAGRFSSSGEPIAEAWLLKRAGLDDSLSESFRRTEIFKDKSARVEEEKIVEERLKKLALMLLNSGNKKFLTALSNCISDGIPSLARACLITVTWMSSSLSPLHGCNTFQPLACSILATKLVDSLSYDRVLEERVLASLSLLNLVRHPECLEKLYPLKKDTVESLQDLAEVTWTAKELLFACCR >ORGLA02G0047800.1 pep chromosome:AGI1.1:2:3374063:3376986:1 gene:ORGLA02G0047800 transcript:ORGLA02G0047800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSCFRGAGSDPAGSLRDPLVRESRIGEAFLDDETKVEASGTLDGDRGNGGSVDEELWREANYLKSCGAIGETPPEMLKGSNQITEEETNGELKGAAVSEENLSEGFNCDEHSALKHEQSDSPHPTPLVLRGDMQTPGTLNTAYKESLRSGKRARTNKQFIYPVLRPIENKLQWMELRDDSSPILSFNPPKRRYLSTDCSAKPQESITNSMATQTARITPASFSFHDITAGQDQGVISPEEHKSENDSRKLLDDADQLKYNADSERKGVASLSCWLKPPSSAGGSQSDTEGKVVKQRCYENSVFTDLPIFTASGLNWDNDKPTPVLPKVWDGNGIPNTTTKYKEDQKVSWHATPFEERLMKVLSDEKPHHQRKISGKLIQLDEETN >ORGLA02G0047700.1 pep chromosome:AGI1.1:2:3368252:3370003:-1 gene:ORGLA02G0047700 transcript:ORGLA02G0047700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARGRRDRMGSAGGGGGSPPVVVRRYNRSEAPRMRWPEELHRRFVHAVRRLGGCHEATPKRIMQLMGAKGVSISHVKSHLQMYRNSNNSSNVNRRHPVTPQIDWTTTAQQDEQQRRQMSSFSFLATRTVPAAGIGSHSHQRPHRRQALHAGDDDGCELTLSISGGAAEESKDGGSSITDDDDELLIQPPAPNIINDDGSTRHGHRHPFACSTQPPPPAAINLELTISSPCCWLT >ORGLA02G0047600.1 pep chromosome:AGI1.1:2:3363861:3365981:-1 gene:ORGLA02G0047600 transcript:ORGLA02G0047600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylpyruvate dioxygenase [Source:UniProtKB/TrEMBL;Acc:I1NXM0] MPPTPTPTATTGAVSAAAAAGENAGFRLVGHRRFVRANPRSDRFQALAFHHVELWCADAASAAGRFAFALGAPLAARSDLSTGNSAHASLLLRSASVAFLFTAPYGGDHGVGADAATTASIPSFSPGAARRFAADHGLAVRAVALRVADAADAFRASVAAGARPAFQPADLGGGFGLAEVELYGDVVLRFVSHPDGADAPFLPGFEGVSNPGAVDYGLRRFDHVVGNVPELAPVAAYISGFTGFHEFAEFTAEDVGTAESGLNSVVLANNAETVLLPLNEPVHGTKRRSQIQTYLDHHGGPGVQHIALASDDVLGTLREMRARSAMGGFEFLAPPPPNYYDGVRRRAGDVLSEEQINECQELGVLVDRDDQGVLLQIFTKPVGDRPTFFLEMIQRIGCMEKDESGQEYQKGGCGGFGKGNFSELFKSIEEYEKSLEAKQAPTVQGS >ORGLA02G0047500.1 pep chromosome:AGI1.1:2:3362090:3362965:1 gene:ORGLA02G0047500 transcript:ORGLA02G0047500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:urease accessory protein F [Source:Projected from Arabidopsis thaliana (AT1G21840) TAIR;Acc:AT1G21840] VHFHDSKVYSFFSTEVXRSVSSTLLFFFLIMERVMECDYPASKKNKVVHPMDCEMKEEPTNAASMNQHSLWSQWQLLDSILPTGGFAHSYGLEAAMQSRMVNNPEELRSFVVQVLENTGSLLLPFVFCANKSPDAATWVKLDQLLEAMLTNEVSRKASMSQGSALLRVAASVFTEIQSLQDLRQTFLGSKIVSFHHAPIFGLICGLVGFDSETTQRAYMFVTMRDVISAATRLNLIGPLAASVLQHQVAADAERMVQKWKDRGVEEATQTSPLLDALQGCHAYMFSRLFCS >ORGLA02G0047400.1 pep chromosome:AGI1.1:2:3345329:3354587:-1 gene:ORGLA02G0047400 transcript:ORGLA02G0047400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMNITTILEKMTGKDKDYRYMATSDLLSELNKEGFKADQDIEPKLTTTVLQQLEDASGDVSGLAVKCLAPLVKKVGEDRVVEMTNILCDKLLNGKDQHRDTASIALKTIIVEVTTTSLAEKILVSLAPQLIKGATAGKSAEVKCECLDILGDVLHRFGNLITKDHDSMLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEAVPLLINYCTSASENDEELREYSLQALESFMLRCPRDISPYCEGILNLALEYISYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKNILMAFVIIVLIVVKFQALSGPILSAIGDRYYKVTAEALRVCGELVRVLRPNFEARTLDYRPYIGPIYKAILARLANQDQDQEVKECAISCMSLVVFTFGDGLQRELPACLPILVDRMGNEITRLTAVKAFAVIAKSPLRIDLSCVLDHVISELTAFLRKANRALRQATLGTLNSLVVAYGGQIGSSSYETIIAELSTLISDMDLHMTALALELCCTIMVDRKSIQNVGLAVRYKVLPQALILIRSALLQGQALQALQRFFASLVQSANTSFDTLLDSLISTAKPSQSGGLAKQALSSIAQCVAVLCLAAGDQKCASTIEMLKGILKDDSATNSAKQHMALLCLGEIGRRKDLSNHAQIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILNQIDNQQKKQYLLLHSLKEVIARQSVDHTGQSELQDSNIEKILALLFNHCESEEEGVRNVVAECLGKIALIEPRKLIPALKERTSSPAANTRATVAIAIKYSIVERPGKIDEIMYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPNLIKGLLPELLPLLYDQTVVKQELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPIEKTINHKPKGDAVKQEVDRNEDMIRSALRAIAALSRISGNDYSMRFKNLMNKIMASPPLADKYNSVRSE >ORGLA02G0047300.1 pep chromosome:AGI1.1:2:3341170:3341541:1 gene:ORGLA02G0047300 transcript:ORGLA02G0047300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKRLLKRLSRVADDSPAAAAYQQLRPKQAAAAAGGKVPQGHVPVCVGEEGGPVERFAVRAELLGSPAFAALLRRAAQEYGYGHPGALRIPCPVADFRRLLLRLSAAAAADDEGEYPSSYDC >ORGLA02G0047200.1 pep chromosome:AGI1.1:2:3321454:3327801:-1 gene:ORGLA02G0047200 transcript:ORGLA02G0047200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] TYLLTQLLLNLQALDGYGVINGPDYRAGGSYNGRRFVDDGFPGDSYGRGAFYQDTHDRNVYPPAPSVPMLSQPRRFHDDEYSTARDYRRNKRIGSRDRAEFHGDFEDRYRSSHQSREDSYERDRDYDRYSYDSDYEKSRRDGSWRRRDLCESEHERRGLSHERDRSPYMQHSRSRSRGRDERSRSRSRSRSPRGKSRGRNQRDGFYDDNSFGRRREYDWDERRHGDLVAPSATVVVKGLSQKTNEDDLNQILAEWGPLRSVRVIKERSSGMSRGFAFIDFPTVEAARRMMEGVGDNGLLIDGRKVFFQYSSKPTSGMSGPSHGEENFTRYNYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDSLPADPTSSTPLHPKRGSELGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFIHFHSVEEATKALEATNGITLEKNGQVLRVTYAKSTHGPVSGASQSNSLAAAAIEAASFSQQYDAIGWAPKEYNPDDKLNSNSEPQSSGSAPQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDGNAGVWYSYDQQTQQYVPCSEQNSSKAAGDMANTSTKTSESSGKNVVISAPAATIKQSEKTSLPEAVQAAASAALAAEKKEKERAKEIKLASKGSLLANKKKMNNVLAMWKQRNQEGQAGRAILDDKEPSNSADDKLNNLHNSTGFAVKAKPKSDVGNAKDMNSPASYNSLGRTAAPAEMIDSDIKPTPVSNSLGTTIMGVIRGSGRGIVRSDTAFHAPSDAGGADSFSNIQTSTCGLTANAGAPTSAPFKTELSALASYTPSGVSGSGKRRFSEAPGHSQYRDRAAERRNLYGSSSSLGSDNDGLDPTGEYPRRGPSEMGSMPFPPGVGERSSGEIGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKTGSGIKEPVQAKSVDVRAGLGSQQRKSSDPSLEAQAGDSYKTIIQKKAMARFREMS >ORGLA02G0047100.1 pep chromosome:AGI1.1:2:3317343:3319901:1 gene:ORGLA02G0047100 transcript:ORGLA02G0047100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:I1NXL5] MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYSGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPNGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEEEDYGDEEEDEVAA >ORGLA02G0047000.1 pep chromosome:AGI1.1:2:3312610:3315150:-1 gene:ORGLA02G0047000 transcript:ORGLA02G0047000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20770) TAIR;Acc:AT4G20770] MASAAAQLAGVVEACIKRSPKPSRAHAKAAHARALAAGLAADTFLANRLVELYSRAGLPRHALLAFRALPSPNDYSYNAALSAACRAGDLDAARDLLGGMPRRNAVSWNTVISALARSPGDGGEAVEMYGRMRAEGLLPTHFTLASVLSACGGLAALGDGRRCHGVAVKVGLDANQFVENALLGMYTKCGSVGDAVRLFDGMARPNEVSFTAMMGGLAQTGSIDDALRLFARMCRSGVPVDPVSVSSVLGACAQACATDYSVARAFRLGQAIHALVVRKGFGSDQHVGNSLIDMYTKCVEMDEAVKVFESLPSVTIVSWNILITGFGQEGSCAKAVEVLSLMQEAGFEPNEVTYSNLLASCIKARDVHSARAMFDKISRPSVTTWNTLLSGYCQEEQHQDTIELFRRMQHQNVQPDRTTLAVILSSCSKLGILDFGRQVHSASVRFLLHNDMFVASGLVDMYSKCGQIGIARSIFNKMTERDVVCWNSIISGLTIHSLNKEVFDFFKQMRENGIMPTESSYASMINSCSRLSSIPHGRQIHAQVMKDGYDQNVYVGSALIDMYAKCGNMDDARLFFDTMMMKNIVAWNEMIHGYAQNGLGDKAVELFEYMLTTEQKPDAVTFISVLTGCSHSGLVDKAMAFFNSMENSYGIIPLAEHYTCLIDALGRAGCFVEVEALIHKMPCKDDPIIWEVLLAACVVHHNAELGKCAAEHLFRIDPKNPSPYVLLSNIYASLGRHGDASAVRALMSNRGVVKGRGYSWIDQKDGVRAFMVADDLGADGGELTMFSNEDSIPQLCHFGAICLRAFEDSFL >ORGLA02G0046900.1 pep chromosome:AGI1.1:2:3309132:3311746:1 gene:ORGLA02G0046900 transcript:ORGLA02G0046900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDSVVSAQWLHEHLGQPDVKVLDASWYMPVEKRDPLQEYQVAHIPGALFFDIDGIVDLTTDLPHMLPSQEAFAAAVSALDIKNHDKVIVYDGKGFFSAPRVWWMFRVYGHNKIWVLDGGLPQWRTSGFVLESSTPGDAVQKTKAANSVVERIYNGQLASDVTFQTEFQPHIFWTLEKVKHNMDAQSHQVVDARSKGRFDGVAPEPREGVRSGHIPGTKCVPFPEMFDDAPILLPADEIRKKFEQAGISLDRPIVVTCGSGVTACILALGLYRIGKQDIPVYDGSWTEWEALPDHDYPKVTSNGS >ORGLA02G0046800.1 pep chromosome:AGI1.1:2:3305181:3307844:1 gene:ORGLA02G0046800 transcript:ORGLA02G0046800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAHRRGSAGGITLVVSGKSTEDQHLLAAAGGALVLGEGDSVEDITVSLDSGAGAGAGFDAGAYMAALRASRFGRWMLWSPRLASTHDLVTQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMEDARKLPLMQYVVCLAMTEAIKELCCAKGLSELDVKIKWPNDLYLKGLKVGGILCTSSYQPKVYNICTGIGLNVDNEEPTTCLNAALKEMNANLPTLKREDILASFFNKFEVLFEIFTNEGFQALEEQYYNSWLHSGQKVVVQDGPEAQHADSVVTIQGLTPAGYLYAIGEDGKSYELHPDGNRVSAKEDGNVVLHEYIHR >ORGLA02G0046700.1 pep chromosome:AGI1.1:2:3295436:3296302:1 gene:ORGLA02G0046700 transcript:ORGLA02G0046700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIGMASPAESPGGGGGGGAARPSRYESQKRRDWQTFGQYLRNHRPPLELSRCSGAHVLEFLRYLDQFGKTKVHAHGCPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEEHGGRPESNPFGARAVRLYLRDIRDTQSKARGIAYEKKRRKRAAASHTKQKQQQQLVEQAVAPPAAAAALPDMETTTTTTTVPHFFEPLELITDGFFEPIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA02G0046600.1 pep chromosome:AGI1.1:2:3287975:3288358:-1 gene:ORGLA02G0046600 transcript:ORGLA02G0046600.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACKCRSRCKERKSGTREHAAVGAVVHEVMGQWHGEAAGRGEEQQQQQQRQSDQLIAALHAYKDFRVTGRTHATLPCNASRSDYYRLFSSPLDQNSELEIRSDTLGIVRLSDRCFVVASGSKLLFYSQY >ORGLA02G0046500.1 pep chromosome:AGI1.1:2:3266240:3266749:1 gene:ORGLA02G0046500 transcript:ORGLA02G0046500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAGDGHGVEVDDVEVGRSCVAVAPPPPPAEEEEKKEGAVGTTSSTAALAVAAAAAVELTNLFFFLHGAGDGRAVAPRRRGRRRCCEMGVAPVELVEAATVILALAAFLSAAGLLLLRHAAGDLGGHHRIVSPACSTAVLVASTAALFLASLGTVVLLLNDYQTVMY >ORGLA02G0046400.1 pep chromosome:AGI1.1:2:3264059:3264757:-1 gene:ORGLA02G0046400 transcript:ORGLA02G0046400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAQNGAAAAPPPADHAIAAAVPAPPPTDPRDQMVIMLQQLGMGNLVCKLRKQVDASDAKPNQSRLQLTYQLARGLLESGDLTDGEKRLIHGGGGDNDLSKKKKKSSGLKLDGYDRHGRRYGPMRFGRVGSVDGKNGNGLYRLKSFGRFVAANGLRAGHTVVAWVFRLPPPPPPEEEEEEEEDAPARLAVMLLDYPSCDPEMVEAMVEWEDEASKKFGAASGIVKISNAGN >ORGLA02G0046300.1 pep chromosome:AGI1.1:2:3245767:3246066:1 gene:ORGLA02G0046300 transcript:ORGLA02G0046300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDHYDGGHLPELGGGAPFLFRSRTIGVGAIFLMWGASAIVLGAVPEPAIPIAHMLLSFAFLMAGVALLTLSVAAPRCAMAARAAATLENWLTALI >ORGLA02G0046200.1 pep chromosome:AGI1.1:2:3238489:3239700:1 gene:ORGLA02G0046200 transcript:ORGLA02G0046200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASTMPSPPPPAVSFGWLAPTRVSPPPALPAVAVAAEQAVSKEFIDFEFSLGGSATMLPADELFADGKLLPLRPHPVAAEKAVAMEAEKAVPELVKAVRPAVAVAAEVFDPYVFSPKAPTCSSRWRELLRLKKVQTPTKPSASTSASPSTATAATPSRSSNSSAARSLKLLLLQRNGGRASGAAASDLSVAPLLRDSSDSEASLSLASSRFSLSSSSSSSCHEHDDFPRHSLDSVDPTPRPRLRLVRSQPQPHPPAAAAAAVAKPRAAIQSPARRRPSPPPPPPPQVVSVDSPRMNSSGKIVFQGLERSSSSPAGSVHSSLRSRSRVMDRSYSAGVRAATPVVLNVPVCSRPVFGFFKDRKDAAAKDSSASRPRSALGRKTAPHGGNGGGASRDLGTSN >ORGLA02G0046100.1 pep chromosome:AGI1.1:2:3220389:3227150:-1 gene:ORGLA02G0046100 transcript:ORGLA02G0046100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEARLPPGFRFHPRDDELVVDYLSGKLRSGDGGAASGGGGAGAGCPTPTLIDVDLNKCEPWDLPEIACIGGKEWYFYNLKDRKYARGQRTNRATESGYWKATGKDREITRKGSLVGMRKTLVFYRGRAPKGERTDWVMHEFRQELDHANHHHHLKVLAHRFRFQFALDCIISHSHASWQLDYMQEGWVLCRVFYKSRTEAVAAPTMESTLPPRYINGGTSRSPLPPLVDSSISFNHGGYEEVLPCFSSSHHQQPSPASMNASAAAADDQDYHHLSEGQRHYSGKKMMRDVQNDQVTTRFDGHLAVKREMSLKKKDLSEDEQAAPNADAGGFSILLKYSVSKMTSLMKPMQRNISTLQESLNQKKEAILEKVEIFTKIELLLPSRLGSTVFQLCLEHFIKNHKVGISWDGIWELSNWEVADNEVVLKMVGQCSAPADSKSKDLKRLFDLLRPYYEQEGKDPHLFFEHLKFDFTDVLKTIVTCAKWEWFWKYLLNHVFVMPPTGNTY >ORGLA02G0046000.1 pep chromosome:AGI1.1:2:3190182:3192726:1 gene:ORGLA02G0046000 transcript:ORGLA02G0046000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFCIFGKRRATRQQSSQHNDDPSGDMNITKFTYKELSRVTENFSPSNKIGEGGFGSVYKGKLRNGKLVAVKVLSLESRQGAKEFLNELMAISNVSHENLVKLYGYCVEGNQRILVYNYLENNSLAQTLLGYGHSNIQFNWATRVNICVGIARGLTYLHEVVNPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPDASHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTNTRLPYEDQILLERFPEITNGVLLLQTWVHYEEGDLEKIIDASLGDDLDVAQACMFLKIGLLCTQDVTKHRPTMSMVVRMLTGEMDVELAKISKPAIISDFMDLKVRSMRKEVDIVSSSTSTLLSSIMAHSSPLLSQETTEASMTFTAISDRE >ORGLA02G0045900.1 pep chromosome:AGI1.1:2:3180729:3184966:-1 gene:ORGLA02G0045900 transcript:ORGLA02G0045900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGGAAAAAAAAESSNRAGEDAKSDGVSCQNSRRPNLSLQIPNRAFDDTLPTSTRIKISPSPNSARSGLPPRPNSTRTKSSIRGIIPQRSFKAKSSLQDGDQTILLIPDTPSSSGQQVKATTSRSFSFTKVINSLSAKRTHSLPVTPVAASGPSSHEGHADNLPSTVKNEVETQIRRSLSAPGNHDSKDLRRTASSGLIRVIPTTPRPVPVETVASNDGIEEAVDAPEDGGEDIPEEDAVCRICLVELNEGGETLKLECSCKGELALAHQECAIKWFSIKGNKTCDVCRQEVQNLPVTLLRIQIRTVNRQPRNGVQQRAAHPHRFWKETPVLVMVSTLAYFCFLEQLLVADMKSRALAISLPFSCLLGIFSSILASTMATDNYLWAFATFQFAFLILFAHIFYNLLKMGAVLAILLASFTGFGIAISLNAMLIEYLRWRLLRNRRLTQRRNHRHGQSGNNASNENTASNARQQGSGSDQQPAEHG >ORGLA02G0045800.1 pep chromosome:AGI1.1:2:3172520:3177455:1 gene:ORGLA02G0045800 transcript:ORGLA02G0045800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1NXK2] MKLSPSAGGVSDQPPSPPEVAEEQKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPFLPAELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELMAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDSNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWTNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLYGGKEDDLASSLMWLRDSQNTGFQSLNFGGLGMSPWMQPRLDSSLLGLQPDMYQTIAAAAALQNTTKQVSPAMLQFQQPQNIVGRSSLLSSQILQQAQPQFQQMYHQNINGNSIQGHSQPEYLQQPLQHCQSFNEQKPQLQPQQQQQESHQQQPQHQQMQQQKHLSNFQTVPNALSVFSQLSSTPQSTPSTLQTVSPFSQQHNFPDTNISCLSPSNVSSMHDTLRSFPSEAASDLPGVPRITPVPVSDPWSSKRVAVESTITSRPHDISSQIENFDLTPSSIPQNSTLAPLPGRECLVDQDGSSDPQNHFLFGVNIDSQSLLMQDGIPSLHNENSSSTIPYSTSNFLSPSQDDYPLSQTLTTPGCLDESGYVPCSDNADQVKRPPATFVKVYKSGTVGRLLDITRFSSYHELRSEVGRLFGLEGQLEDPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKPGIELFSTSARRLGNSCDNYMSRQESRSLSTGIASVGSVEF >ORGLA02G0045700.1 pep chromosome:AGI1.1:2:3156071:3158520:1 gene:ORGLA02G0045700 transcript:ORGLA02G0045700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OTU-like cysteine protease family protein [Source:Projected from Arabidopsis thaliana (AT1G50670) TAIR;Acc:AT1G50670] MEGVVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQVIAAAVASDPAKYSEVFLGKPNEAYCAWILDSEKWGGAIELSILSEYYGREIAAYDIQTTRCDLYGQEKNYTEQAMLIYDGLHYDALAMSPFEGAPEEFDQTIFPVDHKRSIGPVEGLALNLVKDEQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK >ORGLA02G0045600.1 pep chromosome:AGI1.1:2:3150460:3151890:1 gene:ORGLA02G0045600 transcript:ORGLA02G0045600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSPPHAAALPAWAAENALFRRHRRLRPLLLPAASLRAFAPLLSHCIVSGLARNPFVASRLLVASSRLSLRFSLLLLSHLPASSLSPFSFNSLIRASPPRLALQLFDQMRHRGVTTDRYTLPFLIHACSSGDRPLCESLHGQSLRLGYSSVLFTQTALMNAYFACRFEVAARRVFDEMQAKDVVAWTGMVSGYVDSGMFLRGVEVFQEMRSCEEAVRPNVATVVSVASACAGLGSLEYAKGLHAYVEKVGLEGELIVKNSLIDMYGKCGSIELARGLFGLMPQKDLHSWTAMISGLASHGHGKEAVALFFSMKEAGVLPDSTTFVVVLSACSHAGLVDEGISIFNSMENEYKVTPDIKHYGCMVDLFSRAGLIARGYQFIESMPFEPNLAILGALLSACSINNELDIGELVIKRIESVCSDKGGAGVLLSNIYANQNLWHEVDSLRRKIRDDTICRKPPGQSVITSDVSFMAS >ORGLA02G0045500.1 pep chromosome:AGI1.1:2:3143956:3144816:1 gene:ORGLA02G0045500 transcript:ORGLA02G0045500.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGLVGGDDEGGGGEGRRRKRTRGRQRIEMKLIENKEARQVCFSKRREGVFKKASELSVLCGARVAVVFFSPAGRPHCFGHPSVPAVADRFLLGRSPAVAAAAAAEEEEAVVREFNRVEERLKDALGAAARRRDALDEAARVAGVWNDADVRRAGLADLVSMLAALQRVQAEASERVHDIIVEEAMTHYTGAAAAAANLIDYLDAGPFVSHSPGSHDTTTKLIGGNAVHAPPLSFPPMIMPPPLPPQFIHGFGYTDLAAGYGYNLDHGHGAAYETEEFHNAAACDFF >ORGLA02G0045400.1 pep chromosome:AGI1.1:2:3142784:3143164:1 gene:ORGLA02G0045400 transcript:ORGLA02G0045400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRLHRAAPREEERMHRFLRPGALARLRDSRIVARSLRSAAAATRLLPTSLPPAPTPPQVVAEQQQGGAPHFVVPARGLGGAGRYPLRRRMCAARSVVFLPPPAADAFFAAFAAAPPPPADLVS >ORGLA02G0045300.1 pep chromosome:AGI1.1:2:3138515:3141679:1 gene:ORGLA02G0045300 transcript:ORGLA02G0045300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NXJ7] MSWRKGGGGDGGVSRRWAVLLCLGSFCLGLLFTNRMWTLPEANEIARPNGNGDEGNTLVAAECGPKKVQHPDYKDILRVQDTHHGVQTLDKTIASLETELSAARSLQESLLNGSPVAEEFKLSESIGRRKYLMVIGINTAFSSRKRRDSIRYTWMPQGEKRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDRKHGDFMRIDHVEGYLALSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSNHALKPRVYIGCMKSGPVLTEKGVRYYEPEHWKFGEPGNKYFRHATGQLYAISKDLATYISINRHVLHKYINEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWRCSGICNSEGRIWEVHNKCAEGEKALWNATF >ORGLA02G0045200.1 pep chromosome:AGI1.1:2:3123617:3128285:-1 gene:ORGLA02G0045200 transcript:ORGLA02G0045200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKMPRRTIDISSEESEGNSINFESDTCTGSDEDYHTDEGIKKKGAHNETKLDKMLYALFRKDVIQKMKKELVSTSKNVRHKPKIQKGKAASKTDTSFIRFSAKYFAEVVSKLPEEKKIVIKNFGFHSLLLFDSSFIPNTFATWIVKKVDLKTSEIVLGDKVILVNKKAVHAILDLPIGGSEFGKNYEHGRQFILSKFGKIVMPSVKFFGDQLINNEDMTDDSIIISFLIVALATFLCPNSSTCPSIKYLDIFEDVDMLYSFDWSSFVYDWLMSYVKKFQKTNSFGGCLYIWAVLYLDHRDFGSKNVPKDVPHIAVWKQDMVKIYSDLDEIDDENYGPRPLKDFSDTCYYEPVHVKNSESFRNKLDTAIGDNLPANLKDSISDLMDSHCSANHAAKNNSCEDVLISALQILVDASASHFVRAAYNNASAENRTDTPTENAIPKSCGKDYPSSDRNADIDIDANLDKGHGEHLTNDLADNNVIDNSPNDICNTTKQLTNSSHASIPCINNSRLSSSGGKVPLYGPRRIIHPAKHRSDQFVCPRRCVVVSDNAHRYYNAICSLSDSEYQDEDAVNIDNVRISFCNFGNSLKKGGDVNGFVISAFCRSLFHKNHPSKSKKNYFFPSIGDMLISHLNSKELAKIEKSFQGAAMLFFPICYLEHWFLFVVDIKDRMLVFLDSLHEKDDPYFDPIMDLMISNLQNA >ORGLA02G0045100.1 pep chromosome:AGI1.1:2:3117122:3117913:-1 gene:ORGLA02G0045100 transcript:ORGLA02G0045100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLPFPQQTSFRAKKRLELVHGDLCGPVTPATPGGRCYFLLLVDDLSRYMWVMVLGSKGEAADAIRRAQAAAEVECSRKLRVLRTDNGGKFTAAEFALYCADEGIQRHYTAPYSPQQNGIVERRNQSVVGMARALLKQRRMPAIFWGEAVVTAVYILNRSPTKALDGRTPYEAWHGRKPAVSHLRVFGCLAFAKELGHIGKLDDRSTPGVLIGYAEGSKTYHILDPETQRVRTARDVVFDEGRGWVWDKAVDDGSTPTYDDFTI >ORGLA02G0045000.1 pep chromosome:AGI1.1:2:3113285:3114538:1 gene:ORGLA02G0045000 transcript:ORGLA02G0045000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSDIGAHAQFQCMPWAGNMTLYGHTMLYDEHQMMSDSTRIGQQCTFTGLMHEIMQTPKSAINADQDYLGMSRDILHTPAGFENSMIMTSNRFPENYEQMGGEIEQGTQAICDQWASKNIEELYNINMNNQQGLSSVIKTLASRWSKKDIDELYNINNTHQVVNGSTSEVENNVSASELENNGTTSNNQDDEDSENQNTWAFESNNETAEGQ >ORGLA02G0044900.1 pep chromosome:AGI1.1:2:3110288:3112256:-1 gene:ORGLA02G0044900 transcript:ORGLA02G0044900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLRRLRSWRVSRGATSPCSTSAASMAAARTTNTLHRLLPLARAVHSSLPPSRYGDPRSPPQARRPSGEVELSKLEKTPSDRFDEIEAAIHANLVNDIEAYKSSLMADHGFFERMLTSMGIKKSYTRDQTLWLCKLILIFFASGSVGIGFAKIDDRLKQAPSNAL >ORGLA02G0044800.1 pep chromosome:AGI1.1:2:3101474:3104396:-1 gene:ORGLA02G0044800 transcript:ORGLA02G0044800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFARSFLGCGGKAGRAGARRPRALSSEVRGGDLSQRKPAPGVHEAGSGCVHKDPYPPLSEAATKLNALLDEIKGKKLNSVPLVMVGKTISNFEIVWREVHFKNIVDHGPLPPFFLVATSLAIVWKRRKPGKRGNL >ORGLA02G0044700.1 pep chromosome:AGI1.1:2:3096762:3098831:-1 gene:ORGLA02G0044700 transcript:ORGLA02G0044700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRRSSITHHATSAVASAAGAHRAFSPAMGGGIRPDLTNTEIDKLLLQKSAFNKAGIFSARGKITHANVEKGLVLSECLKILRREGEQQSWAKLWCKQIAAVVIFGVLFRSQEPEKHEPNASS >ORGLA02G0044600.1 pep chromosome:AGI1.1:2:3092304:3096115:1 gene:ORGLA02G0044600 transcript:ORGLA02G0044600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFSRARGAVLRVLDGLKRRPPASGAADTMSPVRKIQMLEEELRPSGGEISRILQRARAHLERQEEKFDPSSQTPELFRNGAGWQLNTFFLCLFSSIIANYKYNKVCSVD >ORGLA02G0044500.1 pep chromosome:AGI1.1:2:3089095:3089762:1 gene:ORGLA02G0044500 transcript:ORGLA02G0044500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVSALRRALSSSSSAAKTSSSLRRLLPAAPLRRGVLSSQPRPSRRKDSIELSRSEEGRRLAGRFDEIEDAVHAILVRDIESYRASTMADQGFVERRLTSLGFTKGYTRDQALWLSKLVLAFFSSWVVGTGFAKIDDSLHQNL >ORGLA02G0044400.1 pep chromosome:AGI1.1:2:3077392:3077787:-1 gene:ORGLA02G0044400 transcript:ORGLA02G0044400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRAENKMASNISRIRQDVSVIKSMIRRGIKLEGEKKTLNTSGKSVLVESLGLISGLQEVVATFKVIDHINNPADGRSFVRRERFFKSKSHMVMSAAISYSVFYLARKTKSVSEVLNYDYGEFLHQMC >ORGLA02G0044300.1 pep chromosome:AGI1.1:2:3067124:3076712:-1 gene:ORGLA02G0044300 transcript:ORGLA02G0044300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLLRKSAAASSGVGGGGGGGGGGGDGGGGGGGGAGGSSSSAPDRLAAAPSPRVRFSDSGVEGVLNMLWQKYENAIDKAEKKESLQIFIMHFVQAFKEWELQYTEQSVDQEPISDDTVLGCSRGHPSEIILILVQEVSQITSFITESNSCSESSPNISEQSSDLMLSSEGLNILECLTIVTRSVHNCRVFSYYGGVQKVTALLKAAVVKLKTLTSLLAADEQLSNKAIDNMKMMQKILVHIVTIISNFMNLEPTATRLTQFINTTGKTLSNEFLATVTPISAKSAVHDTNWQQKAIVAVMEAGGVNWLVELLRVIRRLNLKEQWTDVLLHFITLHALRSTISQHARAQNHFRSIGGLEVLLDGLGLPSSKLSVSKYSFVPSDERSGILQLQILSLEILREAVYPDAHIFFSKRIFGNVNNLQFLCENGRIHKFANSICWPAFMLQGFHQQKALDSRVQNCKLEESTGISLTSESFASPIDILDTTEWTEYSVKLSIALCSFLLPPNEIRNSSGAVDSQVSLSISVAYCEQCARWIIKVLSTVFPCIKACASESELPNHTRILANTLQHYILCTFRKVLISVPALLKSFRAEGLWSLIFSDKFFYFGSSMEYIHHIVCDTQNNHFIDATESAGSKGLNQADVNILQAEAISFLEFAATINENTNNLPECSALLDVLERCTYDPGLAGTILKSFHVILQLATEQTISSFKSLDALTRVLKVACLQAQHLRKLSHPGDGLSGNVFQSENVQMSSSDEKIKSTIACVELAFNLFKEYTTISELGRILVLHNANCIECLFDLFQEENLRKNVLEQVLDLFRLPSASAQDHTAKLQLCSKYLEAFTRAKEKEDFAELSIDLLVNMREIIMIDRMYYQNLFRNGECFLHIVSLLNGTFDEAVGEQLVLNVLQTLTVLLAENDVSKASFRMLVGVGYQTLQSLLLDFCKWLPSQKLLDAILGMLVDGTFDKNEETTIKNEDVIILFLNVLQKSSTALQHYGLVVLQQLLKGSITNRSSCFKAGFLSFLLDWFSVEETDDTVIKIAELIQIIGGHSICGKDIRKIFALLRDEKIGVKQKRNSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNKGLSFCCWLRVESFLENSMMGLFSFFTESGKGCLAMLGKDALIFEIRYPRICHIQS >ORGLA02G0044200.1 pep chromosome:AGI1.1:2:3054738:3059955:-1 gene:ORGLA02G0044200 transcript:ORGLA02G0044200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAFQAADEVKYMKDAKADRLRQLQELHSKIDESLIEDIEQLQSFEDDIQFAKSAAISVDDSRKAALQLAFDEDQQIIADKWIHIFRALSEERGPWSANPFPNSTVTYWKLDKTEDKWRRRLKLKRNYKFDERLCQPPSKSSNESNASSVNPSVSAKIPEKMKHLLLKGVRGITGDVSSEPCEDNNDMSEPSQNTLSETQGSSDAADSSGYSTSVQNRKEPVSTGGDDDYAAILSSVQCVLVTPKRKLAGQLTITQKALHFSFEFLVEGTGGTSVFNRYQEKDSDPKNDLGGAEKLKGSLDGGRGNATESGDALMKNTSNNIKHHRRWKISRIKAVHWTRYLLQYTATEIFFDDANAPVFLNFSSQNDAKNIGSLLVSLRNDALFPKGSTKDKNSVISFVDRKVALEMAESARESWRRREMSNFEYLMILNTLAGRSYNDLTQYPIFPWILSDYSSEKIDFNKSSSFRDLSKPVGALDAKRFKVFEERYLNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFFYMPEFLENSNSYHLGVKQDGEPLGNVGLPPWAKGCPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGKPAVEAANIFYYLTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPCSSSSSSPVLFVSLLDSNIVVMNEGLVLSVKLWLTTQLQSGGNFTFSGSLEPFFGIGSDVISPRKISTSLAENVDFGRQCLTAVQIHGDNYLILCGNWENSFQIISLGDGRIVQSVRQHKDVVSCVAVSSDGSVIATGSYDTTVMIWHAFRGKSADKKSRNANYDLSTKDHVIIESPYHILCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGKYVRSIRHPSGAGLSKLVASQHGRLVFYSDSDLSLHMYSINGKHIASSEANGRLNCMELSCCGEFVVCAGDHGQIVLRSMHSLDVVWRYEGAGKTITSLVVTPEECFLAGTKDGSLIVFSIENPLIRKGTVQRNKIKSSVGG >ORGLA02G0044100.1 pep chromosome:AGI1.1:2:3052679:3053113:1 gene:ORGLA02G0044100 transcript:ORGLA02G0044100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQAAARIMTEVAPPQLVSVMRRRKQVARSLDTIAEDDRELMHQAPYAGDGHHHGVKKQAAATSSASTFATPTLAFERQPPPAPAPASGFMRGLSKWFSNNGVHGQEGWPEISREGHRRAIYSQQAHIRGRATGLNSSSLTS >ORGLA02G0044000.1 pep chromosome:AGI1.1:2:3049689:3051157:-1 gene:ORGLA02G0044000 transcript:ORGLA02G0044000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIGKFRVSLIQPKDIEIVICFIYAVDSVCSRLIMLQSSLDIVMIVCLILFAEDVTPVPTDSTRRKGGRRGRRL >ORGLA02G0043900.1 pep chromosome:AGI1.1:2:3047585:3048688:1 gene:ORGLA02G0043900 transcript:ORGLA02G0043900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASSCSVLVVACSFVVLHVVAMAGATQYKVGGDGGWGVPGAGDEPYNTWAEKTSFQVGDQLLFVYPKDKDSVLVVEPADYNACNTASYDSKFADGNTAVTLDRAGAFFFISGVDANCRAGEKLIVMVANATGSSASPPSSSSSPSSPSGGGGGGGAPAGQAPPGAPATPAGTNSSPANGGAAGGGAKSGAGLTVAASGLAGSLIAAIACVAIAI >ORGLA02G0043800.1 pep chromosome:AGI1.1:2:3043913:3045083:1 gene:ORGLA02G0043800 transcript:ORGLA02G0043800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGTSGYSYGLGLACFALVVAMAGATQFKVGGGNGWSVPAANAESYNDWAEKMRFQIGDTLVFVYPKDKDSVLVVEPADYNACNTSSFDQKFADGNTVFTLDRAGAFFFISGVDANCRAGEKLIVMVLASRNGTITATAPSPPPASSTAPPPTSPAPASPPPSSPSPPPASPPSPSSSGAAAPTTPPPASSPPSTPTPASPAPSASSPPAPPSANAPSAQGARNPSATSSPPPAANGAAHAAVAASGLAAGIIGYAMLAL >ORGLA02G0043700.1 pep chromosome:AGI1.1:2:3035306:3035605:-1 gene:ORGLA02G0043700 transcript:ORGLA02G0043700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESPFLPRERLFKQQQYFQNLTKHTYLKGRYDVVTSVAIPLALAASSLFMIGRGVYNMSHGVGKKE >ORGLA02G0043600.1 pep chromosome:AGI1.1:2:3030880:3031343:-1 gene:ORGLA02G0043600 transcript:ORGLA02G0043600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQXPASLVELPMCLVVPSLCSSISCGTAVCFMNKLDLNLNMCSICSFASHSC >ORGLA02G0043500.1 pep chromosome:AGI1.1:2:3026953:3029514:-1 gene:ORGLA02G0043500 transcript:ORGLA02G0043500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NXH9] MASTRRRRAGGVPMAVIRLGVAAIVVLGAGVIGGARAQLRMGFYDESCPAAERIVGEYVRQHVGRVPTVAAALLRLHYHDCFVRGCDASILLNSTGNGGAAEKDAAPNQTLRGFDLIDRVKGLVEAACPGVVSCADVLALAARDAVAAIGGPSWRVPTGRRDGTVSSMQEALAEIPSPAMSFPELAGLFATKGLSVRDLVWLSGAHTIGIAHCSSFADRLYNGGGGAGNANGNNTDPPPLDAAYAANLRERKCRTAGDGVVEMDPGSHLTFDLGYYRAVLRHRGLLRSDAALVTDAAARADITGAVASPPEVFFQVFGRSMATLGAVQVKTGSDGEIRRNCAVVNSGH >ORGLA02G0043400.1 pep chromosome:AGI1.1:2:3019252:3026263:1 gene:ORGLA02G0043400 transcript:ORGLA02G0043400.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTAKATDDSGAETEAEALLRWKSTLIDATNSLSSWSIANSTCSWFGVTCDAAGHVTELDLLGADINGTLDALYSAAFENLTSIDLSHNNLDGAIPVNISMLHTLTVLDLSVNNLTGTIPYQLSKLPRLAHLNLGDNHLTNPEYAMFFTPMPCLEFLSLFHNHLNGTFPEFILNSTSLRMEHLDLSGNAFSGPIPDSLPEIAPNLRHLNLSYNGFHGSIPHSLSRLQKLRELYLHRNNLTRAIPEELGNLTNLEDLVLSSNRLVGSLPPSFARMQQLSFFAIDSNYINGSIPLEMFSNCTQLMIFDVSNNMLTGSIPSLISNWTHLQYLFLFNNTFTGAIPREIGNLAQLLSVDMSQNLFTGKIPLNICNASLLYLVISHNYLEGELPECLWNLKDLGYMDLSSNAFSGEVTTSSNYESSLKSLYLSNNNLSGRFPTVLKNLKNLTVLDLGHNKISGVIPSWIGESNPLLRILRLRSNLFHGSIPCQLSKLSQLQLLDLAENNFTGPVPSSFANLSSMQPETRDKFSSGETYYIDIIWKGMEYTFQERDDCVIGIDLSSNSLSGEIPSELTNLRGLQFLNMSRNVLYGGIPNDIGHLHVVESLDLSCNRLLGPIPPSISNLTGLSKLNLSNNLLSGEIPIGNQLQTLDDPSIYANNLRLCGFPLKIPCSNHSNSTSTLEGAKEHHQELETLWLYCSVTAGAVFGVWLWFGALFFCNAWRLAFFSRIDAMQQKLMQNI >ORGLA02G0043300.1 pep chromosome:AGI1.1:2:2997173:3000890:1 gene:ORGLA02G0043300 transcript:ORGLA02G0043300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKTLQASAIVAADIAESRARNDEQDPKSLIICPSTLVAHWEYEIEKYIDSSIMKPLQYIGSSQDRIILRSQFDKFNVIITSYDIIRKDIDFLENVFWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLLAAKDPKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCNLSLLQLKLYDKFSNSNAKQEISTIVKENELEQSTSQPKATRHVFQALQYLLKLCSHPLLVTGESPPDYLVDLLKEIGMGTGDELHDLHHSPKLVALQEILQECGIGSEISSPDASAAIGQHRVLIFAQHKALLDIIEKDLFQSHMRSVTYLRLDGSVEPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENASLKTMNTDQLLDLFASTPASRKASVLPSSSGGDQSKDSKGKSGGKGLKSILNGLDELWDQSQYADEYDLNQFLAKLNG >ORGLA02G0043200.1 pep chromosome:AGI1.1:2:2979202:2986549:1 gene:ORGLA02G0043200 transcript:ORGLA02G0043200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPPRRGPAYKTKLCALWQRGNCNRDTCSFAHGHGDIRRPPSSRGGAFPHHPGRRDYRGGDFRGRIDRRFSPRRRHSPGRESRGHRPLYDRRPSSRERDSSYSRSPSRKSERRHEKKTDDGETNSSRSLSLSDNNDEKKKDKFSSGDEKEDHEKQLKQIRLDMEALRDDKTQMEVILDEKIDEVRKISSKVNDLEVQLRREKDECHRMTSKMKKFIKAHARFLKAQEEVKRSQARFERLGDLLASDILKRGVNEEGSSVNEDLNERSPNTAATKKRSIPYSTSEEAKAVKKRRERDSDTMTRSDKYRSDGTDFDKTSKGTEATKSLYLKKKLWEDEKNKLGANIFTEKVKGSPVRHVLPSTGMAAHAIDDLNEAIELEDRHESIDALLENDADDKTRSPAIPLQPPPVVQNAYEQYEGDDEEVDVE >ORGLA02G0043100.1 pep chromosome:AGI1.1:2:2973416:2977159:1 gene:ORGLA02G0043100 transcript:ORGLA02G0043100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:I1NXH5] MAMKRVMFLLLLVAASALVESSRGGGGGEEKLGKFYGWRRHLSSGPAASSLVLSGDLVDKIWSVCLQDIVSPEDTFGFGESFAWDELSSHSTEDELKATLFMELMALLPPEKSSFTYDCIRANCFSLGVPQIFSVALSNYLESQKSSVGSNFYPRRRLVDKLIGDAPSMAPAFAPSMSSGGEVHSPLSVAEAPLTPSNSLNMEPPSPYYPSKSAHKHQGVAPPVSPSEEHHDYMKVVLIAVLPTAALSFLAAFLCFYCCGCNKSKVSVGEQRDDHPLLHLQFSNLPGSSPDVHVPASPLHKDDHGVRPSNAGVSMSKCFPCCFKTSSDATTPTRVTGGTQENNATSDAPKLMPPPPPPPPPPPPPPPPPPPPPRPPPPPPPIKKGAPPPAPPKATMARFPKLSPTESSHSEESSASELASESSETEVNAPRAKLRPFYWDKVLANPDQSMAWHDIKFGSFHVNEEMIEELFGYGAGNQNNVKDKEISIADTSPQHVSLLDVKKSCNLAVVFKAMNVRAEEIHDALVEGNELPRLLLETILRMKPTDEEEQKLRLYNGDCSQLGLAEQVMKALIDIPFAFERIRALLFMSSLQEDASSLRESFLQLEAACGELKHRLFLKLLEAILKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVREARLAMENGRSPPFPSTSDDNSNESLQEDGNYYSNLGLKIVSGLSNELDNVKRVAALDADALSTSVANLRHELLRAKEFLNSDMASLEENSGFHRSLESFIEHAETETNFLLKEDKRLRMLVKRTIRYFHGNDEKDDGFRLFVIVRDFLVMLDKACKEVGASQKKAANKSQANGNSNNPSSQSNPQEQQFPDVLDHHFDSSDSND >ORGLA02G0043000.1 pep chromosome:AGI1.1:2:2965604:2967085:-1 gene:ORGLA02G0043000 transcript:ORGLA02G0043000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NXH4] MDERRTILMDRYEIGRQLGQGNFAKVYYARNLTSGQAVAIKMIDKEKVTRVGLMVQIKREISIMRLVKHPNILQLFEVMASKSKIYFVLEYAKGGELFKKISKGKFSEDVARRYFHQLISGIDYCHSRGVYHRDLKPENLLLDENESLKVSDFGLSALSESKRHDGLLHTTCGTPAYVAPEVLSRRGYDGAKADIWSCGVILFVLVSGYLPFHDTNLIEMYRKIAKAEYKCPRSFSAELKDLLYKILDPDPSTRISIPKIKRSAWYRKSSDVNALKSKHETGDKVYKGEATTSDTTECSIFEGNRASSRDKVYTNGEATTSDSPECSNSDGKQASLSLPNLNAFDIISLSTGFDLSNLFEERYGRREERFTTRQPAAAIFAKLNELARRFKLKIKKKENGVLRLVAPKEGIKGLLELDAEVFELAPSFHLVEFKKSNGDTIEYQKLMKEDIRPALKDIVWAWQGGQHQQPEQSMQGMQGEQQPSRLPSQQPQG >ORGLA02G0042900.1 pep chromosome:AGI1.1:2:2961521:2961790:1 gene:ORGLA02G0042900 transcript:ORGLA02G0042900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICGAKAAAPSLVVAASMGAVEALKDQAGLCRWDYALRSLYLRAAAASSPRLRSPLSNTAAAAARPPAEEAADVRLRKVHHLVCWGPN >ORGLA02G0042800.1 pep chromosome:AGI1.1:2:2948308:2950571:1 gene:ORGLA02G0042800 transcript:ORGLA02G0042800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVIVHHHTRYKTYPGEVTGFVFFCCLIASVGGCIFGYDIGLTAGLTSTESFLAMFFPVIFEQQQERVITNQYCKFDSQVLTLFGSSLFLSAMVAGIFASPMSRAFGRKWTLFVAAVAYLIGAILGAISFNFIVLLTGRLLLGVGVGLCIHASPLYISEMAPAQQRGMLNILFQLMITVGILSASLTTYWTSKIAGGWGWRVGLAFGTVPAAVIALGSLAIPDTPVSLIARGEGEAARATLAKIRGVDDVRAEFEDLTTASEESKAVAHPWRELFFGGRYKPQLAFAVLIPFFQQLTGINVIMFYAPVLFKTVGFRQDASLVSSVITGLVNVFSTFVAVMTADKVGRRALFLQGGTQMIISQILVGTFIGLQFGVSGTGAMSEQYAMCIVLFVCVYVAGFAWSWGPMGWLIPSEVYPLAVRSAAQSVTVAVNMFFTAFISQIFLTLLCHLRFGLFYFFGAWVLLMTVFIATLLPETKCVPLEEVAHVWRKHWFWRKFIVDSPDRGGAEMRKRIALEMS >ORGLA02G0042700.1 pep chromosome:AGI1.1:2:2945851:2947560:1 gene:ORGLA02G0042700 transcript:ORGLA02G0042700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSECSEKLQILKASASASMAYSIVQFPVKWQSIKYKLQQLCSNLNAPGDDGSCNENVILVQFLQTATATVSHIQAIASQCSDESYNGGRLRLRSDLDSISSKLDIHLKDLKEMVSSRISVLSQAVIATRPAIGASLSNKRFYINDLFLRVRIGDLAQRKQALVTIGELLSEDVEYVKIVALDIDNSITLLISFLESGDACIQEQAARIVSLIAGYDSYRGMLVKAGVVAPLVQLLDSPSCTSTTVSSRERAAHALRELTSNSDNVWAVCAQGGVTVLLIVCANVDSRGKLVSSAFAVLKNLSRVEEVKMFMVEQGAVMELVKLSRQKEEERKVGSVELLHYMALADANVRQAAISMGMIQSLTQLMNPDLPYSSKAREVALSAIAFFCLPSKALTDDLISSNFLSWLQTYLNNVDYAVLECTLHILVRLTRISEEYSKMVGRAGFMTALVSSLGAKSCQVREMHGDGKTMAKDLAISCLVSLAETSAGRKKIISSQHFVSLKGLADSGDLPAKKIVKKLCANKLQSILTRIRIT >ORGLA02G0042600.1 pep chromosome:AGI1.1:2:2937288:2938944:1 gene:ORGLA02G0042600 transcript:ORGLA02G0042600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDQEGRRQRRRGWGEEEEDRISDLPDALRLQILSLLPLKSAIRTGALSSRWRGLWEQRWPDPSSLDVRLPSGGGAASASVAALRAEHLAGIDRRGRRRMDLLSLAFHAGQLAPPELKRFIEYAAACDVEEVRLRLDGGGGRGARGGTRRPGALAVHFPIGSKLLARLSVRGLHLTASANAMVATLEVIHFHSVSLTDAALRRVVSACPRLRELELRYCRHLRRIDFTVGASNLKSLTVVDCSRATELRVPSAPRLRSFRFSGAFLCSNIFGSTADCVEHLYLCSGGPETGLPRTNLPSAVPRLSNLTVLTLCSIALQYVSASVATPNVEKSLNSLRELQLLMFGMANSNLADIYSFLKACRCPQLERLFVQLPTNTYDSFTTNYLEVAEEEPPEGGLENLRLVKMTNFKGYRNELRLVDFLLRKASRLNKLFLIAPKEVHPQGLRKVHSEALPHFLKTDVLHLERASAIAQIIFDESVSPQILPLHSEVFVRI >ORGLA02G0042500.1 pep chromosome:AGI1.1:2:2931453:2933677:1 gene:ORGLA02G0042500 transcript:ORGLA02G0042500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPMTRRSCRRRARRDRSMATTGAQPVASAASNPPSFLLPVVVTNGPLGASSSSCLTERRGILGKSSAKKVMLNPMMGRSSGEGGGGGGGGDNVLIDDNVLDSLPSPPLPMRPTPRCRRHPSRPQRHVIVEFSGPWTLQPWSSSHLKEEEEAKDKTTTLVEELEGKAAMAEARLRQKEEENAELKRKFEGYHVRWLQHEIRLSSLKETIDEQMISLQVAQECVEKRSREMLSLYDRQESSESHVKMSKETSARLPHSSRHYAGIARGTCTEFRQQSQALVESREPWQPSTQGGNSIDDLEKLKSKFCMWKKDYKARLRKAMAAELDLEGRHRSICWI >ORGLA02G0042400.1 pep chromosome:AGI1.1:2:2919190:2931082:-1 gene:ORGLA02G0042400 transcript:ORGLA02G0042400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein [Source:Projected from Arabidopsis thaliana (AT3G46960) TAIR;Acc:AT3G46960] MGDLTTSSPASEVPFRVSFSGHGGHLRLDPTPNPPSPIPEFVLPPAYPPESPSSVKEYLEANYLNPELHLPTAADGARVWDLDWFELARPPLEPSAARTMLVPAWEPPFRRRRPPLSSSSSRQESQVWDPESVQMDMSDVFDSGTGGITPRMPGPAKDFVRGSVNSRPFRPGGLHDDAAAAAALEKAFPEGARNGDWVHELMSGGPAQVNPPGFRKGLDLGNLKEYKSHWKCYQDGERVDEQSTSSSNDTMDKYSVQFDDLFKIAWEEDSDDKVPREDHVQQLVGDEETNDVDKQNISKLQNASETIENLDIEKQKGGAQGDVSEPQSDLDQMLLSSVKDTSRDSSGSGDGSMAKEGKVWALVGGDEDIVTNFYKLVPDMAIEYPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATFEIPIFIDSVGACTEILNTLVELLKVCNWANLICIIPFCCHLFIRTNKRPVPLEHCLFYSGEVFKICEKDAFLTQGYREAKEVFKKKNSSKLGMKPGSKPGTTAVRAGTQGRNPDTSSRDRDQKNPKHHHASSSAAAVQQSTSGPRRSESSFWMPLINNLLKKSLVPVVIFCFSKNRCDRSAESMFGADLTSNSEKSEIRLFCDKAFSRLKGSDRNLPQVVGIQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDSLRKFDGKEHRKLLPGEYIQMAGRAGRRGLDNIGTVIVMCRDEIPEESDLKNLIVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKNLPEKEKLLLQMLRQPTKTIECIKGEPAIEEYYEMVLEAEAHRESITEAIMQLPASQQSLTPGRLVVVKSQSDDDHLLGVIVKTPSAALKQYVVLVLTGDCTSSALAPDSSNQNEKEAGDFKQGYFVIPKGKRSMEDEYFSSVSTRKGSGVINIKLPYKGDASGMGFEVRAIENKEIMSICASKIKIDQVRLLEDPSKTVYSKTVQMLIKEQPDGNKYPAALDAIKDLKMKDMLLVENYYAYQRLLQKMSENKCHGCIKLKEHIALMKEQKVYKDQLNDLKYEMSDEALQQMPEFQGRIDVLKEIYYIDSDLVVQLKGRVACEMNSGEELISTECLFENQLDDLEPEEAVAIMSALVFQQRNTSEPSLTPKLADARKRIYDTAIRLGKLQREFKVPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALYKKMETASNAIKRDIVFAASLYVTGI >ORGLA02G0042300.1 pep chromosome:AGI1.1:2:2915627:2918761:1 gene:ORGLA02G0042300 transcript:ORGLA02G0042300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGMKEQGILLVRKAVEEDDAGNHARALPLYVHALDYLAAHLKYERNPRVRDAITAKLAGYIARAEEIRDALLPTAGDDATPPAAAAEEGKAKCGGGEDESDRAKLRAGLHSAIVSEKPNVRWSDVSGVDGAKQALQEAVVLPVEFPQFFTGKRKPWKAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLLSKWMGESEKLVTNLFQMARENAPSIIFIDEIDSLCGQRGXGNESEASRRVKTEFLVQMQGVGHNDDKVLVLAATYTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHSLTKGDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFGTAEGDGDGGAWTPCGPTRPGAVQITMQELAAKGLAAQITPPPITRTDLDKVLARQKATVSEXDLEVYTRFTREFGEEG >ORGLA02G0042200.1 pep chromosome:AGI1.1:2:2910742:2914357:-1 gene:ORGLA02G0042200 transcript:ORGLA02G0042200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transport SCO1/SenC family protein [Source:Projected from Arabidopsis thaliana (AT3G08950) TAIR;Acc:AT3G08950] MRCAPRLHALLSRALAFGGLPPPPLPRAQLQGITEPGAASRLGAAFIARALATTGLPAPRRPRALQVQRITEPGAASRFGAAFLARGGFSTDASAAAQDSAKPAAATGGEGGDGKSGKSEQGDAGKSVRRGPVSWLSFLLLLVTGGGIIVYYDKEKKRHIEELKNRTSAVKQEPSVGTAAIGGPFNLLNHDGKPVTQKDFFGKWTLLYFGFTHCPDICPDELQKMALAIDKIKEKAKMEVVPVFITVDPERDTVEQVRDYVNEFHPNLIGLTGTTDEIRKVARAYRVYYMKTEEEGSDYLVDHSIVMYLMNPKMEFVKFYGKNYDADSLADGIIKELKGHQ >ORGLA02G0042100.1 pep chromosome:AGI1.1:2:2909203:2910450:1 gene:ORGLA02G0042100 transcript:ORGLA02G0042100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRPRKRARTTSPCSPPASSWRDLPLDIAGEVLRRLPSYADRICFGATCRSWRTSAREHRAPPPLSPCLCFADGSFRGFFPEDARPFRLPAAAGWLGSCGEWLLYRRHDDGAYLLVDPFSKAAAMAPLPSVSRLHVRHDPIVAVDERDLRWCRPTWLPRENTGEPQAAASLLKLAVSPAADVVAAVVGEGRHGKLAVCRPGAPAWSVSGGDGWRRIKDMAFYQGKLYAVDHNEDLLAVTLAADGEPPAVSRIDRVINGKPPGAAALLRVTLHYLVDSGGELLLVRREVQRSSMVRTQLWQHTAELQDRFAVFRADFQRSRWRRVKTIGDESGGRALFVGRWCSRAVRVAGDRWADQVFFLEDGTGDEWHTRAQRCSLRGSTFGCVRPNELLPLMTTADGQDLDATWIFPREAKL >ORGLA02G0042000.1 pep chromosome:AGI1.1:2:2902022:2906699:-1 gene:ORGLA02G0042000 transcript:ORGLA02G0042000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNTQTGGGNSAPRDLRRPARWRHVRPTLPAPSTDPPAPRSRPLCSAFLIQFRRQAGAAAHAAATSTAEGSASVLVTPASSPALGRPNIGNEPNQETIKKSAAPTSPICEKKSRNPTEERIGGNKIPEAMKLSLISDGDIPDSQDDQGSGGRADGRQVLERGGGGGKRRRRVFLEEEDEEENAMKVDEEAVILSCARGGPAGVSTAKIDGCIDKQDGQGSSKQRKKSCSTVMKLQFREIGLHCSLRTCGISRFVPTPSTFSHEVFSKIKNNKVVFDIYRCKDVIRHLERPIRKRSAVLQILPLKDLVAVVLCSGLSFLLSRVTNKMISILNRYDDEIIVSIFYNKEDKSVITTSSRLFDGCMSRQPDSVDFDAPNARALIQQRSTYRVFDLKDYSLLYQIPDVNVHQVVFRPSLFLIKLEQTHNVYPFRIFCAQNFEETHSFVLVASSKRPDIQPLHDKMIIKQNFANENGNLQILDLRSSKITEVPIGIYEFHALHGRNLFLSFQNNSTELRDLQGDIVRNFEDHVLDELNCVDDKLFITKDEDVIISGCKSEGIGAVHISSIESGKCITDINTKVIVSALSYNPELNEIYIGTAKGKALADLDILPHQIPQKLKSPNKDS >ORGLA02G0041900.1 pep chromosome:AGI1.1:2:2900710:2901048:1 gene:ORGLA02G0041900 transcript:ORGLA02G0041900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGFKNGGKQKPLKAPKAAKKEYDETDLENMKKKKEEEKALKELRAKAAQKGALGGAGLKKSSKK >ORGLA02G0041800.1 pep chromosome:AGI1.1:2:2898488:2899357:-1 gene:ORGLA02G0041800 transcript:ORGLA02G0041800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLASRPAATAAAATVLYHGGALPRSPRLQRLVCHELLDGGGGGGDNYILHFAVAVMSMLEENIGICSVLDFSVLRQS >ORGLA02G0041700.1 pep chromosome:AGI1.1:2:2894192:2897846:1 gene:ORGLA02G0041700 transcript:ORGLA02G0041700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLNSKFYNKCKHAIKCTRTRLDLVRRKKQAMVKFMKKDVADLIGNRLESHAFGRMEALIVEMNQASCYDMIEQYCEYIVKQLNNLQKQNECPQEALEAVSTLIFATARFPELPELCDLRHMFTERYGSFVEPFVSSEFVQKLQNKSFTNEEKLQVMQSIAEEFSVPFNAKALERKISGVPQNKHDLQNKSSFKRVEVEASARNELKVDRHAVHERKSKVTPEVHERKQEMPVKPKDIHVIPDAIGQVGEKSRKNRSDIPYDVPPSDLKQINDQELKKDHKKHSHHQRELRNADKSAPPYAEPKEDVAEKSDGKGYHVHRSRMAGGLDHNWGHADLGLKTLGLEKQGIEPASSLDGKTLNKAPPYSKPYKASDEYGQSVQDRQKMPEKAVNMRPPYVKPNSTNQAVDDYKHAGTGEIGHQRDGLADDNTLQPVSVRRKSAKPPTHGDRYDDEAKMTSQTPGGRRRHSSRRNGSDDDYDQRVGYMLPPDDDAVNNARHFKRMSERRKHGSRQGGSASGNDYESDEDETNSAIDFGNLLPRAPSSHRKHRSRSAHPRSGGRDDEERVMDKLLMHYSKKGIDREEHKTRTKSRTPRPRADQPADGVGERSNREVAPQHPPERTVSLPSDSGNLGVKPKAPARSISMQPDKSRGIVHPSMPDFDELAARISALRKE >ORGLA02G0041600.1 pep chromosome:AGI1.1:2:2885033:2887076:1 gene:ORGLA02G0041600 transcript:ORGLA02G0041600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLRTPAAEEALLRGHAAGDKDDAVAAAVAGESKDAKRARAGLCGVLRERKVVELARAKRRLVEVPYTATLAHTANALLAARVSAVAVAAPPGHWIGAGGSMILESDPATGAVRKHYIGMVNMLDILAHIAEAGDDNDAAADAAPGEAVDLDHRMAVPVSSVIGHSLEGLTLWTLHPNTSVLDCMETFSKGVHRALVPLESAAENVVAAELVEAAPGYRMVTQMDVARFLRARAAELGDAILSRSVRGVGVGGAVLAVASGTKVIEAVRAMRAASLAAVPVVDAAPADDADARRILLQLQDGRGKRVVETFSATDLRDCPVAELQAWLGVAVAEFKKKVAMYRAGVLAADADEEEERRREMVTCSPESTLGEAIEKAVAHHVHRLWVVDEEGLLAGVVSLTDVLRVVREAAIGEDRELHYILS >ORGLA02G0041500.1 pep chromosome:AGI1.1:2:2872455:2883832:1 gene:ORGLA02G0041500 transcript:ORGLA02G0041500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSTVAAAAARSSNSPAKRDSDASAASSPFASTSSARGRGGGDDDDGKDAHRSSPLLPHHHKRLGLPSPLRSLLALEDPRSPSASSSYRILLALLAFLLLAAAFSATSVWSRLNAPYLCQKDGITLHCPETKEVPSLWENPRAATTSWKPCAERRSNEPSDVPPENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMKATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPDIEEMADKLAARMRNRTGSVNPYMALHLRFEKGMVGLSFCDFAGTREEKEMMAAYRQKEWPRRYKNGSHLWPLALQKRKEGRCPLEPGEIAIILRALGYTRGTQIYVASGQVYGGKNRMAPLRNMFPNLVTKEELASAAELAPFRKHVTSLAALDFLVCLRSDAFVMTHGGNFAKLIMGARRYGGRHRLKSIKPDKGLMSKSLGDPHMGWAAFSDDVVITHQTRAGLPEPTFPNYDLWENPLTPCMCPTA >ORGLA02G0041400.1 pep chromosome:AGI1.1:2:2866731:2869169:-1 gene:ORGLA02G0041400 transcript:ORGLA02G0041400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYDVEMEAAEGEPMAEQAPPPAAAAAAAARGDGWSMLSRARVLLEEGKPSLALQAILLAIRSQGGEQALMQTLNRARELYRQRSQPSPSVDDLASLLAQCAIAESQSTNTNPQQVPGSDPVMMLDSDEVCILAESGRKQIILDAFADGSSFICLKCGGLFSTSRKDEHLAYWCGTA >ORGLA02G0041300.1 pep chromosome:AGI1.1:2:2863319:2865113:-1 gene:ORGLA02G0041300 transcript:ORGLA02G0041300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMSGPKLLVVHPASKGYNGVVSLSGALSPGPSAASMPLFLGSRRRCCAWLVALLLALLTCVSLLTVFSTARAASEGAALPQRARLTVAGAVGAAAASAAGGAAGGLPAYVFDALVQYAAAAGANATASMPEEDVRAIASVLRRRAPCRLLVFGLGAETPLWRALNHGGRTVFLDENPFYVAHMEGALPGLEAYDVSYATAVREFPDLLDAARAAQSADCRPVQNLLFSDCRLAINDLPNQLYDVSWDVILVDGPSGFTEGSPGRMSAIFSAAVMARTKGSETEVLVHDYQREVEIACAREFLCPENRVEATATPSLGHFLVRGGAAANRDAFCGGAAGATTKKAN >ORGLA02G0041200.1 pep chromosome:AGI1.1:2:2858526:2862160:-1 gene:ORGLA02G0041200 transcript:ORGLA02G0041200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WHIRLY 2 [Source:Projected from Arabidopsis thaliana (AT1G71260) TAIR;Acc:AT1G71260] MQRLSRFVPSSSRRVTDLKDALWSGSLTFQHALSTFAADENTSGRKFASYTVFKGKAALSMQPILPSFSKLESGGSRVNKNGSVMLTFFPAVGQRKYDYSKKQLFALSPTEVGSLISLGPAESCEFFHDPSMKSSHEGQVKKSLSVTPLGNDSGYFLNITVLNNLQKTTERLSLPISKAEFTVMRTALSFALPHILGWDQALTNHQPSPSPASKPRVERPHPDSEWER >ORGLA02G0041100.1 pep chromosome:AGI1.1:2:2854809:2857707:1 gene:ORGLA02G0041100 transcript:ORGLA02G0041100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar isomerase (SIS) family protein [Source:Projected from Arabidopsis thaliana (AT3G54690) TAIR;Acc:AT3G54690] MGSLPVSSPECAPGRRVTVAASDLAPLFGAQRRHLDHFFDRLDLSQAAAFAQALVDAPGAVFFTGVGKSGIVARKLAQTLASLGFTRAGFLSPVDALHGDIGSVFPGDLLVLLSKSGASDELLALAPCARAKGAHLISLTSAASGADCPLAAVCDLNVHLPLQAEVCPFGLAPVTSTAIQMVFGDTVVAAIMEARRLSRDQYASNHPAGKIGKSLIFKVKDVMKKQNELPLCKEGDMIMDQLTELTSKGCGCLLVVDDEYHLIGTFTDGDLRRTLKASGQAIFNLTVGEMCNRHPRTITADAMAVQAMEKMESPPSPVQFLPVVDSNNVVCGIITLHGLVSAGL >ORGLA02G0041000.1 pep chromosome:AGI1.1:2:2842843:2846794:-1 gene:ORGLA02G0041000 transcript:ORGLA02G0041000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELARSACSKEHHNIYAGWFAVADPDGDGRVTGADATKFFAMSGLSRADLKQVWAIADTKRQGFLGFGEFVAAMQLVSLAQAGEEITQDSLKREDLSSLDPPVMEGLDELLARSKAGVKRVHPEENGAPQVQVHSANSWFSSKSAKKMQVPLTAVTSVIDGLKRLYVEKLKPLEVAYRFNDFASPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLQTSYPGAHVGPEPTTDRFVVVMSGSDERTIPGNTIAVQADMPFTGLTTFGGAFLSKFECSQMPHPLLDHITFVDTPGVLSGEKQRTQRSYDFTGITSWFAAKCDLILLLFDPHKLDISDEFKRVIASLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPVNESAVGPIGKDLFEKEQDDLLCDLKDIPKKACDRRVSEFVKRARAAKIHAYIIGHLKKEMPAMMGKAKAQQRLIDNLGDEFAKVQREYHLPAGDFPDVEHFKEVLGGYNIDKFEKMKPKLVQAVDDMLAYDIPELLKNFRNPYE >ORGLA02G0040900.1 pep chromosome:AGI1.1:2:2840531:2841142:-1 gene:ORGLA02G0040900 transcript:ORGLA02G0040900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGVGEGEVRYRGVRRRPSGRYAAEIRDPAKKTPIWLGTFDSAEAAARAYDAAARSLRGAAARTNFPPSTSSSAPPPPPPQHQPPQHLPPAAAAATSSHSSTVESWGVTVAAAAASAGALGLPPPRNGGSNPAADEDCRSYCGSSSSVLCEDGASGAGDEAAAPRCSPLPFDLNLPASSPSAAAAAEADEMDWRCDTLLHL >ORGLA02G0040800.1 pep chromosome:AGI1.1:2:2834756:2836717:1 gene:ORGLA02G0040800 transcript:ORGLA02G0040800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKLNPSAAPFHCSRRHLFFAPPPPPPPPMPAYQYHATGACAAAAPPPFPFFATYSCASLPFHGHLYPPCGYQAQMGPAPPGAAFAKGVLAAAPPPPHGRPPHKLMVCKGAPTVTDVKLRAQARAAARVGVAAAVRGWRPPPATAGPPRMLVAAAPCGMLHPAAVARRRGMSKVYKPRKPQRAGRERSPSPSPVFTTRPMSPTPPMQKLKPAHTTVMVRNIPNKLTRSDMVRLLDDHCARENRRRGRGGEPRAEYDLVYVRMDFGMCNKERSSNMGYAFVNFTTAEAARGLQRELHGCRWKRSAFDSGKIIDIRAARIQGKDALVRHFGRTTYYECDTDEYLPAVFSPPRDGSTAGAGAPSPPAVKTVGIRVPPRPITLLTHRGNVN >ORGLA02G0040700.1 pep chromosome:AGI1.1:2:2820560:2828638:-1 gene:ORGLA02G0040700 transcript:ORGLA02G0040700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation factor GUF1 homolog, chloroplastic [Source:UniProtKB/TrEMBL;Acc:I1NXF1] MATATASRLAVPAPRTSPHAPGRRRPAAPLPSAPPRPRALSAAPRGRVVCPAAPASSPASTTDAGQDRLQKVPVSNIRNFSIIAHIDHGKSTLADKLLELTGTVQKREMKQQFLDNMDLERERGITIKLQAARMRYIMNDEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENDLEIIPVLNKIDLPGAEPDRVAQEIEEIIGMDCSNAIRCSAKEGIGITEILDAIVTKIPPPQNTAKSPLRALIFDSYYDPYRGVIVYFRVVDGSIKKGDKICFMASGKEYVADEIGVLSPNQMQVSELYAGEVGYLSASIRSVADARVGDTITHSSKRAECALPGYSQATPMVFCGLFPIDADQFEELREALEKLQLNDAALKFEPESSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLIITAPSVVYHVNLADGETVECSNPSLLPEPGKRRSIEEPYVKIDMLTPKEYIGPIMELGQERRGEFKEMNFITENRASVVYELPLAEMVGDFFDQLKSRSKGYASMEYSLIGYRESNLVKLDIQINGDPVEALSTIVHRDKAYSVGRALTQKLKELIPRQMFRVPIQACIGAKVIASEALSAIRKDVLSKCYGGDISRKKKLLKKQAEGKKRMKAIGRVDVPQEAFMAVLKLEKEVL >ORGLA02G0040600.1 pep chromosome:AGI1.1:2:2817995:2819819:1 gene:ORGLA02G0040600 transcript:ORGLA02G0040600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTQQHRKLDKAFQRSHPFQGWRRAVNRDTTSSSMASAAMSSNKKELEALPIVDAGEVRELMSSGHHYLDVRLGKDFDKAHADGARNISYYLSVTPSGKEKNPHFVDEVASLFGKDEHLIVACNTGVRSRLATKDLLDAGFKNVRNLKGGYQSFLRSESQQPAAHQQ >ORGLA02G0040500.1 pep chromosome:AGI1.1:2:2814428:2816208:-1 gene:ORGLA02G0040500 transcript:ORGLA02G0040500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELPSALGNCTSLKIISIKHNNFIGDIPGSIGQLKRLEELQLDNNRMSRVFPSSLSNFTSLKIINLKHNNFTGELSKVNFSRLPNLKLLDLMSNKFTGEILDSIYRCSNLTTLQLSSNKFYGQLSPRIDNLKSLVFAGVFELPVYADYEEPSLTYGMTNALPAMLNLGNNKLTGAIPSEVGQLKSLTVLILSFNSSSGHIPLKLFNLTNLQVLELSNLHLTGSIPPGLNNVHSLSIFDVSNNDLEGSVPIGYEYWGFDGNPKLCGFPMKGGKYKNKEKDIGGNSFF >ORGLA02G0040400.1 pep chromosome:AGI1.1:2:2812899:2813482:1 gene:ORGLA02G0040400 transcript:ORGLA02G0040400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDDTNPSNGSSPDSELCERLSCSRPVRLPRDGEICPSRALEASKISAILMSALQVMPSHVQQFVPFRHDAERPPSPERPARNWRRTLFSWSMQESVEEAKQSTVSRANPSKSVGNLLLLLLHGKWKGLMLLADRVASQWSSQRISGQGHQEFRIKR >ORGLA02G0040300.1 pep chromosome:AGI1.1:2:2811095:2812887:-1 gene:ORGLA02G0040300 transcript:ORGLA02G0040300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASITSVELCKSSSFNQLSGLFSIPSDIGNCSMLRVLKGGRNNFKGPLPDELFNASSLEHLSFPNNDLNGVLDDANIIKLSKLSILDLQQNIFSGNIPKSIGQLKRLKELHLGENYLYGELPSTLGNCTNLKILDLKINYLSGDLGKINFSSLSNLMIIDLLMNNFNGTIPERIYDCTNLIALRLSWNKFHGEFSHRMDRLRSLSFLSVGWNDFTNITKALYILKSFSNLKTLLLGGNFNHETLLADETMDGFENLQYLEISGSSLHGKISLWLSKLTKLKVLQLSNNQLSGSVPAWINSLNFLFYLDISNNNLTGEFPTILTQIPMLKSDKRTNLDVSVPNMRFYGIPFIKNRQYQYIHTTINIAKNGFTGAIPPEISQLKALDMLNLSFNSFSGEIPQAICNLTKLVMLDLSNNNLTGTIPLELNKLNFLSAFNVSNNDLEGAIPTGGQFDTFDNSSFTGNPKLCGGMLSHHCNSARALPSPTSSTDQFGDKVIFGITFGLFFAFGVLLDQMVLKRLRSLQGILIYQLYEQS >ORGLA02G0040200.1 pep chromosome:AGI1.1:2:2796898:2799064:1 gene:ORGLA02G0040200 transcript:ORGLA02G0040200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMQELQLLLVLAALVLLSFLSPATSCTEQEKSSLLQFLRELSPDSSSNLSRSWQSGTSCCTWEGIACGSNGTVTELSLPSMALEGPISVSIANLTGLRRLDLSYNSLSGELPPELISSASVAFLDVSFNRLNGELQESSPSLPHHPLQVLNISHNFFAGEFPSTIWEKSDLVAINASHNTFSGALPSSFCISSPSFAVLDLSYNLFSGSIPAEIGKCSSLRVLKASNNEINGSLPDELFDASMLEHLSFLKNGLEGELDGQLKRLEELHLDYNRMSGELPSALGNCTNLKIINLKYNNFRGELLKLSPRIGNLKSLLFLSLSNNSFVNIANVIHALKNSRKINTLIIGTNFKGETMPEDIATTDGFQSLQVLSIPSCSLSGKIPLWLSKLSKLEVLDLSKNQLTGSLPDWIHGLNFLYFIDISNNKLTGDLPTAIMLMPMLQSDKVATQLDPRAFEQPVYARPSLTYGKNNALPAMLNLANNELTGAISSEVGRLKSLTLLNLSFNSLSGQIPQQLFDLTNLQVVDLSNNHLSGSIPPGLNNLHFLTTFDASNNDLEGWIPAGVQSSYPYDFSGNPKLCDPTLTRTCDSAEAPPVSTLTGEETTPKTVFAIAFGAFFCLGVVYDQAVLSRFFG >ORGLA02G0040100.1 pep chromosome:AGI1.1:2:2786525:2788678:-1 gene:ORGLA02G0040100 transcript:ORGLA02G0040100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLCLVLALILFSASSISCCTDHERNCLLQFLAGLSQDGHGGLAASWRHGTDCCSWEGITCSSSSSSSTASKAVTITDVLLASKKLEGSISPALGRLPGLQRLNLSHNSLSGGLPAEIMSSDSIVILDISFNLLNGDLLDSPSSSSSSASGRRIQVINVSSNSFSGRFLSSSWKEMENLVVLNASNNSFTGPMPTFFCIRSSSFSMLDLSYNHFNGNVPPEIGNCSSLRMLKADHNNLSGTLPDELFNVTSLEHLSFPNNGLQGVLDGAGIIKLRNLVVLDLGFNMFSGNIPDSIGKLKRLEEIHLHHNSLAGELTPAIGSCTNLKALNLGSNNFSGELGKVNFSKLSSLKSLHVSYNSFNGTIPESVYTCSNLNALQLSFNKFHGQLSFRIANLKSLTYLSLAENSFTNISNTLQILKSSRDLTTLLIGGNFRDEEISDDKTIDGFENLKVLAMENCPLFGNIPIWISKLKNLEMLFLFNNHLSGSIPVCISTLNSLFYLDLSNNSLSGEIPAELTEMPMLRSERVTSHLDIKIFELPVYTGPSPKYFTVSDFPAVMILENNNLTGVIPTELGQLKALLSLILGYNNLHGEIPETILDLTNLEILDLSNNHLTGTIPADLNNLNFLSELNVSNNDLQGPVPTGGHLDTFPRSSFDGNPRLCGHILDQDCDDPVVVDAPQGSSRQGGYKVIFVIAFGALFGVGVLLDQLCLSRLVT >ORGLA02G0040000.1 pep chromosome:AGI1.1:2:2776240:2776668:1 gene:ORGLA02G0040000 transcript:ORGLA02G0040000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEDISSAGRPPDRELYDRLRRDKLVMPSHTQQFVPFCHDMAMPPSCDSSARNRRRELFSCSTQAMAEVATMRSSSNNAAVARPEYGIASLLLHGECGVICMNSLIPASVWS >ORGLA02G0039900.1 pep chromosome:AGI1.1:2:2772826:2774206:1 gene:ORGLA02G0039900 transcript:ORGLA02G0039900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLGGDGDEVEKAPTLSPAAVACARGWMRRRATATDCGNGERRARRGCPPLESGDDGRPPPGSSGGGRPSPDLGRIHRRRWEESSVRQATLQSSPLPPPVSSCPLPSSWLSSTSSPRGRRRPPMDVDSDLADKGKPTQMDLEDQLTIMGEAATPARQEDVVQVAGHASSTA >ORGLA02G0039800.1 pep chromosome:AGI1.1:2:2769199:2771491:1 gene:ORGLA02G0039800 transcript:ORGLA02G0039800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSDAYYQSCRCLGDGKNNQTSCDQAIDDSIKGSGQLIFRRIQPPITSVAFNVSFIGSLAFILRPVPAGGEYSNNSDAYGSLVFSGNTYNKTTTIITHSDYCSTADSSTNVFVEMGALETVSSFAFGVNITISRKASNIQIVQGNNYTISVWIDYNRAAEAADRSISVFVAKAGETKPKEAIIVNKDDNISKGATLQGCIFSSMDLQHQISDMNVTFAYGQHVSHSPSRSLPTILASVLGPAGGAAIAAAVTWLYFNSSYRRWKKDFDQLAKSMQSLPGVPVKISFTDIRKATNNFHDTMKLGSGAFGAVYRCKLQSLKGQPTMEVAVKKFTRADTRSYQDFLAEVSIINRLRHKSIVPLIGWSYNKGEPLLIYEYMPNGSLDRHIFARTDQLHGGHHTTIRQWDTRYNIVRDIATGLHYVHHEYEPKVLHRDIKASNILLDSNFRARLGDFGLACTVAVDRSSVSCGVAGTFGYIAPDYAINLKATQQTDVYAFGVLVLEIVTGKKAMLMNDAQFGHITDWVWHLHQRGRLLEAVDGVLGTAGHGELDIEEARRLLLLGLACSNPNPSDRPTMVVAVQVIAKLAPAPDVPLEKPTVVCFPPLTLPVGSSSSECTDYYVTAKGSLQIKSSMV >ORGLA02G0039700.1 pep chromosome:AGI1.1:2:2764449:2765153:-1 gene:ORGLA02G0039700 transcript:ORGLA02G0039700.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGMDCCVWEGITCNEDGAVIEVHLASKGLEGQISPSLGELTSLSRLNLSYNSLSGSLPAELMSSGSIVVLDVSFNRLNGDLQELNPSVSNQPLKVLNISSNRFTGEFPSITWEKMRNLVAINASNNSFTGHIPSSFCSSSTSFAVLDLGYNQFSGNIPPGIGKCSALRLLKATANNIRGPLPGDLFNATSLEYLSFANNGLQGTIDDALIVKLINLVFVDLRWNRFSGKIPNSIG >ORGLA02G0039600.1 pep chromosome:AGI1.1:2:2758225:2762840:-1 gene:ORGLA02G0039600 transcript:ORGLA02G0039600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGERSAKVRGNSIGGGALEHRNNQLSPLAVELDGGVEGGSRARLRHLRLSXAKLMVKNSSKXKKKEKGEQLGXKRGIKLMFRLLQKIITKPRAVKSHNSCTEEDRSSLLRFLAGLSHDGGLAASWRPDIDCCHAWEGVICDGEGTVTEVSLPSRGLHGSISPSSLAGLTGLTRLNLSHNALSGGLPPELMYSASLVVLDVSFNSLDGVLPPLPMLMTGLKHPLQLQVLNISTNNLHGEIPESIGQLKKLEVIRLSNNNMSGNLPSSLGNCTRLTTIDLKMNSFSGDLGSVDFSSLHNLRALDLLHNDFSGVIPESIYSCNNLTALRLSSNQIHGEISSKIGDLKYLSFLSITENSFSDIAKTLHAFKSSRNLTTLFIGENFWGEVIPQDETIESLESIQHLSIYRCSLIGNIPLWLSKLKNLEVLDLSNNQLTGPMPSWINSFNNLFYLDVSNNSFTGQIPATLIEIPMLKSDDYKAHRTILFDLPVYVTTLSRQYRAVTSFPALLNLSANSLTSVIPPKIGELKALTHLDFSSNQLQGEIPPSICNLTNLQVLDLSRNYLTGPIPEALNKLNFLSKFNISDNDLEGPIPTGGQMNTFSSSSFAGNPKLCGSMLATCDSVGVAHTIPTISEDQQCSSKTISAIAFGVFFGIGVLYDQLVLSRYYG >ORGLA02G0039500.1 pep chromosome:AGI1.1:2:2752242:2754425:1 gene:ORGLA02G0039500 transcript:ORGLA02G0039500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIMKEAPHSLXRSGLAIPFSGLAAAALLLLLLSMANSTIACLEQEKSSLLQFLAGLSHDNGISMSWQNGIDCCAWEGITCSEDGAIIEVYLVSKGLEGQISPSLGELRSLLYLNLSYNLLSGGLPEELMSSGSIIVLDVSFNRLDGDLQELNSSVSDRPLQVLNISSNRFTGEFPSTTWEKMRSLVAINASNNSFTGQISSSFCTGLPSFAILDVSYNQFSGNIPPGIGKCTALKVLKAGHNNIRGALPDDLFHATLLECLSFPNNDLQGTIDGALMIKLSNLSFLDLAWNRFSGTIPDSIGKLKRLQEFHMNNNNISGELPSSLGDCTNVITINLENNKLAGELSKVNFSNLHNLQALGLSSNYFTGTIPDSIYSCSNLTWLRLSRNKLQGQLTEKLEILKSLTFVSLSYNNFTNITGSLHILKSLRNLTTLLIGSNFIHEAMPEDETIDGFENLHVLAINNCILTGKIPSWLSKLKMLELLLLHNNQLSEPIPTWINSLNFLKYIDLSNNSLIGEIPTALMEMPMLKSDKIEDHPDGPRVSPFTIYVGVSLCFQYRAASAFPKMLNLGNNKLSGLIPVEIGQLKALLSLNLSFNNLHGEIPQSISDIKNLMGLDLSSNHLTGAIPSALVNLHFLSEFNVSYNDLQGPVPIGGQFSTFPSSSFAGNPKLCSPMLVQHCNLAEAAPTSLISTKQYIDKVVFAIGFGVFFGVGVLYDQTIISRYFG >ORGLA02G0039400.1 pep chromosome:AGI1.1:2:2743968:2746133:-1 gene:ORGLA02G0039400 transcript:ORGLA02G0039400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIPHSSCRTNTSKFWINFFGPVLVLLLFTFISPVGSCTERERRSLLRFLTGLSQDGGLAASWQNSTDCCTWEGIICGEDGAVTELLLALRGLQGCISSSLSELTSLSRLNLSYNLLSGELPSELIFSSIVVLDISFNRLDGELQELNSSSPDRPLQVLNISSNLFTGAFPSTTWEKMSNLVAINASNNSFSGHIPSSFCISSPSFAVLDLSYNQFGGSIPPGIGKCSSLRMLRVGQNNIIGTLPDDLFRATSLEYLSFPNNHLQGIIDDALMIKLSNLGFLDLGGNRFSGKIPDSIGQLKRLEELHMEENNISGELPPTLGDCTNLVTINLKKNKLKGELAKVNFSTLPNLKILDLSSNYFIGTIPESIYSCSNLTWLRLSTNKLHGELTKKIENLKSITFISLSYNNFKNITNTLHILKNLRNLTVLLLGGNFMHEAMPEDETIDGFKNIQGLGINDCALTGKIPNWLSKLRSLQLLALYNNQLSGPIPTWISILNFLKYVDISNNSLTGEIPTALMQMPMLKSDKIEDHPDLIVSPFTVYVGACLCFQYRATSAFPKMLNLGNNKLSGVIPMEIGQLKELLSLNLSFNNLNGEIPQAISNLKNLMVLDLSSNHLTGPIPSGLVNLHFLSEFNVSYNDLEGPVPIGGQFSTFLSSSFAGNPKLCSPMLEHHCNSAVAAPTTPIFAKQYIDKVVFAIAFGVFFGVGVLYDQITMPRYFG >ORGLA02G0039300.1 pep chromosome:AGI1.1:2:2733908:2736067:-1 gene:ORGLA02G0039300 transcript:ORGLA02G0039300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHSWRSNKTRRFCINFFDPALVLLLLTFISPVDSCTKQERHSLLRFLAGLSQDGGLAVSWQNSPNCCTWEGIICGEDGAVTELLLASRGLQGCISSSLSELTSLSRLNLSYNLLSGGLPSELISTSSIVVLDVSFNRLDGELHELNSSSPDRPLQVLNISSNLFTGAFPSTTWEKMSNLFAINASNNSFTGYIPSTFCISSSSLAMLDLSYNQFSGNIPHGIGKCCSLRMLKAGHNNIIGTLPDDLFSATSLEYLSFANNGLQGTINGALIIKLSNLVFVDLGWNRFSGKIPNSIGQLKRLEELHMSSNNLSGELPSSLGECTYLVTINLSSNKFTGELANVNFSNLPNLKALDFSGNDFTGTIPESIYSCSNLTLLRLSANRLHGQLTKNIGNLKSIIFLSISYNNFTNITNTLHILKSLRNLSVLFMGSNFKNEAMPQDEKIDGFKNILGLGINDCALSGKVPNWFSKLRNLQVLVLYNNQLSGPIPTWINSLNFLKYVDISNNSLSGEIPAALMEMPMLKSDKIADYTDPRLFQFPVYVGCMCFQYCTITAFPKMLNLGNNKLTGAIPMEIGELKALVSLNLSFNNLNGEIPQLVTNLRNLMVLDLSYNHLTGAIPSALVSLHFLSEFNISYNDLEGPVPIGGQFSTFPSSSFAGNPKLCNPMLVHHCNLAEAAPTSPTSRKQYIDQVVFAIAFGVFFGVGVLYDQLIMPRYFG >ORGLA02G0039200.1 pep chromosome:AGI1.1:2:2727143:2729278:-1 gene:ORGLA02G0039200 transcript:ORGLA02G0039200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSGLAASSLCLLLLLLPMAVSTIACLKQEKNSLLRFLAGLAHDNGIAMSWRNGMDCCAWEGITCSEDGAVIEVSLASKGLEGRISPSLGELTSLSHLNLSYNSLTGGLPAELMSSGSIVVLDVSFNHLNGNLQELNSSVSNQPLQVLNISSNQFTGAFPSTTWEKMRNLVAINASNNSFTGHIPSSFCIGSPSFAVIDIGYNQFSGSIPPGIGNCSALRMLKAGNNNISGALPDDLFRATSLEYLSFANNGLQGTINGALIIKLSNLVFVDLGWNRFSGKIPNSIGQLKRLEELHMSSNNLSGELPSSLGECTNLVIINLGTNKFTGELANVNFSNLPNLKALDFSWNNFTGTIPESIYSCSNLTWLRLSANRLHGQLSKNIGNLKSITFLSISYNNFTNITNTLYILKSLRNLTVLFMGSNFKNEAMPQDEAIDGFKNIQGLAIERCALYGKIPNWLSKLRNLQVLTLYSNQLSGPIPTWINSLNFLKYVDVSNNSLTGEIPAALMEMPMLKSDKVADNSEQRAFTFSFYAGACLCLQYHTTTALPEMLNLGNNNFTGVIPMEIGELKELVSLNLSFNNLNGEIPESISNLKNLMVLDLSYNHLTGAIPPALVNLHFLSEFNVSYNDFKGPVPIGDQFSTFPSSSFAGNPKLCSPMLVHHCNSAEAAPTSAIFTKQYIDKVVFAIAFGVFFGVGVLYDQIIMYKYFGXT >ORGLA02G0039100.1 pep chromosome:AGI1.1:2:2725107:2726216:1 gene:ORGLA02G0039100 transcript:ORGLA02G0039100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSEPSKEAASAEDSSAVQKTGAWSNTLNILLQQASVYGVAAGYCLSASLLSIIKKWAVMKFPYPGALTASQYFTSVVGVLLCGQLKLIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWLSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLTSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEAFMLFPLEMLLTGELNQMKGDNAKVTNWLSTDVILPVALSCLFGLSISFFGFSCRQAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGGVLYQQSTTKPKAPKAEPKEENDEEQQKLLEMQQGHENSSTQKQTSS >ORGLA02G0039000.1 pep chromosome:AGI1.1:2:2716630:2719770:-1 gene:ORGLA02G0039000 transcript:ORGLA02G0039000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPHSSYKTQSNRLPIPVLSLALVLLLNFTSPTSSCTEQEKNSLLNFLTGLSKDGGLSMSWKDGVDCCEWEGITCRPDRTVTDVSLASRRLEGHISPYLGNLTGLLQLNLSHNQLSGALPAELVFSSSLIIIDVSFNRLNGGLNELPSSTPARPLQVLNISSNLLAGQFPSSTWEVMKNLVALNASNNSFTGQIPTNLCTNSPSLAVLELSYNQLSGSIPSELGNCSMLRVLKAGHNNLSGTLPNELFNATSLECLSFPNNGLEGNIDSTSVVKLSNVVVLDLGGNNFSGMIPDSIGQLSRLQELHLDHNNMHGELPSALRNCKYLTTIDLRGNSFSGDLGKFNFSTLLNLKTLDIGINNFSGKVPESIYSCSNLIALRLSYNNFHGELSSEIGKLKYLSFLSLSNNSFTNITRALQILKSSTNLTTLLIAHNFLEEVIPQDETIDGFKNLQVLTVGQCSLSGRIPLWLSKLTNIELLDLSNNQLTGPIPDWIDSLNHLFFLDISNNSLTGEIPITLMGMPMIRTAQNKTYLDPSFFELPVYVDKSLQYRILTAFPTVLNLSQNNFMGVIPPQIGQLKMLVVLDFSYNNLSGKIPESICSLTSLQVLDLSNNHLTGSIPGELNSLNFLSAFNVSNNDLEGPIPTGAQFNTFPNSSFDGNPKLCGSMLIHKCKSAEESSGSKKQLNKKVVVAIVFGVFLGGTVIVLLLGHFLSSLRAAIPKTENKSNSSRDLEASSFNSDPVHLLVMIPQGNTEANKLTFTDLVEATNNFHKENIIGCGGYGLVYKAELPSGSKLAIKKLNGEMCLMEREFAAEVEALSMAQHANLVPLWGYCILGNSRLLIYSYMENGSLDDWLHNREDETSSFLDWPTRFKIARGASQGLLYIHDVCKPHIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKNHVTTELVGTLGYIPPEYGQAWVATLRGDVYSFGVVLLELLTGRRPVSILSISKELVPWVLEMRSKGNLLEVLDPTLQGTGYEEQMLKVLEVACKCVNCNPCMRPTIREVVSCLDSIGSD >ORGLA02G0038900.1 pep chromosome:AGI1.1:2:2709612:2712767:-1 gene:ORGLA02G0038900 transcript:ORGLA02G0038900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLHFPSYRYSSKLPTISSLSLGLLLLISLASLTSSCTEQEKGSLHQFLAELSQDGNLSMSWRNDRNCCVWEGITCNRNGAVTDISLQSKGLEGHISPSLGNLTSLLRLNLSHNSLSGYLPWELVSSSSISVLDVSFNRLRGELQDPLSPMTAVWPLQVLNISSNSFTGQFPSTTWKAMKNLVALNASNNRFTGQIPDHFCSSSPSLMVLDLCYNLFSGGIPPGIGACSRLNVLKVGQNNLSGTLPDELFNATSLEHLSVPNNGLNGTLDSAHIMKLSNLVTLDLGGNNFNGRIPESIGELKKLEELLLGHNDMYGEVPSTLSNCTNLKTIDIKSNSFSGELSKINFSTLPNLQTLDLLLNNFNGTIPQNIYSCSNLIALRMSSNKFHGQLPKGIGNLKSLSFLSISNNSLTNITDTLQILKNSRSLSTLLMGVNFNGELMPEDETIDGFENLQFISIDDCSLIGNIPFWLSKLTNLQMLDLSNNQLTGQIPAWINRLNFLFYLDISNNSLTGGIPTALMEIPRLISANSTPYFDPGILQLPIYTGPSLEYRGFRAFPATLNLARNHLMGAIPQEIGQLKMLRTLNISFNSISGEIPQPLCNLTDLQVLDLSNNHLIGTIPSALNNLHFLSKLNVSNNDLEGSIPTGGQFSTFQNSSFVGNSKLCGSNIFRSCDSSKAPSVSRKQHKKKVILAITLSVSVGGIIILLSLSSLLVSLRATKLMRKGELANNRNEETASFNPNSDHSLMVMPQGKGDNNKLTFADIMKTTNNFDKENIIGCGGYGLVYKAELPDGSKLAIKKLNSEMCLMEREFTAEIEALTMAQHDNLVPLWGYCIHGNSRLLIYSYMENGSLDDWLHNRDDDASSFLDWPTRLKIAQGASLGISYIHDVCKPHIVHRDIKSSNILLDKEFKAYIADFGLSRLILPSKTHVTTELVGTLGYIPPEYGQSWIATLRGDIYSFGVVLLELLTGRRPVPLLSTSKELVPWVQEMRAVGKQIKVLDPTVRGMGYDEQMLKVLETACKCVNYNPLMRPTIMEVVASLDSIDADXNT >ORGLA02G0038800.1 pep chromosome:AGI1.1:2:2703454:2706597:-1 gene:ORGLA02G0038800 transcript:ORGLA02G0038800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLHYKKHSNKFPIPVLALALVLLINLASLTSSCTEHDRSSLLKFLRELSQDGGLSASWQDGTDCCKWDGIACSQDGTVIDVSLASRSLQGNISPSLGNLTGLLRLNLSHNMLSGALPQELVSSSSIIIVDVSFNRLNGGLNELPSSTPIRPLQVLNISSNLFIGQFPSSIWDVMKNLVALNVSSNKFTGKIPTRFCDSSSNLSVLELCYNQFSGSIPSGLGNCSMLKVLKAGHNKLSGTLPGELFNDVSLEYLSFPNNNLHGEIDGTQIAKLRNLVTLDLGGNQFIGKIPDSVSQLKRLEELHLDSNMMSGELPGTLGSCTNLSIIDLKHNNFSGDLGKVNFSALHNLKTLDLYFNNFTGTIPESIYSCSNLTALRLSGNHFHGELSPGMINLKYLSFFSLDDNKLTNITKALQILKSCSTITTLLIGHNFRGEVMPQDESIDGFGNLQVLDINSCLLSGKIPLWLSRLTNLEMLLLNGNQLTGPIPRWIDSLNHLFYIDVSDNRFTEEIPITLMNLPMLRSTSDIAHLDPGAFELPVYNGPSFQYRTLTGFPTLLNLSHNNFIGVISPMIGQLEVLVVLDFSFNNLSGQIPQSICNLTSLQVLHLSNNHLTGEIPPGLSNLNFLSAFNISNNDLEGPIPTGGQFDTFPNSSFEGNPKLCDSRFNHHCSSAEASSVSRKEQNKKIVLAISFGVFFGGICILLLLGCFFVSERSKRFITKNSSDNDGDLEAASFNSDSEHSLIMITRGKGEEINLTFADIVTATNNFDKAHIIGCGGYGLVYKAELPDGSKIAIKKLNSEMCLTEREFSAEVDALSMAQHANLVPFWGYCIQGNLRLLIYSLMENGSLDDWLHNWDDDASSFLDWPTRLKIAQGASQGLHYIHDVCKPHIVHRDIKSSNILLDKEFKSYIADFGLSRLVLPNITHVTTELVGTLGYIPPEYGQSWVATLRGDMYSFGVVLLELLTGRRPVPILSTSEELVPWVHKMRSEGKQIEVLDPTLRGTGCEEQMLKVLETACKCVDCNPLKRPTIMEVVTCLDNIGTEIKI >ORGLA02G0038700.1 pep chromosome:AGI1.1:2:2696456:2699608:-1 gene:ORGLA02G0038700 transcript:ORGLA02G0038700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLHSHYKAHRNKFPIPLLGLALVVLINFASLTSSCTEQDRSSLLKFLRELSQDGGLAASWQDGTDCCKWDGITCSQDSTVTDVSLASRSLQGHISPSLGNLLGLLRLNLSHNLLSGALPQELFSSSSLIVVDVSFNRLDGDLNELPSSTPARPLQVLNISSNLLAGQFPSSTWAVMKYMVALNVSNNSFSGHIPANFCTNSPYLSVLELSYNQFSGSIPPGFGSCSSLRVLKAGHNNLSGNLPDEIFNATSLECLSLPNNGLQGTLEGVNVVKLIKLATLDLGENNFSGNIPESIGQLNRLEELHLSNNKMFGSIPSTLSNCTSLKIIDLNNNNFSGDLINVNFSNLPSLQTLDLRQNNFSGEIPETIYSCNNLTALRLSLNKFHGQLSKGLGNLKSLSFLSLSFNNLTNITNALQILRNSSNLITLLIGQNFMNERIPEDDSIDGFENLQVLSLSECSFSGKIPRWLSKLSRLEVLELDNNRLTGPIPDWISSLNFLFYLDISNNNLTGEIPMSLLQMPMLRSDRAAAQLDRRAFQLPIYKDASLLQYRKASAFPKVLNLGKNEFTGLIPPEIGLLKVLLSLNLSYNKLYGDIPQSICNLTDLLVLDLSSNNLTGTIPAALNNLNFLSKFNISYNDLEGPIPTGGQLDTFTNSSFYGNPKLCGPMLVRHCSSADGHLISKKQQNKKVILAIIFGVFFGAIVILMLSGYLLWSIRGMSFMTKNRCNNDYTEALSSNISSEHLLVMLQQGKEAEDKLTFTGIMEATNNFNREHIIGCGGYGLVYRAELPDGSKIAIKKLNGEMCLMEREFSAEVETLSMAQHDNLVPLLGYCIQGNSRLLIYSYMENGSLDDWLHNKDDSTSTILDWPRRLIIAKGASHGLSYIHNICKPRIVHRDIKSSNILLDKEFKAYIADFGLSRLILPNKTHVTTELVGTLGYIPPEYGQAWVATLKGDVYSFGVVLLELLTGRRPVPILSTSKELVPWVQEMISEGKQIEVLDSTLQGTGCEEQMLKVLETACKCVDGNPLMRPTMMEIVASLDSIDPDLKMQ >ORGLA02G0038600.1 pep chromosome:AGI1.1:2:2689438:2692635:-1 gene:ORGLA02G0038600 transcript:ORGLA02G0038600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQQQLHSSCKKCSNRCFIDFLRFNLAFALLLLLSLASPTSSCTEQERSSLLQFLSSLSSDGGLAVSWRNAADCCKWEGVTCSADGTVTDVSLASKGLEGRISPSLGNLTGLLRLNLSHNSLSGGLPLELMASSSITVLDISFNLLKEEIHELPSSTPAQPLQVLNISSNLFTGQFPSATWEMMKNLVMLNASNNSFTGQIPSNFCSRSPSLTVLALCYNHLNGSIPPGFGNCLKLRVLKAGHNNLSGNLPGDLFNATSLEYLSFPNNELNGVINGTLIVNLRNLSTLDLEGNNINGRIPDSIGQLKRLQDLHLGDNNISGELPSALSNCTHLITINLKRNNFSGNLSNVNFSNLSNLKTLDLMDNKFEGTVPESIYSCTNLVALRLSSNNLQGQLSPKISNLKSLTFLSVGCNNLTNITNMLWILKDSRNLTTLLIGTNFYGEAMPEDNSIDGFQNLKVLSIANCSLSGNIPLWLSKLEKLEMLFLLDNRLSGSIPPWIKRLESLFHLDLSNNSLIGGIPASLMEMPMLITKKNTTRLDPWVFELPIYRSAAGFQYRITSAFPKVLNLSNNNFSGVIPQDIGQLKSLDILSLSSNNLSGEIPQQLGNLTNLQVLDLSRNHLTGAIPSALNNLHFLSAFNVSFNDLEGPIPNGVQFSTFTNSSFDENPKLCGHILHRSCRSEQAASISTKNHNKKAIFATAFGVFFGGIVVLLFLAYLLATVKVTDCITNNRSSENADVDATSHKSDSEQSLVIVKGDKNKGDKNKLTFADIVKATNNFDKENIIGCGGYGLVYKADLPDGTKLAIKKLFGEMCLMEREFTAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDDDASTFLDWPKRLKIAQGAGRGLSYIHDACKPHIIHRDIKSSNILLDKEFKAYVADFGLARLILANKTHVTTELVGTLGYIPPEYGQGWVATLKGDIYSFGVVLLELLTGRRPVHILSSSKELVKWVQEMKSEGNQIEVLDPILRGTGYDEQMLKVLETACKCVNCNPCMRPTIKEVVSCLDSIDAKLQMQNSVKT >ORGLA02G0038500.1 pep chromosome:AGI1.1:2:2682802:2685957:-1 gene:ORGLA02G0038500 transcript:ORGLA02G0038500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTMQPLHFSNKNHKNRFHMIYLGHALVLLLFLASPTSSCTEQERNSLVQFLTGLSRDGGLGMSWKNGMDCCAWEGITCNPNRMVTDVFLASRGLEGVISPSLGNLTGLMRLNLSHNSLSGGLPLELVSSSSIVVLDVSFNYMTGGLSDLPSSTPDRPLQVLNISSNLFTGIFSSTTWEVMKSLVALNASTNSFTGNIPTSFCVSAPSFALLELSNNQFSGGIPPGLGNCSKLTFLSTGRNNLSGTLPYELFNITSLKHLSFPNNQLEGSIDGIIKLINLVTLDLGGNKLIGSIPHSIGQLKRLDELHLDNNNMSGELPSTLSDCTNLVTIDLKSNSFSGKLTNVNFSTLPNLKTLDVVWNNFSGTVPESIYSCRNLTALRLSYNGFHGQLSERIENLQYLSFLSIVNISLTNITSTFQVLQSCRNLTSLLIGRNFKQETMPEGDIIDGFENLQVLSLANCMLSGRIPHWLSKFKNLAVLFLFNNQLTGQIPDWISSLNFLFYLDVSNNSLSGELPKALMEMPMFKTDNVEPRVFELPVFTAPLLQYRITSALPKVLNLGINNFTGVIPKEIGQLKALLLLNLSSNKFSGGIPESICNITNLQVLDISSNNLTGPIPAALDKLNFLSAFNVSNNDLEGSVPTVGQLSTFPNSSFDGNPKLCGPMLVHHCGSDKTSYVSKKRHNKKAILALAFGVFFGGITILFLLARLILFLRGKNFMTENRRCRNNGTEETLSNVKSEQTLVVLSQGKGEQTKLTFTDLLKATKNFDKENIIGCGGYGLVYKAELSDGSMVAIKKLNRDMCLMEREFSAEVDALSTAQHDNLVPLWGYCIQGNSMLLIYSYMENGSLDDWLHNRNDDASSFLNWPMRLKIAQGASQGISYIHDVCKPQIVHRDIKCSNILLDKEFKAHIADFGLSRLILSNRTHVTTELVGTFGYIPPEYGQGWVATLRGDMYSFGVVLLELLTGRRPVPILSSSKQLVEWVQEMISEGKYIEVLDPTLRGTGYEKQMVKVLEVACQCVNHNPGMRPTIQEVVSCLDIIGTELQTTKLN >ORGLA02G0038400.1 pep chromosome:AGI1.1:2:2673660:2676335:1 gene:ORGLA02G0038400 transcript:ORGLA02G0038400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTQQDLYSELEYEQDVGEDPEERQMVSLNRLFEKHRDVSLNRLLEKHRDEEMQESCSTDKEGEEDEKIDTLSFEQSILKITSMKVGNLWNNPNQLSEEMVRCMRNIFLRLSESSKMSPKESSDCSSSSAERLSGSTLASFSDSSIIPSMLRSPSVDSNRNDEMTTEASNFDPYKVNGKESRRDIGNYHSAAEVSWMSVGKEQLEYASEALKKFRFLVEQLSKVNPNSMNCDERLAFWINLYNALIMHAYLAYGVPRNDIKLFSLMQKACYTVGGQSFSAAEIEFVILKMKTPVHRPQLSLMLALNKFKITEEHKKYSIDGTEPLVLFGLSCGMFSSPAVRIFSAANVRQELQESLRDYVQASVGISDRGKLLIPKLLQSYAKGNVEDSLLADWICHHLTPDQVAVIRDSSSQRKQRLLGARSFTVVAFDSKFRYLFLPDSSGSQKPEPKRTS >ORGLA02G0038300.1 pep chromosome:AGI1.1:2:2667400:2669330:-1 gene:ORGLA02G0038300 transcript:ORGLA02G0038300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLATMSSPGSLLLLTPTVYQGIGRNRGGQSQEGQSISSSRSLKTKLSVSARAVSSCEASMRITCCANQTQTARRKSFSGPTSPPSGSVKEKVRSPKLDDGGTGFPPFRFGGGGGGGGGGGSNSAGGFILFVIVLLLDYLREFERNLQNGTRRGSDYDNGLAPQ >ORGLA02G0038200.1 pep chromosome:AGI1.1:2:2649780:2662529:-1 gene:ORGLA02G0038200 transcript:ORGLA02G0038200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:I1NXC6] MEEDQSAIPVAEGAIKSIKLSLSTEDEIRTYSINDCPVTHPSQLGNPFLGLPLETGKCESCGASENGKCEGHFGYIELPVPIYHPCHVTELRQILNVVCLKCLRVKKGKVKQTEGKDNTSALSCYYCRDLPALSLKEIKTADGAFRLELKMPPRKFMTEGSWNFLDKYGFHHGGTSHCRTLLPEEALNILKKIPEETKRKLAARGYIAQSGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISISLLKKVLQKIEQIKKSRAGSPNFESHEVESCDLQLSIAQYIHLRGTTRGPQDNTKRFAISTDPSALSTKQWLEKMRTLFISKGSGFSSRSVLTGDPYIGVDVIGLPSEVAKRITFEEQVTDINLNRLQEIVDKGLCLTYRDGQATYAITVGSKGHTTLKVGQTISRRIVDGDVVFLNRPPSTHKHSLQAFRVYVHEDHTVKINPLICAPFAADFDGDCVHIYYPQSLAAKAEALELFSVEKQLTSSHSGKVNLQLVSDSLLALKHMSSRTMLSKEAANQLAMLVTCSLPDPAVIKSKPYWTISQIVQGALPKALTSQGDKHVVRDSTIIKLDLDKESVQTSFSDLVYSTLSVKGPGEALQFLNVLQPLLMELILLDGFSVSLQDFNVPKVLLEEAQKNIEKQSLILEQSRFAENQVVEMRVDNNLKDIKQQISDFVVKRSHLGLLIDPKSDSSVSKVVQQLGFVGLQLYREGKFYSRRLVEDCYYTFVNKHPAVREEHSPEAYGLVRSSYFHGLNPYEELVHAISTREAIVRSSRGLTEPGTLFKNLMALLRDVVICYDGTVRNVCSKSIIQLNYMEDDALDFPSAIGPGEPVGVLAATAISNPAYKAVLDASQSNNTSWERMKEILQTTSRYKNDMKDRKVILFLNDCSCAKKFCKEKAAIAVQGCLRRITLEDCATDICIEDGNWAAPAGFQHPVPPPQCKILPVPIPIPAHGSVKFPPVPIPAPEHLKYNIHVVRYQKQIGLDGTSEAAPALVGHIHLDRAHLERINISTEDILQKCQEVSGKYGKKKGHLSNLFKNITFSTCDCSFTQKLVDGKLPKLPCLQFFVSDNMIVSESVERAVSVLADSLCGVLLNTIIKGDPRIQEAKIVWVGSDATSWVKNTQKASKGEPAVEIIVEEEEALHIGDAWRTTMDACIPVLNLIDIRRSIPYGIQQVRELLGISCAFDQVVQRLSTTVRMVAKDVLKDHLVLVANSMTFTGNLNGFNNAGYKATFRSLKVQVPFTESTLITPMKCFEKAAEKCHSDSLGCVVSSCSWGKHAASGTGSSFQILWNESQLKSNKEYGDGLYDYLALVRTDEEKARYTFFDDVDYLAEENEADVCLSPELDGTIGQPIFDDNLEEQDVQNNSSWDNGTTTNASWEQNGSAGNDSDKWGGWNDAAAGADTGVTKPADQGNSCWDVPATVEKSSSDWGGWGTEKAKEKEKISEEPAQHDAWSVQGPKRATDGGASWKKQSSTQNDGNSWKENKGRGSNGGSWEKDNAQKGSWGRGNDEAENNNDVQNKSWETVAADAHASTEKSWGNVTASPSDNAWSAAPVSQGNGSSVIAXNFLRKTKNSSKRMFLNTTLRNNQRCLVKSITXWLTSTKCSRIVGACLWCLQMELGQISPI >ORGLA02G0038100.1 pep chromosome:AGI1.1:2:2643738:2647985:-1 gene:ORGLA02G0038100 transcript:ORGLA02G0038100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVVVFPVKGRAWCFASPRATAPAAARGGGDAGALLPPPPPTVKDLWRGIAGGGRTASENAEAVVDFVADKMNRAWIGFGSAPEGSMKNRIHSFGLKLLSRVRPSEVLLKSVTKDVSLLEIVHPASINPRLVRRRLRHIAVRGASVHRKFLYGSVCLLPVTSVFMVLPLPNIPFFWVLFRAYSHWRALQGSERLQLLVSDSSDQWKILLEKQKEMSSRKDGNPCENTQYAPWNLQPSKKLDGFLESRKLNEGLDCDTISRICQAYDLDKIDVLKYRDLE >ORGLA02G0038000.1 pep chromosome:AGI1.1:2:2633025:2637804:-1 gene:ORGLA02G0038000 transcript:ORGLA02G0038000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPYAGVPIDPAKCRLMSVDEKRELVRELSKRPESAPDKLQSWSRREIVEILCADLGRERKYTGLSKQRMLEYLFRVVTGKSSGGGAVEHVQEKEPTPEPNTANHQSPAKRQRKSDNPSRLPIVASSPTTEIPRPASNARFCHNLACRATLNPEDKFCRRCSCCICFKYDDNKDPSLWLFCSSDQPLQKDSCVFSCHLECALKDGRTGIMQSGQCKKLDGGYYCTRCRKQNDLLGSWKKQLVIAKDARRLDVLCHRIFLSHKILVSTEKYLVLHEIVDTAMKKLEAEVGPISGVANMGRGIVSRLAVGAEVQKLCARAIETMESLFCGSPSNSQFQCSRMIPSNFVKFEAITQTSVTVVLDLGPILAQDVTCFNVWHRVAATGSFSSSPTGIILAPLKTLVVTQLVPATSYIFKVVAFSNSKEFGSWEAKMKTSCQKEVDLKGLMPGGSGLDQNNGSPKANSGGQSDPSSEGVDSNNNTAVYADLNKSPESDFEYCENPEILDSDKASHHPNEPTNNSQSMPMVVARVTEVSGLEEAPGLSASALDEEPNSAVQTQLLRESSNSMEQNQRSEVPGSQDASNAPAGNEVVIVPPRYSGSIPPTAPRYMENGKDISGRSLKAKPGDNILQNGSSKPEREPGNSSNKRTSGKCEEIGHKDGCPEASYEYCVKVVRWLECEGYIETNFRVKFLTWYSLRATPHDRKIVSVYVNTLIDDPVSLSGQLADTFSEAIYSKRPPSVRSGFCMELWH >ORGLA02G0037900.1 pep chromosome:AGI1.1:2:2630892:2631729:1 gene:ORGLA02G0037900 transcript:ORGLA02G0037900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:I1NXC3] MFINTASFVAGAVVASPEQPAKLVRDQRRVVPGSCRARRGAASNGFRTYCMQTWSPFTNRRYEAMSYLPPLSAESISKEIEFIMSKGWVPCLEFDKEGEIHRSNSRMPGYYDGRYWTLWKLPMFGCSDAAAVLREVEECRREYPDAFIRLIAFDSSRQCQCMSFVVHKPPSAAASPATVAGAE >ORGLA02G0037800.1 pep chromosome:AGI1.1:2:2625565:2629662:1 gene:ORGLA02G0037800 transcript:ORGLA02G0037800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPTFHVHPPFPVSARKKEDDGGGGGGKKEAAAAAMRRLAEMDHRAAADGGKVVAAVAVDGDTGSQHALKWAADHVLSRSHPFFLLHVRRKHASLHYAGGKQFSLLHVQDDVAASSPDHMDHHTKDLLLPFQCFCSRRGLQCRETILDGTDVWKVIIDFVLDQKVDKLVLGASSRNAITRTIWKLDVPTCVTKSAPNFCSVYVISKGKLSSFRLATHANANDTSKEELENISENRSLIAKSEPAPIIHIEGQNSSSALCPGCNPLSPNLSEECTESTSKYYPEDLEAEIRKLKLELKQKNDDMHMWNKLPLGIGDRSENSNASVEHEDEHLQEFTTCSTHSYSERPNAEPSSAVRGPKHKLLKLETSSSDQCRERTIQEFKDHSSQDTVHPILRRLPPKFYSPRNDSKHGCASEKAYNLELKCKSLPRPIETKRLLEGLPTRFQCKIYTTEEVANATDHFSPELKVGEGGYGPVYKATLDNTLVAAKILHSNITQGLKQFQQEVELLNNIRHPNMVHLLGACPEYGCLVYEYMPNGSLEDRLFCRSGTPPLPWQLRFKMAVEIATGLLYLHKMKPEAFVHRDLKPGNILLDKDFVSKISDVGLARIIPRSMDETVTQYRMTDAAGTFCYIDPEYQKTGLVTTKSDVYALGIIYLQMITAKDAMGLAYMVSDALEEGTFEGLLDPNVTGWPVQEAQKFAELSLKCCELRHRDRPDLESVVLPELIRLHTLVASSGNHSSIDQGHQRSVSDKELALDNDLAEILNDGLVKGASFAA >ORGLA02G0037700.1 pep chromosome:AGI1.1:2:2621178:2622233:1 gene:ORGLA02G0037700 transcript:ORGLA02G0037700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRRVVHMVTALNGSANLRHIDTSRFFSRRPPPPFSPSSMAVVTEESSLPEPSMSFYPAPAPPESNGDIGVMLFGRARDRLLVTDQSVSAAIYDAATHALLAETTPLKPKYWPVSVPVGDDIYLFDLYPRVPCGGRHCFEAVTAVVDSSSSSSSYCSRALPPPPFLFAPGYSPKPIESYTVVGGSEVWISTARAGTYAFDTVSCSWSKQADWPMPFAGLAEYVPEHKLWFGLSSSRRDKHPLCAVDLAAAVASPEMGPELTNVWMELSVPREWIPVEAFLVHLGSSRFFVARFFQELVEVRCDFSQRFDRFAVFTGVELERTSRGELRMIKHKSERYSIAHKVLCHSVL >ORGLA02G0037600.1 pep chromosome:AGI1.1:2:2619158:2620366:-1 gene:ORGLA02G0037600 transcript:ORGLA02G0037600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGLPSWWALPLLRVPTSSAVRIIPSIAAAAAAAARGHDLLLLRASSSEATRGFSSSTSAPAAPAHATAELLQPRKDEPPAPGSATAARSRPRPPASSTAAAALVELEPQQKQPPVPASAAATRAYSSSAALVPSPAPASATTPPMKQRTGQPTPAAIKRQRQLQPAPTRVAGPADLINLAQAGRVNEAIHLLSQGARGNGKAFEELAASCSTPALKEELKDVHHYFLCSGFHNDCGVNNKLIEMYPKCDLLKFSRRTFDQMAYRTLDSWLLMIEVSYNAEEFEEAFWLFKQLKSNYHDLHKTKHLISPVGSVELIQLCRSGKARKALKLLEMGVHANLHAFFEIAAGCRTIAELNLVRGCLQRSAFSHNTFINSKIDQMQAKFEQMHANLKNKDGHGHP >ORGLA02G0037500.1 pep chromosome:AGI1.1:2:2617295:2617513:-1 gene:ORGLA02G0037500 transcript:ORGLA02G0037500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAAEEEADAAAGERDGGDGDDPRTLSWQSRGRCRGPRQRWWSSMDSRSRWSQSGTRGASWTRRVVRCSC >ORGLA02G0037400.1 pep chromosome:AGI1.1:2:2616850:2617270:-1 gene:ORGLA02G0037400 transcript:ORGLA02G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGSGLAPVVGRSAGSISSVVRSSRLALSGARQVACAFQDLLGTSSCRSHHPSLPAPHPIIDGGVDFGGRAGLADELHGGSKQMATSTATWIQARA >ORGLA02G0037300.1 pep chromosome:AGI1.1:2:2609094:2609291:1 gene:ORGLA02G0037300 transcript:ORGLA02G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRAKPGSLTSAAGDSTPQAILDGSDETNFDKTAPTRKIFSSGVGEKRARLSTTHCTQQVIPEEEV >ORGLA02G0037200.1 pep chromosome:AGI1.1:2:2601059:2601943:1 gene:ORGLA02G0037200 transcript:ORGLA02G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSRRTPSFLRSSSSGLHSRCRSCQWPVPCGNVLSHDKTCGYQSSGHLQKNKESATAVKPTGERVDHRSRHHVISTKIAISWSSPNNVAVKPQVSSYCLP >ORGLA02G0037100.1 pep chromosome:AGI1.1:2:2599925:2600804:1 gene:ORGLA02G0037100 transcript:ORGLA02G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFPLLPEAFKGNKQIGVIGWGSQGPAQAQNLRDSIAQVKSDIVVKIGLRKGSKSFDEARAAGFTEESGTLGDIWETVSGSDLVLLLISDAA >ORGLA02G0037000.1 pep chromosome:AGI1.1:2:2590435:2595676:1 gene:ORGLA02G0037000 transcript:ORGLA02G0037000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRVGRRFLAGDILSSEASPHAVGRLFTEPPLPSPLEGVRSPSPLPLPRAIKQPFIGNFSPFKSYPLQVIGLFLSQAHHQSLSRNAIGPSYRWPWTQLTSAVALPKLLGTRWASTNTSSTTGFGPCFTSAGELIDAFTYARANPLMRKVKIMGREDKILWIPNDNLRRLVRSLNKTYALHHAKKKCLSSLTSSNILVGEDGSAVIQGVIEIPYSEEEACCRYNETASILKELITESVGSEAIGVDCIGDFRRLLRQMESMTSVYQEYIISNHASLIPDANRTAVFLLFYNHIMGKLAQEQPRLKNQIISKLPYDGIWLGIVTSNRFLRRWLNSHREYVSTGDDDMIFNRNVRSHFYVHLWIFAYSQLEVEECLYGEFPELLLEIEIQLWEANEIDGLGFEDKF >ORGLA02G0036900.1 pep chromosome:AGI1.1:2:2573274:2578578:-1 gene:ORGLA02G0036900 transcript:ORGLA02G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSGRFVVAAAVVAVSLAMAAAAHDYGEALSKSLLYFEAQRSGRLPYNQRVRWRGHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSVLEYGEEIAAAGELGHALHAIKWGTDYFIKAHTHPNVLWTQVGDGDSDHYCWQRPEDMTTSRHAYKVDAENPGSEVAAETAAAMAAASIVFRRAGDAHYAHLLLHHAQQLFEFGDKYRGRYDESVEVVKNYYPSSSGYKDELLWAALWLHRATGRREYLDYAVDNADDFGGTGWAVSEFSWDIKYAGLQVLASKLLVEEKHLSSQQREVLEKYRSKAEYYVCSCMGRNPGGAAHNAGRTPAGLLFIRPWNNLQYVSNAAFLLTVYSDVLSSLSLPLLCPDPDAAADEAAPAAADAGEVLEFARSQADYILGTNPMATSYLVGYGEAYPRRVHHRAASSASYARDRDFIGCLQGFDSWYSAAAENPHDLVGAVVGGPNGNDVFTDHRGAYMQTEACTYNTAPMVGVFSRLMELERRRRGEDAPPSSTSPVAEDDL >ORGLA02G0036800.1 pep chromosome:AGI1.1:2:2565542:2572589:-1 gene:ORGLA02G0036800 transcript:ORGLA02G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITGRLRPAASSERRLRCLDVVGGGRGTPPATRRDGPLSGCAGSPKSPRASSPSSGSGFISIDCGIPEKSSYQDPTSSIIYVSDYGFISTGANHNISSAYIKPSLAQRNYNVRFFPDGTRNCYTLRSLQEGNKYFVRAVFYYANYDSLNKLPVFDLYLGANYWHEVKFSTADAVNWMDIIVVAPADYLQVCLVNKGTGTPFISGLDLRPLKSTLYPEANTTQSLVLINSNRFNMGPTDNSIIRYPLDPHDRLWSTYDTIPDWTEISATSVVQNYLTDVYDVPSAVMQSAATVNSSRINFTWDPSDPSVNISSKYFFVLYFSELQSVPSNGLRQFDIIVNNNTWNTQPYTPPFLFADSLSGTVQGLASYSVSLVATKNATLPPILNAMEMYLVKPLTEFATDPRDARAMMEVQQNYDVKKNWMGDPCAPKAFAWEGLNCSYPPADSSKITTLNLSSSGLAGSIATYFGDLKSLQYLDLSSNDLSGPIPYNLLQKSQNGSLSLRVGYNAKLCGNDTECRSGQKKIKGSLLSAIIITIVATVALIVVLFLLLRRMLKAKDKRRAAGPTYESALLENREFSYRELKHITNNFSQQVGKGGFGAVFLGYLENGNPVAVKVRSESSSQGGKEFLAEAQHLTRIHHKNLVSLIGYCKDKNHLALVYEYMPEGNLQDHLRATTNKPLTWEQRLHIALDAAQGLEYLHVACKPALIHRDVKSRNILLTTNLGAKIADFGLTKFYQVTELPCHILPILFRYYRNYHISEKSDVYSFGVVLLELITGRPPVIPIDESVSIHIGEFVHQSLDHGSIESIVDARMGGGGGYDINSVWKVADLALHCKREVSRERPTMTEVVAQLKESLELESHGDRKHLVTGDDDVSVSNLGEETALEVEEQSGEISRVSLGLQ >ORGLA02G0036700.1 pep chromosome:AGI1.1:2:2560889:2564851:1 gene:ORGLA02G0036700 transcript:ORGLA02G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLLLRRCLSAAAASSAAARFAVRHAHGELEVSGCSARIRDLGRLGRVGEAREVFDAMPRRDIIAWNSMISAYCHNGMPDAARDLYDAISGGNMRTGAILLSGYGRLGRVLEARRVFDGMLERNTVAWNAMISCYVQNGDITMARRLFDAMPSRDVSSWNSMLTGYCHSLQMVDARNLFEKMPERNLVSWTVMISGYGRIENHGKAWDIFCKMHREGLLPDQSNFASALSAVKGLGNLDVLESLRVLALKTGFERDVVIGTAILNVYSRDTSVLDTAIKFFESMIERNEYTWSTMIAALSHGGRIDAAIAVYERDPVKSIACRTALITGLAQCGRIDDARNLFEQIPEPIVVSWNALITGYMQNGMVNEAKELFDKMPFRNTISWAGMIAGYAQNGRSEEALGLLQELHRSGMLPSLSSLTSIFFACSNIVALETGTQVHSLAVKVGCQFNSFACNALITMYGKCRNMEYARQVFSRMVTKDIVSWNSFLAALVQNDLLDEARNTFDNMLSRDDVSWTTIISAYAHAEQSNEAMGAFKTMFCEHELPNSPILTILLGVCGSLGASKIGQQIHTVAIKLGMDSELIVANALISMYFKCGCADSRRIFDLMVERDIFTWNTIITGYAQHGLGREAIKMYQHMESAGVLPNEVTFVGLLNACSHAGLVDEGWKFFKSMSQDYGLTPLPEHYACMVDLLGRTGDVQGAEQFIYDMPIEPDTVIWSALLGACKIHKNAEIGKRAAEKLFRIEPSNAGNYVMLSNIYSSLGMWGEVAEVRKIMKQQGVIKEPGCSWTQIKDKMHSFVTGDKQHEQIEEIVATLEELYTLLKATGYVPDTEFVLHDIDEEQKESSLLYHSEKLAVAYCLLATPKGMPIQILKNLRICGDCHTFIKFVSHVTKRQIDIRDGNRFHHFRNAVLIAHGLVCLYSCTPCQIRAVGDLNTRLQSHMVQANEWMKTPTNDKYVSEFPLSLKILS >ORGLA02G0036600.1 pep chromosome:AGI1.1:2:2553459:2554797:-1 gene:ORGLA02G0036600 transcript:ORGLA02G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMVKKKVVKAGSFDLDVKLDKSWMEDITCPICLDFPHNAVLLRCTSYEKGCRPFICDTDQSRSNCLERFKGAHGLPTNMKVPSFNGAPLDSIHIISSNTTDRPACPLCRGDVIGWVVIDEARLHLNQKKRCCEESCCSYVGNFHELQKHTQQKHPNSRPSEIDPARRVDWENFQQSSDIIDVLSTIHAQVPNGIVLGDYVIEYGDDDAGDDYEVYHRVRGNWWTSCIFCKSFCRSSGGRSRARARERRSSGRRSSNRSSQESFTIEVPSGSVDIREIRFDEIDDEYIVTGAMPGIAASRRIASHYRDPRYGRRRSYY >ORGLA02G0036500.1 pep chromosome:AGI1.1:2:2548108:2551780:1 gene:ORGLA02G0036500 transcript:ORGLA02G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSEGSGDEEEEEEGVEVGGGGDGGVGVGVGGGFALAIEGVLGACGLVVSDALEPDFPIIYVNRGFEDATGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDTTVVTDIRRCLEEGTVFQGDLLNFRKDGSPFMAKLQLTPIYGDDETITHYMGMQFFNDSNVDLGPLSVSTTKEIVRSTLITPDNTIRPSPMGKGFCSEHSDLFLLSDEVLCQKILSRLSPRDIASVNSVCKRLYHLTRNDDLWRMVCQNAWGSEATQVLETVAGTRSLAWGRLARELTTLEAVTWRKLTVGGAVEPSRCNFSACAAGNRVVLFGGEGVNMQPMNDTFVLDLNASKPEWRHINVRSAPPGRWGHTLSCLNGSRLVLFGGCGRQGLLNDVFMLDLDAQQPTWREIPGLAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMERPVWREIPASWTPPCRLGHSLSVYDGRKILMFGGLAKSGPLRLRSNDVFTLDLSENKPCWRCITGSGMPGASNPAGVGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASKLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWTLTELHELSLVSSLV >ORGLA02G0036400.1 pep chromosome:AGI1.1:2:2541310:2544016:1 gene:ORGLA02G0036400 transcript:ORGLA02G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPSWRSKAAIGFLRRGGGFSSRNQSTEERTIQNYDGPGITTRLNPMKTRLSDNQERPRYLRDSFKSSTSMAIHGSSSKVLLRKFGDEKRRQSLLAGVDIAESSSRNAGGKHLEGSNKRIVVDDRSSDVLHTETEGLATEQDQLIAPNAGVSDSASSSDISEHAVESLVRSAAPSSRTRRQKDKELNLGQSGVCSSSCTNRPTISRYAPADVKRPCNHASGVQRHGHNNPDCTSVPNFLPSGCSSGSVYSRRFDAMRKRTSDGGSFSRSRGLSGTASLDDSPPAYPAIAGPRISTTTTERASQQNALRSRRNFQDSAVSVRTRRPPWGARFRISEEREDGMISQRDSSIGNQQSDQVHSSSEEASTESSSRPFSAELPHAIYSSRGEGSNAFTARRRRSSSLYEERPPQTFHDLFRERNGRRHISIEGIAEVLLALDRIEQEAELTYEQLMVLETNILLGAFTSHDQHSDMRMDIDNMSYEELLALGDRIGSVSTALSEEQFVKCLRRSIYRPVATKANAQVVDDIKCSICQEEYIEGEEVGRLGCEHQYHVCCIHQWLRQKNWCPICKASAEPSTVS >ORGLA02G0036300.1 pep chromosome:AGI1.1:2:2523890:2526232:1 gene:ORGLA02G0036300 transcript:ORGLA02G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGKTRVVVVGGGIAGSLLAKTMQPHADVVLLDPKDYLEIPWAELRSMVEPSFAERSLIYHRDYLTNATIVTSSAVNITEQAVLTADGQSLAYDYLVIATGHALTSPGSRSERIKEFQRDKGKIESSESVLIIGGGPTGVELAGEIAVDYPEKKVTLVHRGSRLLEFIGDKASKKCLDWLTSKKVDVLFQQSIDLDSLSNTEKLYRTSAGETVTADCHFVCIGKPLSSSWLHDTILKESLDNKGRLMVEKDLRIKGYNNIFAIGDITDIPEIKQGYLAHKHALLVAKNLRLLIKGSPNSKLETYSPGFALALISLGRNEGLAQLPFLTLGGCLPGKIKSRDLFIGRTRKQMGLNA >ORGLA02G0036200.1 pep chromosome:AGI1.1:2:2519917:2522051:-1 gene:ORGLA02G0036200 transcript:ORGLA02G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTQLKPMASSSTSPSPSRLPRSASGKAPTSPPSSSNASRRHHQQPPRASASTPATPAPSRHHLRSLSISCMTIRTDDDSPPPAATHKDKAATAKPLSYYSSMLSPRKLMQRASRAFRRSKSSRRRKSKDDVVVGVGGGGGDISASVNGKGSESSASVPSLDAITTTDDDVHGGGARQDQQEVVPEKIIHEANTPVVIAVAAVEEEEPNTIKSPEPEKEIATTATTIIEEEEVVDDDEPKKGDAAATPVPTDSPAAASSTEEDKFVAVVKEEDKFVAVVKEAIKKQRDDDADGEELVRRFKGSRVKTAMEKRSEEEQPRRREMARRSNDVIEEARSKLLEKRQCSRVKALVGAFETVMDAKPAGDGAAAKPQHYHPRR >ORGLA02G0036100.1 pep chromosome:AGI1.1:2:2514177:2517287:-1 gene:ORGLA02G0036100 transcript:ORGLA02G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASSPATAAAANSDDEDNYEEYIPVAKRRAMEADRLRRLRLSKPAPPSSSAAEAASDLPPPPPPPPNQPSAGGGGGGLEASAKPSLLVKATQLKRAAPEVTHTEQLIMQEKEMIEHLSDRKTLMSVRELAKGITYSDPLKTGWKPPLRLRRMPRAKADELRRKWHILVDGDDVPPPARDFRDLRLPEPMLRKLREKGIVQPTPIQVQGLPVVLSGRDMIGIAFTGSGKTLVFVLPLIMVALQEEMMMPIVPGEGPFGMIICPSRELAKQTYDVIEQFLVPLKEAGYPEIRPLLCIGGVDMRAQLDVVKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPKKIQNFAKSALVKPVIVNVGRAGAANLDVIQEVEYVKEDARIIYLLECLQKTPPPVLVFCENKADVDYIHEYLLLKGVEAVAIHGGKDQEERENAIEFFKNGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELNDPLEDEETMAKESGVKGCAYCGGLGHRVTDCPKLEHQKSMAIAGSRRDYYGGGGYRGEI >ORGLA02G0036000.1 pep chromosome:AGI1.1:2:2508322:2510263:-1 gene:ORGLA02G0036000 transcript:ORGLA02G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGRVRHKCTTQPMIFATSLRVKLVGATPLPARLSPPAPPASVVRACACLPRLGIGASAMWRRGRAMRWRRRWKYSLPAACLALVLLAAAAARGVPIGGGGMAHTGGGRVVVASSTAAFAAAAASASRCKKQRSSRGGATGPAAAGCGWSPPAAAAADERVVPTGSNPLHNR >ORGLA02G0035900.1 pep chromosome:AGI1.1:2:2505016:2506037:1 gene:ORGLA02G0035900 transcript:ORGLA02G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVDGGLDTRLSLAVGCCPPRRRPVLLFGEVLPSPEKKVAAAAVVAAGKRGREQRGEAEAEATTTRQRRSCKKGRRGRGDDDDDDGDRRSPSGGGGDEEGASRKKLRLTGEQATLLEDSFRAHNILSHAEKQELAGKLGLSARQVEVWFQNRRARTKLKQTEADCDLLRRWCDHLAADNARLRRDLAELRRSSSSPPVSGLAVATPVVCPSCAHDDKRRLAFATAAAAAGDMASN >ORGLA02G0035800.1 pep chromosome:AGI1.1:2:2491796:2494433:1 gene:ORGLA02G0035800 transcript:ORGLA02G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPSRPLASSSSSSSSRVFSFFLAPRVFLFLVVVVVVVFLPGRSSCWWLEGTEELEEEMGFAGDCSPVSGGGLSENGKFSYGYASAPGKRASMEDFYETRIDGVDGETIGLFGVFDGHGGARAAEYVKQHLFSNLIKHPKFISDIKSAIAETYNHTDSEFLKAESSHTRDAGSTASTAILVGDRLLVANVGDSRAVVCRGGDAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEIVDSSLEFLILASDGLWDVVSNKEAVDMVRPIQDPEQAAKRLLQEAYQRGSADNITVVIVRFLEGTTTGGGPSREAASDQNS >ORGLA02G0035700.1 pep chromosome:AGI1.1:2:2483728:2485722:-1 gene:ORGLA02G0035700 transcript:ORGLA02G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAAAARSLRAGVEKSRALGQALARAGPRVEEIQLALPALEAAVRPIRAPRAELAAAGPHIDRAVGPAAAVLKVFDAVHGLEPPLLAAGAVAGDLAGYLAVLGRLEEALRFLSDNSGLAAQWLADIVEYLGDHDLADPRFLADLAVTLEGLKKPSGDLDGGLLAAALDMLEAEFRRLLADHSAPLPLAMQQLNTTSASTAAPSLIPAATVHKLSLILDRLIANGRQDRCLSVYADARGGVVSASLRALGLDYLRNPVDDAQALGPGVELWGRHLEFVVRCLLESERQLCNNVFGQRKDDASACFADVAAHAGILDFLSFGRAAADAKKDPIKLLRLLEVFDSLNKLRLDFNRLFGGKKACVEIQNQTRDLVKLLVDGAVEIFEELLVQVELQRHMPPPPDGGVPRLVSFVVEYCNRLLSEKYRPVLAQVLTIHRSWRKETFSDKMLVNAVLNIVKALEANFDVWSKAYDNVTLSYLFMMNTHWHFFKNLKATRLGELLGDAWLQEHEQFKDYYLTVFMRDSWGVVSPLLNREGLILFSKGRATAKDLVKQRLKTFNASFDEMFRKQSAWVIPEKDLREKTCGLVVQAIVPAYRSYMQNYGPLVEQDVSASKYVKYTVDGLEKMLSALFIPRPRRAGSFQIRHTNDKITSAMTGFYRSASTVK >ORGLA02G0035600.1 pep chromosome:AGI1.1:2:2476055:2481362:1 gene:ORGLA02G0035600 transcript:ORGLA02G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLLPELRSPPPPPPPPPPTNDDRARAVDLPSPTPECDDRSPRRELGRSPEDHGVPLPPPPPLGSSRPERLASGRPEEGASAAAQPCGGRSESPTARSMWPRRLSPASLPPRGGRRSESPTPRSIWRRLSPSPPPPLPPLPPKRPRLDGRRSPPHGGRFGFEHERGRERSMNTSRRAPDCLDSGCDAPYNGQSNTRRKGLMTYKQFTQKLEDDVSPGEAESRYQEYKTSYITSQKQDYFDHHKNEDRLKDMYHPTNLLSVIERRNELCKAAAKNLILDLRSGTLDLGPGMTAGTASKSGNDSDGIPADDEDYHNKRRRHHRGPLEETELVSVAPKAHPVSSHYRRIQTDIHQTLALVKKLDEEKGIVGNILTTGDHTKSNGEKSYAGSTGPLVIVRGLSTVKGLDGFELLDTLLTYLWHVHGIDYYGMSESTNAKGLRHVRADTKNANMDKSSAADWEKKLDYFWQERLTNGKDPLVALTAKDKIDASADKVLESYVTKVKDDNYGWTYGCGAKGCIKVFHAPDFVLKHLNLKHPDLVSKLTSRVQEDIYFQNYMNDPNAPGGTPVMQQQSPEQQGPTPSELTPGAFGGQGSFVEMPTPPVLIPVPGAGPLGPFVPAPPEVVMQMMRPVMPMYPPRPPNPRRLRSYKDLDAPDDEVTLVDYRSL >ORGLA02G0035500.1 pep chromosome:AGI1.1:2:2463118:2464120:1 gene:ORGLA02G0035500 transcript:ORGLA02G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEDLQIMDHCNGLLLFFERLANPATRQWMHLPTIPMSPCVALDLRTDFCLVYDPMVSPHHFEVFCVPLVPENIFYRSGGELDPDSNSSVDQESLEWPLSSRCTTHVFSSRKWRWEERSFVRQQGVEPANETIADLQFNPQQFQRHALYLKGEIYVHCKNNSLMRITLSNDKYQMIKSPVKSKIDDGNGVLQLGKSEKGVYFALLSKDNYFPQFQVWLLNKSSSCGGQIEWVL >ORGLA02G0035400.1 pep chromosome:AGI1.1:2:2448638:2449303:1 gene:ORGLA02G0035400 transcript:ORGLA02G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:I1NX98] MGRNVLGRRSPEFISVPWRWVMVDVVAPRDAGSHVEMRTTLAIADDDLYILGFANRTGHWHVMKDFGGLPEPLTKLTIEHSYGDLVGSFQNLHTVPLGRESAVQAVKTLANYNSAMAEAQLKLPIAKFAIMISEALRFPFIRNTFSTNWESETFMKPDHVKYVVYWGRLSKALVWWKQSGNIWWPRPESDLGKDFKYINVKTSQDAVKLVDLLIRPASRYS >ORGLA02G0035300.1 pep chromosome:AGI1.1:2:2446323:2446761:1 gene:ORGLA02G0035300 transcript:ORGLA02G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSASASLLREHGRGRRGVSAGPEGGEAAGEREEQARHAEQLLAGGVPEVVRQLRRHHDRQGDSVAAGLAPQLLLPGGLRPPPVPSLRRGLRHGALPQEARRLRGPGVPRDQHPVPPIAS >ORGLA02G0035200.1 pep chromosome:AGI1.1:2:2426879:2428939:1 gene:ORGLA02G0035200 transcript:ORGLA02G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEWIYREDEELNHQDYRRPRVVTLPETSGGFALFHFTPTWIVQNSAHWRHCWCIVANTIKLRVVSLLHSVEQLWPRASHRVTGHGATRLSCPTPATTTTTSSNLCSFLNRVVSAWLVCAVLTLFLFNLLWFYPVDALWDAALRSVVTSGKLGKHPSMTTGKGGGWRPSSWRSSSSLSASRCPRMQPRRWPTRTS >ORGLA02G0035100.1 pep chromosome:AGI1.1:2:2421342:2423600:1 gene:ORGLA02G0035100 transcript:ORGLA02G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAGGDEELESLLRNFHRFSQGYKDALVEAQALRVNCSSESERRQALESHVADLKSDNERLRRLYTETLFKFTNQMKFHTESRNLKEELEKANTRLLSMEEEYKREIEQLKLGSEMNSNDLENKLSCALVQQATNEAVIKQLNLELEAHKAHIDMLSSRLEQVTADVHQQYKNEIQDLKDVVIVEQEEKNDMHRKLQNTENELRIMKMKQAEQQRDSISVQHVETLKQKVMKFRKENESLKRRLATSELDCS >ORGLA02G0035000.1 pep chromosome:AGI1.1:2:2417234:2420551:1 gene:ORGLA02G0035000 transcript:ORGLA02G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHHEAKPYQPRRGPAPADEEADPAAAADEAEAEAEVEAMERYEQEQEYEEGEEGEEEEYEGGEGVPMDADASAAAVAGMDPHGEMVPVAGGEAGGGYPHVASNTLTLSFQGEVYVFESVSAERVQAVLLLLGGRELAPGSGSVPSSSAAYSKKMNFPHRMASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKSKAEEATSAITSSEGSPNWGAVEGRPPSAAECHHCGISAASTPMMRRGPDGPRTLCNACGLMWANKGTMREVTKGPPVPLQIVPAATNDVQNGIVEATGVEQHNSAVEEAVSAANGHESQSGVA >ORGLA02G0034900.1 pep chromosome:AGI1.1:2:2412449:2415477:1 gene:ORGLA02G0034900 transcript:ORGLA02G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVRSPVTSPPAIAGAASPSPSRSLSRRRAPPDAAAFPKPWVIPSASVKSAACLQLQQARAPRRCARLLASAAEGGRRGEEGSPASSSDADDQAEALPFLESDSHHTQTSKHGESGGSNSGGSRAGLFRTPISGGVHTATSVHDLPPPARAVRNLMEQARFAHLCTVMSRMHHRRAGYPFGSLVDFAPDPMGHPIFSLSPLAIHTRNLLEDPRCTVVVQVPGWSGLSNARVTIFGDVVPLPADLQEWAHQQYVLKHQQWASHQWGNFYYYKMQTISDIYFIGGFGTVAWLDVKEYEALKPDKIATDGGEQSLKELNAMYSKPLKELLSTEIEVDDAALISIDSKGIDIRVRQGAQFNIQRIAFELHHSVETLEEAKEAIRRILGKSRWHAKF >ORGLA02G0034800.1 pep chromosome:AGI1.1:2:2408833:2410735:1 gene:ORGLA02G0034800 transcript:ORGLA02G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNLSLLYEACTSSVAEIEGMTGIESGDHSCSVVQNHLSADDHIKSVVNQLVAAIRTTQNSNEGNTKELKATVLELQQELQEKHIQISTISAELASQVREAESSAKQLSVELENARMEIHNLEKHSEMLLNQKKNLETQVSELKDMEAVAHDQHGRIKDLSDELSKKDQEIEGLMQALDEEERELEVLENKSNDLEKMLQEKEFALKSLEVSRTKALTKLATTVDKFDELHSLSESLLAEVENLQSQLQERDSEISFLRQEITRSTNELLTTEESNKKYSSQINDFTKWLETALLQFSVHCDSTNDYECTQVPVYMDMLEKKIGSLISESDELRVTLQSKDSLLQAERTRMEELLRKSEALESSLSQKDSQIGLLRRDRTSGQPSRFINLPGTSEIEQVNEKVSPAAVVTQIRGARKVNTDQVAIDVEVEKDKPLDDEDDDKAHGFKSLTMSRIVPKFTRPISDRIDGMWVSGDRLLMRQPTLRLGVLLYWIVLHALLASFI >ORGLA02G0034700.1 pep chromosome:AGI1.1:2:2396048:2398202:-1 gene:ORGLA02G0034700 transcript:ORGLA02G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NX91] MAIMVDPPNGMGNQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKIHNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSPQGLSNDHCQYFLFQLLRGLKYLHSAEILHRDLKPGNLLVNANCDLKICDFGLARTNSSKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSESDLEFIDNPKARRYIKSLPYTPGVPLASMYPHAHPLAIDLLQKMLIFDPTKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENISADMIREMMWHEMLHYHPEVVAAMSAR >ORGLA02G0034600.1 pep chromosome:AGI1.1:2:2391458:2394251:1 gene:ORGLA02G0034600 transcript:ORGLA02G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCIPTGLRLDLDMVKAATSPGAHSSPLRPAHSSPSSTLSEASNTSSSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTASRPPTRQLSGLSAVFDPSSDLLPPLPVLADAAFLLRDTPPTPRQNCSSPAPVSSAFREFRDPAPLSPASPHTTDEPGELDFDDDCFLDVDEAAADGAAGGIDGIMGSLTVETNTAAATTSDDSILSSSGIHPYLRSLMVVGLAGRFELGLGSRHGARPNLNRALKRRDDDGAWWMWPAVPVKDITVAPPPPTPTPTPTPPRPQGTASNTTAAMPSPPSAPEKKKSKKKKVVKVEKVMAREKEEEESPPDARCKEEEAADGTVDAGNGDGAPTMAPKTGLGLKLDADDVLKAWSGKGSMFADGGAPESPKSAAEVRAKLADIDLFPENGNGAGGIREASVMRYKEKRRTRLFSKKIRYQVRKVNADCRPRMKGRFVRSPSLLQQVLEEES >ORGLA02G0034500.1 pep chromosome:AGI1.1:2:2383730:2384101:-1 gene:ORGLA02G0034500 transcript:ORGLA02G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAATSTGFERGGLICPTNEEGTVVAPEIGEASLSWRARRGDRRWRRLELKAGWREGGTSARREKGEVALVLSCHHRLRLDPISHRTVLASSVAPLSASSSAASGPNEEAAMPPLLCLVFAT >ORGLA02G0034400.1 pep chromosome:AGI1.1:2:2372262:2377778:1 gene:ORGLA02G0034400 transcript:ORGLA02G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPEKKPLCYTSRRALQQRTESSSELISVSKRATRQNTPRKPDSPPKRTTRSSANLAKCIENKHHSSPLKRRQGSDAATGKSATGPTRRKHKQKRKNDESDEVSRMEKRARYLLIKIKQEQNLLDAYSGDGWNGHSREKIKPEKELQRAKKQIMKYKIAIRDVIHQLDLCSSSGSKDDSVIPPDGCHESVNPEHTICSRCKSHESFPDNNIIFCEGGCKLACHQKCLEPPFDKILPTTRHGRLCKHCSSKMKILDAINAHLGTSFTVKCPSSDIFKEAAEHFNSDDGLGQDWLSEYSGDEDYDPEENEASSSGEENKSADSNCSGSPLYSPNDDIPDFISADFNDAEGFCRESSNLGIDFGEDGLAEILTHQRPRRDVDYTQLNEQMFGEPIGNDEQSEDEDWGLNKRKKRRTGSTGVGTNSVEGRSDVKSNKKAQPRRKLFRIPPAAVEVLRKAFAENELPARSVKENLSTELGISFEKIDKWFKNTRCAALRDRKGESRYSGPSKRSRTSIEKAETSAKVDQMDNSCFLPLSEIINVPTRLQKGLDKKPKSTNSPPRPQDNETCLSPTDKTKEGTPPTIKPSIADSSQLMNNNIGTEETAVSWVDTWASDALHFLDVSDDEHFFDVIEKVCGLENRLQRLKENMLSSSSSTDNNVAAESGLQNEVVLVPAAELKDKAS >ORGLA02G0034300.1 pep chromosome:AGI1.1:2:2365356:2369690:1 gene:ORGLA02G0034300 transcript:ORGLA02G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEEDPDWLRAFQPPTTSTVMLSSGSDDSPENSPTRTTPSGEEQKGENKASSDHAGDGDAAALNKGKKATPTRRKTPTSQEDAFDKDEKPTMESNQDKPPKRSTPKKKLVKPPSGSNASKVTGPKAGPDQIDDTLEHQEEGVAEEDMQDKLTEHSVSQRLPLIIPDKIQRSKALIECDGDSIDLSGDVGAVGRIVISNSPNGNQELLLDLKGTIYKSTILPSRTFCVVSVGQTEAKIESIMNDFIQLEPQSNLFEAETMMEGTLDGFTFDSDEEGDKLPEPHASQNDQNNEDGDQPKAKTKRKAEKPAGKGQKKAKVAGKATKKGTRKTQTTKRTKKAKK >ORGLA02G0034200.1 pep chromosome:AGI1.1:2:2363823:2364938:-1 gene:ORGLA02G0034200 transcript:ORGLA02G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFLYIADHCFTGPSLSHRLRRVNPSHLFYPDGHPLATKPERATVEVEDARLPPPAMAFCSPGTTATAMCMEFMRRNDGSIVGIDHTGRAVLYDPAARAVRTLPALAAPKLMPVSAAVGDDLYVMETTPLPDGAGCFEALVRLEDNPAESDSDKWEVGDNYLWRPLPPPPPPPSPCVNAYAAGFEGPSRGRICGYAVAGDGDGGTHILISTTTNGTYSFDTASSAWSKAGDWELPFRGRAEHVPEHGLWFGISDMDGTILGAWNLSSAFQQPQPPVASLQVKGFSVESHSDDRRRRELEVYASQVVHLGGGKLCVAKMFSVDRRERGEINFAVLTGVEVVRGRGGKLRVVKHKSRRYNFGQDYTPDYLL >ORGLA02G0034100.1 pep chromosome:AGI1.1:2:2355449:2362422:1 gene:ORGLA02G0034100 transcript:ORGLA02G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPENPNGAGPAAPSDPTQPQPQPPAKSKGKKKDEKKDDDLSEEDLALKEQLELYVVRAQDADPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKSYFETMPESSDLKRYMADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDDMPIDALMELVQQIVSFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNYKRTCLYLTSSSKYLPAPDDMLALDIAYTIYMKFEDLTSALRIALLLDNKSMQYVKQVYTATEDLQLKKQFSFIIARHGLAMEIDDEIAADDNDKEGLQEIVNNSKLSEGYLTLARDIEVMEAKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGASGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDAHVVAGALLGIGIVTCGVKNDCDPALAILMEYINKDDTNIRIGAILGLGIAYAGSQKEELKSYLSTVLGDSEKSTLEVLIFSAIALGLVFVGSCNEEIAQSIIFALMERSEAELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLTSVLEGFVILKKNPEYNEE >ORGLA02G0034000.1 pep chromosome:AGI1.1:2:2350577:2352503:1 gene:ORGLA02G0034000 transcript:ORGLA02G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHYDSKMQELLHQGDNEEFFTSYDEVFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQSLVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVTIEELPANVADLL >ORGLA02G0033900.1 pep chromosome:AGI1.1:2:2342801:2347084:1 gene:ORGLA02G0033900 transcript:ORGLA02G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidate cytidylyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G45040) TAIR;Acc:AT3G45040] MAMAMAPAVVSGERLVVFLFVARVALAAPAQLAAPLAVLAAAALAVELAVDASASASSSPLRRFRTSRPGASSGILLGATTLPSVMLSRLIQLYRVLLADPNGREEYAYLEMQYWAVSVSCLGMLAFFVWHLRQSPSNGNSIASKYGLLLIILYPLAYLFHLLLKTDGGLLVMSNLVYLLCHGVAAVILIQHILEKFPSCSSFGEAILVSSGLVLYCGDMLAHTLSKMEFSISSEAFIRAPGTRSEIGTVIQGVLLGLFLLPLLYKSSLQVLAYCRKLESQRTQTVEECTQKRIDCGVFYVSLLVALLLLVPSWMRLIQGFEVHPFVWVFNYIFTDSRERLALCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHLVAVLIFSPAVIFQPDFLDLAFGAAFAVFLILEMVRVWEIYPLGHIVHQFMSAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSVLAACSILLSLLASSGYILSQVVIPVSPHNSTSSWARIAS >ORGLA02G0033800.1 pep chromosome:AGI1.1:2:2337061:2340912:1 gene:ORGLA02G0033800 transcript:ORGLA02G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDAELARAQEERKKMEEALAAGAPMAVSSVTFDTDLYGGGGSDPNRFAGYDTSIPASEDDAPEDDSEAAVNPAARRLASYTGHAVAAADIPRAAEDDGLPKKSQRIIDREDDYRRRRLARIISPERHDPFAAGEATPDPSVRTYADAMRENDLQKQKEQLLRDIAQKKKEEEEKAKEKKAVPEQQPVAAPKRRNRWDQSQDGDASAAAGSKKAKTSSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGITPGATPSGAWDATPKLPGGLVTPTPKKQRSRWDETPASMGSATPGGTGAATPAGYTPGPTPFGGDNLATPTPGQIASRGPMTPEQYQLLRWERDIEERNRPLTDEELDTMFPQEGYKILEPPASYQPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKELPGGLPLMKPEDYQYFGTLLNEEEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPEFFRHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGPAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALDDDGDNIYSRPELAMFV >ORGLA02G0033700.1 pep chromosome:AGI1.1:2:2333151:2335008:-1 gene:ORGLA02G0033700 transcript:ORGLA02G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVVFVVGGSCAASSVRILHYGAPSPSSERCSRCVRRDRSHRSFKPPFEDTAAYLHALDPTVQYRDKHSLETCYEPLFFFRKHPAVEFHHPIDAPDSMFDRVILTEWAKPAMRGVLETISSHHSANLELTEFSLKDLKIFCNYQTPEFKPRFKLVCGTRNATFEGVRMNYIQAGQIFKEIVNASLGTPSSWDILIEDFQDLLQMLENPILEEAYLMRNHPALLALKLHSQFFMACYETLMRASLQSVFEVFKMLPYGMNDSSGHWKVRLEQHPYLWWMLHSQFTSYGDRNSEQCRYRRNYSCHKIHHLTKRTERFKWYSANDVDMLLYQYLPMSLPGLMRAMWHEGLLALFCLRLDELFTK >ORGLA02G0033600.1 pep chromosome:AGI1.1:2:2329220:2329720:1 gene:ORGLA02G0033600 transcript:ORGLA02G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAGPSAAPSAEYAELDNNSESSTAFLQAIIDSNRSSKGIEPIWFAACTYTLWKSRNNRVFEGQFDNLQHITQQVSDTLDLWSMRTNESNMQKIQSWCTRLS >ORGLA02G0033500.1 pep chromosome:AGI1.1:2:2326369:2327264:-1 gene:ORGLA02G0033500 transcript:ORGLA02G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding CKYHWSMAGRVCAVRRIQPNGSPHAYRSWKLSVPRIVFRCTSSXHAHVFNGSPPAGGMYQMSMNENTQPQGPSFLEMLGHGDWLFNQPPIMQPQTTGMYNPEQMMGYAGSTQSYGEPCSYGGGSSTAQHDIGPLQHDEPPPITQPAQDYDDVDLRGVEVVRRSVREXYSPERLSERYSPERLALSGRRPPTGARRKGKKKETGTSRNFDDEA >ORGLA02G0033400.1 pep chromosome:AGI1.1:2:2319095:2319947:-1 gene:ORGLA02G0033400 transcript:ORGLA02G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKQGWNAQHSSAQTVEEQKETAVYLWHTDMEGYAGVGGSKHNKPNQGKPHCHCHILESLDEQAAQQPTNVHLGEEDEVDGVRLCQQPHQRVVAVPPKQKSPEISVERKKLTHISLARLTLVLAKKPQPGLSPRVADLRMNS >ORGLA02G0033300.1 pep chromosome:AGI1.1:2:2314708:2315137:1 gene:ORGLA02G0033300 transcript:ORGLA02G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRSQRRRRKRECECQPRDGATATLRSPRRRRSPLRXPPSHRILSPPPSPSSPSWRSPPYPPSPRTPRLMPVLFCFFAKFLFIFRCLIMLVMRMNQESTDSL >ORGLA02G0033200.1 pep chromosome:AGI1.1:2:2308972:2309439:1 gene:ORGLA02G0033200 transcript:ORGLA02G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMPDRLGFPGGGNLDGGTNRIVSDSATSMRNWRRGGDGCRSGGWQDTGETVMGARSAGRWSQRMGGEIGGGGGRDDLGGSGGLQRRWRMGKISSPAVRLRWPVTRRREDARESSRSEEGGGDSWGGVSAAGIYATESSRGRGIGGIAHKWRRG >ORGLA02G0033100.1 pep chromosome:AGI1.1:2:2288656:2289735:1 gene:ORGLA02G0033100 transcript:ORGLA02G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFLYMVDIFDKSHGPISRRLRRINPSHLFYPNDALPVPQSSSSATVVEDAPLPPIDMTFCGSTEFMRRSDDKIVSVDRTTRRAILYDPAEHTVRVLPSMVEYKFWTKSFAVGDDLYVMETIPLPDKVDLGRDARPGRSFEALIHREPRPVDGDRIEDECYWRPLPPPPYVHAAGIRTDGQICGYAVVGESHILVSTHSYGAYSFDTASAAWSKVGDWALPFCGRAEFVPEHGLWLGLSVADDGVLGAWDLSSTIHQQQPPPVAHHGCKGFAVPEVPYASHVVHLGAGKLCVAKLFMVAHHRETCSHSCCDFDSDKRYFAILTGVEVVRCNGDKVDIIKHKSCRYSFGEHYIPIYLL >ORGLA02G0033000.1 pep chromosome:AGI1.1:2:2218335:2218796:1 gene:ORGLA02G0033000 transcript:ORGLA02G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSSGWAEKLRWSARRRRRMGNTSAPWGSGEDLASGSVRGGERKRRPRGXRWIAAAAAADGDDVGSCCGQSRQMGKTTALAVVGEDLASVQCAAEAVECTAAAAAATEWDDDARSEEEERVACXEGDEAYSLRRDRAWRGXNRRRRWVDGTDYT >ORGLA02G0032900.1 pep chromosome:AGI1.1:2:2212039:2213100:1 gene:ORGLA02G0032900 transcript:ORGLA02G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFLYMVDIFDKAGYGPQPYRLRRINPSHLFFPKDALPVAQSSSAATVVEDLPLPPTEMTFRGSTEFMRRSDDKIVGVDHSSRRAVLYDPAEHSVRVLPSMLAPKFYTQALAIRDDLYLMGMRPWPDEGDDREGRRSGHSFEALIHRDRGRLEDECYWRPLPPPPCVHAAGYRGSSGEIRGYAVVGDAHILVSTQSYGTYSFDTANTAWSKAGDWALPFCGRAEYVPEHGLWFGLSAANDDVFGAWDLSSTVQQQPVVAHRGCKGFAVLETPYASYVVHLGDGKLCIAKLFMVARRETCSESWCDFDRDRRFFTMLTGVEVVRCNGDKLHIIKHRSCRYSFGEHYIPTYVL >ORGLA02G0032800.1 pep chromosome:AGI1.1:2:2172328:2173422:-1 gene:ORGLA02G0032800 transcript:ORGLA02G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFLYMVDLCGDNSLTQRLRRIDTSRLFYPKDQLPRASPSSSAAAAAVEDARLPPAAMGFSASMNFMRTSDDKIVTVDDTGRRAILYDPAAHTVRSLPPMASPKFLTVSLAVAGDLYVMVTPPHPDKVGGGEGRRPEYSFEALVHRERRSGWMTNADEEARHWRPMPPPPFVHDAAAGEIHGYAAIGDSHILVSTDRATYSFDTASAAWSKAGDWRLPFRGRAEHVPEHGLCVGFLEMDDTVLAAWDVSASPQPRAPAASVQSKGFSVASPGEWKGLAAPREVASHVVHLGGGKLCVAKVFWVVRRGTCSYPCCVGEHDKVKFAMLTGVEVVPGGGHGGKPRIVKHKSCRYRFGQYHPTHIL >ORGLA02G0032700.1 pep chromosome:AGI1.1:2:2168999:2169391:-1 gene:ORGLA02G0032700 transcript:ORGLA02G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQGGSASSNKIRDIVRLHQLLKRWKRAALAPKAGKNNNGGGASVPKGFFAVCVGEEMRRFVIPTEYLGHWAFEQLLRKAEEEFGFQHEGALRIPCDVEVFEGILRLVGRKDEKAAMCYSSSEHEILCR >ORGLA02G0032600.1 pep chromosome:AGI1.1:2:2166730:2167092:1 gene:ORGLA02G0032600 transcript:ORGLA02G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFRAPRRKAAAAAEGESVRAALLVGGGGEEAAVPKGYFAVYVGAEARRFVVPTSYLRQPAFRGLMELAADEFGFAQEGGLRLPCREEDFQATVAALDARRRPASGGAIMSTMVKARSL >ORGLA02G0032500.1 pep chromosome:AGI1.1:2:2157721:2164752:1 gene:ORGLA02G0032500 transcript:ORGLA02G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGLNDTVSGVVSRKVLPACGGLCYFCPGLRARSRQPVKRYKKIIADIFPATQDEEPNERRIGKLCEYVARNHHRVPKITAYLEQRCYKELRNEQYGFVKVVVLIYRKLLVSCKKQMPLLASSALSIICTLLDQTRRDDMRIIGCETLFDFTVSQVDGTYQFNLEELVPKLCELAQIVKAEEKDNMLRASALQALSAMIWFMGEFSHISSAFDNVIQVVLESYNLQKMQNDNIDSEAPGNRWVEQVLKAEGNATISRIPSWKSIVDDKGELHLPAEDAKDPNFWSRVCVHNMAKMSREATTFRRVLESLFRHFDNNNSWSSQNTLAFCVLLDMQILMENQGQNIDLMISILVKHLEHKSVLKQPEMQLSVVEVIASLAEQSRAEASAATIGAISDLIRHMKKTLHVALGSRDLEVIKWNDKLRNAVDECILQLSKKVGDAGPVLDMMSVMLENISRTPLVAIATTSAVYRTAQIITSIPNLSYRNKVFPEALFHQLLLAMVHPDHETRVSAHRIFSVVLVPSSVSPFSKSTSPNQLVKHDIKRTLSRAVSVFSSSAALFDKLKRDKESFREKPQDGSMNRLSHAADNDTSTVKDMPSSRSRRHSFKVPNFSMKRVASLSLKSPMSPKECQNTTAESCSETESTLLRLSSRQATLLLSSIWAQAISPKNTPQNYEAIAHTYSLLLLFSGSKASIFEALAPSFQVAFSLMSYSLEGTDSLLPSRRRSLFTLSTSMIMFFSRAFNVAPLIPICKSMLNERTMDPFLHLVQDTKLQAVKDCSEETYGSPEDDNNALKSLSAVELTQSQSRESMASTIMNNIRDLPDSELQTIRSQLLSDFSPDDMCPTSALFFKLTVRNPGCDEDSSNQEDVLINMANDNDTFGEVYENTEATTASVPTANLLGIDELLESVVTDAPSQTARCSVSTAPNIPFKEMTNQCEVLSMEKQQKMSVLLSFKHKNQSNVLPINQADNTGAVHISSDDQNTNPFLQQSLDGYPKYVADGEALQVAADDVFQQQFLKLPASSPYDTFLKAAGC >ORGLA02G0032400.1 pep chromosome:AGI1.1:2:2150294:2154106:1 gene:ORGLA02G0032400 transcript:ORGLA02G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEEMVPDDGWVEYLNNKWDRNIVVEETANVSELKLQVESEQRPHKVSFYVDKKSAQEVIKSLSEKLEKRGLDVKIIYSGGQDLDVLPQGAGKGQALAYLLKKLSSCGKPPNNTLACGDSGNDAELFSIPGVHGVMVSNAQEELLQWYSENAKDNPKIIHATERCAAGIIQAIGHFKLGPNVSPRDVDFPYVKENPVKPTDAVVKFYVLYEKWRRAEVPKSDSVTQYFKNITHANGVIIHPAGLECSLHASIDALGSCYGDKQGKKYRAWVDRLVVSQCGSEGWLVRFNLWELEGDVWSCCLTSLALNAKPETPEGFVVTHIHKTWLKGYSSADEQSSKL >ORGLA02G0032300.1 pep chromosome:AGI1.1:2:2096200:2147190:1 gene:ORGLA02G0032300 transcript:ORGLA02G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUPPRESSOR OF AUXIN RESISTANCE1 [Source:Projected from Arabidopsis thaliana (AT1G33410) TAIR;Acc:AT1G33410] MATTAPAARKIAGTEVPIPGSDRVRWIELTVPSTPSPSPEGDSDPFVLVPPRAASGFHVVSSGDSQCYLAWRFHEEQQNVLEVVDLCASKEFPSSGLRLVFQEALCPFSFLCAREDGRRGTPVYLLYVLTVSGVALLCHLRSPFSYISGSIFPQDDKVEFNLQTQVQSAKVTAVTATSGCLVIGRQDGSICCYSLGKLALNSPGFLNELRDDAGIGRLWSLVSRTKAVGPVQDIVTATVNERVLLFVLHLDGSLRIWDIFNHTKLLSYNVRSNDIEGQPSRIWVGDADDDQELIFLAVLHQGTVTGDCDCVSVYGFSFGAGERFLFSPEPSFFSIPLVEGKLIDLKISMDKLWILKEVGSMLYEIVQYDCDTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCLEGMEQFIYGSSDDLRNLDILGVNPLDNISQSEILIEVLRCMDHISHLLGRSAAAIYHESLISSVISPDEIVSQILKILGTGFSPQSPSALITLFGTDAYAERRQTAHKSQRKFSVEMLLSFRKLQSKSTSWSAVFDVIENFMKYLNTNVTIQEYELKRVCNVNTVLLVQATSQVARTMFESTFDLYLFLSYLVSIGGQVSLSQNDIARIKLKLFPVIQDILGQWIVLHFVGISPTTPPTIEDFSYQLSSLQLGKADDLSLHRKFGCSYFTLACLLDFPKSADGDVLSPWFPNPTELVNLVRRFSGSIMSENSAANVDCFLSSTINLAAVLVRHGQYEAAQSLLGILDTHMNYVKASQADQVTDLARSSCLHLNGFCLLVLARDEANIVLKESKVHEAIRCFFRAASGQEATKALQKFSVETGFQISGECRSFTAWRLRYYEWAMQIFEQHSMSEGACEFALGALEQIDSIVDLDNGSEAEDIPETTTMIKGRLWANVFKYKLDLKNFQEAYCAIISNPDNDSKYVCLRRFIIVLCELGETKVICNGEIPFTGLVEKVEQELFWKAERSDLLSRPNLYKVLYSFEAYRNNWRKAAAHMYRYFVRLSREGNAGGTRQLSHALQERLHALSAAINALQLVDPSFAWLDSVCEADDQISPSKKPCNLLMKNSAFGTDSELSRLKFCVDIEILEKEYTLTEALYMLSTVNSRFNFSDNQSIEALTDILINENMYDMVFTIVLKFRKESGMKRELERVFAAIAQQCCPNRVGNSGKNLLLPSSDDDARDGNGNSIAMAHQSQGSCYWETLEIYLGRHTEAANLLVEYLESFASSRPVDVLHRKKMSAAWFPYTAIERLWCQLEEMQNAGHSVDQCDRLKKLLHGSLISHLQQVVVDSDDVLSSLGGGKGMGSQSN >ORGLA02G0032200.1 pep chromosome:AGI1.1:2:2090189:2092867:-1 gene:ORGLA02G0032200 transcript:ORGLA02G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGFMGKRKELDQVVDGLSDFSLSGPAAKSRRLDIGLPPIMEEETPGPSMPFGHEMLGEEIHSVVSMPIIEDMLGGAMESHPSSEDKALVLYKPVDNTACIGPGTSNPSIIISPDLIRSLKNQAFMQRNFHGLEDKSPGCSNFLALVPWTPPQIATVSNWSASDSEKREFFEVPMESDEVDSMDVEESPEANVAAIDGESLHQWQQHCMTPSSLPNPSAPVMWSR >ORGLA02G0032100.1 pep chromosome:AGI1.1:2:2088025:2089317:-1 gene:ORGLA02G0032100 transcript:ORGLA02G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFLHLLVKKPTAWGSEEFALRRIDMSRFFPPRKPTGGGDGSAAADRPRPAAAAAAAMVDAQLPRAGEAIYRPQGHGWGRCGATILGGGKDKVVGVDGECRSFLLILYRCGAHAVRLLPAMRAPKRSPIAFTVGDGVYVMEAAPPEPPRMRGAEHRFEALVHGLPPAPPRGGATAIEDWHWRSLPAPPYVLDPGGDDGPARVAAHTVVGDSQIWVSTERHGTFSFDTASGAWSKAGDWALLFRGRAEHVPEHSLWFGFSPHRDGHLCASDLTATPPSLRHTWSYRPSRKDRPAPVASYLVPLGGGRLCVAELFETTRVEVGGRPLDTNNKKKKAASKTTTRRWGFSAAAAGEEEEEEETEVRERFAVVTGVEVEASASGKAPLRMARRAVRRYVLSTETVVTREARRRSSRRRGRPKKDDDETLHWVF >ORGLA02G0032000.1 pep chromosome:AGI1.1:2:2077816:2085916:-1 gene:ORGLA02G0032000 transcript:ORGLA02G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRGDGGGDEEEEAAMAVVSALPQHLVFAYYITGHGFGHATRALEVVRHLIAAGHDVHVVTGAPEFVFTTEISSPNLHIRKVLLDCGAVQADALTVDRLASLEKYHQTAVVPRESILRTEVEWLNTIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVVVAGHHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRLHKSRSEVRKELGIKDDVKVVIFNFGGQPAGWKLKKEWLPDGWLCLVCGASETQELPPNFIKLAKDAYTPDLMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEHYQCGVEMVRRDLLTGHWKPYLQRAITLHPCYDGPINGGEVAAHILQGTAVGKKYISGKLSGARRLRDAIVLGYQLQRAPGRDVGIPDWYSVSEKEIGVRPAPTYHEVNGSAESSFEDFEILHGDIQGLTDTMAFLTSLSGLVGNDPRSPEKQSRERVAASVFFDWEEEIYVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAIQRSNPMKQKLWKHTQARQLANGGAVPLLQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYDKAKEYFSQDPSQKWAAYVAGTILVLMTELGVVFTDSMSILVSSSVPEGKGVSSSASVEVASMSAIAAAYGLNIPPRDLAILCQKVENRIVGAPCGVMDQMTSACGEANKLLAMICQPAEVKELVSIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMIKCAASDLLSESLPSCSPIQSGNTNSDEYEEHGVDLLKSEASLEYLCNLPPHRYEAVYARDIPEIITGDAFLEKYGDHNDAVTTVDPKRSYCVKAPTRHPIYENFRVEAFKALLTAAKTVEQLSALGELMYQCHYSYNACGLGSDGTDRLVNMVQEVQHRKTSQDGGPSLFGAKITGGGSGGSVCVIGKNCLKSSEEIFEIQKRYKAATGYLPIVFEGSSPGAGKFGYLKIRRRST >ORGLA02G0031900.1 pep chromosome:AGI1.1:2:2057786:2064406:-1 gene:ORGLA02G0031900 transcript:ORGLA02G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1NX63] MASMKQQQTPASSAVTAAAAASSSATAAVAACEGERKAAAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKDVDAHVPSYPNLPSKLICLLHGVNLHADPDTDEVYAQMTLQPVNTYGKEALQLSELALKQARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDIHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIVVRDEKHQLLLGIRRANRQPTNISSSVLSSDSMHIGVLAAAAHAAANSSPFTIFYNPRASPTEFVIPFAKYQKALYSNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFLCPQPFFGVKRPRQLDDESDMENLFKRAMPWLGEEVCIKDTQNQNSTAPGLSLVQWMNMNRQQSSSLANTAAQSEYLQALGNPAMQNLAADELARQLYVQNNLLQQNCIQFNSPKLPQQMQTMNDLSKAAIPLNQLGAIINPQDQKQDAVNHQRQQNSIQVIPLSQAQSNLVQAQVIVQNQMQQQKPSPTQNPQRINGQRLLLSHQQQDQNLQLQQQLLLQQKQQLQQQQQQQQNQQQLNKSLGQLVNLASQQSKLFDEELQLQILQKLQQQSLMSQSTSTLSQPPLIQEQQKLITDMQKQLSNSHSLAQQQMMPQQEIKPSLQATPLLPTVQQEQQQKLLQKQVSLADVSGVAFQPISSTNVIPKTGGAMIISGATQSVVTEEMPSCSTSPSTANGNHFTQSTKNRHCINTERLPPSTAPMLIPTSIDAVTATPLMTKELPKPNNNVKQSVVNSKLPNVAPGPQNCINNALQTDNLETSSSATSLCPSRTDGLVHQGFPSSNFNQHQMFKDALPDVEMEGVDPSNSGLFGINNDNLLGFPIETEDLLINALDSVKYQNHISTDVENNYPMQKDALQEISTSMVSQSFGQSDMAFNSIDSAINDGAFLNKNSWPAAPPLQRMRTFTKVYKRGAVGRSIDIGRYSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVRCIRILSPQEVQQMSLDGDLGSNVLPNQACSSSDGVNGWRPRCDQNPRNPSIGPYDQFE >ORGLA02G0031800.1 pep chromosome:AGI1.1:2:2055586:2057063:1 gene:ORGLA02G0031800 transcript:ORGLA02G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASHRVFVYGTLMAEEVVRVLIGRSPSSSPAVLPNHQRFSIKRRVYPAILPVDGKEVSGKVFKGITDRELNVLDIFEDEEYVKRTVEISLTDTSEKLLAYAYIWGNQDDPDLYGEWDFEEWKRVHLEDYVKMTQEFMEELEQLEPKTET >ORGLA02G0031700.1 pep chromosome:AGI1.1:2:2051271:2052314:-1 gene:ORGLA02G0031700 transcript:ORGLA02G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRFVQLVLEEFAPRRSNYTLRNIDMDRFFLPRPSPVPSAAAAADAVEYGSLPCPAMTFYPPSSSLSGNQNMEFFLLGGNHNMVVAADQSCRTVLYDPGEHAVRTMPALPYQVTLPATSVTVGDDLYILDVDDGGSFHGLIYEDGLNEDWRCCALPPPALSDFEVDSYAVIGGTDIWLSTHGNGGIYCFDTVRHAWSTVATRWTLPFVGLAEYCHEHGLWFGLSHSTRDRRRRSLVLSALDLDGGELPLLRSFPMEFTPPDALNLVSSDLVNLGSGKFCIARFFRTDEDHRDGEELFAVLTAVEVERCDDDEDDAGGGANGGGLRMLKHRSEMYKLTSEMMYWVL >ORGLA02G0031600.1 pep chromosome:AGI1.1:2:2042139:2043484:1 gene:ORGLA02G0031600 transcript:ORGLA02G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGKEVKTRPDPKVEIQEKGEIFFFYRPKVGKDEARGPDDVQRMYIVLRPEATGDGGDRAVEDKQAPDSGKEGHKNQQPQNSDGDGGGEGGHGKEVVSVTEEVNVEEQALLRLIVMGKKSLPDPAAKRGRPYWGYVELVTTDVEHIKDALKEEEYSTATRGTRRRAAARALGEGVYRILKHDSGRRVHTHLVYKLELPLPARRREHDAEADEAGEPQEAMNVEPEASYLIQIKNPEQPPPSGGGGDGGFRGLQSKRRAAFPAHLQGRFGSNHRYAPADPPDLLNYEGCEFLLIAASDDVEEELGLELETETDAEADLEGDGAAAAKCSDLVKMFGEVADVKPLLSGSWD >ORGLA02G0031500.1 pep chromosome:AGI1.1:2:2030528:2032669:1 gene:ORGLA02G0031500 transcript:ORGLA02G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEVLGDDLLRLPSPAALVRATLADRCFFSNSDILLFFFNAGDNPNSSEEPINEGPGLEWGWEGWKGKGEEGGGCRA >ORGLA02G0031400.1 pep chromosome:AGI1.1:2:2019023:2028008:-1 gene:ORGLA02G0031400 transcript:ORGLA02G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:I1NX58] MWRLKVAESGGTPLLRSTNGFLGRAVWEFDPDHGTPEDRADVERVRREFTDHRLHHRESADLLMRMQYIKQNKHQRRRYRIPPVNNKLGEKEEVTEEIAMASLRRALDEFSSLQADDGHWPGDFSGVMYIMPGLIFALYATGSLDTIISPEHRREICRYIYNHQNEDGGWGSLILCSSTMFGTCSNYITLRLLGEETSNEQLAKGRIWIISHGGATLVPQWGKIWLSILGVYEWAGNNPIFPELWLTPQFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPTTPTILALREEIYSAHYLTIDWAQARSACAKEDLVCPRTRLQNAVWSWLYKWVEPVMSSWAMSKLRGRALDRLMEHIHYEDENTQYLCICSVNKALNMVCCWAEDPNSDAFKRHLARVPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYASTVQRAHEFLKNSQVVRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTAEALKAVLLLTKISINVVGDPIERERLHDAVDCLLSFVNKDGTVSTYECKRTSTWIEILNPCESFPNMVVDYPYPECTSSVLQALVLFKELCPGYRTEEIEKCVRNATMFIENTQGEDGSWLGTWGVCFTYGAFFSVKGLIAAGRTYENSSSIRKACDFIMSKQLNTGGWGESHVSNETKVYVNIKGDHAHAVNTAWAMLTLIYAGQMERDPAPLHCAAKELINMQLETGEFPQQEHVGCFNCSAFFNYPNYRNLFPIWALGEYCNRLRSERRA >ORGLA02G0031300.1 pep chromosome:AGI1.1:2:2017321:2017902:1 gene:ORGLA02G0031300 transcript:ORGLA02G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdopterin biosynthesis MoaE family protein [Source:Projected from Arabidopsis thaliana (AT2G43760) TAIR;Acc:AT2G43760] MASDELPVAAAAAEEEDLVEILDEGSGRLDIARYVGHVRDLAAGAIATFEGTTRDSFEGRRVVELRYEAYGAMARRRLAAILREARAAHSLRRLAVAHRLGTVPAGEASVFVAASAVHRADAMEACRYVIDEVKASVPIWKKEVYDDGEVWKENREFLDRTTTDGATASSPAPATRPAKGGGCCGSKVRVNES >ORGLA02G0031200.1 pep chromosome:AGI1.1:2:2005809:2013193:-1 gene:ORGLA02G0031200 transcript:ORGLA02G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:I1NX56] MWRLKVAEGGGALLRSTNGFLGRAVWELDPDHGTPEDRADVERVRREFTDDRLRRRESADLLMRMQFAKQKKLQRRRDSIPPAVKLGEKEQVTEEIAMASLRRALDEFSSLQADDGHWPGDFSGVMFIMPGLIFALYVTGSLDTTISPEHRREICRYIYNHQNEDGGWGTFLSSSTMFGTCSNYITLRLLGEVLTNEQLARGRIWIISHGGATLVPQWGKIWLSILGVYEWAGNNPIFPELWLAPQFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPTTPTILALREEIYPVHYLTIDWAQARSACAKEDLVCPRTRLQNAVWSWLYKWVEPVMSSWAMNKLRGRALDALMEHIHYEDENTQYLCICSVNKALNMVCCWAEDPNSDAFKRHLARVPDFLWLSEDGMKAQVYDGCQSWETALIIQAFCATDLVNEYASTVQRAHEFMKNSQVVRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTAEALKAVLLLTKISSSMVGDPIERERLYDAVDCLLSFVNKDGTISTYECKRTSTWIEILKPCESFPDMVVDYPYPECTSSVLQALVLFKELCPGYRTEEIEECVRNASMFIENTQRKDGSWLGTWGVCFTYGAFFSVQGLIAAGRTYENSSSIRKACDFILSKQLNTGGWGESHVSNETKVYVNIKGDRAHVVNTAWAMLTLIYAGQMERDPTPLHCAAKELINMQLETGEFPQQEHVGCFNCSLLFNYPNYRNIFPIWALGEYCWHLR >ORGLA02G0031100.1 pep chromosome:AGI1.1:2:1998891:1999229:-1 gene:ORGLA02G0031100 transcript:ORGLA02G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDKAVGFILTLTSLSIFTYYTFWVIILPFVDSDHFVHKYFLPQEYAILIPVLAGVILLSFLSVFMGLVMLKSKKKKKTT >ORGLA02G0031000.1 pep chromosome:AGI1.1:2:1997766:1998371:1 gene:ORGLA02G0031000 transcript:ORGLA02G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAAAADPAASASRPHQEAAAGEQGKQGAGEQEIVSVEKLAELDSGDHNRSLAAMLVRMKAEKEKEKEDFPVMAMVAGLPMRMGPRGSTRRGTSPTTRRCWADLGGGTPRGGIK >ORGLA02G0030900.1 pep chromosome:AGI1.1:2:1981604:1989904:-1 gene:ORGLA02G0030900 transcript:ORGLA02G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:I1NX53] MWRLRVAEGGGDPWLRTKNGHVGRQVWEFDPAARDPDELAAVEAARRGFAARRHELKHSSDLLMRMQFAKANPLKLDIPAIKLEEHEAVTGEAVLSSLKRAIARYSTFQAHDGHWPGDYGGPMFLMPGLIITLYVSGALNTALSSEHQKEIRRYLYNHQNEDGGWGLHIEGHSTMFGSALTYVSLRLLGEGPDSGDGAMEKGRKWILDHGGATYITSWGKFWLSVLGVFDWSGNNPVPPEIWLLPYFLPIHPGRMWCHCRMVYLPMCYIYGKRFVGPVTPIILELRKELYEVPYNEVDWDKARNLCAKEDLYYPHPFVQDVLWATLHKFVEPAMLRWPGNKLREKALDTVMQHIHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRVHDYLWIAEDGMKMQGYNGSQLWDTAFTVQAIVATGLIEEFGPTLKLAHGYIKKTQVIDDCPGDLSQWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKISPDIVGKAVEVNRLYDSVNCLMSYMNDNGGFATYELTRSYAWLELINPAETFGDIVIDYPYVECTSAAIQALTAFKKLYPGHRKSEIDNCISKAASFIEGIQKSDGSWYGSWAVCFTYGTWFGVKGLVAAGRTFKNSPAIRKACDFLLSKELPSGGWGESYLSSQDQVYTNLEGKRPHAVNTGWAMLALIDAGQAERDPIPLHRAAKVLINLQSEDGEFPQQEIIGVFNKNCMISYSEYRNIFPIWALGEYRRRVLAADK >ORGLA02G0030800.1 pep chromosome:AGI1.1:2:1978226:1981325:1 gene:ORGLA02G0030800 transcript:ORGLA02G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDDDNHGDPLQQQPEEEDGGGYGDVAVGGGLFSVPGYEWADVAALGPDVAGRAVRVRGAAHAVRAVGRRVAFLVLRQGSSTVQCVVGGGGGGGGGGDAGVARFAAGLSRESVVDVAGVVSLPREPVRGTTQQEVEIQVKKLYCISKATPNLPISVDDAARSEEDVVKAKAAGEQLVHVGQDKRLDFRVIDLRTPANQAIFRVQCEIENIFRQVLLSEGFVGIHTPKLIGGSSEGGAAVFKLDYNGQPACLAQSPQLHKQMAICGGFERVFEVGPVFRAEDSNTHRHLCEFVGLDMEMAIKDHYSEVCDVVDRLFVAMFDHLNKNCAKELEAINRQYPFKPLKYLPKTLRIEYDEGIRMLKEAGVHVEAMGDLNTESEKKLGELVHTKYDTDFYMLCRYPSAVRPFYTMPCSDDPRYSNSFDVFVRGEEIISGAQRVHVPEVLARQAEERGIDVGSIAAYVDAFRYGAPPHGGFGVGLERVVMLFCGLGNIRKASLFPRDPRRLNP >ORGLA02G0030700.1 pep chromosome:AGI1.1:2:1963763:1973094:-1 gene:ORGLA02G0030700 transcript:ORGLA02G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:I1NX51] MWRLRVAEEAGAGGEGWLSSTNAHVGRQVWEFDAAAADDDDAAAAAEEVEAARREFTRRRHQIKHSADLLMRLQHNESGCRHIQIRWLKICVIFHPKPKKSTLVWIGLSQLTYLFIPTNPILKFTRSNPSKLEIPGIKLGEDEDVTEEAVLTSLKRAIRRYSTLQAHDGHWPGDYAGPMFLLPGLFYPGYSTACDWCTKYCAINRTSKGDSPVLGVFDWSGNNPLLPELWMLPYFLPFHPGRIWSHCRMVYLPMSYIYGKKFVGPITPIVLTLRKELYNIPYDDINWDKARNQCAKEDLYYRHPLGQDILWATLYKFVEPVLSHWPGSKLREKALKNAMQHIHYEDENTRYICSGAVQKVLNMLSCWIENPNSEAFRFHIPRVHDYLWVAEDGMKMQGYNGSQLWDTAFTVQAILATNLIEDFGPTIKLAHDYIKNSQLLHDCPGDLSYRYRHISKGAWTFSTADQGWAVSDSTAEGLKASLLLSKISLEIVGEPLKVNRLYDAVNCLLSWMNNNGGFATYELTRSYAWLEILNPSETFGDIMIDYPYVECTSGVVQGLTAFRKHYPGHRREEIDNCIQKADSFIQSIQRSDGSWYGSWAVCFTSGTWFGVKGLIAAGRTYENCPAIRKACNFLLSKELPCGGWGESHLSCKDKGERDPAPLHRAARILINLQLEDGEFPQQEIIGAFSKNCAISYSQYRNIFPIWALGEYRCRVLLGRQDASVTGNTAS >ORGLA02G0030600.1 pep chromosome:AGI1.1:2:1959654:1962929:1 gene:ORGLA02G0030600 transcript:ORGLA02G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGMDWNQKSSVLWDWENMPPIGNSANENPKNVMLAESKLAGVGVDIGHESGHSSGGTFSSSSEIGYGSSKSSISASIDSPSKVGNTIELNFASAEEHDKNMDKGKSKVDDTGTSRSPVVAANRVEPLIGLKLGKRTYFEDVCGGQNVKSSPSGVSVATPGLAKKVKVAQQNTQNPHCQVEGCNVDLSSAKPYHRKHRVCEPHSKTLKVIVAGLERRFCQQCSRFHGLAEFDQKKRSCRRRLHDHNARRRKPQPEAISLSSSRLSTLLYGDARQQASFLFGQAPYGQMGSCASSWDNPVPGGFKFTATKAPWSRPTIAAGVDGTHVSNQQASGNVLPHGAHHSFDGLMAFKETNAKVLNQGMEASAVASGSARGPDFEHALSLLSIDSVGAANLQPGSQIHPGVTAIAGTSNPVMMPSPAIWQGGLSLDQQAQFQAFDRLGNDDDEDHLQLPKPSYDNSHYDQMN >ORGLA02G0030500.1 pep chromosome:AGI1.1:2:1950395:1953597:1 gene:ORGLA02G0030500 transcript:ORGLA02G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWWWHGRAAAAVACWVWLAAAAAVGVEAIGANWGTQASHPLAPDTVVQMLKDNGFDKVKLFDAGEDTMSALRKSGLEVMVGIPNDMLAAMASSMAAANKWVDQNVSNYLNDGVKIRYVAVGNEPFLETYNGSFLQTTFPAIRNIQSALVKAGLGSQVRVTCPLNADVYQSSTSKPSDGDFRTDIHDLMLTIVKFLSDTGGAFTVNIYPFISLYSDSNFPVDYAFFDGAASPIVDGSATYTNMFDANYDTLIWALKKNGFGNLPVIVGEIGWPTDGDMNANIQMAQHFNQGFLTHIATGRGTPMRPGPVDAYLFSLIDEDEKSIQPGNFERHWGIFTYDGLPKYQLNLGQSHGLLKAKNVKYLERKWCVLKPSIGLTDPRLSDSISYACSLADCTSLGYKTSCGGLDTRSNISYAFNSYYQKNDQDDVACGFSNLATITGQDPSTGTCRFGIMIEVDSAFSWKLQHVRSSNLLMLLLVLLQLCLSFS >ORGLA02G0030400.1 pep chromosome:AGI1.1:2:1938644:1944433:-1 gene:ORGLA02G0030400 transcript:ORGLA02G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHK1 binding protein 1 [Source:Projected from Arabidopsis thaliana (AT4G31120) TAIR;Acc:AT4G31120] MPLGQRAGDKSESRYCGVEVLDFPAGEELPAVLSHSLSSSFDFLLAPLVDPDYRPTPGSVLPVAASDLVLGPAQWSSHIVGKISEWIDLDAEDEQLRLDSEITLKQEIAWASHLSLQACVLPPPKRSSCANYARVVNHILQGLTNLQLWLRIPLEKSEPMDEDHDGAKDNSDMSDTVDSWEWWNSFRLLCEHSSQLCVALDVLSTLPSMNSLGRWFGEPVRAAILQTNAFLTNARGYPCLSKRHQKLLTGFFNHSVQVIISGRSNHNVSQGGVLSGDENHTEDTAVRHALSPYLDYIAYIYQRMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDTVKYTQYQRAIAKALVDRVSDDDVSTTKTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESLVTIISSDMRCWEAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIEPITASKLHNDIKAHKDIAHFETAYVVKLHRIARLAPTQSVFTFDHPNPSPNASNQRYTKLKFEIPQETGSCLVHGFAGYFDAVLYKDVHLGIEPNTATPNMFSWFPIFFPLRKPIYVPSKTPIEVHFWRCCGATKVWYEWAVTAPSPSPIHNSNGRSYWVGL >ORGLA02G0030300.1 pep chromosome:AGI1.1:2:1934159:1936391:-1 gene:ORGLA02G0030300 transcript:ORGLA02G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETATAAAAAEKASSYRYWVREATGDAAPLPVPRKLDATAAAAANGNGNPPPLGSVWNQAGTWEEKNLNSWANSRIKEMLGSLDSLEFPTGKASLDGVSKCIGDAFLVTVRNKKRVGYTYELSLKFKGEWLIKEEKMKVKGHLDIPEFSFGELEDLEVEVRFTDDKGLASDDKRQICKDLKSFLSPIREKLRAFEEELKDR >ORGLA02G0030200.1 pep chromosome:AGI1.1:2:1926802:1929053:-1 gene:ORGLA02G0030200 transcript:ORGLA02G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSVIAVKQFSGPDKIAQAYTVPQPSAHVLSNANYDYDLCGSTNSTSLSCAIQSSNIKTESISSSSLPKILPFSTDSNGESSLSRMSQAEFSDPILSSSSTFCTSLYTSSPMNSGSCRKTGYLPFLPQPPKCEQQQNSAGQSSSSLMLLDADLRNSGHADDEHTDDLKDFLNLSSDCSFHGKCSAMAYNEQMEFQFLSEQLGIAISNNEESPRLDDIYDRPPQLMSLPVSSCSDQEDLQDARSPAKVQLSSSRSSSGTASCNKPRLRWTPELHERFVDAVNKLEGPEKATPKGVLKLMKVEGLTIYHIKSHLQKYRLAKYLPETKEYKKQEEKKTKSVANGNDHAKKKSAQMAEALRMQMEVQKQLHEQLEVQRQLQLRIEEHARYLQKILEEQQKARESISSMTSTTEGESPEFAPMEKTEDKAETSSAPLSKCRITDTDAECHSKVDNKKTKPQADLEMVHDE >ORGLA02G0030100.1 pep chromosome:AGI1.1:2:1924225:1924797:1 gene:ORGLA02G0030100 transcript:ORGLA02G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFATLCSCIDITDLSLFKGWVFPLSMLGIIPLAERLGFATEQLALFTGPKVGRLLNSAFGNATELIISIHALSRGKLHVVQQCLLGSILSNLLLVLGSAFFSGGLACGKTMQTFSKVTILHYFYKIS >ORGLA02G0030000.1 pep chromosome:AGI1.1:2:1916626:1916952:-1 gene:ORGLA02G0030000 transcript:ORGLA02G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIEETEETSEVDLDDLQMDETEDPFAEELDNLVLNIITRKCNSSVAQLNREQFAENKDSRYKKRQQFEESSPHKN >ORGLA02G0029900.1 pep chromosome:AGI1.1:2:1915232:1916365:1 gene:ORGLA02G0029900 transcript:ORGLA02G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGTQAMRRFVYLVVKGCYDRRLRCCRDSYMADTFHMRRINVSRFFFYPKPPPPPPPAMVVDARLPRPCITFCAPSVMHFMLLGRNSDKVLAVDHKGRTTMYDPAANTIRAAPTLAHPKRLPAISLPIGDDLYILDPTSSDHRCFEALVYKPGGPAHDWVTTRGPIDRYNDWHCQSLPPPPYHPYSCSFVGANGAIGAYAVVGGGGDSAEIWVSTNDGSGSGTFSFDTARRAWTKHGDWTLPFRGLAEYVPEYNLWFALSSGSNNNHLCAFDLAGAAEPPATRDFCQELKPPKDWKLVSSHLVHLGSGRFCIARFFDKPVKIPVCCVCDMDTQTETYGVFTGVEVKKPGRGLRLRMVKHRSECYRFDDHIKEWVL >ORGLA02G0029800.1 pep chromosome:AGI1.1:2:1908725:1909201:-1 gene:ORGLA02G0029800 transcript:ORGLA02G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTYPWWKEKVIDSNAKRKDELCPLTMEEAAMVLKALDIDRSYQIYIADGEIYGGQRRMAALTSAYPNVVRKETLLPSDISGFLQNHSSQMVALDYIVLGE >ORGLA02G0029700.1 pep chromosome:AGI1.1:2:1894567:1897029:1 gene:ORGLA02G0029700 transcript:ORGLA02G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVEEGGGGGAVARIFVGGISEGVAAADLEAMFASVGRVAGVEFVRTNGRSFAYVDFHCPSNKALAKLFSTYNGCKWKGGKLRLEKAKEHYLTRLKREWEQEAAAAQEMPASADVESKKEKLEPNKAVLDSTKINIYFPKLRKVVKALPFKGTGKHKYSFRHIEVPSYPIHFCDCEEHCGPPEAANDEYASVLDAAAYEKERSIMNSVMSKLFEKENDHLDSMEIQNHGVDFDAAEPSNARNELQMDKREETSEEDLDDQMEETEDPSEEELDDLVLNIVTRKPKSSVAQLNSKKQAADKDSRFRKRQQFEESSLQKRHKSSDFSETRNRKQSFPAISGAIQNEQKSSDLSGKGTHEFSSELDGDKSSASVQDVEALADSSTRNGSEQNSLASEPKRVSLWTQKSAWRDLVGGMGSASFSLSQILPNTNPAPPKVSNATEASASHAESRTKVKPSGKSLKPSEAATQLLPEQKMPTSSMAMLSSERKENNKLEKERVVPKITIGEVCPFMRNSESEKQWSKAKKVLTGFIKKGNESTGSNVGKGKPSTRR >ORGLA02G0029600.1 pep chromosome:AGI1.1:2:1893064:1893366:1 gene:ORGLA02G0029600 transcript:ORGLA02G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAPAVVALAAVLAASTSPATAAAAAADPAPQEEAAAEGGAASTLCNVPPTLSGEDKQAEKIKHPKSASAMRCTSKCVSTCVLGGAGSPGVDGPFNIRR >ORGLA02G0029500.1 pep chromosome:AGI1.1:2:1878918:1881002:-1 gene:ORGLA02G0029500 transcript:ORGLA02G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRGHAAAAWALLLLLLGAAVAQHEGNVSEEYAASFASRYDAPPSWAFPNPRLRAAYAALQAWKQTAIFSDPSNFTASWVGANVCAYNGVYCAPSPGYGGGGGGGLVVAGIDLNHADIAGYLPASLPLGLPDLALIHLNSNRFCGVVPDTFRRLRLLHELDLSNNRFVGAFPEVVLALPSLRYLDLRFNDFEGSIPPALFDRPLDAIFLNSNRLRNPIPANLGNSPASVVVLAHNNLGGCIPPSIGKMADTLNEIVLIADELTGCVPTQVGLLRKVTVFDVSDNHLQGPIPASVAGMAAVEQLDVARNLFEGAVPAGVCGLASLKNFTYTDNFITSRPGCGVATADGVWNCIPGAPGQRPPSQCAAAAAHPFDCSKAQCQAASYTPTPGGSPRRGGQGPPAPVSSPPRRRGPYPQPPSSSPTPSYPSPSSSYPAPPGSNTPSYPSPPSSATTPSSHSPPGGSSSTTPSYPSPNGGKPSTPSHPSPPGSTTPSYPSPPSSSTTPSYHSPPQGHTTPSHPSPPSSSTAPPSHSPPQSTPTHPSYPSPPVTYTPPPPTSADRPDVRFAPPPGSYGPIPSTPPSSGSPPSPSSGYQPPSGQPGASPPTQHVPGAGTPTTTPPSHSHPSTPPSPSGPSFHPPPTPHNCSPPSHGSSTGGGHGGGHPPSTSTPPGGKLPFPPVYGVSYASPPPPVKPYN >ORGLA02G0029400.1 pep chromosome:AGI1.1:2:1869123:1875687:1 gene:ORGLA02G0029400 transcript:ORGLA02G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSIAVAAASAGLRGLAQPIIGNLSSFASRYVGVDMAREMVDLETIILPQLNLIIASAESQPQHVNNIVQKCLQRLKVELSQAEDLLDEHEYDLLKHRMKQKKLSVFSVHGQCQGPISNPFIWVLSNISSLSPHNTKMLNHLKEVKSILEKARNFLGVLSVATQVSADTAGSRVIQDTTTFREEKVFGRDKDRDSIINLLFDPAMVGGGNSNIKGYSSIAIVGAGGAGKTTLLQYICSDDRVQNNFHVIWVCMSHKLDIRKHTTEVIESLATEETPKIQNLDTLQRKLKNLLLEKREKELLLVLDDVWFEQRHHSEWEQFLAPLISAEFNKGIRILVSSRSKELPSVLNCRKTVSLEDIEENDFLTFFRYYSLGHVLIGNEELEEELQGIGDDIAKKLRRSPLEAKAVASRLSRMLDVEIWKHARDSKQLDGNIMENLLWSYQRLDPQVQRCFLYCSIFPKGYMFHIDEIILLWEAEGFVSSNGRSERAEGIARQYFYELSLSGFFGKQSHGKDSHVGYRMHDLFHDLAENLSIDDGYRIETEENTEIPQFVRHISISVPSLERHAASICKLEQLHTLIFFNPVADIGKFLKPMLKKLKKLRVLSLCFFSSYTLPKHIGKLKHLRYLNLERTSISKLPKSSCKLYHLLVLKMNKKVPKTLPKKANNLISLRRINGPLKDVRNVGMLTSLEDMKEFQVKKEKGYEIGQLGSLTKLRGHLHIMNLENVQNRNAAKDAKLQEKANLDALRLVWNHDTYKNNNVDLEVLEGLEPSSRLNELAIEGYRSTSYPKWLSGCSQSLRSLELLNCTFLENLPSNLQCFARCRSLALLNLTELKRLSPLPENLTSLKFGGCSSLCFISKEEEHGVNPAREKALQPLTSSTELETLTEILRLDGSELEQFQACFQELQYPVSTISARRRDVAQLVLPLTLRRLELSSCNITDQALSECLRSLTSLKDLALLHITTLSALPSKQVMENLSMLSSVGITSCRSLCSVAGLGAIASLEKLAISFCPSLELSDRRILPSQLKEVTVRGCTIHDGFLHDDLPFLVNLEISKCRTPSVLQVGAWPSLKCLKLCDCLDVCFLVGLPALESLQEVQLVLPNLGADSFTGCKGNWRSLRVRTSSLLHDLSELEGFAPPMLLTIEGCQEPDFSLEGIQNLSSIVGLSFMNCKVQSISAMKDLASLETLAFFDCPLLISLPELPPSVQYLDIIGCQILEKRCRSRRGEDRRKISQIPQVDEVTTPHGGLQEVSGEYSMIKAGGALKMVDDERVMMESLLCLPPASRRRHHPHLLRRPPGRQPPLRHAPRLIQLMPNCTVTAAWRRDQAIPSGRICATTDTINSIQPTTNATVLQSNRLAKKLVEQTAGCTRRVVRCAIIEKIALLGAQGSVVGTL >ORGLA02G0029300.1 pep chromosome:AGI1.1:2:1864829:1867073:1 gene:ORGLA02G0029300 transcript:ORGLA02G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEANGEEEQQPPRRNHLRDDAEEEEEVERRAARPVSGQQQQQRRRRPTDVGGGAAMRSVGYVGKHRLSAAIARLDQELQSLQDELNELETMEPASAACQGVITSTEGKSDPLLPVTIGPENASWERWFQRVRSSRSNKWWASKGSDFS >ORGLA02G0029200.1 pep chromosome:AGI1.1:2:1859361:1862822:1 gene:ORGLA02G0029200 transcript:ORGLA02G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSSRCAASSSSSAFLGAPLPGGRHHQGSCGFVAAGGGAKTTVRVAAALDTEATAKAGKSSSRARRARKDGGEPGGSSSTALLAPTHPDGRGGGGVALDNVIVNPVGLGRRSRQIFDEVWRKFSRLGQMSTVASPEQEEQEGVLFRGGGPMCDFAVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDQEVIDMLPRSVDIVVGDVGDASSVQAAVSGCNKVIYCATARSTITGDLNRVDNQGVRNVTKAFQDYYNQLAQSRAGKSSKSKLSIAKFKSAKSLQGWEVNQGSYFQDIYPSRFDEGTDASFEISENGQAVFSGFVFTRGGYVEMSKRLSLPLGSTLDRYDGLLLSVGGNGRSYVIILETGPLADTSQSKKYFARMNTKVGFCRVRVPFSDFRPVNPQDPPLDPFLVHTLTIRFEPKKQRPGDSSQGASDPRNFQLIMEFIKALPTGQETDIVLVSCTGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIVCPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEHVSKQGDELYELVAHLPDKANNYLAPALSVLEKNT >ORGLA02G0029100.1 pep chromosome:AGI1.1:2:1855699:1857976:1 gene:ORGLA02G0029100 transcript:ORGLA02G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mraW methylase family protein [Source:Projected from Arabidopsis thaliana (AT5G10910) TAIR;Acc:AT5G10910] MAMFARRLLAFHLRPPAHPLAAAIAAPHRRRKHDAVACRAAAGKGTSKARARDKDGSKRQQRRALEEHLKRRTRSGAAFDAGLYRLHSHAEHVPVMLGEVLAAFRRPLPLRSFVDCTLGAAGHSLAMMEAHPEMELYIGMDVDPSALEIGRSRIEAFLANRETNGDDEDASQGTLRAYTHAKNFKYIKHVLGSVDENIAVGTSGVDGILIDLGMSSMQVNRSDRGFSVLQDGPLDMRMDPKATLKAEDILNSWPDLEVGRILRDYGEESNWQSLQKRIVKARAMGGLHSTGELVKLIQRTCTSSGGRQGWIKTATRVFQALRIAVNDELRTLEDALHACFDCLATDGRLAVISFHSLEDRIVKQTFLELIHEDEIDDDEDDLVSSDIDSEDEPWFKQRVQGKNGTILTKRPISPSQEEEELNQRCRSAKLRVIQKA >ORGLA02G0029000.1 pep chromosome:AGI1.1:2:1843827:1854038:1 gene:ORGLA02G0029000 transcript:ORGLA02G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQAPQTNQVGGSGVGGADGLPQQMQDVVGLGGLDTQFLLMRNTMRDKIFEYIGRKQSSTDWRRRLPELAKRLEEILYRKFLNKADYLNMMRGPVEPQLQFAIKTLSAQNQQNQQNQQMPRQMASSSGYGTMIPTPGITQSATGNSRMPYVTDNTGLPSSGATMVPQGANTGTSLPGSMSNGYQHLTTSVPLNSTTSSIPSTMGPVGIQRQVTHMIPTPGFNNQQNVPVNPDFSNGAGYFNGEPTVTSQMQQQKQFPSNQNSHQIQHIGGHSNSGMHSNMLENSSAYGLSDGHVNGGMGVHGSNMQLTNRSAASEAYINISTYGNSPKPVQQQFNQHPPQRIPTPVDISGSGNFYNTGSSALTAANNHSMGATNLPSRSRMNSMLHTNQLNMQSIQPQPQIKTEVLDQPEKMNFQSSQLTHEQLIRQQHSMQQHQMQPSSQFVQNQYHLNQQQPNSQHQQSILRSNSLKQPQLSSSHSMQLSEQGALPHTELISSQATEHADIPIYQGQYQQRSAHDNVKGGQVFGHLSSSQNFHSNASHDSQQLLPTNQQLDDSSNDVSYVLKGSQPEQMHQAQWRPQTMEKAPVTNDSSLEKQIQADLCQRTMSQDGAQQPFSSDWRLPGCTVTPADPALPKLPSGGLEQAAGNIYYFRQMKWLLLLFHAKSCLTPVGSCKFHRCFQCPVCSNAKKLLQRSAELASKQKPPEPRKIAQQNTAQRIMNGVEGDIMDIDLVSDEIFDSQPSVPKRLKMQPVSPSTAEREVSMPSNAGLILQETHSELPDQNNKVGQLKMDVKIDPRPLQKPAKIGYGTDGNVPTARHNVAPGGSNEIKTHVKQEIMPIDKETSETAPEVKNEANDSTDITVSKSGKPKIKGVSMTELFTPEQIQEHINSLRLWVGQSKAKAEKNQLMGHNENENSCQLCKVEKLTFEPPPIYCSPCGARIKRNAPYYTVGTGDTRHFFCIPCYNESRGDTIEVEGQNFLKARFEKKRNDEETEEWWVQCDKCECWQHQICALFNGRRNDGGQAEYTCPNCYVEEVKRGLRMPLPQSAVLGAKDLPRTVLSDHIEDRLFKRLKQERQDRAAQERKSIEEVPGAEGLVVRVVSSVDKKLEVKPRFLEIFQEDNYPTEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGAECSYPNQRRVYLSYLDSVKYFRPEIRTVSGEALRTFVYHEILIGYLEYCKQRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKATKEEIVVELTNLYDHFFITMGECKAKVTASRLPYFDGDYWPGAAEDMINQLRQEEDDRKQQKKGKTKKIITKRALKAAGHTDLSGNASKDAMLMHKLGETIYPMKEDFIMVHLQYSCSHCCTLMVSGKRWVCHQCRSFYICDKCYDAEQQLEDRERHPSNSRDTHTLHPVDIVGLPKDTKDRDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCCHDIETGQGWRCEVCPDFDVCNSCYQKGAVNHAHKLTNHPSAADRDAQNKEARQMRVQQLRKMLDLLVHASTCRSGSCQYPNCRKVKGLFRHGMQCKTRASGGCVLCKKMWYMLQLHARACRDSGCNVPRCRDLKEHLRRLQQQSDSRRRAAVNEMMRQRAAEVAANE >ORGLA02G0028900.1 pep chromosome:AGI1.1:2:1835936:1840458:1 gene:ORGLA02G0028900 transcript:ORGLA02G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRATGAVCAVIGSFSGRDSKKSAASGSSSSSSSTQEIAVARGTTLELLRPDPETGRLRTLLSVDVFGAIRSLAQFRLTGATKDYLVVGSDSGRLVILEYSPDRNRLDKVHQETFGKSGCRRIVPGQLLAVDPKGRALCIAALEKQKLVYVLNRDAAARLTISSPLEAHKSNTLTFSLTALDCGFDNPVFAAIELEYAESDRDPTGQAAEQAQKHLTFYELDLGLNHVSRKASEPIDNGANLLVTVPGGGDGPSGLLVCCDNFVLYRNQGHPEVRAVIPRRADLPAERGVLIVAAATHRQKSLFFFLLQTEYGDIFKVDLEHSNDTVTELRIKYFDTIPVTSAICVLRSGFLFAASEFGNHALYQFRDIGRDVDVESSSATLMETDEGFQPVFFQPRALKNLYRIDEIESLMPIMDMRVANLFDEETPQVFTACGRGPRSTLRILRPGLAISEMARSMLPAEPIAVWTVKKNINDMFDAYIVVSFANVTLVLSIGETIEEVSDSQFLDTTHSLAVSLLGEDSLMQVHPNGIRHIREDGRVNEWRTPGKKTITKVGSNRLQVVIALSGGELIYFEMDMTGQLMEVEKQDMSGDVACLAIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQSVSSAPESLMFLEVQASVGGEDGADHPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCIVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESAASFSSDQCSEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVILPKKKYLAVIESDKGALSAEEREAAKKECLEAAGVTENGNANNGDQMENGDGQEDGAEDRNTLPDEQYGYPKAESERWVSCIRILDPKSRDTTCLLELQDNEAAVSICTVNFHDKEHGTLLAVGTAKGLQFWPKRNLSAGFIHIYKFVDEGRSLELLHKTQVEEVPLALCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFPRTIVSIHTYRDRIYVGDMQESFHYCKYRRDENQLYIFADDSVPRWLTAANHIDFDTMAGADKFGNIYFARLPQDLSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGGECLIYGTVMGSVGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPSLPADMQRKIADELDRTPGEILKKLEDIRNKII >ORGLA02G0028800.1 pep chromosome:AGI1.1:2:1831766:1833311:-1 gene:ORGLA02G0028800 transcript:ORGLA02G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L3 family protein [Source:Projected from Arabidopsis thaliana (AT2G43030) TAIR;Acc:AT2G43030] MAAVGIGGGGGALGLLAPRRRAASWSGAGGAAAAAARRRPLVAVVRASYEAGVGVMATKVGMMTYFDPATGKPVPVTVVGFREGGNVVTQVKTAATDGYDAVQVGYHGVREEKLTRPELGHLGKAGAPPLRHLQEFRLQSVDGYDPGQPLDFADMFKEGDLVDISGKSIGKGFQGGIKRHNFKRGLMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVKIDNDLKVVMIKGAVPGKPGNLLRIAPAKIVGKNIPKN >ORGLA02G0028700.1 pep chromosome:AGI1.1:2:1826825:1830096:1 gene:ORGLA02G0028700 transcript:ORGLA02G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREASGMSGGEDVRKVSRQDIQLVQNLIERCLQLYMNQKEVVETLSFQAKIEPSFTELVWQKLEEENREFFKAYYVRLMLKNQIMVFNKLLEDQYRLMCKEQPSGVPSMPPTTNGSNMGTLNQNACFLPDTTPSTAMPDSLLPNGSSSGIVNGTPSSDQFIYAGKVIHGLPSSMDASSSLLAAHNSTAGRFNGDNGTTIKTEASYSGNSDFGFCNESAFLEPCQSIGDASGGSFSSSELNGQPLGDPIMDMDSSSFGFLSQIPRNFSFSDLTEDFSQSAEILENYGRSPFIPSEPNNFSESTPGDHAEIGNRRLDTISEGVSYEDFGSD >ORGLA02G0028600.1 pep chromosome:AGI1.1:2:1814341:1823844:1 gene:ORGLA02G0028600 transcript:ORGLA02G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGPPGRGNGGCIDLSPAGLLAHGAGSSVVISDPRTMQLLCVLPMPSSSLASFVTAVRWVPPSSRFHAAGIDDEDDERRPLRLAAGDRHGRIAVWDARARLVLHWLNLDETRGVAPGSGGGVQDLCWIHHASGWLLASIHGPSLLCIWETSNNPSVLWMFDASPEYLSCLRRDPFDARHLCAIGLRGFLLSAIPRPDSDISLQEHRVTCGSSDITELQRLEKEIAAPPPSPALAAFPAFTPKLCFSPLWRNILYVTFPRELIVFDLNYSTALSVTPLPRGFGKFSDVMSDPDFDLLYCTHIDGKLSIWKRKEGEHVHLLCAVEDLMPSIGTIVPPPAVLATTIWQSEAIFRNIEKQSRDLGQIQSTHSVISDTNTNQNMYQGTMTYLTSISEDGKIWSWHLSFDKFACASKVKLGTSNHSDAGISNSRPNGLDFTVKINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVAVGTQNGTIEIVDVLANAISVSFAVHSSTVRGLRWLGNSRLVSFSYSQANDKTGGYNNKLIITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPSAPRPGQNAASKQSSTSKERSAEATGAESTDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASADGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSADPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNIDPRASSTLRPVTTRERFRPMPLCLPILFPTAHALALRMILQLGVKPSWFECNSGDKLASSSFKEAPATFGDLRSYMIETTLPPIGDSVVAELLLKVLEPYRKDGFILDDGRAKLYSAIVNKGTCARFAFAAAIFGDIQEALFWLQLPQALHHYLDKSTSRSSEKGSESSVHYHSEQASTLNRASSSTERSAPENMAKVAENCGQLSSMAFRQEQLWFNANERIPWHEKLDGEEALQKRVHELVSLGNLETAVSLLLSTPPEGSNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWVDAATLAASHLHGSDYARVLQRWGDYILRSEHNMWRALILYVAAGALPEALETLRKNQRPDIAALFLLACHEIYSQITTESESSDDTPGSGSPTSEQSPKLRFPSKNVDDEDLIAVSEVFGHYQQKLIHVCMDAEPNVD >ORGLA02G0028500.1 pep chromosome:AGI1.1:2:1807119:1810294:1 gene:ORGLA02G0028500 transcript:ORGLA02G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRMVILVLLLSCSLQLLRAFPFPIPFFGPFTSPQDVDAINELYSSLGSPDLHGWASSGGDPCMEAWQGVQCLGPNITAIELRGAGLGGKLSETLGKFTAMTTLDLSSNRIGGVIPESLPPAVKQLDLSSNSLSGKLPDSMAKLNSLSTLHVQNNQLTGTLDVLGDLPLKDLDVENNLYSGPIPEKLINIPKFLRNGNHLTIPTMPGSSPTPATIPGSPPTPAAAAAAPPSGASHPPIYVIPATPQGAAPGDPPRHGKKVSPAKAAGFSILAAGSLTIAVLLIVLAVSKRRRETSLHGGFLRGVEMSTPDWSGKPSGQSAVVKVDKEQSTVAEEKDTKGSISSYQKNVQESLQSHPLQFKFTIFTVASLQQYTNSFSEQNLMRQTLFGKIYLAEHQDIKFAVLKLDEAMARMPVDEFSRMVQRISELQHPNIEELAGCCVEHGQRLLVYKHFSDETLDDMIHLKKLASSDDPAAKITLPWDARVAVALEAAKALEYLHEGGQRQVVHQHFRPEHVLVDGEMRVRVSGCGLAATVKSGLDLQSECWLDALSYEPPEAAASSAAAPWTDKGDVYSFGVVMLQLLTGRRPYDGARPRGERQLVAWASSRLHDLTALEKMADPRLGTPAPAPATVRSMSRFADVISRCTQQEAEFRPAMSQVVQDLRRALQPARDACGQQSCSN >ORGLA02G0028400.1 pep chromosome:AGI1.1:2:1804124:1805239:-1 gene:ORGLA02G0028400 transcript:ORGLA02G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGTQAMRRFVYLVVKGCYDRRLRCCRDRYMVDTFHMRRINVSRFFFHPEPPAPAPMVVGARLPRPRITFCAPSVMHFMLLGRDSDKVLAVDHKGRTTMYDPAADTIRAAPTLANPKRLPAISLPIGDDLYILDPTSSDHRCFEALVYKPGGTAADWVTTGGRIDRYNDWHCRSLPPPPYHPHSCSFVGAHGAIGAYAVVGGGAEIWVSTNDGSGSGTFSFDTARRAWTKHGDWTLPFRGLAGYVPEYNLWFGLSSGSNNSHLCVFDLAGAAEPPATRDFYRELKPPKDWKLVSSHLVHLGSGRFCIARFFDKPAKIPVCCGCDMDTQTETYGVFTGVEVKKPGRGRLRMVKHRSECYRFDDHIKEWVL >ORGLA02G0028300.1 pep chromosome:AGI1.1:2:1790021:1792416:-1 gene:ORGLA02G0028300 transcript:ORGLA02G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRFVTSSMEAFSKLVMMPIARHSPRRRHAMSAASEILTVRRRISDRSDSELVCGHPPCRHRSNAPSAAGLPPPRCCCDSTEEFAAGRGFIRPRLGLGNLLVDVRLGLGNLLVDVEREGSDRRADTGPSPPRRCDFTEFVAGSRFMRRRIGFGDRRADAGPPPPRRCDSTAFVAGSRFMRRRIGLGDRHVDAGPTPPRCCDSTEFITGGRFIRRRVGLGDLVVESAAVGPCPLGCRDSTELVAARIRYIRRHLGNLFLEAVGRMKPPDEIIFSICLDHRLLSSSLPPRRLHGILSQGSAPPPDLRGVAGQYQLAALKRMKRILWRATPMASEFRASAKSHRVVEIHGEPPEQERIGLRVLLRRQSSSPPRRHQPLRASASHLQVLRRWCSNSHVPNVPPSSSSPPPPPPSSPQPTNPADFWKINTPLNPSATKTEKSTIDETLLQLKFDPKEFARCNKLMETYNVLGQEWTFTKLKEQTKYLFDSLIVLVESFHEAGYCFSQISTSTVLVTSSWKFVLLEGCFALNNWSVEGVKKDYRDIAVLFRKLLSESMGEETVHPPDFGMLLSLMEKDGFLNKYLIGTHVSLLPDDNISIAYMKIHEFIRKILLAEEKDKARQLKLGITRYSKISTRIRRRIPYKDIWLTKARSNSFMTAFLEQNERNMELGGTDFELLDTIRHFVCHRLDLLKLGFRYKANEVDRMWYAEFPTLLA >ORGLA02G0028200.1 pep chromosome:AGI1.1:2:1761128:1762183:-1 gene:ORGLA02G0028200 transcript:ORGLA02G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRFVNLVLDKVQGNYTVRRMDMSRFFLPRKLATPLDAGAHDGAAAVEYGNLPCPVMSFRASVCAMETMEFMLLGGRHNKIVGTDLTGRTLLYDPDEHVVRSLPTLPMPKVSPVSLTIGDDDLYILDDIQGPFTGGHDHCFHALTYSRESFTDADGDWCCHTLPPPPYMVKQRGDFQFDSYAVVDGLDIWISKQGVGTYRFHTERGEWSAVASGGEWCAMPFTGLAVYVPEHGLFYGLSSGSDNVLSASDLISGGRKPEQHNLLPLEYTPPKALTQVSSHLVHLGSANFCIARFFETGFFDPDEQHNPELFAVFTAVEVERCDDTGVLRLVKHKSEMYKLISGIYYWVL >ORGLA02G0028100.1 pep chromosome:AGI1.1:2:1750293:1751467:-1 gene:ORGLA02G0028100 transcript:ORGLA02G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWMDEWGRRLSARRRSGDEEESAEEARWMPGVVEGAAERGIEPSRPRDRGGCEERGEVGDSGGGEGMRVSAYSTHSEALDIWIQWVPLRREGVGIAKKAELHQLVYHSFGKISLNLRLWRVRRGLATSACCPFCPIDEDVEHLFLRCSGVAAIWHSYGLDEQQVASLAHLEDLWGLPPPDNALTPRIWHTILLAAVWNIXKRRNNKIFNSIDETHSVVLRRCANDIELWLNRCNDVVDKQQLHSWALNLSVINH >ORGLA02G0028000.1 pep chromosome:AGI1.1:2:1747250:1747739:-1 gene:ORGLA02G0028000 transcript:ORGLA02G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDLGSSLVTLSMQYVLLGFSRAARTTVAAAASPTSGTLCAMLPDSCSPHRPQLGVGCRQIGVRRRRTGGMNGSGAWEEETVASESGRDEDEAAPWRC >ORGLA02G0027900.1 pep chromosome:AGI1.1:2:1738083:1738457:-1 gene:ORGLA02G0027900 transcript:ORGLA02G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQLDSFDGLATGILAILVARRLGLRSTGLTGTATLSTAALQACSRTAIARSVFRNIHCMKSTELKGNGTSTERRQGNEQEIETTAAQSAMATVVILAAGGKNLSGGEAEALPLELLGFGRE >ORGLA02G0027800.1 pep chromosome:AGI1.1:2:1722743:1725418:-1 gene:ORGLA02G0027800 transcript:ORGLA02G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLGGFNLLLQRGGAAGDRGSEGGGGGSPGTEEEEAAVVVKQRIARALRLYKEAAGGGGWMVQVWAPARDGARRVLATRGQPFVLASQCHRLFQYRTVSLTRVFPVGGAAAADEQGLPARAFDAGAPEWTPNVQCYGSGEYARISYALIYDIQGSLALPILDPDDASSPLAVLELVTTAPLLRVSGEVANLCNALQAVSLRGAGICNSVAECXSLEPDSQSRCDPGGHGRGIGAPDHGVRSSQAAIGADMGEMQELRRRRRGHGEGRADDRRRAVPPCHRRGRARLPRRLRRAPPAAWPGARRQHGGRRRRGARAGRRPLRRRRAVLQGRLPSRALRWHVRPRGMPRAARXAQRGGHGGRRRRRRRRGLRRAGALPPAGLHRRRGAEGGGGRHLRHDQAVLRQPESHCDQQLGXFVLGYYGRWXSAATAXNGXSWRXSKMLGRGGSATAGEHKHWRAXHSQXRSNQKXGSYITSWQEQDEKRKIXEICHFGGAAKTLLWELEGCCKKPRCWIFSGLSSSKSXCSCANQHCAQQQXNASAGSMASQGGHFARSARCSNGDGVVTIKASHRGDIIRFRVPCSAGVTAVKAEVAKRLSLDAGAFDVKYLDDDHEWVLLSCDADFQECLDVVPALPSMSVTARSGSGAAAPVVVRLMVQEVADNIGSSCASSD >ORGLA02G0027700.1 pep chromosome:AGI1.1:2:1718830:1721972:1 gene:ORGLA02G0027700 transcript:ORGLA02G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase-related [Source:Projected from Arabidopsis thaliana (AT5G39790) TAIR;Acc:AT5G39790] MECLTTSFTRNPGREYNLICPSEALSEKQRIQRRVLCYFPASTNSRRCRNFTTMAYPVSPIAGRRSNWRSFATSLNLEDGPASSDSTSSPSEQTSDGGEIYGDSSENLNSQKLKSDELKSLLADSERSKLLKKLSEANQYNRFLKRQLQMKDNDVVKFKSELAVMELELQALVALAEEIANFDVPSGSRKINGKYIQSHLLTRLEAVHDKFMEQIKDVDSLKHQEISVYWIGIAENVQIMGSFDGWSQGEAMSMEYSGYQARFSATLNLRPGRYEIKFLVDGEWRLSPEYPIDGEGSMQNNILVVN >ORGLA02G0027600.1 pep chromosome:AGI1.1:2:1716570:1717184:1 gene:ORGLA02G0027600 transcript:ORGLA02G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSRLNPNATPFVPPPRPSFEESLSKRKASEKQVGDTEKDENADKSAEYELPDSLSLDDYAESLGKLIISAESSSKGEATNSAFDPSQYEQNGVDNHLAVVESLSKMFPDVSADFIVEALKAHEFDTELTIDMLADLCEGGDYGHPAEVSAK >ORGLA02G0027500.1 pep chromosome:AGI1.1:2:1713081:1713998:1 gene:ORGLA02G0027500 transcript:ORGLA02G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKIETGHQDVVHDIAMDYYGKRIATASSDNTIKIIGVSGNSHQQLATLSGHQGPVWQVAWAHPKYGSLLASCSYDGRVIIWKEGSKPDEWAQAHTFIEHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARSDGGWDTTRIDQAHPVGVTSVSWAPAMAPGALINTGPSGQFEYVQKLASGGCDNTVKVWKLYNGSWRMDCFPALQMHRDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTAPKEGEQWEGRVLYDFQTPVWRLSWSLTGNILAVSDGNDNVTLWKEAVDGEWQQVTTVEA >ORGLA02G0027400.1 pep chromosome:AGI1.1:2:1705286:1711156:1 gene:ORGLA02G0027400 transcript:ORGLA02G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase V family [Source:Projected from Arabidopsis thaliana (AT5G64420) TAIR;Acc:AT5G64420] MAGKKRPPTALAEPEVAAAAEEEEAAAKPAPAAEAPAKKKKLAMERKKQRKEIDKERHRQSAESDAAAAKPKQPAEVAPEAAAAAAPPPVIPVVSGPGLHMNVFRDLASPEASQREAAAEALVAELRQVQVAHEKGGRKEGESEAEGGDGSSRMEAEKDDGLENCAPSVRYAIRRLIRGISSSREFARQGFALGLAVVLESIHAISVEAIMKLIPNLLEYSASMRGPEAKDNLLGRLFGYGSIVRSGRVSGQWAHEEGSPIVKDFVDEVVKLGSKKRYLTEPAVAVILDLAGKLPEEAIISEILEAPSVQDWFNRATDAGDPDALYLALKLQEKTNAQKEIFGKLLPYPFSPEGFFAEEHLLSIAACFKESTFCLPRIHSLWLVITDMLVREAASQSDIATSSSKKHKKNKKGSSSEDTKKNLHTFCEVIIEGTLLLSSHDRKHLAFNILLNLLPRLSPSSIQVILSSKVVHGLMDVLSNESSWLHNAGQHFLKELVSLVSDDNDRRVAVITNLQKYTGGRFDCMTKTRTVKDLVAKFRSGQDCLCLVQSLMSLFVDESSVTDEPSDQSQTTDENSEVGSMEDKDLFGQGSADLLKSWIVTTIPCVLKNLKLTSKGNSLTDSEMVKCIEEKFLVQTEVLKFLAVQGLFSASLGYEVTSFELHEKFKWPKPAISTSIRNECIEQLQFLIEDAQKDEALHISEVKSNDLGFYFMRFINTLCNIPSVSLFRTLSSNDDNAFKKLLDVESLLFYEERKVGPGLDSTKMHAMRYLLIQLLLQVLLHPDEYWEAAIDVTICCKKSFPSIVQNDKSSGQPSNEGVEVFNEDGPGKSNKDGPEEHNDDASEDSNEDGPLEFMDVLVQTFLSVLPHVSGPVCFSIEQVFRVVCDEITETGLLDMLRVVKIDLKGSCRQSGSDDDEDDACVDIEDDDETIVEDAEVGDTDSAADGLDEEMDDDSADEVDEGQDDLKESVAHESKDGDVAEVTKDGDDSDDSDGMDDDAMFRIDPYIARIFKERNLPGSETKQSQLMRFKLRVLTLLEIYLQRNTGNNLVLEVYSFLMQAFVKSHSADGSEQFKQRIGGILQKRIFKAKDYPKGDGVELSTLESLLEKALKLASRSRYTMVASVAQNAAFWLLKIINSKSHSKQELVSVVEKFQYILTDYFNNKKSRLKLGFVKEAVRRNPWIGEELFGFVLQKIGCTKAEYRRVQTLELVDCILKSWAGDDSSASKVLKKHLSQLCELIQEVLTKIPENKSRRQEVRRFCTRVLQTVTKLNLKDRFQKKLNPETLSLCEAQLGAAFVRFQK >ORGLA02G0027300.1 pep chromosome:AGI1.1:2:1702056:1704922:-1 gene:ORGLA02G0027300 transcript:ORGLA02G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRGLVLYAAGHAALLPPASGGGGGGGGGGNHLDAFASLSSCGFLSLRSPLPSGEEKCDSTILELAQLLDVYDDLFPAKTEETGQETVQVDPLQLVVPKLSERFMGIRAAMVTNCPLVSSFAANLGFHVSGTEDFVAQSGSSAASKEAGIISQALSLLGFSEGNVQETSEFDLVFLHVAMENTNSKLGKLGMKTDLNRLNKLVGAIMEAAPISSAIASRIHVSVMLTYGSAAENKDEFSISNSSTEIDSDLNLLRPRQSYTMKAGNTLDDVRNHHPILLAQWQEGVTRSDLTEGFSFEEFIKRGGNLSMLAERFLHEVAFKLWKAPKYGA >ORGLA02G0027200.1 pep chromosome:AGI1.1:2:1694664:1696331:1 gene:ORGLA02G0027200 transcript:ORGLA02G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSMRSRQEPRRVSNGVIIAAMLLSLCVLSIVKARYCSTPFVKPDDQLQEQMNSSIRMETDEPATMAAGEQEEDEEEESSGGGGAEPEVSATPAVVVTAAAGGKRKPTCRMTSKRSERCEARGDIRVEGNASTIYIGGIDKEWKTKPYARYHDPVAMAVVREFTLKPVTESSPACTRNHSVPAFVFSNGGFSGNLYHDYTDVLVPLFLSTHQFKGQVQFLLSGLKPWWVNKFNLFFRQLTKYDILDIDNDKDVHCFPRIVVGATFHKDMGVDPKRSPGHVSVVDFKRALRRAFGLERVAASRGGATGKGKPRLLIISRKNSRRFLNEREMAQAAAAVGFEVRIAEPDQHTDMSTFAQLVNSADVMIGVHGAGLTNMVFLPRGAVLIQVVPFGGLEWLTTVTFKNPAKDMEVTYMDYNVQLEESSLIDQYPRNHQVLTDPYAVHKQGWDALKTAYLDKQNIKMDMDRFKKTLQEALDKLPPA >ORGLA02G0027100.1 pep chromosome:AGI1.1:2:1682153:1686216:-1 gene:ORGLA02G0027100 transcript:ORGLA02G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WEE1 kinase homolog [Source:Projected from Arabidopsis thaliana (AT1G02970) TAIR;Acc:AT1G02970] MLRTKTPRPRGGKSRRATAAAGKEREREREREREGRSPSGELSLQLEHVSLFSFLADAPREGAAAARTPFTPFEELLEGSCDPDPTPPPPLPPLQPQATPMDADEVVEEKDSGILSQDFFCTPDYITPDAPQLGSGFDANKENIPCPNSPEKSVCRSKRYKRDCSPKGLGSNDIFDSQWIAPVQFEGLDDSEEEQLKESSSHKRGSYVSQSAVALRCRVMPPPCIRNPYLNTDHQIDDNVFGGRQCKSSGFSPSVDGDGLSRYRTDFREIEQIGRGNFSVVFKVLKRIDGCLYAVKRSIRQLHNDRERRQAVKEVQALAALGCHENIVGYFTSWFENKQLFIQMELCDRCLSMDRNQPLKCGEALELLYQICKGLDFIHERGIAHLDVKPDNIYVRNGVYKLGDFGCATLIDRSLAIEDGDSRYMPPEMLNDKYEHLDKVDIFSLGAAIYELIRGTQLPDSGPQFTSLREGKIALLPGCPMQFQSLIKSMMDPDPVRRPSAKEVLRHPIFDKLHKAPAKK >ORGLA02G0027000.1 pep chromosome:AGI1.1:2:1673211:1676330:-1 gene:ORGLA02G0027000 transcript:ORGLA02G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NX14] RTSDMEFFTEYGEASQYQIQEVVGKGSYGVVAAAVDTHTGERVAIKKINDVFEHVSDAIRILREIKVLRLLRHPDIVAIKHIMLPPTRREFRDIYVVFELMESDLHQVIEANHDLSPEHHRFFLYQLLCALKYIHSANVFHRDLKPKNILANSDCKLKICDFGLARVAFNDSPSTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEILTGRPLFPGRNVVHQLDLITDLLGTPSSETLSRIRNENARGYLTGMQRKHPIPFSHKFHNADPLALRLLERLLAFDPKDRPTAEEALADPYFRGISKLSREPSRLPVSKFEFEFERRKLTKDDVREMIYREILEYHPQMLQEYIRGGEQNSFLYPSGVDRFKRQFAHLEENYSRGERSTPLRRQHASLPRERVCSSVDSNNQDSDNEERRAISSIARTMISPPRSQEKGKNHASAYPNGIINLNSNPKIYLKSASISASTCIIRGNKGPKENGISEDMEEVVYELSDNVTRMLS >ORGLA02G0026900.1 pep chromosome:AGI1.1:2:1671729:1672043:1 gene:ORGLA02G0026900 transcript:ORGLA02G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRRPYAVVVPAVRVAVLAVVVVVVLLVLLCGPCDGGARHMLQEEISGGAWARRSPEPGAAGVLHRRTSDFLPPSGPSERHNARLDADVAEHGQSPPPASP >ORGLA02G0026800.1 pep chromosome:AGI1.1:2:1670059:1670331:1 gene:ORGLA02G0026800 transcript:ORGLA02G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVVVAVALLVAAVLAAFAALAPACEGARVMREAAAVGKMSSYQPPVRHKPPVPPSGPSHRHNKRATVPRKRKHFPPSGPSYHDPHS >ORGLA02G0026700.1 pep chromosome:AGI1.1:2:1668066:1668308:-1 gene:ORGLA02G0026700 transcript:ORGLA02G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWYTMEVLCAYGMRSRIWKESKFDTISYVKFLSCTRGFPKVFRTPNMSLVRGFRLPTSCINRGEREASRYRF >ORGLA02G0026600.1 pep chromosome:AGI1.1:2:1666165:1666976:-1 gene:ORGLA02G0026600 transcript:ORGLA02G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGVEAATAAAAAAACIMLLILLTSGQLQLASAESEIGRCYDDCLPDCEQGSSRAGCKLFCFTCCVLKPTHNCTRGGESTAAAAAAAEFAGDAGCRELCTSSIGDAATGESARATDADVAACVDSCNSYEEKN >ORGLA02G0026500.1 pep chromosome:AGI1.1:2:1658086:1658588:-1 gene:ORGLA02G0026500 transcript:ORGLA02G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAQPSPSPSPRRSPEAAAGGAGAAGDPPVTPMHSAAGDEWAAEEKRPPTPPQSSSASPPLMVLVERRPHRQTVSPPAAAEAEAAIPATPATAAGMGSIPAFSSSSASGACAGASACSRTEAGSGSGTESAG >ORGLA02G0026400.1 pep chromosome:AGI1.1:2:1651677:1655277:1 gene:ORGLA02G0026400 transcript:ORGLA02G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase [Source:Projected from Arabidopsis thaliana (AT5G14760) TAIR;Acc:AT5G14760] MAALMNGFGSLQCKAMVHVEKGHMQASGMAFFSPVNRCAQVHISRFCSIPHFIGAKSVSASQLRMRHKVGSIRASAASCLQDDTTKYFDFVVIGSGVAGLRYALEVSKYGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVRVVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVDNDDNISLFGHHFAIDLLTCQSNGEIYCYGVDSLDAETQKAIRFISKVTLLASGGVGHIYPSTTNPPVATGDGIAMSHRAQAVISNMEFVQFHPTALSDEGLPIKPATRRENAFLITEAVRGDGGILYNQSMERFMTSYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPREKVLAHFPNIAAECLRHGLDITQQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADVDPSFAKKWARPVLSVSLRDSILSDIIEKTKQARMELQSIMWEYVGIVRSTNRLKHAEWKISDLESEWVEFLFRRGWKPTMVGVETCEMRNLFCCAKLVVKSALARHESRGLHFTEDFPYLEESKRKPTVIFPTHIQELTWSSKPLQKQLQCK >ORGLA02G0026300.1 pep chromosome:AGI1.1:2:1646103:1647375:-1 gene:ORGLA02G0026300 transcript:ORGLA02G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 homolog [Source:UniProtKB/TrEMBL;Acc:I1NX07] MGKRKSKAKPPPKKRMDKLDTVFCCPFCNHGSSVECRIDLKNLIGEASCRICLETFSTSVNALTEPIDIYSEWIDECERVNNPEEDNANHYEDDNASRYRYDEEE >ORGLA02G0026200.1 pep chromosome:AGI1.1:2:1642203:1642982:-1 gene:ORGLA02G0026200 transcript:ORGLA02G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAAAAAAMAQSPRSLHTLISFGRGADGVDDDEATPASVDVGDAEGAGLDLDFAFAPPVSAAELAPADDIFAHGRIVPAYPVFDRSLLDLSPGDASTAAPSADTYCAWTPRSAPGSPGRDRFPKSASTGGESSSSSRRWRLRDLVGAGGRSRSDGKDKFAFLHHHAAAPPSSKLKTPPPPQQPQQKKQSAVKTKPAAKKGVVTEMDMATAHRLFYSKASAGAAAGGDRRPQQASYLTYRPAFSGLFALGRSQHHTAY >ORGLA02G0026100.1 pep chromosome:AGI1.1:2:1636092:1639169:1 gene:ORGLA02G0026100 transcript:ORGLA02G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) TAIR;Acc:AT2G18250] MVVLVEASPRGGAGDHPASCELDAGGDVGSGGRQYAAVVIGGTFDRLHQGHHLFLKAAAEFARERIVIGICDGPMLAKKQEAGAYAYLIQPIEKRMENVKEYIKAMIASYHFQSIKPDLEVHVEPIVDPFGPSIVDEALEAIIVSSKETLPGGLAVNRKRAERGLAQLEIEVVELVPEKSTGNKISSTAFRKKEAERELHKQQQEAPHEQAVELECRI >ORGLA02G0026000.1 pep chromosome:AGI1.1:2:1631042:1634452:1 gene:ORGLA02G0026000 transcript:ORGLA02G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G18245) TAIR;Acc:AT2G18245] MAASSARLLAAAAAAAAARGRAATTFRHAPTVSSSLSFPPNPHHRPSLHGRAGISFSSTSISLPSGSPHGASAPFNLFPPDSDPFIQWDPPPPDAASPLGAGAAGREAPGDLTLVVLLGWLGARQKHLRRYADLYRDRGVGSVRFVVPVRELLGLDLGRRVERRVADLAAEIAAWCDADHRRTLLFHTFSNTGWLAYGAILENLQSRADIIERIRGCIVDSAPVLEIRPEVWAAGFSAAMLKKSSSMTGPSVESPDGYTSNGTLNNLSSDVTRPTWGENILLSTLQKFFEIVLHLPDVNKRLEKVHSVLSEKQPTCPQFYLYSSADRVIPAECVERFIDMQKSLGQSVFAHNFVSSPHVDHYRSFPHVYAAKIDEFLKICSIARV >ORGLA02G0025900.1 pep chromosome:AGI1.1:2:1626860:1630096:1 gene:ORGLA02G0025900 transcript:ORGLA02G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:I1NX03] MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSACVGLRSRTHAVLAAANKAASELSSHQRKVFRVADHAGVALAGLTADGRVLSRFLRSECINHAFVYDAPLPVSRLALRLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERRFEGYNDYTPEQLIKDALSAIKETLQGEKLTSSNCTVAIVGRKDDGTVEPFEMIDVKRIQEIIDSMEAAEEAPAAEAESSSMQEEDKGTDAAPMDI >ORGLA02G0025800.1 pep chromosome:AGI1.1:2:1617038:1618582:-1 gene:ORGLA02G0025800 transcript:ORGLA02G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDCNEQLQQFRHVKKKALDCVNFTEQREQLERRRAELDGGDQKIRELVSILDRRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMKKKDGDAGDEDIDNDEDGPREPDPEDRMEKYIGVKFLREVLEFQVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDTQYRTAVGNMIRRLADMADTQFIATTFRPEIVKVADKIYGVTYKNRVSYINVVSKEQALDFIGHDQTQNAI >ORGLA02G0025700.1 pep chromosome:AGI1.1:2:1609223:1614303:-1 gene:ORGLA02G0025700 transcript:ORGLA02G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFITVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNSDNRIPVDKDEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEDRRRESLKIMQETXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXALKVVAQIELDLRDIKDRILNEKRAKDEAAKDLQSVRMESEKSKSELAEISKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIDDLERVLLSNRKQEGLLQEEIQKLKDEINNLNSYIESRKSESSKLESALAKKHNDYNDLRKQRDELQEERKSFWKEEADVTAEIDRLKDDLVKDIRRGLNSVSRIIRDHGITGVFGPVLELVDCEEKFFTAVEVTAGNSLFHVVVENDDISTRIIQVLTREKGGRVTFIPLNRVKVPDVSCPQSPDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATKVARGNGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIEKKAAHLENVGSKLRDIL >ORGLA02G0025600.1 pep chromosome:AGI1.1:2:1605666:1608640:-1 gene:ORGLA02G0025600 transcript:ORGLA02G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILYVLQFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRDLLSRLNPEITELKEKFLLCKNSRIEIETRKEELETNLSTNLMRRQKELEAIISSADSKTLPLEAESKEQELKSSKRSLDELTAMLKANVDAINNFTRKMEELKRQRDDLKALEANLEQTVQDGAKDLEQLMSSRSMHLAKQEECMKKIRDLGSLPADAFETYKRKNKKQLQKMLYDCNEQLQQFSHVNKKALDQYVNFTEQREQLQRRRAELDAGDQKIRELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMRKKDGDADDDDNDEDGPREPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMADTQFIATTFRPEIAKVADKIYGVTHKNRVSYINVVSKEQALDFIEHDQTHNAS >ORGLA02G0025500.1 pep chromosome:AGI1.1:2:1600024:1604211:-1 gene:ORGLA02G0025500 transcript:ORGLA02G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVMPTPSMNSSSAGAAAAAAAAEGGGGGEDERRARALSSSSSSLRQRAMSASSKLLRTSLSRSSKGAAARQRSSKVMSVSIEDVRDAEEMKSVDAFRQTLVLEELLPARHDDYHMMLRFLRARKFDIDKSKQMWSDMLQWRKEFGSDTILDDFQFEEMDQVLEHYPQGHHGVDRDGRPVYIEKLGAIDTAKLLQVTSMDRYVKYHVREFERAFAVKFPACSIAAKRHVDQSTTILDVSGVGYKNFNKAARDLIGRLQKVDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDPSELPEFLGGTCTCEGGCMRSDKGPWKDPEIIKMVQCGMGRCGFNSSGHTEADEKMITEDDIVAIPKKQESIRRDSVDSPKIPREKIEHPQMSPLHEMSTSESKAPPGQEGSSSRYDDLFPMPDKNMDFNWNGEVSAEKLALARDMYASLPDAYKHGDAGDRQVVTGFMAFVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKNRKLMQQQQRQLEQLPCPDTVTVSTAQYEALIKRLGDLEEKVAALTSRPPEMPADKEDLLKAAVTRVEALETELESTKKLLETSSGQQEEVLAYIEKKKKKRGMFCLQQQNPFRW >ORGLA02G0025400.1 pep chromosome:AGI1.1:2:1594826:1599215:1 gene:ORGLA02G0025400 transcript:ORGLA02G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHLDRLARPCFEGSSSNDDKRDHKSDVENSEDDKRTRMGSLKKKAIDASTKIRHSLKKKKRRSGSRVLSVSIEDVRDLEELQAVEAFRQALILDELLPARHDDYHMMLRFLKARRFDIEKAKQMWTDMLKWRKEYGTDTIVEDFDYNELDAVLQYYPHGYHGVDKDGRPVYIERLGKVDPNKLMHVTTMDRYVRYHVKEFERSFLIKFPACSLAAKRHIDSSTTILDVQGVGLKNFSKTARELIVRLQKIDNDNYPETLYQMFIVNAGPGFRLLWNTVKSFLDPKTTSKIHVLGNKYQSKLLEVIDASELPEFLGGACTCPEYGGCLKAEKGPWKDQNILNIVLSGEAQCARQIVTVSNGEEKIISYAKSKHHTIRGSDTSTAESGSEAEDVTSPKVLRSYISHPKLTPVREEVKMVRATSFSTRMPEYDVPVVDKAVDATWKREVTRKTAFSSKDSSLTSTESSSNGSLDRIVAVLLAVFMAIITLVRSVKDLAAKRLPDKNESEEKYSTLYPDSMPKEEFRPPSPTPGFVEAELFSSVLQRLGDLEEKFLMLQDKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDSKEVAKAQKKKKAMFCY >ORGLA02G0025300.1 pep chromosome:AGI1.1:2:1544029:1547043:-1 gene:ORGLA02G0025300 transcript:ORGLA02G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAAGSWYVTRDEVERGSPSRRDGVGAAKEAELRATYCSFIRDVGLRLQLPQVTIATATLLCHRFYLRQSHAKNEWQTVATVCVFLASKIEDTPCPLQRVIIVAYETMYRKDCHAAHRIFQKEVLEKQKELILVGETLLLSTIRFDFNIQHPYEPLKLALKKLGIFQMEVKQLAVNLINDAIRTTLVVQFKPHYIAAGSLYLAAKFNNFRLPSDGKVWWHEFDVAPKQLQAVIQQMTELFMGRNPCSMGPAIRPPPTPSLMERQQVIRPPPTPTLMERQPIIRPLPTPTLMESQHITHSLGAVMRHTHSSIRSLSNNFDREASRSLPLNIPANRKPTVCPARNEGNQSLRMHMGHSNGSDARFEKQYSRGALKADHVYHVVSGQKDLHVTGIRDLVRQKRTFHEVGEHPAPIDKSDTKSWIRKQHGRNVIVVDTKSSSWKKQKL >ORGLA02G0025200.1 pep chromosome:AGI1.1:2:1541219:1542334:1 gene:ORGLA02G0025200 transcript:ORGLA02G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDVVSEILLRLPAKAVLRSRAVCRTWRRITTAHYFITAYSRRRPLQLLGNTDPSYYVTPFNTLTSLPATAVPRIDDGGDIAGCRRLLHRDRFCLGLEATCDGLLLFRRVQKGSMLICNPATRQLVNLPPVSPEPSSRPNDNELRALGFYFHRPSGEYRVLCHRPLLDVDATYILSTGAAEPRRLGGGPDYHRCTMVVGETIGDTVYWCRPRYLHNGKPQISSFDTVSEAFRLLPPPPVSLAKDELVAMIDMRGTPAVWTMTELHLDVWALEEEERWVRRLRVGMPPPPAPLAYWSKGSRKNAVATFESGDGGVQMVVVTWAWKLLYDDTSKEEGGGSHYCSETLWTFLAHQFLVSCLPREPRQGGILHA >ORGLA02G0025100.1 pep chromosome:AGI1.1:2:1532964:1537222:-1 gene:ORGLA02G0025100 transcript:ORGLA02G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLLKSKAIPTSVIAFAGDVPLLAGGVVQDNGISPRTPMSIFRTSPGEKMLEMAGLIAGGLENGMVAVWNPDLKLRKLPSYLPDEEILKPHPDGVQTWIRKDFEVIEDYLDQVQVDGNSRALHLQVSPTYQEMSGNLMVEYQEDIMCRTSSSGVVALFAKHTGKVRGLSFNPNAPNLLASGAADGRIMLYDLAHPLADTIPSAQLYDSPDEEISCLCWKSSEVNIIASTTTCGRIYVHDTRAKTITGCTTLDCKTKSGSTVIFCPDPDSEKLLVARDDSPSVKILTMGKDHYPADEIFFSNSEGIVAMSWCPHNPNLLLACTKNNKILVWNKKTNKVLPRSILF >ORGLA02G0025000.1 pep chromosome:AGI1.1:2:1525743:1530304:-1 gene:ORGLA02G0025000 transcript:ORGLA02G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDVVSEILLRLPAKAVLRSRAVCRTWRRITTAHYFITAYSRRRPLQLLGHTDPSDHFTSVYTLTSLPATAVPRIDDGGDIAGCRRLLQRGRFCLSLVTTCDGLLLFRRVQKGGMLICNPATRQLVNLPPVSPEPSSRPKDNELRTLGFYFHRPSREYRVLCHRPLLDNTTYILSTGAAEPRRLGGGPDYHRCAMFVGETIGDTVYWCRPRYLHNGKPQISSFDTVSEAFRLLSPPPVSLAEDELVDMIDMHVTPAVWTMTELHLDVWALDEEERWVRRLRVEMPPPPAPLAYWSKGSWKNAVATFESGGGGGGVQMVVVTWAWKLLYDDTNKDGRTGGWWVTLLFRDTVDISGTPIVGFMPTGRASLGWNLARRTSRRTASRIYSSVEYKHVIY >ORGLA02G0024900.1 pep chromosome:AGI1.1:2:1508267:1515512:-1 gene:ORGLA02G0024900 transcript:ORGLA02G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEFDMFSVFFKDANPQSSSRIAYYEMLLWIRAQDEEKIQELCRSVEDFNEEGDYDIAESYMLAFAESDRRLDFISLLESLDPTRILRSKYLLTIFQSLGRLELKNYAEKLLQQMRSKESGVGKFSSIIFEYASNMPNIAVEDVIVSFDRWHEQFEVAPSISASEKIISVCCKSSKISLALDVAECLCKSNPDMPVELFHPIIQACEQGSEFHMVHPIYDLMRRHKMKLKTETFRNMINLCVKMKDFEGAYRILTDAEESGDISTVSLYNAIMLGYFREKNHNGAQMVMAQMQIAGVKPDSETYCYLIFNCEFEEKISEYLDQLRQDGIHMSKHIYMSLVSAYARLGNFDMAKQVLQNKEIPPKYLNEIKSALIGALASNGKVLDGLIMYDEIKQSGCHLEPKSAIALIENTQTKGELDRLYQLLEELGDSNMWFDGCSRVLLYCVQHNHPNAAIGLLKQLKEKDEMSTYMVIDQVFSQIWDMDPVNLDFGMKILHAVKELGLNVSRTSLDFLLSACVKAKDSNRAQLIWTEYESAGLPHNVLTSLRMYQALLLSQKKKATKKLLRKIPKEDVHVRYIIDSCQRAYCSQNLKLPSASRSSSIKRAASKAGSTCKPAASGTEVFRNEAEEAHTGP >ORGLA02G0024800.1 pep chromosome:AGI1.1:2:1494923:1495048:-1 gene:ORGLA02G0024800 transcript:ORGLA02G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVISEILLSGFMINSTLRRRTHLVQSFSVVFLYWFYVFS >ORGLA02G0024700.1 pep chromosome:AGI1.1:2:1494174:1494665:-1 gene:ORGLA02G0024700 transcript:ORGLA02G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGGSAVSAATAAAGGSSSAAEEELRALMEQRRAKRMLSNRESARRSRMRKQRHLDDLTAQVAHLRRENAHVATALGLTTQGLLAVDAENAVLRTQAAELAARLASLNDILSCINTNGAAAAAAAALTVAAATDPLLAFDSAAFDDLFRSSPELFQLC >ORGLA02G0024600.1 pep chromosome:AGI1.1:2:1480107:1482936:1 gene:ORGLA02G0024600 transcript:ORGLA02G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G50440) TAIR;Acc:AT1G50440] MISVLFIMQLASHATQADEATELASSCDCAEVPASQQIVSESSTAGSSTEHLVSCEIKPLGVDEDIETIDANEETHLVIQDCPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVVRDHTLIFFIVQLVVALLGMLVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGTDGVPDLDPNHVTELRILGLY >ORGLA02G0024500.1 pep chromosome:AGI1.1:2:1471865:1474994:-1 gene:ORGLA02G0024500 transcript:ORGLA02G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGLRVASDPAGSGASSPPPPTTTTTKELGRAVAAEASCGSRAAVGLGDMRVGISDSSDRAKSCLHPCDKKDTPQVTAEVATRSEDGEPSLLETGSFLQPEAACLTLGRSSDAAEVNNLCDKENIRTDLQPKPDVRHVENRMNGAPLGLDLNIVDSSNAAELNPFFPYKKLGQTKVSDPSECGSTTGAVGESESHRKWREMKQNGFLSSSQGTAVGPRPRGRPIKRKRDDESKRNTFAQKEQTNKFMKVAAPSGLLSGLNPGIINHVRNSKQVYSIIKAMVHSENLEKENQAVHASQTGERGREFSEKAQDQRYGGNMMNCHFLMKGSNMPFHQGLPTASQFLPEDGDDLKLQLSSAVTMASDRTCSTSADDFASNHDYMTVLSVKAANVASQWLELLQQDIRGRLAALKRSKKRVRNALQTELPYLISTEFSYNQENEPSVVHSSDGGSTGKTVPEAHVARWRSLFLQMDRTLQEEGMHLENRLKEVQEMQMNCEKGLRYMACEAPLVGPMAELWKLKNSETPESEWAVQAAAASIYSTCNLVMRTENVSCF >ORGLA02G0024400.1 pep chromosome:AGI1.1:2:1468202:1471056:-1 gene:ORGLA02G0024400 transcript:ORGLA02G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G17140) TAIR;Acc:AT2G17140] MSTSPTAANHLLALLRRNAASPAVALRLFLHLTSAASPPPPHSTSFLARILAAAHDAAPLLPSLLRHLLSLPDPAPHLLALLSSSSSPLRLPLGFSLSAFRSLRALPSAPPPPTPVYNRLLLAALQEARFDLVESLYKDLLLSGAAPDVFTRNILLQALCAAGRMELARRVFDAMPERNEFSFGILARGYCRAGRSMDVLGVLDSMPTMNLVVCNTVVAGFCREGQVDEAERLVERMRDEGLAPNVVTFNARISALCKAGRVLDAYRIFNDMQEKWERGLPRPDQVTFDVMLSGFCEAGMVDEARVLVDIMRCGGFLRRVESYNRWLSGLVRNGMVGEAQELLREMAHEGVQPNSYTYNIIVDGLCKEGKAFDVRKVEDFVKSGVMTPDVVTYTSLLHAYCSEGNAAAANRILDEMAQKGCAPNSFTYNVLLQSLWKAGRITEAERLLERMSEKGYSLDTASCNIIINGLCRNNKLDMAMDIVDGMWNEGSLALGRLGYSFLSLLTDSSSSKRCLPDRITYSTLVSALCKEGRFDEAKKKLLEMIGKDISPDSVLYDTFIHGYCKHGKTSLAVKVLRDMEKKGCKPSTRTYNLLIWGFREKHNSDEILKLISEMKGKGICPNVMTYNSLIKSFCERGMVNKAMPLLDEMLQNEIVPNITSFELLIKAFCKTSDFSAAQRVFDASLSTCGQKEVLYSLMCTQLSTYGRWLEAMNILETVLELRISIHRFPYKQIIEGLCKVDEVDHGHRLLKLLMVKGYSFDPAAFMPVIDALSERGKKQHVDMLSQKMMEMAERDNGLAAPSGEFKPRSQKHGQDKHAESDWHALLHRDDSARTVMKITKRVKTGWGQRGNVYENKQQQNDDIYVLENTG >ORGLA02G0024300.1 pep chromosome:AGI1.1:2:1463769:1465417:1 gene:ORGLA02G0024300 transcript:ORGLA02G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGAALGRGGGVDEHPRDGDPVGDGFPGEREGEEEERVVEAEVGGGELWAEEVQHIATPTATQLGAAAMNDDVVAEILLRLPAKSVLRCRAVCRSWRRITTADYFVAAHSRRRPLQLLGYTGPNDESLRDDEFLVTSAPVNAETMLICNPATRQLVNLPPVSTGGVVVDRNDLRLHSSAFYFHRPSGEYRVLCYRKGTNYILSTGSGEARRLGPVPDQQRRTCSFSAVTVGKTVGESVYWGRREVDDRSRIMAFDTVSERFRAVAPPPVEHADEGPLLDMHGRHARRGGDAGGAVPGRVGQRRRRRREVGAAPMGQGEEDAQLLSS >ORGLA02G0024200.1 pep chromosome:AGI1.1:2:1458325:1462136:-1 gene:ORGLA02G0024200 transcript:ORGLA02G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGEMREVGRETLHGGVVQSVSETDEYKEKTIDSEKDGQFRVQPRWRKFLAHVGPGALVAIGFLDPSNLETDMQAGADFKYELLWVILVGMVFALLIQTLAANLGVKTGRHLAELCREEYPRYVNIFLWIIAELAVISDDIPEVLGTAFAFNILLKIPVWAGVILTVFSTLLLLGVQRFGARKLEFIIAAFMFTMAACFFGELSYLRPSAGEVVKGMFVPSLQGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPRSDKSIRAACRYFLIECSLAFIVAFLINVSVVVVAGSICNANNLSPADANTCGDLTLQSTPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDMKMKNWVRNLITRVIAIAPSLIVSIVSGPSGAGKLIILSSMILSFELPFALIPLLKFCNSSKKVGPLKESIYTVVIAWILSFALIVVNTYFLVWTYVDWLVHNNLPKYANGLISVVVFALMAAYLVAVVYLTFRKDTVATYVPVPERAQAQAEAGGTPVVDASAADEDQPAPYRKDLADASM >ORGLA02G0024100.1 pep chromosome:AGI1.1:2:1451799:1454528:-1 gene:ORGLA02G0024100 transcript:ORGLA02G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAATQVGTYFLRNYYNLLQQSPDVVHQFYNDASTMVRVDDLAGTNTTASTMMDIHSLIMSLNFTQIEIKTANFLNSWGDGVLVMVSGLVQTKEYSHQRKFIQMFFLAPQEKGYFVLNDYFHFVDEEQVQPAPVIAQDNFETNMASNSVVEPVPEYIHEEENQSAVPITSEESDAVENYTYSEPPQQVVSQSDNWGDEPLPEEPISSFTNGMAMAPEEPVQSPPVPPPHVEEPVGEPVKKTYASILRTAKAPLVFPVAQPAPTRPSHQATETNQAAQHSVMTSSVATEKPKTDVYGEFAVQDDEESKSVYVGNVPSSVSEADLENEFKKFGRLIPDGVAIRSRKETGGYYAFVEFEELSGVHNALKASPIEINGRQIYVEERKPNSGIRGGRRGGRGRFGGGGRGYARGGGDNEYAGNRGSGRPSNGYQRVPHHERGILGSHNARN >ORGLA02G0024000.1 pep chromosome:AGI1.1:2:1450249:1450512:1 gene:ORGLA02G0024000 transcript:ORGLA02G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSKRGGGGGGGEGEGILASWMAAHGREAASRAKKVVRSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQQALLGPAPLK >ORGLA02G0023900.1 pep chromosome:AGI1.1:2:1443838:1448362:-1 gene:ORGLA02G0023900 transcript:ORGLA02G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRRGSSGGGAVLVVVVCVGCIAATAMAAAAGGGGGGGECPKYKDSKQPLNKRIDDLLRRMTLAEKIGQMSQIERENATFDVMRNYFIGSVLSGGGSVPAAQASPAAWVSMVNEMQRGAMATRLGIPMIYGIDAVHGHGNVYKATIFPHNVGLGCTRDPDLAKRIGAAVAAEVRATGIPYVFAPCVAVCRDPRWGRCYESFSEDPRVVQRMSSIISGFQGEIPPGGRRGVPFVSGGRPSVAACSKHYVGDGGTTRGMNENNTVATLRELMTVHMPPYYSAVAQGVSTVMVSFSSWNGVKMHANHFLITDFLKSKLRFRGFVISDWQGLDRITTPAHADYMLSIKLGIMAGIDMVMIPFTYTEFIDDLAALVKNGTIPMSRIDDAVRRILRVKFTMGLFERPYADLSLAGELGKQEHRDLAREAVRKSLVLLKNGKPGDAPLLPLPKRARSILVAGAHADDLGSQCGGWTITWQGLAGNNLTAGGTTILDGIRRAVDAATEVVFAEAPDAGFMRRNAGRFDAAVVVVGEPPYAETLGDNLNLTIPAPGPSVIQNVCGGGVRCVVVVVSGRPLVIEPYMDAIDALVAAWLPGTEGQGVSDVLFGDYEFTGKLARTWFRSVEQLPMNVGDEHYDPLFPFGFGLETRKAN >ORGLA02G0023800.1 pep chromosome:AGI1.1:2:1439458:1440145:1 gene:ORGLA02G0023800 transcript:ORGLA02G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding QCSQPANIRIGWLKKIHIDXXXXXXXXXXXXXXXXXXXXADLLSDSERIKYTIDTFTKGIPDARTYLNTLQEIRIKSGLIDDMGAEAMMMEALEKVEKEIKKPLLRSDKKNMGLLLAEFEKINK >ORGLA02G0023700.1 pep chromosome:AGI1.1:2:1434000:1438286:-1 gene:ORGLA02G0023700 transcript:ORGLA02G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMLLRPLPSAGASGGRGSPGRGRVVGSAVSFGGRGRWRRGVAVVAAGAGAEASWMEEAGVAVLEEGVRRNPAVSDSYRPPGMPRPNATVLEAQARVCTGPEQTRPLGEEQAMRVLDTILRSGMGELKDEPVSSAQLGAFFAGMTIRANSFPEATQWSEGERRAMSLFWPRLVNVLPPEVKFIADPEGTIMGANGLTGPRYVGQSTAEMRLVSALREVLAGGHLGYEEVQGVLKDVLPVELASANSTMVNEALLAAFLIGQRMNRETDRELKGYCLAFDDELGPTPVADVKSLTHYGEPYDGNTRFFRSTLFVAAVRACYGEACLLHGVEWMPPKGGITEGQMLKFMGANTHLSTLQAKTLLEDENTGFAYLGLQEACPPLYSIIGLREHIKKRPPLATSEKVQQFVRARGRESMVAGFYHVGYEDPLLMLMRRRTVHAGLVVKGEEGALSMTTKERSAHASKGLPVNHCSGFRIPNSTDFSETDGISREGFSVIVNAQELGFESTETPRTDRSVLKNLELGLAALSGEKGPAYDRIVLNAAMVDHLLGCTGAEDIKSALDRAREAIDSGKALSRLMNYIKISHKVS >ORGLA02G0023600.1 pep chromosome:AGI1.1:2:1418928:1431438:1 gene:ORGLA02G0023600 transcript:ORGLA02G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAREATDGQEVQVEAATDLQAQLDSKLSSIKEIKGEAQTMGSTEGILKIPEDEVLVEAPSETKIPSEHSLNGTASSLNGHVNKEENISNEQPHEINQESQEQVETSSDGTSTYPSNKSNEEEMTDSLSHGESASEDTTILKCENAEAKDHGQQDVEGHVTNDTMVQEEICKTDDAIEQTVDAQDLDSSKESKEAQPAIMTDIPADEVLAEAPAGIQSPLEPNVGDSDTVPGNKETDDPAKEDDTAYLDHKESFPEDKVIAEHADEEVKAEDQQSKQADDMDAEVLQEEIPESKESDLPETEQAEEASVDDQEALNQQPALETNDSLSVKAEETCHQSNVATCGEKTPEDDATTREPTVDTKEEQNQGSVEEMKDAEAVDTEEAVQQSSVAFDEAIQDHAATTNPSSDIQSIEPEETKGPSDVKAEEVSSQSNVAFAEDAVQDKVIPSEPPEDIQPVKKLEQEETKEADEASNETNPAIFSDLNQEDSIVASKQLETELAEEAAAFSNLDQEGGIADSESQVADLEEAKEIEATETEEITHQPPAAVSTELPKEDNSTRSEPHNDDIQHSLEQDSIEVKDTEAAEIQEISQERTIATSKEDAVEDDGTAEGPTCVSQEVQDVESEEVKDTEPDNVVEASNVVTVDDEGQENNVLTSENIAELQLQGLESEEIKSPEPIETEAGFHTSHAAPSNDPVEVNTTACETQDTESAEEIKETEGTKTESIPQESNISVSEESNPEDSITASETISNTQELTIAGSIEASEDNIDTKTGEITDQSNEVFAGEAAQGDNIPESVSTADTQSMQELESEEMKKPELVDLSGTFHQKDDAISQKQNQEDNPTTCETNEIGSTEVSSVEASEDQAIAHQSNITQCEEQATEESITESEPQILEIESVQDMEDTEATEPELVSEQNIVSTSEESVPEENATTEEPAFHDREIQNDGAELTEQHDSVKAEELPNQSSGAIVEETAQEADLVAGEPIDDVQEKDLEPEEISNTVDGETGEASHQTHAAVEDNWTGEVESSVEASEDQAIAHQSNITQCEEQATEESLTESEPQILEMESVQDIKDTEATESETIFQKNIVPTSEESVPEENATAKEPAFDDREIQNDGAELTKEHDGVKDEEIPDQSSGAIVEETAQEANLLASEPTDDVQVKELEPEEISNTVDAETEEASCQTHEVEMTESSEQMKDTEPPVPEPESSEEMRDTAPTVPEPTLQDSRVASVEEIETHNNATIEQNVGYQQLQEQESVEFKETEVLEPQGVIPSHNVSSSEEFNPQETVTKEEPGSDTQAEESPVVIEDTEDVNNSAALSEKIAPKEHVLATETTVDTPPVQEPELEERQNIESVEAEDNIAASGLPGEEIDTEAIEIESVPHESTTTSVKELNEDVKSNVALAEEAASEEHILETEVTVDKSSAQEPELEEIKNTEPTEQEHNITETGLPEEEMKDNEAMETETVPHDSNIESIKELREDDIITASALDVYTQQVPEQESVEDMKCTDTTEHPGETPESIVSTSDELTKTGEITTVKEATFDTQQAQSFTDQETDLSSSSNPEEAVQESDLVKSEQETDVQQEQELDSTEETKGTEDYQQNGVSTCEESVTEVEPNVDDQHVQENKSAVEVKENEDTETEEISKQINFTTSENGAQESSEQEADQPFYVQPVQQLELTTDSKDNQLVEAEETSSQSNIVTPEDPTAEDRVAYEIDPSVDIDQGHELEIVEEVKDTDAIEAEETSHAGQAVSSAEKFSESNLSAVELTHGIQQVHYLETMEEMKGTEGTCDEEICYQQTATSEDPSPTDNGKSLQDYHVESNEENLGNGIGDVISVHEKIEDNIHESAELKDNSLVYFIQDETSELGEKTQISANRIEENDDHISTEDTEETSNNTNLVKEGPNEHGSSQTSNVQDNKQLHDVGLQTQVRERSVDIGQQDEDMKNVNLDQQQKEDEEIEKQKEDEEIEKQKEELQTDEQKHDDKRVDFIIDTQVESIDAFQAEQTDSVVTEMLNDEVTQHEPEDSIPRTTDAMVENITEIKEETEEENGPNSGGTLEVSAKNYNEDVHENTEKDAVVEKTSSSEHDEIAGEIRNEEVEPCLASSLERDLQVDSDLSNDQMLENNPIAVPQNDEYMYRAEEGYTNKVNVDMHAIQESDKVIEDAEEKQGMQNEDNVVHHDESLVTTQKEEASQVHTDEQYSADTKMDDTAISYAEMTHENTSTEPREVEDTKEKKGFNDFPEFVVETSKQDDVDQDFSIHHQVEDEKSAETENNSAESEAVQPKLDITIAETNNDNNLSTINPLPEHETENASDINQNRQYQEATNEDAIDNIETGRVEKMETSYTATTEVVTLNEDICDKASGADGVPPDGSLKTSEDNLDVSSVVKESEGENINKETEDHKLALPVHPTQDENTTEQGFGLEDTEKESMSPEKALPAEPEEQEENQVTKEQDEEDRHDAELGDAHEEDHKEAEQDYLPVSSFLMNLILGKDNDDPKKDSETEVEKEQEETMKDGSCLIASQQEENLVAFPIEKSVDEKLTFEQEKEKVEGSEETKEPVKEQSYDVEMDVQKSLETDEELKRNTCDLEAPVYQDNAQDEISSKLISAKAADPIKKMEARDFELDEESFDTVCQENVEAATEIEDGSLNRDQDDITSPKASQEDALEEVGTELPHESLHENRHGAKDEQTLSLIEPDTGNAEKLPNEADSVQSPPCTEQEESIESSYVEVRSTTEGQVESEVIETNEEDQHTTAGGHTEEQIENLHDDKSKGTCSEEISDEQAPEITEPVIHTDRNFAYEKEIPASSTCMDKKESMISNNEVSNFEKALETHSDSPNLHVNQDKKDETADNQTVVDHNTVLDKLEDSNRQEEQETAAQKLPKETEGNQEFMAITEPVIKEENVHETVEGNTQAVKIKSNEEKELFDSQVQERGLNVVSPKATSEADEKFVEITKPEFSTDEEHSPKADESNKPDENTCDEKTKAKEETNNITDEATVKIEERGAEQKVSHKKHNILSGVGSKVKHQLAKVKKAIIGKPGHTKSESPKS >ORGLA02G0023500.1 pep chromosome:AGI1.1:2:1412739:1413155:-1 gene:ORGLA02G0023500 transcript:ORGLA02G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQEVKKRLHLHVEAQPESCKKEEQAAVWVLAGGGGGGGGEITVSLKPVKVSRRQLGDEEEEEEEVTTPRGEECRLPAEAATCPPAPKKPRTAAVAIVAGGGRRCNCCDDDGGDSLEFFRVPADLEAVFANRVAKAN >ORGLA02G0023400.1 pep chromosome:AGI1.1:2:1404993:1408436:1 gene:ORGLA02G0023400 transcript:ORGLA02G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-conjugating enzyme E2C-binding protein (InterPro:IPR019193); Has 26 Blast hits to 25 proteins in 9 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 26; Viruses - 0; Other Eukaryotes - 0 (sourc /.../I BLink). [Source:Projected from Arabidopsis thaliana (AT3G26750) TAIR;Acc:AT3G26750] MAATAAAAAGVAVAGDRARRRQWRYTWESLAHLPLLRLYLFRPELSAAAAADHLRADLRLDDSLLLLSFSLAGEPVALRVPVPRVLVDPSAPPECRDAGDHVEVRLALVLPVDHPVVAAAFLPPPGEEPPAPLSLRDDIKNLSSGDVHLYCKACSARLTKQPLRNIEEMPSLNWEDVADNWFGGCCTSFGGASEKLVSQYINAYGRLEGTSLLNATSISIEKDYLEKDLASGLVSSVPSNDCDALQEDICDVHIGEDHTTGNMEFNSSEEKAYHEKQIGCNHVQCSVVPEEGPCVSNSEKDGDTLWTDQSGIIKVNPEESKNDSCVDDIEKPTKETDLLSVDPCNCCCDGGNSRKSEDNPSNVPSGNLEMQAKLDTQRDYKLTKSISLGCSFIVKASNLVNDVEWLELLCAHCSSPIGSYPSQHSHAPADGRVRLFKCYASSDLHVGGPHDVFRGHTLERLFVNLLLEVAEDEISFRTLVRDLKTKRPILQIVLLSSKAWLFSGYCYENDMDGSHGAAHLQPSVKILYSNCSNALEEDLRTVEEWSSKYRAEELYMMRRQIDELILSLNSARDNFPLSCSSLQGMYLSSLEC >ORGLA02G0023300.1 pep chromosome:AGI1.1:2:1403589:1404675:-1 gene:ORGLA02G0023300 transcript:ORGLA02G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDVGAEILLRLPAKAVLRCRAVCRSWRRITTTAYFVAAHSRRRPLQLLGYTGLAVDSSSSPYSYVFTVGVTVGDTVYWGRRQTDDRGQMSAFDTVSETFRRVAPPPPVSHADEGPMFDMHGALAVTAMSSTEPYMDVWIAAAAGGENWVRLLRVELPPGHYYSGEVKPHGYGKAVLDDAGVLLVAMNGCPSFLYDTKGKRMVTGELLSSYQRFYLPELTLLLLTTLSQKYHHR >ORGLA02G0023200.1 pep chromosome:AGI1.1:2:1402180:1402901:1 gene:ORGLA02G0023200 transcript:ORGLA02G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKVAMVAVCCMFILLFPGQQQQVAAMSRICRCYHECLPNCGLRNSRSFCKVFCGSCCVFNPVHNCTSTDAAAAAPAIAGDDCRMICLNSFCGEAATGYSGRNDADAAACLDGCSKG >ORGLA02G0023100.1 pep chromosome:AGI1.1:2:1396679:1400420:1 gene:ORGLA02G0023100 transcript:ORGLA02G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGSPAAVAASAAVAEMRFTTGGSSTRSWEPTVTADTSDLHYWMQWRAAVCALSVLACMAVAACLVWRHEGPGAERRPGGASGGGGGSKERRRPGVLYDDEAWRPCLRDIHPAWLLGYRLISFFVLLSLLIVIVISDGGTIFYYYTQWTFILVTIYFGLGTALSIYGCSKLADENVVTERTDMELGSYVAHGAGTKPNLNGEDDTGEIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPFLTVKDYNLNFLLIGMHSVNAVFLLGEAALNSLSFPWFRVAYFFLWTALYVIFQWILHASTPLWWPYPFLDVSANLSPLWYFAVAIMQLPCYAVFRLVIKLKHHLLTRWFPGSVVRGSSTP >ORGLA02G0023000.1 pep chromosome:AGI1.1:2:1376881:1379485:-1 gene:ORGLA02G0023000 transcript:ORGLA02G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEMFGSCHWGGSFPYASIPKESQFVFDAKASPLQLQLFGSAAVPAVGSTGYYNYIANNHLSAMNQERNTNNDVGHEKQLNLQMSLNYFPVENLDRLARIGNRSAVSTGLRLSYENNEHTSITSGSGNMSSLPIMASFVDEVMAELDKENKEFNCYFGLQVEQLVKCMKDVKQRQMVEFLASLERGVGKKLKEKELEVEAMNRKSKELNEQIRQVALEVQSWQSVALHNQSVANSMKSKLMQMVAHSSNLTREGSGDSEVDNTASSQNVNAVPGGFFQSGLLGINSMADGGLGACRLCRMKEAAVLVMPCRHLCLCADCEKNADVCPVCRFPKSCSVEINMS >ORGLA02G0022900.1 pep chromosome:AGI1.1:2:1370814:1375415:-1 gene:ORGLA02G0022900 transcript:ORGLA02G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGGRRRRPRTMLFLVLVALMVIMAMAKTASGGGGGDDGGAGGDHDHDHEQFLKLWNGRGGEDAKEDYLNWDDDDDDDEDEDEEEEEAEQVVAWAAKCRPPAGRNVVNVDSFGAAGDGCSDDTEAFLNAWKKACSLNNAVFLVPGGRRYKVGAARFIGPCKNRMIIQIQGTIVAPDEPSEWDPASPRLWLLFSGLAGARIQGGGLIDGSGSKWWANSCKIDRSKPCKGAPTALTIDSCRGVSVRNLRLQNAQQMHLTVSRSRDVRLAGVRVDSPEDSPNTDGIHVADSTAVTIQSCRIATGDDCISISNGSFAVRMRDIDCGPGHGISIGSLGQGGAFAAVDGVSLDGARVARAQNGVRIKTWQGGAGYVRNVRFAGVRVDGVDHPIVIDQFYCDATRPCRNRTSNVRVSGVVFRNITGTARRAEAIRLACSDAVPCVGIVLSDIDLRREDGGGEVQTVCNCAMGFDDGRVSPAADCLRTSPCGGMSPDDYHPDDKDDDDEVRHSEL >ORGLA02G0022800.1 pep chromosome:AGI1.1:2:1366808:1369857:-1 gene:ORGLA02G0022800 transcript:ORGLA02G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyridoxine biosynthesis 2 [Source:Projected from Arabidopsis thaliana (AT5G60540) TAIR;Acc:AT5G60540] MAVVGVLALQGSYNEHLAALRRIGVRGVEVRKPEQLQGLDSLIIPGGESTTMAKLANYHNLFPALQEFVGTGRPVWGTCAGLIFLANKAVGQKSGGQELIGGLDCTVHRNFFGSQLQSFETELSVPMLAEKEGGSDTCRGVFIRAPAILDVGSDVEVLADCPVPSDRPSITIASGEGVEEEVYSKDRVIVAVRQGNILATAFHPELTSDSRWHRFFLDMDKESDTKAFSALSLSSSSRDSQDGSKNKPLDLPIFE >ORGLA02G0022700.1 pep chromosome:AGI1.1:2:1364220:1365776:-1 gene:ORGLA02G0022700 transcript:ORGLA02G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARVFRGCRFLMAAAGGKRPASASASASAAGAKVTKTEAAQAKEKRGIMQPVPVSDALSRFAGGAPEMSRAGAVKLIWNHIKANGLQNPANKREINCDDKLKSLFAGKDKVGMMEIAKLLSPHFIKAN >ORGLA02G0022600.1 pep chromosome:AGI1.1:2:1361223:1363415:1 gene:ORGLA02G0022600 transcript:ORGLA02G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17670) TAIR;Acc:AT5G17670] MLSLLLPPARPRATVSPDVTASAPRRPAVILPGLGNNTADYARLAAALRDDHGVPAVAVARVSRPDWLRNAAGLVDPSYWRCNLRPRPVLDWYLKRVDEAVSEARELSPNEGISLIGHSAGGWLARVYMEEFDASDISLLLTLGTPHLPPPKGTPGVIDQTRGLLTYVEKNCAPAVYTPELKYVCIAGRYIQGAPLTGNTIATTDDILAVDTPSDVAEAVMVSTNDKSTQSGPTLRARFIGQGYKQVCGRADVWGDGVVPEVSAHLEGALNISFDGVYHSPVGSDDEQRPWYGSPAILKQWVHHLLS >ORGLA02G0022500.1 pep chromosome:AGI1.1:2:1359159:1359467:-1 gene:ORGLA02G0022500 transcript:ORGLA02G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMFVGIAAAGGKKGGAKDEAEELRRRNAELEREVAALRAEVAAARRRAETAEEAEERLCVQLGEAEVEAVELAREYQCRVHDLARELAAARLLVSSPSP >ORGLA02G0022400.1 pep chromosome:AGI1.1:2:1353290:1353598:-1 gene:ORGLA02G0022400 transcript:ORGLA02G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCAAWLFLLSLLRFWVGIGTGLAMNRIELLILLVFVFVGGGRTRLDXIYFFSDLDLVPNSRLLIRSMIRVGVIKLEFPLIFGGYPEFGTRISIRSMVSGEAT >ORGLA02G0022300.1 pep chromosome:AGI1.1:2:1340154:1347260:1 gene:ORGLA02G0022300 transcript:ORGLA02G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-amylase 2 [Source:Projected from Arabidopsis thaliana (AT5G45300) TAIR;Acc:AT5G45300] MSLKHPHSPVLDGDPPPHRRPRGLVSTPPPPAVAADPSPSPSPAAPPPRRRGGGGGGGEREREREREKERTKLRERHRRAITSRMLSGLRQHGNFPLPARADMNDVLAALARAAGWTVHPDGTTFRASSQPLHPPTPQSPGIFHVNSVETPSFTSVLNSYAIGTPLDSQASMLQTDDSLSPSSLDSVVVADQSIRNEKYGNSDSVSSLNCLENHQPVELEVVLPPPLAALLVCGSTVVQLMGGSMAGSADGHPEEEQXERSGRVGVCAGAAAGAEPVPAAVHSSSSHVVSAVIAAIGSLTRASAALAGDYTRTPYIPVYASLPMGIINSHCQLIDPEGIRAELMHLKSLNVDGVIVDCWWGIVEAWIPHKYEWSGYRDLFGIIKEFKLKVQVVLSFHGSGETGSGGVSLPKWVMEIAQENQDVFFTDREGRRNMECLSWGIDKERVLRGRTGIEAYFDFMRSFHMEFRNLTEEGLISAIEIGLGVSGELKYPSCPERMGWRYPGIGEFQCYDRYMQKNLRQAALSRGHLFWARGPDNAGYYNSRPHETGFFCDGGDYDSYYGRFFLNWYSGILIDHVDQVLSLATLAFDGVETVVKIPSIYWWYRTASHAAELTAGFYNPTNRDGYSPVFRMLKKHSVILKFVCYGPEFTIQENNEAFADPEGLTWQVMNAAWDHGLSISVESALPCLDGEMYSQILDTAKPRHDPDRHHVSFFAYRQLPSFLLQRDVCFSELGNFVKCMHG >ORGLA02G0022200.1 pep chromosome:AGI1.1:2:1338462:1338847:-1 gene:ORGLA02G0022200 transcript:ORGLA02G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQLIQTRLGHERSERYFRYLKMFLGSRMEKSMFDRVVVQTIGRENIRLHNHLLMSVLRNASLPAPHLGRTTI >ORGLA02G0022100.1 pep chromosome:AGI1.1:2:1333325:1336362:-1 gene:ORGLA02G0022100 transcript:ORGLA02G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1995) [Source:Projected from Arabidopsis thaliana (AT5G48790) TAIR;Acc:AT5G48790] MATSYCSISNPPLSKTSFPNKQVPGWVLRAISKGKGNYTGGIYTTTKRNLRTGFHVCAVNGGQGTRNVSGAEFPSDYTELLAQAKEAAESAFKDGKQLLEIEFPTAGLQSVPGDSEGGIEMTGSMLLIREFCDRFVPAEKATRTRIFFPEANEVSFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKVKMSDRVRPEDEIFLVAYPYFNVNEMLVVEELYKEAIVSTDRKLIIFNGELDRIRSGYYPPFFYPKLAELSKTFLPKLETVYYIHNFKGLKGGTLFRCYPGPWKVLRNIGGSFFCLHEQEEMPSLKEVALDILPSA >ORGLA02G0022000.1 pep chromosome:AGI1.1:2:1325651:1326859:1 gene:ORGLA02G0022000 transcript:ORGLA02G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTSTTTTRGRRKGRAPPAWSRSWSDLPVEIAGEILGRVPSFADRARFSSACRQWRLAAAELHGGVLPPPLPWLVSCYKGAFDSLPYGDRHYLALDSPACLACDGGWLLFDRRAAAAVAGGGGGGYLLKKPISKAAMELPGSLSGPPAATAEMKICKLVVMSRDLVAAIVSTSGGGGGRAVALCRPGTSPSWSAHHPPGGADHQLGDLRDIAVHGGKLYALHGHGNLCSYDLIAGDGEPKVSSCVHHIAGDALPPNKLPEEHDAGHHLVPSATGGELLLVRHLYSRFLGRHFTVFVADVGGARWSEAAASSLRDQLIFVGTGCSRALTASHYGGVGAMRGNRVFYTNDVEGYSSTHSYLVYDMIMRSNGPVFPIYDDDYLHEGKRRYRYEDTRYRSWFFPSSV >ORGLA02G0021900.1 pep chromosome:AGI1.1:2:1298416:1300593:-1 gene:ORGLA02G0021900 transcript:ORGLA02G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:I1NWW3] MAPGSSIVTDIPESDCVSDGLSEALTGIRLDGDSTCKPWSTSLVTVELSSLTGLNDLLECPVCTNSMRPPILQCPNGHTICSNCKHRVENHCPTCRQELGNIRCLALEKVAESLQLPCKYQSLGCAEIHPYQNKLKHEELCRFRPYSCPYAGSECLIAGDVPMLVSHLINDHKVDLHEGCTFNHRYVKSNPYEVENATWMLTVFKCFGQHFCLHFEAFLLGMAPVYMAFLRFMGEDSEARNFCYSLEVGGNGRKLTWQGIPRSIRDSHKKVRDSFDGLIIHRNMALFFSGGNRQELKLRVTGRIWKEQ >ORGLA02G0021800.1 pep chromosome:AGI1.1:2:1293372:1296609:1 gene:ORGLA02G0021800 transcript:ORGLA02G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRRLFDAFFSTREMRVVMLGLDAAGKTTILYRLHMGEVLSTVPTVGFNVEKVQYKNVVFTVWDVGGQEKLRPLWKMYLSNSDALIYVVDSLDRERIIDARQEFQTIIKDPLMANSIILVFANKQDLRGAMSPDEVSEGLGLHDLRNRIWHIQGTCALRGEGLYDGLDWLASTLKQLQETGHATSVAGPSI >ORGLA02G0021700.1 pep chromosome:AGI1.1:2:1286137:1290839:-1 gene:ORGLA02G0021700 transcript:ORGLA02G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNILKVNRNATLEDLKKSYRRLARTWHPDKNPTGGAEAEAKFKQITEAYEVLSDPKKRAVYDRYGEEGLKGMPPPGSQSRTSAAAGSSGPSNFQYNPSDPDDFFAEFMASNKPYSFDQERKRFQPRSQWTAGNTRGEASSASHKESSTSTSQLEKPPAIEKTLLCTLEELYNGTKRKMKITRNVANTDGKVEIETEVLPVEVLPGWKKGTKITFPNKGDRLSGQLPQDLTFVIDLKPHDVYLLEGNNLVATQVIPLVDALAGTTIHLKTLDGRNLPIRVEEVVRPGHEIVLANEGWPIRKEPGKKGNLKIKFDVTFPTRLSSSQRAAIRQIMGG >ORGLA02G0021600.1 pep chromosome:AGI1.1:2:1281848:1284713:-1 gene:ORGLA02G0021600 transcript:ORGLA02G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLDDEWDDDKFLAELFRAQDEAVASRNPNPTPPPPPPPPDPISYLPPPSTSSYPSSSAAAAALPLSYITPGPHVFSAAPVHFLPPRELSQHPQGFDVGLRDFSPPRELSQRPAAEVSSREIVAVSSGIAGADRFRGGGGGGARRERDAREAADRREVERLKRELNRVSKQMNDVKNECSELKKDRTRKDLEIKAKEAEIQSLRRANVGSANKYAGSMAMDIDQSVHAPANGALHTGDSCLASTRRAETLNGRNKELSSPQDGLCLNQRNQTYASEVLEESVRFESKGSKHKEIKTVGVQTDLPGNNEYLEHKKVLVDRISSNLCAVWGMPTNSLMGRSLISKILVSCSEEILTLVQSTGSLDKCEASSEASSSVRNAISQVYDIIIKTSSDTIPIQTLLEALLNLAAVGNDAVVSRALRMLHSVLQHLLNNRTMSNQRYALLLH >ORGLA02G0021500.1 pep chromosome:AGI1.1:2:1274800:1277782:1 gene:ORGLA02G0021500 transcript:ORGLA02G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYTSLCIDNNNMPMWTEREQSPATTSGTTAPVYPEWPGFQAYSAIPPHGFFPPPVAASPQAHPYMWGAQPMVPPYGTPPPYMMYPPGTVYAHPSTPGVHPFNHYPMLANGNVEAAGTAPGASEINGKNELGRTSGPSANGITSHSESGSESESEGSDANSQNDSHSKENDVKEDGSSQNGISHTALNQNMSMAPTQTGVVIGGVAPTTNLNIGMDYWGAAGSSPVPAMHGKASSGSVRGEQWDERELKKQKRKQSNRESARRSRLRKQAECEELSVRADNLRAENSSLRAELERIKKEYEALLSHNASLKEKLEGNSDSIPYMNEQNDTNGTHQKQQDSDAQPNDAP >ORGLA02G0021400.1 pep chromosome:AGI1.1:2:1270063:1272921:1 gene:ORGLA02G0021400 transcript:ORGLA02G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRRIAGMFGISRDDADHHPHHHHHDGAAGDSAAAAEVPQDKVAAAAAAAAAAGNVQRRGFSVQVPVPVERPGPGPVLVPCPQGDGGVQGFRWYTRKLRIDEDGDVADEFLDEVVPEILINNDATPAGRYQVKYNTKSAALAMRKQISVIDGVNKLLLEQQKGNVYLQIEPLNP >ORGLA02G0021300.1 pep chromosome:AGI1.1:2:1266267:1266803:1 gene:ORGLA02G0021300 transcript:ORGLA02G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMITSMLGRKQNAQQKGGGGGGRTGGGGGGEIEPVSVDIMEPFMDAISLTAFAAAPSAAAAAAVGVPSTASMDWKETAAAHVFMADMPGVRREEVRVEVEEEKVLRISGQRARAAEEKGERWHRVERSSERFVRTVRLPPNANTDGVHAALDNGVLTITIPKDNDRKPHARIIPITN >ORGLA02G0021200.1 pep chromosome:AGI1.1:2:1263341:1265176:1 gene:ORGLA02G0021200 transcript:ORGLA02G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWELTAITAYFLGLRRTYRLALRIQRRLIGPNHPRIRHFVYRRTRDVFNVAVSVHKNIQQRDLEVGRNLGNMILRWLDRMKPSAQIRPHPPGPPNGSSEQFRHLSSTSKSTGAQKPTSKTLPRDGGKVLFSPLNIRPKSFPVLPTMMQPTRISASSQCRRISYSSFPSATAKRNDFMQGVFRKDIAQLMV >ORGLA02G0021100.1 pep chromosome:AGI1.1:2:1260587:1262165:1 gene:ORGLA02G0021100 transcript:ORGLA02G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:I1NWV5] MAGRRLLVATAVVAAAAAVVAAAALEAINVTTVAFEEGYTPLFGFDNILRSADDRTVSLLLDRSTGSGFMSSSMYQHGFFSASIKLPSDYTAGVVVAFYTSNGDVIEKRHDELDFEFLGNIRGKPWRVQTNVYGNGSVSRGREERYLLPFDPTTEFHRYSILWTRAAIVFFVDDVPIREVRRTPAMTGDFPSKPMSIYATVWDASTWATSGGRYRVNYRYGPFVASFTDLALLGCRVGDPIGQMLSSAACAAAEDALLTSDLAVMTLEKQQAMRRFREQNMVYSYCYDTLRYPAPFPECDVVESERRRFKGSGHLRLAFRRRRRTRPGSRPARPTRAADM >ORGLA02G0021000.1 pep chromosome:AGI1.1:2:1255023:1258466:-1 gene:ORGLA02G0021000 transcript:ORGLA02G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYSAAAAAAAPSPLAARSRGLLRRPPRSAPVVVRCKKIDQLRAVNGLPPYAPVSNRSLLSPVMLPIIQDANIKNDTRLRIFSGTANPSLSQEIASYLGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEDKSFPQLTILSVANLLGETIWRVHDDCSVGHEPYSSLDID >ORGLA02G0020900.1 pep chromosome:AGI1.1:2:1250646:1253357:1 gene:ORGLA02G0020900 transcript:ORGLA02G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71210) TAIR;Acc:AT1G71210] CPASPSSPPAPPRRRPLLLAILSNTFSASTRAPPPPLPPLSPLLPRRADAATALTPVASAIADSFRDWFLLSRRGAAGAAAPPAALDAIYSAVAAEEAAALDALPLSEQLVLAVLRHRPRHLPDDDALLLLRLRFFDWSGSRGRYSHTRAVYHSVFRLLSRSRRSAVVVDWLRLFSNANATPARSRFYDTLVVGYAVAGDPQRGLSILGRMRFRGLDLDAFSSHILLNSLVEASLHEYADSFARHLAASPVATCIRIKSLCRQARSRDAVALLDTLPFDQASSAPAAGSIITDLCRRGRFDDAAQIVDRFPSADVYGSWIHGLVEAGRLDTTLQFLSEKKEAEGYIPDGPRYDKLTYRLLRSNRLGEVYDLLVEMMEEGIAPGRSTMNAALCFFCKAGLVEVAAHLYRSRMELGVNPNKDVYNNLIRALCRVGDTEEACLVLEQAMAEGYFPGRQTFTMFANVLCQEGKLDRVRVLLDRALKQEACPTDSVLAKYLVALCKSGDVEAACAVPQMAGSKSPKGLYRYESTYKSLIRALILIRRVDVLPRLLLEMQDMGHIPSRSLYQSVVCALCEVSRYAEVLELLNNQLQRTDLYPRVCYNYFIAGAGHAKKADMAREVYNQMEYSGLEPSGDSNVLLLMSYLRSKRIGDALNFFNFIRDKKTPGTKLYNVFISGLCEAQKPEQAMVFWREAREKGLVPSISCYEQLVLLLCSVKDYDNVVKVIDDFKETGRPVSAFLCNVLLLHTLRGSDLLKAWKRSEQATVKPEEIQGKGVGRFLIGELIMMFAGGIRNMNDLEDLEEDLEKHFPVDVYTYNMLLRGLSMVGRMDSACNLFERLCRKGYEPNRWTFDIMVHGFCKNSDRDEAERWMEAMHRNGFYPTWYTMRIYNNLALRSTDHKVISFV >ORGLA02G0020800.1 pep chromosome:AGI1.1:2:1247721:1247942:1 gene:ORGLA02G0020800 transcript:ORGLA02G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQVSRTAVVALVLVAVVVAAAYVPAATAYGCFDDCNERCANGKTDDACNSMCKQACSGAGGGPAEAPDEA >ORGLA02G0020700.1 pep chromosome:AGI1.1:2:1244162:1244461:1 gene:ORGLA02G0020700 transcript:ORGLA02G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKMNRMAVAALFLVAVVVASGAGASAHVGVGAGAGADAGVGAAVGAGADAALGVGAGAAGAAGAGVGAGIGAAANVAGSLTGAAEGAAGVAPKVHV >ORGLA02G0020600.1 pep chromosome:AGI1.1:2:1237045:1237344:1 gene:ORGLA02G0020600 transcript:ORGLA02G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKVNKIAVAALFLVAVVVAGGAGASAHVGVGAGAGADAGVGAAVGAGADAALGVGANAAGAAGAGVGAGIGAAANVAGSLTGAAEGAAGVAPKVHV >ORGLA02G0020500.1 pep chromosome:AGI1.1:2:1233849:1234183:1 gene:ORGLA02G0020500 transcript:ORGLA02G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVNRTVVAALLLVAAVVAASPVASAATYGCFEDCYERCASGKKDEACTKMCKEACGGAATDGHAPAAGAGAGRWRWRRRRGWR >ORGLA02G0020400.1 pep chromosome:AGI1.1:2:1229325:1232732:1 gene:ORGLA02G0020400 transcript:ORGLA02G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASAAAATAAEDGARLLRLEEQAGHGGGGAWEYLCLARRLRARRPEPVLRLGLALLNDSSARSRLASEQWTLYEQVAVAAMDCQRLDVAKDCIGVLSKQFPGSMRVGRLEALLFEAKGEWTDAERAYALILENNPFDQIVHKRKIAIAKAQGDMALAVEYLNKYLELFMADHDAWRELAETYVALQMYKQAAFCYEELILAQPTVPLYHLAYAEVLYTMGGLENLQTARKYYASTIQLTGGKNTRALFGVCLCSSAINQLTKGRNKEEESSELQSLAAEALLKDYKQRAPSKEALITSLLKNMKLS >ORGLA02G0020300.1 pep chromosome:AGI1.1:2:1223266:1226518:-1 gene:ORGLA02G0020300 transcript:ORGLA02G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVATATTPAAAHLHHHHRHHRLPLLPSQPRPRPTLRLRLLIPTPPPLRRLLRRSPLLAAAAVSADGGGGGGGGGEEAERKREKSRQLQKRVLVGVAIGVGAGGVVVAGGWVFAAAVAAAVLAGAREYFGLVRGTAGGGGTPPPRFVSRVCSAICALMPILTLYYGHMDVTVTFSAFLIAISLLLQRGNPRFAQLTSSVFGLFYCGYLPSFWVKLRSGLAAPALNTICVLPEIAYSWPILLGGQAHWTVGLVATLISISSIIAADTSAFLCGRAFGRTPLTDISPKKTLEGALAGLTGCVLTTVLLSSVLHWPRSLLSATAYGILIFLGSLFGDLVESLIKRDAGVKDSGSLIPGHGNLC >ORGLA02G0020200.1 pep chromosome:AGI1.1:2:1219842:1221437:1 gene:ORGLA02G0020200 transcript:ORGLA02G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1 [Source:UniProtKB/TrEMBL;Acc:I1NWU6] MMPSASDAAAAAALELQESGWEELRREARKLEGDLDVKLSSYARLAARSSSAADAASASAASSPSERSSWKSMEFEIQSLLDKLQDVNDAMSRCAASTAPTTSVSQKLARHRDILHEFAQEFRRTRGNLSSIREHADLLSSVRDDITESKATGGMSPRVHLLRERASIHGSINQIDEVIGQAQSTRVALSNQRALFGDVQGKVKQLGEKFPVIRGLLGAIKRKKSKDTIILSAVIAACTIFLIIYWLSK >ORGLA02G0020100.1 pep chromosome:AGI1.1:2:1216734:1218338:-1 gene:ORGLA02G0020100 transcript:ORGLA02G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDEAEEINIKKDTRKSLGRILLKGDNITLMMNTGK >ORGLA02G0020000.1 pep chromosome:AGI1.1:2:1214811:1215872:1 gene:ORGLA02G0020000 transcript:ORGLA02G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: Calcium-binding EF hand family protein (TAIR:AT1G64850.1); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Ot /.../karyotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G37445) TAIR;Acc:AT4G37445] MGLAFSAFGLPGWSSIPTGQVYDQYFKDKKTDSFEAFHVAYVEFCKDFNTVLPGQDFDTPSLEKIQKFYDDTWKLIKEDQEKKKTFMEYIRANVKEATVDDSLFIMAGLAAPAGAIVLKRTGQSIPQLKRLDLLPNVLFVPLFTLAAIMGATAVQMKQRSRHT >ORGLA02G0019900.1 pep chromosome:AGI1.1:2:1211928:1213211:1 gene:ORGLA02G0019900 transcript:ORGLA02G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPRPHLAPNPAAIIHTALLKSSPASLPPRLSFNSLLAAAASSPHPRLRTIVLPALALAHRCPAAAGPLDSYVLCSALRHASAPEAEPLHAHAAKSGWLGSVFVSCAIAAAYGGSGRFLDARRLFDESPVRNAVFGNAVLAGYVNAGKWAPLLAFARRFSELRLKADGYTMTAVVRACGELADADLGGQAHGHAIRRVGAVESDVFLTSALVDMYAKCGLVSHAKRVFDLAQQMNAVGIDVVLWTALLNAYGRHGMCKEVIQIYDRMVASGVRPDELTILAVLSACQHAGEVVKGLHYFESIHEDYGLTPTPDHYSCVVSMLCRAGMVIKAWEIVTSKGCGGEFGISTWVALLSACCDCGNVELGRMAAQRAIKLEPNNGTIYVELSNLYARAGLWGEIDQLRELMKDNGLEKDAGLTWVELGS >ORGLA02G0019800.1 pep chromosome:AGI1.1:2:1203486:1209414:1 gene:ORGLA02G0019800 transcript:ORGLA02G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSSHTATATADGGSGKRQQRKGDHKGKVPDGGGGEKEKEAARVEFGYERDFEGRYQVGRLLGHGQFGYTFAATDRASGDRVAVKRIDKAKMVRPVAVEDVKREVKILKELKGHENIVHFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPEECTYFPSQNFLFKSTKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWNKTEDGIFREVLRNKPDFRKKPWPGISSGAKDFVKKLLVKNPRARLTAAQALSHPWVREGGEASEIPVDISVLSNMRQFVKYSRFKQFALRALASTLKEEELADLKDQFDAIDVDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFEEFVAATLHIHQMAELDSERWGLRCQAAFSKFDLDGDGYITPDELRMVQHTGLKGSIEPLLEEADIDKDGRISLSEFRKLLRTASMSNLPSPRGPPNPQPL >ORGLA02G0019700.1 pep chromosome:AGI1.1:2:1194963:1197694:-1 gene:ORGLA02G0019700 transcript:ORGLA02G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated protein 65-9 [Source:Projected from Arabidopsis thaliana (AT5G62250) TAIR;Acc:AT5G62250] MVSLKLRAILFPFQMEPRREMLLQELGEMWDQIGEAEEDRREMLHALEEDCLNVYRVKVAQVKQYRAQLQREIADSVAEVAAICATIGEPSTTVHIACSSLQSTGNLKEELGSITPELEEMRRRREERRRKFSEVTELINRIEQEMKPSKQLHLTMDNSDLTIRRLEELRAYLQDLQLEKDSRVRKMTELMGSLHSSSLVLGMDFRETNLHHDDEGDISDDAIARLVSEIGRLREIKRNRMQKLQDLLATMLDLWNLMDTPSEEQKRFQSVACNIAASEDEITERDALSMEFINNVEAEVVRLERLKECRMKDLVLKKYDELNEIRRRAHVPVENEDDAMMMFDAIDSDAKRSLILERLEVQISEAKDEEFSRKDVLEKMEKWQAALEEESWLEEYNRNENRYNVGKGTHLVLKRAEKARALVSKMPAMAEALITKVFAWEKERGAKFEYDGDGLLDMLEEYNNTRKEKEQERKRQRDQRRMLGQGTGESPVVRPPPKNIKNVTRTLSMGGSSTGGKKASASVSSRPSTPSFLKSPMSARRSDEGQMLLSRAVEEDDLL >ORGLA02G0019600.1 pep chromosome:AGI1.1:2:1191493:1193172:1 gene:ORGLA02G0019600 transcript:ORGLA02G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRRLAAASLTPPRIPRLLRFRPPYRAPPAFLTWSTPHRRPRPHAADPTPIYLPAIEKIEKMKTVSSLTKDALKVLQEAGDKAKTHLRIMHDQYIEEVPIMEGLMQNGWLHFVCYIVPVSLPSFVLVVGTRVVNIINERSHSHLEEGIKIPFQDLENK >ORGLA02G0019500.1 pep chromosome:AGI1.1:2:1182462:1185919:1 gene:ORGLA02G0019500 transcript:ORGLA02G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLKVSFLKRKKPLGLLGDSGGGEAPAAEVLRRRRVPVPGDGLSPIPMFSVDFRLFVLILPHSHGYLVLLGFRSKQNQEGHVVVVSAALVGRRRPSRSLRCLLLLGTSTRAPFLPPCHLSGSHRVRPPRPCAAPNPAVPQPHSVFFLWGQMTVNSQPLLFVVKDDKTDLKLKKHLQELEQMLDQIVSEHVKLHRLVHSSDRGYFERISVVKCCEGTPYIVVCSRADVQAMDTSRYLQ >ORGLA02G0019400.1 pep chromosome:AGI1.1:2:1176697:1179344:1 gene:ORGLA02G0019400 transcript:ORGLA02G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLRRAAVLRRAVSFAAPSPAVRRAARLPIAPRRPFSQPSAASGDQPPKSALDKLNPLFEEVMRKGVCSMDQYMTLEIVK >ORGLA02G0019300.1 pep chromosome:AGI1.1:2:1171732:1175054:-1 gene:ORGLA02G0019300 transcript:ORGLA02G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:basal transcription factor complex subunit-related [Source:Projected from Arabidopsis thaliana (AT1G18340) TAIR;Acc:AT1G18340] MASSKLYSDEVSLAVVVVDTNPLFWATAALPFADFFAHLIHYVNSLLLLNHLNHVVVIAAGLSSCTYIFDSSDASASGAADVAATFAKASRKMDEFIAEDSRATSSNGSVADGNAASLLSGALSLALCYIQRVFRSGIRHPQPRILCLQGSPDGPEQYVAVMNSIFSAQRSMVPIDSCIVGTQDSAFLQQASYITGGVYLKPQELNGLFQYLAAVFATDLHSRTFLRLPKTLGVDFRASCFCHKKTIDMGYVCSVCLSIFCKYHKKCSTCGSEFNRVMPDLNSVPDQRQ >ORGLA02G0019200.1 pep chromosome:AGI1.1:2:1168625:1170628:1 gene:ORGLA02G0019200 transcript:ORGLA02G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSTFSPPASQLSLTRRRLHPGPDLLTLSSPRLRAGLRLARAAAGEAPVETVEAPPSKPEAEPSPAASNGAAVKAEKPAAAAAAPPLPKFRDSRWVNGTWDLRQFEKGGAVDWDAVIDAEARRRKWLEDCPEATSPDEAVVFDTSIIPWWAWMKRFHLPEAEKLNGRAAMIGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLVRKNEDIETVKKLIDETTFYDKQWQATWQDESPSQPKK >ORGLA02G0019100.1 pep chromosome:AGI1.1:2:1165244:1167863:1 gene:ORGLA02G0019100 transcript:ORGLA02G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYADPPPPIKPPEIKIKELANKSTYESSMMEQKTRLHAIERHRSCEVSQAILSEVENRHQHQTLEPIKSPISGCSPSVESTADTNTVHRHTVADAAWEALKKSIVHFRGQPIGTVAAIDKSQGALNYDQVFMRDFVPSALAFLMKGEPTIVKNFLLETARLQLREKMVDLFKLGQGVMPASFKVHHCNSKHKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTIWTRDNSLAESPECQRAMRLILKLCLSEGFDTSPALLCADGCSMIDRRMGIYGYPIDIQALFFMALRCAVTLLKEDHNDDFVYQISRRIKALSYHLHSYYWLDFQRLNEIYRYKTEEYSETALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNSIAILSSLTTGEQAEAILDLVEERWEELIGEMPMKVCYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHIARRAVEVMEKRLVKDEFPEYYDGKAGRYVGKQARKFQTWSVAGYLVAKMLLDDPSNLRAVSLTDDSHIRSAPVLKRSNSFP >ORGLA02G0019000.1 pep chromosome:AGI1.1:2:1158514:1162560:1 gene:ORGLA02G0019000 transcript:ORGLA02G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPDEVAADADAEVEEEEPEEVEWLSSSSDSEPEHPALKQWTHSSPDSEEAEAEQQPSAPQPASSVSAGKADEGEVGLEEGEVGKPLRWPGWPGASVFRLVVATDKVGGLIGRRGDTIKRLCEDTRARVRVLDAAAAAAANRIVDSDSNDFIAKVTFEMVLISATEESQAELPPAMDAAIKIFMHINDIEKINCDDTLSGSAPEKCSAKLLVPSAQATHLIGKQGVRIKSIQETTGATVKIIDKVELLSYDVVDERIVDIHGAPLKVLHALKSVLGVLRKFLVDHGVLHLFERKNQAVGEAHDNSKENQVNQVASDYRLPVNKDILLPDHRTPLSPKITQTMQIPLPFAEEIIGARGQNISYIRSVSGAVVDLEESRDYPNEVLVMIKGSSSQEVLSGNKEGPSGSSYINLDSGPKFLISGHINPVNRDHLASYRDHQPYIVRYGSSSLPRLRGYRL >ORGLA02G0018900.1 pep chromosome:AGI1.1:2:1155343:1157139:1 gene:ORGLA02G0018900 transcript:ORGLA02G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTTRRTSSKGAAAAPAPKVVAVLAGLLERAAARGDADADARAGSAAAAAARAFRGRTRPEISVRRYAERIYRYAGCSPACFVVARVYLDRLAGRSPEEESSPSPSPPATAAAAVCVDSYSVHRLLITSVMVAAKFMDDIHYNNAYFARVGGVEVAEMNGLELELLFALRFRLNVTPATFATYCAALEGEMAADDGPLPSPSPSPEEEGNDRRQPPPRRKDGITNKVAAAVDRSALLTAAHHRVVVVEITQ >ORGLA02G0018800.1 pep chromosome:AGI1.1:2:1151089:1153998:1 gene:ORGLA02G0018800 transcript:ORGLA02G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFYSTSSAYGAAASGWGYDSLKNFRQISPAVQSHLKLVYLTLCVALAASAVGAYLHVALNIGGMLTMLGCVGSIAWLFSVPVFEERKRFVILLAAALLEGASVGPLIKLAVDFDSSILVTAFVGTAIAFGCFTCAAIVAKRREYLYLGGLLSSGLSILLWLQFAASIFGHSTGSFMFEVYFGLLIFLGYMVYDTQEIIERAHHGDMDYIKHALTLFTDFVAVLVRILVIMLKNASDKSEEKKRKKRS >ORGLA02G0018700.1 pep chromosome:AGI1.1:2:1138574:1143123:1 gene:ORGLA02G0018700 transcript:ORGLA02G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATETTATSPAAAAAAQPEAEAEAEAESAATPVAVAAAAAATYQPPAPVVPVAVAGAAAGRGNGKRKRGRPRKYGPDGSLLRPLKATPISASVPDDSGGGQYTPAAAVGAVMKRGRGRPVGFVSRASPVSVAVTAATPTAAVVVSSPATHTQTPLGPLGELVACASGANFTPHIINVAAGEDVNMKVISFSQQGPRAICILSANGVISNVTLRQQDTLGGTVTYEGRFELLSLSGSFTPTDSGGTRSRSGGMSVSLAATDGRVIGGGVAGLLVAASPVQVVVGSFLPSYQLDQNATKKPVIEITTVPPPPPAIGFTISSGDHMEDSYSGSHGQHRSGAAAATTTTAKANSTSAFRVENWTPPAPPAAEAARTKTPSSEAKVPVPG >ORGLA02G0018600.1 pep chromosome:AGI1.1:2:1129468:1134080:-1 gene:ORGLA02G0018600 transcript:ORGLA02G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isopropyl malate isomerase large subunit 1 [Source:Projected from Arabidopsis thaliana (AT4G13430) TAIR;Acc:AT4G13430] MASISAASPVAGKAAAFAHKNELAAAAVAPSQQQLQRRVSGRRARSGRVRAVATPARAPRAPSSTGSVKSAMTMTEKILARASERASLEPGENVWVDVDVLMTHDVCGPGTIGIFKREFGEDAKVWDREKVVIIPDHYIFTSDERANRNVDILRDFCMEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCNAGAFGQFATGIGNTDAGFVMGTGKALLKVPPTIRFVLDGEMPPYLLAKDLILQIIGEISVSGATYKSMEFVGSTVESLNMEERMTLCNMVIEAGGKNGVVPADQTTFNYLEGKTSVEYEPVYSDAQARFVSDYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFFAAAKVFLASGKKVKVPTFLVPATQKVWMDIYSIPVPGAGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPMVCVSTTNRNFPGRMGHKEGQIYLASPFTAAASALTGYVTDPRDFLM >ORGLA02G0018500.1 pep chromosome:AGI1.1:2:1126715:1127826:1 gene:ORGLA02G0018500 transcript:ORGLA02G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:low psii accumulation2 [Source:Projected from Arabidopsis thaliana (AT5G51545) TAIR;Acc:AT5G51545] MAAACSSAAFLAYPGSLGAGPRPLRLFRAFAAASSSGSGSKKKARKSKGAGNKGEASDGGGGKGKEKALEPPPSVIRRAPAGSASVFQQPEPGFTPGGGGGGKGPTEEERRQRQANENAFLLAWLGLGLIILAEGLALAASGFLPEEWDSFFVKFLYPSFTPTVILFLGGTVGYGVFKYFEGEKSNS >ORGLA02G0018400.1 pep chromosome:AGI1.1:2:1118272:1121190:-1 gene:ORGLA02G0018400 transcript:ORGLA02G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLSAVARVLEQPTAWGAACEMAVLAGPLWAAALLGLLLGWAWRPRWAAGLVATADSHPAPPTFATLEFWRTQLPARIRAPLAQYVGAAGPAPPPQQEDDSSLLGSSEMANEQLAVGKDDLMNLWRLVEGRDGGPAWIKMMEKALPAMTYQAWRRDPQTGPPQYQSSTIFENANPEEVRDFFGDDQFRMSNKWDDMLIYHKTLEECQTTGTMKVHWVRKFPFFCSDREYIIARRIWKLGGAYYCVTKGVPCSSIPRRNKPRRVDVYYSSWCIRPVESRRGNSGLTACEVLLFHHEDMGIPYEIAKIGIRQGMWGCVKRIEPGLRAYQKARAAGEPLSQSALMAHINTKVGDNFVRGLESNDDQSDIVEAEEKPAKNRVARFLVLGGAVALACTLDQGLLTKALIFGVARKFVGQRNTL >ORGLA02G0018300.1 pep chromosome:AGI1.1:2:1117832:1118134:-1 gene:ORGLA02G0018300 transcript:ORGLA02G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLRADEGLRCIYNAGCWIMRKRGNGVKNLYFYIWKRNSSYILRGAFVSCNLLLCGVNQRTRKVIYKHLLLLFTQNRDVVMVKTNETPFRSNSYTFFKF >ORGLA02G0018200.1 pep chromosome:AGI1.1:2:1105643:1115812:1 gene:ORGLA02G0018200 transcript:ORGLA02G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G00800) TAIR;Acc:AT4G00800] PPSQPPPPPPSQELDLDAFLPSSPTSSSVSEPDGDGAADHRRAVDDLLLLLSSSSSDTDEPPARPSPISQLKTLASIRAPAPSPKPSPSPSPSPRRSTSASPSATLSSLVSRTFSNNAASSSSSKPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRSASAPIEKLLDEGSGSEVSEEFPSAGNSEAGVAEKANAEGNADVSKEATSGSGDEELEADKHGEEVRFEEKSEPTESVEEVAADSAVAENINEHKQLGGESLAETDRPADQIGLDDEEHVDDRTADENLVEFGDVEDQGGAACEEDVDDEQESERSETSVEEHSESESIIDKAIEERLEISRKTEKIVEKRPKLSMKPLEQAEELEKRQASFGQHWEEGAAAQPMHLEGIGRGQPAIGYMQIEVDNPITRAMSSQSFGQDHGSPQVMTVHKSYIALGMSKGSVIVIPSKYSIHQADDTDAKMLFFWNQGEKTQSSVTAMCFNQQGDLLLVGYNDGHMTIWDVQKGTAAKVIYGEHTAPVVHACFIRQSKAITGDSKGLVLLHTFSIIPVINRLTIKGTQRLFDGNTGIVLSACPLLVDESFGSSNSSTQGNLTTSSGGGLSSMMGGVVGGVVGVDSGWKFFNEGSSPIEDGVVVMFIMHQHALVVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKYTTSSSDSPSIVEEQVSWLALAWDRQVQVAKFVKSKMIKHKEWKIDSAAIGVAWLDDQMLVVLNLRGQLCLFSKDGNELRRTVFVLDGYIFDESILYHTHFSNRFGNPEKHFNNSVAVRGATVYILGPNFLTVSRLLPWKERIEALKRAGDWMGALDMAMKLYDGQTQGVVDLPRTVDSIREAIMPYLVELLLSYIHYVFEYISIALSSHTGKGGASDGLVDADRSLLTQREEQYARVGGVAVEFCVHIGRNDILFDTVFSKFVAAKSGGMFLEVLEPYILKDMLGSLPPEIMQALVEHYSSKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLKDFRTPLEELLSVIQNASRKEGASTCYRMLVYLKYCFQGLAFPPGHGTIPQSRLHSVREELLQFLLEESKTSSTDVYKSFKSSSEKCPNICYLLWMDTEAALEVLKCAFAHERFEPRDNPSSTPDAHVSEDGDNINIGSPDSENIMLQNVVDTIVDIVGLENEAIHSIVIGAAESEIWPSEKDFGYLIEFVSFFVSHKRAKASQRVVRHILRYLTSSNILSSDDKKTPTQKEKEVLQLFDAVPQTDWNSDYVLHICLDAHFHQACGLIYMTRKQNLPALESYMKDTLEPFHAFIFINKKLSELADYEASSFRSSVISHFPELVKLSRECAFVLVIDHFHDEIQKILSELHSDHHSLFLFLKTAIEVHLSGKLNFSELNARKNSTVELQYSSRELEFYIQRLSNLPKLLDRNPVIMTDEIVELYLELLCQYERRSVLKFLETFDSYRLERCLHLCLDYGITDAAAFLQERVGDVGSALALILAGLDEKINLFISSVENAFSGIASKSISEIEQPDIVLKMSEAHPVLDALRAAIGLCQRNSQRLNPEESQSLWFQLLDSFSEPLKKLYGSKDVNGKGVRSNGSETSNRQPKDKGFSRKTRISAYQRCLNALRRVFSQFVGEIIEAMAGHIPLPAIMGKLLSDNRSQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFYTLSLLKRGVCHGLAPQTFVCCICNCSLSKESAVSAIRVFSCGHATHLQCESEQSKSSNRDSKDGCPICLSTSNTQAQNKSPISENGLGKHFGAESEVSHGTYHTHETDHVDRSRGLQQMSRYEILNHLQRPQSLHIETVPPLRLSPPAIYHEKIQKRTTTMGESSKHSVRTEKPQRIWQMKEPRSKRSGNRVLPKSSMLSSQNNQV >ORGLA02G0018100.1 pep chromosome:AGI1.1:2:1101926:1104163:-1 gene:ORGLA02G0018100 transcript:ORGLA02G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSVVTARRAVHGILVAVVVVQRLLAAGAIPPADPVHCASGTARCTVTNAYAAFPDRSTCRAAAAAYPASEEELLRVVAAAAASGTKMKAATRYGHSVPKLSCPGAGDGRGLAISTSALNRVVAVDAAGMAITVESGVTLAELIDAAAGAGLALPHSPYWLGVTVGGLLSTGAHGSSVWGNGSAVHEYVTGMRIVTPAPAREGYAKVRVLAAGDPELDAAKVSLGVLGVISQVTLKLQPMFKRSVAFQHCGDGDLAERVVAFAGEHEFADILWYPGHGKAVYRIDDRVPSNTPGDGVYDFVGFRATPTLAIQAKRLVEDGLEATGNAAGKCLAASTTNSILAARNYGLTRNGQLLGAFPGTAVVGYQNRIQSSGSCLAGADDGLLTACPWDPRVEHGTFFFQSGISVPLSRAAAFIRDVQRLRDLNPDALCGVELYDGVLMRYVRASAAHLGKPEDSVDFDLTYYRSRDPATPRLHEDVVEEVEQMALRKYGGVPHWGKNQNAAFEGAAAKYGGARAAAFMRVKRAYDPEGLFSSEWSDKVLGVAGAGGVSVVRDGCALEGLCVCSEDAHCSPEKGYLCRPGRVYKEARVCRRVADDL >ORGLA02G0018000.1 pep chromosome:AGI1.1:2:1095578:1097904:-1 gene:ORGLA02G0018000 transcript:ORGLA02G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQARRRRGAPGGRRRITHGAPLLAAVAVLLCASVRFAGTSPPPGPVRCASGTANCTVTNAYGAFPDRSTCRAAAAAYPASERELLRVVAGAAASRTKMKVATRYGHSVPKLACPGDGGGGGGGLVISTDALNRVVAVDAGRMEITVESGVTLAELIDAAAGGGLALPHSPYWLGLTVGGLLSTGAHGSSVWGKGGAVHEYVVGMRIVTPAPASEGHAKVRVLAAGDPELDAAKVSLGVLGVISQVTLKLQPMFKRSVAFRRRDDDDLAERVAAFAGEHEFADILWLPSQGKAVYRIDDRVPNTTSDDGAVYDLVVFQSSPTVAIQANRIGEDALEATANSAGKCLAGSATIARLAAGNYGVTRRGVLPPPPGAAVVGYQNRIQSSGSCLSGADDGLLTACTWDPRVRHNSFFFQSGISVPLSGAAAFIRDVQRLRDLNPDALCGLEVYYGVLLRYVRASTAHLGKPEDSVELDLTYYRSRDPAAPRLHEDAVEEIEQMALRKYGGVPHWGKNRNAAFDGAIAKYPKSGEFLKVKGSYDPEGLFSSEWSDKVLGVAGAGGVSVVRDGCALEGLCVCSEDAHCSPEKGYLCRPGRVYKEARVCRRVAGDH >ORGLA02G0017900.1 pep chromosome:AGI1.1:2:1094016:1094261:1 gene:ORGLA02G0017900 transcript:ORGLA02G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAAAVGGGEIVSIKTAWPEVVGWDGMSAVMKIHRDRADVRVEVHTVGESVPPGFDGERVLVFLNDDNAATVAQTPVVG >ORGLA02G0017800.1 pep chromosome:AGI1.1:2:1091750:1092001:1 gene:ORGLA02G0017800 transcript:ORGLA02G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGGDPQVAAAEQLRRLKSSWPELVGWPEFYAALKIIDERPDVTVYMFRDGDDLPPPEHDPARVAIFVDGDIRVSRTPVVG >ORGLA02G0017700.1 pep chromosome:AGI1.1:2:1090110:1090349:1 gene:ORGLA02G0017700 transcript:ORGLA02G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTAAAAAAGDGDSLKTAWPELVGWVELNAAFQINRDRPDVHVAFYMVGTQLPTDHDDLRVIIVSDAGTVVVRTPVVG >ORGLA02G0017600.1 pep chromosome:AGI1.1:2:1088024:1088233:1 gene:ORGLA02G0017600 transcript:ORGLA02G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVRWAEVLGELAPLAVTQISNDRPDVAVEVLLRDAAAVVSPEFNPKRVRVFVDNNFIVVKVPVIG >ORGLA02G0017500.1 pep chromosome:AGI1.1:2:1087060:1087275:-1 gene:ORGLA02G0017500 transcript:ORGLA02G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKKASWPEVVGWPATAAVTQINGDRPDVSIEVIPDGATVPPGFDANRVRVFFNAGDAVGPVTRTPFVG >ORGLA02G0017400.1 pep chromosome:AGI1.1:2:1083613:1083714:1 gene:ORGLA02G0017400 transcript:ORGLA02G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKQYSFALCLMLLLVAALLCTMNTISVEAGN >ORGLA02G0017300.1 pep chromosome:AGI1.1:2:1078940:1081833:1 gene:ORGLA02G0017300 transcript:ORGLA02G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:I1NWR7] MSRACLLLVVAAVCLAGREAATFNYADALDKAILFFEAQRSGKLPPGQRVAWRADSGLSDGSADGVDLAGGYYDAGDNVKFGLPMAFTVTMLSWSVIEFGDMMPERRSSFLGGIFGGGGVAQLDNARAAVRWGADYLLKAATATPDTLYVQVADPYQDHRCWERPEDMDTPRSVYKVTPQSPGSDVAGETAAALAAASIVFRVSDPSYSAKLLDAAQLVFDFADKYRGSYSDSLSSVVCPFYCSHSYHDELLWAASWLHLASPEKKDVYLSYIGSNGLALGAEQDDFTFSWDDKRVATKGFLQSRADGLQLYKAHTDNYICSLVPGANGFQSQYTPGGLLFKEGDSNMQYVTSTAFLLLTYAKYLSSSAATVSCGSTAVSPSILISLAKKQQVDYILGANPAGMSYMVGFGARYPRHVHHRGASMPSVRDHPARIGCDEGFRYLHSPEPDRNLLAGAVVGGPDAGDAFADGRDNYAQAEPSTYTNAPLVGALAFFAGAHKIFTP >ORGLA02G0017200.1 pep chromosome:AGI1.1:2:1073211:1075496:-1 gene:ORGLA02G0017200 transcript:ORGLA02G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--inositol 3-phosphatidyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NWR6] MAQPSSKKTPSVYLYIPNIIGYFRIIINFIAFAVCYSNRVLFAILYFFSFFCDGLDGWFARKFNQASTFGAVLDMVTDRVSTACLLALLSQFYRPGLVFLMLLGLDITSHWFQMYSSFLSGKTSHKDVKDTGNWLLKLYYGHRPFMAFCCVASEVLYIVLFLFADEKSTSLLNVCGNLLKQSPLTVFVFISTLVGWALKQVINVIQMKSAADACVVFDLKRGK >ORGLA02G0017100.1 pep chromosome:AGI1.1:2:1069357:1072002:-1 gene:ORGLA02G0017100 transcript:ORGLA02G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVSIYLVKMPIYLETRGTSSISLVVHHIRRRTHSSSSSSRLRFQRGGGAEQRRGMAAAQGSGVPAALALSSGHTMPSVGLGVWRMDSPAIRDLIHSALRIGYRHFDCAADYQNEAEVGDALAEAFQTGLVKREDLFITTKLWNSDHGHVVEACKDSLKKLRLDYLDLYLIHFPVATRHTGVGTTASALGDDGVLDIDTTISLETTWHAMEDLVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIETHPYFQRDCLVKFCQKHGILVTAHTPLGGSTANTEWFGSVSCLDDPVIKSLAEKYGKTPAQLVLRWGLQRNTVVIPKTSKEERLQENFAVFDFAISDEDMEKMRSIDRKYRTNQPAKFWGIDLFA >ORGLA02G0017000.1 pep chromosome:AGI1.1:2:1065559:1068612:-1 gene:ORGLA02G0017000 transcript:ORGLA02G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPAAAVALADLLESGTFAPPSPPRPPAPTTILSAWSHLRNPTSPAAADALAALETLHLHRRSLRLSSAHVALLLPLLPLHPRLVSPLLATSPHLLPPSLPASLPVSPRLLLLGALASSRSAKSLSSHANSGSTAAENLGGGGGGESGDGHDSDPVVAVARILDDMEKGSESCHDLDHLALAGIGCVLASADELQFRRIIGSLLTICGRIGSLSVGVRMLKLVEWLLLGFIESRKMRKVQVLFEMISPESCQSQGYVMSPVVMVACGALRALRVASARYRLDFDPRLKEAPERTIRFAAEKAVLEGKHVDDGRLLLQCVALGLTQCGQVTPHESVLRCVCMALLEELLPLPDLLKMSVQCPDGNSPEIVKNRVKQHLDSVLFKEAGPVAGILCNQYSFASDKAKTSVETCVWEYAQVLYYHLRAAVILHQGKQDDLITDIEKIAEAAFLMVVVFSAEVTKHRLNAKSSEGFQPDVAVKILVSFSCLEHLRRLRLPEYTEAVRRAVLVNQENAAVAALFIESIPSYAELTSKPDLLTLDGTRYIWHGDVVQTSRILFYLRVIPTCISLIPAHMIQDKLASIMFLYIQHSNEKVTRASHSVVVSFLSSGNDTDPDDRMALKEQLAFYYIKRTLEAYPGVTPFEGLASGVAALARHLPAGSPATLFCIHNLVVKAKDLCHTSMVEDKSLWRSWEGSTEPCKKILDLLLRLIFLVDIQSFPYLLKELAEFVSLLPKEGQDVLLDDMHAHVAESDDVTRKPVLVSWLQSLSYISSQATRHKSLDNVGSDELSLNRTMARL >ORGLA02G0016900.1 pep chromosome:AGI1.1:2:1061085:1064507:1 gene:ORGLA02G0016900 transcript:ORGLA02G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit C [Source:UniProtKB/TrEMBL;Acc:I1NWR3] MASRFWGQGDSDSEEEEQEIESEAGSESEDEGGDAGGRSGNQNRYLRTTNASDSDESDSGQRVVRSLKDKRNEELKITVDQMRNAMKINDWVNLQESFEKLNKQLEKVVRVNESTTVPNMYIKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYENLIQECREHPERFEDDDVEDKDDDDETEDEDSDAEEDPEKIAMSESDEGDDDQEDDQDGGDWVRHTNKKDKLMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRVERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNMWKKCVNNMLLVLDILQQYPNIVVDTSVEPDEKETQKGADYDGKIHVTGDLVAFLERLDSEFFKSLQCTDPYTKDYVQRLRDEPLFLVVAQNVQDYLERVGNFKAEAKVALRRVELVYYKPQEVYDSMRKLAEQDEDSMEDEDAEADEERQVSDNNRGPPPFVVIPEVVPRKPTFPESGRALMDALMSVIYKYGDERTKARAMLCDIYHHAISDEFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLIIEAHGCLSELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDKRKFMNRTFRRLLEISERQTFVGPPENVRDHVMAATRALSKGDHQKAFDVINSLEIWKLLRNKEHVLEMLKLKIKEEALRTYLFSYSSCYQSLSLDQLTTMFDLSEQQTHSIVSKMMMHEELHASWDQPTKCIIFHNVDQTRLQGLLFQMADKLSVLVESNERAYEAKTGGTFEGAPPRRRGDAQDSSNLGKWQENFVSSQGRQGGGRSGYSGRGGGSGRGGGGYQRDRGSQGSRGGYGGGSRFQDGGRSRTGSMAKGGDGGARMVSLNRAGRG >ORGLA02G0016800.1 pep chromosome:AGI1.1:2:1055940:1059380:1 gene:ORGLA02G0016800 transcript:ORGLA02G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:shrunken seed protein (SSE1) [Source:Projected from Arabidopsis thaliana (AT2G45690) TAIR;Acc:AT2G45690] MEAYKLWVRKNRDLVRSLESLANGLTWILPERFANSEIAPEAVYAFLGIVSSVNQHIIETPTDGQTLASKEQSIPWSLVVSVLKDIEAVVEVAAQHFVGDDRKWSFLAVTEAVKAGVRLAAFRESGYKMLLQGGEVANEEEINILDENFGAKSNGVPVIYPMNGHFQNGHGVASNGLDGKAGFVSKSLEGRAVAALNKFGQNAKMTSDPMWMKKALPPPDPPAMVVEKPTLASIWSAKGISGRLFLLGEVVHIFRPLLYVLLIKKFGIKSWTPWLVSLAVEITSLGIHSRATDLHQRGGKVHQLSSAERDELKRRKMMWALYVMRDPFFTRYTKRHLQKAEKVLDPVPLIGFLTGKLVELVEGAQTRYTYTSGS >ORGLA02G0016700.1 pep chromosome:AGI1.1:2:1049330:1052578:1 gene:ORGLA02G0016700 transcript:ORGLA02G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPQAQPNSSPSPPPHTHTHAHHSSPHNPSTPTPPPPPGSPRDGAGEHPSTSAMTMPFAVSDPSASVEEMVAAAAADDECVCVWLEEQYEKVEKIGEGTYGVVYKGKHRHTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHKEKCIYLVFEYLDLDLKKHMDSSPDFKNHRIVKSFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARHYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFSIMGTPNEETWPGVASLPDYISTFPKWPSVDLATVAPTLDSSGLDLLSKMLRLDPSKRINARAALEHEYFKDLEVA >ORGLA02G0016600.1 pep chromosome:AGI1.1:2:1043683:1044182:-1 gene:ORGLA02G0016600 transcript:ORGLA02G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NWR0] PPPRPSPSPATPRRRPPPPPPPHATPSHRVRSRPATVVSAASGVTDGYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLDAGATVFAVEKVGGLASHWLELG >ORGLA02G0016500.1 pep chromosome:AGI1.1:2:1040213:1042792:-1 gene:ORGLA02G0016500 transcript:ORGLA02G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NWQ9] MDNMLLPLPKSSLHLMCNGFKNIMQIIEEDITKFNVRSHLLPFLEEKSHHTRKYAKVVSNLPFNVSTEVVKLLLPMGDVFSVMVLLLQDETALRFADASIQTPEYRPINVFVNFYSEPEYKFKVERTNFFPQPKVDGAVISFKLKNSGDYPPVGSHKGFFSMVNSAFNGKRKMLRKSLQHLCSSSEIEAALANIGLPVTVTPLSLSLSLSVLLADTASARPIFSSRCTAFRLQLQQ >ORGLA02G0016400.1 pep chromosome:AGI1.1:2:1035245:1037617:-1 gene:ORGLA02G0016400 transcript:ORGLA02G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGNLDYDARQSEIERLFSKYGRVERVDMKSGFAFVYMEDERDADEAIHRLDRIEFGRKGRRLRVEWTKEDRSGGRRGNSKRSPNNTRPTKTLFVINFDPINTRTRDLERHFDQYGKISNVRIRRNFAFVQYELQEDATKALEGTNGSTLMDRVISVEYALRDDDEKRNGYSPERRGRDRSPDRRDYRGRSASPYGRGRERGSPDYGRGRERGSPDYGRGGDRGSPDYHRGASPQGGNKGDERGSPPNNYDRERREASPGYDRPRSRSPARYERE >ORGLA02G0016300.1 pep chromosome:AGI1.1:2:1032573:1034188:1 gene:ORGLA02G0016300 transcript:ORGLA02G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARABIDOPSIS TRITHORAX-RELATED PROTEIN 6 [Source:Projected from Arabidopsis thaliana (AT5G24330) TAIR;Acc:AT5G24330] MGPATPLRRRTRARPAATRAEGGSGGDGDDDDVRCEACGSGESAAELLLCDGCDRGLHIFCLRPILPRVPAGDWFCPSCASPSPHSKKSHAAKKPKQFPLVQTKIVDFFKIQRGPAAALAAAAESSEGKKRKRKAGGIRLVSKKKRKLLPFNPSDDPARRLRQMASLATALTATGAVFSNELTYVPGMAPRAANRAALESGGMQVLPKEDVETLNLCKRMMARGEWPPLLVVYDPVEGFTVEADRFIKDLTIITEYVGDVDYLTRREHDDGDSMMTLLSAATPSRSLVICPDKRSNIARFINGINNHTPDGRKKQNLKCVRFDVGGECRVLLVANRDISKGERLYYDYNGSEHEYPTHHFV >ORGLA02G0016200.1 pep chromosome:AGI1.1:2:1028868:1029311:-1 gene:ORGLA02G0016200 transcript:ORGLA02G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT2G46600) TAIR;Acc:AT2G46600] MNHHQQIRSTTAAEQQEASAGGGGGEEYEDLMPVMAGRLGAEGLLSELRAGFRLLADPARGAITAESLRRSAASVLGLGGGGGEMTVEEAAAMVREGDQDGDGALSEAEFCVLMVRLSPGIMGDAEGWLEEAIADELLRSPPPPPPA >ORGLA02G0016100.1 pep chromosome:AGI1.1:2:1026746:1027686:-1 gene:ORGLA02G0016100 transcript:ORGLA02G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAPLAAVRAIITCSASNKNNPPSARQQQQTTTTTATRGSPAALPSLLRTTAAAAATAALALAPPDALAAGGEFGILEGRSVALLHPLVMGGLFAYTLWAGYLGWQWRRVRTIQDEINELKKQLKPAAAAATPAAVAAGDSSSSSSSPPPSAPKSPVEIKIDELTEERKKLIKGSFRDRHFNAGSILLGLGVTESVGGALNTWFRTGKLFPGPHLFAGATITVLWAAAAALVPAMQKGNETARSLHIALNAINVLLFIWQIPTGLEIVGKVFEFTTWP >ORGLA02G0016000.1 pep chromosome:AGI1.1:2:1020928:1024495:-1 gene:ORGLA02G0016000 transcript:ORGLA02G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42480) TAIR;Acc:AT5G42480] MEGFHNLLARPNSAPFAFSLPRPRPRPRRRPPPHPSAACRAASRWAERLFADFHLLPTAAPSDPPSPAPAPAAAPSASPFVPLFPDAAERSLPLQVDFYKVLGAEPHFLGDGIRRAFEARIAKPPQYGYSTDALVGRRQMLQIAHDTLMNQNSRTQYDRALSENREEALTMDIAWDKVPGVLCALQEAGEALAVLVTGEQLLLDRPPKRFKQDVVLAMALAYVDLSRDAMAASPPDVIGCCEVLERALKLLQEDGASNLAPDLLSQIDETLEEITPRCVLELLSLPIDTEHHKKRQEGLQGARNILWSVGRGGIATVGGGFSREAFMNEAFLRMTSIEQMDFFSKTPNSIPPEWFEIYNVALAHVAQAIISKRPQFIMMADDLFEQLQKFNIGSHYAYDNEMDLALERAFCSLLVGDVSKCRMWLGIDNESSPYRDPKILEFIVTNSSISEENDLLPGLCKLLETWLIFEVFPRSRDTRGMQFRLGDYYDDPEVLSYLERMEGGGASHLAAAAAIAKLGAQATAALGTVKSNAIQAFNKVFPLIEQLDRSAMENTKDGPGGSLENFDQENAPAHDSRNAALKIISAGALFALLAVIGAKYLPRKRPLSAIRSEHGSVAVANSVDSTDDPALDEDPVHIPRMDAKLAEDVVRKWQSIKSKALGPEHSVASLQEVLDGNMLKVWTDRAAEIERHGWFWEYTLSDVTIDSITISLDGRRATVEATIEEAGQLTDVTEPRNNDSYDTKYTTRYEMAFSKLGGWKITEGAVLKS >ORGLA02G0015900.1 pep chromosome:AGI1.1:2:1016910:1019452:-1 gene:ORGLA02G0015900 transcript:ORGLA02G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit J [Source:UniProtKB/TrEMBL;Acc:I1NWQ3] MEDWDSEDFQPAVPSAKAEPLKSKWADEDVEEDDVKESWEEEEEEKPKPPPVEKTAPKPSGKGAAKKGKQQASTSSEVVQDEALDDPALEKLRQQRLVEEADFKSTTELFGKKDGSEKSLDTFIPKSESDFAEYAELIANKLRPYEKSFHYMGLLKNVMRLSMASLKGADAKDISSSIAAIANEKIKAEKEAAAGKKKQGAKKKQLHIENKDDDFIPGKGNFDDPDEYDFM >ORGLA02G0015800.1 pep chromosome:AGI1.1:2:1009373:1016137:-1 gene:ORGLA02G0015800 transcript:ORGLA02G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1NWQ2] MSAHLRLLSAAPLPALLPTRRLPAVPTPALAARAARLVLSRPLTEPQPPRLPRGAAARCRGVAVAGADGDEAAAAAAGTAGLWEQVRDIVVFAGPALGLWICGPLMSLIDTMVIGQTSSLQLAALGTTPSSPWPGTVFCDYLCYIFMFLSIATSNMVATSLAKKDEELAQHQVSMLLFVALTCGLGMFLFTKLFGTQVLTAFTGSGNYDIISAANTYAQIRGFAWPAVLVGLVAQSASLGMKDSWGPLKALAAASVINGVGDLLLCSVCGYGIAGAAWATMVSQIVAAFMMMQNLNKRGFRAFSFTIPSSSELLQIFEIAAPVFVTMTSKVAFYALLTYSATSMGAITLAAHQVMVNVLCMCTVWGEPLSQTAQSFMPELIYGAKCNLMKARMLLKSLVMIGAITGTTVGAVGTLVPWLFPSLFTNDFMVVQQMHKVLIPYFCALLVTPSVHSLEGTLLAGRDLRFLSQSMGACFGIGTFLLMIIRNKFGSLPGCWWILVLFQWGRFGSALQRLLSPTGMLYNESFNNHHDEYVKVKAT >ORGLA02G0015700.1 pep chromosome:AGI1.1:2:1004499:1007750:1 gene:ORGLA02G0015700 transcript:ORGLA02G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGEPLRRRRHLADDGFFRFLLPSPKPTTTTTTTPPPAALFVPPHRLIAPPVPLPQPPRPEERLFIVPPTRPSWLPPLSIPPPATATAPPPTRCPPRRMGNGGGGCFGGRSGVVGWRYGGFVGNGGRRGFERRRVGGGFIGAANAGEATGGERRAVVRKREKKVWVAVEKKGEDCGGGDEDQAAMGAGYAGGDERDEQVDVDDDEQDDGDGDDPFDVAADHDLLAVVADGAGSEKPMEQLGSPPDQPPLPPPPPRQRVGTRRWRVERRHDIDAFTPGLLSLYESLNPSEEHKAKQRQLIESLTNSVSKEWPNAQLHLYGSCANSFGNSHSDVDVCLQIDTAAEENIAELLLALAETLCKDDFDNVEAITSARVPIVKIADPGSGLSCDICVNNLFAVANTKLLKDYAQIDERLLQLAFIVKHWAKLRGVNETYRGTLSSYAYVLMCISFLQQREPKILPCLQAMEPTYTLVVDGTECAYFDQVDQLKDFCAENKESIAELLWAFFHYWAFHHDYRNDVISVRMGNTISKQEKNWTTRVGNDRHLICIEDPFETSHDLGRVVDRQTIRVLREEFERAATILQYDDDPCVALFEPYDYES >ORGLA02G0015600.1 pep chromosome:AGI1.1:2:1001575:1003420:-1 gene:ORGLA02G0015600 transcript:ORGLA02G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIN3 associated polypeptide P18 [Source:Projected from Arabidopsis thaliana (AT2G45640) TAIR;Acc:AT2G45640] MAGRGEMPMRPVRPGPPMQYRGPPPMARARVEPVDREKTCPLLLRVFTKVGGHHQNEEFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVALAARKRNARLSFAFVYPDKHGRFVVKEVGSTFSYGHGRGDDAKTLAELGFQIGDYLSVAIY >ORGLA02G0015500.1 pep chromosome:AGI1.1:2:996993:998867:1 gene:ORGLA02G0015500 transcript:ORGLA02G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G02060) TAIR;Acc:AT1G02060] MNRAARSRSPEDASVAAARKLHLLLRSRDLRPALSYLRTLPSPLTLLPNHALNALLRALAAAGSVRAAAALFRRIPSPTPHSFNSLLAALLRRGRRRAASALFAALLRSPSASPDAATLNTLLHGLSTASPHPSTPALLRLFRFLPDTYAFAPDAISYNSLLSALCRAGDVLTARKLFDGMRVGGEEGRGAVFPNVITYTTMIKAYCAKRLVNEALAIFKLMVADGVAPNRITYNTMVQGFCDAGRMELVKEVLEMDSFRPDTCTFNTLVAVHCREGRIEDAMKVFNQMVELRVRRDSASYSMVIRVLCENGEFGQAEELVDELLEKEVLKKRGGCTPLIAAYNPVFVYLCEHGKTKKARMLFGQLLDRRSKVDVPAFKTLILGHCREGDFEEGYALLLSMLKRDLVPDDECYIAVIEGFSQRGRMKFAWEALHRMLNSGLRPSTSTFHLVLLGLLNKDGCAKEAADLIEIMLERKIRQNVDLSTNLVDTLFRNNLNDRAYKIVTSLYDHGYYIKMEKLIANLCEEKKFIEAADFTLFSLEKSQNFGVAIPSMVLDGLCMTGRASEAFGLFYELIENRSALASVAAPRSLVALHHALEESGKMKEADFIAKQMRRASARIRERI >ORGLA02G0015400.1 pep chromosome:AGI1.1:2:995288:996351:1 gene:ORGLA02G0015400 transcript:ORGLA02G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYHSNSRFAPFRDAPFALRGALGSSGSSFSSIDSLRRSSTLEQARGYTSRPLGAVRPKMLPSGCRPLHTSHPLSAPVANRPLSPHLPLKKPQLSATFSISHRIFGAALGAAIISIPLATKFSLMFDV >ORGLA02G0015300.1 pep chromosome:AGI1.1:2:988206:993180:1 gene:ORGLA02G0015300 transcript:ORGLA02G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:terpene synthase 14 [Source:Projected from Arabidopsis thaliana (AT1G61680) TAIR;Acc:AT1G61680] MVCHVFSSFSSSLIRVLEAPLLLPAASASSSSSSPASRSGGRRRRAAHVRPSPAIYPGRQELASHSSMLPTDFDIQVLIERHEALTDDVQEMLQHQRRRHQKTASGGRERIATVDHLRRLCIDHYFQDEVDDAMDACLLEELAHGGDLLDATLAFRLMREAGHHVSADEVLGRFTDDNGEFRLDYRKDIRGLLSLQDISHMNIGQEASLCKAKEFSTRNLESAINYLEPNLARYVRQSLDHPYHVSLNQYKARHHLSYLQTLPIRCTAMEELALADFQLNKLLHQMEMQDIKRWWMDLGLAQEIPVARDQVQKWFVWMMTAIQGASLSRCRIELTKIVSFVYIVDDIFDLVGTREELSCFTQAIRMWDLAAADSLPSCMRSCFRALHTVTNDIADMVERDHGVNPINHLKKAWAMLFDGFMTETKWLSAGQVPESEEYLRNGVVTSGVPLVFVHLLFMLGHDVSQNAAEFVDHIPPVISCPAKILRLWDDLGSAKDEAQEGLDGSYKELYLKENPGLAAGEAEEHVRRLIAGEWEELNRECFSASPSRSSPATTFPAGFTQAALNAARMVGVMYGYDGERRLPVLDVYVRMLLF >ORGLA02G0015200.1 pep chromosome:AGI1.1:2:977938:981289:1 gene:ORGLA02G0015200 transcript:ORGLA02G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:I1NWP6] MVVSIITRALILILGYAYPAYDCYKTVELNRPEVEQLRFWCQYWILLAVLTVFERVGDNFVSWLPMYSEAKLAFIVYLWYPKTQGTSYVYESFFKPYIGKHEAEIDRNLLELRTRAGDMAVHYFQKIADYSHTRFYEILQYIASQSEAQRSRPQLSTSLFLQAQQHQQRPPPPRTRQVNPAPPPVPSPSAPPLPPQPPPPRNQAQADKAPIPVAPPGAAVPPAQPQPQPPQAGAEAVTTESTEATQAANPPATTASNPHQAPVIPDEETLIQEAIRMTRSRLRRRMGGA >ORGLA02G0015100.1 pep chromosome:AGI1.1:2:968620:973631:-1 gene:ORGLA02G0015100 transcript:ORGLA02G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMEKKREKLVAGLTRRHMIRSGSSSSSSPTAAAAAADSNKNVSEGGDQNLISRQKKGKAKVLKWRLSNTDMDMKGEEGGSDGDYDDTVLSSLTTASFSSLISRKRVKSLGKVAEDCDAIDPPVPRKLRSAINKRASQTVSTSPRHVKKRRHLSAISSQTFLMDRETRCNAIPLANHFSKEEEVVVDALLSLSQIPHLCELSSDRGMAEDNLDLHVTSVSYSAGATKVDEKISALPTAGTEVANQPALDEPVERTGNVSQINHVPCGGTCNNTNPTLSNDGQIHDISLGIVTNLPSPSKDYNNSRKQLKVQFDNSTIHPTKIEAPRCLENSKKPDILEHDRKNVKNNTAQEIVPPVQTSKPCASHRPSSNTLASCNNTAAETVKGTGEHENLSLVNKNGTPSKTWKRSITHVYMCHLIQMHLDKEKASQNRVKPEEVCHSHISRSPDGSTISKNGAQDEKFYALHFDVRLPVQPSCSVCDTTIARQKMVSGNFLNLPTSAALSGVQHVQYLHPPIAPRGAMPYPIQHLPYTRGNLTHTALLLQQQMPQYMCNPNPAIMKIQQQLMPNQHQHQQQQQMWQFQFPQYHHPRPDAAAAAVSAAWQHSSRLHDVSSLRPVAVLPAPPPPPPPQMELFCSPYHGGSRQPPQLRLI >ORGLA02G0015000.1 pep chromosome:AGI1.1:2:966338:967749:-1 gene:ORGLA02G0015000 transcript:ORGLA02G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGVVVEAFTEEEHEVAAILRDLADLVRARHRRRRRRRVQREEIPSWGCRRPRTTPGEKKPAPPADVGRRHEAAASPDTPLAFLVPDESSGDDVAARAAPPRKAPASHAEWVEEQRAVVASLSQENSHLSKQIEEYRVRLQSSRSTNDGLKQMQRKLKRQREHEEEEEVNRKRRVEAAAAADIVRPAPVLDLNEPARAPEEDDDDAVAVAAAAAAAAAAAAEWYHLGQKRAAMACKAAMTAEARLRRQQIRRDKAAARRAG >ORGLA02G0014900.1 pep chromosome:AGI1.1:2:958356:958874:1 gene:ORGLA02G0014900 transcript:ORGLA02G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:I1NWP3] MSNTRVFFDMTVGGAPAGRIVMELYAKDVPRTAENFRALCTGEKGVGKSGKPLHYKGSTFHRVIPEFMCQGGDFTRGNGTGGESIYGEKFADEVFKFKHDSPGILSMANAGPNTNGSQFFICTVPCSWLDGKHVVFGRVVEGMDVVKAIEKVGSRGGSTAKPVVIADCGQLS >ORGLA02G0014800.1 pep chromosome:AGI1.1:2:949019:954046:-1 gene:ORGLA02G0014800 transcript:ORGLA02G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT2G21070) TAIR;Acc:AT2G21070] MGGGRKRRRRDGSEAPAIHPRNRYAAAAPDFASLASLYPSFAPFVSVSRGGRASIDFTDFAATRELTRVLLLHDHGVNWWIPDGQLCPTVPNRSNYIHWIEDLLSSDLIPPISSSNKTVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWAKKNVESNPQLAALVEIRNANKMSCSSESEAVDGEAARENTSKPVDGVLRSKPSILLGVVKDSESFDFCMCNPPFFESIEEAGLNPKTSCGGTAEEMVCPGGEQAFITRIIEDSVSLKNSFRWFTSMVGRKANLKILVSKVREAGVSVVKTTEFVQGQTARWGLAWSFIAPRKMVIRSSTPGKANYSFMLQGLRREYGAFQVLKSAESFFHASNLSCKTDSSLFSIDVTLSDEQAQAAMLHDESGSVEGNSTKLHSGVTGTSFRISVFEQMPGTLLVRGSLLNKALSGIFSSTFSQLEDTLKMEFLSKAR >ORGLA02G0014700.1 pep chromosome:AGI1.1:2:945647:946369:-1 gene:ORGLA02G0014700 transcript:ORGLA02G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARHRGTVKWFNDTKGFGFISPDDGSEDLFVHQSSIKADGFRSLSEGEQVEFAISESEDGRTKAVDVTGPDGSFVKGGAGGGGGGGGGFGSRGGGGSGGGGRSYGGSWGGGRRSGGGGPGGGCFKCGESGHMARDCFNGGGGGVGGGGGGGGGAGGGCFKCGEMGHMARDCFNSGGGGGGGGGGGGGACYNCGETGHLARDCYNGGGGGGGGRFGGGGDRSCYNCGEAGHIARDCHK >ORGLA02G0014600.1 pep chromosome:AGI1.1:2:933157:937919:-1 gene:ORGLA02G0014600 transcript:ORGLA02G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate tRNA synthetase [Source:Projected from Arabidopsis thaliana (AT5G64050) TAIR;Acc:AT5G64050] MMAAAMGSPWLRIRLLPEVPPRLLRPHLRRTLSVRASASASASPDGAGGPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTKKSEEAVLSDLAWLGLDWDEGPDVGGEFGPYRQSERNSMYKQYAEKLMESGAVYRCFCSSEELEQMKETAKQMQLPPVYMGKWGTASDAEIQQELEKGTPYTYRFRVPKEGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMRISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVEKFTINRVNKSGAVFDAVKLKWMNGQHLRSFPPDVLIKSFEDRWKDTGILQESESGFAKEAAELLKDGIDLITDADAALSNLLSYPLHATLSSDEAKSVVQDKLSEVASGLISAYDSGELCQALAEGRDGWQKWVKIFGKSLKRKGKSLFMPLRVLLTGKLHGPDMGGTVALIHKAGTCGAVTQQSGFVNLDERFRILKEVEWESLVQEQESPAETAVPASP >ORGLA02G0014500.1 pep chromosome:AGI1.1:2:929236:932061:-1 gene:ORGLA02G0014500 transcript:ORGLA02G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSDCSSSATSRLLPLRRALLAPPCRFRPATVAAPPRRPLAIAAPQLPLLPRARDMASASAAAAAAAASSTDSADACAKIIDGKLVAKQIREEIAVEIAKMKDAIGVVPGLAVILVGSRKDSQTYVRNKKKACEAVGIKSYEVNLPEDSSEDEVLKHIATFNSDPSVHGILVQLPLPHHMNDENILNAVSIEKDVDGFHPLNIGRLAMQGRDPFFVPCTPKGCMELLHRYGVEIKGKRAVVIGRSNIVGMPAALLLQKANATVSIVHSNTKKPEEITRQADIVIAAVGVANLVRGSWIKPGAAIIDVGINPVDDPESPRGYRLVGDVCYEEASKIAGLITPVPGGVGPMTIAMLLSNTLESAKRIHKFK >ORGLA02G0014400.1 pep chromosome:AGI1.1:2:923185:926111:-1 gene:ORGLA02G0014400 transcript:ORGLA02G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKQIGAPYYIECSSKTQLNVKGVFDAAIKVVLQPPKAKKKKKAQRGACSIL >ORGLA02G0014300.1 pep chromosome:AGI1.1:2:920743:921553:1 gene:ORGLA02G0014300 transcript:ORGLA02G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 29 [Source:Projected from Arabidopsis thaliana (AT2G16740) TAIR;Acc:AT2G16740] MALRRIIKELKDLQRDPPTSCSAGPVSDDMFHWQATIMGPNDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKTDRLRYESTARGWTQKYAMG >ORGLA02G0014200.1 pep chromosome:AGI1.1:2:916012:917453:-1 gene:ORGLA02G0014200 transcript:ORGLA02G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQQMAELVMAQCGGGGGGGAGDDGGGQAWPPPETPSFQWDGGADAQRLMYGGSSLNLFDAAAADDDPFLGGGGGGGGDAVGDAAAAAGAWPYAGMAMSEPSVAVAQEQMQHAAGGGVAESGSEGRELHGGDPEDDGDGEGRSGGAKRQQCKNLEAERKRRKKLNGHLYKLRSLVPNITKMDRASILGDAIDYIVGLQKQVKELQDELEDNHVHHKPPDVLVDHPPPASLVGLDNDDASPPNSHQQQPPLAVAGSSSRRSNKDPAMTDDKVGGGGGGGHRMEPQLEVRQVQGNELFVQVLWEHKPGGFVRLMDAMNALGLEVINVNVTTYKTLVLNVFRVMVRDNEVAMQADRVRDSLLEVTRETYPGVWPSPQEEDDAKFDGGDGGQAAAAAAAAGGEHYHDEVGGGYHQHLHYLAFD >ORGLA02G0014100.1 pep chromosome:AGI1.1:2:911843:914202:-1 gene:ORGLA02G0014100 transcript:ORGLA02G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single hybrid motif superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G75980) TAIR;Acc:AT1G75980] MAEESATLDAPPPLERSPQRESAVDEETRALVVPDAGDLPPFPPSAVEANFARYFVADFLNPGHDQYVYRHPNGLCVVGLASAHIALKEEGGITAVDFNVGKSDRSEMKVTGKRKRNAQHLQENSALCKVCTSSNSFVVRCCVKGSLLEINDRLIKQPDLLNTSADREGYIAIFMPKPADWLKIKDKFLSYDDYKNLRGTC >ORGLA02G0014000.1 pep chromosome:AGI1.1:2:909282:911052:1 gene:ORGLA02G0014000 transcript:ORGLA02G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G63855) TAIR;Acc:AT1G63855] METAASASTSTPEGDSGQHAAAAPPRMTTVSKHYFGGASSAHNHDLRVDIIENIEEDYGMFVWPCSVILAEYVWQQRSRFTASTVVELGAGTSLPGLVAAKVGADVTLTDIAHNTEVLNNIRQVCGLNNVNCTVLGLTWGEWDEPTFDLHPDVILGADVLYDSAKFDDLFATVSFLLENSPGAMFITTYHNRSGHHLIEFLMVKWGLKCLKLLDGFSFLPSCKAASLQGNIQLVEIALDKEKPNCSSADENNL >ORGLA02G0013900.1 pep chromosome:AGI1.1:2:904142:908279:1 gene:ORGLA02G0013900 transcript:ORGLA02G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVSLASPRSGRAPVIMSWSGELSPPAAASGTRLLHGDLDLTIHEARGLPNMDFLSTLLRRLCLCLRPPARRPSPGQSRGSVPADDDGRRQPHGHHLLPTSDPYAAVVVAGNTLARTHVVRNSEDPEWSTHVLLHLAHHATGVAFHVKDADPFGSDLIGVAILPAADVLAAAAAPIVRRELPLYRPDGRGRPKPSSAIVITASFVPAGEHQSIYDAEHGGVPAAYFPARRGCEVKLYQDAHVAGGELDGVRRRGVFEPGRCWEDMCLAVLGAQHLVYVAGWSVNTKVRLVREAMSPEMAAKVEEVRTTATDDDDNPVAAEGMSLGALLKYKSQEGVRVCLLVWDDKTSRDTFFLKTGGLMQTHDEETKKFFKDSSVICLLSPRYPSNKLSMAKQKRADRGDDVHAAPEVPAGGHAGVGEHAADHGVPRRPRPRRGALRHAVAQALRRPRHRLLRRRLQPRDPAGGEQGRRRRRGAAAAVARHALPRRRAGGVRRAGELRAAVEEGDEAVQKGQGALEGRRLAQARAHLLDPQPLRLRRRRRRRRRQPPLRLARWSPRLLERSGVPVSGLWISERIASLLGDKKDGGEAPGVRQERDGGAEHPHGVRPGDPVGEALHLHREPVLHRIILRMAILQAPRRCWKSGADGDRAEGGEQDRRRREVRRVHRDPDVAGGGSNLGPHPGDPLLAEADDAGDVRGDRGGDQGGGDGGRGAPAGLPQLLLPRQAGGGGGGGGGLAGARAQPGGQQRAAAPAVHDLRALQGDDRGRRVRHRRLRQHQPALSRRLARHRDRRRRLPAQSPRRRRRRRRPGVRLQDVAVGGAPGQQRVAGAEVAGVAGVREAGERDSGGELEEVRRRRRRCRHAGAPHEVPGGRRRRRQDQRVARARVLPRRRREDPWLHQQQLLGLSHHVGM >ORGLA02G0013800.1 pep chromosome:AGI1.1:2:893336:898882:-1 gene:ORGLA02G0013800 transcript:ORGLA02G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPPSSPPPPSSPPPPPSSPQPAAEVGAGGRRADKSGRRLEVYSEVLARLRGLGAAAPVEISPAYEDALWAHFHRLPARYALDVHADRAEDVVTHHRLLEEARDPDRRPALSVRVVQVSRILDGDMDDCSELGMEPVHTNHLARQMVHPPPAFGSCSNLEALALEASEANLRSSNNDEDSSVHLISRPMHEITFATTDKPKVLSQLTCLLSELGLDIQEAHAFSTSDGYSLDVFVVTGWHLGGTEQLKEKLLEKFHDIETQAWPTSNSSSQSLEGPSGGESMPSTSVEIPTDGTDVWEIDLKLLKFGTKVASGSNGDLFRGSYCSQDVAIKVVRPERISADMYRDFAQEVYIMRKVRHRNVVQFIGACTRQPNLYIVTDFMSGGSVHDYLHKKNNSFKLSEILRVATDISKGMNYLHQNNIIHRDLKTANLLMDENKVVKIADFGVARVKDQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKIPYEYLTPLQAAIGVVQKGLRPTIPKDTHPKLSELLQKCWHRDPAERPDFSQILEILQRLPKEVRADTEGRQKSKAGFLSALKRNH >ORGLA02G0013700.1 pep chromosome:AGI1.1:2:890694:892284:-1 gene:ORGLA02G0013700 transcript:ORGLA02G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAASLSTPAPSPAAGGRGRRRVNVAVASLRRAAAGGGSSWRSERRLMSELERTVTPGAAERVIRSYVASKSERAALAALSRLLMDSDPFAIPFYEAVTQARWFKWSSIHAAAVAALLESNGSAEESRSLISDSISRLHSTSSSSEEVSLFYCDLMAAFSSRGMRDRAMDFYSQLRASPPLSGKKTYTAMIKSLCLMSLAGEAEAALREMASRGHQPEAFQFGLVAKCYGKAGSMAEMERVISSMSDAGIRLGTGAANIVLSCYTSCRDHSRMLAWLRRMRKLRIAPTTKAFNFVLNSCPTVASMAQELGESLPLSTAELVKKLRSASPWPAEAELVQELLTSSSVLDKAMDWSESEVKLNLHGFSTIAAYVLILQWVDAMKARRALPLEVSVVCGIGKHSDVRGEPKVRELAQEVLSRMGSPLRLSMRNKGRLVAKRDRVKQWLATDWSSPVDEESTDQSPNGDNQQPFLLTLMRKLGQVLSPFLQFSKGKA >ORGLA02G0013600.1 pep chromosome:AGI1.1:2:883020:887540:1 gene:ORGLA02G0013600 transcript:ORGLA02G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVGQHGVATLRRYKYSGVDHSLVAKYILQPFWSRFVNLFPLWFPPNMITLTGFMFLLTSAFLGFFYSPHLDTAPPRWVHLAHGMLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFEALAFGSTAMCGKATFWYWFIAAVPFYCATWEHFFTNTLILPIVNGPTEGLMLIYLCHFFTFFTGAEWWAQDFRKSIPLLNWVPLVPEVPVYGIALFLMIAFAVIPTIGSNIHNVYKVVEARKGSMLLALAMLFPFGLLLAGVLVWSYLSPSDIMRNQPHLLVIGTGFAFGFLVGRMILAHLCDEPKGLKTGMCMSLAYFPFAIANALTARLDDGNPLVDEQLVLLMYCLFTVALYMHFATSVIHEITNALGIHCFRITRKKA >ORGLA02G0013500.1 pep chromosome:AGI1.1:2:880766:881869:-1 gene:ORGLA02G0013500 transcript:ORGLA02G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVPHAMRAAKLPLAVLAKPTHASASQPPAPPRPSNPRHRKTPAKASKTPAPATAAAPPPVEKRPIKTASDLAAAIRAAADADVDAAVDLARSAARTIPLPPHSLSLLLRRLARHRSVAAARGLLAELHPSPASPPPRPALLALSDAVCRRGEPREIAQLLPVLADHGVKADAPVYNALMKAHCAASDPAGVLGVLRRMKDDGVEPDLVTYNTLVFGLARAGMVTKARTYLDAMAAAGHFPDVITYTSLMNGMCVKGDAMGALALLEEMEAKGCEPNERTYNTLLMGLCKNKKLDKAVDVYKSMVGAAMKLEAPAYATFVRALCRAGRVPDAYEVFDYGIESKSFAEVTLYTELENSLKWLHRMKS >ORGLA02G0013400.1 pep chromosome:AGI1.1:2:872341:876456:1 gene:ORGLA02G0013400 transcript:ORGLA02G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGAASSVLPAARRGGRIAAVIAPLLLFLAAALSFPSSIGRIPSLVALGRRHAPSPPPPPPPPRVAVCLVGGARRFELTGPSIARHVLAPLVAHQQEKKEGEGGAPVVDVFLHSPLDADAYKLSLLARAAPPGSRLAAVRVFRPERIAETPERARVLTASNSPNGIQGLLQYFRLVEGCLDLIRERESRGNFTYDWVVRTRVDGFWTGPLAAADAFPAGGAYVVPKGSRFGGLNDRLGAGGRHASRVALSRLSLIPRLDVAGYQELNSEAAFQAQLKVAGVKARERRLPFCVLSDRRYSFPPAPYGVPVASLGSPGPLSGAKCRPCRPACRGGECASAARLVRGWSWTEWRNGTLEMCDASVPWEQGWEALFDEVAGEEAAAVRRRVAAMGADDCVAEVAALMARAERWDAPAPAEICRAGRLRLRLATRSASANATVTAKPNQN >ORGLA02G0013300.1 pep chromosome:AGI1.1:2:864668:866639:-1 gene:ORGLA02G0013300 transcript:ORGLA02G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWVREYSEASRLADDVTSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLEALLSRIPPKSITDKELHKRQDMLSNLKSRAKQMATSFNMSNFANREDLLGQSKKAADDMSRVAGLDNQGIVSLQRQVMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLDDHVDVTNSRLQRVQKRLAILNKRVKGGCSCMALLISVVAIVFLAVIAWLLIKHL >ORGLA02G0013200.1 pep chromosome:AGI1.1:2:859880:863872:1 gene:ORGLA02G0013200 transcript:ORGLA02G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42320) TAIR;Acc:AT5G42320] MAASSAPPPPPRRLLALTMALAAAVVSAASAARVPASVTPISRTLYHSSDSLLSDIKALVARHPDKLSMDTITASNKGYSTDLFIVTFNHAKESTSNSSKIHVLLSFGQHGRELITSEVALNLLYILTEKRKIAGVDLSSFEKILENLVIKVVPMENLNGRKRVEEGELCDRRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGTAPFSEPEAQIMRELSKSFKPHMWVNVHSGMEALFMPYDHKNTTPNGASAHLMRTVLENLNHRHFQDSCLVGSGGGAVGYLAHGTTTDYMYDIVKVPMPFTFEIYGDEKASTSDCFKMFNPVDKTTFDRVINKWCMAFLILFEEGLRNLREAQLVSQGAVDNWVPMGGDIVEISAARKSSPDKRKLEGLDLGMQELRTYFRLFMLSTVLLMFMFCSRISKNRNRETGNIFDS >ORGLA02G0013100.1 pep chromosome:AGI1.1:2:856278:858185:1 gene:ORGLA02G0013100 transcript:ORGLA02G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEGAVLCAANHAPLTPITFLDRAALVYPDRPAIVASSSGLTRTWRETRDRCLRLAAALAALGVHRHHVVAVFAQNIPAMCELHFGIPMAGAVICTLNSRLDAAMASVLLRHSEAKLIFVDCALLDVAHDAIRRISQSGATPPVLVLISELLDDPSDAKLPSGRVDYEYEHLVGNAGSSPEFAVRWPADENEPIALNYTSGTTSRPKGVIYSHRGAYLNSLAAVLLNDMASTPVYLWTVPMFHCNGWCMAWGVAAQGGTNVCVRRVTAATIFDAVARHGVTHMGGAPTVLSMIVNATAEEQRPVARRVTVMTGGAPPPPKVLHRMEEQGFLVIHSYGLTETYGPATVCTWRPEWDALPAEERARIKSRQGVHHHGLEVDVKDPATMRSVPRDGKTMGEVMLRGNTVMSGYYKDGAATAEALAGGWFRSGDLAVRHEDGYVKVLDRSKDIIISGGENISTIEVEAALFSHPAVEEAAVVGRPDEYWGETPCAFVKLRPGAAAAAKAGVVEEELMAYCRARLPRYMAPRTVVVVEEGLPKTATGKVQKFELRARAKAMGTVPAAKSKRSKL >ORGLA02G0013000.1 pep chromosome:AGI1.1:2:843754:846879:-1 gene:ORGLA02G0013000 transcript:ORGLA02G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAAAAASLPPPPPEVAHLVDQLQRHHLAPDASLLSSSAHSDLLQAREEVASERARYLEALAVYAEAIAMVEEYQHAISTGVANAGKKLNCSPQVYESLEHHLAVAEAAQRLRLPLLSQDGDVHEEEIEKLSTLSRSSFDSTMTSAAPSSSSISTSYNNYSSTASAATVAAAPGTGGSEPVEPGVGGVPDRFLGITSDYLYQVQQEQPAMTVDMVDYQRTLAREIEARLEAKCDALADLFAMDERDSSSISQISSARLPERVKLIIEEIEKEEALLLDDLASMDRKFAEHYNVLEQILAVLIQFVKDKKLEHQHQYDDLKKTWLIKRCRTMNAKLSYLEHHLLRDTYTKETVPALHRIRKYLVEATKEASNSYTEAVSRLREYQGVDPHFDVIARQYHEIVKKLEGMQWTIHQVEMDLKPHHDHAGV >ORGLA02G0012900.1 pep chromosome:AGI1.1:2:835363:835989:1 gene:ORGLA02G0012900 transcript:ORGLA02G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDVTCSRTNSSRRDGMNNQRQHQDDGDVASSASPPPDCHTSSTSWIRRPCRGAEHVRRSRHRSLEVEKVDGAEGREGAGGDERGEGDGRATEATMEVNGRPRMASKEAARAGEADGDGEGRRRRRGRRRRTVKEEADKAATAGDTDGDGGGGEGGEAGGGDGAGGEGDADGAGGDRGGEGEGPATEAAKEMDADGGGDGGXWHRLG >ORGLA02G0012800.1 pep chromosome:AGI1.1:2:819167:819624:-1 gene:ORGLA02G0012800 transcript:ORGLA02G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRAAAAVALCCILILLSGDQLHQVAAMSKFCRCYSQCYPDCRKNLPRFICVLKCIDDCSPNKKKVAAGDCNRFCLLAICGMALNGQADVASCVDDCTKNPNLHTKFL >ORGLA02G0012700.1 pep chromosome:AGI1.1:2:815383:818312:1 gene:ORGLA02G0012700 transcript:ORGLA02G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 29 (sialyltransferase) family protein [Source:Projected from Arabidopsis thaliana (AT3G48820) TAIR;Acc:AT3G48820] MRVLPLALAAAIFSGVTAILVYLSGLSSYGGARVSDADLAALGALQSGFSKCVDANGLGLKAIAGEDYCRIVIQYPSDTDSKWKDPKTGEPEGLSFEFNLCEAVASWEQVRNSTTILTKEYIDALPNGWEEYAWRRINKGIHLNKCQNRTLCMEKLSLVLPETPPYVPRQFGRCAVVGNSGDLLKTKFGDEIDSYDVVIRENGAPIQNYTEYVGTKSTFRLLNRGSAKALDKVVELDETKKEALIVKTTIHDIMNQMIREIPITNPVYLMLGTSFGSSAKGTGLKALEFALSMCDSVHMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGLVKIHSPMRGDPGRVVKWAPTKDTIEAARVASEKLLKRPGAGSEGPLSSCTMIKKREKGKTPKRSVVRHAALKHLEYMRGATRYPLERNAGGGYLCMINER >ORGLA02G0012600.1 pep chromosome:AGI1.1:2:809911:813565:1 gene:ORGLA02G0012600 transcript:ORGLA02G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICGGSGKAHVSGDCRPPSSGTMTPKTSSSITTSNSTTGKLSSVGSSFMASSGSGGTSSGFDDGVYPEGQILEAPNLRTFTFIELRTATKNFRPDSVLGEGGFGRVYKGWVDEKTMNPVKGGTGMVVAVKKLNSESMQGYEEWQSEINFLGRLSHPNLVKLLGYCFEDKELLLVYEFMAKGSLENHLFKKGCPPLSWELRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDANYNAKLSDFGLAKLGPTGSNSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVMLEMMSGQRALDPNRPNGQLSLVDWAKPYLADRRKLARLMDPRFEGQYNSKQAVQAAQLTLNCLAGEPRSRPSMKEVLETLERIESMKSRARDARGSGSSRDHSHGRATAHQRSSPRPDGRRGSRTNGHATKAR >ORGLA02G0012500.1 pep chromosome:AGI1.1:2:797599:799059:-1 gene:ORGLA02G0012500 transcript:ORGLA02G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G01860) TAIR;Acc:AT2G01860] MSLVNRPLPALYGICTASAKTRAWWLCRDGNLPSTSRISCTEPSNGGSAMELEVMDRNEQTYHENSSASEDEDDDEEEAVEWSKDELDAISALFDRPMRQKPPKPPNPVRQRPLPLPLPHKTRLPNAPAPKQHIRLAARAALSSRSSFSDQVCKNPEVLIGIAREIAALPPESDVSIVLDRWVRFLRKGSLSMTIRELGHMGLPERALQTLCWAQRQTVVPLFPDDRILASTIEVLARFDQLKMEDALEQCVPSASRAVLEAMVSGFIRAGKVGLARKLLEFATINKRTLSPSVHVKLMLEAVRTPEGYGLAAALLDELGERPELHLRQQDCTAVMKVCVKLRRYAAVESLFGWFRDTGGRPTVVMYTAVIHSRCRDGRHREALSLAWEMERHAGGLLDLPAYRVLVKLCVALRDHERGVRYLARMKDAGFVPTGDMYGGLIGGYAAEGRMGRCRRLIREAELAGVKLERRLLSRLSEMGVEHSQL >ORGLA02G0012400.1 pep chromosome:AGI1.1:2:793395:796756:-1 gene:ORGLA02G0012400 transcript:ORGLA02G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) [Source:Projected from Arabidopsis thaliana (AT5G64470) TAIR;Acc:AT5G64470] AASLYSSSFPLPSPSSSSSPSPPPPRPPPHQPQPQPLPCGAAPSDATAGRWVPTREPLPPPLYTSSCPFHRNAWNCPRNSRPPVAALSWAPARCGVVPRIDAAEFLAVARGRRIGLVGDSLSENLVVALLCALRSADGGARKWKRRGAWRGGYFPRDDVVVAYHRAVLLAKYTWQPVENSKELHKDGIKGSYRVDVDIPADEWVNVTRFYDVLIFNTGHWWGLDKFPKETPLVFYRGGKPIEPPLGIYDGLKVVLKSMASYIEREVPSKTLKLWRTQSPRHFDGGEWDHNGSCVSDRLLQEHELDLWFDPRFGGVNKEARLVNSAIQEALIGTDIQLLNLTYMSEFRADAHPAIWLGKKDAVAVWGQDCMHWCLPGVPDTWVDILAARILHHLKQANG >ORGLA02G0012300.1 pep chromosome:AGI1.1:2:792059:792685:-1 gene:ORGLA02G0012300 transcript:ORGLA02G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSGFWQLYSAQAALANGGATQVRLYAKEADRTPVNGDDLLKGIFFEVKKKFETALGVLKKEKITIDPDDPAAVSRYAQVMKTVRQK >ORGLA02G0012200.1 pep chromosome:AGI1.1:2:788761:791560:-1 gene:ORGLA02G0012200 transcript:ORGLA02G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagy protein Apg5 family [Source:Projected from Arabidopsis thaliana (AT5G17290) TAIR;Acc:AT5G17290] MAAQRDDEAGWSAEAARRVWGGAVPLQVHLHDADVTTLPPPPPFLTLGPRIGYLPLLVPIIKAHFSSTLPPGIDTVWFEYKGLPLKWYIPIGVLYDLLCADPERPWNLTVHFRGYPSEILTPCDGEDSVKWSYMNSLKEAAFIITGNNKNVMNMSQADQGALWQSVMKGNLDGYTNISTRLKLGPFEEDCLVRTSSVEGQQGSDEPESPGSGKPCRVPVRLYVRSVQEDIYDLEDALPVGDWESISYINRPFEVRREEGRSYITLEHALKTLLPEFFSSKASRIPDDSETAPQAPDSAPNDDSDVTPRSCEKLESSASSSPQEANVANKGKIVKLVRVQGIEVDMDIPFLWVANNLKNPECYLHICVYVGTRKREPKNGR >ORGLA02G0012100.1 pep chromosome:AGI1.1:2:784320:787868:-1 gene:ORGLA02G0012100 transcript:ORGLA02G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKLAKLREAVAGLSQTSDSEKSGFISLVARYLSGEEEHVEWAKIHTPTDEVVVPYDTLEAPPEDLEETKKLLNKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGSNVPLLLMNSFNTHEDTLKIVEKYTNSNIEVHTFNQSQYPRVVADEFLPWPSKGKTCKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMKILNHLIHKQNEYCMEVTPKTLADVKGGTLISYEDKVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLQLVQSDLYTLVDGFVTRNPARTNPSNPSIELGPEFKKVGCFLGRFKSIPSIVELDTLKVSGDVWFGSSITLKGKVTITAQPGVKLEIPDGAVIENKDINGPEDL >ORGLA02G0012000.1 pep chromosome:AGI1.1:2:782526:783963:1 gene:ORGLA02G0012000 transcript:ORGLA02G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1NWL4] MRAISALLLRPLPPLDSSMASSSLPSRTFYRHSLPSSAPPPPSRGACAAACCCLRAAVSRRRAAAQLLSAAGFLIAVSPPSLAARRGRMVVSLEDYVTSPDGLKYYDLVEGKGPTAEKGSTVQVHFDCIYRGITAVSSREAKLLAGNRSIAQPYEFSVGSLPGKERKREFVDSANGLYSAQASPKPPAAMYTITEGMKVGGKRRVIVPPELGYGKKGMNEIPPDAPFELDIELLEVVPPAEK >ORGLA02G0011900.1 pep chromosome:AGI1.1:2:778112:781698:1 gene:ORGLA02G0011900 transcript:ORGLA02G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:I1NWL3] MKIGLLMLLVLFLVMSPDGIRRSLAARPSIVNIGAILRFNSTIGGVSMIAIQAALEDINSDSTILNGTTLKVDMRDTNCDDGFLGMVEALQFMETDVIAIIGPQCSTIAHIVSYVANELRVPLMSFASDATLSSIQFPFFVRTAPSDLYQMDAVAAIVDYYRWKIVTAIYIDDDYGRNGIATLDDALTQRRCKISYKIAFPANARKSDLINLLVSVSYMESRVIILHTGAGPGLKIFSLANQLSMMGNGYVWIATDWLSAYLDANSSVPAETMYGMQGVLTLRPHIPESKMKSNLISKWSRLSKKYSYSYLRTSSYAFYVYDSVWAVARALDAFFDDGGKISFSNDSRLRDETGGTLHLEAMSIFDMGNNLLEKIRKANFTGVSGQVQFDATGDLIHPAYDVINIIGNGMRTVGYWSNYSSLLSTVLPEVLYSEPPNNSLANQHLYDVIWPGQTAQTPRGWVFPSNAKELKIGVPNRFSFREFVTKDNVTGSMKGYCIDVFTQALALLPYPVTYKFIPFGSGNENPHYDKLVQMVEDNEFDAAIGDIAITMSRTVTTDFTQPFIESGLVILAPVKKHIVNSWAFLQPFTLQMWCVTGLFFLVVGAVVWVLEHRINDEFRGSPREQIITIFWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSIRGIDDLKNSDDPIGFQVGSFAEEYMVRELNISRSRLRALGSPEEYAEALKHGPKRGGVMAIVDERPYVELFLSTYCKIAVAGSDFTSRGWGFAFPRDSPLQIDLSTAILSLSENGELQRIHDKWLKTSECSADNTEFVDSDQLRLESFWGLFLICGIACVIALLIYFFTTVRKFLRHEPPEDPTPRPGGSTTLPDERTPPKNGREKCNCRNFISFLDHKEPPKKKRSLSLTPTTPLSNFTALEIEGPVRTVRNGSVVDI >ORGLA02G0011800.1 pep chromosome:AGI1.1:2:765717:769514:1 gene:ORGLA02G0011800 transcript:ORGLA02G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENSRESCXFGPCRFELGTPCGXSRAKCERHIDSMSCCLCGLLPFCQADSTLXDNVQGACYAVSFGGKCYASLSVPSIQVSLERLGQCCSHCLLFHPWHCRSLCNIAAFHKTIPSKRMEXXCHRLVCSIFHYWCNYESSLSVEFTKSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLAGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEEEDAVEEDTDSKQNKKEE >ORGLA02G0011700.1 pep chromosome:AGI1.1:2:760628:764032:1 gene:ORGLA02G0011700 transcript:ORGLA02G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRATYRSAALLLLAAILASAASASSIGDKCAACKAVAAELEIGISSEKPRNHLDLRNRLNSKGQREGKVIDYRVSELRVVELLDGLCDKMQDYTLQKLESGEKGWVKVADWNSFKTEKKAAARAHSKNLSSFCGRLLEETEDELSEWIKTSSAESGNVSRALCEDISKHCQSTSATIEIDDEL >ORGLA02G0011600.1 pep chromosome:AGI1.1:2:755719:759670:1 gene:ORGLA02G0011600 transcript:ORGLA02G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low PSII Accumulation 3 [Source:Projected from Arabidopsis thaliana (AT1G73060) TAIR;Acc:AT1G73060] MATPAPLSGLRPRAPAPAPAARSRLCGQSPPVVAPRLGAFPRRRGGAARCSPRAEAGDVEALRAGVSVYKPRSYDVLVSDAARSLACAMDEGKTRLEIEFPPLPSNISSYKGSSDEFIDANIQLALAVARKLKELKGTRSCIVFPDLPEKRRASQLFGTALDSIETATISSLDEVSTGPVNTFFRSMRDTLDFDFADDVEDRWKSDEPPSLYIFINCSTRDLSTIEKYVEQFASSVPALLFNLELDTLRSDLGLLGFPPKDLHYRFLSQFTPVFYIRQRDYSKTIAVTPYIVNYSGAVFRQYPGPWQVMLKQADGSYACVAESAARFTLGQAKEELLRVLGLQEEQGSSLEFLRRGYKNATWWEENVDQEKSSAWRT >ORGLA02G0011500.1 pep chromosome:AGI1.1:2:754601:755106:-1 gene:ORGLA02G0011500 transcript:ORGLA02G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGEEFLKAKCLMNCEVAIILEHKYEQIQHMSDGDPSSQVSQVFEKSLQYVKRFSRYKNPDAVRQVRETLSRYGLAEFELCTLGNLCPDTSDEASALVPSLRSGGRFVGDPGSEKIDKMLNDLSLIKKFE >ORGLA02G0011400.1 pep chromosome:AGI1.1:2:749978:753607:1 gene:ORGLA02G0011400 transcript:ORGLA02G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIEKPFPTQGGGFSPKRLRAMLLGVEKRRKGQEEEEEGDAGEVDDEYGAVPKSSVRSDADSDARRGGSMCEEYKDVDVVSTISESSSSLETGSGHLSRDTHSMGSRVRVPEEDSCDSESVASNFEFHKERGASARSVTAAIVPPFSKPAPSKWDDAQKWIASPTTNRPGRAGGVPQRKMEKTSFGGGRLPATKVVLEATEEIDTKRVDPSQEKREIGWQKAVNWAPPDPYPEVETCAKSALAEEITVADSAVTFSRHDSSATLQSATTCIPPPPTVRSVSMRDMGTEMTPIASQEPSRTGTPVRATSPDCSRPTTPRKTIGPNAIGSVIGHGECSNVELSEQELQMKTRREIMLLGTQLGKTNIAAWASKKEEEKDASLSLKGVPMDQSTQKVTEIRAAAWEEAEKAKYLARFKREEIKIQAWEDHQRAKIEAEMRKIEVDVERMRARAQDKLMSQLASARHTADEKRAAAELKRSRAAAKTAEQADHIRRTGRMPSSIGCWNWCS >ORGLA02G0011300.1 pep chromosome:AGI1.1:2:744019:747225:1 gene:ORGLA02G0011300 transcript:ORGLA02G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGALPVAVLVLLLLLVLKNGAAQSRCSSGDLAALRGFSAGLDGGVDGWPAAVGNASSSSTSDGGDCCAWRGVACDEAGEVVGVVLPNATLRGVVAESLAGLAALRVLNLSSNALRGALPAGLLRLRALQVLDVSVNALEGAVAAAAVVDLPAMREFNVSYNAFNGSHPVLAGAGRLTSYDVSGNSFAGHVDAAALCGASPGLRTLRLSMNGFSGDFPVGFGQCRSLVELSLDGNAIAGALPDDVFGLTSLQVLSLHTNSLSGHLPPSLRNLSSLVRLDVSFNNFTGDLPDVFDAVPGLQELSAPSNLLTGVLPATLSRCFRLRILNLRNNSLAGDIGLDFRALQSLVYLDLGVNRFTGPIPASLPECRAMTALNLGRNNLTGEIPATFAAFTSLSFLSLTGNSFSNVSSALRTLQGLPNLTSLVLTKNFHGGEAMPTDIAGFAGIEVLVIANGELHGAIPAWLAGLSKLKVLDLSWNHLAGPIPPWLGELDRLFYLDVSNNSLHGEIPLKLARMPALMAGGDGSDEAHVQNFPFFIRPNSSARGRQYNQVSRFPPSLVLARNNLTGGVPAALGALTRVHVVDLSWNALSGPIPPELSGMSSVESLDVSHNALSGAIPPSLARLSFLSHFDVAYNNLSGEVPVGGQFSTFSRADFDGNPLLCGIHAARCAPQAVDGGGGGGGGGGRKDRSANVGVVAAIIVGTVLLLAVAAVATWRAWSRRQEDNARVAADDESGSLESAARSTLVLLFANDDDNGNGDDGERTMTLDDVLKATGNFDETRIVGCGGFGMVYRATLADGREVAVKRLSGDFWQMEREFRAEVETLSRVRHRNLVTLQGYCRVGKDRLLIYPYMENGSLDHWLHERADVEGGGALPWPARLSIARGAARGLAHLHATSEPRVLHRDIKSSNILLDARLEPRLADFGLARLVRAHDDTHVTTDLVGTLGYIPPEYGHSSVATYRGDVYSLGVVLLELVTGRRPVDMARPAGGGRDVTSWALRMRREARGDEVVDASVGERRHRDEACRVLDIACACVSDNPKSRPTAQQLVEWLDAIAAAAAAAAGD >ORGLA02G0011200.1 pep chromosome:AGI1.1:2:735851:737889:-1 gene:ORGLA02G0011200 transcript:ORGLA02G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGDPVDEFLIGGGGEDGDLGVFCDGVPTLPCDGGLGIDDVSGDTCCLDQSVLGKRGRDESSSSGPKSKACREKIRRDRLNDRFLELSSVINPDKQAKLDKANILSDAARLLAELRGEAEKLKESNEKLRETIKDLKVEKNELRDEKVTLKAEKERLEQQVKALSVAPTGFVPHLPHPAAFHPAAFPPFIPPYQALGNKNAPTPAAFQGMAMWQWLPPTAVDTTQDPKLWPPNA >ORGLA02G0011100.1 pep chromosome:AGI1.1:2:731114:735012:1 gene:ORGLA02G0011100 transcript:ORGLA02G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLRQRRAAAAADGEGDGKMGSGGEEAEVKGKGQGRKEALGWLEWGRGWMGIVGEFLFQRIAASNLSNPLELPPLDGVSFVVTGATSGIGLEIARQLALAGGHVVMAVRRTKLAQELIQKWQNDNSEIGKPLNAEVMELDLLSLDSVVKFADAWNARMAPLHVLINNAGIFSIGEPQRFSKDGYEEHMQVNHLAPALLAVLLLPSLLRGSPSRIVNVNSVMHTVGFVDAEDMNLTSGKRKFTSLMGYSNSKLAQIKFSSMLHRRIPAEAEINVICASPGIVHTNVARDLPKLVVAAYHLIPYFIFDAQEGSRSTLFAASDPQVPDYCEMLKSEDWPVCACISYDCNPMNASEESHNLETSQLVWEKTLEMIGLPPDAVDKFIEGESVQCRYGQQKAE >ORGLA02G0011000.1 pep chromosome:AGI1.1:2:726508:729214:-1 gene:ORGLA02G0011000 transcript:ORGLA02G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAAGEGEAEVTREVISVSTEKAFEGKALPAWSEQITVRSLVVSAVLGMFLSFIVMKLNLTSGIVPSLNVSAGLLAFFLMKTWTSALERCGVFPKPFTRQENTVVQTCVISCSSIAFSGGFGTYILGMSKKIAEGFDEAEAKTSINVEEPSLGRIIAFLFLVSFVGLFSIVPLRKIMIISYKLTYPSGSATAHLINSFHTPQGAIQAKHQVSILFKSFVGSFLWSLFQWFYAAGPGCGFSSFPTFGMVAYSRRFYFDFSATYVGVGMICPYIINFSLLIGSVVSWGIMWPYIESKKGRWYDAGLPKSSLHGLNGYQVFISIAMIVGDGLFNFFSIVLRTAYDLYLKRRGGASKQPQETPFAGATGTERQVLSFDDRRRTQVFLKDQIPTTIAAAAYVLLAAISVVAIPHIFRQLRPKHVVWAYVVAPLFAFCNAYGTGLTDWSLSSSYGKLAIFIFGANIGAKDGGVVAGLAACGLMMGIVSTASDLVQDFKTGYLTLTSPRSMFVSQVLGTGMGCIISPMVFWMFYKANNIGMEEGFPAPYAKIYRGIALLGVNGWDQLPRYCLRFCLAFFLLAIAICALKEVAKQRGWWIQDFIPSALGMAVPFFLGSFFTIDMCVGSLVLFLWSRSDPVRAHTFAPAVASGLICGDGIWSLPSSILSLANVNPPMCMRVFSTATNDKVQLFLRTLPTPP >ORGLA02G0010900.1 pep chromosome:AGI1.1:2:722056:724388:1 gene:ORGLA02G0010900 transcript:ORGLA02G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVNDFQEGISTEHAFEAEPVPSLSETITPRSMVVSFILSVTLSIVAMKVTLSSGFIPSFSIPAGLLGFCVSRASIRILDYFAVAQLPFTRQENTIIQTCVVACTSITFTGGFGTYILAMGKKAAVGDVNAQNNVEEPSFARMITFLFLISFAGMFIIMPFRKVMIIRHRLTFPSGTATAHLINSFHTPQGVKQARKQVTLLFKSFGGTIAWSLFQWFFASGPGCGFKFFPTFGLEAYKHGFFFDFTMANVGIGMMCPYMIVFSVFIGTIISCGIIWPYIESKEGIWYPSNLGPNSLNGIRGYKVFIGLSMIMADCLFVFLCIMVRTTCAMIKRRRQAMQGGGGNAQPFQGIDIADQPVKSFDDRRRAQVFLRDEIPDSVTIGCYVLLSIISIAAIPHLYPQMRYSHVALIYLAAPVFAFCNAYGFGVTDMNLASTYCKIAMFAFGSWVGIKSGGVVAALVAGGITMSILGNAADVAQDLKTGYLTLTSPRAVFISEAIGTALGCVVNPTVFWVFYRVYKMGSGDMGDMPYAKLYRGFAMLSVGDGEQGLPRHSMLLFKVFFVLALALSVFREVASRKEWRIRRYIPSTIGMAITFFMPPRVPVGMCIGSLVAYLWEKMDAGRGRMLSPALASGLICGDGVGSILLSMLTLMGARAPICIKFLSRGDNVKLDAFLATLHDMR >ORGLA02G0010800.1 pep chromosome:AGI1.1:2:721254:721556:1 gene:ORGLA02G0010800 transcript:ORGLA02G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACWDVQRWRHLRHEYGIHINDDCCALILSLVDHPGNVFKKLIFSLKLDKNDEFCR >ORGLA02G0010700.1 pep chromosome:AGI1.1:2:713731:717031:-1 gene:ORGLA02G0010700 transcript:ORGLA02G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor IIIA [Source:Projected from Arabidopsis thaliana (AT1G72050) TAIR;Acc:AT1G72050] MCSGDDIDGDTRVEATQHRDIRRYKCEFCTVVRSKKCLIRAHMVAHHKEELDKSEIYKSNGEKVVHEGDHTCQECGASFQKPAHLKQHMQSHSDERSFICPLEDCPFSYIRKDHLNRHMLKHQGKLFTCSMDGCGRKFSIKANMQRHVKEIHEDETATKSNRQFVCKEEGCNKVFKYASKMKKHEESHVKLDYVEVVCCEPGCMKTFTNVECLRAHNQACHQYVQCDICGEKHLKKNIKRHLRAHEEVPSTERIKCSFEGCECSFSNKSNLTKHIKASHDQVKPFACRFTGCEKVFPYKHVRDNHEKSSAHVYTQANFTEMDEQLLSCPRGGRKRKAVTVETLTRKRVTMHGDASSLDNGTEYLRWLLSGGDDDSSQTH >ORGLA02G0010600.1 pep chromosome:AGI1.1:2:701850:705325:-1 gene:ORGLA02G0010600 transcript:ORGLA02G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRGCAFLLGVLLAGSLFAFSVAKEETKKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGENKVFSPEEVSAMILGKMKETAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLEKSQIHEIVLVGGSTRIPKVQQLLRDYFEGKEPNKGVNPDEAVAYGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLSGIPAAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKERIDARNQLETYVYNMKNTVGDKDKLADKLESEEKEKVEEALKEALEWLDENQTAEKEEYEEKLKEVEAVCNPIISAVYQRTGGAPGGGADGEGGVDDEHDEL >ORGLA02G0010500.1 pep chromosome:AGI1.1:2:687813:690086:-1 gene:ORGLA02G0010500 transcript:ORGLA02G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase [Source:UniProtKB/TrEMBL;Acc:I1NWJ9] MDPCKMQFRPSSSFDTKTTTTNAGAPVWNDNEALTVGPRGPILLEDYHLIEKVAHFARERIPERVVHARGASAKGFFECTHDVTDITCADFLRSPGAQTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPRSHVQEYWRVFDFLSHHPESLHTFFFLFDDVGIPADYRHMDGFGVNTYTFVTRDGKARYVKFHWKPTCGVSCLMDDEATIVGGKNHSHATQDLYDSIAAGNFPEWKLFVQVIDPEEEERFDFDPLDDTKTWPEDEVPLRPVGRLVLNRNVDNFFNENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPKCAHHNNHYDGAMNFMHRDEEVDYYPSRHAPLRHAPPTPITPRPVVGRRQKATIHKQNDFKQPGERYRSWAPDRQERFIRRFAGELAHPKVSPELRAIWVNYLSQCDESLGVKIANRLNVKPSM >ORGLA02G0010400.1 pep chromosome:AGI1.1:2:681465:686694:1 gene:ORGLA02G0010400 transcript:ORGLA02G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT1G12800) TAIR;Acc:AT1G12800] MEAFAAAAAAAGVFAGAGAAARPVLLRRRGAPRSGRVRLLRAPPRAGGDRGGDLPPLDEWDRMELEFGRFLGEDPKLTLAKILLKKADPDASSLDVENLIANKKAKLDDILREFMDANRQEQTSESPEETSKPMVDKNSPSMSRPVQTNAKQDEPALTLLRPAGSKLKQDNPPLTLQRPAGSKPKQDSPSLAPLRPVGSKPKENNASLTLVRPMGSRPIVRGKPVQDSWPSKGSLAARRESSDVGSTSRKNNVDVSLRKPTIHQSDDDELKSKLNLKPNIDLKMRKDMDEDLANISLLQKPELSVDNMNSNQEKSDAGPASVASGEDNGAVDPETNGLDERVVIDSINDRESSVLPDDLSAELQPSEQNFNREGDPSVVDDQSAVSSNFSMQAFLQGKPKMKDLAVETFPSQVDAEKMNASENNMNYVDDGGNVLSSKLEDITESDWTRLENYASTGEKVEVELINCSSKGFVVSLDSLIGFLPYRNLATKWKFLAFETWLRQKGGDPSLFKQSVGIEESFEVNDRNIESVSSSDSELSVQDQGSMPSKEKFEDLLRTYNTEKSKFLSSFIGQRLRVSVVLADRKSKKIFFSMKPKESEELIQKKKSLMAKLNVGDIVECTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDYNNNRIFLSLKDVKPNPSVGALEAVIGEELSLGGALEPAEADFEWPEVDALMEEMKNIEEVRDVYKGRFLRSPGLAPTFQVYMAPLVGQKYKLLARYGNNVQEVMVETSLDKEQLKEAVLACTNRVS >ORGLA02G0010300.1 pep chromosome:AGI1.1:2:680238:680429:1 gene:ORGLA02G0010300 transcript:ORGLA02G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Orf63 [Source:UniProtKB/TrEMBL;Acc:I1QWR2] MSFNSRTRNWKVTEGDPSFCNENYIKNSGQFRNQAKRLNTYAKKFIIGPPLIRRFNLYESLLV >ORGLA02G0010200.1 pep chromosome:AGI1.1:2:675229:675447:1 gene:ORGLA02G0010200 transcript:ORGLA02G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILFSMFYSILVGEEPDSVFLKKEGKQNQVKMIWVAPSSCAKDHTISEGTGATFLFNFHSRVSICFHALF >ORGLA02G0010100.1 pep chromosome:AGI1.1:2:661252:662454:-1 gene:ORGLA02G0010100 transcript:ORGLA02G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSSPATSTAAGEQQLHDQDHRDEAALQQEHAAAGIIPDDEDKLSPPRCEWEFRLAATVPSPALAGASDSIGSLDFDPTGRHLATGGIARKIRIYRVAEPSSPAACICVPAKLSSVRWRPGGGEAVAASHVGCGDYDGVVTEYDVERGVPVWERDEHEGRRVWALDYARGGGAATMVASGSDDRTAHVWDPRAPAGAAGSWATARAGGAVLCVEFDPAGGPQLAVGSADRRAAVHDVRALGRGPVASMDGHGRAVTYVRWAAAARRVVTSAADGTHRLWALPAAAETAAREVRSYSGHVSGRSFVGMGVWRGAGLIASGSESGHVFVYDLRWSKPIWVHPFSHADAFVSAVAWRQLVGDDNDGQLVAGGSDGVLKLFTTHRRLTPDVAGVGDDDVAAC >ORGLA02G0010000.1 pep chromosome:AGI1.1:2:655801:657286:-1 gene:ORGLA02G0010000 transcript:ORGLA02G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSCDKPKMNYRKGLWSPEEDQRLRDYIVKHGLGCWSAVPAKAGLQRNGKSCRLRWINYLRPGLKRGMFSQEEEDIVINLQAKLGNKWSQIAMHLPGRTDNEVKNYWNSYLKKRVMQAQGSIPNNLAAAAAEVTSMSTTEPPSLHHHHHHQIKNSSGSTTTSHDQDANLSSGGSHGGISAPVPVAEPFDQQPKSFVFTGDWMPMSAAAAGQESYSISAQHWPASTASSGNVTPSHGGAFGDQMSGSYGALQQQHQSSAAAAPMAGGGGYFDLLNMGDIYGGFAATSDDLLF >ORGLA02G0009900.1 pep chromosome:AGI1.1:2:652196:655165:1 gene:ORGLA02G0009900 transcript:ORGLA02G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nin one binding (NOB1) Zn-ribbon like (InterPro:IPR014881), D-site 20S pre-rRNA nuclease (InterPro:IPR017117); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Pla /.../385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G41190) TAIR;Acc:AT5G41190] MEEAWPPLAPAPEAAAPPGGGGGGGAWGAAAVAQRKAVAEESSAHAVSRLVASCANTSGVAVAVVDANAVISGGAALSSSAARLVTVPEVLEEVRDASARRRLALLMAPVETLDPASEFVKKVVKFARETGDLQTLSDVDIKIIALAYMLEAEIHGTNHLREQPPPLRVVNVRNLKEAPLPGWGSNVQNLAEWEELDQMSEAGGDLKSRILPLKDLENHEIPNSETNSISDKQGDEEHQPAKKDVGIAWEDDENNEGWLPAVSRSTHRRYLRRKARRDALKESEQSFETSSAAPSIDDDKILSENGLNPVDGPSADTDVMEHQEVNEPEIVADHSQSDNKDNGVGNVGDVEETGGTDACIEELDNLDIKSDSEEGVDSSLADDGSSEQSWALRSLSESTVACITSDYAMQNVILQIGLRLLAPGGMQIRQLHRWVLRCHACYKVTQEIGKIFCPKCGNGGTLRKVSVTVGENGITMASRRPRVTLRGTKFSLPMPQGGRDAITKNPILREDQLPQKVLHPKSKKSNKQDDDFLGVEDIFSHSGEKKVPLKPPVRKALAMFSGKRNPNDNHFSRKKH >ORGLA02G0009800.1 pep chromosome:AGI1.1:2:647173:648360:-1 gene:ORGLA02G0009800 transcript:ORGLA02G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 interacting partner 4 [Source:Projected from Arabidopsis thaliana (AT3G61350) TAIR;Acc:AT3G61350] MTSEIKHGGKLSCSGMDSDGPHTSLIHGLPDEIAILCLARVPRRYHNALRCVSKRWRALLSSEEWHSCRKRNNLDEPWVYVICRSTGIKCYVLAPDPTTRSLKIMQVIEPPCSSREGISIETLDKRLFLLGGCSWLKDANDEVFCYDASSNCWSSVAPMPTARCYFVSAALDKKLYITGGLGLTDKSPNSWDIYDPVTNSWCVHKNPMLTPDIVKFVALDGELVTVHKAAWNRMYFAGIYDPLCRTWRGTENEIALCWSGSTVVMDGTLYMLEQSLGTKLMMWQKETKEWIMLGRLSDKLTRPPCELVGIGRKIYIIGRGLSIVTIDLDTVRADGFLVSSSTGPLVEHDFPPERCRVISI >ORGLA02G0009700.1 pep chromosome:AGI1.1:2:642001:644529:-1 gene:ORGLA02G0009700 transcript:ORGLA02G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGRRRFFPPVTAYDAAAGARRTVAADLDGTLLVSSSAFPYYFLVALEAGSYLRALAMLLAAPWLLALYVGVSEAAAIALLVFITFAGLRVRDVEAVARAVLPRHYAAGVRADTWAVFHGCAERRVVVTASPAVMVGEFVREFLGAEVAGTELETFASGKRFTGRIKAVLVGEKKREVVERLFAGGDMPDVGLGDRESDHDFMAICKEAYMVPKNKRAPRAAADELLSRAIFHDGRLVRRPEPASALFALVYLPVGFAVALLRVFLNLPVPARLVRHTYRLTGIRLAVRGAPPPPPRPGTPGSLLVCNHRTALDPIIVSIALGRPVTCVTYSVSRLSTAISPIRAAALTRDRAADAARIAALLEEGDVVVCPEGTTCREPYLLRFSALFAELTARIVPVAVEARQGTYYGSTARGWKFLDPYFFYMNPRPGYEVTFLPALRPEETCVAGGRSAVEVANHVQRVIAKELGFQCTTLTRKDKYMKLAGNDGRVAAAADKPKAN >ORGLA02G0009600.1 pep chromosome:AGI1.1:2:641168:641443:1 gene:ORGLA02G0009600 transcript:ORGLA02G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAVADELRRGTWMTKDAATGDELQCGVDGGGCGRXRQAPAWGVETEDAAAGDEFXRGADDGGCGRRRRAPAWDVDGGTTGDDALMPGS >ORGLA02G0009500.1 pep chromosome:AGI1.1:2:633754:638350:-1 gene:ORGLA02G0009500 transcript:ORGLA02G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1NWI9] MATARVSLILLVVVLAASACAEGLRLPRDAKFPAAQAERLIRSLNLLPKEAGPTGAGDVPSVAPGELLERRVTLPGLPQGVGDLGHHAGYYRLPNTHDARMFYFLFESRGKKEDPVVIWLTGGPGCSSELAVFYENGPFTISNNMSLAWNKFGWDTISNIIFVDQPTGTGFSYSSDDRDTRHDETGVSNDLYSFLQVFFKKHPEFAKNDFFITGESYAGHYIPAFASRVHQGNKANEGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMNLIKKSDYDRINKFIPPCEFAIKLCGTNGKASCMAAYMVCNSIFSSIMKLVGTKNYYDVRKECEGKLCYDFSNLEKFFGDKAVKEAIGVGDLEFVSCSTTVYQAMLTDWMRNLEVGIPALLEDGINVLIYAGEYDLICNWLGNSRWVHSMEWSGQKDFVSSHESPFVVDGAEAGVLKSHGPLSFLKVHNAGHMVPMDQPKASLEMLRRFTQGKLEEEWLAELPEQPMYAAM >ORGLA02G0009400.1 pep chromosome:AGI1.1:2:629673:631289:-1 gene:ORGLA02G0009400 transcript:ORGLA02G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1NWI8] MGDAAAGGRKAAASGGGARLHVAMLALQLGYAGFHVVSRLALDMGVSKLVFPVYRNLIALFLLAPFAYFLEKKERPAMTASLAVQFFFLALCGITANQGFYLLGLENTSPTFASAIQNSVPAITFAMAAALRIERVRLSSRDGLAKVAGTLLCVAGASVITLFKGPAIFNTHHNHQPQPQQLAFAVAAGNNSWTLGCVFLLGHCVSWSGWLVLQAPVLKRYPARLSVTSYTCFFGLIQFLAIAAFLERDAAAWAVRSGSELFTILYAGFVASGVAFAVQTWCIHRGGPVFVAVYQPVQTLLVAVMASLLLGEQFHLGGIIGAVLIVAGLYLVLWGKSQERAIAAAKEASAAAAIADHHQQPASAAAADSCLKQPLLPASTAASENV >ORGLA02G0009300.1 pep chromosome:AGI1.1:2:615370:625523:-1 gene:ORGLA02G0009300 transcript:ORGLA02G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGEAPPSSGGSPATSPRPPPAVAQGQQQLGFRNQAMMHHHDQQQQQQQGYPSGAPHGMMGGGGSSSFPPSSGPMPPFQGQRNMPLPGGPQGLAGGQQHNPTAMQQAYLQYMMQQQQQKAHGMLLQQQQQAKMNMAGPSTRDQDVAANTAKMQELMSLQAQAQAQMFKRQQSEHLQQAEKQAEQGQPSNSEQRSGDMRPPSMPPQGVPGQQLSSAGMVRPMQPMQGQAGMSNAGANPMAMAQLQAIQAWAKEHNVDLSNPANVTLISQILPMLQSNRMAAMQKQNEVGMASQQQSVPSQMNNDAPGHSNFPSQGAPSKPRQPLPPSTSVSGGAEPKMMNMSNMQMQQQLAAHNRDSSNDRAVRPAMSMGNGGQMMHMPQSSGHANKIPEQPNPKNANSEAMQMQYARQLQQANRATAPSANSGETGGSQAPNQAARPPMGFTKHQLHVLKAQILAFRRLKRGDKKLPPEVLDLIMSEPPPDSQAQQVSGPPVTNRERSATSSADEHGRPVESGGIAPERSSLLKAPCLPKVEVSAPEDKTIPASGPMQVMKASPKEPLRIGPVSMPEQTNTTLIKSEQDPERGIQRTPGRSDYNGERGKSLPAESGSADAEQAKRAASSSSVPTPNRDVSRKYHGPLFDFPSFTRKHDSMVSANYNSNLALGYDVKDLLAQEGMIVLGKKREDNLKKISGLLAINLERKRIQPDLVLRLQIEEKKLKLLEFQARMRDEVEQEQQEIMAMPDRVYRKFVRQCERQRVELTRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKKKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKNHQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRENTSVNKYYTLAHAVNERVTRQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMSLIAYLMEFKGNYGPHLIIVPNAVLVNWKEVLAVKFNVLVTTYEFVMYDRSKLSRIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFQDWFSKPFQRDVPTHSEEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKVDCSALVTLSDAICHSALFVCYIVNSSCHFQESIVLRCRMSGIQGAIYDWIKSTGTIRVDPEDEKARIQRNAMYQAKTYKNLNNKCMELRKVCNHPLLSYPFMNYYGKDFIIRSCGKLWNLDRILIKLHRSGHRVLLFSTMTKLLDILEEYLQWRQLVYRRIDGTTSLEDRESAIVDFNRPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTRDVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLQQVNRMIARTEEEVELFDQMDEEFDWTGDMMKHNQAPKWLRVSSTELDAVVASLSKKPLRNMAAGGISLDTNEKLEKRRGRPKGSGKYSIYREIDDDDFEESDDDSEERNTSSLPEEGEIGEFEDEEDNDDSVPDNKDQSEEEEPINDEGYDFSHGMGRRKAHRSEEAGSTGSSSGGRRLPPPAPSSSSKKLRSLSALDSRPGALSKRTADDLEEGEIALSGDSHLDLQQSGSWNHERDDGEDEQVVQPKIKRKRSIRIRPRPNAEKLDDRSGDGTVPQRGVHLAFQGDGDYDSQFKSEQAFADPASRQQDTVHRTVKQKRNMPSRKAPPATKAGKMTQLSGSGEGSAEHSKENWSNKVIESAGPNSSGTKMSDSMQRKCKNVINKLWRRIDKEGHQIIPNISSWWHRNENSSFKGLASSTLDLQKIEQRVDGFEYGGVNEFIADMQQMLKSVVQHFSYRHEVRVEAETLHNLFFNIMKIAFPDSDFREAKGAMSFSNPGGGASGSAAQSTKQSASGQKRRSSTSEAEQHGSSTSRHNQHAPVGEVSGRAHTSKSEKDSRHSGPGSREQFTDSAGLFRHPTDMFIVKKKRDRRPSLGSPSSSGRTGPLSPTNAGRMGPAPSPRGARTPFQRDPHPSQQSMHSAGWGAHSVQQSDRGGSSSPGIGDIQWAKPTKRSRTDSGKRRPSHM >ORGLA02G0009200.1 pep chromosome:AGI1.1:2:612309:614556:1 gene:ORGLA02G0009200 transcript:ORGLA02G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEPIPFSLPLDDDLLLPLLFPPPLPADDDDGGGVSPTPSWVYLDASAYVSPDAVSNATTAVSTTTTGVRIHVSFCLARPPRLSYLCVHCPRPGAGDGEAYRFTVDPRVISTHADVALLLVPHPNDELYRGIRSYDYFVYTASPRPSLRLLPNPHASPFSSDAVAIVRCSGGARYIIAGLMPTIRCPMEFKLQRFDSDVGRWTSTAVSVDEPAERDRVLPIPDTATEVLFHYTTKVITLAGGDHAMAVGWVDLWRGILLCDDVLDEHPVLRDLPLPKPARRNRKSFCRAYPHGYRDITVVVQDSAPSCRTLLPPASSTSRWXHAPVIHHLGDDGXLNIILMTRILLTAQTRMLLTTGRPTYGAXMEGLAQGVHGGCNRHRRRRQCQVQXAAAKDRQXSRGNIEEVAHRSPHIGHGWQCHLFPVQDRLQXXXGMGYFCXSEGXDTARCDXAXSQEEFQLHALLHYQXDLQISHQRYRXDRNSGKNWRHGVXXEKKKEEEITXAARGKEM >ORGLA02G0009100.1 pep chromosome:AGI1.1:2:609868:611526:1 gene:ORGLA02G0009100 transcript:ORGLA02G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDEPVPYVMDEGVLLPLLFPPPLPADDDATGGVSPSWVYLEDRAYVSPDAVSNSTTAFSTTTTGVSIHVSFCLARPPRLSYLCVHCPRPGDGEGAYRFTVDPQVLGTHTDVALLRVPHPNDGLHRGIKSYDYFVYTARPGPGASSLRLLPNPRVSPFRNEEVAIVRCSGGARYVIACLMPTIRRPMEFKVMRFDSDVGRWKSTAVSISEPVERDRVLPIPDTASQVVFHCTTKVITLGGTIGWVDLWRGILLCDDVLDQHPVLRDLPLPKPARSNRKSFCRGPPHHYRDITVVVQDSVPTCIKYVEMETRPGDRPPPRQRQPPQHSDDSDSDEEEDVAYYWKANIWSMPIPVGSWEDWQMECMVDVTDIAVDNVRFSELLPKIGDDPEETLRRLVTGFPTLGVDGDVISFLSKINCMDDKGWVISVDLRSKTLQGVAEIDERKNFLFERYYNTSEISKYLIKATGEAGTLVKTGVNSRVSKKKK >ORGLA02G0009000.1 pep chromosome:AGI1.1:2:600027:602287:1 gene:ORGLA02G0009000 transcript:ORGLA02G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFSCSFTVEHREGPFYAGELKSMVYQNSKTFCVYYGHFQGQYANLGTKISNSYVRVVGFLRSAIGRFITEYELDDQWREISNDPPKIHIDGLPPAGSIVSLRYFLGQARNKLVETARIYDPNGSLVADSTNLGRRVFLGFLVYIINQHKDGRSWCGDFSIDDLLVRNESTFGITKVASSHASCKAMAEDLKQLTEILEKHFRTAQGQVPGYFIKLFSDLKESAQELGQYNSEKTSKFHKYLSSHLALRSAMSRRHLFMDLFRAYQLLGKTAKKDLISLLGTMFPEDKWLHKVRKHQMFIKVSEYGIVEGDADKASNSQDQKKKRSYSGDLLDLLVFIRHVTEHGADYMKDDNMEQKLKSLVETDLIIAKYLSAAVVDLIKALVKSDLLKDMFSDPWNAFSNSS >ORGLA02G0008900.1 pep chromosome:AGI1.1:2:591368:592825:1 gene:ORGLA02G0008900 transcript:ORGLA02G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDEPVPYVMDEGVLLPLLFPPPLPADDDATGGVSPSWVYLDVRAYVSPDPVSNATTAISTTTTGVRIHVSFCLARPPRLSYLCVHCPRPGAGAGDGEAAYRFTVDPRVIGTHADVALLRVPNPNDGLHRGIKSYDYFVYTAGGVTSLRLLPNPRVSPFRNEEVAIVRCSGGARYVIACLMPTIRRPMEFKIRRFDSDVGRWKSTAVSISEPVERDRVLPIPDTASQVVFHCTTKVITLAGDGAAVGWVDLWRGILLCDDVLDERPVLRDLPLPKPARSNRKSFCRGPPHYYRDITVVVHDSAPTCIKYVEMQTRPGDRPPPRQRQPPQHSSDDSDSDEEEEDVAYYWKANIWSMPIPVGSWEDWQMECTVDVTDIAVVDNVRFSELLPKIGNDPEETLRRLVTGFPTLGMDGDVISFLSKINRLDDKGWVISVDLRSKTLQGVAELDERKNFLFKRYYNTSEISKYLIKATGLLYNCCTLIL >ORGLA02G0008800.1 pep chromosome:AGI1.1:2:586135:589256:1 gene:ORGLA02G0008800 transcript:ORGLA02G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDHNSTCLSMAVLFVATKMIQQRPRTLYLYEKEEELLLPPVMSVVSVLTAYLPTLIAKGLPAVIHDLHSRLGSVFVTAHFFQASESEIRQSNIYKVTVPVFGRGVLYDVDLATRSRQISFCTDSIKPINLRGHVDSMVHEVEGYFAQWGEDGVVDIKYEMGNLILLIANRCLLGKQFGESKLEQVSTLLRELFDNGFHLISLFFPYLPTPQHRRRDKARTMLGEMIHEAVRSRRNSGVAEDDVLQKFLDSKYINGRCMTENEIAGLLICMMFAAQHTSSSTSTWTGACLLSHGHRSYLAAAIQEQKRIIQQHGDRINWGILLQMTTLTHCIKEALRLHPPANLLIRHASKSFSVQTRQGHRYQIPKGHTLATCTTVGNRLPYIYKDPNVYDPSRFGPGREEDKVGGKFSYTPFSAGRHVCLGEDFAYMQIKVIWSHLLRNFDLELISPFPEEEWEKFIPGPKGGGGDGRGKGWRKRRTGGRPAAGGRGCGAHAAAPRVGGRGGAGGESTREAMAQRQR >ORGLA02G0008700.1 pep chromosome:AGI1.1:2:553317:566910:-1 gene:ORGLA02G0008700 transcript:ORGLA02G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPHGLLQYSSGAFSDQVPADDSAEEHGVKDHAMLAPFTAAWQTAISPPLVIERSEGCYVYDVNGTKYLDALAGLLSTALGGSEPRLVKAATEQLNKLPFYHSFWNHTTRPSLDLAKELISMFTAREMGKVFFTNSGSEANDSQVKIVWYYNNALGRPKKKNIISRIQSYHGTTFISASLSGLPTLHQDFDLPGRFVLHTDCPHYWRFHLPGETEEEFAARLADNLENLVLKEGPETIAAFIAEPVIGAGGVILPPKTYFEKIQAVVKKYDILFIVDEVITGFGRLGTMFGSDLYNIKPDLVSLAKALSSAYAPIGAILVSPEISDVIHSHSNKLGTFAHGFTYSGHPVSCAVALEALKIYRERDIPGHVTHVAQRFQEGIKAFAAGSPIVGETRGVGLLIATEFTDNKSPYELSPFEWGVGEIFGQECKKRGMMVKVLGNLIAMSPPLIITREEIDKLVSIYGEALKATEERVAELKSKKN >ORGLA02G0008600.1 pep chromosome:AGI1.1:2:532801:533616:-1 gene:ORGLA02G0008600 transcript:ORGLA02G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSGGVEAACRVLPIIDEESESEMDEGSPERKVVDDRRKAIVSRMRELLRRAAAQSSAQSKLRSTVLVSAKKWKRVVVSLQNSRRKQEQQQQQLTTTSRQSDGGMSSSPVSSKSNSFSWDIATAESCSSSPAQSPLWPAALRQFSPSPATKHGMRLRRDSSGSAADDDRMSSMSCSGSPDDDDYGGSSRLCQWITTDSDFVVLEL >ORGLA02G0008500.1 pep chromosome:AGI1.1:2:528566:531349:1 gene:ORGLA02G0008500 transcript:ORGLA02G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRAIRVRAHDGRSTTVTIAASATVSDLRTALRSSFAPALVSPDFHLFLKGTKLIADAKVGNLPVGPGESISFIPVNAKSAPPHPPSSSAPNPWRKRKFSWHDGGGEDIYAKKPTNPAPPRPLSCHGTQPLDPTQMVEHLRQGLGKAGQITHVEEIPGREATFAELPGHLSSSMRDALRSIGVTKLYAHQAQAVQSAVSGEHVVVSTSTSSGKSLCYNIPVLESISQSSAPCALYIFPTKALAQDQLKTLLDMKPAFRSDFDVSIYDGDTAMKDRTRIRNTARLLITNPDMLHMSILPCHAQFKRVLFNLKYIVIDEAHSYKGAFGCHAALILRRLKRICSYVYGSNPTFIFCTATLANPREHVMELANLDRVVLVDNDTSPCGSKNFLLWNPPLQLAKAEDRRPNPVLEVSYLFAEMVQHGLRVIAFCKTRKMCEQVLMQTRQILKETAAELVNSICVYRGGYVASDRRKIEADLFGGILRGVAATNALELGIDVGHIDXTLHLGFPGSMASFWQQAGRSGRRAKQSIAVYVAFEGALDQYFMRSPHKLFGKPIEHCQVDSQNRKVLEQHLACAASEYPLRQEHDESYFGFSMNSVLMTLKDKGCLMNNPSGGDSGVWKYIGPDKKPSHSVSIRAIEHHRYKVIDRRSNRVLEEIEESKAFFQVYDGAVYMHQGVSYLVDKLDLTSRIAYCKVFDLNYYTKVQDYTEISFIGGDVDEHPASECKPDIRRTTAQANDCRVTTKWVGFDRILKSNNQKSDSISLDHLPPYSFETQAVWVQIPVSVRTTMEQMEYQLCGGVHAASHALLSIIPLHMMCSGSDLGTQCAEPQENSETADRILLYDKHPGGIGLASQAKLLFGELLVAALELVSSCSCTNSDGCPNCIQSFACSDYNRDLDKEASIFLLKGVIQYEKLYFEAIDGCYQS >ORGLA02G0008400.1 pep chromosome:AGI1.1:2:519396:522521:-1 gene:ORGLA02G0008400 transcript:ORGLA02G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVPVLLLVTVLSLILPSGIGAAAAGDERSALLALKAGFVDTVGALADWTDGGKASPHCKWTGVGCNAAGLVDRLELSGKNLSGKVADDVFRLPALAVLNISNNAFATTLPKSLPSLPSLKVFDVSQNSFEGGFPAGLGGCADLVAVNASGNNFAGPLPEDLANATSLETIDMRGSFFGGAIPAAYRSLTKLKFLGLSGNNITGKIPPEIGEMESLESLIIGYNELEGGIPPELGNLANLQYLDLAVGNLDGPIPPELGKLPALTSLYLYKNNLEGKIPPELGNISTLVFLDLSDNAFTGAIPDEVAQLSHLRLLNLMCNHLDGVVPAAIGDMPKLEVLELWNNSLTGSLPASLGRSSPLQWVDVSSNGFTGGIPAGICDGKALIKLIMFNNGFTGGIPAGLASCASLVRVRVHGNRLNGTIPVGFGKLPLLQRLELAGNDLSGEIPGDLASSASLSFIDVSRNHLQYSIPSSLFTIPTLQSFLASDNTISGELPDQFQDCPALAALDLSNNRLAGAIPSSLASCQRLVKLNLRRNKLAGEIPRSLANMPALAILDLSSNVLTGGIPENFGSSPALETLNLAYNNLTGPVPGNGVLRSINPDELAGNAGLCGGVLPPCSGSRSTASGPRSRGTARLRHIAVGWLVGMVAVVAAFAALFGGHYAYRRWYVDGAGCCDDENLGGESGAWPWRLTAFQRLGFTCAEVLACVKEANVVGMGATGVVYKAELPRARAVIAVKKLWRPAAAADAAAAAPELTAEVLKEVSLLGRLRHRNIVRLLGYMHNEADAMMLYEFMPNGSLWEALHGPPERRTLVDWVSRYDVAAGVAQGLAYLHHDCHPPVIHRDIKSNNILLDANMEARIADFGLARALGRAGESVSVVAGSYGYIAPEYGYTMKVDQKSDTYSYGVVLMELITGRRAVEAAFGEGQDIVGWVRNKIRSNTVEDHLDGRLVGAGCPHVREEMLLVLRIAVLCTARLPRDRPSMRDVITMLSEAKPRRKSGSSTGSASAKAPTPAAAAVAAVVVDKDKPVFTTTPDSDYA >ORGLA02G0008300.1 pep chromosome:AGI1.1:2:515783:517760:1 gene:ORGLA02G0008300 transcript:ORGLA02G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37 [Source:UniProtKB/TrEMBL;Acc:I1NWH7] MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFREGTEATPRKRAAAAN >ORGLA02G0008200.1 pep chromosome:AGI1.1:2:511350:513014:-1 gene:ORGLA02G0008200 transcript:ORGLA02G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVPWMILATTLLLATISISAASRTAKPRCKETCGILTIPYPFGIGAGCFYTDGFDVSCEHNRTYMHNSSNKMEIYSLNLLGGQAQVSTLIANKCSNTDGTWTDGWVSTWTAPFFTLSSRANKLTVVGCNTLAFLGGYNKKEQNVGAGCFSMCPDKQSVDSSGQCSGMGCCQTSIAPKLSSFNVTFDSGFDNSEVNSFNPCSYAFVAEQDWFRFEPDYLEGHKFTDKYKGVPTVLDWVAGRESCAQAPKNRTSYACVSTNSSCINSPNATGYLCACNNGFAGNPYLEGGCQDINECESPGQYCHGICDNTIGGYHCYCGPGTQSTDPKREPCNPITASERARLTKTFIGISVCAIILLSCTFALLIECQKRKLMKKKKGSFNKMGVCCCMSKSGQSKLIL >ORGLA02G0008100.1 pep chromosome:AGI1.1:2:505707:508763:1 gene:ORGLA02G0008100 transcript:ORGLA02G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alg9-like mannosyltransferase family [Source:Projected from Arabidopsis thaliana (AT5G14850) TAIR;Acc:AT5G14850] MSHRRRSNATGPPPPEGVGDSSTAQASSTEKERPDPPSVLGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPLVFAALYKILALLHLDTPWFMLFSQLVNWFMFFCITRTLSNSMETVLTITGLYYWFVAIESSKGALVVPRQKPASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQTKSKSRFLFLEVIPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGIMKSXEWRLAGLIVWVLAVYSILGHKEFRFVLPVLPLMFMFSGYNLAAMAQFKGKGRSEKGHLSRFQLSVILLILTNVPMALYMSLYHQRGTEDVMFYLSQEAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLD >ORGLA02G0008000.1 pep chromosome:AGI1.1:2:497961:498386:1 gene:ORGLA02G0008000 transcript:ORGLA02G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATAAPPYPSKSELAAAEVALCAWGRSGGRIRHRLASCPQLPITAAPAVTRARSTPDSCAWGTERRPHAPPPRLPPVVARRRSARSRAVPDPPTSCPLLRQISDGGRAAAPPARSRPSSPRPQPCCAGSANVAPAAARL >ORGLA02G0007900.1 pep chromosome:AGI1.1:2:474435:490615:-1 gene:ORGLA02G0007900 transcript:ORGLA02G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTQPGGTSRDVRGGGSTARANAGTGYPTRSRTSGNPQFSAPTNGANQRAMASLRSPLRGGPDMPTSRRCSPRLSGTQQDEVAEVAHVGMLKRASNNASNSSTSRAPTRSSSTTTASSKDVAEEHSSGVGSTSSLSKKRKRMTAKSYRSLFKRSKKASSTERARKILPMGMWQRATLVHQHISIETCPQNKVAESRLEVEGRDRPTGHSRQNSISSLQSAPIPPIHYEEPESGHGDGEPLSIQKEVASSQFKVTASDEMEGNSNICVACGTPGDLKSCDGEGCKRSYHVSCLDHWLEYLSPGMWFCTVCTEKRLLFGIHSVADGIESLWNVKEGMQNGKQYLVKYKNLAHVHNRWVPEGVINDTPGGRDLLSLFNKRDHKEKTSWKKEWTEPHHLLRKRPLMPPKEADDFFCSSRANIEHCNVEWLVKWRDLGYEHATWELETACFLRTPQADELKRKYENRRKAAKQSSIPVETKVKHKTFQKLQRLPDKWPPGFDNDHLFSINQLLEFWCKSHGAVLVDDKEYVTKTILFTLTVLPDVCQPLLIVTTPASLSAWEIQFNHLAPFINVVVYDGQKDTLKLIQDLEFYDNRRCMMLQVLLSHPDAILEDIETIERIRWEAVIVDYYENSAFKYFEQLKKLSTDFRMVLLGSPIKDNVPEYMNLLAFLNSEDKGYSDYVNADDALVMSKARFTHHIAYERKTDSSKFLEYWVPSCISQPQLEMYCSILLSKSSVLRSEMETDSVGALHDIYLSLKKCCDHPYIVNEFLRSSLSNNSNVTENIDTVVHASGKLLVLDKMLNEIKKKSLRVILLFQSDRAGGNKMGNILEDLMHHRFGPESYERVEYRAVLSRKQAAIDKFNNKTNGRFVFLIENRACLPSIKLSSIDAIIIYGSDNNPLNDLKALQKIKIESQFERVSIFRLYTPFTVEEKSLVLARQGIVIDNNIQDLRTSLKHSLLRWGAAFLFSRLDEVQQDDHASKSSEMERHFIDEVIVEFLTKLSTTVEDSTEVHRKSISKANMSGELYSRNITLMGEKEGISVLEDNPAEFWLNLLDGRSPHVSCISEPLQSRVTKSQTMDEVNAPAEEINEARKKRRKVGEIMGSSSKVVSDKSNDDALPDICTTSGPALQPVDVMQQKSVQSEGSESLMSTPKNLHAQMKQELSKLIKVLQLPDNVTLLVEQFFEYLLNNHVVVQEPKYIFHALNIALCWRVASIHNFKVDHKESLALAEKRLKYECNEELARLVYDSLKRKFPKKAGATGSNCQSTSVEKTKPSQQETSNILRNDHIFPKQRMDLHDNFMNGALQEGSFVAAQMVSEEQELIAVPGTHMECHFSTDELPDIVEKRINLIDNVFSLREYRIFDKQQSQISELEKYTQNKTARLKTVCNLVLEHICRSHADVETRNDTIKQTVQWFTMLMYAFLEHMRLQHSKLESLQSNTWAEERQLKEKLCLEAKSGQLDHTFDQQIALPDSNFVMQEFIHLKEQSSNSHVSGSAVSDCQQLCHDRLKMVNTLVRNVVPSEPISAQTVRNGSVEVVMVAGQPAPEVVDFPENNTCYSPDGIGLQKAKSPSIRPSNDDSINQESSASEYTSTENVERDNANPSTLPGVATSPAIGIYANLESTMVASTQNLTIFPASKEVATQSNLSTLPGSQTVETSQQPPAEAKLTENLGVTAWDVQPEMQTTTSTLDSPSARMCPDDNNQTVHQPDTSTSPLQEGSTSCHLTSVDATAGVTAKVDDTAAADPLDSETQSYTAAHKPAALLVSTEVETQTDQSSMLERQSISVPLVQSSLSSQNPPAEAEPASTLSRETARDVQPERQQSASVLETSLQRMHPDDDSQTKHQLETVLSQRGETCGHLGDAREIVDANDSNTVCDVRAHLESPIFATPQSLVICQGLSEVGSQGNISNMSSQQSTDLSAQQNLAPSPLPPAEAERTGLLTTQPAQNFEPELQPSTSLFDSSLESNNISQTDCQSDRAVVFLQEGATTQQHLLDTGVVVDDIVAEEPSHSESPTYIIHETAALVVSTEVETQTCQSNIPIQQNTSHPAQQSPETSRHSIASPVGLEATQEFQPEMQPSTSGQDQSEELEQEGMSSSAIQDLQPEMQPPNSVQGQYPGAVLCIAAAEDLQPLMQSSTPVPNQLAEANQEGMLSAAAAQNLQCETQRLTSTQDAPFERTDLSGIPVPRSITTAHQSVVPSWDLQTGVEPTGALCMETTHERQSELPSGSMQERSAETRANLVQRSCTTETCDLQPQLEPSSTIQTVQLEGIRSEDMNQIGVQSNSALSSEQPTQPLPVAPLVFNYQRFSDEPLKNELERLKHTSNVLSKVHEQKRKQLLVEYNQEMEKLKQKYDSLLQKEDSFYAQKEAELDTIYRKVFINQSLAENFRRKFLPLSAAQGGSTRPTIGQLVQSSQEPSARIVAEQVTASPVTLSSAVRPQVLHSSGPYVQPSLVVQPSSQATQPESILPGNMYRAMSSSPFSSTPMPMPHGTYRAAGAQPRAPSPHLQQLRMPSPYATSHGNQHQRPSILASLLPFVLPSSSNPSLTAPPSLNTVVHRTSGPLNAGAGSQHAGSQISGVNPSGSSASASPNTWLTARLALTSEARGTVSSTEVVCLSDDES >ORGLA02G0007800.1 pep chromosome:AGI1.1:2:466401:473098:-1 gene:ORGLA02G0007800 transcript:ORGLA02G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G28250) TAIR;Acc:AT2G28250] MEPAASEMDVHKKLLLASLSCVLLIQVASSDGTAEGVGTTSWTCVCTAHPLGEPNSNSSLSSNCSSSCHCLQADDDGGTGSWNCTCASDKALKKEHTAVHDRGCFTSCNCTSGISQEGKKHVSNKTVIVTLLVCVILTTIAFLGTTAYYLRRKDALSPHSHAYSFDKYTSWSSRSNLVSHRSSPLPQPKPKPRISVLKEFLCSCNPICGNEGGPLPGVIVRFSYSELEQATGKFSDEHLIGVGGTSKVYRGQLSDAKVIAVKKLRPLGGADEDFEFLSEVELLSRLNHCHVVPLLGYCMESQGRQLERLLVFECMGNGNLRDCLDLKQGRKAMDWATRVGVALGAARGVEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVVILELITGRQPIHHHRPPAAAGESLVLWAAPRLRDSRLVVAELPDPALQGRFPQEEMQIMAHLARECLQWEPESRPTMSEVVQILATIAPSSRKHAAAATLVTRIGRSSSAGDTMHSTRLAVKCSVGESWRSPEMEEETVVDLTEPRLEPAIFFN >ORGLA02G0007700.1 pep chromosome:AGI1.1:2:465796:466113:-1 gene:ORGLA02G0007700 transcript:ORGLA02G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLAARKIAGGGGRRASMNIGGGSPVVAAEAEGQRRRLLQGLIYGGGGGGGSPVSSSSSSYGTTFRRLMSSDAAADHPPSTPKVRIVQIPLDCMLPLLNFLI >ORGLA02G0007600.1 pep chromosome:AGI1.1:2:462350:464596:-1 gene:ORGLA02G0007600 transcript:ORGLA02G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37230) TAIR;Acc:AT2G37230] CRAATTSTSPSASSPATTPPRLSSAMPSPPSTRRNHLPRRPRPRPRPRLCRRXRRRRRRRRPPTRPAARSPSTRPSSTXSAAARGPPASRTPSACSPRRSPRRSSTASSPGPPPPAAPTSPSSSSASPTAAPASAPSRPPSPSSSRSSPPTACSTTPAASSSTPCPPSPSPPRRPPSPPSSPPTARPTSRRNPLSSSAXCPTSASPAPRSPTTPSSRPSSAAAERPWPGGSTTPXSPTPSPLTCPPTTHXSGGSACARRWRQPXGCSGTXRITGXHRMXRRTTLFSMLGFGGDLESARKVFDEMPGAGFAQNSVSYNVMIKGYVEAGKVEEAVGLFSEMGEKGLRLSEKTFAALMPGLCDDEGRVVEARKAMDDMVERRLTPKDKSVFLRLVTTLCRAGDLDGALDVHQKSGQFKHVLVDPRQYGVLMESLCAGGKCDGAVEVMDELLEKGTLLSPKSPVLEGPAYNPVIEYLCSNGNTSKAETFFRQLMKKGVDDKAAFNSLIRGHAKEGVPEAAQEILAIMTRRGVRTDPESHALLVDSFLKKNEPADAKTALDSMMEQGHVPSPSLFMSVMVVLFNGGRVQTASRVMKSMIEKGVTENMDMAHKILEALFMRGHVEEAIGRVNLMVENGCLPDLDKMLIALCENDKVMEAHKLADFALDRDFDVSFSTYDRVLEALYTEEKTLPAYSMLCKIKNKGGVVDQKGCDALMDSLKAGGYSKQADILSRILAENASSTSKRGKRVAMGA >ORGLA02G0007500.1 pep chromosome:AGI1.1:2:445575:446057:1 gene:ORGLA02G0007500 transcript:ORGLA02G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDNIKRKSIIPVSESSVLRFNILSRKFCEITSRGAKTIPAYEFLMEEADKINEKLNDLIDNDNEVGVDEVNNDQDANMNDNSKNNVVQDERLPEEDFIEDPDITNSKGRPRQRYKTIREQIAEQESYHCSHCGRTDHTFPTCPFKHIEFDLPRKKKRKV >ORGLA02G0007400.1 pep chromosome:AGI1.1:2:438277:439740:1 gene:ORGLA02G0007400 transcript:ORGLA02G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroperoxide lyase 1 [Source:Projected from Arabidopsis thaliana (AT4G15440) TAIR;Acc:AT4G15440] MVPSFPQPASAAAATRPIPGSYGPPLLGPLRDRLDYFWFQGPDDFFRRRAADHKSTVFRANIPPTFPFFLGVDPRVVAVVDAAAFTALFDPALVDKRDVLIGPYVPSLAFTRGTRVGVYLDTQDPDHARTKAFSIDLLRRAARNWAAELRAAVDDMLAAVEEDLNRAPDPAAASASYLIPLQKCIFRFLCKALVGADPAADGLVDRFGVYILDVWLALQLVPTQKVGVIPQPLEELLFHSFPLPSFVVKPGYDLLYRFVEKHGAAAVSIAEKEHGISKEEAINNILFVLGFNAFGGFSVFLPFLVMEVGKPGRDDLRRRLQEEVRRVLGGGDGGKAGFAAVREMALVRSTVYEVLRMQPPVPLQFGRARRDFVLRSHGGAAYEVGKGELLCGYQPLAMRDPAVFDRPEEFVPERFLGDDGEALLQYVYWSNGPETGEPSPGNKQCAAKEVVVATACMLVAELFRRYDDFECDGTSFTKLDKRELTPS >ORGLA02G0007300.1 pep chromosome:AGI1.1:2:433405:434962:-1 gene:ORGLA02G0007300 transcript:ORGLA02G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIFSIDVPAPPPPPAAAATDEPWITDTLPFVPYDNSMSYTNYCYYPEMFEDANPDISKEMTTIGGEDLLVDNANQQDYFQAWTNSFDSVALMEPGALQEPSYLDLDPSYFDLGSYLDPDHQQMASSSCSDIALLSDASFLQPLNMSNAPYVQLPMMDANINNEIGAATSSSELAQLIPQSSDHSLLQQLNINDETAYDQLPVIDTNSSNNNTGSEFPCVNFQSSNTGSLLGGSSNMFDGQDQQTSHIVLPEKSCPDPEKRQRAVQRYKEKKSNRRFVKQIMYASRKATADTRRRVRGRFVKASLEQGTSSNDNKQPKHEGN >ORGLA02G0007200.1 pep chromosome:AGI1.1:2:430053:432028:-1 gene:ORGLA02G0007200 transcript:ORGLA02G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45950) TAIR;Acc:AT5G45950] MRRLAVPAAALLLVAWHFSLITMAAAQLSPPQPPDDQYDDPPVPGLPVSPPSPGDPDSPEPPLPDSPPSQEPDTPEPAPPTPPQQQQQPWQSPLPPRREPAPPRTVVPPQEPGWSSVPPPPARVINYTTTGCTTMLVFGDSTVDPGNNNRLQTAMKANFLPYGADFLGGRPTGRFSNGRLITDILAEKLGIARSIPGFRDPRLRSGQLRRGVSFASAGSGYDEATARSSNALSFPNQIEDLWRYKRNLQRLVGRRRAEELVRRATFVVSAGTTDLLFHYLASNQSAAESGPQYENQLISRVANYTQVMATLGGRRFVFVGVPPIGCLPIARTLLGTGTTRCHENMNLLATSFNERLVEVVRLLKNQPNIRATFVDTYTTIGMATISPNNYGLTETSRGCCGTGVIEVGQTCRGRRACTHPSKYIYWDAAHHTERMNQIITEEVIMNSIGEIYA >ORGLA02G0007100.1 pep chromosome:AGI1.1:2:424209:428943:1 gene:ORGLA02G0007100 transcript:ORGLA02G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLHHHHHRLSPRFAPSRSPSPRTLSLHPAPRLRLRASARGGGGSTRDHDDGARSIPIARCYEAALARLDLSGTARREQAVAAAAAADGGAAAEAHLAAVADAMVMEAFLPGPDGARRSAMSTRLILQANEVTEKASKLKKDLGAEFFSENEPDAESVLAMAFKQVVMDQLTNFRLEVFSPGSEIDLQDLSKPRKVPADFSIRSSDEKLLAALAEAIFSCVIEDARNNYLGGTGGLFHKWKSNCSLDSSVCVDIISESEVVNSARRRLDSFDLVQSSHVAGKAKNGWWPAPKSERLAKIGGPDFMLWASEFVYTYKLQIDAKAFKNTKLGGHHELANNKGEVLLSHAQMVELANILDMYFEDQFTLPGKTFYSNWNSEPSKIKKNNGYLNNLFALLAGSSIVFLVGAIAQLCWPQSLKDKRLATVSSCVSSSQSYCSDIHSLDSSELQAYCVSVVEKIKDSFGCTGDVMVDANIGAWVGELPEFFKGINCDSHDDYVDIQSTGAISQGEQPSLVSSPIKMSSHLEQNDDTQETLQNIASFQVVMSERGKVVGFQPTNRLAVNHWATNPLTKLLYEGRKISPAFLEPRLRISRPAKVVPVELLMSVNPESFFALVRPVQDPC >ORGLA02G0007000.1 pep chromosome:AGI1.1:2:419192:422100:-1 gene:ORGLA02G0007000 transcript:ORGLA02G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10840) TAIR;Acc:AT4G10840] MPGLAAADNSPPVAAPPPRRLSSPLPRSRAPPSPSPSTSSRAKPRKVAAQPETDEAALDNPDLGPFLLKQARDAMVSGEGGGAARALEFAERAARALERRGEGAELELAMSLHVAAAIHCGLGRHADAIPVLERAVAVVTPPPPPPPPEGESSAEEQPPEDQQKGEEWALAAFSGWMQLGDTHAMLGRMDESIACYGKGLEIQMAALGERDPRVAETCRYLAEAHVQALQFDEAEKLCRKALEIHREHSAPASLEEASDRRLMALILDAKGDYDGALEHLVLASMTMVANGRDIEVATIDVAIGNTYLALARFDEAVFSYQKALTVLKSARGDDHPSVASVFVRLADLYHRTGRLRESKSYCENALRVYAKPAPGAAPDEVAGGLMEIAAIYEALGDLDEALKLLQRALKLLEDSPGQWSTVAGIEAQMGVLYYMVGRYADSRNSFESAVAKLRASGERKSAFFGVLLNQMGLACVQLFKIDEAAQLFEEARAVLEQECGASHPDTLGVYSNLAAIYDAMGRVEDAIEILEHVLKVREEKLGTANPDVEDEKLRLAELLKEAGRSRNRKQKSLENLFVTNSQRVKKDAGRRWSNFGFRS >ORGLA02G0006900.1 pep chromosome:AGI1.1:2:409469:416453:-1 gene:ORGLA02G0006900 transcript:ORGLA02G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKRGGGRPRKAPEDAAAKENGEKTNKEEETQASPEENGAGQSQASRTARKRRKGPVADPSSTELPPRKLRDRRNVPAVDYKENKHTKKMDGTSTMCHQCQRKDSGRVVRCRNGAEKNRRHRYCVKCIKRWYPHLTEDDFENCCPVCHNNCNCKTCLRTNVINKGDKEFADGKNKIKYSLRIARFLLPWLKQLHQEQMLEKSVEATIKGIDVTDLEVPQAQFNNDERIYCDNCRTSIVDFHRSCKSGHYDLCLSCCQELRQGLTTGTVVTCDTAVDVPEIEGKEGLQEGSSHSSAVGQGASDQQNDRLIGSAAPSEDCTPSLIWRAKSNGSIPCPPNAGGCGDCLLELRCLFKENFISDLLDKVNSVVNKETEQELGGSRCSCFTESGEVNNETSRKSACREDSNDNYIYCPTAREVQSGALDHFQQHWLNGQPVIVRDVLELTSGLSWEPMVMWRALREKRDKKEHERLSVIALDCLTWFEFMYHQDVLVVPVSYLGFNSTIETTLYFKLNIHMFFEGYSRGAVGLEDLPVLLKLKDWPQHSSFEERLPRHGAEFMSALPFREYTDPKSGPLNLAVKLPKHVKKPDLGPKTYIAYGVAQELGIGDSVTKIHCDMSDAVNILMHTDEVELKAERITAIEKKKESLRKDGKNLHVLRPDRDDDTSIALSESTEVPRSRGLENGSSIKQPAPNVAVMDQGGVHTDMVADEAEGNLSLSNGQSPNQSDAHNMDITFSKGETDHSICTTNGGEEMGNGFGREDKCKSSHGVGSSESSDCQRRSRRRDACSSSATGEINETSMETNKFTISIEPKDDHPFVEGNQTEGGALWDIFRREDVSKLHDYLMKHAEEFRHYNYETVKQVSHPIHDQCFYLTNEHKRKLKEEHGIEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVQECIRLTEEFRLLPKGHRVNEDKLEVKKIALYALDQAIDDITGKSCNERLVGTALHIVST >ORGLA02G0006800.1 pep chromosome:AGI1.1:2:402614:403873:-1 gene:ORGLA02G0006800 transcript:ORGLA02G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADPPPPPTGMGFLGLLSFRRSATAVASFDPAQDDELQVLHALQAHVADRLAALSHHPPLLSLAFLSKLLDAVLSSDDAFREVLGIGPVAAALSRPPADRLAADLLDRTVKTLDILNAVSLTLASLRGSHRAALTAASCLLAPPLHRAHFGRARRAISRLFPDAAKLAAAPSPSCRAGPARALSFSVSRNWSSGRHVHAMAAHLAPPPQSPTSASPGAGCGLGLALYTMSSVLVFSMWALVAAVPCQDRSSAATNPPVAPPKQVQWAAPMCALQERIADEWRKKDKKGSSSGSAAATGLLAEMQAVERAARELSSLLEEVAEEEEEEQLVMGATDERARDVVERAEALAAACRALEEGLAPLERQVRAVFHRVVASRGEVVRIMEHSTRSNSTATASAAAAAAAASGSGAPPHHHSF >ORGLA02G0006700.1 pep chromosome:AGI1.1:2:396718:400204:-1 gene:ORGLA02G0006700 transcript:ORGLA02G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diphosphomevalonate decarboxylase [Source:UniProtKB/TrEMBL;Acc:I1NWG1] GGGGGGAVGAHGHRPISHQHRRHQVLGKEGRGAHPPRQRQHQRHPRPRPPLRHHHRRRQPFLPLRPDVAQRQVFTLGKLMNVKEDHGELSSIARQGSGSACRSIYGGFVKWCMGKNNDGSDSIAVQLADEAHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTVVPERVLKMEEAIKSRNFESFARLTCADSNQFHAVCLDTSPPIFYMNDTSHRIISLVEKWNQSEGTPRVAYTFDAGPNAVLIAPNRKNATILLQKLLYYFPPQDNDLSSYMVGDKSILSDAGLKSIEDVEALPAPAETKMPSQKFKGDVSYFICSRLGAGPKVVTDESLALIDSVTGLPKGV >ORGLA02G0006600.1 pep chromosome:AGI1.1:2:392498:393640:1 gene:ORGLA02G0006600 transcript:ORGLA02G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVNLVVDNAGGGGATAYKLYRVAASALFSSPPRRQAANVLDPDDVEDAAGGLPPPAITFHPSSLSVGPGNVDFLRLSGDDSLLALDVDGRGLLYSAASAAVRYMPDPCKPKMEPISFTTAGDSCLYVIERVPFSGNPGCFEALTYGLLPDDDDSLSSRMGWYWRSLPPPPFAKVGYDGDIRRHRHRREYDITASAVVNETELWVTAHGAGTFSFDTQAGEWRARGEWRMPFMGRGEYVEEHGGWFGLSSTPVKGLHLCSCRLCSYDVPVVRRWLDGLDRLPAAAPPKRSFLMEAYAVHLGSGRFCIARFMEEEEEEKDNISLHPFFRVAGEKSKNDRFLLLTGVDVVGSDGAAVVVHKSIRYKFQNGDFVRGYSRLF >ORGLA02G0006500.1 pep chromosome:AGI1.1:2:390001:391521:1 gene:ORGLA02G0006500 transcript:ORGLA02G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETWLFLLFSISLVAVLLATARRRRSSSIKARLPPGPSPLLFLAKFLLLRRSIFDLGPLLRDLHARHGPVISIRLFGTTLVFVADRRLAHRALVQGGSTFADRPPLPELGRLFTSDARDINSSPYGPYWRLVRRNLASEALRPARVALFAPARRRARDVLVRGLRDRGGDGSRPVELRPLLRRAMFELLLYMSLGARLAPEALEEVERLELWMLRAFTSFPVFSFFPAITKRLFRNRWAAHVAVRRRVGEIYVPLINARRAGAGDGDGDDPPCYTDSLLQLRVAEEGDRPLTDDEIIALCSEFLNAGTDTTVTLVEWIMAELVNRPDIQAKVHDEVRRRPELTEADLQAMPYLKAVVLEGLRLHPPAQFLLPHGVQSDAEVGGYVVPRGAELNVWVAELGRDEAVWTAAREFMPERFMDGGEVEVDVTGSREITMMPFGVGRRMCPGYTVGTLHAEYLVGSLVRELEWLPETEGEAADMAEELDFTTVMKHPLRARVLPRPSSLY >ORGLA02G0006400.1 pep chromosome:AGI1.1:2:388272:388574:1 gene:ORGLA02G0006400 transcript:ORGLA02G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVISSNGWLTLALNAMELSQMVTQGIWDRASVLLQLPHFTKELARRCQENEGRPIESIFDLAEMSIDEMRDLLQLSNPQLQDIIEFFKRFPNVDLTYEV >ORGLA02G0006300.1 pep chromosome:AGI1.1:2:383641:384804:1 gene:ORGLA02G0006300 transcript:ORGLA02G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFVNLVVDTAGVGADATACKLYRVAASALFSSPARRQAAAKELDPDDVDDAGGLPPPAITFHPSSLSGPGNLDFLHLPGDDSLLALDVDGRGLLYSAASAAVRYMPDPCKPKMEPISFTAGDSVLYVIERVPFSGNPGCFEALTYGLLPHDLDRMGWYWRSLPPPPFAKVGYDGDFRRHRQRREYDITASAVVNETELWVTAHGAGTFSFDTQVGEWRGRGEWRMPFKGRGEYVEEHGKWFGLSSTPLQGLHLCSCDLSHLCCSYDVPVVRRWLDGLDRLPAAAPPKQSFLMEAYAVHLGSGRFCIARFMEEEEEEEVEEEKDNISVHPFFHVAGVNNNKKKNDRFLLLTGVDVVACDDAVLVHKSIRYPFQNGDFVRGYSRLF >ORGLA02G0006200.1 pep chromosome:AGI1.1:2:371585:372770:-1 gene:ORGLA02G0006200 transcript:ORGLA02G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEPAAAAESAAGGAGGGACLRLLLFSGVDAHKVSSAREGEWRERGGGGCGGGVYGEAGGVCGGAGGCCCGRAGFVESLICGWGSSYHIQIEIKDYMKEYRSELCKRLLYHRFNDLHPKRRF >ORGLA02G0006100.1 pep chromosome:AGI1.1:2:360104:360553:-1 gene:ORGLA02G0006100 transcript:ORGLA02G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGGAATPASMPSCPPSSPRGFPSAASPASFGATATLAGFFRFGIRGVGAGGGRRGQPAPLGPEDAAATGPATSPCAQPSHRRGHCRPARQGEEGAGGSPSCAAVEPSEGGPRRPRSPLRVADLGVAGELVATPLRSAPPPLSLSEKLTGS >ORGLA02G0006000.1 pep chromosome:AGI1.1:2:352004:354108:-1 gene:ORGLA02G0006000 transcript:ORGLA02G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEKPLSLTCLLWETLTGREHLLFYGRLKNLQGAPLSQAIEKSLKNVRLFAGGIADKLVSKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKDLWNAVKSAKQDRAIILTTHSMEEAEFLCDRIGIIANGSLQCIGNSKELKAKYGGSYVLTVTTATGEAEEEMRRLVQSISPTMNIVYHISGTQKFEMAKQEVRISQVFWAMEHAKRRMNVLAWGLADTTNAGGCLHQSCQGE >ORGLA02G0005900.1 pep chromosome:AGI1.1:2:348645:350318:-1 gene:ORGLA02G0005900 transcript:ORGLA02G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLLPSSLSLALSPPPPPPPFFPPQWAPPVPGGGGPFAEHGVGYGSGPDGSHNPTVSSVHGNQYMPTGRHGMYRWPGRRT >ORGLA02G0005800.1 pep chromosome:AGI1.1:2:342851:346527:1 gene:ORGLA02G0005800 transcript:ORGLA02G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTQRFWLRNNAAADSELERSFHLGQKAVGTIIGIYEYKTSVCLPALKMPXARESHSMNSKMIRLFAPFPKFIPLLAVFIISCSLPLAISDDTDTDREALLCFKSQISDPNGSLSSWSNTSQNFCNWQGVSCNNTQTQLRVMVLNVSSKGLSGSIPPCIGNLSSIASLDLSRNAFLGKIPSELGRLGQISYLNLSINSLEGRIPDELSSCSNLQVLGLSNNSFEGEIPPSLTQCTRLQQVILYNNKLEGSIPTRFGTLPELKTLDLSNNALRGDIPPLLGSSPSFVYVDLGGNQLTGGIPEFLVNSSSLQVLRLTQNSLTGEIPPALFNSSTLTTNYLDRNNLVGSIPPVTAIAAPIQYLSLEQNKLTGGIPASLGNLSSLVHVSLKANNLVGSIPKSLSKIPTLERLVLTYNNLTGHMPQAIFNISSLKYLSMANNSLIGQLPPDIGNRLPNLEALILSTTQLNGPIPASLRNMSKLEMVYLAVAGLTGIVPSFGSLPNLHDLDLGYNQLEAGDWSFLSSLANCTQLKKLALDANLPSQLNWLWLRQNKLSGTIPSEIGNLKSLSVLYLDENIFSGSIPPTIGNLSNLLVLSLAQNNLSGLIPDSIGNLAQLTEFHLDGNNFNGSIPSNLGQWRQLEKLDFSHNSFGGSLPSEVFNISSLSQSLDLSHNLFTGPIPLEIGNLINLGSISISNNRLTGEIPSTLGKCVLLEYLHMEGNLLTGSIPRSFMNLKSIKELNLSCNSLSGKVPEFLTLLSSLQKLNLSFNDFEGPIPSNGVFGNASRVILAGNYRLCANDPGYSLPLCPESGSQSKHKSTILKIVIPIAVSVVISLLCLMAVLIERRKQKPCLQQSSVNMRKISYEDIAKATDGFSPTNLVGLGSFGAVYNGMLPFETNPVAIKVSDLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTIDPNGYDFKALVFQYMPNGSLEMWLHPEDHGHGKKRFLTLGERISLALDIAYALDYLHNQCVSPVIHCDIKPSNVLLDLEMIAYVSDFGLARFMCANSTAAPGNSTSLADLKRSIGYIAPEYGMGGQISTKGDVYSYGVLLLEILTGKRPTDEKFNDGLSLHDRVDAAFPHRVTEILDPNMLHNDLDGGNSELMQSCLLPLVKVALMCSMASPKDRLGMAQVSTELHSIKQAFLELSSGGKVV >ORGLA02G0005700.1 pep chromosome:AGI1.1:2:334044:336407:-1 gene:ORGLA02G0005700 transcript:ORGLA02G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFVNLVVDTAGGGGDATAYKLYRVAASALFSCPARRQAAKVLDPDDVEDAAGGLPPPAITFHPSSLSGPGNVDFLYLYGSGGGSDGDDSLLALDVDGRGLLYSAASAAVRYMPDPCKPKIEPISFTADDGRLYVIERVPFSGNPGCFEALTYGLLPDDDDSLSSRMGWYWRSLPPPPFAKAGYDITASAVVQLNESKELWVTAAHGAGTFSFDTNSKVGEWRELGEKGMPFMGRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRTQQVGEETLQNWIKGH >ORGLA02G0005600.1 pep chromosome:AGI1.1:2:326186:326824:-1 gene:ORGLA02G0005600 transcript:ORGLA02G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQSNMEITIIKMVGPTCQAPILIIRESERGQKGCGRRSSRLEAMESGRRRGSRRTGHRISLTSSLSSSSLSNSSSLSCAWQQAGGGRQQAGGTEATVSPAPSPLPPPPPPRLRSYTTRAMAYSSSVQRRSPPMMRPMPSARRAARRSSCSCSHAISLAASGRRPRLLARRPQLPPPPPAAAASAPAPQLPPLLTEREREREAEKRYLSATP >ORGLA02G0005500.1 pep chromosome:AGI1.1:2:321172:325021:1 gene:ORGLA02G0005500 transcript:ORGLA02G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISPKEQLMFTGRGSVFAQSYLEEIYVMLLQGKCFHKPLSMDDMVVSALGRVHLRDGILMRPIAESRRAAIINSTWFEAMKLLKQMLAYANPHNFDLPPDFLHLCNTMENRYEPKGMNIPYHASLLPLHSRQQALLDAFNLLANRMNLQYASWISCELPHRTEWPQVLHGNNFLRQWFRDKQWLNKDGTIKVPTGIEFLRAHRVLCCHSDDFARKGVCMYTWEQLHLLIHGKNPLVLPDLHLKLMRLACTCELNRSHTDICVAYMPLRSNAKSDLLRLLVRIPDARCSLFWAAADRFPSMAAAEEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGKEISLSGGRFQSCLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFFFKKIXIGEWKHIFITXLLFVVFTLGNLMNVKEDYGELSSIARQGSGSACRSIFGGFVKWCMGKNNDGSDSIAVQLADEAHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQVTVLTCTFVLAV >ORGLA02G0005400.1 pep chromosome:AGI1.1:2:319588:320567:-1 gene:ORGLA02G0005400 transcript:ORGLA02G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSRKGSYTKLYSIRTQVMNMKHWQAKQYVYYSQLIRSIEHVAELQNEVFHTEKLHISKSVKDCINIQTYITSSGSSSCVGALLLLLQYALFWSRMEVIDVAEDLVQVDRGDGEKTARALFGGSVSGHDDVQGREDAERSEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXADEEALAVSVAAEEGEVLTKKTVEAGGVAAGVADEEAPVRIRA >ORGLA02G0005300.1 pep chromosome:AGI1.1:2:310856:318348:1 gene:ORGLA02G0005300 transcript:ORGLA02G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDPRSFGDRAVQAKPPELEEKLTKSRKKKAAAADPDDLHRHDAKRRRRAAAAQREVSVLSLTDDVVYKPQTKETRAAYEALLSVIQQQFGGQPLDVLGGAADEVLAVLKNDKIKSPDKKKEIEKLLNPISNQMFDQIVSIGKLITDFHDASAGDSAAAPSGDGMDTALDDDIGVAVEFEENEDDEESDFDQVQDDLDEDEDDDLPESNAPGAMQMGGELDDDDMQNSNEGLTINVQDIDAYWLQRKVSQAYEDIDPQHSQKLAEEILKIIAEGDDRDVENRLVMLLDYEKFDLIKLLLRNRLKIVWCTRLARAEDQEQRKKIEEDMMGNPTLTPILEQLHATRASAKERQKNLEKSIRDEAKRLTKSENTGIDGARDRRAVDRDMESGWLKGQRQLLDLDSLSFHQGGLLMANKKCELPPGSFRTPHKGYEEVHVPALKAKPYETGEKIVKISDMPEWAQPAFAKMTQLNRVQSKVYETALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMKDGVFDNTKYKIVYVAPMKALVAEVVGNLSARLSAYGITVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVSRTVRQIETTKEHIRLVGLSATLPNYEDVAVFLRVRSDGLFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMASAGKHQVLIFVHSRKETAKTARAIRDTALANDTLNRFLKDDSASQEILGSQAELVKSSDLKDLLPYGFAIHHAGLARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQYYLSLMNQQLPIESQFISRLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADIMETDKTLDERRADLVHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVSVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMIDKQMWNVQTPLRQFPGIPKEILMKLEKKELAWERYYDLSSQEIGELIRFPKMGRQLHKCIHQLPKLNLSAHVQPITRTVLGFELTITPDFQWDDKVHGYVEPFWVIVEDNDGENILHHEYFMVKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVTALRNARYEGLYSAFKHFNPIQTQVFTVLYNTDDSVLVAAPTGSGKTICAEFAILRNHQKAVSGESNMRVVYIAPIEALAKERYRDWEQKFGEFARVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKQVQQVSLFIVDELHLIGSEKGHVLEVIVSRMRRIASHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAITQHAKNGKPALVFVPTRKHARLTALDLCAYSSAEGGGTPFLLGSEDEMDAFIGGISDETLKYTLKCGVGYLHEGLSDLEQEVVTQLFLGGRIQVCVASSTVCWGRSLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESHLHHFLHDHMNAEVVVGVIENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDHLSELVETVLNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPSRPGEEDFIEKLVRHQRFSIEKPKYGDPHVKANALLQAHFSRHTILGNLAADQREILLSAHRLLQAMVDVISSNGWLTLALNAMELSQMVTQGMWDRDSVLLQLPHFTKELARRCQENEGRPIESIFDLAEMSIDEMRDLLQQSNPQLQDIIEFFKRFPNVDMAYEVREGDDIRAGDNVTVQVTLERDMTNLPSEVGPVHAPRYPKPKEEGWWLVIGDSSTNQLLAIKRVALQKRARVKLEFTAASEAGRKEYMIYLMSDSYLGCDQEYEFTVDVMDAGGD >ORGLA02G0005200.1 pep chromosome:AGI1.1:2:300882:303267:-1 gene:ORGLA02G0005200 transcript:ORGLA02G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAMALAVLFFCLLLLSSAAITFLLLRHCLSGLRRRHRAAAAADVDAEAAEGGAGARQLMPPCSPPPQQELPLLRPAAKLVPSTKEEGEPWKLTWREVEALTGGFDEAAVVGRGGSSTVYLASSCRVAVVSPPVAVKVHRWCGGERWLRAFRQELDLLRRLRHPHIVSLLAYSDDHEEGGALVLEYLSGGTLAERLHGGASPPLPWRHRMRVVHDVAGALEHLHDGAPPVVHGDVSASNVLLDGRGLGARLCDLGSACEGFSAAVAPTRAAVGSPGYVDPFFLRTGIVSKKSDVYSFGVLLLEAITGSPAAGIPGPDGGAGGGNLTARLLPRVRTEGVDGLADRRLGDDYDAAEAGDVARIAVECLAAQPGLRPTMAQVRAAIAEKAAMSISIAHHDLHDASDST >ORGLA02G0005100.1 pep chromosome:AGI1.1:2:295176:299582:1 gene:ORGLA02G0005100 transcript:ORGLA02G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGDALESQAAAAAEAARELREAAASLVACRSADEDALRRRATALDADLRRLQASLSTLAPTTLDKVEEELERARVTISDSDVAAFLPSKRNGKFLKTFVGPVNVRVARKEDKLRVKDEYNNYRDRAAYMFLLFPSILLLLRWWIWDGCLPALAVQMYQAWLLFLYTSFALRENVLIVNGSDIRPWWIYHHYLAMLMALVSLTWEIKGQPDCSSKQRGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKAKRMDVVAGETAGVEGQLLLLYPVLFTLQVFEGYVGVLLLKTALHGLASEWQVVVCGILLVVMAVGNFVNTMETLMLKLRFKAKMKRAKSRQDLSRQHQN >ORGLA02G0005000.1 pep chromosome:AGI1.1:2:289941:291938:1 gene:ORGLA02G0005000 transcript:ORGLA02G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C78, ubiquitin fold modifier-specific peptidase 1/ 2 [Source:Projected from Arabidopsis thaliana (AT5G24680) TAIR;Acc:AT5G24680] MISSCPICNVQVLTAELQWHANAHFDDDQLQTDMELAHQIALTQSTPNPLLLDTHQECNNLFAGDPHAQEASSSIAGSSSLQGSVLDEQVSCLLQAQLRSNVQEIQGGVMNLLRACLESEAGSSTSMISGHVDHYQSLSSEDKGWGCGWRNIQMLSSHLLKQRPEAREALFGGSGFVPDIPSLQRWLEIAWDKKFDTLGSSHFHNKVYGAKKWIGTTECAALLRSFGLRARVVDFDSTESSGPHSKNGKHAPKRVQGPMDKFLIKNVSPTSTSSELCREDAENMRGQQVLVDWIWNYFASRHSDNSDSSRRVLVSDKTPLYFQHQGHSRTIVGIQKQNVQRGNQDRFTLLILDPGHRTSDLERALRSKTGWQRLVKRGVHTLRKPQYQLCYVDPGIASSEEMEQLKTIDSILVRF >ORGLA02G0004900.1 pep chromosome:AGI1.1:2:283614:284731:-1 gene:ORGLA02G0004900 transcript:ORGLA02G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPHDQHEHEPDHAHRSPSNGTAATSTIATNRWGPYSGAGDFASNMAVILAALLAALALALALNAAVRYLLRRHRRARQQPAAAAAAAEDPEKPPVQEADPPPPPPALVYSAAGTKLAGAAECAICLAEFVDGDTVRVMPCWCQEIPLESGLRFAQIKVYQTGPFNVEAANLLPTPGICTTCRFVYRGVVKHLHFFIWRLERHPSLFCEGKEKHKQL >ORGLA02G0004800.1 pep chromosome:AGI1.1:2:279719:281974:-1 gene:ORGLA02G0004800 transcript:ORGLA02G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G68930) TAIR;Acc:AT1G68930] MTHAAHALCNRYAAILSSAAGDGGRTGVRVAGAVHCLILKTFLQAPPTFLLNHLLTAYAKSGRLARARRVFDEMPDPNLFTRNALLSALAHSRLVPDMERLFASMPERDAVSYNALITGFSSTGSPARSVQLYRALLREESVRPTRITLSAMIMVASALSDRALGHSVHCQVLRLGFGAYAFVGSPLVDMYAKMGLIRDARRVFQEMEAKTVVMYNTLITGLLRCKMIEDAKGLFQLMVDRDSITWTTMVTGLTQNGLQLEALDVFRRMRAEGVGIDQYTFGSILTACGALAALEEGKQIHAYITRTWYEDNVFVGSALVDMYSKCRSIRLAEAVFRRMTCRNIISWTAMIVGYGQNACSEEAVRAFSEMQMDGIKPDDFTLGSVISSCANLASLEEGAQFHCLALVSGLMRYITVSNALVTLYGKCGSIEDAHRLFDEMSFHDQVSWTALVTGYAQFGKAKETIDLFEKMLANGLKPDGVTFIGVLSACSRAGLVEKGCDYFDSMQKDHGIVPIDDHYTCMIDLYSRSGRFKEAEEFIKQMPHSPDAFGWATLLSSCRLRGNMEIGKWAAENLLETDPQNPASYVLLCSMHAAKGQWTEVAHLRRGMRDRQVKKEPGCSWIKYKNKVHIFSADDQSHPFSSRIYEKLEWLNSKMAEEGYKPDVSSVLHDVADADKVHMISHHSEKLAIAFGLIFVPQEMPIRIVKNLRVCVDCHNATKFISKITGRDILVRDAVRFHKFSDGTCSCGDFW >ORGLA02G0004700.1 pep chromosome:AGI1.1:2:266861:270230:1 gene:ORGLA02G0004700 transcript:ORGLA02G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRDDVADASALPYSYSPLPAGDAASADLAAARRSRRRPLCVALFLASAAVILAVSVLSGVRLAGRPATTTMVVPGVVEMEMASRGPEFGVSEKTSGAEEMVRLMGGAAGGEAFPWSNAMLQWQRTGFHFQPERNWMNDPNGPVYYKGWYHLFYQYNPDGAVWGNKIAWGHAVSRDLVHWRHLPLAMVPDQWYDVNGVWTGSATTLPDGRLAMLYTGSTNASVQVQCLAVPSDPDDPLLTNWTKYHANPVLYPPRTIGDRDFRDPTTAWRDPSDGDWRIVIGSKDEHHAGIAVVYRTADFVTYDLLPGLLHRVEATGMWECIDFYPVAGGEGVDMTEAMYARNKGVVHVMKASMDDDRHDYYALGRYDPARNAWTPLDAAADVGIGLRYDWGKFYASKTFYDPAKRRRVLWGWVGETDSERADVAKGWSSLQSIPRTVELDTKTGSNLLQWPVEEVETLRTNSTDFGGITVDYASVFPLNLHRATQLDILAEFQLDPLAVDAVLEADVGYNCSTSGGAAGRGALGPFGLLVLADKRHRGDGEQTAVYFYVAKGSDGGVTTHFCQDESRSSHADDIVKRVVGNVVPVLDGETFSLRVLVDHSIVESFAQGGRSTATSRVYPTEAIYANAGVFLFNNATSARVTAKKLVVHEMDSSYNQAYMA >ORGLA02G0004600.1 pep chromosome:AGI1.1:2:252260:253490:-1 gene:ORGLA02G0004600 transcript:ORGLA02G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:I1NWE0] QARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTGENYRLLYDTKGRFRLQSVKDEDAKFGQKGIPYLNTYDGRTIRYPDPIIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHQFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >ORGLA02G0004500.1 pep chromosome:AGI1.1:2:246951:251596:-1 gene:ORGLA02G0004500 transcript:ORGLA02G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMLLPPALRAPSAWEQATTSSSIGRSAMEEGCSSNWPATPCAAATTAAADKSNCTVGSTNNSPSEQVGHGDSLIYSLPYLPEDIWRHIHSLMPMSAAARAACLSHSFLNSWRFHPNLSLNFKTLCPRTSRGNFKCKIDSILRNHLGTAKLLKLNVADEDSTYPYIDRWLEVAVTPGIEELTLTLHKKYIFACSLLSDGVRDSIRCLQPTFCAFHPMAEFGPLRSLTKLHLCGVHITGDELESLLLNSLVLEQLRLNVCNKISFLKIPCVLQHLSCLSVMACRRMQVIVCEAPNLSSISLSGGIKFSLGETLTMKVLSMIRPNVVCYARAQLPSIMPNLESMVLSSDSEAVNIPMLPTKFLCLKHLTIQIARGTFSPSYDYFFLVSFLHASPSLETLYLDVFQEDMRHESIVEDSSAHLRQLPELSHECLKSVEIIGFNSAKSLVELTCCIVKAAASLERLVLDTLRGGDRCSGXSNGKICWPVSNAVLKESARAAIAVRRYIEDKVAPTTTLTLVGTCTRCHSLAFG >ORGLA02G0004400.1 pep chromosome:AGI1.1:2:241335:245005:1 gene:ORGLA02G0004400 transcript:ORGLA02G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLSGLAEVVREVGVAPAPRRVVVISDIQAGDAAVIDELMAIQLGVDASWEFVNRERLRRMGRTYNLLKMVEAVLPGLIDDPYHASDFLRDMEHKIRTRATFSGGSFRVLAVEFCNLLRDYNDRIIADPPVSTREELQDHINELIAQLDDLLHQTCTLRQDDLVGGGMNIKSDCDEPSPHCSCVGQTDISWVTYFWNSVEFELTYCLAVGGGMKIKADCDESSPYAAMLVSQDVALCCKVCMQTLFLRGV >ORGLA02G0004300.1 pep chromosome:AGI1.1:2:226767:229108:1 gene:ORGLA02G0004300 transcript:ORGLA02G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHHLFRFLAADDHPFFPTFPTSSSSFLLDDTHPFFFPPSSSCPLGFTSPPSSSCPLGFTSSYDLDAAFHHHLDLFLPTPTPPVTTSCPALRDPFLLHHSLAHRVSALELAAPRSKYTYEAESAGRKIKWTTHDKPDGDRTFKWEAQIDTPNDDGFDRKWKWESKASAAGATKLKWAKEVKGKGFLHPWSHAYSVEEVFGDDDHHHKADKTAENKVKQHNKDTSAKEEKKKTNKCNVQIVEIDDNTAGCVAINKAFASSYAKGKRKQLSPQDAALLIQMNYRAHLANRSQVLRCLRHLAVAKAKLKDIRSLFYNISYRCRISHDSEERQRFADKIITLLATVDALEGPDYMVRNAKRSMLEELEGMLEIVGPQSLGKPRTLSRRKFENHI >ORGLA02G0004200.1 pep chromosome:AGI1.1:2:223404:225550:1 gene:ORGLA02G0004200 transcript:ORGLA02G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-lactate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1NWD6] MSHKTTIDHFHFHFQERSTSPLAFHPVSEGGRSRSRSRSRSRDMKKASSLSELGFDAEGASSGFFRPVADGGSTPTSHRRRLTKISVIGAGNVGMAIAQTILTRDMADEIALVDAVPDKLRGEMLDLQHAAAFLPRVRLVSDTDLAVTRGSDLAIVTAGARQIPGESRLNLLQRNVALFRKIVPALAEHSPEALLLIVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLQVNAQDVQAYMVGEHGDSSVAIWSSMSVAGMPVLKSLRESHQSFDEEALEGIRRAVVDSAYEVISLKGYTSWAIGYSVASLAASLLRDQHRIHPVSVLASGFHGIPQDHEVFLSLPARLGRAGVLGVAEMELTEEEARRLRRSAKTLWENCQLLDL >ORGLA02G0004100.1 pep chromosome:AGI1.1:2:215633:221384:1 gene:ORGLA02G0004100 transcript:ORGLA02G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:I1NWD5] MSAAHLLRHSRKLRTLRDALDYDRSALVRYFSAASASFPTKGSAAAGVEKRIGGARFPQCKQPGKELETFKVSLGGLNGRYACRRAPNNCIPTTITGLNGSLSCGQVSSARSFSSSADLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEGDLEKFKDYKPSTSAAPAAPSEPKAQPEPAEPKVKETEPSRTPEPKAPKTEEASQPGGRIFSSPLARKLAEDNNVPLSSVMGTGPDGRILKADIEDYLASVAKGGKREALAAPVLSYTDVPNTQIRKVTANRLLSSKQTIPHYYLTVDARVDNLIKLRGELNPLQESSGGKKISINDLVIKAAALALRKVPQCNSSWMSDFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLGTIAEEVKQVAQRARDNSLKPEDYEGGTFTISNLGGPFGIKQFCAIINPPQSAILAIGTAEKRVIPGSVDGQYEFGSFMSATMSCDHRVIDGAIGAEFLKAFKGYIENPNSMLL >ORGLA02G0004000.1 pep chromosome:AGI1.1:2:207568:213789:1 gene:ORGLA02G0004000 transcript:ORGLA02G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFVADDQTDADFFDKLVDDDDDLSPAPAPAPVPAQQSAEAALLPALSDLSLADDDTDPSPAPPPVEAPPEGASPESGKGAVHTTVKQVQWASFGGAADDGADPFSDLSGGAADDGFLGTMAGNQSFQTSVIGSVGASAHGIFGGSQSLTAEVTDQDFFGGTSDQNTDTQQQQLEQSGSGAFDSTDPKYLESIYPGWKYDEVTQQWYQVDNTDTHGNATQVDTSTENIQQQQLAASYMHNPTQSSLETIAEEGTTTGSVSTWGQGGTSEYPPNMVFYAEYPGWYFDTNTQQWQSLESYQQAVTASAVQDGANNGVVASSAETNYSVKQTEDLPAHNQVAQHNSFSNNYSYQSQWQTNSFSNSMQPESATASLPDSFQSLGQHAISESFNSSTNSQVSFNTAETATSHYGNVNLDSSSTQGGYTASGGQQTGYKGFEPFTGHQAGYKGFEPSTGHQTSHKLFDPSAGNQNSYKPFEPSTGHHQHKGFEPSTNHQGDYKAFEPSTHNQDGYKGFSPSTVQQPGYKGFEASTGLQTNFKGFEPYSGQQAGYTGSLPSTGHQSSYMGFETSSNQGYGDANNVANSQGFAPMESMYGSHNQAHTNPQVPLSNSYLSADNSKNFSQQQFLGPNASHLQFGQSPHEERSSAGRPPHALVAFGFGGKLILMKETNSMATNYDSGNQGNSSGTVSVLNLSEVVTDKADALSASNGSALSYFHALCRQPVPGPLVGGSAASKDVNKWLDDMIALYESSTSEFQRGDPRKLLISLLKILCHHYGKLRSPFASDPSHEDTDGPEMAVTKLFSSCKRSSFQMGDFGSHVRCMKNIPSENQMQAVAQEVQNLLVSGRRKEALQCAQEGQLWGPAIILALQLGDKFYVDTVKKMAHCHFLSGSPLRTLCLLIAGQPADVFNADNNISSNYGSQQPMEPSPNGMLDDWEENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLVAELNIDPYSESARLCLLGADHLKCPRTFASPEAIQRTEIYEYAKVLGNSQYILLPFQPYKLIYAYMLAEVGRVADSLRYCQASMKVLKASGRAPELEAWKQLFSTLEDRIRTHQQGGYGTNLAPAKLVGKIFTSLDKSISRMMGTPSAPLPPLPQGAVSDRESYTAPGATKFANSQSVMTMSSLMPSASVQSMSEMSDSGRKIAHNRSVSEPDFGRTPKQGAGSDSTQSTAPGSGSSRFGWLGSTLQKTMGFVSKSHRQAKLGQQNKFYYDEKLKRWVEEGAEIPAEEPPLPPPPSKPSFQNGVVDYKLNGPMSASHTPNGFMEGKSSTSSEHGSGMPPIPPSQNQFSARGRMGVRSRYVDTFNKGGGGGAVPSYNKPAVASVTPPSGAKFFVPTAAVVAAEQMPNQTAETHGETFRPDERSSSPPAETSFSSPPPATQFSAPLMVPTIQRYPSMDNITTPNNGSGLSSGSNSSSFSRSRAASWSGTYSEQINAVAGARSPDQQTMPSPLMPGKQSHSRSNSNSSVQFNSLTEDLHEVEL >ORGLA02G0003900.1 pep chromosome:AGI1.1:2:202712:205014:1 gene:ORGLA02G0003900 transcript:ORGLA02G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G27790) TAIR;Acc:AT2G27790] MPREPAAVRVYTVCDESKYLIVRNVPSLGCGDDLANLFATYGPVDECTPMDAEDCDPYTDVFFIKFSQVSNARFAKRKLDESVFLGNRLQVSYAPQFESLLDTEEKLEVRRKEVLGRMKSSSGRPEGLSHHSPGQGSSAANSHRQMSSNKREYTKTLHASQFEDPRFTHVSSNKDYFPSESMNATVNLVREKLDKIQSSSDNSSAIVAPKKPRTDNRRRI >ORGLA02G0003800.1 pep chromosome:AGI1.1:2:193862:196560:1 gene:ORGLA02G0003800 transcript:ORGLA02G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGPSTTGDSPPPPPPPPESGGSISSMVASSAASAAAAAADFTRRGEAFGADMASAARAAMDTAIAHSQSTAIAAAEAASSAKADALAAFPSLTLIAKEEFEWIKKEYTVHEQVVFGKIKEGAIMAIEHPGIAAGSTAIAGIVLFKRPRSYLIQRVRRIFVSKETLLSGIQADVNHMRQTVNLVSNESQKLLDRAATAEKRFQKGWNTLREEGRAIQSELNQISDIEKQAVGLKSILNQLPRAHASEFRSEISGLASQVKKEKRVLNNTLTKIVNYGVPI >ORGLA02G0003700.1 pep chromosome:AGI1.1:2:189416:193175:1 gene:ORGLA02G0003700 transcript:ORGLA02G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MAAPPLLSLSQRLLFLSLSLPKPQLAPNPSSFSPTRAASTAPPPPEGAGPAAPSRGDRFLGTQLAAEAAARVLAPEDAERRRRRREKRKALARKPSAAACYGCGAPLQTADEAAPGYVHPATYDLKKRHHQLRTVLCGRCKLLSHGHMITAVGGHGGYPGGKQFVSADQLRDKLSYLRHEKALIIKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDLNCIGDWVVEAVVKKKLNVLSVHLTSSKSLVGVTGVISEIQQEKKGRDVYILGSANVGKSAFISAMLRTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIEAFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQSRLRARCFPANDTDVGLSGNSLFWGGLVRIDVVKALPRTRLTFYGPKKLKINMVPTTEADEFYEREVGVTLTPPAGKEKAEGWVGLQGVRELQIKYEESDRPACDIAISGLGWVAVEPLGVPSSNPDESAEEEDNESGELHLRVHVPKPVEIFVRPPLPVGKAASQWYRYQELTEEEEELRPKWHY >ORGLA02G0003600.1 pep chromosome:AGI1.1:2:186584:186823:-1 gene:ORGLA02G0003600 transcript:ORGLA02G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWYLVVARLATMAAWARGNFDAVVTMGCVGDGLDSELLLLRHHWTYAPSSPLQPPAVVVMGFNFFSAQHHDVPDRHQ >ORGLA02G0003500.1 pep chromosome:AGI1.1:2:171334:174099:-1 gene:ORGLA02G0003500 transcript:ORGLA02G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYGGVSEFQQYIMDPGAFAMSAPPQPAQAAAAAAAAAAAAAGGQELGAPFRYQPLHHHALPQHHHHHHPPPQMPPHLAHFGGAGGIPFTQQLLHQAAAAGHHPHLQLFHEQHHHQKHQQQPPPPARWAPQHHHHHHPHHHLGLDVEAAVPESSGAGAGSAASGAGAPPGVPPFLAAAMSFKLGVDGGGGSGATGGTDDALNDGGGAGSGMMLHGGGGGGGGGDDEAATESRLRRWPGDEETSIKEPTWRPLDIDYIHSSSSSKRAPPKDKPATPDSPAPPPPANYFKNKPDDNAAAASAASAGAVNYKLFSELEAIYKPGSGGAQTGSGSGLTGDDNAMLAPPMADLPDAAAADPPHLNTSETSAGEDAHAVVQPQPQTQQPSGADAARRKRKRRRQEQLSASASFFERLVQRLMEHQESLHRQFLDTMERRERERAARDEAWRRQEADKFAREAAARAQDRASAAARESAIIAYLEKISGETITLPPPAANPAPGADEQDGVGKEVVAYDGEGSLQLSSSRWPKHEVEALIRVRTGLEDRFQEPGLKGPLWEEVSARMAAAGYRRNAKRCKEKWENINKYFRKAKESGKKRPAHAKTCPYFDELDRLYSRSGSGGGGGSSSAGGNGGEEAKGSSELLDAVVKYPDVRCAPPGFPFDGEQNEEGRTKDDGDEAHHDGDGDGDEEDVGVGVGRATDDHDDQVDESHDDH >ORGLA02G0003400.1 pep chromosome:AGI1.1:2:159755:160986:1 gene:ORGLA02G0003400 transcript:ORGLA02G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding CMNLMPPAWLHASTLQLQYKLLQKIQIKKLAISIHRELAGGGNAMQAARARAGQGLQLCERGRDAQQ >ORGLA02G0003300.1 pep chromosome:AGI1.1:2:158195:158866:1 gene:ORGLA02G0003300 transcript:ORGLA02G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAANQAGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKREEQQRLDEEGAAIAEAVALHVLIGEDSDEPCHLMLNKHRRCNHWDHSAGFDFAVDAQGADIYPPDGLIQCADHVYAPKGRCIDWGIGQPLPSWGEVKDLQLQAPCYQGMFHQSVACPGFIAAQAVSSLQIGGDSSDITSPSQGATVVNRMLGATNRLNLYREI >ORGLA02G0003200.1 pep chromosome:AGI1.1:2:150886:156269:1 gene:ORGLA02G0003200 transcript:ORGLA02G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGVGGGGGVQQQETTTTVVQVAAEASRKKVEVRSAGRRGRREMRRIEDATSRQVTFSKRRSGLLKKAFELSVLCDAEVALIVFSPRGRLYQFASASSDLQRTIDRYLNHTKNSSAHEEGEESCVQKWRSEATTLGKKIEAIEGYKSKLLGEGLGSCSVQELQELEVQLEKSLCSIRQKKQKMLMDQILELREKEMNLLKENMVLRDQCKALSSPWSTSVGELKNKQADDDVHRHEDYSGGGVRDDDDRRMMEDVETDLVIGRPGSI >ORGLA02G0003100.1 pep chromosome:AGI1.1:2:148149:148394:1 gene:ORGLA02G0003100 transcript:ORGLA02G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGVATAAALAGAAAATSASAAVAAERICLWPPRGGGSVAGRRGEAGSAAYHRWDNDSTTPVASLRRPRRRRRSSAPTA >ORGLA02G0003000.1 pep chromosome:AGI1.1:2:139691:143929:-1 gene:ORGLA02G0003000 transcript:ORGLA02G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: RNA processing; LOCATED IN: intracellular; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: RNA-processing protein, HAT helix (InterPro:IPR00310 /.../ small nucleolar RNA-associated protein 6 (InterPro:IPR013949); Has 492 Blast hits to 480 proteins in 206 species: Archae - 0; Bacteria - 2; Metazoa - 128; Fungi - 191; Plants - 60; Viruses - 0; Other Eukaryotes - 111 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G28200) TAIR;Acc:AT4G28200] MADAVQYRLERMSDELDDLERRGLFTRAELAEVVRRRRDFEFRLRRRSPLRSDFLDYIAYELRLDALRDLRKRAIIRATPDTTDHDADATDNDSSKKKKKKRNKGKAKKWKKSVSDIAGVLRVLDIYRMATVRYKGDLDLWFRYLEFCRDKRHGRMKQALAQAIRYHPKVPGLWIYAAAWEFDQNLNVAAARALMQTGLRSCPESEDMWIEYLRMELTYLNKLKARKVALGEDVKTLQKKNNDASTWKEENKELFMSLNEQDEGPEESGSQGVALEGKEDLFWQQGLLIIQTIYHGATKALPSSLTLRKKFLEILNSVDLAHSDELKVEVMDDLKKEFSDSEDYWDWLARLQLSDSTSSSNLNRMEALSNKLNKSIQVYDEAVRRLPTSRMYSLYAKFWMGVLFADTEDSISLFHGGEFDASGFTSCILKVYLNAESSGCLTEDLACQYVSLYLRLGGLEEAPGRLEEARNLAKKLCNGPLSHAADLWNLRASIEMKSLATSTGISSFSKENLSSLFDLFNVALPKLPIPEAEELWHTAMKLFSHEKVYFEKLVKCAMLSLSSAGGNDSGASVSSAIVGWILQRDGIKQARKMYKRFLALPRPSFKFFQYCIELETNLASIGNQDSLVNARKLYDAALDLYPQERELWRNYYNMELKLGTSETANAIYWRARKVLGDSSALDIPHS >ORGLA02G0002900.1 pep chromosome:AGI1.1:2:135794:137089:-1 gene:ORGLA02G0002900 transcript:ORGLA02G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase [Source:UniProtKB/TrEMBL;Acc:I1NWC3] MAAVNTVSSLPCSKAGAAVAGGAPRPSTCSVFYPPRCWSKRSSGNGVRAQASTTETTAAPAAEVTTKVEKVSKKQVDGVVTNKYRPKEPYTGRCLLNTRITGDDAPGETWHMVFSTDGEIPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSAIGDFADSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGSDVKITGPVGKEMLMPKDPNATIIMLGTGTGIAPFRSFLWKMFFEEHDDYKFNGLAWLFLGVPTSSTLLYREEFERMKEIAPERFRLDFAVSREQTNAAGEKMYIQTRMAEYKDELWELLKKDNTYVYMCGLKGMEKGIDDIMIDLAAKDGTVLVLLLISISIRFLITFFLALYTVCRHRLA >ORGLA02G0002800.1 pep chromosome:AGI1.1:2:132387:135245:-1 gene:ORGLA02G0002800 transcript:ORGLA02G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMEIPSGVTVHVAAKVVTVEGPRGKLTRNFKHLNLDFQLLEVEGVRKLQVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGTITEDQ >ORGLA02G0002700.1 pep chromosome:AGI1.1:2:127789:130931:-1 gene:ORGLA02G0002700 transcript:ORGLA02G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1NWC1] MNWVEFLKPVVAMLVFDTLFALMTALVKKALADGLNHVVFITLRQFVAAVLLAPIAYFKERNTRPRFTTEIFAYMFMSALLGGLCAQYLFFLGLSYTTATLTATFSNMTPVFTFLIAIPLQLETVDVRSKAGLAKVIGTLMSVGGATLLGLYKGAALTHTTSSVQEHGAKGITSNSSSISKERWMLGSVLLVLNCISFSLWMLLQGKLTKKYPAVFSSTAFMTSFSSMQAGVVALTTQRRLSVWLIRGNIQIIAVVFAGVGVSGIGYVLMTWCIEKKGPVFTAGFMPLIQIMAALIDLFFLHEQIFLGSAIGAALVIGGLYLLLWGKSKEASATALLAKAVEQDGEKKEILEA >ORGLA02G0002600.1 pep chromosome:AGI1.1:2:124030:125603:-1 gene:ORGLA02G0002600 transcript:ORGLA02G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1NWC0] LQAEECRTVMTMLVFDLISAVMTALVKKALEQGLNRLVLITLRQLVATLFLSPIAYFKERNTRPKMTWEIFVYLFFSALLGAGLSQYSFFYGLQYTTATYAITFANLSPVLTFLIAIALGVESLNMKSMAGGAKVLGTLTSMAGVLLLSLYKGVALTNHPSAAAAMDASAGGGHGGSVMVKNNKQWTLGTVMLLGNCLCFSLWLLLQGKLTKKYPAIYSCTAIMFFISTLQGGALTLATERLTASAWTLTNKVEIVTVIYSGVMASGVGYLIMTWCVGKRGPVFTAAFIPVIQIMVAFIDFFFLHEQLHLGSVLGSVLMILGLYLLLWGKKKDAAAASSVVVVVCCPEPKHLPVDDEEAPNTIKAQQQPPSPLKL >ORGLA02G0002500.1 pep chromosome:AGI1.1:2:120468:121085:-1 gene:ORGLA02G0002500 transcript:ORGLA02G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRFDPMESRSSRSVVMMCCVLMLMIAAAAHAGEQEAEECASPMSIEEACRGASETHHAVAYDHCVASLTADPRSSSSEARSLHGLAMLATRMAIDHATSTVCKMDDLAELEPEDSSSPSDARARFEHCLEQYGGAADLLRDALDNLKVRIYGTAMEQLSAALGAAESCEDAWKGDEGNIPIAAHDREYGRMAHIAIGFTHAAA >ORGLA02G0002400.1 pep chromosome:AGI1.1:2:117406:117951:-1 gene:ORGLA02G0002400 transcript:ORGLA02G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCGSVCFLFVIMVIASSPAAVAAAGEDIVEETCGQCSRSNPNVNYTLCVSSLSGYPGADLHGLALFSTMPLRSALATIASEARVLRDRAPPGSPPRSCLDSCLEVFRDAAYNLGNAVAAIESWRYGDAKTAMSATTDAPVTCEDEFKGQGMATPPAIKARTKPLFQHGVISLAIISLL >ORGLA02G0002300.1 pep chromosome:AGI1.1:2:115610:116080:-1 gene:ORGLA02G0002300 transcript:ORGLA02G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSYLTCNLVTRQRQRGGVEEDEYDGRRQPQTATCCTALQRGVTADGLLAQPRYAPVRTPQSALLLLDPPPPAPMTPTSSSSNSNHDDDDEKKKKQPMLQQQKQVRKCKSTVEEASASQLMECKGGGPPPRLRRSGGVRRDWSFEDLRANNTAA >ORGLA02G0002200.1 pep chromosome:AGI1.1:2:111341:115081:1 gene:ORGLA02G0002200 transcript:ORGLA02G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFGSTSACGIKITPTAFATKKHLCLVPPPVVSLPRKNRPQRKCNFRVNAAKELYFNKDGLAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMITEGVKVVAAGANPVQITRGIEKTAKALVSELQKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMNKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKLLLVDKKINNARDLITILEDAIRGGYPILIVTEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIREEVGLSLDKADRDVLGTAAKVVVTKDSTTIVGDGTTQDEVNKRVTQIKNQIEAAEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIETLENDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVTEKVLANDNFRYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKEPEKAAAAGNPMGGSGFGF >ORGLA02G0002100.1 pep chromosome:AGI1.1:2:104480:104779:1 gene:ORGLA02G0002100 transcript:ORGLA02G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCEVRRGCSSLPRSTPPPIPHSPLAQVGAKGEVRQSASQPPRPLHGRGDTCARLQRSMPPDTIRARSLRQNFCRRRDAWLIGSFSLRCNPFICQESSL >ORGLA02G0002000.1 pep chromosome:AGI1.1:2:98797:103348:1 gene:ORGLA02G0002000 transcript:ORGLA02G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELVPLKSLLIDGNCRVEDRGLKTHHGQMVYVLCVYNKKEKEHQITMGAYDIEDALAWKKNIELIIDQQENMTSKNRKAFASMDFDTELGGQFIFSDHDSAAEDEEERPMLIRRTTIGNGPPESIHDWTKEHDIGPPNQIDPIQVSSKKNWRLLRCQNGLRIFEELLEFDYLARSCSRAMRAVGVVEATCEAIFGLVMSMDVTRYEWDCSFRYGSLVEEVDGHTAILYHKLQLHWCPMLVWPRDLCYVRYWRRNDDGSYVVLFRSTEHPNCGRQKGYVRAFIESGGFKISPLKCRNGRPRTQVQHLMQIDLRGWLLNYSPSFQYHSLLQIQNCVAGLREYFSQTDETHITPRIPVMENMVDTSAVQKDDKKSTEEVDSKTKTPDRGQADSKNMGIIDEETDEDEDYQVPEANIEEDPNKDAKRADEPPEKIDLSCFSGILRCDADEKSRNCWTVPDSKLFKVRSKNFPHDKSKIPAASYLMELAAIDWFKDSKRMDNVGRQKGCVAQVAAEKGMHTFVANIQIPGSTHYSLVMYFVTKSLKKGSLLQRFFDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYVRGAGYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYEELPEQVIGAARLAHVEPAAAIVPQDLTPPPPALADDDNAAASSSEDDHLSKKTN >ORGLA02G0001900.1 pep chromosome:AGI1.1:2:93013:95279:-1 gene:ORGLA02G0001900 transcript:ORGLA02G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLDNITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGGAPPVRR >ORGLA02G0001800.1 pep chromosome:AGI1.1:2:90828:92228:1 gene:ORGLA02G0001800 transcript:ORGLA02G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFRSSLSMSRARTRHGDGDDRGWNQLHVASRKGDLNQVRRLLDDGMDVNAPAWGPKSPGATPLHLAAQGGHVKIMDELLERGANIDARTKGACGWTPLHIAAKERNKRAVRFLIENGAFLPPDMNDHRFNPPLHYCSGLEWAYEMKRMQDESDSTGETSLSSES >ORGLA02G0001700.1 pep chromosome:AGI1.1:2:84936:87475:-1 gene:ORGLA02G0001700 transcript:ORGLA02G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 family protein [Source:Projected from Arabidopsis thaliana (AT1G64880) TAIR;Acc:AT1G64880] MASSAAARSRAAASAAWARLLSLRPSPPVSSTHHLTLRIASPRRHFAFSANASSGSSRLKQIQSERVIHDLFAELQRERQRDRQEEDEDEGEDEDYLGVKPLIEKLERRRAKEEAAPDDSFWEPTDSDSDEEDERYTPDAIKRRVDEFERKCNRHSELLRSFAEAETLDDAHKWMTKIDRFEERHLKLPLEYRVIGDMMNRLKDATGKDRFLLLQKLNRAVRIMECREAYDPSNPSNFGVIQHQQVGSPEDLVLNAGFDREKQMIQGAGDDDDDEEFNEDKEKDDLLIEKLNSIEKKIEEKLAELDHTFGKKGRVLEEEIKNLVEERNSLTEHKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKFTALLATGNYHGVVGFAKARGPTAKIAIQRAYEKCFQDLHYMERYEDHTIAHAIQAKYEKTKIYLWPGPMRSGMSAAGRTVETVLYLAGFSNVKSKIIGSRNPLNVIKALFIALNAIETPKDVEQKFGRTVVESYLL >ORGLA02G0001600.1 pep chromosome:AGI1.1:2:82206:84272:1 gene:ORGLA02G0001600 transcript:ORGLA02G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPYETSAAGSESPVPVVTVDVAAASDLITSAGHRYVDVRTEEEMNKGHLRNSLNVPFMFVTPQGREKNPLFVEQFSSLVSKEEHVVVGCQSGKRSELACVDLLEAGFKNVKNMGGGYAAWLDNGFPINTPPHTMY >ORGLA02G0001500.1 pep chromosome:AGI1.1:2:76451:78293:1 gene:ORGLA02G0001500 transcript:ORGLA02G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSRTLPPRIHDGVVVVERDVRRYQQLPQQVEMEMTTTKRQQDHQVETMTTKKIDEEDEEVDDDGRAKRRGTVWTAASHIITAVIGSGVLSLAWAIAQLGWVVGPTVMLLFAAVIYFTSNLLADCYRTGDPATGRRNYTYMDAVKANLGGAKVKVCGCIQYLNLLGVAIGYTIAASISMMAIQRSNCFHARGEQDPCHASSNVYMIMFGIVQVFFSQIPDFDQVWWLSILAAVMSFTYSAVGLALGAAQVAQNRTFAGSAMGVAVGFVTKTGDVVTPAQKVWRNLQALGDIAFAYSYSIILIEIQDTLRSPPAEARTMRKATGISVVVTSVFYLLCGCMGYAAFGDDAPGNLLTGFGFYKPYWLLDVANMAIVVHLVGAYQVYCQPLFAFVERRAERRWPNGLPGGDYDLGWIKVSVFRLAWRTCFVAVTTVVAMLLPFFNDVVGILGALGFWPLTVYFPVEMYIAHRRIRRWTTTWVGLQALSLACLLVSLAAAVGSIAGVLLDLKSYRPFRSTY >ORGLA02G0001400.1 pep chromosome:AGI1.1:2:70290:71168:-1 gene:ORGLA02G0001400 transcript:ORGLA02G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASAFVDDDDDDDRRRRIIGVSASHIVSLTSSTYGILDNILVSSAQSQSQSPTRIPPPPTPPPRPTTTTNPPCAAPPEKHLKKQGEAEVINSWELMAGLLDPATPQKPRRPTHHSPPPAPPAGVLLYTTTLRGVRATFEACNAVRAALHSHGVAFRERDISMDRGFREELRHRISLDHHDRGPLVPRLFVRGNHVGGAAEVARLEEEGKLAALLEGLPRARPGGGCCDGCGGMRFLPCFDCNGSRKLCFSLPTPVPAAAAARSNKTRAVVVVRCGECNENGLVLCPICS >ORGLA02G0001300.1 pep chromosome:AGI1.1:2:68324:69741:1 gene:ORGLA02G0001300 transcript:ORGLA02G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRWYVQAVALLVVLKAAAGSAAPPTTTRSRSSSTVVAGMVFCDQCKDGARGLFDYPLYGARVAIECGGGESPVTVRECNTNWFGGFSVRMEGTPEMNRCTARVVQATGHCGAAIPTAPRDLTLAFRMLGLALYTVPPLLSQPLRPMDFCPSPLTSPSPPALALAPSPIPTPIIAPPPVSSPAPPLPPLWRRRPRRLPPIWRPTPPSLPVDTMPPPPPPPPPQPQGSACTFDKWADVGLHGCNWKVVTPNTTVAMAFGPAAAQRYGPDMTLREALDGRGDMYRTLLREATAALLNAYYNPSGSGFLYPTTASVIDHINAALLTPTLHKLLLEGARFRRANSDSNLPCHLTPCN >ORGLA02G0001200.1 pep chromosome:AGI1.1:2:55866:65399:-1 gene:ORGLA02G0001200 transcript:ORGLA02G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSTKPPNPKVEMNLKEPPITGAGAGAAASPPAPSTLRRNPPRSARPPPTPLPNSKPSQISRLLEEAAERLKVFLRIRPLPLPERKGKAKSPTNPKQVCLVANSPNSVALTVPHSKLLDPKRGRTEVFDGFSSVFSPDSSQHDVFSQVMNPLVDDFLLGGKSGLLVAMGPTGSGKTHTVFGSPRNPGLVPLTLRRIFSPTTHEPFSKLRSFCFSMFEILSEGKGERILDLLSDATDLVLQQSTIKGLKEVSVENFADAEALLLSGMLKRTTAATNANSKSSRSQCIITIRAVHKSSDAESENSLNNAVLTIADLAGAERERRTGNQGTRLLESNFINNTSMVFGLCLRSLLEHQKNKKKPLEKHFKNSMLTRYLRDYLEGRKKMTLILNVKPGDDDYLDTSFLLRQASPYMKIKYTNLEDSSELVSQKRSSASLICQENTKKRKIHKVAGKDDIDKDDGVTISEKDESQYKLLNSELRRVSRNEEIMTNFARALWTVLKQYKQKLLESENAVESTRELLRSKDIKIMELEKKLKVLSCSCKKFPAVENTFVEQNNDVSSGQVAQSFVSLSSQTDLVSIDSALNKSLAVEEVSEESTGHGPERSSDYDDKTGTGGSDVCDTSIIKLIAEEELCSGDCKPEKASSSDAFIPEHDVEKENIGIVVQVLDKKLDRSESCSDGGGVTHSSSSLDHPSDQSFTDTCLQNESANLSPQFIGASKKSPIEQSEEEREEIHNITTEGIQQNVHTRGVKHHSTPSCSQEVNSGSLHVSSSQLQGMGALQQDPQSERCKPTVEITIVEYGCAQPPHVVDDHGGMYPCTLNGKSSPRKAPISPTKDNQAEKLTDKIEDLSASKPCNRKNTRRRLQPVSAMMLKEFTGPDIFVDTRKEEKVKSSRDAMGRSDKLIRLLTDHPPRARGRAQ >ORGLA02G0001100.1 pep chromosome:AGI1.1:2:44768:54059:-1 gene:ORGLA02G0001100 transcript:ORGLA02G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat ;HECT-domain (ubiquitin-transferase) [Source:Projected from Arabidopsis thaliana (AT4G38600) TAIR;Acc:AT4G38600] MDPSPSSRRRARASASDKGKDPDPSSDPSPPSAPDDDDAVAPFPHSFTSASTALQGLLRRLGAGLDDLLPSSAAAASSSSTSAQLKRILSGLQSDGDESRQLQSLMQLCEMLSIGTEESLAAFPVDAFVPILVGLLGPGEDGAGGASPDVMLLAARALANLVDVLPSSCSAVVHYGAIPCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQVLEHASVCLTRVAEAFASYPEKLDDLCNHGLVAQAASLVSISNSAGQASLSTSTYTGLIRLLSTCASGSLLAAKTLLLLGISGTLKDILSGSGLVAGASVSPALSRPAEQMFEIVSLADDLLPHLPSGIISLPAYFHVLVKGSSSKKSASTKQDGTSSTENERSGHERLLREHPELLKQFGMDLLPIMTQNMSGFLYLMASVLGQVYGSSVNAPIRHKCLSIIGKLMYYSSAEMIQSLLGTTNISSFLAGILAWKDPQVLIPALQIAEIMMEKLPETFSKLFVREGVVHAVESLICPESSDMVPSQVLPHDKDNESVMPSRSRRQRRRGAAAPTENSSLDESNTSNPGIASSTPCSTEVQNTSLRFAVSDRAKLFKDKYFPSDHESRDLGVTDDLLKLRTLCAKLNSASENVVTKAKGKSKALSSTHFDISHDVEAQFELIITQILTELSKTNGVSTFEFIRSGVVAALLDYLSCGTFGKERVSEANLPKLRQQALRRYKSFISVALSIDHERNETPMAFLVQKLQSALCSLERFPVVLSQSSRIGIGGSRLTSGLSALAQPFKLRLCRAQGEKSLRDYSSNIVLIDPFASLAAVEEFLWPRVQRSEAASKPTVPSGNNSESGIPGTAAGASSTAAPAPSGRRPTTRSKSSAASSGASKKDSQEESTNTAKGKGKAVAKPNSEEPKGPNTRNATRRKAASEKDLEMKRAHGDSSSEDEELDTSPIEIDDALMIDDDDMSEDEEDDHEVLQDGSLPICVQDGVHDVKLGDTDDSNIGSASDSQVQPSSGSSTRNIMSRGVDPNTFGSRGAMSFVAATMAGLASVGGRGVRGSRDRRGLSLGGSINEHNKLIFMAGGKQLSKHLTVYQALQRQLMFEEDDDEKFNGSDLSNDGNRFWGDVFTITYQKADSQAEKVSQGGSTSLNSKSDPSRSISELKGVSLLDSILQAELPCDLERTNSTYNILALLRVLEGLNQLSPRLRVHAASDDFAEGKITILDELYRTGAKVPSEEFVNSKLTPKLARQMQDVLALCSGSLPSWCYQMTKACPFLFPFETRRQYFYSTAFGLSRALNRLQQQQGDNQNAGGEREIRFGRLQRQKVRVSRNRILDSAAKVMEMFSSQRAVLEVEYFGEVGTGLGPTLEFYTLLSHELQSVRLGLWRSSSPSDTGMQIDRSASPDDDLAAKELSSDLPDNGSHLIQAPFGLFPRPWPLTVDASEGSRFSKVIEHFRLVGRVMAKVLQDGRLLDLPLSTALYKLILGQELDLFDIISFDAEFGKTLQELQILVERKRFLESTYGMNQLEVTDLRFRGTPIEDLCLDFTLPGYPDYILKEGEENTIVNIYNLEEYVTLVVDATVKSGIMRQVEAFRSGFNQVFDISSLKIFSPEELDYLICGRREIWEPDSLVDNIKFDHGYTAKSPAIVNLLEIMAEFTPEQQHAFCQFVTGAPRLPPGGLAALNPKLTIVRKHPSSAVNTSNIAGVTESADDDLPSVMTCANYLKLPPYSTKEVMRKKLLYAILEGRGSFDLS >ORGLA02G0001000.1 pep chromosome:AGI1.1:2:42870:43175:-1 gene:ORGLA02G0001000 transcript:ORGLA02G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGEKKMITLKSSDGEEFEVEAVGMESQTIRHMIEDKCADNGIPLPNVNSKILSKVIEYCNKHVHASADDSTSSADLKNWTPTSSRSTRPPSSTSSW >ORGLA02G0000900.1 pep chromosome:AGI1.1:2:37656:40333:-1 gene:ORGLA02G0000900 transcript:ORGLA02G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxypyruvate reductase [Source:Projected from Arabidopsis thaliana (AT1G68010) TAIR;Acc:AT1G68010] MAKPISIEVWNPSGKYRVVSTKSMPGTRWIRLLADNDCRLEGESIHSLLQICTETKTILSVDDILALIGDRCDGVIGQVQLTEEWGEVLFSALKRAGGTAFSNMAVGYNNVDVEAANRNGIAVGNTPGVLTETTAELAASLSLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMIEGFKMNLIYYDLYQSTRLEKFVTAYGQFLKANGEQPVTWKRAATMEDVLREADVISLHPVLDKTTYHLINPERLAIMKKEAVLVNASRGPVIDEVALVEHLKANPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWGNPNLVEPFLKEDATPPAACPSIVNAKQLGRYYYVPLVNSYSLPS >ORGLA02G0000800.1 pep chromosome:AGI1.1:2:35741:36933:1 gene:ORGLA02G0000800 transcript:ORGLA02G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGGGSSAAAALVVMVLWAAVPVVVVQAASSPALIVFGDSIVDPGNNNGINTIIKANFPPYGHDFHNHTPTGRFCNGRIPTDFIASRLGLKELLPPYLSPELSTEELLTGVSFASGGTGFDPLTPRLASVISMPDQLLLFQQYKERVRGAAGDARVADMMTRGIFAICAGSDDVANTYFTMRARPGYDHASYAALLVHHAAAFVDELVKAGARKVAIIGMPPIGCVPSQRTMSGGMERRCSEGHNQIAVAYNAGMKRRMEEMQAKKKSTKTKLVFMDIYGFLMDMMMRPRAYGFSDSTMGCCGTGLLEVSVLCNALTSSVCTPVSDYLFWDSYHPTEKAYSILTDFVYDNYVKKLLLD >ORGLA02G0000700.1 pep chromosome:AGI1.1:2:30556:30903:-1 gene:ORGLA02G0000700 transcript:ORGLA02G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTATPVEEEDSAPPPPPLPRARSSTAGAFSELSHGKKGTRGRGRRKRRGRRRAVRARGSVASQWGQLLLRCSICSCSAASSASALRSRRASSSSRTRVAAPASRSRISPSAA >ORGLA02G0000600.1 pep chromosome:AGI1.1:2:21846:26793:1 gene:ORGLA02G0000600 transcript:ORGLA02G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMALRRAVGIGSAAALRSAAYLRRASPSPARPHPLVPPPPAARTFAAPPQVMKRSTKDDDDDGPRINNDITSPFVRLVTDQGHSVVPRHEALQLAARMDLDLVEVHRKSDPPVCKIMDFHKEKYKKDVKEKERLKTKSAIVLRGGENKEVRFKGKTELKDLKVKADGITRLMERGYRVKCMAMPSGNEEEDLGGPLSRLLGLIQDVCIVESGPHLDSKHAYVIVRHVKFATKKAGKKASKAIEDVGKGARKNASELSTVTADSGDETTDCGNGAISDQMDNAPAYVSNEFSMQKDAHDRGSRRELSWSKSNPGNYGENMQNVDAGAHRISSSQRAAQTSEGGFGSNNVKSGMEKQEKANEDVVPAETNRYASRRQQIRGDNQGLSQDRSPQGHRRNENEVRYPVNDYQRPLQQNNRQSPRFNDGRLPQEPRRNERGGHIPLNNKQGQFQQMNHPAESAGNGAGYPTPTAKSFGVFSTRKPATSELGKTNGASRTANSDVPKSYGIFSSPRRESGDKSS >ORGLA02G0000500.1 pep chromosome:AGI1.1:2:13298:18347:-1 gene:ORGLA02G0000500 transcript:ORGLA02G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHEMNNSSSRYASEEERGSNSFLIESEEEDEEAHPHSSILLKDADSDSDSDSDDSSCATPRPSSYATHQWPQSYRQSIDIYSSVQSPNLSFLGTPTLSRLSNSFLTNSFRGKTPEILSNLVKPLLRPSSSSDDQQQQQQHDDDTRKSSQYLLPSRKPSLQQIPEDQKPLVPAHEVPAYQQCSYTQAVMNGINVLCGVGILSTPYAIKQGGWLGLVILCLFAVLAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTTGRIAISIILYVELYACCIEYLILESDNLSKLFPNAHLTIGSMTLNSHVFFAILTTLIVMPTTWLRDLSCLSYLSAGGVIASILVVVCLCWVGVVDHVGFENKGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKNRNQFPSILFTCIGLSSILFAGAAVMGYKMFGESTESQFTLNLPENLVVSKVAVWTTVANPITKYALTITPLAMSLEELLPPNQQKYANIIMLRSSLVVSTLLIALSVPFFALTGLVMALVGSLLTMLVTYILPCACFLAILKRKVTWHQIAACSFIIVVGVCCACVGTYSSLSKIIHNYT >ORGLA02G0000400.1 pep chromosome:AGI1.1:2:11218:11601:-1 gene:ORGLA02G0000400 transcript:ORGLA02G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSGSSRREEAWRQQQLILQAKKARSYPCGFCRREFRSAQALGGHMNVHRRDRARLRLTHQPDAALIASPSTKSTPPLLPNLNYPPPPPHDGASSSSPPHLPRPPADADADADAGLDLELRLAFC >ORGLA02G0000300.1 pep chromosome:AGI1.1:2:5557:6510:-1 gene:ORGLA02G0000300 transcript:ORGLA02G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALWVGWGRLPPPLPLVFPKSREVGQNPSQTSPQIPHPTYAYGLESMNHNGDVPSSRCPKHPSQPPFTGFCSACLLERLSTAPARCFPSPSPVAAAAEISTEIPQPRVRTTLLYLFQLDDDQEDQGQQVRVDQEDEQGRQLQRKRSLRQSCEWIVCCDATADSRQSWDGSADAPPPNSNPIIRARGFVTRPAQMLRRSLSESWRHARSRPAVPTNAASVSSAGMDSEISLGGDSSSIHAAAAAPRQSSLFKRLYRLGRSRSVHCSSPQIRSLDTGTLRFHLTPLTRNSSTSTRLSIANKIQGRRLNLFDFFANQRQQL >ORGLA02G0000200.1 pep chromosome:AGI1.1:2:5154:5486:-1 gene:ORGLA02G0000200 transcript:ORGLA02G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPTLYMVHTASLLMRATWICQSPCTKLLKAAGHVYIIVVVIVNCESLSLYISPLMTMFELPCLTTPATQFAFGSVIIGNCKVACSLITDSANDLSHFFCTMYAIIIVITE >ORGLA02G0000100.1 pep chromosome:AGI1.1:2:1390:3622:1 gene:ORGLA02G0000100 transcript:ORGLA02G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQRTLYVPTITNYTQVWWVPNVVVAHEKEGIEAVHLASGRTICKLHLTEGGLHADINGDGVLDHVQVVGANGIEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNNFNLFHHGDFSRSFGRTFDTTGLEVATPILLQRDDGHKHRRGSHGDIIFLTSRGEVTSYSPGLLGHDAIWRWQLSTGATWSNLPSPSGMMENIVVPTLKAFSLRAYDPKQVIIAGGDLEAVVISPSGGLLASIELPAPPTHALVLEDFNGDGLTDIILVTSGGVYGFVQTRHPGALFFSTLVGCLIVVIGVIFVSLHLNSSNSGKPRASTDYR >ORGLA01G0396800.1 pep chromosome:AGI1.1:1:32609733:32613000:-1 gene:ORGLA01G0396800 transcript:ORGLA01G0396800.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLYPILLHSFFSLCTLFQLSPSDLPCMTYYFMLYAQSVLVEPTSGNTGIGLAFIAASRGYKLILTMPASMSMERRVLLKAFGAELVLTDAAKGMKGAVDKATEILNKTPDAYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDVFIGGIGTGGTISGAGRFLKEKNPGIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDSEVLDEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAIKVAKRPENAGKLVVVVFPSFGERYLSSILFQSIREECEKLQPEP >ORGLA01G0396700.1 pep chromosome:AGI1.1:1:32603530:32607135:1 gene:ORGLA01G0396700 transcript:ORGLA01G0396700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFAAVFFSSAFAACFAEVCTIPLDTAKVRLQLQKKAALATGGGGGTTGGMLGTIMCIAREEGVAALWNGIIPGLHRQCVYGGLRIALYEPVKAFFIRDGDTVAGGVSLFAKILAALMTGVIAIVVANPTDLVKVRLQADGKATTVKRHYSGALNAYATIIRQEGIGALWTGLGPNIARNAIINATELASYDQLKQMFLKLPGFTDNVFTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDATYKSTLDCFAKTLKNDGLPAFYKGFIANFCRIGSWNVIMFLTLEQVRRSIL >ORGLA01G0396600.1 pep chromosome:AGI1.1:1:32595267:32596085:1 gene:ORGLA01G0396600 transcript:ORGLA01G0396600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQELEDLYLGLGVPDESVDLTFKDLPSASAAGEKQNDLLAVRSSTNIFAYKLQQDEEEHRPSAEAPAGLRLSPTTTSSTVVADRRVGANYNYKRRRPGIPHSNICALCNSYIYLFRHRCLVCGRVYCRRCVGAGMGDMTEGRKCIDCLGRRYSHRYIHRAGTNLTPSAACCTCAFWGYYPDAQAIKLQELVWAEKGPAPRRRPRQSSSASISTSTSTSYTGTSSASINMTTMISLNNGFHSTRPVLASASTTPTSSSFLVSSSNPHAFPL >ORGLA01G0396500.1 pep chromosome:AGI1.1:1:32580857:32593360:-1 gene:ORGLA01G0396500 transcript:ORGLA01G0396500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT/U-box domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G58410) TAIR;Acc:AT5G58410] MGKHKGRAASSGLAASLLPHAQGAVPIVGFGGYHGASRVEPAAPSSSTDPDASILLPPDVDSEVLQHLRRLGRKDPTTKLKALSTLSMLFAQKPGQEVVQIVPQWAFEYKRLLLDYNREVRRATHDTMSSLVKTVKKGLAPHLKALMGPWWFSQFDPALEVAQAARHSFEAAFPQSDKRLDALMLCVKETFLHLNENLKLTTQALSDKATPMDELEDMHQRVISSSLLAMATLIDILLGVKLQNCVRDNSSSENTSLSKVLSGTLSSAESAFSMNKYFLDFLKSKSAIIRSATYSLLASYIKHVSHVFNEEAMKVLSPALLGAFNEKDPSCHSSMWDAFLAFSRRFPEAWSYCNIHKVVFSRFWHFLQNGCYGSKQASYPLLVQFLESIPSKAVTTEQFVFDFLHNLWAGRNQRQLSAADSLAFFTTFKQSFLWLLKVLPRHSGGGSSDDIPIKLITYFLAKVVWHDYLPIPSSKNQDISLSGLSDEAISGDCQLSHKESLLASSTRYPTYYLQDLGKCIIEILDEISAMENHLLNIACETLLKDCLDIIHQRESLPNFQYHVEQVVSFFISLDQLIVQKGKTWPLESLARPLIEQSVPAIKSMDTPIVVKLLSVLVEIFRPVPLFLKNSQKESEESVQGYLDVFNGDFVPWCLDRKYSTCSSKIDLLLSLIIDECFFDQWCTIIKYTSAKQKHPVDNKNSHVDDQFELLTLILQKVRERIAGGKLRNLQKNGSLPEHWRHDLLDSAAESVFCDLPATDSHVHYVCAALGGSDQDDQICFLSADTVHKMLGSILKCLTSVLMTSTFEWARFAYVVLLPTEPKDSKVIGAQSFSSNIKMAHFAFKVLEGSLFALRRLEEDSLFPSVLAALFIIEWEYSMALTLDEEHDLKGYKEDIDVGSSACNSSDDHLDEGIHLKANLAESIHTFCQSLSPSFWSDLHPFTLNNLLNILAQSVRCALFQTLELPTESTSVLCSEWMVNMLKLISLDHTKLQSFFYLLLSEGEYWPLWVKPSLQNENAPVKIKFEPVITNETGLKHHQFVAFVDKLVLNLGFGEVILGVPGNTCYNTSQSIDTTSTVPSLSRAWVAAEILCTWKWKGGSVFSTFLPSMIQHLKMESCAEVSILSILLDTLLEGAFHECNQWVLFNAWHISDNEIEKIQDHFLRALVALLFSINSINECIWRESEALVFFEKLLSNLFIGSTVNRKCVKTLPFVMSTIIKPLSGKLKLNEASCYTDLVGQNILSWLDVAISCLSSSPREVLQQDIVDWMQVVLSCFPLNITCGTQKLEVKIEREISDTERSLLLTLFQKYKIFSAIEAPSLSTSGTTLSTMVELLGVKLIAVMVGYCWTELQENDLHFVFHTVQKWIESAVLLVEEMTDAINDAVINKKSNEDTLEKLKLVVSSIDELTLSFGEFALVTLCHLNHLVDIQETENFQSLQIIKSGYFADRNNNMMESMLRLFLASGVSEAIAKSSCEEASSIIASSRVAYMHFWELVASFVIYASPQTRRCALESMELWGLAKGSVSGLYSILFSSQPISHLQFAAYSLLLSEPLCQFSLIKECSLGSNRPLTQESCMGQSIELMPDSEKTLDLREELSSLIEMPTSELLQTDLLAHDRVDAFIAWSLLLSHLQLLPPASITRERVLQYIQDKISPCILDCIFQHIPLRTGAPCGKKKDAELMPEAEVAAQASKNAIITCSLLPCIESLWPVGTWQMASLAGGLYGMMIRLLPSYVRTWFTSLRDRSLSSSIESFTRAWCSPPLLLDEFSQVKDSLYADDNFSVSVNRSAYEIVATYKKEETGIDLVIRLPSCYPLRHVDVECTRSLGISEVKCRKWLLSLTAFVRNQNGAIAEAIHTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >ORGLA01G0396400.1 pep chromosome:AGI1.1:1:32574627:32577065:1 gene:ORGLA01G0396400 transcript:ORGLA01G0396400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT3G16610) TAIR;Acc:AT3G16610] MRHRSLAAAASASAPPPQMPPSFHFHWRHHAARRLSSTTACLHPPPLQPPRASASASASLLLQLQDHIASGHLSRAHHLFDQIPSPDVRTYNDLIRAYSSSPTAAADGLHLYRRMLRHRVAPNNYTFPFALKACSALVDHHCGRAIHRHAIHAGLQADLFVSTALLDMYVKCACLPDAAHIFATMPARDLVAWNAMLAGYAHHGMYHHAVAHLLSMQMQMHRLRPNASTLVALLPLLAQQGALAQGTSVHAYCIRACLHPNRNSKSKLTDGVLLGTALLDMYAKCGSLLYARRVFDAMPARNEVTWSALIGGFVLCSRMTQAFLLFKAMLAQGLCFLSPTSIASALRACASLDHLRMGEQLHALLAKSGVHADLTAGNSLLSMYAKAGLIDQAIALFDEMAVKDTVSYSALVSGYVQNGRAEEAFLVFKKMQACNVEPDAATMVSLIPACSHLAALQHGRCSHGSVIIRGLASETSICNALIDMYAKCGRIDLSRQVFNMMPSRDIVSWNTMIAGYGIHGLGKEATALFLEMNNLGFPPDGVTFICLLSACSHSGLVIEGKHWFHVMGHGYGLTPRMEHYICMVDLLSRGGFLDEAYEFIQSMPLRADVRVWVALLGACRVYKNIDLGKKVSRMIQELGPEGTGNFVLLSNIYSAAGRFDEAAEVRIIQKVQGFKKSPGCSWIEINGSLHAFVGGDQSHLQSPEIYRELDNILVGIKKLGYQPDTSFVLQDLEEEEKEKALICHSEKLAIAYGILSLSEDKTIFVTKNLRVCGDCHTVIKHISLLKRRAIIVRDANRFHHFKNGQCSCGDFW >ORGLA01G0396300.1 pep chromosome:AGI1.1:1:32572616:32573368:-1 gene:ORGLA01G0396300 transcript:ORGLA01G0396300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCSKTRKKGSWRAEEDALLTRLVAQHGPHRWSIISGAIPGRSGKSCRLRWCNQLSPAVQHRPFTPQEDALLAAAHARHGNKWATIARLLPGRTDNSVKNHWNSNLRRCLRRQAKFKSKDPDLLPDPINIPPDCIVVLNDDDEPADRPVTPPAIIQAQAQETLPSLTLSLSLSLPGAAAAAAEVEVAPPPPRALAAASEIQDGSSRSSSASRVMLQVMRQMVREEVQRHTAQLAYSLMALASCSRRPPN >ORGLA01G0396200.1 pep chromosome:AGI1.1:1:32567709:32571808:1 gene:ORGLA01G0396200 transcript:ORGLA01G0396200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPWRWRVLLPLLLLHSSPVFAQEGQDNDPSTLFKRASEMMNLRKYDGSLGLLNAVLEVDPNHSEAYRQRASVLRHKCRYKEAEGDYSKYLELKPGSSSVEKELSQLLQAQNALESAYGQFESHDFSKVLEYINKIVLVFSPDCLKAKLLKAKALLALEDYSSVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLLKKTKSAEDNAAKGKLRVSAEDYKAALAMDPDHTSYNVHLYLGLCKVLVKLGRGKEAISSCTEALNIDGELVDALTQRGEAKLLTEDWEGAVQDLKEASQKSPQMVCTVHAQDMGIREALMRAEKQLKLSKRKDWYKILGISKTASAADIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDLDEMNMGGGGGGFNPFGGGGQQYTFHYDGGFHGGGGFPGGGFPGGFQFNFG >ORGLA01G0396100.1 pep chromosome:AGI1.1:1:32563881:32564838:1 gene:ORGLA01G0396100 transcript:ORGLA01G0396100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRAAAGGEQQHLSNRVVGLVNLVTLVASVPIIGAGLWLQAHGGSSPCGSALQAPLLAIGFVTLLVSLAGFLGACYHVPSALWLYLAAMLLLVLALLGITVFGLAVTAGGGGTQVAGRPYREFRLADYSSWLQRHVRAERYWRPALACVLAARACDTLAAWTPLDYLRNDLTPVQSGCCKPPTACTYYDDAQQQQQQPDCYRWSNAPGVLCYGCDSCKAGVLEQLRRHWHNVTILNVVLLLLLILFYSCACCAFRNTATATSSKTIFHLHPRWEYRWYLLYLCARESQGGGMDEDS >ORGLA01G0396000.1 pep chromosome:AGI1.1:1:32555073:32558566:-1 gene:ORGLA01G0396000 transcript:ORGLA01G0396000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLCCCLLRARRLLFFFFFFFAVAVVLFSSVSVAQIPGFQSIDCGGSGNYTDEVGLEWTGDEAYVGGGAGATASISSMSGQGRRPYRTVRYFPADGRKYCYRVSVKARTRYLVRASFLYGNFDGSRVFPEFDLLDLNGFPGSGWACSYLAEIEDDAAATARRFKLYIPGLAEVSKPTVDIGENAPGKYRVYQPGYDNISLPFVLPFAFRKTDDSARGPILNAMEIYSYIPILPASPDAVAMDALAARYQQQQHSWAREGGDPCVPAPWSWLTCTSSRVIAIRLDNNMLTGPIPDLSACTNLTVIHLENNQLEGSVPSYLSGLPKLSELYLENNRLSGVIPRALLSRTIVFKYSGNKHLRVGKQEEEERNVIIGICALMGIGLLLAAALCYAYNVRVSGRKQLQGASAGGNSKSKSIVVSAEQKKKATPVAAGGGGGIDNMMAAMAARGPLEFEVRELEEATSKFARKIGSGGFGVVYYGRLGDGREIAVKVASSNESIQGKKQLANEVALLSRIHHRNLVAFLGYCWERDSSSYMLVYEYMHNGSLKEQLQMMSMSWLRRLQVAEDAAKGIEYLHCGCTPAIIHRDIKTSNILLDAHMRAKVSDLGLSKSNKATNSTTNTITTHVRGTLGYLDPHYYVSQQLTHKSDLYSFGIILLELISGRPPILLTPGAGAMASLGPWAKSHYESGDIEAIVDPSLRGRYRDVHSVWKVAETAVRCIDADPRGRPSMPEVVKDIQEAIALEMPSSESERPAASFFSPGAGAAGARSSATVRSHDLVMDNLMYDSSFCDSLNLPRTPR >ORGLA01G0395900.1 pep chromosome:AGI1.1:1:32552060:32552595:-1 gene:ORGLA01G0395900 transcript:ORGLA01G0395900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSSSSPVDKVDPDECNGSKACADCHTTKTPLWRGGPGGPKSLCNACGIRYRKRRRAALGLDSAATATATDGAEQQKKTKAKKEKAQEEEVTMELHTVGFRSKDAAVLKQRRRMRRRKCLGEEERAAILLMALSSGVIYA >ORGLA01G0395800.1 pep chromosome:AGI1.1:1:32544199:32546205:-1 gene:ORGLA01G0395800 transcript:ORGLA01G0395800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQTVMRIVRPCFKPDHQLAVGGTRDGLLWYKDTGRHACGDFSMALVQANNLLEDASQVEAAPLLLSHSSSTTFVGIYDGHGGPETAHFIAQHFFPNLKKFATEQQTVSVDVIRKSYAATEEGFLNLVRKQWLIKPQLASVGSCCLVGIINEGVLYVANTGDSRAVLGRLERGVIKAVQLSAEHNASIESVREELRQFHPDDPRIVVLKHNVWRVKGLIQVSRTLGDAYLKSTEFNREPLLARFRLSEPFHKPILSPEPSIEVHKLCTEDQFVIFASDGLWEHLTNQEAVDIVNCSPRNGIARRLIKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSALVGKRFYGGPLLSLRGGDGASTLTQKC >ORGLA01G0395700.1 pep chromosome:AGI1.1:1:32535906:32542552:-1 gene:ORGLA01G0395700 transcript:ORGLA01G0395700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57300) TAIR;Acc:AT5G57300] MALRSAAGRLASSSRRRLLSPPTSIHTAFLHSHATSFGYKQVAEEDKSKLVGNVFSSVASSYDLMNDLMSVGLHRLWKDRLISKLNPFPGMKHLDVAGGTGDVAFRALERINSVSHRAMQGTLTDIEEETQIYVCDINPNMLNVGKKRASERGYKEGHCLSWIQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVDVPLFKEIYDVYSFSVIPAVGELVAGDRQSYQYLVESIRRFPNQEKFAQMIQEAGFERVEYENLVGGVVAIHSGLKL >ORGLA01G0395600.1 pep chromosome:AGI1.1:1:32530338:32533867:1 gene:ORGLA01G0395600 transcript:ORGLA01G0395600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLDSHLQDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRGLADRYDIISGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDPRKIHKSTVDGLMKKKKGGEQPAGSMNKNTTSAPIDKDNAREEISRLQKEILVMQTEKEFIKSSYESGIAKYWDLEKQINEMQEQVCHFQDKFDESAVIEDDEARALMTATALKSCEDTIVKLQEQRKTSASQAMGESERVKVLREKLKAVMEGHGKSLPDSPDPCDKNVRKNHGFEMEEVQHIKLGEFETQTVLEKIKEHFERDGSISVAEITEHIDELVNKVVDLELMVSSQSSQIDRLCRENSELESCLQSLEEENVSDPDEVNEKLKKLEEELVRVQALESCFHKDESTIRSNFSEAISRLSGISEMLQSSEHGGVGGTLAVADGKEEEEEDNDAGGMDDVAEPQVQTEAASDDVDPAGKSTADVDPAGKSTATQEEEAQAVDVGQEKAGGCSRERGSLVRLRHISSDDLGGCDDEAPAAVDDPDGMRKQKKGQEGEGVEEEKKVILVAEYRALLEENKDAKKRLAEVEKTNQECMHEIRSLRELLSSGSSEAGAAAAGGGGGGDSNGGRRGHRRTPSYSLGHHRKQSLSSISRMIRMGSTIHEGDESEKVKAEELRLPAVATSSSPLENKLRKDIDTLLEENLEFWMKFSSSLQRVQEFQRKHDELMQQLQPAATDGNSDTKQKQKQEQQLRALKTELQVWSEQNAMLRGELQCRFAALCDVQEEITAALEQGGGGGGEFTSYQAAKFQGEVLNMQQENNRVSDELQAGQDHVKGLQAQIEKKLQHGGVTLPDADGPAAGAGAGAATPPPLPLTRVASKSKVPLQSFLFPAKAKKPSLLARVTPVLQKQQPDLRFLAKLQPR >ORGLA01G0395500.1 pep chromosome:AGI1.1:1:32522905:32525974:1 gene:ORGLA01G0395500 transcript:ORGLA01G0395500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRFAPRRCAAAAAASASEGGGGGGDCDCSVFLRWLRSKSGTHISSVLSLGTSSAFGRSLFASEPIQEGDCIMQVPYHVQLTLDKLPQKFNTLLDHAVGDTSKLAALLIMEQHLGNVLWDLNELHAVQNSSIYDEAIEHKEQAKKEFLALKPALDHFPHLFGEVKLGDFMHASALDFLNHDGVFGSVLIYDEQKDVCEIIADRNYAVGEQVMIRYGKYSNATLALNFGFTLARNIYDQALIRIDMPVQDPLYKKKLDIWQKHRLPIFEDMCNLSSATSFVINMLNLEIE >ORGLA01G0395400.1 pep chromosome:AGI1.1:1:32521129:32521743:1 gene:ORGLA01G0395400 transcript:ORGLA01G0395400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVLKDLNKRLLGLSCSSAASTSIVLSGHSPPHLRDPARTSNSSKKKKAKANKSKQQLVSPASSSRFLLNSSRMQPSADELPPPFVIPVAVAAAAEENEIVAAAVEGGGGEEEKRESSSQVEVVVLRVSLHCKGCAGKVKKHISKMEGVTSLDIDIATKKVTVVGHVTPLSVLTAVSKIKPAQFWPISSSSSPMPPRASASF >ORGLA01G0395300.1 pep chromosome:AGI1.1:1:32515608:32516741:-1 gene:ORGLA01G0395300 transcript:ORGLA01G0395300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRTSSDSAASAEAMVMDLSPKRPAKSYGGEGGSYFDWSPSELPMLRAASIGAAKLSLAAGGLALPFYSDSAKVAYVLHGKGTCAVLLPETPSEKILPIKEGDALALPFGVVTWWHNLHAATTELVVLFLGDTSKGHTAGRFTNMQLTGSTGIFTGFSTEFVARAWDLPQDASASLVSTQPGAGIVKLKDGFRMPEGCDKDREGMVLNCLEAPLDVDIKNGGRVVVLNTQNLPLVKEVGLGADLVRIDGHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGIDGTRVLETRAEGGCLFIVPRFFVVSKIADDSGMEWFSIITTPNPIFSHLAGRTSVWKAISPAVLQASFNTTPEMENLFRSKRLDSEIFFAPNSNSI >ORGLA01G0395200.1 pep chromosome:AGI1.1:1:32505677:32513221:-1 gene:ORGLA01G0395200 transcript:ORGLA01G0395200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGLFGWSPPHVQPLTPVSEASEPPESPSPYAADLAGDAAPPPEDDAAAALDDGDDEPDPPPAAVPFKRLFACADRLDWALMSAGALAAAAHGVALVVYLHLFGTAIHSLHGRHNHDLFHHINQHALHFLYIAIGVFFAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLIIGLVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASVAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGKANGGEVVVALFSIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSVVNQDGRTLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATTDQIEEAAKTAHAHTFISSLEKGYDTQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLRCEEAAKLPKRTPIRNYKEPSSFQIERDSSASHSFQESSSPNMSKSPSLQKTHGFLAFRNSDANHNSHESPNIQSPPSEQMAETRLPTVASERAPSIKRQDSFEMKLPDLPKIDVPLHRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRPLDMFDNFHAEESKKQQTKAPSFWRLVELSLAEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYRIGVRDVHDEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDEEENSADILSMRLANDATFVRAAFSNRLSIFIQDTAAIFVALLLGMLLEWRVALVALATLPILVISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGNILWKSLVHGMGIGFAFGLSQFLLFACNALLLWYTAVAVKNGHLSLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRAPKIDPDDASGLKPPNVYGSIEFRNVDFCYPTRPETMVLSNFSLRVNGGQTVAVVGVSGSGKSTVISLIERFYDPTAGQVLLDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDNIVVLNGGKIVEQGTHDSLVQKNGLYVKLMQPHFTKGFRQRRLI >ORGLA01G0395100.1 pep chromosome:AGI1.1:1:32499447:32504410:-1 gene:ORGLA01G0395100 transcript:ORGLA01G0395100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAND family protein [Source:Projected from Arabidopsis thaliana (AT2G28390) TAIR;Acc:AT2G28390] MDMDPPTNNPSPPGPPDSPPPEKRLASLSLRTSHLPPDFEIHDDYDDDDDEGYLTAVSRVGSISTSASASAWKDDLEDADVAPPSPSSSGYAAERGTSLASSAAANDDPQPQPDDDDWPRDKKHLHEDDTSASWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRAAKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDIFLLSNFILSSESFRTSESFSPICLPRYNSMAFLYAYVHFFDENTYLTLLTARSDAFYDLKDSRSRIQNVLLKANVLVEVQRSLRESALCIEDLPADPSSQSVSPPPQFSQDLHFQLLSSEMAIGGPAGLWHFIYKSIYLDQYVSSEFPLIISNPKQQKRLYKAYQKLYASMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLADKSQAIKVCNRVCQWIRDLENEIFVYGESTLSW >ORGLA01G0395000.1 pep chromosome:AGI1.1:1:32495236:32496075:-1 gene:ORGLA01G0395000 transcript:ORGLA01G0395000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRIAVGAPGELSHPDTAKAAVAEFISMLIFVFAGSGSGMAFSKLTDGGGTTPSGLIAASLAHALALFVAVAVGANISGGHVNPAVTFGAFVGGNISLVKAVVYWVAQLLGSVVACLLLKIATGGAAVGAFSLSAGVGAWNAVVFEIVMTFGLVYTVYATAVDPKKGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVTGVWDNHWVYWLGPFVGAAIAALIYDIIFIGQRPHDQLPTADY >ORGLA01G0394900.1 pep chromosome:AGI1.1:1:32491649:32492275:1 gene:ORGLA01G0394900 transcript:ORGLA01G0394900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVAAPSRRRPSLGRQKIEIRRIESEEARQVCFSKRRAGFFKKASELSILCSADVAAVVFSPAGKAYSFGHPSVECLLERFLPDSSSGAAARVRRGANNNGGGGMVGELNRQYGELRAMVEAHKARRERADEKIEMERAAGRWLPMDADVRRMSPEELMAFGTGLMAVQAAVSARADQMLRDALLIGRRPPTTTTAGFGFFHMPHY >ORGLA01G0394800.1 pep chromosome:AGI1.1:1:32484698:32485120:1 gene:ORGLA01G0394800 transcript:ORGLA01G0394800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPTWAPPVNLASLLSSPPLFTSRTPPQRRSTSGADDSLSLGTSATATATENASHHSTSANSGVYLSATSNVTHRRHVVTVRHLRGLGLRTGRGSASGGGAQPEPQAAVGCPGAGGAALGAKDDGDEAAHEDDSPRHYS >ORGLA01G0394700.1 pep chromosome:AGI1.1:1:32476630:32477671:1 gene:ORGLA01G0394700 transcript:ORGLA01G0394700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGKKQGRHSFSASSSSSSSSSCSVVQLGHHQRPQGEDPLIGIKAAAAGGGGIMRKGPWTEQEDVQLVWFVRLLGERRWDFLAKVSGLQRSGKSCRLRWVNYLHPGLKRGRMSPEEERMVVQLHAKLGNRWSRIAKSMPGRTDNEIKNYWRTHLRKLKLKQQKQQQSDDHHNDDDDDDDRNSSSSSSSSNSNSNLQQQPQPEDESSASGSLQAQHHEDQHQLFLHPLWNDDIIVDVDCWSSSTNVVAPPPMPASPLWDIDDAFFCSDYSLPLWG >ORGLA01G0394600.1 pep chromosome:AGI1.1:1:32465595:32466757:-1 gene:ORGLA01G0394600 transcript:ORGLA01G0394600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASFLERLNWRSNPFAGWFPPWCDRPLCDCGDGCILKSSLMIQTRGRRFFQCANFDQTYRPMCNFIEWVDMENPQNNGTRAYPRSETRSDYLRRKDEHERRIAAEALEWQVNPLGLPTWRERPECRCGDRCQVIRSGNPRMCGLTRWIDNVTPSYHGQKITESETQVEYQRLKDHENAMHSDRPRRGR >ORGLA01G0394500.1 pep chromosome:AGI1.1:1:32462997:32464117:-1 gene:ORGLA01G0394500 transcript:ORGLA01G0394500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSRHSRSGDGNGWASPERTMVWRTELKKKQMKQVVVGVVYYLCRQDGQLDHPHFVHVHVPSDSDQQRLHLRDFIARLSDLRGAAMPAAYSWSAKTTYRRNAGYVWQDLTADDLIPAPSTNHEEYVLKGSPLLHHNSTTPPQHRRCMTSFDLADYHRTTDPVPVPAAAQQSLIGIDEISPPPSSSSPDDTTTQLVTLKQKQQEEDGCTPQQQAATTPAGRMRTSAMLMKLISCGASSIKELQGQAQSQRRRATAWHNNKPDIMDHRDYFSGSLLDTNTTTHPIDLTLRRSSSCNAHRGQSSRLGVVDQDGVPRRQQLHAKSTAARMDSPETDQIRS >ORGLA01G0394400.1 pep chromosome:AGI1.1:1:32461289:32461555:1 gene:ORGLA01G0394400 transcript:ORGLA01G0394400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSEEAKQEQHRVLAHKLFLLSHPDLNDLAKVALRSDALDAVKSNGMALLFESLAVNGVLEPDDALLVEMRVRIDEEVPQAIVVRA >ORGLA01G0394300.1 pep chromosome:AGI1.1:1:32456860:32458672:-1 gene:ORGLA01G0394300 transcript:ORGLA01G0394300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLQVATTASSSTREGKAPALNERILSSMSKRSVAAHPWHDLEIGPEAPTIFNCVIEIPRGSKVKYELDKKTGLVKVDRVLYSSVVYPHNYGFIPRTLCDDSDPLDVLVIMQEPVIPGCFLRAKAIGVMPMIDQGEADDKIIAVCADDPEYKHYNDIKDLPPHRLAEIRRFFEDXHKIFMELYXNKLSXLPIALFITAVXIICSFSNLKGQGTYMVCVFGWMPSNCISRRSPLITASWPLSACTCTXTVLLSSXIEYFLXWGKIDKKNENKEVAVNDFMPATSAYETIRHSMDLYATYILEGLRR >ORGLA01G0394200.1 pep chromosome:AGI1.1:1:32455444:32456153:1 gene:ORGLA01G0394200 transcript:ORGLA01G0394200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWRPKLVPYAATPFGILSHPSSTARARASSSSCRSKLFIGGLSYDTNETALKDAFSQHGHIIQVKVICHPVTGKSKGYGFVKFASEDEAAAALHKMGGEVIDGRNIRVHYANSG >ORGLA01G0394100.1 pep chromosome:AGI1.1:1:32450769:32454032:-1 gene:ORGLA01G0394100 transcript:ORGLA01G0394100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:I1NVQ1] MPPLFSAPALHRRRLLRHAAAFALVLLAVALLFLLLVHPRSLGTPSPSPSYGHRLPTLVDLTLVHGAKEKGAVCLDGTPPGYHWLPGFGDGSNKWLLHLEGGSWCRNRTSCDHRKKTSLGSSAYMETRVEFVGILSDDKAQNPDFYNWNKVKIRYCDGASLSGNVQDEHQYGATFFFRGQRIWEAVMAELLPKGLARAKQAFLTGCSAGGLSTYIHCDDFRALLPKDSTVKCLADGGFFLDVEDISGRRYMRGFYNDVARQQDLRKRFPGCSSDMEPGQCFFPQEVAKGITTPMFILNPAYDVWQVEHVLTPDGSDPQNLWQDCRMDITKCNTKQLEILQGFRKSLLDAISEFKKKRGWGMFIDSCFIHCQSMKSLAWHSPSASRINNKTVAEAVGDWFFDRREVKEIDCEYPCNPTCYNVVLEQPYQEG >ORGLA01G0394000.1 pep chromosome:AGI1.1:1:32447113:32448438:1 gene:ORGLA01G0394000 transcript:ORGLA01G0394000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGLSCSRDTDEYDLFRAAQLGDIHALSALLAADPALARRATVYDRFTALHIAAANGRLQVLSMLLDCNGDVDVLSRKKQTPLMVAAMRGNTECVVRLLRGGANVLTFDSPRARTCLHHAAYYGHAECLQAILGAAAQAQGPVAASWGFARFVNVRDERGATPLHLAARHSRASCVRLLLDKGAIVSAPTAVYGFPGSTALHLAARAGSMECIRELLAWGADRLQRDSAGRIAYAVAMRRGHRACAALLNPAAAEPIVWPSPLKFIGELEADAKALLEAALMEANREREKRILLGSDINIKGGDEEEEEACNICFEQACSMEVKECGHQMCAACTLAICCHSKPNPKTLLLHPPACPFCRTTISRLVVATTNSNKTNSRRRSRSSSSSFKGGLSSAMGSFSRIGRGSGRLVVDGSSVGELADKPDHDFSSVAAAAAICDT >ORGLA01G0393900.1 pep chromosome:AGI1.1:1:32433580:32441728:-1 gene:ORGLA01G0393900 transcript:ORGLA01G0393900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNDYIANPSTIDMAYNVLIDHALAERDRRYTPRVQTLRQIDLFCANTIAKCEPLGTQRSSSASPHSSVAAPPITNFASPSLVKSLNYVRSLVARHIPKLSFQPIGHSVAPTSTKQSLPSLSSFFNKSLVSQLTPEAITNMDLVESKESHAPSDLISLATEKADGGEPADDTKYISFDILNWRWHVYGERQASASTKESSNDFADLQDFHTQGFLEVGAAALLVGDMEAKINDQQWKYSFIQDFPDIDLLQPSTSTASTFASSQSHLKAITASKRMKSGPNQVWMNIPANTFQPRARPLFQYRHYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWSNVRQKVNGVIHXNMEYADTYHMWLCLLFLVSXMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVIKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDGAPNGAIDTHFLPDQIDRVGGVDYICLEYSRANSREEKRDLFFVLFDYVLHQINETFLAGGLSTYTYDDAQPLASLLACADAPEAFYISVKHGVEGVGDMLRKAISSALSQSTEYEQLNVLLDKVIRKLDGTVSTFSRIDTEFAYMIQVTKSYKCFSSIRDGHEDADVALRARLCWATLHSLLNSQISSYRHHGYVWLVELLLSEISEETDGSIWSKIQKLQDEIEVAGSQDLSSSEVSLPVCLLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDNDMQEHTVADHSKHRLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRLPSSNVMHMGGLQSLGQLFGCTTKNIESHLETLASHQTVGNKNFCRSETLQDIGVNQTAQTTLLSETSMAALLLRGLAIAPMQLVARVPTSLFFWPLMQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPDTYQRMLQSLISKAQQCNNEKLLENPYLQMRGILQLSNDLGVQ >ORGLA01G0393800.1 pep chromosome:AGI1.1:1:32430344:32430760:1 gene:ORGLA01G0393800 transcript:ORGLA01G0393800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSSCQCGNGCGGCKYSEVEPTTTTTFLADATNKGSGAASGGSEMGAENGSCGCNTCKCGTSCGCSCCNCN >ORGLA01G0393700.1 pep chromosome:AGI1.1:1:32423318:32426644:1 gene:ORGLA01G0393700 transcript:ORGLA01G0393700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:trigalactosyldiacylglycerol2 [Source:Projected from Arabidopsis thaliana (AT3G20320) TAIR;Acc:AT3G20320] MATTKSFLPPPFIALSSNPRPTTLAPTPNPRPRRRNSLAICSASASGDPSPPPEAEGGGNPLLALWRRTLHPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRARFRKYQAVFEFTQACGICVGTPVRIRGVTVGNVVRVDSSLKSIDAYVEVEDDKIIVPRNSVVEVNQSGLLMETLIDITPKDPLPTPSVGPLDPDCSKEGLILCDKERMKGQQGVSLDALVGIFTRLGRDMEEIGVHKSYKLAEKVASIMEEAQPLLSRIEALAEEIQPLLSEVRDSDLVKDVEIIAKGLADASGDLRRLKSSMLTPENTDLIKQSIFTLIFTLKNIESISSDISGFTGDDATRRNIKLLIKSLSRLL >ORGLA01G0393600.1 pep chromosome:AGI1.1:1:32416578:32418229:1 gene:ORGLA01G0393600 transcript:ORGLA01G0393600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF506) [Source:Projected from Arabidopsis thaliana (AT2G39650) TAIR;Acc:AT2G39650] MMLLAKELGLSPPAAVTPRRRPPPARVPASPSGGSPVAVGDLWLRTKGAGGGGGDGFGSHSHESEMDLAMLVTDFLENGGTGGADSRASSDSDSALSDHLADNISIYKQGGDEKENELLSMVHSLLFSIHESDLLAFKRGQCSASCIRHLLVKLLRYSGYDAAVCVSKWQGFDKIPGGDHEYIDVIMNSDTEYRLIIDIDFRSHFEIARAVDSYDSLLNSLPVVYVGTLPRLKQFLHVMVDAAKWSLKQNSMPLPPWRSLPYLQAKWHSKYERIDLHIEQDFHSTASDHALCIGHLKRLKSSLQSELDTERLLMMPIKTDMKRRAKFERRRRRSLLSF >ORGLA01G0393500.1 pep chromosome:AGI1.1:1:32403821:32406944:-1 gene:ORGLA01G0393500 transcript:ORGLA01G0393500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTKISSDAISRNASGSRRRRSGSRRKGTGLSSRVSSSVAAVTPRSEGEILQCANVRSFAFNELKTATRNFRPDSVLGEGGFGSVFKGWVDENTFLPSRPGTGMVIAVKKLNQDGFQGHREWLAEVNYLGQLSHPNLVKLVGYCLQDEQRLLVYEFMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAAKGLAFLHSDKAKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLSAKSDVYSFGVVMVEMLSGRRALDKNRPAGEHNLVEWARPYLSSRRRIFRILDARLAGQYSLAGAHKAAALALQCLSADARNRPTMHQVVAALEQLQETTTTSHHHRPPQSRMLLSGARSSAGAAARPRRLSASPLPA >ORGLA01G0393400.1 pep chromosome:AGI1.1:1:32399736:32402095:-1 gene:ORGLA01G0393400 transcript:ORGLA01G0393400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39670) TAIR;Acc:AT2G39670] MRMAAPQQVHVRAAPLARALRTRVAAATATATAAASASSPERALLGLSEPDLRQLAVDLGQQSYRGKQLHDLLYKSRAKQIQEFSHVPKVFREALVGAGWKVGRSPVHHAVTASDGTTKILLKLEDNRLIETVGIPVDDDKGPSRLTACVSSQVGCPLRCSFCATGKGGFARNLHAHEIVEQVLAIEETFQHRVTNVVFMGMGEPMLNLKSVLEAHRCLNKELKIGQRMITISTVGVPNTIKKLASHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLEALMDDCKNYFLETGRRVSFEYTLLAGINDAKEHAEELAELLHTCGGGYHVNLIPYNPIQGSEYKRPYRKVVQAFVDALEARKITVSVRQTRGLDANAACGQLRNEFQKNPLLESSPSSEPNLVPA >ORGLA01G0393300.1 pep chromosome:AGI1.1:1:32392687:32399017:1 gene:ORGLA01G0393300 transcript:ORGLA01G0393300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein affected trafficking 2 [Source:Projected from Arabidopsis thaliana (AT3G55480) TAIR;Acc:AT3G55480] MFGLQASGAAASWVVGRMGTDAHLYDDPDDASIPTLLDSRFDADKLDALKRLLALIAQGVDVAHFFPQVVKNVASQSLEVKKLVYLYLLHYAEKRQNEALLSINIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAVKKCARDPSAYVRKCAAYALCKLHDLLPDETTSLEDTVDVLFSDNSPGVVGATAVAFNSVCPNCLPLISKHFQRLCETLPDIEEWAQILLIDIILRYVIARHGLVKDSSIFASNLTLKSQGSGDSALIGNETCGTTSTITLFRHYIEEYSECLEGDIINCSSVTSSTNNDVALLLKCTSPLLWSRNSGVILAAASVHWIMAPVDQLNRVVGPILFTLRSSPDATYVMLGNILVFAKTAPQLFAPFYEDFFICTSDPYQTKALKLEILTTIATESSIPAIFEEFQDYIKEPDRRFVADTVAAIALCAQKLPSITTSCLGGLLALVFYESSISDSANFDGEAAVLVQAILSIKAIVRTDPASHEKVIVRLVRSLDKIKEPAARSLIIWIFGEYGSIGNIIPKITPAVLKYLAWSFAAEMLETKLQILNAAAKVIIHSPEEHLEEFKKIMAHVIKLATCDLSYDVRDRARFISRLLPYSTTYLNGNNSSCQSHNEDMFKELANHIFDGKMPSTFHPTNNYRIYLPGSLSQVVLHAAPGYAPLPKPQSMELIHKTMEPTRGVGNSSESINSDAESGSSTYDSGSVYDSESEVDGSSDRNAADSNTKDNQEDPLVHVYDASVDQGQTARDVEDNFASLITTDLTELMSKSALETWLDEAPAEPVQVSTQASSARVSFTNRSFERKPKLHMLLDPSNSNGLSVLYAFSSEVSPVSRLLVCVDLLFENVSTNQLADITIKSEEASGSEDGLDQTLQGSASVPTIVLDKEIQLLAPEQTEKMVLQVHFHHHLLPLKLSVLCNGKRHPAKLHPDIAYFVRPLPMDLNAFLCKENQLRGMFEYARRCTFKDHLQKLEHNDDSEEHTDKNLLIAQSLASKILSNANFHLVSMDMPVTFSIEDASGLCWRFSSEILSTSNPCLITILAEGHISEPLDLTAKVNSEDTAFGLNLLNRVVAIIE >ORGLA01G0393200.1 pep chromosome:AGI1.1:1:32389369:32392415:-1 gene:ORGLA01G0393200 transcript:ORGLA01G0393200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGGGGGGAIELRRRMAAQCLAFERQIADGRERTKAAASAFSAALLSARSLSNHTISQREKFNQLKDQLRKLEADFAQALSGHGRSETLQTSSAVQVSNKTKYDLTGQSITNAIATNDQLRSLVTDKRARRDEYANVISSQLEAIEALEAKTDAARKKNLDEAFMWYKKFLGFQVVGGEGVKFVFSKIDIQNPDHEYSFCIKLNKDRYNLLQCTPFLKDSEELVKDLNCSNDLFKFVRIMRERFQAAAINGLLPASSLCPNTSSSITDSSPPALSIDTGRESTTTTSQSHSRSWAKNQDNPTKRGARPSNLLSSTRRSPRVAATDATNRY >ORGLA01G0393100.1 pep chromosome:AGI1.1:1:32375071:32375679:1 gene:ORGLA01G0393100 transcript:ORGLA01G0393100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VALLLLVALIFSTLSPSEAEAEAAAATLRRRQVRSLLKRLNKPPLATIQSLDGDIIDCVHISRQPAFDHPLLKNHTIQMRPSIQPSVMYGEAARPFTQT >ORGLA01G0393000.1 pep chromosome:AGI1.1:1:32360091:32361220:1 gene:ORGLA01G0393000 transcript:ORGLA01G0393000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAWLQLQVALLLLVALIFSTLSPSKGEGSEAAAATLRPRQVQSLLKRLNKPPLATIQSPDGDIIDCVHISRQPAFDHPLLKNHTIQMRPSIQPSGMYGEAARPFTQTWNQNGEKCPDNTIPIQRTKEEDVMRATSVATFGKKTHGSRHPSLAGPAEGHHYGVAYATGDANYYGTKVTINVWQPTIATSGDFSLAQLWITSGSYQNKDLNTIEAGWQVCETLRVGSIQELAASFIIFPCHQELTESQLVQ >ORGLA01G0392900.1 pep chromosome:AGI1.1:1:32354537:32356295:1 gene:ORGLA01G0392900 transcript:ORGLA01G0392900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECESNYSKEQRLNGDSLVFDLDDTLYPVTSGIGADVVRNIQAYMIEKLGVEESISLELCVLLYKQYGTTMAGLRAVGYQFDYDDFHSYVHGRLAYEKIKPDPVLRNILLSLPIRKVVFTNGDRIHASRALKRLGIEDCFERVVCFETLNPTSSSLSAAGQVEIFDIMKHLAHPEPGVELPKSPILCKPNIDAMLQALKVASINPKTSILFDDSARNIQAAKQIGMYTVLVGTSERIKGADHALESLHNMKEALPELWDEAVKDEDVRKSSKVGIETSVIA >ORGLA01G0392800.1 pep chromosome:AGI1.1:1:32349444:32352250:1 gene:ORGLA01G0392800 transcript:ORGLA01G0392800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIZZY-related 3 [Source:Projected from Arabidopsis thaliana (AT5G13840) TAIR;Acc:AT5G13840] MATDASPKPAPPRLNVPPAMAGGLRLDPAVASPARLLLDVPKTPSPSKTTYSDRFIPCRSSSRLHNFALLDRDRASPSSTTDDAPYSRLLRAEIFGPDSPSPAPSSPNTNLFRFKTDHPSPKSPFAASAAATAGHYDCTAGSAESSTPRKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNTLAVGLGNCVYLWSASNCKVTKLCDLGPRDSVCAVHWTREGSYLAIGTSLGDVQIWDSSRCKRIRNMGGHQTRTGVLAWSSRILSSGSRDKNILQHDIRVPSDYISKFSGHRSEVCGLKWSHDDRELASGGNDNQLLVWNQRSQQPILRLTEHTAAVKAIAWSPHQQGLLASGGGTADRCIRFWNTVNGNMLNSVDTGSQVCNLAWCKNVNELVSTHGYSQNQIMVWKYPSMSKVATLTGHTLRVLYLAMSPDGQTIVTGAGDETLRFWNIFPSMKTQAPVRDIGLWSFSRSHIR >ORGLA01G0392700.1 pep chromosome:AGI1.1:1:32346683:32348499:1 gene:ORGLA01G0392700 transcript:ORGLA01G0392700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMQESREYWRDGGDVVGEELLREILDETTAVHSNSNSNSNSNSNSKEAEEEDEREYFAAAAADEQLQVEAPCGRRRRESMVNKLISTVYSGPTISDIESALSFTAAGDHQLLADGHNFAASSCSPVVFSPEKTLSKTMENKYTLKMKSCGNNGGLADDGYKWRKYGQKSIKNSPNPRSYYRCTNPRCNAKKQVERAVDEPDTLIVTYEGLHLHYTYSHFLHSTSSSSSSTTTQQQLQPQPQMMTNCKKKPKLHLHPLLHDDPPPPPPPPEMTTMMIMQSFSIQQQQQDDDQLLQPAADDHLMVQAPPDDCYNINGSSSSGLMMSLDDDEQAAGAGGLLEDVVPLLVRRPPPPICNNNNYYYSPATTCTSDNEYGSSASASPSSSVSVSSWTTPMSPCIDMAILSNIF >ORGLA01G0392600.1 pep chromosome:AGI1.1:1:32325799:32326182:-1 gene:ORGLA01G0392600 transcript:ORGLA01G0392600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERWKLSSSKGRSKSGRSCSSSSNYYYHSSDFNSSNATTLSRSYSASVTASRHATTAWSAAGAGGGGASSSSSSQHQHQQQQQQQQQSNNSQRLSKKCVEAVKEHRARFYIVRRCVSMLVCWRDY >ORGLA01G0392500.1 pep chromosome:AGI1.1:1:32312994:32314619:-1 gene:ORGLA01G0392500 transcript:ORGLA01G0392500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZRT/IRT-like protein 2 [Source:Projected from Arabidopsis thaliana (AT5G59520) TAIR;Acc:AT5G59520] MARTMTMRVSSLLVAVLLLAALSFQACSGHGGINDGDGQVDAPATPASSSGVRSKGLIAVKVWCLVILLVFTFAGGVSPYFYRWNESFLLLGTQFAAGVFLGTALMHFLADSTSTFKGLTTNQYPFSFMLTCVGFLLTMLSDLVIAAVARRSAAAGVSDNQVSEQQQRQQAEGAVMSRKEEEAAAVAHPAMLVRTSSFEDAVLLIVALCFHSVFEGIAIGVSASKSEAWRNLWTIGLHKIFAAVAMGIALLRMIPKRPFLMTVVYSLAFAVSSPVGVGIGIAIDATSQGRAADWTYAISMGLATGVFIYVAINHLIAKGYRPHHPTAADKPLFKFLAVLLGVAVMAVVMIWD >ORGLA01G0392400.1 pep chromosome:AGI1.1:1:32311759:32312289:-1 gene:ORGLA01G0392400 transcript:ORGLA01G0392400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPTTTTTTLSAARLLIPTVAASRASFSTLALPRSPYPSPAPPPPVAAATSPSHGDHAGHHHYPSAARRRIARFLLHPRPPAFSLSLSHSAAASCRGHLIGAASKSKGARATENKKGQEQEQQEAFATVVDGAEEAGKEEAGGEGGDKSPEAVAAELKDAEAAAAAGGWWAGVA >ORGLA01G0392300.1 pep chromosome:AGI1.1:1:32307637:32308641:1 gene:ORGLA01G0392300 transcript:ORGLA01G0392300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGSSYTYWCHSCDRFVHPHPHLDAAVLCPHCNAAGFLHDHEMPPAADHSPFNPPVIVLRRSASPDDATTFDLLYDDGAASALRPLFDRLLLRIPSASDNPNPPASKAAVDSMPTILIGACHLAADSHCAVCKEPFHLADEAREMPCAHIYHHHCILPWLALHNSCPVCRHRMPTDDHDSTNAAAAQAAAGSSDEDATTVGTLTIWRLPGGGFAVGRFAAAGGTRAGERELPVLYTQMDDGGFNGSGGSGSPTMIGWSSRGSRSSQRQRSIIPRLFRNMFACFRHHYATADSGDYSSRAGRRSSSSVFTRSLRSQITSWRSEDGHPDAIATR >ORGLA01G0392200.1 pep chromosome:AGI1.1:1:32299735:32305207:-1 gene:ORGLA01G0392200 transcript:ORGLA01G0392200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEMAMRGEPWRRQEGEQAQAEADRERELDLFRSGSAPPTVEGSMGALHAAAAADVFLEDELRADPAYHSYYYSNGNINPRLPPPLLSKEDWRSAQRLRPGLGGIGDGRKPRGGGGGGGGGGGAGGMGLGDGLIGMPGLEIGRQNSFSGIFQDDSYQHDTDRQGANCNGTDLLSSSKVQYGLHRETGAISGLHSDSKAPCLPENQNESSHSYASIIGSSLSRSASPDPELVRRVPSPCLPPIGVKLGATDKKNNVGSSSFNRSSPNIIESDDLVSALSGMNLSSSRAMNGNTMDQSKLHQDVDDVRKFLFDQYMDQTNGNQRHSYMKRSEQGHVKVPQEYSGASMNPSVMRSQISAGGFTSFDNSSVGSGFASPRLGSRSPGGSLSSWQNLTGASNLPNYVGIGSPTAASAHQMPVDPLYVQFLRAAEIAALAANCEDPLMDRANLGGSYMDLFGPQKAYLSPLLQSQKQYSYYGNLGVGLGYAGNSLTSPILPSSPGGPGSPLRHGDRSMRYPSGMKNFGGSFGSWNSDLGGKMEANLVPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFAEIMPQALTLMTDVFGNYVVQKFFEHGSSAQIKELADQLIGRVLALSLQMYGCRVIQKAIEVVDLDQQTKMVAELDGQVMRCVRDQNGNHVIQKCIECIPQHAIQFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPKTQQIMMDEILQSVCLLATDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQVLIGEMLGSSNESEHLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPSTAA >ORGLA01G0392100.1 pep chromosome:AGI1.1:1:32297211:32297927:-1 gene:ORGLA01G0392100 transcript:ORGLA01G0392100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59570) TAIR;Acc:AT5G59570] MGEEAPEEYELGGGEDERVMEWEAGLPGADELTPLSQPLVPAGLAAAFRIPPEPGRTLLDVHRASAATVSRLRRASSSSSSSFPAFASKGAGAGADEAESGGGADGGNGNTNNSSSKRARLVWTPQLHKRFVEVVAHLGMKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYVKRMQGLSNEGPSPSDHIFASTPVPHASLHDQVPSPYHPHPHHHSYNNAAYAATVSSYHHYHHANH >ORGLA01G0392000.1 pep chromosome:AGI1.1:1:32293665:32295187:-1 gene:ORGLA01G0392000 transcript:ORGLA01G0392000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase / dehydrase and lipid transport protein [Source:Projected from Arabidopsis thaliana (AT4G01650) TAIR;Acc:AT4G01650] MAVRSSSSHGLLHPPLRLLAAAAASSGSSYSIPHARLRLAVTTPSRLPSPISSSPDPPPDVAHDEDEQEGQHHKEERDERYGFEIQVRKLPKRNRRLVRARVRVDAPLDAVWATLTDYEGLAGFIPGLSECRLLDQSDCFARLYQVGEQDLALGFKFNARGTIDCYEGELQLLPAGARRREIAFNMIDGDFKVFEGNWSVQEEVDGGEISADQEFQTILSYVVELEPKLWVPVRLLEGRICNEIKTNLVSIREEAQRIQRLQDKRNPC >ORGLA01G0391900.1 pep chromosome:AGI1.1:1:32283784:32288867:-1 gene:ORGLA01G0391900 transcript:ORGLA01G0391900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent glycerol-3-phosphate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G40610) TAIR;Acc:AT5G40610] MENGHAKNLVAVIGSGNWGSVASRLIASNTAKLPSFHDEVRMWVFEEILPTGKKLSESINQANENCKYLPGIKLGANVIADPDLENAVKDANMLVFVTPHQFVEGICKKLVGKLRPGTEGISLIKGMEVKMEGPCMISKLITNILGINCCVLMGANIANEIAVEKFSEATIGYKKDKEVATRWAKLFTTPYFLVSVVEDIEGVELCGTLKNVVAIAAGLVDGLDMGNNTKAAIMRIGLREMRAFSKLLSPSVRDNTFFESCGVADLITTCLGGRNRRVAEAFARNGGKRSFDELEAEMLHGQKLQGVSTAKEVYEVLTYRGWQELFPLLSTVHEICIGQLPPTSIVEYRIH >ORGLA01G0391800.1 pep chromosome:AGI1.1:1:32281537:32282800:1 gene:ORGLA01G0391800 transcript:ORGLA01G0391800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNKVLTLEEVSKHNTKDDCWLIIGGKVYNVTKFLEDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYYVGDIDATTIPTKVKYTPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAVRIYTKSESA >ORGLA01G0391700.1 pep chromosome:AGI1.1:1:32278264:32279734:1 gene:ORGLA01G0391700 transcript:ORGLA01G0391700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMNSKLPLALVLLLLCGGACVAVAMPSELSIVGYSEEDLASHERLMELFEKFMAKYRKAYSSLEEKLRRFEVFKDNLNHIDEENKKITGYWLGLNEFADLTHDEFKAAYLGLTITPATARRNDADDDSSSAFRYEEAALPKEVDWRKKGAVTEVKNQGQCGSCWAFSTVAAVEGINAIVTGNLTRLSEQELIDCDTDGNNGCSGGLMDYAFSYIAANGGLHTEESYPYLMEEGTCRRGSTEGDDDGEAAAAVTISGYEDVPRNNEQALLKALAHQPVSVAIEASGRNFQFYSGGVFDGPCGTRLDHGVTAVGYGTASKGHDYIIVKNSWGSHWGEKGYIRMRRGTGKHDGLCGINKMASYPTKNA >ORGLA01G0391600.1 pep chromosome:AGI1.1:1:32271107:32274392:-1 gene:ORGLA01G0391600 transcript:ORGLA01G0391600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPIVGRSAPRKPNESMRLLVVTVIGVMLGFFIGISFPAVSITKLHFPSSIVSYIEDKNSALTAQAILNHAWTAARNAKGNDTESSSDTAMKIYVPTNPRGAESLAPGIVVPESDFHPRRLWGNPDEDLPFKPKYLVTFTVGISQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHVSVRRQAKWWYAKRFLHPDIVASYEYIFIWDEDLGVEHFNAEEYIKLVKKYQLEISQPGLEPDRGLTWQMTKRRGDHQVHKETEERPGWCTDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCVDPAHEKIGVVDSQWIVHQVVPSLGNQGQSEHGRAPWEGVRERCRKEWGIFQTRIAEAEKSYYEMMGVPPPNVTFVH >ORGLA01G0391500.1 pep chromosome:AGI1.1:1:32268478:32270482:1 gene:ORGLA01G0391500 transcript:ORGLA01G0391500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEASYSYGFLPSGRHQPYAPPPPHPAEEGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDTRNAVCPLCADNIGRDMGAHFRVQHSHLLKRRKPSRPSSSWPTPSNNSDPYFEGPPQYMMNNRTYQDPAPDPLLSQFICSMAQTDTNSDNTNTEIAVSAVSHDQRLSQRVTLTDDASKLELKERLQRIEFVKEIIMSTIL >ORGLA01G0391400.1 pep chromosome:AGI1.1:1:32263417:32265138:-1 gene:ORGLA01G0391400 transcript:ORGLA01G0391400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48250) TAIR;Acc:AT3G48250] MAPPPPPTAFSRLRRLFSTAAATATAPTPESVLYSLRTLSKDPSVALAFFRRSQAGGHPLGSAAYNLMLRTLASHPTSAHSHFWPFLRDMNDAGHSIDQGTYLAALASFKKASLTADYASLTAHYAKAQEDAKGRTPTSAAADAVRALEDGSDSDASAELDEKLEGVDLPLTETAVARVLREVRDHPIKALAFFRWAGRQIGYKHGSVSYNAMVRVLGREESMREFWDLIQEMKADGIHVDIDTYVKLSRQFQKRHMLTEAVELYELMMDGPYKPSKQDGPVLIRRIALGPSPDLELVYRVVRKFEAVWEFKTKDVFDGIHRALTSNGRFDEAAEIVKRMKGEGHQPDNITYSQLIFGLCKANRFDEARKALDEMEAEGCVPDLKTWTMLIQGHCAAGEVEKALQYFTEMVEKNLEADAALLDVMVKGLCSDDKIDASYAFFVEMVDKANLSPWQGTYKHIIGELLRVKKLEEALGLLRSMKARKFPPFADPFPTHIAKYGTFDDARQFLKALTVNNKYPSPTAYLHVFKSFFTEGRYSEAQDLLYKCPFHIRKHPDVTELFESIKIESESAA >ORGLA01G0391300.1 pep chromosome:AGI1.1:1:32261597:32261836:1 gene:ORGLA01G0391300 transcript:ORGLA01G0391300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHNQVLYFQAQRKVRFQDNQANIMKEVPIGTNEKLVHDQRMKDDKNKDVDSVASDFIKLKHRAWALQKSASMYQPS >ORGLA01G0391200.1 pep chromosome:AGI1.1:1:32246730:32248984:-1 gene:ORGLA01G0391200 transcript:ORGLA01G0391200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase family M48 family protein [Source:Projected from Arabidopsis thaliana (AT3G27110) TAIR;Acc:AT3G27110] MAAAAAAASAPRLLLPPAASTFPHQHEHVRRNWHRPRRQVISARAGASVAARGLDADDFRHPLDKQNTLLLRAVPGLNDMGKALLGPVSEQVMVLQNIGSSVLVSENQLSDLHQILTEAAKLLNTDAPDLYIRQNPVPNAYTLAISGKKPFIVVHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTFANILTMGAYSVPGFGMVAGFLEEQLYRWLRAAELTCDRAALLVVQDPKVVISVLMKLAGGCPSLADQLNVDAFLEQARSYDKAASNPVGWYIRNAQTRELSHPLPVMRAREIDEWSRSREYKTVQQKVIQLQLNDHDAS >ORGLA01G0391100.1 pep chromosome:AGI1.1:1:32241441:32244845:-1 gene:ORGLA01G0391100 transcript:ORGLA01G0391100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19210) TAIR;Acc:AT5G19210] MAAFSGCASPLSTTLRSGLAPFTLRHRLRLRRLRASAATLREVCASRVPEHVLQRAEEVGYVVPTEVQEQSLPVLLSGQDCILHAQTGSGKTLAYLLSVFSAIDFGRSSVQALVVVPTRELGMQVTKVARILAAKACTVMALLDGGMLRRQRSWVKAEPPAIIVATVASLCQMIEKRAFSLQSMRVLVIDEVDFIFGSSKQVSSLRKILTSYSAASSRQTIFASASIPQHNRFVHDCVQHKWTKTDVVHVHVNPVQPMPSHLQHKYAICSKKERLHVLLSLLEKDAPKSGIIFVAEQSEKSKKAGHPPSTTVVVEFLRTTYMGSLEVLLLEEDMNFNARATSFTEVKGKGFLLVSTDIASRGFDLPQTSHIYNFDLPKTAIDYLHRAGRTGREPFSKLACSVTTLITEDEHFVLQRFQNELKFHCEELPVESMFAFHL >ORGLA01G0391000.1 pep chromosome:AGI1.1:1:32239480:32240796:1 gene:ORGLA01G0391000 transcript:ORGLA01G0391000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIA subunit 2 [Source:UniProtKB/TrEMBL;Acc:I1NVM0] MATFELYRRSTIGMCLTDTLDDMVSSGALSPELAIQVLVQFDKSMTSALEHQVKSKVTVKGHLHTYRFCDNVWTFILTDAIFKNEEITETINKVKIVACDSKLLETKEE >ORGLA01G0390900.1 pep chromosome:AGI1.1:1:32228060:32231007:1 gene:ORGLA01G0390900 transcript:ORGLA01G0390900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHETRPPSAGRPPSSGRGRADDADEREEGEIADDDSGHAPPQANPAAPHPLEHAWTFWFDNPQGKSKQATWGSSIRPIHTFSTVEDFWSLYNNIHHPSKLVVGADFHCFKNKIEPKWEDPICANGGKWTFSCGRGKSDTMWLHTLLAMIGEQFDYGDEICGAVVSVRGKQERIAIWTKNAANEAAQISIGKQWKEFLDYKDSIGFIVHDDAKKMDKGLKNRYTV >ORGLA01G0390800.1 pep chromosome:AGI1.1:1:32221224:32223368:-1 gene:ORGLA01G0390800 transcript:ORGLA01G0390800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEDNNNLATSEHDDGAINTMIMEKNVEEANLITQELNDLGLGEDISTDKFRGYLCQLPQERDSPVDISTRLNFDQLDAQNELHELYRVKYYKLLQQVPRTGSKLDHDTMIEQYPFDMSKQLEEVLMCFENDGTLDSVDDEVLWEVLKCFDYTFVWYFHPEYCKLAALVDYQRLVIKNYGCMYANWDRYHMYFNTYDVEKQYAKYYVELSKKLKWGRVSNRGLYQAVKIATGFPKITAKLAYLGFHEFVSSMNYDVCFFKELDDVYFEIWQRVTKQKMSFRDAMKEVYELNRFPLRQQKMKYVLEINDCSQWEAEFHTCTACITXEVAEDQVLGLIADAVKKLRDKPRFYDDYIKKKINIAQAIGLITTEEA >ORGLA01G0390700.1 pep chromosome:AGI1.1:1:32202504:32205288:-1 gene:ORGLA01G0390700 transcript:ORGLA01G0390700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPPPPAASTARLDLDGNPIAPLTICMIGAGGFIGSHLCEKLMAETAHVVYAVDVYCDKIRHLVDPAPPHLHGRISFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPTDHPLRKEPEFYVLKEDESPCIFGPIVKQRWSYACAKQLIERLIFAEGAENGLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVHLMIENPARANGQIFNVGNPNNEVTVRQLAEMMTEVYANVSGEPPLDEPMIDVSSKQFYGEGYDDSDKRIPDMTIINKQLGWNPKTPLKDLLETTLTYQHKTYKEAIKRQMSQASASS >ORGLA01G0390600.1 pep chromosome:AGI1.1:1:32199554:32201106:-1 gene:ORGLA01G0390600 transcript:ORGLA01G0390600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSSPEQAAGNNKEDAEARRQPPSTVRFYPSADQPKARQPPPIKLEEEDVPPPPVADEEMAPRNLWQVYALGAFIVLRWAWVKWKESKDRDDSPDGRSPDGSS >ORGLA01G0390500.1 pep chromosome:AGI1.1:1:32189602:32190261:1 gene:ORGLA01G0390500 transcript:ORGLA01G0390500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEDTSSASSSSVSPPSSPGGGHHHRLPPKRRAGRKKFRETRHPVYRGVRARAGGSRWVCEVREPQAQARIWLGTYPTPEMAARAHDVAAIALRGERGAELNFPDSPSTLPRARTASPEDIRLAAAQAAELYRRPPPPLALPEDPQEGTSGGGATATSGRPAAVFVDEDAIFDMPGLIDDMARGMMLTPPAIGRSLDDWAAIDDDDDHYHMDYKLWMD >ORGLA01G0390400.1 pep chromosome:AGI1.1:1:32185718:32188174:1 gene:ORGLA01G0390400 transcript:ORGLA01G0390400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNAisopentenyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) TAIR;Acc:AT2G27760] MAHLGASAAPLPSPDHDASEEASHSPPPPEKGLRKVVVVMGATGAGKSRLAVDLASHFAGVEVVSADSMQVYGGLDVLTNKVPLHEQKGVPHHLLSVIDPSVEFTCRDFRDHAVPIIEGILDRGGLPVIVGGTNFYIQALVSPFLFDDMAQDIEGLTLNDHLDEIGLDNDDEAGLYEHLKKIDPVAAQRIHPNNHRKIKRYLELYESTGALPSDLFQGQATEKWGRPRNSRFDCCFLWLDADLHVLDRYVNERVDCMIDDGLLDEVCNIYDREATYTQGLRQAIGVREFDEFFRFYFARKETGEIKMDSCTTMAGLHDDNLKGLLDEAVSQLKANTRRLVRRQKRRLHRLNKYFEWNLHHIDATEAFYGNDMCATADSWNMKIVKPCVDIVRDFLSDDAILASRDGSSVTGSPRMSSRELWTQYVCEACDNRVLRGTHEWEQHKQGRCHRKRVQRLKQKASTVISL >ORGLA01G0390300.1 pep chromosome:AGI1.1:1:32178484:32183508:1 gene:ORGLA01G0390300 transcript:ORGLA01G0390300.1 gene_biotype:protein_coding transcript_biotype:protein_coding FEGGLFLAAENLLTECERWFRTMSSQSSSLLAPLDFLIETWYFAQEHGINYVQDICPGYLAQNFVQVISRRSFVKLPYDLLYSTIKCPFLTVDRFKSIFLFNPVLQVRICLLPLEFAAGTKRNWAEFGSKVESRILNLLKDSLRTVLDAIADDNLESYRVRITEYSKIMHFVALLTDEDFVLQKIVLSGCPQITTEILYISVLPPANVGASLNKSYGFGYKKSCNHSIQTLCNSNPLDSMDEYRHVMAFRMQELHLDGCKGIGYAAMSQLMSNVNITNFLCLRETTLTDGALCNFVGSSLEFLDISETVVSMVSLAPVIRRNSNLRCLKAAGCRNLLFEHGEVEAMSGGNIYGDFLQEITSTCCLEDVEMGWAFCPIRVTTLIPSFSKVRKMTIGLGTTLPENILCALPDICPFLESLVLRFQMISDKVVRNLLESSTKLRVLCLYSCLGNLTSFSFQIKAPLLRILRLEWITPWMTNDDLAVLIQNYNLVELSLSGCKLLDSNSQELISSGWPNLTCLHLELLFKPKFQGFSAVISVATLLNK >ORGLA01G0390200.1 pep chromosome:AGI1.1:1:32175904:32177016:1 gene:ORGLA01G0390200 transcript:ORGLA01G0390200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVQVVAVALALWCCGAAVVASAAASSPPLVSPKAKPGVRPKLPPKTKLTTITFSPHHKRDYQVTCTNTGRRPCVVSCPSNCPNKCLVACAYCLTFCMCDLFPGTSCGDPRFTGADGNTFYFHGKKEQDFCIVTDADLHINAHFIGNHNPAMKRDFTWIQSLGISFGDHRLYIGARRAAEWDDDEDHVQITFDGEPVNVDAAKGAHWVSAALPSLSVSRTDTANAVAVELDGVFAITANAVPITDDDSRIHHYGKTAKDTLVHLDLGYKFHALSGDVDGVLGQTYRPTYANRLNITAKMPIMGGADKYRSSGLFSPDCAVSRFHRRRTAGDHVALGFAS >ORGLA01G0390100.1 pep chromosome:AGI1.1:1:32172717:32173815:1 gene:ORGLA01G0390100 transcript:ORGLA01G0390100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAYMAVAVALFLVVVCAAVSEAAKPPASHPRLPPNYHMINPGSSGLGKRDQELSCADTKGKKKGCMAKCDKRCPNQCIVMCPSCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDHDFCIVSDADLHINAHFIGKRNPTMSRDFTWIQALGIRFADHRLYMGALKTAKWNSDVDRLELAFDGAPIDVPAQLGARWESAAVPGLTVTRTAATNAVRVQLAGVFDIMANVVPITEHDSRIHNYGVTEEDSLAHLDLGFKFYDLSDDVHGVLGQTYRSDYVNKLSVSASMPVMGGAPSYVASDIFSTDCSVARFGHRAGITMVTARAS >ORGLA01G0390000.1 pep chromosome:AGI1.1:1:32170325:32171414:-1 gene:ORGLA01G0390000 transcript:ORGLA01G0390000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQLVGALAVLVAVCAIAAVQAQPPSPKLPPNYHVINPGHMGGKRDQQLSCDDTQGKKKGCMAKCDKRCPNQCIVMCPSCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDHDFCIVSDADLHINAHFIGKRNPTMSRDFTWIQALGIRFADHRLYIGALKTAKWNSDVDRLELAFDGAPVDVPAELGARWESAAVPGLTVIRTAATNAVRVQLAGVFDIMANVVPITEQDSRIHNYGVTEEDSLAHLDIGFKFYDLSDDVHGVLGQTYRSDYVNKLSVSASMPVMGGAPSYVASDIFSTDCAVARFGRRASISMVTARAS >ORGLA01G0389900.1 pep chromosome:AGI1.1:1:32166235:32167305:-1 gene:ORGLA01G0389900 transcript:ORGLA01G0389900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAAVVAAAAALLVVVCAAAQAPSSPRLPSNYHVINPGRFGKRDQQLSCTDSNGNKAVCMAKCDKRCPNQCIVMCPGCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDQDFCIVSDADLHINAHFIGKRNPAMSRDFTWIQALGIRFADHRLYMGALKTAKWSSDVDRLELAFDGAPVDVPAELGARWESAAVPGLTVTRTAATNAVRVQLAGVLDIMANVVPITEQDSRIHNYGVTEEDSLAHLDLGFKFYDLSDDVHGVLGQTYRSDYVNKLSVSASMPVMGGAPSYVVSDIFSTDCAVARFGRRAGISMVTGTAN >ORGLA01G0389800.1 pep chromosome:AGI1.1:1:32162031:32165085:-1 gene:ORGLA01G0389800 transcript:ORGLA01G0389800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGASSPARRRPRRGSKGPNSDLSRTLTDCTRRGDAAAAMAAFDTTLSGPDAPRLLAHQYNQLFHLLATADADSLPNAAAAARRVFSHMLGSGASPSEATITSLARVTASDASNPAAADEAFDLVATMRDKYGVAPRLRSYSPVLAAFRRAGDAGKAYAVDAHMEASAVAPEEPEIAALFDVSAKAGDADKVYEYMHKLSRTVDCVGEETAEVLEGWFRSDKAAMAGKAEWDACNVKDAIVANGGGCHRLGWLGSGPWTVQRVRVGGDGQCEGCGCRLACVDIDVEETQRFADSVASLALQRETKTNFSQFQEWLEGHGAYEAIVDGANIALYQQNFAEGGFSLTQLDAVVTELRDRYNGKWPLVVLHNKRIAKLMENASNRHLIETWRANGALYTSPIGSNDDWYWLYAAIRLNCLLVTNDEMRDHIFELLGSSFFPKWKQRHQVKYTFSKGKAVLMMPPPYSSEIQESEMGSWHVPMEEKSGDDRARIWLCIDRTGHCKHPHEAPAANGVVQDVSPTEASHGCEQRRAEHNGGSLTGKRKDRN >ORGLA01G0389700.1 pep chromosome:AGI1.1:1:32157592:32161289:1 gene:ORGLA01G0389700 transcript:ORGLA01G0389700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04710) TAIR;Acc:AT5G04710] MALLRAHHLLLMRPRAAFSSRPPPLSSSPCRRALPTTAVASRLLCSHHASSPPDDAPTSASPSIVADLLDYLNESWTQFHATAEAKRQLLDAGFTLLSENDDWDLQPGGRYFFTRNMSCLIAFAVGEKYKLGNGFNIIAAHTDSPCLKLKPRSASFKSGHQMVNVQTYGGGLWHTWFDRDLTLAGRVILKDADGSFKHELVKVSRPLIRVPTLAIHLDRTVNSDGFKPNLENHLVPLLATKHEETTANSSEKNSPSSTKVVHHPLLLQVLSDEIGCKSDEIIGMELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLMDSSKMPEELSNEKAIRMIALFDNEEVGSNSMQGAGAPTMFHAMRRIVDSLMHQSMGEGALDRAINSSFLVSADMAHALHPNYPDKHEEHHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARHHNLPVQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIPQLSMHSVREMCGKEDVDTTYRHFKAFFEMFSDIDRKLNVD >ORGLA01G0389600.1 pep chromosome:AGI1.1:1:32153470:32156407:1 gene:ORGLA01G0389600 transcript:ORGLA01G0389600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQKPTNGNTAPKDCKEPATNDESNAVPVADLNNDLQEADEAIKRLNELGLGENISSEEFLTYIDQLNEQPIIDTSIELDDAQVTTLYFQHARYRLRYYKHLSQQPNTELVEDSYHMKLVGEDELSDEFIREMEFFMRFEEDGTFDWYFYPDYCWLAALNDYQRLVPINCVGEEYAYWDDYHGYFNSYHTELQYLDYCKALSKELKWMEDYVLNKLPSSKWGRICSRGAYQAIKIATRFSKITAALAYNAYYHMRFYVAYCKDMDSLYFEIWQRVNMQKKSFRDSLEEVYNLNKFPSRQDKMKDALENNCSHMETVFHVCTASVTSEIAEDKALELIAKAVESRMNKAKFYEQYIEKKIDIARAIGLISTDGTEAT >ORGLA01G0389500.1 pep chromosome:AGI1.1:1:32146470:32149367:1 gene:ORGLA01G0389500 transcript:ORGLA01G0389500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRLLHHPPRIQVQGVSNRGAQVATTSRQKHAKINKSSTTRASEKLRSATNSPSQKTDTASSSNGNIAPHGCKEPARSDHPNNIVHAMDDKDSQEADDAIKRLNELGLGENISSEEFAKYIDQLVQDPKVDTSTKLDRAQLASLHALHARHRIKYYKESPEYMLNTECKGDSYHTKLLGEDEISDEFITEMGFFMRLEKDGTFDWCFFPDYCLLAALDDYQRLVPLNGVDWQYAYWDDYHSYLNSYKTEQQYLKYCKALSMKLKWMEDYVLNELPSLKWGMICTRGAYQAIKIATNFSGISGTLAYNAYYDCLQHMRFYVAYCKDMDGLYFEIWQRVNKQNKSFRDSLEEVYNLNMFPSLQDKMKYALENDCSYMENEFHICTASVTSEITEGKALELIAEAVENRTNKPKFYEQYIQRKIDIAQAIGLVSTDGTEAA >ORGLA01G0389400.1 pep chromosome:AGI1.1:1:32123236:32130809:1 gene:ORGLA01G0389400 transcript:ORGLA01G0389400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRPDLGGGGSFREGPQLSGAGTPRALAEPPPLAQYLPLESFPVGDHKQSRATELRRVLGVTVEAEQSFGLVQTKPLPSIASEELKRIRGGVVESSAKAKEKTKSLQDSIQKLDKYRNVVTRRRQRSEGGATERSSGSGSGSLRMGAQNSMDNPGQRLEERAKSATTSKRVRSSLAADARLEGRGNVPTRQGPLADSEKSSSLEKEKNSLRNVNAASGFSEDKLRGLAPGGEGWEKKLKRKRSVGTMLNRGNDVDRDVKPLVQHRPNNEARMRSSDGLPIRHGASAGALGGSKMDGGSQQSNAGSRYLLKADMDSTSLPNERRERHLGIDKERVLVKGNKANTSEDMQPGTLNPLTKGKACRAPRTSSLVVMNSSSTLQRSSGGIDEWEETPSTNKSSPLGGTANRKRPMTASGSSPPVAWVGQRPQKMSRTRRANVVSPVSNFDEGLSEGSPLDAAVRPAVESPGLLLPRGVASNNSQVTPRMDNISSPAGLSESEDSAATENKNKDKISNSGDFENEGANSAHNSADLIISSKKSRILLKEELEDGSIRRQGRSGRNTMHVKGCASMPREKLDSPETRKLLKSGRPVSEKNESKLGRPPTKKGSDRKASSRHSEILNCGLTDISGESEDDREELLAAANAARSAIVNAYAGPFWKKIEPMLTFISSEDLSFLKHQITFLEELEMGMSNSSDEHNLNTSTNYSGPLSMGQNSSLPQSNSCVSLEQSEANGPRTRESIDILSPNDENTASQKTHAEELFGGMASLTHKLFSAFIVEDGDNSSECNGGDILLEFSNDFLPYAANMNLENDFAASAVKSNFGSSPDFKHSNHSSVHNSMSNGFTASSNLRASYSPNSICSENASDAIKFAVYPENGGFHEFVPHISQQYQNCAKSTPLPPYEYQYDQLPVHDRALIELHSIDLCPEMXXXXXXXXXXXXXXXXXXXXXXXXXVNQKKCQLHKLDKAIRDTKNMEERSLEQHAMNKLVEMAYKKLMGGRGSSSHKGGLNKAANKAAKQVALAFAKRTLARCQKFEETEKSCFREPFLWNVLSAPLPKNDAIDGGLPGSADRPKLLKLDRSPLSQGTTKWKKSDRERDQNRDASLKNSNSKSGRNSSGNARSERKTKIKPKQKLAQLSTSGNVLGRVTEPSNFAAPGQRESHDWTSTSSTRPTQPVRNSAATVAQDTLDAPLANLPAIDPMDILDVPEGNDISSWFTDGLDDSLQDFDFSGGLEIPDDDLTQLGFM >ORGLA01G0389300.1 pep chromosome:AGI1.1:1:32115673:32119294:-1 gene:ORGLA01G0389300 transcript:ORGLA01G0389300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATQGKKEEEEFSTGPLSVLMMSAKNNTQVRVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >ORGLA01G0389200.1 pep chromosome:AGI1.1:1:32112856:32114310:-1 gene:ORGLA01G0389200 transcript:ORGLA01G0389200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITDVEVEILLGILNLYSLVGSFAAGRTSDWIGRRLTIILAAVIFFVGAIMMGLSVNYPMLMAGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINFGILLGYVSNYAFSRLRLQLGWRLMLGVGAAPSVALALMVLAMPESPRWLVMKGRLADAKVVLGETSDTAEEAATRLAEIKEAVAIPADLDGDVVAVPKRAGGERRVWKELILSPTPAVRRVLLSALGIHFFQQSSGIDAVVLYSPRVFQSAGITDKNKLLGTTCAVGVTKTLFILVATFTLDRFGRRPPLLASAGGMVATLVTLGLGLTVIGEGATGGGWVIAVSIASILAFVAFFSIGLGPITWVYSSEIFPLHLRALGCSLGVGLNRVTSGVISMTFLSLSKAITIGGSFFLYAGIASLAWLFFFTYLPETRGRTLEQMGELFGIHNMAGDDDSAATPPPSPEEEEKITNYVEMAAPSSSSSTATSSHHAKXVMELM >ORGLA01G0389100.1 pep chromosome:AGI1.1:1:32107273:32107810:1 gene:ORGLA01G0389100 transcript:ORGLA01G0389100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLLLSLQLWRLMRQWISAYFPIPGSENTCLTEWWLQARTCFRKCYRTNFDSACMLICWQIWKERNARVFDQRSRSPNQLAEAIKEEILVWKEAGYFEICSS >ORGLA01G0389000.1 pep chromosome:AGI1.1:1:32103015:32106718:1 gene:ORGLA01G0389000 transcript:ORGLA01G0389000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAPIPQPWHQWPFLILFFLVLFSCESNLPCRNGVEATQRVFLYPQSPKVSSIVSKGYRTGYHFQPPKNWINDPNGPMYYNGIYHEFYQYNPNGSVWGNIVWGHSVSTDLINWIRLEPAIEGNTPSDINGCWTGSATILTGDQPVIIYTGADTEKRQVQNIVLPKNRSDPYLREWTKPKNNPLIEPVGPGLNSNQFRDPTTGWIGPDGIWRIAVGAELNGYSAALLYKSKDFMQWTRVDHPLYSSNASNMWECPDFFAVLPGKNNGLDLSAAIPNGAKHVLKMSLDSCDKYMIGVYDLKHDMFVPDTVLDDRRLWLRIDYGNYYASKSFFDSKKGRRIIWGWTNETDSTSDDVAKGWAGIHAIPRTIWLDGDGKRLLQWPIEEVESLRRNEVSHQGLELKKGDLFEIKGTDTLQADVEIDFELTSIDAADPFDPSWLLDTEKHCREADASVHGGLGPFGLVVLASDNMDEHTTVHFRVYKSEQKYMVLLCSDLRRSSLRPGLYTPAYGGFFEYDLEKEKKISLRTLIDRSAVESFGGGGRACIMARVYPAAVVDGATHMYAFNNGSSTVKVSQLKAWSMTRAQVNVRKG >ORGLA01G0388900.1 pep chromosome:AGI1.1:1:32100113:32100687:1 gene:ORGLA01G0388900 transcript:ORGLA01G0388900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 55 (VPS55) family protein [Source:Projected from Arabidopsis thaliana (AT3G11530) TAIR;Acc:AT3G11530] XLFFLILMVQACALYNNWWPMLAALMYVLVPMPCLFFGGGSTQFLTSRDGGGWFNAAKFLTGASAMGSIAIPAILRHAGLIETGAMFIEFTSFFILVCTVMCFHRATLDEDW >ORGLA01G0388800.1 pep chromosome:AGI1.1:1:32094811:32097853:-1 gene:ORGLA01G0388800 transcript:ORGLA01G0388800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT5G22320) TAIR;Acc:AT5G22320] MARLTVEQAKREAGSAGTLATSLNLSHRALSDVSCLSSFVNLERLDLGYNCLLTLEGLSNCANLKWLSVIENKLVSLKGVEGLSKLQVLNAGKNKLKTMDEVKSLTSLGALILNDNNISSICKLDQLHQLNTLVLSKNPIFTIGDALMKAKAMKKLSLSHCQIEXIGSSLTACVELKELRLAHNKITTIPSDLAKNVKILNLDLGNNLIERRSDLEVLSELHYLRNLNLQGNPIAEKDGLAKKVKKLVPNLRIFNSKPMEASSKRKNSREENLPINDADTPDDGPTDIYTKKKGKGKHSKQQIKSPEEPAGQSTRPDVTIAAPAKSELLDGKEMKKEKAAVEHVKNKKSKRKDDNSSVDHTDKKVSKGAKRTKSAKKEEKNADGIDDTEMPFADLVFSGEGNNPELELKGKNQEIARDGKFGGLVIDHTKKKKKAKGTVFGSSALEQLSSVPEVGSGALSGWD >ORGLA01G0388700.1 pep chromosome:AGI1.1:1:32090905:32094046:1 gene:ORGLA01G0388700 transcript:ORGLA01G0388700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLRSSARLLRELREASRSSGRRREWLSGGAVASAARTTSLLHPLPGLDVPQCLPDQLGVLPTRVTTLPNGVRVASEDLPGPSACVGVFVDSGSVYETAETAGVSHLLERLSFKDTAHRSHLQIVQDVEATGGNIGASASREQTVYSYETLKAYLPQAIEVLIDCVRNPLFLQDEVERQVAFAREEVQELQKNPERFLQESLNLVGYTGALANPLVAPEESLTRINGSIIQKFYHENFTADRLVVAASGVDHQCLLDVAEPLLSDWHKGSPVERPESKYIGGDFRHRADSEMTHVALAFEVPGGWLEERDATIMTVVQTLMGGGGSFSSGGPGKGMHSRLYLRVLTKYHTVESFSAFSNAFDRSGLFGIYLTTPSDFVAKAVDIATKELIAIATPGQVTDIELARAKNSTISAVLMNLESRVIVAEDIGRQILTYGCRKPVDHFLQCMDEMTLDDITAFAKKMLSSPPTMASWGDVDKVPPYEFVCKRF >ORGLA01G0388600.1 pep chromosome:AGI1.1:1:32089929:32090616:-1 gene:ORGLA01G0388600 transcript:ORGLA01G0388600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor assembly, complex C (B6F) [Source:Projected from Arabidopsis thaliana (AT5G36120) TAIR;Acc:AT5G36120] MAMEASMSRTVSMLFSARRCIVASAAKDIEIAQGRRRRRSNDVKQQRGSHGQLAVAAATTAAAMTGQCHAAAAAAAPERQWQEVAEGVCRAVAVVGELDPATAKAAIGVAGPALSAFGFLFIARIVMSWYPRLPVREFPYVVAYAPTEPLLAVTRKVIPPLGGVDVTPVVWFGLVSFASEILVGPQGLLVLLSQQKP >ORGLA01G0388500.1 pep chromosome:AGI1.1:1:32078039:32088022:1 gene:ORGLA01G0388500 transcript:ORGLA01G0388500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTEHGRNLLSSRRRTLAVVSGALLAGGTLAYAHSARRQKRQEEYSHSDASTQTTGNQSICQNGVDGKLVKTRKKKNGLKSLQFLAAILLKKIGPNGTNHLLGLMITAVLRTAVGHRLAKVQGYLFRAAFLRRVPTFTRLIIENLLLCFLQSTIYQTSKYLTGSLGLHFKKILTDLVHADYFENMVYYKLSHVDHRISNPEQRIASDIPKFCSELSGLVQDDLTAVADGLIYIWRLCSYASPKYVLWILAYVLGAGGAIRKFSPAFGKLKSMEQQLEGEYRQVHSRLRTHAESVAFYGGENREASHIMQRFQALVKHLNVVLHENWWFGMIQDFLLKYLGATVGVILIVEPFFAGNLKPESSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNLLSGYADRIRELLDVSRELSGVRDLSMNKKSSVDNYISEANYIEFSGVKVVTPSGNVLVDDLTLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETEPLSYGGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCKRVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWTVQENRNGSFISAEPEFDALNSSETDRKSDALAVQRAFIANTKGNALMGPKDHSYSTQLIATSPNMEIEHTERSNLVPQLQCSPRPFPLRAAAMSKILVPKLFDKQGGQLLAVALLVFSRTWISDRIASLNGTSVKYVLEQDKAAFLRLIGISVLQSAANSIVSPSLRNLTSKIALGWRIRMTNHLLQYYLKRNAFYKVFNMSGIDIDADQRITHDVEKLTNDLAGLVTGMVKPLVDILWFTWRMKILSGRRGVAILYAYMLLGLGFLRAVSPDFGDLANQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFTTMLNHSRTLLRKRWLYGIFDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRVFELEELLQTSQSNAAMPSNPIIAASEEIISLHDVDIVTPSQKLLATQLSCDVSQGKSLLVTGPNGSGKSSIFRVLRGLWPIASGRLTMPSDGIFHVPQRPYTCLGTLRDQIIYPLSHEEAELKVLSLYKSGDKAITSGSLDDHLKTILENVRLVYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHCPKFGILDECTNATSVDVEEHLYKIATSMGITVITSSQRPALIPFHSLELKLIDGEGKWELCTINQ >ORGLA01G0388400.1 pep chromosome:AGI1.1:1:32069732:32073505:-1 gene:ORGLA01G0388400 transcript:ORGLA01G0388400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxoprolinase 1 [Source:Projected from Arabidopsis thaliana (AT5G37830) TAIR;Acc:AT5G37830] MGSTEKFRFCIDRGGTFTDIYAEVPGRREGYVMKLLSVDPSNYDDAPIEGIRRILQEFSGERIPRSSKIPTGKIDWIRMGTTVATNALLERKGERIALCVTRGFRDLLQIGNQARPNIFDLKVSKPSNLYEEVVEVDERVELVGGGDGERDDGLSVKGISGELVRVAKPVDVEALKPLLKGLLDKGIRCLAVVLMHSYTYPQHELLIEKLSLEMGFKHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKEYLSGFMSRFEGGGEQVNVLFMQSDGGLAPERRFSGHKAVLSGPAGGVVGYSQTLFQLETSKPLIGFDMGGTSTDVSRYDGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFKVGPDSVGAHPGPVCYRKGGELAITDANLILGTVIPEYFPSIFGPNEDLPLDYDATKKAFESLAVEINSHRKSQDPSAKDMTVEEIALGFVNVANEAMCRPIRQLTEMKGHDTKNHALACFGGAGPQHACAMARSLGMSELLIHRYCGILSAYGMGLADVIEDLQEPYSAIYNVDSAAEASRRVDLLVKQVKEKLIEQGFGEDSIRTHSYLNLRYEGTDTAIMVKQPERESGSDYADEFVKLFQQEYGFKLLNRKILICDVRVQGVGATNILQPHELTPVSTKPVPESSCRIYFSYGWQETPLYKLQNLGYGHVLKGPAVIMNGNSTVIVEKDCKAIITKYGNIKIEISAASSSVEVSETVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVRWQLKYWGDNLHEGDVLVTNHPCSGGSHLPDITVVTPVFNEGKVIFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAAIKAFKLVERGVFQEEGIIHLLQSPSSDELTNHKIPGTRKIQDNLSDLHAQVAANQRGITLIKELINQYGLITVQSYMNHVQNNAEEAVREMLKVVASRVEKENGSCVIEDEDYMDDGSVLHLKLTLDSSKGEATFDFEGTSPEVYGNWNAPEAVTAAAVIYCLRCLVDVDIPLNQGCLAPVKILIPKGSFLSPSDKAAVVGGNVLTSQRVTDVVLMAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGASWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHRFSIRENSGGSGFHRGGDGLVREIEFRQPVVVSILSERRVHAPRGLKGGRNGARGANYLVKKDGRRVYLGGKNTVMVNAGEILQILTPGGGGFGSP >ORGLA01G0388300.1 pep chromosome:AGI1.1:1:32064261:32066275:-1 gene:ORGLA01G0388300 transcript:ORGLA01G0388300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein [Source:Projected from Arabidopsis thaliana (AT5G04830) TAIR;Acc:AT5G04830] MPPPLDPILACMLNLRRATAAAAVDGLWFSFFSTTTTAGGAMEEEKAASPVSRHIMPHLLNIYGSCATARDFEIYAAHATFEDPLMRAHGVKQIKSAFYTLPKLFGESKIVEYTITENETAPGKVEILIDNKQHYKFLGRAIDLASLITLDVEDGKVVKHQDWWDKKPLKNRDTVSFPVVGRLAEATRRGAMLLTHALMGCGKDP >ORGLA01G0388200.1 pep chromosome:AGI1.1:1:32062066:32062602:-1 gene:ORGLA01G0388200 transcript:ORGLA01G0388200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQAVVAAALAALAAGILAASLLLLWRCRRRSAAANRQQPAVVVASDAELTVQSPKKPAPARRRGGGSALRRALLRLLFCSRRRLTRVEPADSAAAATQGEEGEQAAGPDEEEVNTWRDRWFGPATAAASRALYTIDEESGAGSEGEEEPEPETPFYTPPASPPRLGGGGHSPEATV >ORGLA01G0388100.1 pep chromosome:AGI1.1:1:32055999:32060291:1 gene:ORGLA01G0388100 transcript:ORGLA01G0388100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPARKENGTVAAPAASSATASNTFHVLNSGSAAAAVAAGRAYVQSPRATALRSPQVRAITNYGMFPTTGASAARTGMAGRTINGGASMLTTTTTTPPHLIQQLMVLAGWTTRSPWLQNHASMSPRGSPSSFSSGRFSDPRGMPSTFAYRTPGASSGRVIGNGTVAGRGKQMAGTSRSLEIIAVDTGAGSSKNASPAGGHANAGVVVKRLAPVLAMPSAGAAGKGKEEAAAAAPSPNGRGRKRAPPKVSNDPAAGSDKKPRKRAKKAPAAGSKVTVPADVIIVDDDVNQPSSNTDAQSNADDDLKKAAVASPAATRSKTRSKRKTSSNSAAASPSARSSAIAARKKNNATATGTTATPPPPAAKKHTVLTWLIDTGFLKDKAKVFYVPGDAGAAEKVISGMVTKTGIRCRCCNTVVPVAVFETHARCERPGQPWEKLLLMSGKPLSKCMQEAWAQERVTAMRAREKAMASLEQEKEKSSQAKRKLAKTKKMQLLDGVVVVSTSSPRHQVKKNGGGKDCSDDACGVCADGGQLLCCDTCPSTFHPDCLAIQFMIKSWLLFDRQQLTTIYSQQPWLQTAPGAAISADHQYCRPLQSPGFEIGAYCSETCKKMSSHLSDMIGVMNHTEDGFSWALLKIQKDELVTSEDMPVILESNVKLAVALGVLNECFNPVQDRRTKIDMLHQAVYSLGSEFKRVNYEGFYTMVLEKDGEIISVALLRFHGRKLAEMPFAGTLPAYQKQGMMRRLVKAVEKVLASLQVENLVIPAVADLVETWKRSFSFRPMQAEVRDEAKKLSLVAITGTTLLQKPISAHQQQPVPSRKGSSSSRSKRASSPATVTGSSKEEEEQMTTTAARLTDDELAFLEMAPLCSFTDLLAGGVCPTGLQLLRGMK >ORGLA01G0388000.1 pep chromosome:AGI1.1:1:32051809:32053987:-1 gene:ORGLA01G0388000 transcript:ORGLA01G0388000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDSQVEATLQDGNKEDEEDDWEAIADRGENDETLTLARSLEQQAKVSPSSSSEKISTPSSGPKRRGRGSFLYDKSVLYSDQCGLENDMDEQESNDQSGSKGRVDEQKHKSSAAARQYGTRHVLVLYDFPPSTLAADLEKIFEKFGDHGVAIRWVNDTVALAVFRTPSAANEAQACIPPRYKVRPLKEDDDLLTKNDGIDLEPPTPRPKTSARTAQRLIAHGMGLRQFTNFGSDELKKQEEARRSRIAARQALRDDAWGSD >ORGLA01G0387900.1 pep chromosome:AGI1.1:1:32044582:32049280:1 gene:ORGLA01G0387900 transcript:ORGLA01G0387900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARABIDOPSIS TRITHORAX-RELATED PROTEIN 5 [Source:Projected from Arabidopsis thaliana (AT5G09790) TAIR;Acc:AT5G09790] MGRRALPPSSSSSSSSTTTTSPELRRKRTAAPPPPPSPRRYRSISDVMRRSLPVDAAPPVARAYESTRCDVCGSGERDEELLLCDGCDRGRHTFCLRPIAARVPTGPWFCPPCAPRSKPVKRFPMTQTKIVDFFRIQKGAEDAEAEKCGLFQDVKKRRKRSLVMHKKRRRILPYVPTEDKVQRLKQMASLATAMTSSKMKFSNELTYMPGMAGRSCNQATLEEGGMQILPKEDKETIELCRTMQKRGECPPLLVVFDSREGFTVQADADIKDMTFIAEYTGDVDFLENRANDDGDSIMTLLLTEDPSKRLVICPDKRGNISRFINGINNHTLDGKKKKNIKCVRYDIDGESHVLLVACRDIACGEKLYYDYNGYEHEYPTHHFV >ORGLA01G0387800.1 pep chromosome:AGI1.1:1:32039295:32043365:1 gene:ORGLA01G0387800 transcript:ORGLA01G0387800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G18680) TAIR;Acc:AT3G18680] MAAAAAVACGMSTSFLIRLSPSPPASSHVPLPRSPASSARPRRASSVSLSTAPRPRARAAGSDSPSNFGGQTSLMPPFSLMLDEGSRSKKPYRWQRVLLKVSGEALAGDHTENIDPKITMAIAREVASVTRLGVEVAIVVGGGNIFRGASWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDADPKRNPNARLLEAVSYHEVQTRDLSVMDMTAITLCQENNIPVVVFNLQKPGNIAKAIVGEKVGTFIGCTKDQDQIVGNALDQERRLVNEL >ORGLA01G0387700.1 pep chromosome:AGI1.1:1:32026495:32033508:-1 gene:ORGLA01G0387700 transcript:ORGLA01G0387700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:I1NVI7] MEGHPDPTSAATAMIPEASIRRINLSITSNEEILKAQPVNELEKPIPITHQSQLLNNPYLGLPLQVGSCQSCGSNAIEECEGHFGFIELPMPIFHPSHVTELSQILNLICLRCLKIKNRKKSTLKGSKFTSCSHCQELPPLCVAEVKKSNGARGLELRAPIKKELEEGFWSFLDQFGSCTRGTSHCRPLLPEEVQNIIKKIPEETRRWLSVRGYIPQDGFILSYLCVPPNCLRVSNVLDGNTFSCSGTSTNLLRKALRKIQQIRGSRIGSSNIQVDQVADDLQVDVANYINLGGTTKGHGDDTFTSQPTAMQWKQKMKTLFISKSSSFSSRGVITGDPYIGLNVVGVPEEVAKRMSVEEKVTDHNIAQLQDMMNKGLCLTYTDANSITYSLDAGKDNPNKKHTILKVGEIVNRRVFDGDIVFLNRPPSTDKHSVEAFYVQVHNDHTIKINPLICDPLGADFDGDCPNLLPKVAFSKSXSXRAIHCGXAAGELPXWETELSVQEXFLTSSEDHVWQGVFXEGSKPNYKCNVLIRDVPPEATDWWTLLDLSSDSRDHXIXCNNTGRPSXQRICWSLSYWYHHLIDPFYKGSKGGHRISESSAALTNGVTAYXWLQHKFGRFHCPKSNSGSYSKXSTXAKXVQGTNYGFHNPFFCHRTVGXSQKXFQHEQSSGAAWFPGASTTTQRKTIQXQVSGGLPVXVSAQMLREYQLLQPSRGTWYCKELNLPWTKPIXGSTSFYMXKGEDNACIXRASGAWQSFQEHDVKTPRCHSMLXWIHKNFVWXLSSSVWLKGCIKLCDTRXPRWYLGSHCSCKCCLQGSVGSXSEXYNFLGLDEGSTAYQGKHXSXCQSPKGHFVSEPVLLXKXMHGESTHNSSLLKEDQIRGLYHRDIHQVSTASNSSSSSSCWPYSPXQEAIESDXNNYGQCSSQMPGDFXEXHKEEGIDAXNIDDRLSFQAQVCATNIQMMIKNFGFPACSFSSLQASLKIYLNLLNELSISXLMPFSLXFXTLLXKVILELRKPTXXGLNQNQHFGSRALVQNRKARWHWKSPWKRLRLLRVAMPGEXQWMHVSLXWIXLTPPGLCRTIYSKSDRCLAFPPHSKKSHRFSYSPFSFXLKFSKSVGMITKSVLQEHLTTVASSMTCTDDLHGFNNSGYKATCQSLKVQAPFMEATLSRSIQCFEKAAAKAYSDQLGNVVSACSWGNNTEIGTGSAFEILWNDENMSSSKSILGGYGLYDFLEAVETTGATKDKAIVPHNYCLYDVDCIPEDKVCLEENNQITWTDKPKAEFLMESEGRRAGMHSTGQKHPRKPNWHEGNTKSSPNSSAVEFTGQVFQRRQLKTKSNWNSDATQQDDKKPSWYISNSAGTQNFTIAGSSRPGEWNRKNNNRGQGGGRAVWKSEGPHRGGSSSNRNQGGGRAVWKSEASHXGSSNNRNRGGGRAVWKSEASRRGGSMRQVASCAFTPVEQQIFEQIEQITKNVKRIIRESRDGIKLPPDDEKFIVTNVLMYHPERKKKIAGNGNYITVDRHQVFHGSRCLYVMSSDGSRKDFSYKKCLENYIRAQYPDAADSFCRKYFK >ORGLA01G0387600.1 pep chromosome:AGI1.1:1:32024090:32025211:1 gene:ORGLA01G0387600 transcript:ORGLA01G0387600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G42130) TAIR;Acc:AT5G42130] MPPPSSRESLSVAMAARSQSQPHLDFPSLFSDLTSLLLQHPPPPSSSSSPGPVFSSSSLSIPAPAPASAAVAATAPPTPLARAAIGACAGAAAGAFTYAALLPIDAVKTRIQAGAAAGGSWQVFLDILRTDGPLGLYRGLSAVILGSASSSAVYFGTCELAKSLLRPHLPPFLVPPLAGASGNVSSSAIMVPKELITQRLQSGAAKGRSWQVLLQILQTDGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFTLKQRNKESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGTEGSRTVVGTMREVVAEEGLMGLSRGIGPRVLHSACFAALGYCAFETARLAILKWYIEDCERKAAAEMKAGVGAA >ORGLA01G0387500.1 pep chromosome:AGI1.1:1:32019440:32023105:1 gene:ORGLA01G0387500 transcript:ORGLA01G0387500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKGASSDVIRVSTSSAPSTSSHGSAQDDCDSSGDVYVWGEVICDNSVRTGSDTVVRSTVRTDVLRPKPLESNLVLDAYHVDCGVKHSALVTKNGEVFTWGEESGGRLGHGSREDSIHPRLIESLAVCNVDIVACGEFHTCAVTTAGELYTWGDGTHNVGLLGHGKDVSHWIPKRIAGALEGLAVAYVSCGTWHTALITTMGQLFTFGDGTFGVLGHGNRESISCPKEVESLSGLKTISVACGVWHTAAIVEVIVTQSSSSISSGKLFTWGDGDKHRLGHGDKEPRLKPTCVASLIDYDFHRIACGHSLTVGLTTSGKVLSMGNTVYGQLGNPRSDGKIPCLVEEIVGENVVQVACGSYHVAVLTIKSEVFTWGKGANGRLGHGDIEDRKIPTLVEALRDRSVRHIACGANFTAAICQHKWVSGAEQSQCASCRQPFGFTRKRHNCHNCGLVHCNACTSRKAVRAALAPNPAKPYRVCDSCFLKLNNAVDSSAISKKKENVLRESNSDGRLTKAIIPSNLDMIRSLDSKAAKQGKKTDALSFLRTPQMNSLLQLRDIALSGGLDLNRPVPRAVRTTAVRSVNTSRAVSPFSRKPSPPRSTTPVPTTHGLSIGKGAADNLVKTNEMLNQEVERLRAQVDNLRHRCEVQELELQKSAKKVQEAMTLVAEESSKSKAAKEVIKSLTAQLKDMAERLPPDQGAYDGNESKQMHFPNGTELHAAIYSGTNGIHQLQNESISALNTPSLNTGRSLHANGISSQHKSPGSISEHSEVSTHSHRVSSPHDTELSNRRARISSDELFSASGKSDDSNNRDARSLQNGEDGYKPRGTVSLSSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVRSSERVSSAASTRSAR >ORGLA01G0387400.1 pep chromosome:AGI1.1:1:32014214:32014475:1 gene:ORGLA01G0387400 transcript:ORGLA01G0387400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKYMDHLILSTCDPKIRISYKRVKLLVLLFNNQMMILLAQDSDQNVNFKYQLFA >ORGLA01G0387300.1 pep chromosome:AGI1.1:1:32004740:32008707:-1 gene:ORGLA01G0387300 transcript:ORGLA01G0387300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKYDLPLLAYKTRFSLWQEKTAAELWLKLESICMSKDLTSKMHIKMKLFSHKLQESGSVLNHISVFKEIVADLVSMEVQFDDEDLGFLLLCSLPSSYANFRDTILLSRDELTLAEVYEALQNREKMKGSCCSGDREKWISVMQEEMQSLEKNGTWELVHLPKQKKPVRCKWIFKRKEALQCASTDEDVEYMSRVPYSSAVDSLMYAMVCSRPDLSHAMSLVSRYMANPGKEHWKTIQWIFRYFRGTADACLKFSRTDKGLVGYVDSDFAADLDKRRSLTGYVFTIGSCAVSWKATLQPVVAQSTTEAEYMAIVEACKESVWLKGLFAELCGVDSCINLFCDSQSAICLIKDQMFHERIKHIDIKYHYVRDVVAQGKLKVCKISTHDNPADMMTKPVSVAKFEICSSLVGIVV >ORGLA01G0387200.1 pep chromosome:AGI1.1:1:32003735:32004205:-1 gene:ORGLA01G0387200 transcript:ORGLA01G0387200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGTAKISDFGLAKLLQPNXTRTFTGVRGTRGYLAPEWYRGAGPVTVKADVYSYGVLLLETVACRRSMEMEEAAGEEERTLAEWAYEWLLVKGEAKSAMSSDETVEAAEVERVVKVAMWCVQAEPQSRPSMDGVILMLQGRLEVPFPPPPPASS >ORGLA01G0387100.1 pep chromosome:AGI1.1:1:31993212:31994805:-1 gene:ORGLA01G0387100 transcript:ORGLA01G0387100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSIEKSYELPDGQVITIGSERFRCPEVLFQPSMIGMESAGIHETTYNSIMKCDVDIRKDLYGNVVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >ORGLA01G0387000.1 pep chromosome:AGI1.1:1:31988759:31991993:-1 gene:ORGLA01G0387000 transcript:ORGLA01G0387000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKSPGDGDSSSGGGGGEQEQEQQAAVVVANATDVSHFGYFQRNPAREFILFVARTVAARTPAGRRQSVQHEEYKVHCYNQNGLCAIAFTDDHYPVRSAFSLLNTVLEEYHKTFGETWRTAKTDNTQPWQYLDDALTKYQDPAEADKLLKIQRDLDETKIILHKTIDSVLSRGERLDSLVEKSSDLSAASQMFYKQAKKTNSCCTIL >ORGLA01G0386900.1 pep chromosome:AGI1.1:1:31983482:31983726:-1 gene:ORGLA01G0386900 transcript:ORGLA01G0386900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDNLIIALTPKNDRWKIKVKVIRLWDAVNPTMADDFYGIQMIVLDAEGNSIRVKVK >ORGLA01G0386800.1 pep chromosome:AGI1.1:1:31981422:31982320:1 gene:ORGLA01G0386800 transcript:ORGLA01G0386800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVAAVADERGDCGGIGRGRGRRRRTRKREAAAVEGGGGRGRGGCSRRWRRRTRMRAVAAVEGGGSDDDGGHGQGQQRLCFCCSCCYHRFLSLGSSTTLLLQLFKDGVLQTKIRDKDDPRLSKMRKEVVAGGKDTMEVDNDFFLVPVKISDHQICTIF >ORGLA01G0386700.1 pep chromosome:AGI1.1:1:31979184:31980704:1 gene:ORGLA01G0386700 transcript:ORGLA01G0386700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRNHGGGLTLLFHFHLAVLVVLPSLLPRARAAAAADSSWHPNHPPTRRGHHVGGGNASPSAAAGHGLPPLSAPAPAPIAGADDLPAFGRAPKQAPPHFGFPLQPTFSVAAPPVAPTAAGEGYPFIGSNPTVPLPTGMADTSTVLPLPDRGDGNDKVVGRAAAAPVRAQIAMIGLVATISILFLSGRS >ORGLA01G0386600.1 pep chromosome:AGI1.1:1:31975955:31977208:1 gene:ORGLA01G0386600 transcript:ORGLA01G0386600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYYETGRHGHGGNDGEDHGSSSKKTSQQGGAGDQEYSHKQKERMAAIIQKGLSLVVGIHTRGTKRRSSTPLRQDAAAAARLATTTTATYLINQHTPYIMYVRRY >ORGLA01G0386500.1 pep chromosome:AGI1.1:1:31969172:31970409:1 gene:ORGLA01G0386500 transcript:ORGLA01G0386500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYYSSTVDECYETTGRQHGHGHGHGHGHGHGHGGMRVESHTDDYYCEGGEIDRGRRNNSMHSQEYLMRQQSGHGGYGYGGGQQQEYYKREEREHKQRERVGEIGALASGAFALYEGHQAKKDPANAQRHRIEQGVAAVAAVGAGGYAYHEHREQKQASYGAKEQQYGYARMPQQQGYYCN >ORGLA01G0386400.1 pep chromosome:AGI1.1:1:31965380:31966017:1 gene:ORGLA01G0386400 transcript:ORGLA01G0386400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQDELACTKTRWPTPAQLEMIERMKEEEEDDEIVAPANRTPSVVETKVQVPEIVEQNVVERDERLRTSDDNDDYEDEPIVRRDGHGGGGGGGRRAYGDIGGYHGSKGRWPREPEVEKLEREKEMLKYGIMSKPTTTRKVKIVHRMIRPPNQYGAAGSAPPPTAGGGHQPATSSYLRPIYYHY >ORGLA01G0386300.1 pep chromosome:AGI1.1:1:31960150:31962077:1 gene:ORGLA01G0386300 transcript:ORGLA01G0386300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTSTTTAAAASPTPCRPAALVARSSAAPLRSAAPVVVAAGLRRAAAPSRRGATLRVQAKKQTFSSFDELLEKSEKPVLVDFYATWCGPCQYMVPILQEVSEKLGDKIQVVKIDTEKYTSIANRYQIEALPTFIIFKNGKPCHRFEGALPANQLIQQIESALEVAK >ORGLA01G0386200.1 pep chromosome:AGI1.1:1:31957050:31959798:-1 gene:ORGLA01G0386200 transcript:ORGLA01G0386200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQDPFYIVREEIQDSIDKLQTTFHRWEKTPSNTGEHVHLTKELHTSCESIEWQVDELEKTILVASRDPAYYGLDEVELSRRRNWIGSARNQVAAVRRSVEKGKSNSTFSAHQDMGTSRSNHYTAQDNDDFIASESDRQLLLMRQQDEELDELSESVQRIGGVGLTIHEELSGQERILNDLSLEMETTSNRLDFVQKRVAMVMKKAGIKGQIMLILFLVVLFIILFVLVFLT >ORGLA01G0386100.1 pep chromosome:AGI1.1:1:31954993:31956451:1 gene:ORGLA01G0386100 transcript:ORGLA01G0386100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NVH1] MASATTLVMVVAAVACLCAPAAAGDGNADATRQPPVVSGLSFDFYRKSCPKAKSVVRKFVRDAVRKDIGLAAGLLRLHFHDCFVQGCDASVLLDGSATGPGERQAPPNLTLRPSAFKAVNDIRDSLEKACGATVVSCSDILALAARDSVVASGGPEYKVPLGRRDSAEFASQQDVLSGLPPPTAAVPALLDALAKIKLDATDLVALSGGHTVGLAHCSSFEGRLFPRRDPAMNATFAGRLRRTCPAAGTDRRTPNDVRTPNVFDNMYYVNLVNREGLFTSDQDLFADAATKPIVEKFAADEKAFFDQFAVSMVKMGQISVLTGSQGQVRRNCSARNPGTVAAGDLPWSVLEVADSFVF >ORGLA01G0386000.1 pep chromosome:AGI1.1:1:31952598:31952924:-1 gene:ORGLA01G0386000 transcript:ORGLA01G0386000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQSGGGGGITVTAVAERDGESGYGAAVFFACLTGALIAIGVLMVLYYRSHHGHGGLAVFLLVLSVFVFLSVCFCCIGLVQLAIHGSLPLEEDRVAAAVDHPLDAV >ORGLA01G0385900.1 pep chromosome:AGI1.1:1:31950703:31951770:1 gene:ORGLA01G0385900 transcript:ORGLA01G0385900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NVG9] GVRRFAAAGLLLLAAAAALVCSSAAARMPPLAKGLSLGYYDERCPQAEAVVFEFLQDAIGKDVGLAAALIRLHFHDCFVQGCDASILLDSTPTEKSEKLAPPNKTLRKSAFDAIDDLRDLLDRECGDTVVSCSDIVTLAARDSVLLAGGPWYDVPLGRHDGSSFASEDAVLSALPSPDSNVTTLLEALGKLKLDAHDLVALSGAHTVGIAHCTSFDKRLFPQVDPTMDKWFAGHLKVTCPVLNTNDTTVNDIRTPNTFDNKYYVDLQNRQGLFTSDQGLFFNATTKPIVTKFAVDQSAFFDQYVYSVVKMGMIEVLTGSQGQIRKRCSVSNAAAAGDRAWSVVETVAEAAESLVL >ORGLA01G0385800.1 pep chromosome:AGI1.1:1:31944620:31945842:1 gene:ORGLA01G0385800 transcript:ORGLA01G0385800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NVG8] MARKMSSTLQVLVVSCCSLLLLCAPAASAGDYPPTAKGLSYGFYQRSCPKAETIVRSFLKKAIRNDGCDASVLLTRTATEASELDAPPNETIRPSALMAVAQLRALLDDACGGAVVSCADILTLAARDSVRLVGGPEYRVPLGRRDGATIAARERVVAAFPPPSSNVTALLAAVAKIGLDAADLVALSGAHTLGVSRCISFDDRLFPQVDATMDARFAAHLRLSCPAKNTTNTTDIDVRTPNAFDNKYYVDLLSRQGLLTSDQVLFSDGRTRGLVGRFAVDQPEFFRRFAFSMVKMSQIQVMTGVQGEIRTNCSVRNAAGGGT >ORGLA01G0385700.1 pep chromosome:AGI1.1:1:31938156:31938425:-1 gene:ORGLA01G0385700 transcript:ORGLA01G0385700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDVGIDSDYGQAALLACLAVTTIGVGVLMVKYYRSHHGALAVFLLALAIFLFLMASGCGTKAVLYTLHGNPPAPDEQRLLVDDPLD >ORGLA01G0385600.1 pep chromosome:AGI1.1:1:31932569:31936623:1 gene:ORGLA01G0385600 transcript:ORGLA01G0385600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NVG6] MASRRSAASPAMAAAAAAVVAVCLLVCSAPAAAAAKKPSYPPVAKGLSFDHYKKSCPQAEAIVFNFLHDAIARDVGLAAALIRLHFHDCFVQGCDGSILLTKTPGGPDSEQEAVPNLTLRPAAFKAVNDIRALLDKACGRVVSCSDIVTLAARDSVKLAGGPEYKVPLGRRDGFTSATTKQVGDALPPPFSHVPELIAALAKLNLDATDLIALSGAHTVGIAHCTSFDDRLFPQVDATMDKWFAGHLKLSCPVKNTANTTVNDIRTPNVFDNKYYVDLQNRQGLFTSDQDLFVNATTRPLVAEFAVDQSAFFHQFVFSVVKMGQIQVLTGSQGQIRANCSVRNPGAAAADEELPWSAAVETVVEAAESIVL >ORGLA01G0385500.1 pep chromosome:AGI1.1:1:31928585:31930420:-1 gene:ORGLA01G0385500 transcript:ORGLA01G0385500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISKKNRREICKYLFHEGVLYAKKDYNLAKHPKVDVPNLEVIKLMQSFKSKEYVRETFSWQHYYWYLTNDGIEHLRSYLNLPSEVVPNTLKKSAKPPSRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRGSRPGFGRGGGGAFGGGASSME >ORGLA01G0385400.1 pep chromosome:AGI1.1:1:31925150:31927845:-1 gene:ORGLA01G0385400 transcript:ORGLA01G0385400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQGGKPPAPDAGGGGGGGESKKGSPCEECGEQPWKYRCPGCSRLTCSLPCVQSHKRRSACTGKRPRTDPVPLANFDDHQLLSDYSFLEETKQVAESAHRLIGAFGRNYGGFGGAQLPKWLFYLRKAAQRRGIWLQFLPRGMARREQNRSRHNHRKDCIYWTLEWKFNSTDVVLTDHNIDEHTSLLSSLEKHLSPGPWKNQLTPYRNTDLRDLKLFIQKSAKESTSPYRQLNIEEPLGPQLRSIKVVEYPTINVFLPSDSCDFEVEKFVNKLPASEKPPGSSTDSPDLEGTEFHEEEIEEGELAPETQVIDLKECGASHASNLASAKDTSGSKVDTKRDSSVLSYIRSLGLDGQQKALTERSKMAPNTTSGASKTKNCMKVYPMDMEESGDAGVISERQGIECKNQAASHPGNLTPVEGTTVSKIDSNTDSLVPSSISILASDGFSCPQVEHNQQSRLTPNSTPEALKRKSCMKVYPLDTEKNLGLFSEVPNLGFEQEIGNAYSDLFGDINPDDFLNFDLEMMDEDELAGITSPLKLWDDLEEGEIPTA >ORGLA01G0385300.1 pep chromosome:AGI1.1:1:31922716:31924405:-1 gene:ORGLA01G0385300 transcript:ORGLA01G0385300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-like, Ufm1 (InterPro:IPR005375); Has 244 Blast hits to 244 proteins in 106 species: Archae - 0; Bacteria - 0; Metazoa - 149; Fungi - 0; Plants - 47; Viruses - 0; Other Eukaryotes - 48 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G77710) TAIR;Acc:AT1G77710] MAAAGGGGAGAAGGGKVSFKIILTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGALAAPF >ORGLA01G0385200.1 pep chromosome:AGI1.1:1:31920966:31921906:1 gene:ORGLA01G0385200 transcript:ORGLA01G0385200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:I1NVG2] MSSTFNGDEFAPFLGFIGAALALVFSCMGAAYGTARSGVGVAHMGVMRPELVMKSIVPVVMAGVLGIYCLIIAVIITTGINPTAMPYYHFDGSVHLAAGLATGLCALAAGLAIGVVGDAGVRANAQQPKLFVGMILILIFAEALGLYGLIVGIILSSRAGQSRAH >ORGLA01G0385100.1 pep chromosome:AGI1.1:1:31916873:31919678:-1 gene:ORGLA01G0385100 transcript:ORGLA01G0385100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:senescence associated gene 18 [Source:Projected from Arabidopsis thaliana (AT1G71190) TAIR;Acc:AT1G71190] MDVSRRKKWVAWVAAVTIFVVLMLVTPAIPQNEEYHDFADQRRLFLGIPNTLNVISNIPFFFVGVVGLVLCHYKNYFRLNSQGELWSWTLFFAGVTAVAFGSSYYHLKPNDATLVWDRLPMTIAFTSVMAIFIIERVDDRAGTKSLAPLVIAGALSIMYWRFFDDLRPYAVIQFVPCIAIPVMAIVIPPMYTHSSYWLWAAGFYLLAKVEEAADKPIYKWTHQIVSGHTLKHLAAAMVPVFLALMLAKRTVEPERVSLFQRWKVKLVAVRETRFNKDRNTVDYNYIAVSTTPEP >ORGLA01G0385000.1 pep chromosome:AGI1.1:1:31915144:31916287:1 gene:ORGLA01G0385000 transcript:ORGLA01G0385000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVGCLIAILARSCWHLAVAAVKLPALLCCDAMLSTVAFLTFPLRLLAAVDRERKAKLALLDRLVGEMQRQMERLVWENRELEEKLGMALKESRAMEEILDEMEEEHDDAFARITLLETQLKALKLENMRLIEHRGKSMWDKKPPATAVHGGESLPASTSRPSNTRKRKDREDEAEEAAAAQEEEGGGGVATEEDSEMSVQMRRGKAVARRRSLVSVGMAAAVGAVVWAADAPCLPLLAGLLATVGVSMCSVARFFLLREEAAAALRGGSGRPASSSSDAVVLLSLNWFLLGVLTSPMLPGAAHAVFPRAARLVAPAVAWFSATAPLSS >ORGLA01G0384900.1 pep chromosome:AGI1.1:1:31900873:31905460:-1 gene:ORGLA01G0384900 transcript:ORGLA01G0384900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMLPSDPPGSDDDGNGTNMRTGQKDKLALDPCVSGDTNENAHACTSLTAVHQVLEESTNNTVHAMDMGIVTRQDDPLKEEDINQHKDEQELWGPNVQTSQDDYFDYVKQLSPNSRRIDKWSLLSHDEMIEVKERHARYRIRFCKMLNKEFEDTMKDPAEYSRGELLKENYFLRYERDETLGWYFHPVHTWLAGLNDYQKLVLVNHDDSTEFLARDDYHSCFNTYEVDEDYVKYCEELPKKIKWIGDYVDLDRSSQEWSKMDNTAFFQALKIATNFRHMTVGLAQFAYMEYVWDLREISRLKEWPYFLHEIWKLVAKQKMNFNDALKVVYEMDMFHSFKFAVEAELNGDKLFGLEYRFSMCAKDISGDVEEGKALDLITKAVYREFHHTQTMCGYAAKKMDMAKKIGLV >ORGLA01G0384800.1 pep chromosome:AGI1.1:1:31897067:31899588:1 gene:ORGLA01G0384800 transcript:ORGLA01G0384800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGAIEVLKPLIHEGKVYYIESFTVKDANRTYRPVSNDFMILFSKWTTLEECIDIPADFPTITFSLTPFQEIPSLVDKNIFYVDIMGVITEISSTSIVRPRSRDADSLKGTLQICDAYNSTLPVTLWGDRSTAFDAEKIYKDGQTKPQVVVFVGTLIRDYAGIGLTITGSSPCKWYINLDIPDVLKLKESFSVNFQPIWVENAAPAFNQDTPEEKTIKEILKLNPHKYKRARFIVNVTIKSIHDENCWWYNSCDRCCRTSKPYGSTYRCSSCCYIGMPVPRYKVVLTAADNTSEAAFVLFGRIAHRLIHRPVESLIEENPPDFIPAEIQALVDQAFVWNVSFTEHTVKRNQESLQVNSIVSSGAPKQHFLPISPSTSGGTSTIVPLSPGTSLQTPPAASESSIESRSSTRGKQSASLQPSLSTPTKYVVSAAADDTPTSKSNPSGSTKKKYVVLAYPMQSQTMQPSIDDKVSIPPVILIFSLFSALPYRQSNLLLNFARPQLSQRVDRKLWNIHLFLQLDRLNLNLNIRYCHISLLPYNILDTNTFIYLIRSKELLSIHLMIHLLALLCLAKVQAKKGTYGLLAYSASVIDAYNIGNLTDCYNLILHRTNTIASVPAPPAKKLFKEHSQHTKDQR >ORGLA01G0384700.1 pep chromosome:AGI1.1:1:31893622:31894804:-1 gene:ORGLA01G0384700 transcript:ORGLA01G0384700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEAANKILQVQIMPGDSEDRLYWKHSRTGDCNTKSAYKEFMKRDNPITHQDEEPPGEQSRKKEPIPQGNGCCIDAAWGEGLTGVGVFFHMPTNHNAIFIKAFSTSASSPLQAELIALQFTLEVAKCLDFAGTVFLMDNATIADTIKKKDFEPDSGHWSLRPLWSQMIIDFPQDFMKVYWIPREINKMLDKLTKEARLLERANPIFDCQNISHLAYPNRMCFASALKNNFKGANCVIKHVLCF >ORGLA01G0384600.1 pep chromosome:AGI1.1:1:31891692:31891985:1 gene:ORGLA01G0384600 transcript:ORGLA01G0384600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEAAQLEDINAIGRMLKSISALAKIGVPHQAERYMLVDHLAMNLEFLANTQQIGTIKDVILDHFFFLV >ORGLA01G0384500.1 pep chromosome:AGI1.1:1:31881982:31885906:1 gene:ORGLA01G0384500 transcript:ORGLA01G0384500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASQPRRHQPTSLRRAQPPPPPAPAGVKTESNGKASNSKPASAVQAPSPERTVKKLRLAKALTLPEATSVSEACRRMALKRVDAALLTDSNGMLSGILTAEDISGRVIAEGLRPDETNVAKAMTRNPVFVMSNSSAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHSFIENLRDQLFKPSLSTIITENNSVPVVSPSDPVIAAAKKMREYRVNSVVVMTGNMLLGILTSKDLVLRLVAQSLSPDVTLVEKVMTTNPDCATLDTSILEALHSMQDGKYLHIPVADKNGQIIACLDALQLTHAAISMVEGASEANSMANTMMQKFWDSALALQPTEESDARSEESRMATSDNAEGKHIPPHVGNSFSFKLQDRKGRMHRFSCVSESLDELVSAVSYRLGMEKEKARINLLYDDDEGDRVVLTTDGDLSAAIQHARSAGWKVLRLHMDEPWSNGEHTTSLVNTSPVKTGRSFLRLGIAAGAVAVASMGVIFYLKRSEL >ORGLA01G0384400.1 pep chromosome:AGI1.1:1:31875739:31875990:1 gene:ORGLA01G0384400 transcript:ORGLA01G0384400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAVVQIVMQVVLRRSICRLQEVFRVAVELGAAILAAVRLSGMASRRPTTTPSSPAAASRTTTYYYSPVAASMIGMSRLDRH >ORGLA01G0384300.1 pep chromosome:AGI1.1:1:31864484:31867392:-1 gene:ORGLA01G0384300 transcript:ORGLA01G0384300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLANLLVIGGTVLGRAAVQAYRQAIVNANKTGAAQEAINGIRRASKAMTEQEARQILGISEKSTWEEIVQKYDTMFERNAKNGSFYLQSKVHRAKECLEAVYQKPDIPS >ORGLA01G0384200.1 pep chromosome:AGI1.1:1:31861464:31863685:-1 gene:ORGLA01G0384200 transcript:ORGLA01G0384200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSKKNPEPSSSSSSGDLGGKTVQELLDMDKDAVSELVSDHFHQLYSLQNHLDVDDDDDDDDHWSEHNEQEDRSQLQERLAFYRIIGYQLSMGGKIDELDIAKLKDKYSPEMLYNKGYFQYYEDSLEWYFDPERCMLTSLDDYQRLVLCDNGLYMDWDQYHSNYSTYESDLAYVKFCEELANETKWFEDYLVLIAVKDKVTIGQWDKVKNIVYLQALKIALRIRVVSLMQIMAGFQEYIWSMRFDCCNYKDFDGVYFEVWKRVAKQKMEFTDALSELYREDMFPLRNVDIKDELDNIRVRAGFHSMKENYDIYVACIDETVPENEARQLIKEAVIKMNRKPETYLDYARNKLQIAQDIGLITKSTQD >ORGLA01G0384100.1 pep chromosome:AGI1.1:1:31855447:31858365:-1 gene:ORGLA01G0384100 transcript:ORGLA01G0384100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDRTHHKHWNQAHVPSGTSKDKFQAKGQPKFIPDNYSSVDEVTAALRDAGLESSNLILGIDFTKSNEWSGRYSFGRKSLHAISATPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDQSVFSFYQDSRSCCGFEEVLERYRQIVPHLNLSGPTSFAPLIYAAISVVENSNLQYHVLVIIADGQVTTSNTKDGKLSPQEQATIQAIVDASYYPLSIVMVGVGDGPWDAMQHFDDCIPDRAFDNFQFVNFTEIMSTSKDMPKKEAAFALADLMEIPSQYKATQGLRPLEKHAGHVASHLRILPPPNKVLENDNAAASRPPTASSQSTGFGKNTTDEQVCPICLTNPKDMAFQCGHLTCKECGPTLSTCPLCRVPITMRVRLYS >ORGLA01G0384000.1 pep chromosome:AGI1.1:1:31840399:31851817:-1 gene:ORGLA01G0384000 transcript:ORGLA01G0384000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQSRLFYLCSIIFMFYLVQRTEAQITAPWEVDALKAIKGKLIDPQGRLNSWNRGDPCMGNWSYVHCYNETASDGYLHVLELQLLKLNLSGSLSAELGRLSHMQIMDFMWNNISGSIPKEVGNITSLKLLLLNGNQLTGSLPEEIGFLPNLDRIQIDQNYISGPIPKSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHLLLDNNNLSGYLPPELSKLPKLLIIQLDNNNFSGTSIPSSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSWNQLRGSIPSGRPASNITTIDLSHNLLNGSIPGSFSGLPNLQRLSLDNNNLDGSVPSDVWQNIDFSGNRSLILDFQNNSLTNLSNPLSPPANVTILLSGNPICKSPNQLNITQYCRSVPVIVPGGSASNATVCSPCSTDLPYENILMSPIRCICAIPLYVDYRLKSPGFWDFVPYEGQFQQYLSSGLSLSSYQLEVSQFMWEEGPRVKMNLKLFPNNTAYFNESEVLRLRGMFTGWLIPDSDIFGPYELLNFNPGWYNKILPDRAKSSLSTGAIVGIVMAAFAAAAFLSSLITLIILKRRSRYSSSKRRSAKRIPMKIDGVKDFSFQELSHGTNDFSDSALIGQGGYGKVYRGILSDGTIVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEFMPNGTLRDHLSARSKEPLNFPTRLRIALGSSRGILYLHTEADPPIFHRDIKASNILLDSKFVAKVADFGLSRLAPEPESEGTAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVVAANQSGMILSVVDSRMGSYPAECVEKFAALALRCCRDETDARPSMVEVMRELEKIWQMTPDTGSMASLSLEPSNTATPSSGSRMMVSSSSGVGNDDHHYNMSSSDVSGSNLLSGVVPSINPR >ORGLA01G0383900.1 pep chromosome:AGI1.1:1:31833571:31839512:-1 gene:ORGLA01G0383900 transcript:ORGLA01G0383900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASVRRRSRPPASHPSSPSSSFSRFLHSPSWRPPPPPPPPRRRRLLSTAVASSSSSKGSTSLEERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEMGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAVEMKKVVESTQNLFIREAMAIEVMIGQNDNVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQQLGFETDRLKTGTPPRIDRRTVNFSGLEPQHGDEEVGWFSFDPEFHIERDQMCCYLTRTTKETHQIVRDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQVFLEPEGRDVPELYLQGFSTGLPERLQLSLVRTLPGLENCVMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRLTPLGRDIGLIDDRRWELYQSKQARIKEEKERLKSTKIPGGEFAAAVTSVSNQPVKESSTLEAILKKPHVQYKLLDKHGYGNEHLSRIEKECVEIDIKYEGFIARQQSQLQQIVNQEHKKLPEDLDYHSMTNLSLEAREKLSKVRPQTIGQASRIGGVSPADMTVLLIWMESNRRMANYKRQQSTLRSAATKADDSSDEVVHASATNA >ORGLA01G0383800.1 pep chromosome:AGI1.1:1:31829626:31832448:1 gene:ORGLA01G0383800 transcript:ORGLA01G0383800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSHDAFAAARARARASSSLERPRRAADPAALCRERAALIRAAADRRYALASAHAAYFRSLAAVGGALRRFAAAALAPGTPPSGSSPVLTLPPSPAKPVNASAAAARSSLPPSPSSSSTVSPLSHTLSDEDLDAYGATKHATAAAASSTRYHYHYMRDSPTVPTTVYEDPNGEASYGGYGGYGYTYSYGPYGEVVAEERPETATPPPTAEVAAWDFFDPFTSYDQFMEDYKGHDGGSLPSNSPNYSELRRMEGIPELEDEAELEAAEAKAKASKPSTSRVADQGGKGKRPISSDVSSKGEASDGKLLQRKGSGGNGKPENASLKGSGSGDNNGSSTSKKKGIAFDGIEQPIAAAQGEGGSGKSVQSTAVSSESFSPLHQGNRSVMEAMDEIKERFDEALNCGEEVSKLLEVGKVPPQSSTPRVLRYLSSRVMDPLSLTMPSSSCLPKPRRKSRTLSGKASTSSNPSVAGRRNSAGSLSSTLEKLCAWEKKLYQEIKDEEKLRILYEKKYRRLKSLDERGLDSTTIDATRLSVRNLQSRITINIRTANAFSSKIQNIRDEELYPQLVDLIIGLRRMWKAVLLCHEKQLSAIQDSKMHLIKAVTISQSNAAAVATVELERELAKWYRCFNKWISSQRSYAEALNGWLRKWLTEPEVQEENTPDGAPPFSPGKLGAPPVFVISNDWLQVIEMVSKNEVLKTIDQFSKLVHEYKKTQEKEHRQKRKADHASRDYNKRREVLQRELGLSTSLDMVAVMENTHHSHDNRVIELEKMSRKKDEEKTKHDEIVKHAHLAASATLPVGLVPVLHQIVSFSQENVQKYTSIRTRGARVH >ORGLA01G0383700.1 pep chromosome:AGI1.1:1:31819090:31821184:1 gene:ORGLA01G0383700 transcript:ORGLA01G0383700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Gamma-secretase aspartyl protease complex, presenilin enhancer-2 subunit (InterPro:IPR019379); Has 168 Blast hits to 168 proteins in 71 species: Archae - 0; Bacteria - 0; Metazoa - 126; Fungi - 0; Plants - 36; Viruses - 0 /.../r Eukaryotes - 6 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G09310) TAIR;Acc:AT5G09310] MEARVAGVPEDEESGLLPRPSAAGRRPSAAAARRAPPPPVWATVDGPLGLPLEEAEGHARRFFLWGFACLPFLWAINCCYFWPVLRSPATSPSSAAFSRIRPYVVRSAIGFTIFSVVLLTWATTFIIGGERLFGPGWNDLVMYNVADKLGISGFMG >ORGLA01G0383600.1 pep chromosome:AGI1.1:1:31816018:31817081:1 gene:ORGLA01G0383600 transcript:ORGLA01G0383600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSSPRALFSVTPTACWPASARRRRRVASPVKAAAAAAAEPAGEEKKPATGGAAAAAGDGQAAAPAPKKILKKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPFFKGLDYIYEDRGEVLDIRIFETGEYALIAWVGIPTPPAWLPTYMLIKSDKLDYERI >ORGLA01G0383500.1 pep chromosome:AGI1.1:1:31807561:31814984:-1 gene:ORGLA01G0383500 transcript:ORGLA01G0383500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 2 [Source:UniProtKB/TrEMBL;Acc:I1PIH4] MGHSPSRHNACGGGGGDGESPPSPLPSRFERFRRRLRLRHRDRAGRPGGDAHASESGTGRAIAVDEFAGIARIRIVKEKKVVVETNGPHIARISVFETNRFSKNTLVGYCEVDLFELLTKDPVETEQSFARRVLAIVDYNEDGELSLSEFSDLMKAFGNKLAVAKIEELFRQADKNGDGIVDMDELAALLANQQEKEPLISNCPVCGEILGKHDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLHSGSTASHILVFDRRTKRLVEEVIDGKIVLSMRALYQSKVGLTLIDTGVKDLLKNLSEKQGKKMSSPESAKDIPKFLELFKDQINLDEVKDPLESFKTFNEFFVRQLKPGARPIACYEQDTIATCAADSRLMTFSSVDESTRLWIKGRKFSIEGLLGKDVHSDALCNGSLVIFRLAPQDYHRFHVPVSGTLEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSIEFLKEEGDYVHKGDEFGYFAFGGSTVICVFEKDAIEFDADLLANSARSLETLVSVGMRLGVSTRNRDLQPQELEKCSLE >ORGLA01G0383400.1 pep chromosome:AGI1.1:1:31801052:31803591:1 gene:ORGLA01G0383400 transcript:ORGLA01G0383400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPFPSLDAFYLHLLRACTSLRHAAAVHAHIARAHPAASLFLRNTLLAAYCRLGGPLPARRLLDEMPRRNAVSFNLLIDAYSREGLAPLSLETLARARRAGVGVDRFSYAAALAACSRAGHLRAGRAVHALAVLDGLSSGVFVSNSLVSMYSKCGEMGEARRVFDVAEERDDVSWNSLVSGYVRAGAREEMVRVFAMMRRGGMGLNSFALGSVIKCCSGRGDGTMDIAEAVHGCVIKAGLDSDVFLVSAMIDMYAKKGALVEAAALFRSVQEPNVVMFNTMIAGFCRTETVIGKEVASEALTLYSEVQSRGMQPTEFTFSSVLRACNLAGYLEFGKQIHGQVIKYTFQEDDFIGSALIDLYFNSGCMEDGFRCFRSSPKHDIVTWTAMVSGCVQNELHEKALSLFHESLGAGLKPDLFTISSVMNACASLAVARAGEQIQCFATKSGFDRFTVMGNSCVHMYARSGDVDAATRRFQEMESHDVVSWSAVISCHAQHGCARDALHFFDEMVDAKVVPNEITFLGVLTACSHGGLVDEGLRYYETMNKDYGLSPTIKHCTCVVDLLGRAGRLADAEAFISNSIFHADPVIWRSLLASCRIHRDLERGQLVANRIMELEPTLSASYVILYNMYLDAGELSLASKTRDLMKQRGVKKEPGLSWIELKCGVHSFVAGDKSHPESSAIYTKLEEMLSRIEKLATTDTEISKREQNLMNCHSEKLAVALGMIHLPQSAPIRVMKNLRVCRDCHSTMKLISKSENREIILRDPIRFHHFRDGSCSCADYW >ORGLA01G0383300.1 pep chromosome:AGI1.1:1:31793783:31794238:1 gene:ORGLA01G0383300 transcript:ORGLA01G0383300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHHKNEEKMAAAGAAPKDAGDYRKEEKHHKHMEQIAKLGAAAAGAYAMHEKKQAKKDPEHARSHKMKEGIAAAVAVGSAGFALHEHHEKKEAKKHRRHAHHHHH >ORGLA01G0383200.1 pep chromosome:AGI1.1:1:31792296:31792714:-1 gene:ORGLA01G0383200 transcript:ORGLA01G0383200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHHKNDDKAAAAAGGDHRKEEKHHKHMEQLAKLGTVAAGAYAMHEKHKAKKEPENARSHRVKEEIAATIAAGSVGLAVHEHHKKEAKKHGHHH >ORGLA01G0383100.1 pep chromosome:AGI1.1:1:31786476:31791088:1 gene:ORGLA01G0383100 transcript:ORGLA01G0383100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRGRSASRSSSGSSSRSPSRSASSGSGSSRSRSRSRSFSSSSSPSRSRSPPAAKASSPGARRGRSPSSPAKRGSPSKKGRSPSPPPPKKASPPRKASPAPESVVLHIDHLSRNVNEAHLKEIFGNFGEVVNVELSMDRMVNLPRGYGYIEFKKRTDAEKALLYMDGGQIDGNVVKLRFTLAPRQRASSPMKAPPPPPKRDVPHNEKGAPSAEKDVQQRREPSPRRNPASPPRKRTPPNRRVESPRRQPDPSPRRRPDSPPIRRRADASPVRRGDTPPRRRPGSPVRRRSPSPPPRRRRSPMRPSPRRLRGSPSPRRRSPGPIRRRSPPPPPRRPRSPPGRRLPPPRRHSRSPPPRRPPHSRSRSISPRSRRGPPLRRGRSDSSYSRSPSPPRKGPRRVSRSRTPPRHRRGRSISSDSRSSSSPSPRRR >ORGLA01G0383000.1 pep chromosome:AGI1.1:1:31779872:31785457:1 gene:ORGLA01G0383000 transcript:ORGLA01G0383000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSPRGGGCAGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELIENSLDAGASSVSVAVKDGGLKLIQVSDDGHGIRFEDLAILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENEPKPCAAVKGTQVMVENLFYNMVARKKTLQNSNDDYPKIVDFISRFAVHHINVTFSCRKHGANRADVHSASTSSRLDAIRSVYGASVVRDLIEIKVSDEDAADSIFKMDGYISNANYVAKKITMILFINDRLVDCTALKRAIEFVYSATLPQASKPFIYMSIHLPSEHVDVNIHPTKKEVSLLNQERIIETIRNAIEEKLMNSNTTRIFQTQALNLSGIAQANPQKDKVSEASMGSGTKSQKIPVSQMVRTDPRNPSGRLHTYWHGQSSNLEKKFDLVSVRNVVRSRRNQKDAGDLSSRHELLVEIDSSFHPGLLDIVKNCTYVGLADEAFALIQHNTRLYLVNVVNISKELMYQQALCRFGNFNAIQLSEPAPLQELLVMALKDDELMSDEKDDEKLEIAEVNTEILKENAEMINEYFSIHIDQDGKLTRLPVVLDQYTPDMDRLPEFVLALGNDVTWDDEKECFRTVAAAVGNFYALHPPILPNPSGNGIHLYKKNRDSTADEHAENDLISDENDVDQELLAEAEVAWAQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVASLEKLYKIFERC >ORGLA01G0382900.1 pep chromosome:AGI1.1:1:31776011:31778907:-1 gene:ORGLA01G0382900 transcript:ORGLA01G0382900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARLRAFQREQATAKTCMVSSFATDFLEIRAKEPSVHVLVIPGNPGIVAFYKDFVEELYENLGGQASITAIGHISHSKKDAERGRLFSLHEQIDHKVDFIEQEFQHSEQSLVLVGHSIGAYICLEIFKRLQKKVKLCVGLYPFLTLNKKSMKQSAIGYIARSSLLSKGVSSFVSFIGSLQASVTMGIVRRLLGPSWSVTAVEATCGHLLWYHTMRNVLFMAMTEFTKLSEEPDWNFISAKQDQIAFLFGVDDHWGPLAHLEEISKRAPGVALSVETEGHTHGYCCTEAGSFWAADYVANLIKTKF >ORGLA01G0382800.1 pep chromosome:AGI1.1:1:31771870:31775537:1 gene:ORGLA01G0382800 transcript:ORGLA01G0382800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKAKLQSVAESAIRSIGLGYDIANDIRLKNCKQCGSPDPLLIELDHDKVQDIVLPGNLTVTGVSKSIKCDKGERMRFRSDVLSFQQMSEQFNRELSLSGKIPSGFFNAMFEFTGCWQKDASITKSLAFDGWCITLYTVALSKAHIILKDHVKQAVPSTWEPAALARFIKKFGTHIVVGVKMGGKDVIYLKQQHSSSLQAVDVQKRLKEMSDQRFLDANGHSDISLADSYAKDNKVEAREQRLRFVESNPLNSYSSNEELVMMPKRRGGRDKDIISHSEWLNTVQAEPDVISMSFIPITSLLNGVPGCGFLNHAINLYLRYKPRVEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSSASLPVNLIGPKLYVCTNMVDVGKRPVTGLRLFLEGQKSNKLAIHLQHLCSLPQIIQLEDDTYNPQTPEAEIRKYYEPIGSWKRFSHVCTAPVDSDDSSIVTGAQLEVVSHGFKKILFLRLHFSKVCNATSVKNPEWDGSPNLGQKSGLISTLISTHFSTAALKPAPRPAEVNINSAVYPGGPPVPVQTPKLLRFVDTTEMLRGPQDLPGYWVVSGAKLHLERGKISLRVKYSLLTVNSPDDEFSPDEEF >ORGLA01G0382700.1 pep chromosome:AGI1.1:1:31766314:31768000:-1 gene:ORGLA01G0382700 transcript:ORGLA01G0382700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAVVVGMLILVSSAAANGGAVGVRVRDSAWASRCSTWRATWTRSGHRWKVAWKQRLHQQLPPHITVRFRLQLYSAEDYSDLLINHYSRQTLALYSVGLRKFLLDGVGPLGCLPSLRASGLGPQGQCVDQVNQMVGFFNQGLRSLVDKLNADHPDAMFIYGNTYDAVYDMINNPHKYGFRVMDSGCCVLGEDGTCEPYAEPCEICSS >ORGLA01G0382600.1 pep chromosome:AGI1.1:1:31758877:31764905:1 gene:ORGLA01G0382600 transcript:ORGLA01G0382600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAGGGGGAAGQFGDTTLTKVFVGGLAWETQKEGMRGYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPEAAMKACFDPYPVIDGRRANCNLAYLGVQRSKAAAASLQPYAGHMRAMKSIIQTGGGGASLSMADHGIQQGIPTYNVYGYSPYFSDYGYPLSYYQAYGGLGAQYQMFAGGAAAGAAGLTMANPTGGGLYSPYFQYGPAVAANAAAAGYAGMQYPQMYQYAAAAAAVGAPTAATTASQLTAVAGLQQYAGTVALAPNSTGQAAGMTMSLTAPTLPAPSPQYQYRLISSHVAAAPEKPLA >ORGLA01G0382500.1 pep chromosome:AGI1.1:1:31752533:31754766:1 gene:ORGLA01G0382500 transcript:ORGLA01G0382500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT2G28480) TAIR;Acc:AT2G28480] MATRVLSQNLRKLASFSLLNLSQRAPISPSPEPLRPAIASFGKCLNPFYQFSPPWMVRWASHSSVNLVLSDDGKPKFEIEEVEPSKKRGYLTKKRLKLQRKREKKKRKEANKNDPRRIRPKGKKIKQKFPTPEARLKYKIEKAKLKEAMLVEKLKKYEIAKAQGPMAKQDDLDGEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYASEIARLSGGIPINTIGNDTIVFYRGKNYVQPDVMSPVDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYYRHIALYGNPQSQNVDPVYCDDRRAASLKMEEPSQGKDLSHMDNDGFSDITDASESDEEANPSEYDDNDDETGDNIGTVLYDHGGFKLNGNSVDDHLSPALAVSMFMLYGTWI >ORGLA01G0382400.1 pep chromosome:AGI1.1:1:31749485:31750876:1 gene:ORGLA01G0382400 transcript:ORGLA01G0382400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAALLGVGFALCLLRAQAQPYDYPTAKPSTTWANTDAALRHHVAYTDGSVARAALLRLNPARLGPSFAFGFFCTNHRAGAPCADFLLGVAVVYCNSGAGITAVTTGIPQVVWSANRAVPVGDGATAELTADGDLVLRSPGGKVLWSAGAAGRGVSGMSINSDGNLVLFDGSNRTVWQSFDHPTDTLVVGQSLKQGARLTANASFDNSSEGRIYLAVADDGLAAYVDAKPPQRYYVLGYSKNAGAYAAYTNGSLAVLDRPGGQQLATVQLPAVAAGTVQYMRLEHDGHLRLYEWRSNGMRWEAAGDVLHPYPGDCAYPTVCGAYGVCTDMQCSCPDAANFRAVDFRRPNRGCVPTSPPAPPATCRSRRARHRLVSLRDTAYFNSHDTSMRTLERVGEAACKAACLGDCACMAAQFVYGFDPNDGFCYLQSEVLSLETMQPEVFHYNSSMHIKIAQGRLPRRF >ORGLA01G0382300.1 pep chromosome:AGI1.1:1:31739968:31742582:-1 gene:ORGLA01G0382300 transcript:ORGLA01G0382300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:signal recognition particle receptor protein, chloroplast (FTSY) [Source:Projected from Arabidopsis thaliana (AT2G45770) TAIR;Acc:AT2G45770] MAAHSHVIPFLSPAATSARCSPYGHRRRGRAGLLRCAAAAGQAGFFTRLGRLIQEKAKSDVEKLFSGFSKTRESLSVVDELLTYWNLADTDRVLDELEEALLVSDFGPKISFRIVDTLREEIRDGKLKSGAEIKEALKRCILELLTSKGGNPELQLGFRKPAVIMIVGVNGGGKTTSLGKLAYRFKNEGVKVLMAAGDTFRAAARDQLEVWAERTGSEIVIDNDKKAKPASVLSQAVKRGKREGFDLVLCDTSGRLHTNYGLMEELVSCKKVIAKALPGAPNEILLVLDGTTGLNMLQQAREFNDVVGVTGFVLTKLDGTARGGCVVSVVDELGIPVKFIGVGEGMEDLQPFDAEAFVEAIFP >ORGLA01G0382200.1 pep chromosome:AGI1.1:1:31734860:31737572:1 gene:ORGLA01G0382200 transcript:ORGLA01G0382200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDESPSWGSRSVDCFEKLEQIGEGTYGQVYMAKETETNEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPGPERDEQGKPIEGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGNLTNRVITLWYRPPELLLGSTRYGPAVDMWSVGCIFAELLNGKPILTGKNEPEQLSKIFELCGTPDELIWPGVTKMPWYNNFKPQRPMKRRVKESFKHFDRHALDLLEKMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYEASHEFQTKKKRQQQRQAEEAAKRQKLQHPPPHSRLPPIQNPGQPHQIRPGQPMHNAPPVAAGPSHHYAKPRGPGGPNRYPQGGNQGGYNPNRGGQGGGYGSGPYPQQGRGPPPYPGGGMGGAGGPRGGGGSGYGVGGPNYQQGGPYGASGPGRGPNYNQGGSRNQQQYGNWQ >ORGLA01G0382100.1 pep chromosome:AGI1.1:1:31722431:31732822:1 gene:ORGLA01G0382100 transcript:ORGLA01G0382100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G23540) TAIR;Acc:AT4G23540] MKRKQKPAAADAAAEVDADEPSQAPLPLEAFSGDVCAALTARYGRSTAPQHRHLLASAAAIRSILVDDGLPVTPASYLPAAISALQAAGSADPAAASALASLLVILLPNIPSSPSSLPPASASESASALAVFLSSPDASQLPTGTVRSVVKSLGHLAFHVDAAADWGSVASPLEALLAASVDQRAKVRRCAQESVEKLFAHLKQCGCGKKVSNAAIGMFDKHIASVKNHVNLNSDASEGKEMEAANMLSAMVVVVPYLSKKAMKTVFSEVYQLLTPCFSPLTRHVLKLMETLLDHLKAENVESDLVNLIPLLLAYLHYDEKKPDDTIVAALKLMKNCLAKLVGRPNLWMEVLPSAFEAVSGYLILDRKCSEDIAGLLQDCIDSHIDRNIILTGSQLCDRDYESLSDAAAVKSICSSINNMLCACASPPNSILTTALVLFLKLGESSYAFMKNIILTLSQIAAKTDKESERKNVEECIGAAVIALGPDKILSLIPIGFDEDRLTCSNTWLLPILDKYIYGASPQQFLERIVPLAESVQQASNMAKSARKCEDLKSCSNQLWNLLPAFCRYPVDICQNFGSLSKLLLEMLKCDKYLYKSAVKALQQLVDGTRRLSSSEQNREIYVELSTLFSSKIINLSCPSFERCSKKDARKNMKVLASHSVDLLSTVTDYFLDSSPEKRSHLKDALRCLAQLSGSTNICNLFLSLVKRFGLEDTQSEQENIECQTNEVDKKDEEGTDVDEEKNKKRSLVMELISTFAEAADEDLLDLLFGFVKSSLLNNKQPCEGKALFALSIILKEHNEYSLARLDEIMMLLHGIKADLDNEVLEGQLLCYQYLLVHMIKANEENMSKKAFLILNELIVALKSKKESRRLAYDVLLAISNSLRSSESNSEDSDLQRLFTMVMGYLSSPAPHIVSGAIAALSLLIYNDANFCLEVPNLIPSVLVLLKHKAIEVIKASLGFVKVLVTSLHSEKLLELQADIMSGILPWSSVTKHHFKGKVVLIMEILIRKCGFDAIDLVTPEKYKAFVRSVEEGHKGNHNPADGAESEAQQPTQHAAKRRKRSDSDVGTGQEGTHTRAPSRSLPAGKKEFFVKGARNARSPGVKSQRSKPSGRNGDRTNFKSKSEPRPGNGQNTKGDKPQGFNKRNRTGKFDKTQNRGGKASDRSSRFKKPRTAATT >ORGLA01G0382000.1 pep chromosome:AGI1.1:1:31717294:31719050:1 gene:ORGLA01G0382000 transcript:ORGLA01G0382000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRHHGQYYCILLHHLAVRRPATRCRRLQAQDGGSFFPGQRRREPPSGAMGAAGHREHPLPARLPAAPRHAGALPAVRPVMLLRLGHVRTLVLSSPEAAREVMKTHDVAFANRAVTPTASVVSYGGRDIVFAPFGKHLRELRKLCALELLSPRRVRSFRHVREEEAARLGRSVAAAASASSAVNVSELVKIMTNDVTMRAIIGDRCPQREEYLEALDKTMDLLAGFNLVDLFPGSPLARVLGGRSLRTTKRVHEKLHQITEAIIQGHGIKDTVGDEHHECEDILDVLLRFQRDGGLGITLTKEIVSAVLFDLFAGGSETTSTTILWAMSELMRSPHVMEQAKYEIRQVLQGKAMVSEADIEGRLHYLQLVIKETLRLHPPVPIVIPRLCSKPNSKIMGYDIPQGTSVPVNVSAIGRDEKIWKDVNEFRPERFKDDIVDFSGTDFRFIPGGSGRRMCPGLTFGVSNIEIALVTLLYHFDWKLPSETDTHELDMRETYGITTRRRSELLLKATPSYAXLGWSTNMQIYSVKCLVYE >ORGLA01G0381900.1 pep chromosome:AGI1.1:1:31711673:31713765:1 gene:ORGLA01G0381900 transcript:ORGLA01G0381900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLAGIGDTAAFCTLLCLLLTLVVFKLKTATSSRHNAGVNLPPGPWALPVIGSIHCLLGSLPHHAMRELSRRYGPVMLLRLGHVQTLVLSSPEAAREVMKTHDAAFATRAVTPTAGILTYGARDIVFAPFSKHLRELRKLCTLELLSPRRVRSFRHVRDEEAARLARSVAAAAPAVVNVSELVKIMANNIIMTAIIGDTCPQRDEYLEALDKTMDLMNGFNLIDLFPGSRLARVLGARSLRATKRVHQKLHQITDTIIQGHEIIKDGSVGDDNIQETVGTHNMHGHGHKCEDILDVLLRFHRDGGLGITLTKEIVSAVLFDLFAAGSETTSTTIIWAMSELVRTPHVMERAQSEIRQVLQGKTVVSEADIEGRLHYLQLVIRETLRLHPPVPFLIPRLCSEANSKIMRYNIPQGAMVLVNISAIGRDEKIWKNANEFRPERFKDDMVDFSGTDFRFIPGGAGRRMCPGLTFGLSNIEIALASLLYHFDWKLPNDASSCKLDMRETHGVTARRRTELLLKATPLYT >ORGLA01G0381800.1 pep chromosome:AGI1.1:1:31705078:31707582:1 gene:ORGLA01G0381800 transcript:ORGLA01G0381800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGHRQHGLTARLAAAPRPACAVELLSPRRARSFRRVREAEAARLFLPGGSGRRMCPDFIFAQFNIEIALANLLYHFVDWEFPCSENRMELDMTRI >ORGLA01G0381700.1 pep chromosome:AGI1.1:1:31696845:31702199:1 gene:ORGLA01G0381700 transcript:ORGLA01G0381700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVVDDDGAPRLVPPLHGAGAAAPPPPSERAGGGDGAPPYREAEGGGAGGARAADRGGGVVGGEEGDPEGGCGGEVRGGRGGGGERRWGREERRDGGGNRHRGGRGHGYGEPRPLQARPRAAPRLPLLPRPARHVRLCGCLFLDPVSSPSSWHCHXXDAVSTTKTFSGCRPLGGFISSIRDGCWSCSFWGFDTNTVTDVSCLAASFICIFLKRRYRINEITGCFLVTVGVIITVASGSSAGASLKGTGILWPLLMIISFFLQAADTVLKEIIFLNAAKKLKGGSVDLFVVNSYGSAYQALFMCLLLPFLSKLWGVPFHQLPTYIRDGTACFLNMGSLSSGCEGAPLLPLLFVLVNMGFNISLLHLLKISSAVVSSLASTFSVTCSIPCFCALQELDRTDSKVICLVVEQMSTC >ORGLA01G0381600.1 pep chromosome:AGI1.1:1:31691235:31695225:1 gene:ORGLA01G0381600 transcript:ORGLA01G0381600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLSSNTRNNRTWLSATYISQTGQKIKEIMAGPKHGCDAKKLNEMLREERGQLRYKIEKLLQQDVHEDESRLNYENSVRGNAIVIVLHKEHGYAPHINVQSLQLSPSVRTEGPITICAFCPQPREWKEIILRRGKGTGDITEQVGGSKRVIYEDRIQTALESEKDQSPHQAVVKSEKDQSQAAMKSEKADTEKLIQFMEANYEKYVANVDSFEDFYHAIVELIEKFCEERGQVQYKIPSKKALKEAYEKHHTEQGQLKREEFIKIGKEVIRRDSFTLGKATMDFIMYLFGAPLCALAAKRILPGLRWISDDVAIPLATSASVAYLIRTKQL >ORGLA01G0381500.1 pep chromosome:AGI1.1:1:31690289:31690729:1 gene:ORGLA01G0381500 transcript:ORGLA01G0381500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATAEFRRVFSAFDRDADGKISAAELRLCMKAALGEDMSAEEAEALVSSADTDGDGLLDEEEFTKLAVQLEMGDEEERCRGLMEAFRMYEMEGEGRITPASLKRMLSKLGSHQGIEECQTMICRFDLDGDGVISFEEFKIMMDA >ORGLA01G0381400.1 pep chromosome:AGI1.1:1:31688854:31689309:-1 gene:ORGLA01G0381400 transcript:ORGLA01G0381400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASDEFRRVFGSFDQDGDGKISATELRLCVKASLGEDMPDEEVQALMALADTDGDGLLDEEEFVRLVTEMEADGDEEEDDDDETCRCLREAFAMYEMEGRGCITPLSLKLMLSKLGTHLDVAECQAMICRFDMNGDGVLTFDEFKTMMMA >ORGLA01G0381300.1 pep chromosome:AGI1.1:1:31684627:31685082:-1 gene:ORGLA01G0381300 transcript:ORGLA01G0381300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASAASPCESSALFAAFDHDGDGRISAAELRLCMKATLGEEVSDEEAGQLVASVDADGDGLLCEAEFVRLVQAAEVEEEDERRGTGLREAFGMYEMEGEGCITPTSLRRMLRRLGSDQDIDDCRAMICRFDLNGDGVLSFDEFKIMMNA >ORGLA01G0381200.1 pep chromosome:AGI1.1:1:31673688:31677852:-1 gene:ORGLA01G0381200 transcript:ORGLA01G0381200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRRPGPVAAAVLLLLAVATQAAASPIKTVVVVVMENRSFDHMLGWMKRLNPEIDGVTGGEWNPTNASDPSSGRVYFGEGAEYVDPDPGHSFQEIRQQIFGSDDASGPARMDGFVQQARSLGDNMTAAVMNGFSPDSVAVYRELVGEFAVFDRWFASVPSSTQPNRLFVHSATSGGATSNNPELLARGYPQRTIFDNVHDAGLSFGVYYQDVPAVLFYRNLRKLKYLTKFHPFHGAFRDHAARGSLPNYAVVEQHYMDSKSHPANDDHPSHDVFQGQMLVKEVYETLRASPQWNQTLMVVTYDEHGGFYDHVPTPVTGVPSPDGIVGPPPYNFAFDRLGVRVPAIVISPWINKGTVVHGPNGSPTATSEYEHSSIPATVKKLFDLPQDFLTKRDAWAGTFESVVQGRTEPRTDCPEQLPMPMRIRLTEANEEAKLSEFQQELVQLASVLNGDHQLSSLQDTIRDRMNVREGIAYMRGAVKRFFEAGMSAKRMGVDDEQIVKMRPSLTTRTSPAIEQP >ORGLA01G0381100.1 pep chromosome:AGI1.1:1:31669386:31670723:-1 gene:ORGLA01G0381100 transcript:ORGLA01G0381100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLALPLLAFLSIFLTPTTAVSSSTLQLARSHSVTPNAGAPLSAWAASVAAQSAADTARIVSMLTSGAGPLTTRAKPKPKNRANPPVPIAPGRQILSIPNYIARAGLGTPAQTLLVAIDPSNDAAWVPCSACAGCAASSPSFSPTQSSTYRTVPCGSPQCAQVPSPSCPAGVGSSCGFNLTYAASTFQAVLGQDSLALENNVVVSYTFGCLRVVSGNSVPPQGLIGFGRGPLSFLSQTKDTYGSVFSYCLPNYRSSNFSGTLKLGPIGQPKRIKTTPLLYNPHRPSLYYVNMIGIRVGSNVVQVPQSALAFNPVTGSGTIIDAGTMFTRLAAPVYAAVRDAFRGRVRTPVAPPLGGFDTCYNVTVSVPTVTFMFAGAVAVTLPEENVMIHSSSGGVACLAMAAGPSDGVNAALNVLASMQQQNQRVLFDVANGRVGFSRELCTA >ORGLA01G0381000.1 pep chromosome:AGI1.1:1:31647438:31648544:-1 gene:ORGLA01G0381000 transcript:ORGLA01G0381000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGMVVVTPAASFHHTHHHHHHHEAAAAAAAAAAAAADPIFPLLSAGPCVLDPDKSAASGSAIQFWQPPPQSPSSAAGGNPNPSSSAFPYLKKPLPMLDTGGGSSGSGGAATCQDCGNQAKKDCGHQRCRTCCKSRGFDCSTHVKSTWVPAARRRERQQLTGSASSSPATASAAAASKKPRLLTSQTTTSHTSTSNATTPRSFDTTSSHQDASFRESLPRQVRAPAVFRCVRVTSIDDGEDEYAYQATVTINGHVFKGFLYDQGVDDGRGLAATSNDDSTAGGVPNISELHLGGASISGNAMREGGSSMVHSDLYGGGGSGGGPHILGGSSYGNTMN >ORGLA01G0380900.1 pep chromosome:AGI1.1:1:31635636:31637367:1 gene:ORGLA01G0380900 transcript:ORGLA01G0380900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASASSSSSSSSSAESVPLPTTTLPIDKAAAVGGGGDRAVDCGVCAICLDKIALQETALVKGCDHAYCVTCILRWASYKQTPQCPQCKHPFDFLSVHRSLDGCIHDYMFEESVCLLLRATWFEPLIVEAHEEALDEEELYHIYQYDDGVEDDLDEEAYYMSRSPSIRIGNRRWGDNGYVRGGRKEARPVSRQSLNDTDAGPSRTPKKKDVSASGSGSVSKEVAGRRAKRALKREAADKAAAEKHLKHLQRLGLRKAPEATAEATPEVEPQLNE >ORGLA01G0380800.1 pep chromosome:AGI1.1:1:31630579:31630839:-1 gene:ORGLA01G0380800 transcript:ORGLA01G0380800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRRQPSRSLPLDFNVDMDDEPAAAAKGTAAHVDGGSGQPGRRNTTDDAKSQEAGNNGKKLPPSSATTGGGRPVASSEETGKKK >ORGLA01G0380700.1 pep chromosome:AGI1.1:1:31627583:31628799:1 gene:ORGLA01G0380700 transcript:ORGLA01G0380700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSPKALRVAAISGSLRRGSANTGLIRAAKEICEESIPGMVIDHVDISDLPLLNTDMEVDGGFPPAVEAFRASVRAADCFLFASPEYNYSISGPLKNALDWGSRPPNCWADRAAAIVSASGGSGGSRSMYHIRQVGVFLDIHFINKPEVFIKAHQPPKKFDSDGNLIDPEIKEELKEMLLSLQAFALRLQGKPANSKHAA >ORGLA01G0380600.1 pep chromosome:AGI1.1:1:31623317:31624111:1 gene:ORGLA01G0380600 transcript:ORGLA01G0380600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:I1NVB6] MADEGSSRAELIEASPALDLPSPPRKPRGRPLGSKNKPKPPVVVTRESEAAMRPVVLELGAGCEVAAAVAAFARRRRVGVSVLCGRGTVAAVTLRLPTSPPAAVKLHGRFEVLSLSGTVLPSAAGEGPAPPPPFSVSLAGAGGQVIGGTLAGEMTAADGLVVVAATFGSAEVHRLPADEDDEATGSRGGEERRHPQQQPPQTVAAATSAVDVGLLGYGGGVGVAGGASGGQVGRHHQQQQQAEMVLWAQSPGSVGPAHPATSRY >ORGLA01G0380500.1 pep chromosome:AGI1.1:1:31614780:31616963:-1 gene:ORGLA01G0380500 transcript:ORGLA01G0380500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAARPVIRVAAICGSLRKASYNGGLLRAAAEVCEDSIPGLRVDHVDISGLPLLNTDLETADGGFPPAVEAFRDKVRQADCFLFGSPEYNYSIATPLKNALDWASRGQNCWADKPAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPELAVKAFEQPPKFDSDGNLIDAQIRERIKQVLLSLQAFTLRLQKKD >ORGLA01G0380400.1 pep chromosome:AGI1.1:1:31608463:31609656:-1 gene:ORGLA01G0380400 transcript:ORGLA01G0380400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT5G12300) TAIR;Acc:AT5G12300] MESARFVYELDHGGEGEEEEEEEACFLDIYVHGARGIHNICIYAAQDVYAKLALTSSPDDAPALATRVAAGGGANPRFDERLPPLRVRRARLGTDVLKCEIWMRSCAKRLLDDQLLGFALVPLADVAAADGARLAQDFSLSSTDLFHSPAGTIRLSLALHSGPPGDACPPPERATAEPSITSEVVILEPAPPVDYARIEFPDLNAVKENDDMAVQYLPFLHLGDARAMDDDDCEMATSPREEKPASSDDGSKNASTSTTTAVSDDNRAVTHKARPDADDAATAPMSCRSPDTPTSNGGKPSSSDKEKGAAEDVFDSPPALRDIDMEAEQSAMQRQIMEMYMKSMQQFTESLSKMKLPMELDGDNGVVVQKEEKPQAEVKLQPKKDGARVFYGSRAFF >ORGLA01G0380300.1 pep chromosome:AGI1.1:1:31605108:31607246:1 gene:ORGLA01G0380300 transcript:ORGLA01G0380300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRRLWGEWEIRVLLLSSLSLQVFLLFTGGLRKRNVAAWLHFMLWLAYLLADSIAIYALGNLSQNQKLCSNGPHGGGDMHLLVFWAPFLILHLGGQDTITAFAIEDNELWLRHLLSLVSQIALALYVYWKSRPSAAGLLVPAILMFVSGVVKYGERTWALKSASMSSLRSSMLTRPDPGPNYAKFMEEYHSSKEAGLHAEIVIVPERPPDDNIHVQEEHMEYGELVVKAHRFFHTFRRLFVDLILSFQDRTDSLAFFRRLQRDQAYKVVEIELLLMYESLHSKSSVIHGPTGRYLRIFTLAAPVLSLIVFSGTDKAPYKPVDVAVSYVLLGGAIFLEIYAILLMAISPWSFADLRKKDKCLPVASGVFRAVSYFLPEARPRWSNQMAQYNLIHYCLKDKPTWLTGALEKLEWDYNVRVKTIWDSVWYTHHIGVSMVLKQLVFKQLKEKANSTADPMSYRRFGDHRGQWFLHRMGCYQELGASVEVEFDESIILWHIATDLCFYDDDDDDGRDAGERKLKRWSSCCFCSCSDHAPTADDSHLNDVSHLPAASREISNYMLFLLVMRPFMLTASIGQIRFGDTCAETKNFFLRGDELGAARKAAEALTKVKTEINPREVKGDRSKSVLFDACRLAEQLRRLERRKRWRLVAGVWVEMLCYAAGKCRGNFHAKQLSQGGELLTVVWLLMAHFGMGDQYRVEAGHARAKLIIEN >ORGLA01G0380200.1 pep chromosome:AGI1.1:1:31598136:31600631:-1 gene:ORGLA01G0380200 transcript:ORGLA01G0380200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFVDDPAFASSMSSLEADIFSGAGQLPSSPWLDLDLDDDVQDLSMAPTTANAVSSGYGSGGSGSHRKLSHNAYERDRRKQLNELYSSLRALLPDADHTKKLSIPTTVSRVLKYIPELQKQVENLERKKKELTTTSTTNCKPGVLGSQLMSEGMAPIVSATCINDMEIMVQVSLLSNVAGSVLPLSKCIKVLENEGLHFISSSTSSGFGNRTFYSIHLQRSEGTINEECPAFCERLEKVVRNKAKL >ORGLA01G0380100.1 pep chromosome:AGI1.1:1:31594517:31595179:1 gene:ORGLA01G0380100 transcript:ORGLA01G0380100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLSPCMHLPATAAAGVKLVYWGGQTRMLDDDGGVCAVAGDVTAELPGDHVVCAADSFYVGLPIPVVSASEELMAGRTYFVLPAERFSCFKVLTAAALASLSPAPSKKVSVAGPGQCPFEYVKGEGGATLIRVLPEFIEKVISCDENGGGARRRKPSTTATATATATELCSTPELKRHYALLMGRRNQPWSPRLETISECNKTRKLLLRTPARLLSSR >ORGLA01G0380000.1 pep chromosome:AGI1.1:1:31587048:31592183:1 gene:ORGLA01G0380000 transcript:ORGLA01G0380000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase family [Source:Projected from Arabidopsis thaliana (AT3G55850) TAIR;Acc:AT3G55850] MSTRSAFLAAGVAIAAAIFLLRPASDLSRDLGEAVADMILANATIYTADPAMPFAEAMAVRAGRVLRVGGYYSVKELKGRHTMELNLSGNVVLPGFIDSHVHFIDGGLQLARVPLRGVTSKDDFINRVKEAVKDKHPGQWIFGGGWNNDFWGGDYPTAAWLDDISPDNPVWLSRMDGHMRIANSLAMRMAGINKNTNNPVGGTIMRTTEGEPTGLLVDAAMKLVFDVISEVSIHERRDALLRASRHALMRGVTTVVDVGSYFPGKSEKQVWQDFTDIYEWAHSVETMIMRVCLFFPMPTWSRVYDLIHEKGWMLSQWIHLGGVKAFLDGSLGSSSALFYEHYKDDPRSYGLQLVDMDYLLNTTLELDKSGLQIAIHAIGDKANDMLLDMYEKVVDLNGMKDHRFRIEHAQHLAPGAAKRFGKHGIIASVQPDHILDDANSAGKKIGIEQAERSSYSFRSLLDGGAHLAFGSDWPVSDINPLQAIRTAVSRKPVGWEVPWIPAERLSLDDSLKAHTISAAYACFLDHVLGSLSEGKYADFVILPSTSWNEFGSDITDHVLATYVNGKQAYP >ORGLA01G0379900.1 pep chromosome:AGI1.1:1:31578037:31585369:1 gene:ORGLA01G0379900 transcript:ORGLA01G0379900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycoside hydrolase family 2 protein [Source:Projected from Arabidopsis thaliana (AT3G54440) TAIR;Acc:AT3G54440] MAVASASALFSAKNLPHKPWEDPSFFRWRKREAHVPLRSHDTPEGALKYWHERRNVNYLNSDSAVWNDDAVRGALESAAFWSKGLPYVQTLSGYWKFLLASSPESVPEKFYDAYFNDSDWEALPVPSNWQMHGFDRPIYTNVTYPFTMNPPFVPNDNPTGCYRTVFRIPKEWKGRRILLHFEAVDSAFFAWVNGVPVGYSQDSRLPAEFEITDFCHPCDSEKENVLAVQVMRWSDGSYLEDQDHWWVSGIHRDVLLVSKPQIFITDYFFKATLDEGFRVADIEVEVEIDSQKQDREHVSTLSIEATLYDNYGPADVLTSDMSAASVANLKLKPASRPKHCYGFHGYVLGGKVENPKLWSSEHPNLYTLVVVLKDSNGKLIECESCQVGIRNVVLAHKQMLVNGCPVVIRGVNRHEHHPRVGKTNLEACMIKDLVLMRQNNINAVRNSHYPQHPRWYELCDIFGLYVIDEANIETHGFDESSHFKHPTLEPFWASAMLDRVVGMVERDKNHACIIVWSLGNESSYGPNHSAMSGWIRGKDPTRPIHYEGGGSRTSSTDIVCPMYMRVWDILKIAQDPSENRPLILCEYSHAMGNSNGNIDAYWMAIDNTVGLQGGFIWDWVDQGLLKEDADGSKNWAYGGDFGDTPNDLNFCLNGIVWPDRTIHPAVHEVKYLYQPIKITMMDNMLKIENVHFFETTEALDFSWLLQGDGCALGSGSLNVPSIAPQSTHLINMESSPWFTIWSTCAVKEIFLSINVKLRYQTQWAKDGHILASAQICLPPKKGFVPHAIALSRSSLVSERVGDHVLISKSNAWQIKVNSISGTIDSWKVNNIELMSKGIHPCFWRTPTDNDKGGFYTKPYASRWREASLDNISFYSSQFSLKELPDQTVEISTIYYGLPGNQPKPDETSLSDESESVLFRVQMRGRIYDSGDVILDYEVSPKNDLPPLPRVGIVFNADKSLSRAKWYGRGPFECYPDRKAAAHIGVYESGVDDLHVPYIVPGECGGRADVRWVALQDADGFGLFASAYGESPPMQVSASYYGAVELDRATHNHKLVKGDDIEVHLDHKHMGLGGDDSWSPCVHEQYLLPPARYAFSVRLCPLLPSSSCHDIYHSQLPC >ORGLA01G0379800.1 pep chromosome:AGI1.1:1:31572348:31574935:1 gene:ORGLA01G0379800 transcript:ORGLA01G0379800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDAESRFHVLAVDDSLIDRKLIEMLLKNSSYQVTTVDSGSKALELLGLRDEGDDSSSSPSSSSPDHQEIDVNLIITDYCMPGMTGYDLLKRVKGSSSLKDIPVVIMSSENVPARINRCLEDGAEEFFLKPVKLADMKKLKSHLLKRKQQLPMAAAAPDKPPHKPDEAAPSAAAIAEAATAQTDGIISDCSCSGSSKRKAAAMEQEVISSSPDQRTKPRLSSTSSGLAVET >ORGLA01G0379700.1 pep chromosome:AGI1.1:1:31548647:31555754:-1 gene:ORGLA01G0379700 transcript:ORGLA01G0379700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLAGGRAGPPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSQVSRIVPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDIISSGATSPRTYTRRSSPLSSPFSSNDSVHKDGSDHYRLRSPFGSPPKNALDKAFSDVVLYAVPPKGFFPSDSNAGSVHSMSSGHSDNTNGHPRGIPMDAFRVSYSSAISSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGSSRVGSSSSAKMDYLVPKPLEFAVRLDVQNISCGGRHAALVTKQGEIYSWGEESGGRLGHGVDCDVAQPKLIDSLAHMNIELVACGEYHTCAVTLSGDLYTWGDGTFKFGLLGHGNDVSHWVPKRVDGPLEGIHVSSISCGPWHTALVTSAGQLFTFGDGSFGVLGHGDRASLSVPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGRLGHGDKDSRFVPTCVAALVEPNFCQVACGHCLTVALTTSGHVYTMGSAVYGQLGNPQADGLLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDKNTPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGSDQSMCSGCRQPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNPNKPYRVCDSCYSKLTKGLETDTNSSTKRGTVVQGFSETNEEELETRSNTQLSRLSSMESFKNMDSRYSKKNKKFEFNSTRVSPVPNGSSHWSGLNISRSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRTSPPRSTTPTPTLGGLTSPRVIANDAKPTNDSLSHEVLNLRSQVENLTRKSHFLEVELERTTKQLKEAIVIAGEETAKCKAAKEVIKSLTAQLKGMAERLPGGVTKNSKLPPLSGFPMPSELSSMATESLGSPSSVGEQISNGPNGLLASNGPSSVRIKAGHPEVGKNGSRLPEAESCHEAEWVEQDEPGVYITLTALPGGARDLKRVRFSRKRFSETQAEQWWQENRTRVYQHYNVRMVEKSASMPVQYLDLPEIRTLGIYCGLRGCRGSHHPASQPGSFVAGGRLEDAKEMS >ORGLA01G0379600.1 pep chromosome:AGI1.1:1:31543058:31548091:1 gene:ORGLA01G0379600 transcript:ORGLA01G0379600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / F-box family protein [Source:Projected from Arabidopsis thaliana (AT3G54460) TAIR;Acc:AT3G54460] MGGDEEEVAGDVRRKLGGYLRAVVSVSSDVGVEALAPLSPCSLFACGGVSLAPIPDGGGATPRSKGRACGGGGVVRQLRALVSSRCVEVEGRVLRAVARRGREGGGGDGEVEARAVVLFDVYLPVSVWSGWQFPRSRAAAAAAVFKHVSCNWDARNALLAFNWTSPDNPHCDDQYIWTCTDCHVLGCEVHQIPSVLNNEKSFDLHEIFKTLPSVMVEKRMQITRITPDEEALGVGIWSVPDDVLYKVLVRLKPRDLIRVAAACHHLRNLSASIMPCMKLKLFPHQEAAVEWMLKREQNLQVLEHPLYKGLCTMDGFPYYINVTSGEISTGSAPTVHDFCGGMFCDEPGLGKTVTALSLILKTHGTLAVPPPGMNVMWCMHKPDKKYGYYELSASNSSNGNIFLSGSKKLRKDVIREDTCSSESLHNGGSVVSTRSSRKRGRLVNPDLNMIAAHPSGKSPMSAPTGAHSTPATHVLKITKNLKHVRKNLMEAYSDGSVGNKRKRDATSELSETWVQCDACRKWRRLLDGTALDSSTAWFCSMNPDSARQKCSIPEESWDLKRKITYLPGFHKKGTPPGNEQNASFFTNILKEHAALIDSETMKALLWLAKLSPKKHIEMEAVGLTRPVLDARANIGKGARPYYKIFQAFGLVRKVEKGITRWYYPSMLDDLAFDSAALGIALEKPLDLVRLYLSRATLIVVPANLIDHWTTQIQRHVSSDTLNVYVWGDHKKPSAHNLAWDYDIVITTFSRLSAEWGPKKRSVLKQIHWFRVILDEGHTLGSSLALTNKLQMAVSLVASNRWILTGTPTPNTPTSQVAHLHPMLKFLHEEVYGQNYQSWDTGIHRPFEAQMEDGRSRLLQLLQRTMISARKQDLKNIPPCIKKITFLDFSEGHAKSYNELAVTIRRNILMADWNDPSHVESLLNPKQWKFRTTTIKNVRLSCCVAGHIKVAEAGQDIQETMDALMQLGLDPSSGEYQSIRYALLNGASCFRCRDWCRLPVVTPCRHLLCLDCVALDSEKCTLPGCGNHYEMQTPETRARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTTSSKVAYLVNKLRSLKAENIKHGYSRNMANGACLSSQSSCQDHNNVEGSLPHTMPDKVIIFSQFLEHIHVIEQQLTIGGITYAGMYSPMPLGSKRSSLTKFKDDPACMALVMDGTAALGLDLSFVSYVFLMEPIWDRSMEEQVISRAHRMGATRPIFVETLAMRGTIEEQMLKLLQDSNACRQMVNKGTSSTDNEGARPHRSLHDFAESSYLAQLSFVKGSDAPEKL >ORGLA01G0379500.1 pep chromosome:AGI1.1:1:31541068:31542060:1 gene:ORGLA01G0379500 transcript:ORGLA01G0379500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLPTVVLLASFAAVILSLAAPLLAGDPDMLQDICVADYKSLQGPLRVNGFPCKPEANVTAEDFFFPGLGKPADVYSGNPMGSAVTAATVERIPGLNTLGVSMARVDYAPWGGANPPHSHPRATEILFVADGLLEVGFVVATAAPASSRLITRVVPKGGVFVFPRGLLHYERSVGEKPAVAISAFDSQLPGTQAAADALFGSSSPAVPTDVLARAFQVDGGVVENIKSKFQHK >ORGLA01G0379400.1 pep chromosome:AGI1.1:1:31538270:31538950:1 gene:ORGLA01G0379400 transcript:ORGLA01G0379400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSAAAERVPVQEDRERDGERLLLRRADEGREHGELGGVGGDGGERGEPAGAEHDGGVHGAYRLRAMGAEPTAHAPPCHRDHLRRRGLPRRRLRHHRQQALHPHRLQGGGVRLPAGARPLPEEQRQHAGVRHRRLEQPAPRDAVHRRRALRRRAAAAVGHAGQGVPGRRRHGRVHQVQVRPAQILELHCMHAYCRKNKMAAELASPIAIIIIKRTYVRTVKKFVI >ORGLA01G0379300.1 pep chromosome:AGI1.1:1:31527668:31530570:-1 gene:ORGLA01G0379300 transcript:ORGLA01G0379300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein [Source:UniProtKB/TrEMBL;Acc:I1NVA3] GGQDREARRRHGAFFSGGDNVPWCGRDIIAGVEREVAEAATEEHKNVSIMRLSWALVHSRNTDDVNRGIAMLQASLGGSKSPLEAREKLYLLAVGHYRNGDYPRSRQLLEHCLEIQPGWGQALSLKKTVEDKIAKDGVIGIGIATTAVGLLVGIAAAVARKN >ORGLA01G0379200.1 pep chromosome:AGI1.1:1:31523103:31524728:-1 gene:ORGLA01G0379200 transcript:ORGLA01G0379200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYLHLVLPASAVVVVLLLSLWRRYQTTSSHRPDTRGNHRPQAKPILGNLVAFLANGHRFLDWSTGLLAAAPASTMQVHGPLGLGYCGVATASPDAVEHMLRASFHNYVDKGDRVRDAFADLLGDGLFLANGRLWRLQRKLAASSFSPRLLRRFAGRVVLDQLRRRLLPFLDAAADAGRVFDLQDVLRRFAFDNICSVAFGVDRDDSSPSSSSSRLEAGGDGRDDAFFAAFDDAVDISFGRILHPTTLVWKAMKLLDVGSERRLRQAIGVVAEYVTAIMESKQRCSDSEEEPNLLSRFTAAMMEEDGGNGLGAMFDSPEAKRRFLRDTVKTFVLAGKDTTSSALTWLFWFLAANPECERRVYEEVTAGDEGDDGYEELKRMHYLHAAITETMRLYPPVPLASRVAAADDVLPDGTVVRAGWFADYSAYAMGRMPQLWGRDCGEFRPERWLDGGGGGKFVAVDAARYPVFHAGPRSCLGKEMAYVQMKAMAAAVVRRFSVEVVPAAAANAPPPHETAVTLRMKGGLRVLLTRRRGVSSHA >ORGLA01G0379100.1 pep chromosome:AGI1.1:1:31519572:31521230:-1 gene:ORGLA01G0379100 transcript:ORGLA01G0379100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGIPTPYMVMDGTLAPLLLLLLLFLPALLLYLRRRPAANNHCPHPNPVLGNALPFLRNRHRFLDWATDLLAAAPTSTIEVRGALGLGSGVATANPAVVDHFLRASFPNYVKGARFALPFEDLLGRGLFAADGRLWALQRKIASYSFSSRSLRRFSARVLRAHLHRRLVPLLDAAAGSGEAVDLQDVLGRFGFDNICNVAFGVESSTLLEGGDRRHEAFFAAFDAAVEISVARVFHPTTLVWRAMRLANVGSERRMRDAIRVIDEYVMAIVASEERLRLRRGEDEREREHEQHLLSRFAASMEEEGGELAAMFGSPGAKRRFLRDVVVSFVMAGKDSTSSALTWLFWLLAANPRCERRVNEEVSSSRHADPRRADAGEDGDGDGYDELRRMHYLHAAISEAIRLYPPVPIDSRVAVAADALPDGTAVRAGWFADYSAYAMGRMPQLWGDGCREFRPERWLNDGGEFVAVDAARYPVFHAGPRACLGREMAYVQMKAVAAAVIRRFAVEPVQAPASMETPPACEVTTTLKMKGGLLVRIRKREDDAAQQKLT >ORGLA01G0379000.1 pep chromosome:AGI1.1:1:31515674:31518954:1 gene:ORGLA01G0379000 transcript:ORGLA01G0379000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAMESLILELHAIEAVKFGAFVLKSGITSPIYLDLRMLVAHPRLLSTVASLLGSLPATRPYDLLCGVPYTALPIASVLSAAASVPMLLRRYHVTPHAAAECLDGSFRAGDAVLIVEDLVTTGSSVLETVAPLREVGLVVADAVVVIDREQGGRENLAANGVALHSLMTLTEVLAVLVKHGKLGEEKALEVKRFLDANRKMAVPGLPVEPKVVRKAFSERAGLATNPMGRKLFELMEAKQSNLCVAADVGTATELLDLADKIGPEICMLKTHVDILSDFTPDFGHKLRSIAERHSFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIVSGPGIVEGLKLKGLPKGRGLLLLSEMSSAGNLAHGDYTAAAVKIAEQHSDIVIGFISVNPASWSVTPSSPAFIHATPGVQLVAGGDSLGQQYNTPYSVINDRGSDIIIVGRGIIRANNPAETAREYRIQGWHAYQSSLS >ORGLA01G0378900.1 pep chromosome:AGI1.1:1:31510389:31513521:1 gene:ORGLA01G0378900 transcript:ORGLA01G0378900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAQESLILELHAIEAIKFGTFVLKSGITSPIYLDLRALVSHPGLLSSIATLLHTLPATRPYDLLCGVPYTALPIASVLSVHRSVPMLMRRKEAKAHGTAKSIEGAFRAGEAVLIIEDLVTSGASVLETAAPLRDQGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLKHGKVTEEKAREVRQFLDANRKVSVPGAAGTVKPKVVRKGFAERAGLAKNPMGKRLFEVMEAKQSNLCVAADVGTAKELLELAEKVGPEICMLKTHVDILSDFTPDFGAKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIIPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLAHGEYTAAAVKIAEQHSDFVIGFISVNPASWSVAPSSPAFIHATPGVQMVSGGDALGQQYNTPHSVINDRGSDIIIVGRGIIKASNPAETAREYRIQGWEAYQSSLS >ORGLA01G0378800.1 pep chromosome:AGI1.1:1:31505992:31509275:1 gene:ORGLA01G0378800 transcript:ORGLA01G0378800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHKPVHQFVDSPKPQRSARRICGMATRIDANDHGNQQGGNPQGPVGTAERLEHLLNQPANKICADCGAPDPKWVSLTFGVFICIKCSGAHRSLGVHISKVVSVKLDEWTDEQVDILADSGGNAAVNMIYEAFIPENYMKPRQDCSSEGRNDFVRRKYELQQFLSNTQLTCYSQKSGKNHNRVQHSSSNRHGLGHAFRNSWRRKEHESKSVKKTVEIGMVEFVGLIKVNVIRGTNLAVRDMMSSDPYVILNLGHQSMKTKVIKSSLNPVWNERILLSIPDPIPMLKLQVYDKDTFTTDDRMGEAEINIQPLVAAAKAYETSVVADTAQLNRWLAKEGIWIHKDSAISIIDGKVKQEVTVRLQNVERGHLEMELECVPLTQ >ORGLA01G0378700.1 pep chromosome:AGI1.1:1:31504136:31504351:1 gene:ORGLA01G0378700 transcript:ORGLA01G0378700.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPRCQYLAVLNDIEAKRKYFAKVEFRHENRACNFDAHNLAKFASTLQEERYLWLSNPPCNMNRNVNVDGNK >ORGLA01G0378600.1 pep chromosome:AGI1.1:1:31497639:31501612:-1 gene:ORGLA01G0378600 transcript:ORGLA01G0378600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13480) TAIR;Acc:AT5G13480] MILQAHDQAVRSMIWSNNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHRESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPTKSLLVSGGKDYLVKLWDAKSGRELRSFHGHKNIVQCVKWNQNGNWVLTASKDQIIKLYDIRSMKELESFRGHNKDVTALAWHPFHEEYFVSGSYDGAIFHWLVGHETPQIEINNAHDNSVWDLAWHPVGYLLCSGGNDHATRFWCRNRPGDLTRDKYNSGQMQGYGDQHPAFAGRAMGGFQMPEPSTTPGPYNTGLSRNEGTIPGIGVAMPLDGSDHGEQRPSIPGLPPGQPPLPPGPHPSLLAGGQQQQYQQMQQQHPQFPRPPPPNMPQLQPPAHMLPHAQGSRAPLPQLPSMPGPPPVNPPLPPMPHPMAMQVQGSSNQMMPQMPQHLIGMNQTHQGPPSNMPPMGGFPNGMGNIQGGSSASGMQNFPMGGMYNRPQGQMPPQAQAQMTSIPGLSSYQPGMGNVGLPPPPPQHPPPRGSAPQDLL >ORGLA01G0378500.1 pep chromosome:AGI1.1:1:31493280:31496968:1 gene:ORGLA01G0378500 transcript:ORGLA01G0378500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration stress protein (ERD4) [Source:Projected from Arabidopsis thaliana (AT3G54510) TAIR;Acc:AT3G54510] MNAEGLLASAAINLGLALVALSLFSLLKKQPGNAPVYLARRMAAGGGGGGLPLGHGRLTPSFRWIRAALRLSEDDVLRRHGLDALVVVRLFKFGIKCFAVCSIVGLFILAPTNYSCEGLQDTKRSNSMELFTVSNVARGSNRLWVHFACLCFISFYVVYLLHKEYKEMSSRRIAHLKYHRKRPDQFTILVRGIPLCPDHGTYGCYADHFFSKHYRTYQSYHIVHDIGNIEALQKLASSLEDKIKRKRETRRCNFWKWIWFKLTLEAIDTRKLEEKLKNVHHSIRLLQCENMLKRKELPVAFVSFKSQLDAAQAAEMQQHVNPLSLVTTYAPEPPDALWTNLAIPFCRIAIYKLGVFIAAFLLIVFFTIPVTAVQGIVQFEKIKIWFPPARAVELIPGLNSVVTGYLPSMILNGFIYLIPFAMLGMASFEGCIAKSQKEIKACNMVFYFLLGNVFFLSILSGSLLHQIGESFTHPKDIPSRLARAVSAQSDFFITYILTDGMSGFSLEVLQFGLLTWHFFKAHSIGHSEQPYLYGFPYYRVVPIVSLAVLIGLVYAVVAPLLLPILVIYFLLGYAVYINQMEDVYEITYDTCGQYWPNIHRYIFLSVTLMQITMLGLFGLKSKPGASFATVPLLVSTILFNEYCKVRFLPTFLRRPVQVAKENDDLNEAEGMREGDLDHAISAYKPPWMRPTNFSPDCSSVQPLIRSV >ORGLA01G0378400.1 pep chromosome:AGI1.1:1:31490806:31492945:-1 gene:ORGLA01G0378400 transcript:ORGLA01G0378400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted pyridoxal phosphate-dependent enzyme, YBL036C type [Source:Projected from Arabidopsis thaliana (AT4G26860) TAIR;Acc:AT4G26860] MAAAAAEGAAATALRAVLGRAAKAAERSGRAAEAVRVVAVGKTKPVSMVRELYDAGHRCFGENYVQEFVTKAPQLPEDIRWHFIGHLQSNKVKSLLAAVPNLHMVEGVDNVKIANHLDRAVSSLGRDPLKVLVQVNTSGEESKSGIDPSRCVELAKHVKLACPHLIFSGLMTIGTKDYSSTPENFKALVNCKLEVCKAIDMPAEQFELSMGMSGDFEQAIEMGSTSVRIGSTIFGPREYPNKKN >ORGLA01G0378300.1 pep chromosome:AGI1.1:1:31488433:31489500:-1 gene:ORGLA01G0378300 transcript:ORGLA01G0378300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEDLSGLTASLGELHVESSALKDSEISHPGNAFDDDAIADDDVWDDVSDSPGHGSTLDREWAHRQNQFHKMGYRDGIAEGQKDIAQEGFNVGFGQSVHVGYKWGLVRGITSALASLPDNLKEKMFPNVQCRGQLQDLNNSVQEISAEDALQMFHESILRSSHSSEEPDATLKRATDSSRLQSLSKDLVILLHECPDVKVSEELTGDS >ORGLA01G0378200.1 pep chromosome:AGI1.1:1:31485166:31486577:1 gene:ORGLA01G0378200 transcript:ORGLA01G0378200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKQARKKPKDSLLGSNDGLPADHARGGAIVRTALPNYMRATSSSDARGGGREAAAATGAPPRRERGAARGKAMVLADGGAPFVSRATCSSAMRGLGRGLGLRGGAAHACPYSYCSFKCHAADADVVPLRTLVASRRRLIKTQQSMKLKGASPFRKPSNGGGAADGFFVEIYSGAAAAAAPTVSSGASCSDLSTEDNDAAAREGEYAVFDHRSRGDDEDKARDSDGSVDGSCGSSDVISGGSVDLFVTKSRGGKQEIDGGKGIYLDQEAEDFDACKSDISEELDAKYEDGGVDASNGSSIDDISSAFGGMNFKDACPDPTGAASSQRKRWNIAKRTTSEQGEKMRLFNPRAPNFLPVEPDPEAEKVDLRHQMMGDRKNAEEWMVDYALRRAVNKLARAQKRKVEMLVQAFETVQQDDDKKSITLTKSSQPCR >ORGLA01G0378100.1 pep chromosome:AGI1.1:1:31477973:31478689:1 gene:ORGLA01G0378100 transcript:ORGLA01G0378100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAPVKVIGTFDSPYSHRAEAALRLKGVPYELILEDLRNKSDLLLTHNPIHKKVPVLLHGDDDHRAAVCESLVVVEYVDEAFPGPPFLLPADPGLRAAARFWARFIDDKCTKPFWLALWSTDDGEVREGFAAEIKENLKLLEAQLKGRRFFGGDAIGYLDLAASGYAYWLEVLEEVAGVSLVTADEFPDLCRWAKEYAADDRIKACLPDRAKLLEHFTAMKEMFMATARSMAAK >ORGLA01G0378000.1 pep chromosome:AGI1.1:1:31474565:31475721:1 gene:ORGLA01G0378000 transcript:ORGLA01G0378000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLISAFGSPFGHRAEAALRLKGVQYELLLEDLRSKSDLLLAHNPVHKLVPVLLHSDGRSVAESLVVVQYVDDAFHGPPLLPADPYARAQARFWAQFIDDKFSRPFWLSFWMEDGEKKEAFVREAKENLRPLEAQLDGGNKRFFGGDAIGLVDIAASGLAHWVGVFEEVTGVSLVSEREFPALCRWSQRYVNDGAVRQCLPSRDELVALFTANKEAYTLLAKAKLQK >ORGLA01G0377900.1 pep chromosome:AGI1.1:1:31473312:31474117:1 gene:ORGLA01G0377900 transcript:ORGLA01G0377900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVKLIGAFGSPFVHRVEAALQLKGVAYELIHEDLENKSNLLLASNPVHKKVPVLLDGGRAICESLVIVEYVDDAFDGPPILPADPYDRATARFWAQFIDHKCTLPLLLALWLDGEEQKGFLKETKENLSLLEAQLEGKRFFAGDAVGYLDVAAGGMAHWIGVLEEVTGVSVIGSEDDDEYPSLQRWIKEYANIDAVKLSLPDREELVAFYTRNKDKYKMMFRAMVHQ >ORGLA01G0377800.1 pep chromosome:AGI1.1:1:31470925:31472056:1 gene:ORGLA01G0377800 transcript:ORGLA01G0377800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVKLIGAFGSPFVHRAEVALRLKGVAYEFIHEDLDNKSDLLLAKNPIHKKVPVLLHGDRAICESLVIVEYADEVFDGRPILPTDPYDRAMARFWAHFIEHKCSRSSWLALWLDGEEQEGLLKETKENLALLEAQLHGKRFFAGDSVGYLDIVASGLAHWISVVEEVTGVSLMGGADEDDEYPALRRWAKEYTSDETVMQCLPSREHLAAFFAAKKDKLKMVAKAMLHQ >ORGLA01G0377700.1 pep chromosome:AGI1.1:1:31468700:31469489:1 gene:ORGLA01G0377700 transcript:ORGLA01G0377700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERVKLIGAFASAYGHRAEVALRLKGVRYELILEDLRNKSDLLLNHNPVHKLVPVLLHGDRSLSESLVILEYIDESFHGPPILPTDPYDRAVARFWAQFIDQKFGRFNFWIPFVQMEGNMQDCFVREAKENLALLEGQLKGRRFFGGDAIGFLDIAACLIAHWLGAFEEVCGVTLATDEEFPALCEWRRRYVNDEAVKPCLPNRDELVAYYRERKEMIKAAGRQHK >ORGLA01G0377600.1 pep chromosome:AGI1.1:1:31467152:31468212:1 gene:ORGLA01G0377600 transcript:ORGLA01G0377600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSEPVKLIGAFGSPFVHRVEVALRLKGVPYELILEDMGNKSELLLAHNPVHKMVPVLLHGDRSAICESLVIVEYVDEAFDGPPLLPADPLRRAMARFWAHFLDENCLKPLRPALFGKARSRRNPWRRHGRAXRWRRRSSGASGSSEAAPSASPTSPAVACWLTGSACSKRSPEXASXATATASTLLCAGGRRITSLMNPXWSACRIEIGSSPTSPGSSRSAFRWPSPRCQSSYXXXTN >ORGLA01G0377500.1 pep chromosome:AGI1.1:1:31455925:31456482:-1 gene:ORGLA01G0377500 transcript:ORGLA01G0377500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKMPALFRRRSGSKSPPLPQADPASGGGSPAPTPEEEMERVFRKFDANGDGRISRSELGALFESLGHAATDDELARMMAEADADGDGFISLDEFAALNATASGDAAAVEEDLRHAFRVFDADGNGTISAAELARVLHGLGEKATVQQCRRMIEGVDQNGDGLISFEEFKVMMAGGGSFAKIA >ORGLA01G0377400.1 pep chromosome:AGI1.1:1:31451941:31454443:-1 gene:ORGLA01G0377400 transcript:ORGLA01G0377400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochromobilin:ferredoxin oxidoreductase, chloroplast / phytochromobilin synthase (HY2) [Source:Projected from Arabidopsis thaliana (AT3G09150) TAIR;Acc:AT3G09150] MSSGGVGGGSLGAGLPYHKFVSFALEETRLRTTLTPHPSQEKFKSIKPNDDNTVFNALSSSAPKIRLLRSLTIEKKNSYQVLDFAAFSEPEYDLPIFCANVFTTHAQSIVVLDLNPLYDTTVHKDYKDKYYRSIMPLVHKYNELLPWGGKITSESLKFFSPIVIWTIFESTEHNHHVLHSAFVDYYKVWLELMDQAIKENNKATIARNQEEQHKYLTWRAEKDPGYPLLKKLIGESRAEDLVMEFLFEGVNTLGTKSFLDYFPEYARDDGSVNKKRSMIGKSFETRPWDANGEFIGDAEAQ >ORGLA01G0377300.1 pep chromosome:AGI1.1:1:31447671:31448285:1 gene:ORGLA01G0377300 transcript:ORGLA01G0377300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSIQSFARKLSLPSPKRTWSSSGGSSKRDGGMSKNGSGVKRAISRSEASSFASASSESESSSDDALMARSTPRSVLPAEISRRELEAVLRRLGHGEPDDEELDAVAAIAAEAEAGGGEDELMEAFKVFDADGDGRITAEELRGVMVAILGGDGDGCSLDDCRRMIGGVDADGDGFVGFQDFARMMMAATATATATADGPRSW >ORGLA01G0377200.1 pep chromosome:AGI1.1:1:31400075:31402827:-1 gene:ORGLA01G0377200 transcript:ORGLA01G0377200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLKSLSMDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGAAADQAAAPPGALLLDHLSPRSPSGGASASSPRGAGGSAAAAAAATPGAVIPVSSVSYEVFLLLLQFLYSGQVSLVPQKGEPRPGCGERGCWHTHCAAAVDLALDTLAAARSFGVEELALLTQKQLAGMVEKASIEDVMKVLMASRKQDLHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIDELRLKSMSRRSPFLSHHHHHHPHAAAAGIEASSAAELDDHHKIRRMRRALDSSDVELVKLMVMGEGLNLDDALALHYAVENCSREVVKALLELGAADVNHPAGPAGKTPLHVAAEMVCPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLAHIEPNKLRLCLELVQSAAMVMSREDAQTAAVNAAPIYGESPGGGGGGGVYNASGTSSSMVNLSLDNRMVYLNLGMDAQFGKMNDGGDGDDGGSRGPSSLFSPHGFP >ORGLA01G0377100.1 pep chromosome:AGI1.1:1:31386699:31387200:-1 gene:ORGLA01G0377100 transcript:ORGLA01G0377100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSNNQPPPGYPTAAGAAEQGGKKSRRGSTKSRGDKGFIEGCIAALCCCWICEMCCD >ORGLA01G0377000.1 pep chromosome:AGI1.1:1:31380714:31383778:-1 gene:ORGLA01G0377000 transcript:ORGLA01G0377000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTAAGVDVEDLLVRVKNGADAELAEVAREVAALAEQGRLGEDDDEDGVLVPALLARLAAAGGAEARVRVMAALRRLARCVGCESKERLASIEALSSIVRSLSRDVDETREAIALLLDLSDIPQIRQRIGRIKGSIVMLVTLRNAHEPGTHDDAEKLLHMLSSNPQNVLLMAEAGYFRPLIHYLKEGSDMNKILMATAISKMFLSEPMKSSLGEDGAVEPLVEMFKSGNLEAKHSALGALLNLSSSLQNAEILINSGITGPLLQLLFSVTSVLMTLREPASAILAAIAQSERILLHKDVAPQMLSLLNLSSPVIQLHLLRALNSISGHTNAKRARAKIRQNGGVQLLLPFLTEKNIDIKIAALNFISNLSKDASQELAEQIRDTHLNIFVKIISSPTSGNEKAAAIGILSNLPVTDKKITELLTEANLLPLLISLLETNITAPLTPLRTSLLEGIAGVLIRFTVPWDKKLQSLAVGHGVVPCLVKLLSEGSIKAKSKAATSLAQLSQNSLALRKTKLPRWLCVAPSAETYCLVHNSQCTVKSTFCLVKAGAVSPLIQILEDDNREADGAVLEALATLMQDEIWENGSKVIEKTSGVHALLRIAEAGNSTSQEKAIWILERIFRLEAHRERYGEIAQALLIDLAQKGDPILKPMIGKILAHLELLQTQSSYF >ORGLA01G0376900.1 pep chromosome:AGI1.1:1:31376983:31379177:-1 gene:ORGLA01G0376900 transcript:ORGLA01G0376900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase [Source:UniProtKB/TrEMBL;Acc:I1NV79] MAAPPQPVPAPAAASPEVFRLGFIGPGNLAESIARGVAASGVLPATAIRTAPHRRPERAEAFSSIGAHILETNAQVVDDSDVIVISVKPQIVRQVLVELKPLLSEEKLLVSIAAGIKMEDLQGWSGHRRFIRVMPNTPSAVGQAASVMCLGEMATENDENRVRSLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVNKTGKHPGQLKDMVTSPAGTTITGIQELEKGAFRGTLINAVVAATKRCRELSQS >ORGLA01G0376800.1 pep chromosome:AGI1.1:1:31374226:31376401:1 gene:ORGLA01G0376800 transcript:ORGLA01G0376800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein [Source:Projected from Arabidopsis thaliana (AT3G10210) TAIR;Acc:AT3G10210] MALAQGTGDFSVVVLGSDFAVDAGAALLNPADREEWHDCLPDLSAPADGEDFSDLEELQVVRLQGTDRAGRRIVRVVGRFFPAPVIGGDRLKKYVLHKLRTELPEGPFCLLYMHSTVQSDDNNPGMSILRGVYEDLPPEYKERLQILYFLHPGLRSRLAIATLGRLFLSGGLYWKIKYVSRLEYLWGDIRKGEVEIPDFVIDHDKILEHRPLTDYGIEPDPLHLADMPAVGYSLGRYEDKWSPEDRWYSRNYM >ORGLA01G0376700.1 pep chromosome:AGI1.1:1:31370952:31372283:1 gene:ORGLA01G0376700 transcript:ORGLA01G0376700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDEGSSSSVTSSPLHNFSNMPLHPAAAASPTPPWMVRELRSDERGLCLIHLLLNCAAAAAAGRLDAANAALEHIASLAAPDGDAMQRVAAAFAEALARRALRAWPGLCRALLLPRASPTPAEVAAARRHFLDLCPFLRLAGAAANQSILEAMESEKIVHVIDLGGADATQWLELLHLLAARPEGPPHLRLTSVHEHKELLTQTAMALTKEAERLDVPFQFNPVVSRLDALDVESLRVKTGEALAICSSLQLHCLLASDDDAAAAVAGGDKERRSPESGLSPSTSRADAFLGALWGLSPKVMVVAEQEASHNAAGLTERFVEALNYYAALFDCLEVGAARGSVERARVERWLLGEEIKNIVACDGGERRERHERLERWARRLEGAGFGRVPLSYYALLQARRVAQGLGCDGFKVREEKGNFFLCWQDRALFSVSAWRGRRFD >ORGLA01G0376600.1 pep chromosome:AGI1.1:1:31348742:31356090:1 gene:ORGLA01G0376600 transcript:ORGLA01G0376600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEARQLKVHLRENEAVAQCVLEKWRSMEEKPGGLKENLAHTLYKSYRNVCAAKEPIRSLKDLYQIKGVGKWVIRQLKGSFPESSPDLSPPESNAAGEKGKKAGGSKRYVPQKNSAAYAILITLHRETINGKSHMKKQELIDATEASGLSQSAIGPDKSKAKPGAFASSQKDWYTGWSCMKTLTSKGLVAKSGNPAKYMITEEGKSTALECLSRSGLDDHAAPLVINSASDTSNASHKLNNICMTSFVETSSGPSRAIGRPKTSIANPATKTSPEVTYLTSQESLNYNSDVRTADNCAEEIILSDSDSEELYTENYPLIGSEEFTERVAPPMLNASNSGKTTTNYRFSDCSASISPRSSEGIFEMQSSSTMGTAEFNMLDNDTVCMDNSILAMPPRRSSKNFLEDYEVVLILDDRENFGGRSRKTVDNIHSQFRVPVEIKHLPIGDGIWIARDRKLHTEYVLDFIVERKNVADLCSSITDNRYKDQKLRLKKCGLRKLIYLVEGDPNPLDTSERIKTACFTTEILEGFDVQRTPGYAETVRTYGNLTRSITEYYSTHFSTGANTSQVCPTYDEFTKKCDDLKKITVSDVFALQLMQVPQVTEEAALAVIGLYPTLFSLAKAYSMLDGDTHAQEKMLKNRSTLINAGASRNIFKLVWAEG >ORGLA01G0376500.1 pep chromosome:AGI1.1:1:31345560:31347687:1 gene:ORGLA01G0376500 transcript:ORGLA01G0376500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVNGVCYGVLGDNLPSRSEVVQLLKSQGIGAMRIYYPDKEALDALRGSGIAVIVDVGDSGAVANLANNPSAAADWVRDNVEAYWPSVIIRYITVGNELPAGDMGLILPAMQNVHKALVSAGLSSSIKVSTAIKMDVVANTFPPSHGVFSSDVQQFMVPIARFLANTVSPLLVNVYPYVSYRENPRDISLNYATFQPGTTVRDDGSGLTYTNLFNAMVDAVYAALEKAGTPNVRVAVSETGWPSAGGFAATAENAMNHNQGVIDNVKNGTPKRPGPLETYVFAMFNENQQTGDETRRHFGLFNPDKTPAYPITPYPRPAVQSIGVCYGMLGNDLPSRSEVVKMYVSMGINRMRIYNPDREALDALRNSGIDLILDAGGFDTVSYLAASASNAASWVHDNISPYYPAVNIKYIAVGNEVVGGTTESILPAMRNVNSALAAAGIGGIKVSTAVKSDVIANSYPPSAGVFAYPYMNGVAQYLASTGAPLLANVYPYFAYAGNPREISLNYATFQPGTTVRDDGNGLTYTNLFDAMVDCIYAALEKAGAGNVRVVVSESGWPSAEGFGASMDNARAYNQGLIDHVGRGTPKRPGQMEAYIFAMFNENQKTGAATERHFGLFYPNRSPVYQIAFPN >ORGLA01G0376400.1 pep chromosome:AGI1.1:1:31338539:31339697:-1 gene:ORGLA01G0376400 transcript:ORGLA01G0376400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASAGGGWFRLWENFTALQEDPALSPCYADQLRLVYDPVAGDYRNVPGVDTRVVSFGSTRGFRSDDPARKDVCMERLVEALEEERYAEGENLFGAPYDFRYAPAAPGLPSGVFSDFTSRLRRLVERASERNGGKPVILVTHSLGGLFAMVFLDRTPLPWRRRYIKHFVMLCLGVGGSPLNMWPLAASSIPSSSSLVGSVLTYGNRSFASMFSLLPSPAVYGDTPLVITRAKNYSADDMPEFLSAAGFSDDEVALYRARALPVTLDLRAPLVPLTSIDGVGVPTVDKLVFWDGNFSAKPQVVNGDGDGQINLDTVLALERLIGDDPDQGYFKSILIPNTTHKGVISDELALKRVVSEILGANSIN >ORGLA01G0376300.1 pep chromosome:AGI1.1:1:31335700:31336834:1 gene:ORGLA01G0376300 transcript:ORGLA01G0376300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHGVCYGVLGNNLPSRSEVVQLYKSKGISAMRIYYPDQEALAALRGSGIAVIVDVGDKGAVANLANNPSAAADWVRNNVQAYWPSVFIRYIAVGNELGPGDMGTILPAMQNVYNALVSAGLSNSIKVSTAVKMDVITNSFPPSHGVFRPDLQRFMVPIAQFLANTMSPLLVNVYPYFAYRDNPRDIPLNYATFQPGTTVRDNDSGLTYTNLFNAMVDAVYAALEKAGAPGVRIVVSESGWPSAGGFAANVENARNHNQGVIDNVKNGTPKRPGQLETYVFAMFNENQKPGDETERHFGLFNPDKTPVYPITFPPN >ORGLA01G0376200.1 pep chromosome:AGI1.1:1:31329791:31330940:-1 gene:ORGLA01G0376200 transcript:ORGLA01G0376200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVHGVCYGMNGDNLPSQSEVVQLYKSNGIGAMRIYSPDQKALDALRGSGIAVIIDVGGSGAVANLANNPSAAADWVRDNVQAYWPNVIIRYIAVGNELGPGDMGTILPAMQNVYDALVSAGLSNSIKVSTAVRMDAITESFPPSHGVFRPDLQQFMVPIAQFLANTMSPLLANVYPYFAYRDNPREIPLNYATFQPGTTVRDNDSGLTYTNLFSAMVDAVYAALEKAGEPGVRVVVSESGWPSAGGFAANVENARNHNQGVIDNVKNGTPKRPGQLETYVFAMFNENQKPGDETERHFGLFYPDKTPVYPITFPPN >ORGLA01G0376100.1 pep chromosome:AGI1.1:1:31329104:31329413:-1 gene:ORGLA01G0376100 transcript:ORGLA01G0376100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEYEITDPRCYRRTPTTARRTTSGSSALGRQNLPAKAARRRKPAAADGRPGCVIRTTVEATRVRFRRTIC >ORGLA01G0376000.1 pep chromosome:AGI1.1:1:31326894:31327493:1 gene:ORGLA01G0376000 transcript:ORGLA01G0376000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEMNLIREDEYGGGGVGFEPTEDELMLHFLRPQLRGFAPRVAGAVVEADPCGAAPWELLARHGRREEGFFFSARARRKPSVRRTVAGGGGGGGAWMHSSTKNGQSVTDLGVVVRWCRINYCFYVRGEMGQQRSTGWMMAEYEITDPRCYRRADDGEEDDFWVLCHVRKSSRPSAAKISPAKPARRRKPTAAADVRAA >ORGLA01G0375900.1 pep chromosome:AGI1.1:1:31323962:31325257:1 gene:ORGLA01G0375900 transcript:ORGLA01G0375900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51930) TAIR;Acc:AT3G51930] MAMPLRDPTDRAAMSAPLLPRSAFVSSASFSSFSSVASFSSTSSSFSDPAVPSDVVEALPMSRDSFKALAVLRDHQGSVSSLSLCGEFLLSASTGADIVAWQQPDLRRFARFGHGEGSVKALAAAGGRVFSAHQDGRVRVWRVSRRSENAFKLVAALPTTRDYLGKIFRHASYVQTRRNHRRLWIEHADSISCLAVHDGVVYSGSWDKTLKVWRISDLKCLESIHAHDDAINAVAADSGTIYSASADGHVKAWGKGKAAHFLQGILISRDGVSWNALVASADAGARRVYAAGSDGHVVGWDRVGGRGSRWSLSCDVKAHDMAVLCLCVAGDLVFTGSADKTIGLWRWQTSGDGDGGAAAALARVGVIRGHEGPVKCIQASWCRVSNGCMVYSGGLDKSIRVWWVPNGWKANEQKQQQENDTKDHKNCVFLR >ORGLA01G0375800.1 pep chromosome:AGI1.1:1:31316723:31320109:-1 gene:ORGLA01G0375800 transcript:ORGLA01G0375800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLVVLGIPWDVDTEGLREYMGKFGPLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLECEHVLGNRTLEVKIATPKEEMKSQGSKKATRIFVARIPQSVDESMFRRHFEAYGEITDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQDSHELDGTTVVVDRATPKDEEVRYPPSRGASQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGGYYGSSQGVGNKKIFVGRLPQEANTEDLRHYFGKFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVADRVARRSHEILGHEVAIDTAAPLEGDSGGGYMEPMDLYGAYGSMRTYGRFCSGIDYDYGYGHSGSSSSRSRADWRYRPY >ORGLA01G0375700.1 pep chromosome:AGI1.1:1:31313192:31315749:-1 gene:ORGLA01G0375700 transcript:ORGLA01G0375700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVGVVMDAAGADADQARLHQLGYRQELKRGLSLVSNFAFSFSIISVLTGVTTTYGTGLRYGGPVSMTLGWLVVAAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWWACTTSVDFSLAQLLQVIILLATGGANGGGYMASKYVVLAIYSAILILHGLINSLPIRWLSWFGQLGAFWNVAGAFSLTILIPAVAKERVSPEFIFTHFNAENGAGIHDKAYILAAGLLMSQYSLIGYDTSAHIIEETKNADWSGPIGIITSVALSTMFGWIYLIALTSIMTDIPYLLNPSNDAGGYAIAQALYTSFHRRYGTGAGALACLGVIAVAIFLCGSACITSNSRMGYAFSRDGAKPLSRVWHRVDSREVPLNVVWLSVAVAFVMALTSLGSQVAFQAMVSIATLGLLIACALPVFFRVTTARRSFVRGPFHLGKYGVVVGWVGVVWVATVTVLFSLPVAYPVAKETFNYTPVAVGGVLLLSLVAWVLHARFWFQGPVTNVDTYNVP >ORGLA01G0375600.1 pep chromosome:AGI1.1:1:31309008:31309412:-1 gene:ORGLA01G0375600 transcript:ORGLA01G0375600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNFQGSGTRYAILLPRWLSEMGECKLSASMDNYVGAKTSHTCLIWVHRAPTLYDHQGAEKCKANKKFLHVDGIIFLILKSKLYFALXKLHRVSLVFTWTQLLCGTAPTVGVTDM >ORGLA01G0375500.1 pep chromosome:AGI1.1:1:31305930:31308422:-1 gene:ORGLA01G0375500 transcript:ORGLA01G0375500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGVVAMDAADADADQARLHQLGYRQELKRGLSLVSNFAFSFSIISVLTGVTTTYGTGLRYGGPVSMTLGWLVVSAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQWACTTSVDFSLAQLLQVIILLATGGANGGGYMASKYVVLAIYSVILILHGLINSLPIHWLSWFGQLGAFWNVAGVFALTILIPSVAKERASPEFIFTHFNTENGMGIHQKAYILAVGLLMSQYSVIGYDTSAHMIEETKNADWSGPMGIITSVGLSTMFGWIYLVALTSIVVDIPYLLNPDNDASGYAIAQALYTSFHRRYGTGAGALACLGVIAVAVFLCGSACITSNSRMGYAFSRDGAMPLSRVWHRVDSREVPLNVVWLSVAVAFVMALTSLGSQVAFQAMVSVTTLGLYIAYALPVFFRVTTARKSFVPGPFHLGRYGLVVGWMAVVWVATVTVLFSLPVAYPVAKETFNYTPVAVGGVLLLSLVAWVFHARFWFQGPVTNVDA >ORGLA01G0375400.1 pep chromosome:AGI1.1:1:31293914:31301132:-1 gene:ORGLA01G0375400 transcript:ORGLA01G0375400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRSVEVAVPADPAGDGDRDKIRLRQLGYKQELKRGLSTLSNFAFSFANISVLTGVTATYNTGLRYGGPVSMTLGWLVVALFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWASLASWVTGWFNIVGQWAAIASVDFSLAQLLQVIILLSTGGANGGGYMASKYVVLVICAIILILHGVINSLPIQWLSLFGQIGAIWNAAGVFVLVILIPAVAKDRPSVEFVFTHLNTDNGMGIHSKAYILAVGLLMSQYSVLGYDTSAHMVEETKNADRSGPIGIITSVVCATVFGWIYLLALTTAVTDIPYLLSPGNDAGGYAIAQALYTAFRRRFGSGAGGIACLGAVAVAIFLCGIACVTSNSRMAYAFSRDGAMPLSRVWYRVNKHEVPLNVVWLAVAVAFFMALTSLGSQVAFQALGSIATLGMYIAYALPVFFRVTTARRSFVPGPFNLGKYGVLVGWVGVVWVATVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSVGAWVLHARFWFQGPITNTSDGPI >ORGLA01G0375300.1 pep chromosome:AGI1.1:1:31290964:31293196:-1 gene:ORGLA01G0375300 transcript:ORGLA01G0375300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVQLAVAAGDQVDPDSLRLHQLGYKQELKRGLSALSNFAFSFANISVMMGVTTTYNTGLRYGGPVSMTLGWLVVAVFNCCVALSMAEICSAYPTSGGLYYWSAKLAGKQWASLASWVTGWFNVVGQWAAIASVDFSLAQLLQVIILLSTGGGNGGGYMASKYTVLAIYAFILILHGIINSLPIEWLSLFGHVGAIWNAAGIFVLTILIPAVAKDRPNIEFVFTHLNTENGMGIHDKAYILAVGLLMSQYSVIGYDTSAHMVEETKNADRSGPIGIITSVLFATVFGWIYLLALTSVVTDIPYLLSPSNDAGGYAIAQALYTAFHRRYGSGVGGIVCLGAVAVAVFLCGIACVTSNSRMAYAFSRDGAMPLSRVWYRVNKHEVPLNVVWLGVAVAFVMALTSLGSQVAFQAMGSIATLGMYIAYALPVFFRVTTARRSFVPGPFHLGRYGVVVGWAGVVWVATVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSVGAWVLRARFWFQGPITNVDTHC >ORGLA01G0375200.1 pep chromosome:AGI1.1:1:31287005:31289390:-1 gene:ORGLA01G0375200 transcript:ORGLA01G0375200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKKLSELTTRGQTWNIKVKVMRLWDSVNSATDELLSLDMILMDEQGDVIHASIWKNLIDNYKTQINESSVYVFSNFKVQESQKYRPVCNDLKITFMYNTKVKQVKESAESFPEYYFDFASIDTLQNRANKDQHLSDIIGLLTRMKPIETRMTRKNTPNPRLAEIREIELLLLGGDKIRLTLWGQFARFFSEDIIGNQTVVIVTSTTVQEYIGLSLRSSSATRIYTNLHIRETWTLIDRQSSEETVPKMMEVDKSTQGTREEQMFYNRKTLKDITEMRHGNPGSQEFVFTSKVTIDRVQENIQWWYRLRLQISDHTTSTSCTIFDDVAQSMLETPVSSLLNLLDGRNDEIPNIIQQLCGKQLIFKFKLSEQNLTEGTPNYVVKRTFVPDYMLEKQYLINKAEEELMDDDVDNILKQDRETDQQTDQQDSDQEIMSEDINQIVNSSR >ORGLA01G0375100.1 pep chromosome:AGI1.1:1:31275531:31281574:-1 gene:ORGLA01G0375100 transcript:ORGLA01G0375100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVASSALLRRSAARANLSGAGFCNGAPPVSSPLRRFPLQNWINDRFCWFLSKSCSMSTSDYETDPPLDGVKVLEKESTLSVAVSQLASDFDRESNLCLERFSRTRRTSVISTGSLKLDIALGIGGLPKGRMVELFGKEASGKTTLALHVVKEAQKKGGCCAYIDAENAFNPSVAEAIGVNVEKLLIAQPDSAENSLSIVNTLVGGSIDVVVVDSVAALIPRCELEGEIYMNSEDVQSRLMTRALRKIQHTLSRSETLIIFVNQVRTKLSSNQTPGIFKEVACGGNALGFYAAVRMRTSRRELRYSEAQATGIGISVQIIKNKLAPATLKEAGIDIRFGKGICYESEILELASSLGVIMKDDSGYWINGEFLPNKAEAEKFLRENAAVADEICGTMRRQFFESLRLEIPSSVTIKASLQRCIFLHPDRVQVQQIKLVAAEDVYARRCSCACSGFGHCSLRLLSFSVRSIGVCYGMNGDGLPSRSDVVQLYKSNGIGAMRIYSADREALDALRGSGIDLALDVGDRNDVGQIAASADSWVQDNVKAYYPDVKIKYIVVGNELTGTGDAASILPAMQNVQVALASVGLADSIKVTTAIKMDTLAASSPPSAGVFTNPSVMEPIVRFLAGNGAPLLANVYPYFEYRDSQDIDLSYALFQPSSTTVSDPNGGGLSYTNLFDAMVDAVRAAVEKVSGGGSSVVDVVVSESGWPSDGGKGATVENARAYNQNLIDHVAQGTPKKPGQMEVYVFAMFNENRKEGDATEKKFGLFNPDKTPVYPITFXKITPIRNMYYVVQYISCAXSGAYESKSV >ORGLA01G0375000.1 pep chromosome:AGI1.1:1:31272668:31274012:-1 gene:ORGLA01G0375000 transcript:ORGLA01G0375000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIRGFSLVFAAALLLLGVFISIPVGVQSVGVCYGMIGNDLPSKSDVVQLYKSNGITDMRIYLPDVEAMNALRGTGIGLIVGVANDILIDLAANPASAASWVDANVKPFVPAVNIKYIAVGNEISGEPTQNILPVMQNINAALAAASITGVKASTAVKLDVVTNTFPPSAGVFAAPYMTAVAKLLASTGAPLLANIYPYFAYIGNKKDISLNYATFQAGTTVPDPNTGLVYTNLFDAMVDSVYAALDKAGAAGVSIVVSESGWPSAGGDSATIDIARTYVQNLIKHAKKGTPKRPGVIETYVFAMFNENQKPGEATEQNFGAFYPNKTAVYPINFQ >ORGLA01G0374900.1 pep chromosome:AGI1.1:1:31269492:31271247:-1 gene:ORGLA01G0374900 transcript:ORGLA01G0374900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQGVASMLTIALIIGAFASAPTTVQSIGVCYGVLGNNLPSRSEVVQLYKSKGINGMRIYYPDKEALNALRNSGIGLILDVGDQLSYLAASSSNAAAWVRDNVKPYYPAVNIKYIAVGNEVEGGATNSILPAIRNVNSALASSGLGAIKASTAVKFDVISNSYPPSAGVFRDAYMKDIARYLASTGAPLLANVYPYFAYRGNPRDISLNYATFRPGTTVRDPNNGLTYTNLFDAMVDAVYAALEKASAGNVKVVVSESGWPSAGGFGASVDNARAYNQGLIDHVGRATPKRPGALEAYIFAMFNENQKNGDPTERNFGLFYPNKSPVYPIRF >ORGLA01G0374800.1 pep chromosome:AGI1.1:1:31260263:31261450:1 gene:ORGLA01G0374800 transcript:ORGLA01G0374800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGVASILTLALVLGVLAVTPKGGIDFYVRSFYPSGSPPLTPPPPSSLPCPRPAAAVPTAATAALADAAATPSDATADVAAAPADVVAALADAAADAATTPANAAAAIDAAVSPALTEGAGVAEKGMGRRRGRGRGRIGGCGEEINGSARP >ORGLA01G0374700.1 pep chromosome:AGI1.1:1:31252611:31254750:1 gene:ORGLA01G0374700 transcript:ORGLA01G0374700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFNQRTNNLLKKSPRYLSALDVTTEKEILLEDEWTLFLEHIFKHRVIVVDDWTKCYGTVQTFLHSSDAESCSDSFKGECDASFCEQTGKAKLAFVIFKEGKLVWAEVFHEVPCKSVMEAEALAVIALLFKLIDLNLLRGTVWTDNKVCYNVLNGEYEIKVDDPNRSLFLFLRSLRGRFESLTPVWKPRELLLIPDRLVSMVDDPLISRNRLVLSILEKTVSILSQPQFRISWSSYLRKIFDKRDGGKQISNTTNEIKESDESEDSICWVKADDEEAEIASFLEIMKTFSAHRLLIVVDNFEDKSPHYQKLIKDLSQKFYKCWMSKEGSVTIMKCDSSNTEEKETKRLVVMFGGTMALDEYIHQKNLCTVVLVKTSEIKLLRDAGIKEISAKTLLSFRNIKAKPVVPKKPREDEDLEKGGEGRWXRGXGEGRC >ORGLA01G0374600.1 pep chromosome:AGI1.1:1:31231053:31232046:-1 gene:ORGLA01G0374600 transcript:ORGLA01G0374600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRKEASTSTTISPPLPPGHSSIFYLELSNLATSYGQLMSAISSEAEVIITLESPSNSKGVKQFCKISDKLYTPSGGTFLVQITPDNSSPLEDRLTLLFRWKDLYFEAFHSKGKWYRMGDAEETLPPRSQLNYPMKEHQGIVKIPFETTYASVLGKKSFQCAPMGPGSFHAPLLQDSDDIRRGS >ORGLA01G0374500.1 pep chromosome:AGI1.1:1:31225821:31226090:1 gene:ORGLA01G0374500 transcript:ORGLA01G0374500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLRRIHFAHLPFLFPLNQATTTPLFTTGDKLFYSPFRPHPSSSLPSTTLPGCASLGNTNLRRRRSLPATGAPPPHSASPLSSLGSL >ORGLA01G0374400.1 pep chromosome:AGI1.1:1:31220200:31220502:-1 gene:ORGLA01G0374400 transcript:ORGLA01G0374400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTIWCICKLRQNFQLRTYSFSLHLKIAYYYFPGVVLNFLNITHILDALVTTNNVITEQEVQEYRHICRKMMSSVQTEKILWESSLNCCPRQQGIWICH >ORGLA01G0374300.1 pep chromosome:AGI1.1:1:31215344:31216003:-1 gene:ORGLA01G0374300 transcript:ORGLA01G0374300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESAWDPCGPTYALFRARQAQPPHRAQRRCLLRRAQPGIRPPGRPLPSKREVLAQHHELRRLREDFARLQVQCSALQAQVDRLSMERRWHGGGGGFFKWSTFWFGGMSSDVARVEDSESGIERRTPASGKKGRGGALD >ORGLA01G0374200.1 pep chromosome:AGI1.1:1:31211522:31211954:1 gene:ORGLA01G0374200 transcript:ORGLA01G0374200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEEAGLPDPATAASSLVDCRLPGREGCEPLHSSSPLSSHLHVDPVVALFGSTTLLSTVFLTRSPCRSPPLGARMGRARAAAWAPKPRRRKAWAMAKYGDDGSKAQTMARYGDDGCK >ORGLA01G0374100.1 pep chromosome:AGI1.1:1:31200088:31207895:1 gene:ORGLA01G0374100 transcript:ORGLA01G0374100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESALDKHIVVKIPDHFLPDAFIGESVGKGKEDGATVDNMDPSRPFLVIKKSDLDKADEWVNIKYAWMAKSLTNDIIIPDPTPQVVRDAFFDISPRLDSVLRKDSVRCFFRLFAECTAAMAFKCNITSETLSYIVRHNALRCAKTVLEGKAPQLSCMHANPNCINPYGIFPLHEAAERFSVDMIKLLFCHGASANLHTVDDAGIPGLLPLHVAVGNTCLHKYLEDNLSPVQYHEDYIYKLIHLLCLPEMKVFLDTVRLLAEKTDNLADELWNYMKNDKLVESAVLLLAARKHIREGKPDGFDIIAQRIYEDYDSLVCDKGDTAEGQKLLEERRALLKCKCLIVTIISQAGEVLDNYIQAHSEVPNVEVLARVSYILKEFGFCPNEEYIDTMILCPYNKISYSDIVHKDVTKVVAQMSTSLPAAEKKAARKKALKGWDPTFIKRNFFPYWRSVLGAQLSVSNGAADEKSMLHRPQFRNSVVQYCCIQNAHEGAKDMSKKVPSGMLLLITIGLQSIMLRTSFADTLRRLRIYM >ORGLA01G0374000.1 pep chromosome:AGI1.1:1:31189000:31189443:1 gene:ORGLA01G0374000 transcript:ORGLA01G0374000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XSSRRCIDIKLHLCRPYGSRCCPSSSSSPFALRHPRHPHWSSSAAQGLLRLLRASSPHLQAATVAALDRWSSYLYMVTDVAIQAVGPTTSPSSSSSMIHRQCRRIFLDYTSLFSGNCVLLRQFSLYVVLAPRPSRRTSLLVSSDIGV >ORGLA01G0373900.1 pep chromosome:AGI1.1:1:31183634:31186801:-1 gene:ORGLA01G0373900 transcript:ORGLA01G0373900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLAVFASILTGTAAVGVCWGMSGDNLPPASKVTEMLRENGFTVVRLYAPDSTALAALGGTGIRVVVGAPNYDLPALAHGGTAAAAAWIRENIQAYPTVLFRFVVVGNEVAGADTQLLVPAMENVHAALAAAGLGHIKVTTSISQATIGVHIPPSAGEFTDEAKPFMSYVIPFLERTHAPLLANLYPYFIYSYNPGGMDISFALFTASGAVVQDGEYGYQNQFDATVDALYTAVAKLGGENVRVVVSETGWPTAGGVGASVENAMTFNQNLVRHVRNGTPRHPGKKTETYVFAMFNENLKEAGVEQNWGLFYPSTDRVYPISFHARI >ORGLA01G0373800.1 pep chromosome:AGI1.1:1:31180613:31181935:-1 gene:ORGLA01G0373800 transcript:ORGLA01G0373800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAADGIAALVDWSDLLPVILEDISQRVHGDDRAVFAAVCKSWRRAASAAGPRLSRHSLHLVALCSGANAVDFSSRHGDVVKTAYLGSGGARPHRIIGCSHGWLVVVDEACRASLLEPFTDGAQVPLPPVTSFDCEYFVTAVGGDGDGVPEYFAVDNHAYHHHLQGHRKIEWKPPKLVPVQSMRDEFFQKAAIAPGSHRKESYAAVMVSHSGGSGLAFARSGDDRWTSLPTQALTRYADVIWHNGAFYTLTRGDGAVAAWEPDGRALKPRFVTGPVMRWEFKRLVEFHSDTFHQPAFYEGARYLAKQADGGGGLLVVSTVAILDDSNALRARRFKVFDVDEDKGEWRARDDVGDAAVLVGINHSECVSTREYPCLKPNCAYYVVKSFAADFEEEEKGCSRYESGVCDVKTGVASRMSVFRRAAGGHPVWFVPSAVSRR >ORGLA01G0373700.1 pep chromosome:AGI1.1:1:31179270:31180103:-1 gene:ORGLA01G0373700 transcript:ORGLA01G0373700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVCFSDLPREALDDIARRAGALNNVVCSAVCRPWRRDLKTTRLGLLKQPNRPYSVNLELWCGSIELDPIRRCINGERTVRIANHDGAAPVTRIVGSSHGWLVTVDEDGGLSLLEAVTGRLYPLPPITSSGSKKVAKDLDQMGESMFQKAELVPGHRLGTFAVMLIHGGGFGMSFLRPGAKCWTAVRVPKWMKQKFVDVVFHQGAFYTVSRDAEVSAWTPDASRSRGRSARGSPSWCRSAATTCSWCLGCTSARGRGSPWRTSGRRPSWWGAAAA >ORGLA01G0373600.1 pep chromosome:AGI1.1:1:31176719:31177879:-1 gene:ORGLA01G0373600 transcript:ORGLA01G0373600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSFSDLPPEALDIARRAGALNNVVCSAVCRPWRRALKTTRLRLLKRPSRPYSVRLDKWRNGTISLCPAVRLGCSSESTIYVPIAMDDGGDKLPTRIIGSSHGWLVTVDKECGLSLLEAFTGRVFPLPPITSSGSKKVAKELDQMGESMFYKAALAPGRRLGAFAVMLIHGGGFGLSFLRPDAKSWTAVRVPKRMQHKYTDVVFHRGAFYTASRDGEVAAWAPDASSSGLHAGRVSEPTQECTWAALVESVGGDDLLMVSSFVVEEGFAAHGQWYRLPRRRYAVSRYDGEREGTSSWLPVEDLGEAAILVGSSCSLCVSTRGFHDDLRNRLFFAWPSYESGKYYCFHPDEYRLPTATPGCTYLIMPHYGGSWFAPYVAPEFHWY >ORGLA01G0373500.1 pep chromosome:AGI1.1:1:31174748:31175887:-1 gene:ORGLA01G0373500 transcript:ORGLA01G0373500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVCFSDLPPEALDDIARRAGPLDNVVCSAVCRPWRRALKSTRLRTLEQPSRPYSVRLDQWSNGIELCPLRLTRERIVRIPSDGGAAPVTRIIGSSHGWLVTVDEECGLSLLEAVTGRAFPLPPITSSGSKKVARDLDQMGESMFQKAELVPGRRVGTFAVMLIHGGGNGLSFLRPGAKSWTALRFPKWMQHKYVDVVYHKGAFYTASREAAVTAWAPDASSSGLHATRVTEPRPEKCTWAALVESLGGGDLLMVSSVGAMDDEDHARHGYLRGSRRYEVSRYEEREEGRWLPVEDLGEVAILVGIGGRSLCVSTRGGRDALRNHLYFARPFVSFEYYDGHPREYRLPTATPGCGFVYVPGCSSSWFLPYVAPESHCN >ORGLA01G0373400.1 pep chromosome:AGI1.1:1:31170153:31171772:-1 gene:ORGLA01G0373400 transcript:ORGLA01G0373400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 16 [Source:Projected from Arabidopsis thaliana (AT3G10150) TAIR;Acc:AT3G10150] MRCWRRLAAAGALLAALCAALALAVAEHRRPDTTASSSSRRPLRFASGGGFKVALFADLHYGENAWTDWGPRQDAGSDRVMAAVLDAEKPDFVVYLGDLVTANNLGIPNASLYWDRAISPTRGRGIPWATVFGNHDDMPFEWPPEWFSPAGVPPLHCPPPSMSDSDCSFRGTPRLELMTSEVIRNGLSYSSNGPKDLWPAVSNYVLQVLSQKRDDPALLMYFLDSGGGSYPEVISSAQVQWFHSQSQFLNPNGRIPEIIFWHIPSTAYAKVAPKAKSEIRKPCVGSINREEVAPQEAEWGMMDALVKRASVKAIFVGHNHGLDWCCPHEKLWLCFARHTGYGGYGNWPRGARVIEISEQPFSIQSWIRMEDGTTHSDISLSS >ORGLA01G0373300.1 pep chromosome:AGI1.1:1:31160605:31161730:1 gene:ORGLA01G0373300 transcript:ORGLA01G0373300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGVACALAVIYFDPPVYTPGIKPCRTHTNTFDSRGCMRALIVDQHSTAVRSIGVCNGILGNNLPSPADVVKLYQSNGIAAMRIYSPHAATLRALTGTDIAVIVDEPAIDQFLTLSAASDWVQSNIKPYQGVNIRYIAVGNEVSGDATRSILPAMENLTKALSAAGFGKIKVSTAVKMDVLGTSSPPSGGEFSDAAVMAPIAKFLASNGSPLLANVYPYFAYKGGDVDLNFALFQPTTATVADDGRTYSNMFAAMVDAMYSALEKAGAPGVAVVVSESGWPSAGGSGASADNARRYNQGLIDHVGMGTPKRAGAMEAYIFAMFNENQKDGDETERHYGLFNPDKSPAYPIKFXIS >ORGLA01G0373200.1 pep chromosome:AGI1.1:1:31158967:31159209:-1 gene:ORGLA01G0373200 transcript:ORGLA01G0373200.1 gene_biotype:protein_coding transcript_biotype:protein_coding APLRRYPLLQRFCYISLERFFLISHSIFSLKFTVHFLVSYSVIYETYTVTFVSYTVIFESSHVNFKFCIGFGLFLEDMVI >ORGLA01G0373100.1 pep chromosome:AGI1.1:1:31156424:31157600:1 gene:ORGLA01G0373100 transcript:ORGLA01G0373100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGVAFILTLALVLGVLAVTPKVVQSIGVCYGVNGNNLPSPSDVVKLYQSKGIDSMRIYFPRSDILQALTGSNIALTMGVANENLSAFASDPSAVANWVKQNVQAYPGVNFRYIAVGNEVESGNTQNVLPAMQNMNSALSAAGLSNIKVSVSVSQKGVLADRYPPSNGTFSPEATSYMTPIAKYLASTGAPLMANVYPYFAYVDKQDQIDIKYALFTSPGTVVQDGGNAYQNLFDASVDTFYSALESAGAGSVPIVVSESGWPSAGGTAASASNAQTYNQNLIKHVGQGTPKRAGRIETYIFAMFNENDKRGDETERHFGLFNPDQSPAYTINF >ORGLA01G0373000.1 pep chromosome:AGI1.1:1:31151411:31152561:1 gene:ORGLA01G0373000 transcript:ORGLA01G0373000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGVSSVLAVVLVIGAFVSIPTVQSIGVCYGVKGNNLPPRNEVVQLYKSKGINGMRIYYPDKEALNALRNSGIALILDVGDQLSYLAASSSNAAAWVRDNVRPYYPAVNIKYIAVGNEVEGGATNSILPAIRNVNSALASSGLGAIKASTAVKFDVISNSYPPSAGVFRDAYMKDIARYLASTGAPLLANVYPYFAYRGNPRDISLNYATFRPGTTVRDPNNGLTYTNLFDAMVDAVYAALEKAGAGNVKVVVSESGWPSAGGFGASVDNARAYNQGLIDHVGRGTPKRPGALEAYIFAMFNENQKNGDPTEKNFGLFYPNKSPVYPIRF >ORGLA01G0372900.1 pep chromosome:AGI1.1:1:31140554:31141696:1 gene:ORGLA01G0372900 transcript:ORGLA01G0372900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQGFAPVLAVALLLAAFPAAVQSIGVCYGVIGNNLPAASDVVKLYKSKGIDSMRIYFPRSDILQALTGSNIALTMDVANENLAAFAADATAAAAWVKQNVQAYPGVSFRYIAVGNEVTVDDTGNILPAMKNLNAALAAAGLGGVGVSTSVSQGVIANSYPPSNGVFNDDYMFDIVEYLASTGAPLLVNVYPYFAYVGDTKDISLNYATFQPGTTVTDDGSGLIYTSLFDAMVDSVYAALEDAGAPDVGVVVSETGWPSAGGFGASVSNAQTYNQKLISHVQGGTPKRPGVALETYVFAMFNENQKTGAETERHFGLFNPNKSPSYKIRFH >ORGLA01G0372800.1 pep chromosome:AGI1.1:1:31137515:31138962:1 gene:ORGLA01G0372800 transcript:ORGLA01G0372800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGVASVLTLALVLGVAAIPTVVQSIGVCYGVIGNNLPSPSDVVQLYKSNGIDSMRIYFPRSDILQALSGSSIALTMDVGNDQLGSLASDPSAAAAFVQNNIQAFPGVNFRYITVGNEVSGGDTQNILPAMQNMNSALSAAGLGNIKVSTSVSQGVTAGFPPSAGTFSASHMGPIAQYLASTGAPLLANVYPYFAYVGNQAQIDINYALFTSPGTVVQDGGNAYQNLFDAIVDTFYSALESAGAGSVPIVVSESGWPSAGGTAASAGNAQTYNQNLINHVGQGTPKRPGSIETYIFAMFNENQKGGDETERHFGLFNPDQSPAYSINF >ORGLA01G0372700.1 pep chromosome:AGI1.1:1:31134142:31134686:1 gene:ORGLA01G0372700 transcript:ORGLA01G0372700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high chlorophyll fluorescence 153 [Source:Projected from Arabidopsis thaliana (AT4G31560) TAIR;Acc:AT4G31560] MAARSLAALSSPARVGASATPCSGRPVRVSATPAAGGWRRRRRSMVVRAGGPPSTNALILAFVLPLSLFVGTLITAARVADDLDERFLREMESNKAIMEENEDFEQDGGGEEEEEDAEQPAPAEKEKEGVLVAAAPRTRNRPKREVQ >ORGLA01G0372600.1 pep chromosome:AGI1.1:1:31102306:31108893:-1 gene:ORGLA01G0372600 transcript:ORGLA01G0372600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:I1NV36] MGRVGLGVAVGCAAVTCAIAAALVARRASARARWRRAVALLREFEEGCATPPARLRQVVDAMVVEMHAGLASDGGSKLKMLLTFVDALPSGSEEGVYYSIDLGGTNFRVLRVQVGAGSVIVNQKVEQQPIPEELTKGTTEGLFNFVALALKNFLEGEDDQDGKMALGFTFSFPVRQISVSSGSLIRWTKGFSIRDTVGRDVAQCLNEALANCGLNVRVTALVNDTVGTLALGHYYDEDTVAAVIIGSGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTPYDILLDDETHNRNDQGFEKMISGMYLGEIARLVFHRMAQESDVFGDAADSLSNPFILSTPFLAAIREDDSPDLSEVRRILQEHLKIPDAPLKTRRLVVKVCDIVTRRAARLAAAGIVGILKKLGRDGSGAASSGRGRGQPRRTVVAIEGGLYQGYPVFREYLDEALVEILGEEVARNVTLRVTEDGSGVGAALLAAVHSSNRQQQGGPI >ORGLA01G0372500.1 pep chromosome:AGI1.1:1:31096902:31098954:1 gene:ORGLA01G0372500 transcript:ORGLA01G0372500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAMKPSIVHCLKLLMLLALGGVTMHVPDEDDVVASLGALRLDGHFSFDDAHAAARDFGNRCSLLPAAVLHPGSVSDVAATVRRVFQLGRSSPLTVAARGHGHSLLGQSQAAGGIVVKMESLAAAAAAAARAVRVHGGASPHVDAPGGELWINVLHETLKHGLAPRSWTDYLHLTVGGTLSNAGVSGQAFRHGPQVSNVNQLEIVTGRGEVVTCSHEVNSDLFYAALGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFETFTEDQEKLIASEKTFDYIEGFVIINRTGILNNWRTSFKPQDPVQASQFQSDGRVLYCLELTMNFNHDEADIMEQEVGALLSRLRYISSTLFYTDVTYLGFLDRVHTSELKLRAQGLWEVPHPWLNLLIPRSTVHKFAKEVFGKILKDSNNGPILLYPVNRTKWDNRTSVVIPDEEIFYLVGFLSSAPSSSGHGSVEHAMNLNNKIVDFCEKNGVGMKQYLAPYTTQKQWKAHFGARWETFERRKHTYDPLAILAPGQRIFPKASLPMSL >ORGLA01G0372400.1 pep chromosome:AGI1.1:1:31057621:31077627:1 gene:ORGLA01G0372400 transcript:ORGLA01G0372400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-formylglutathione hydrolase [Source:Projected from Arabidopsis thaliana (AT2G41530) TAIR;Acc:AT2G41530] MAAAAPSPPPVAALEQMSRTKMFGGHNLRFRHHSATLGCPMTFSVFLPPSPASDLPVLYWLSGLTCNDENFVTKAGAQRAAAAHGIALVAPDTSPRGLNIEGEADSWDFGVGAGFYLNATNEKWKNWRMYDYVVKELPKVLSDNFEQLNTSRASIFGHSMGGHGALTIYLKNTDKFKSVSAFSPVVNPINCPWGQKAFSNYLGPAKSDWEQEYDATCLIKKCNKISTPILIDQLEQGEDDKFLAEQQLLPRNFEEACKAVGAPLTLRMQPGYDHSYFFIATFIDDHIAHHSQFLKSA >ORGLA01G0372300.1 pep chromosome:AGI1.1:1:31052124:31055641:1 gene:ORGLA01G0372300 transcript:ORGLA01G0372300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:I1NV33] MVGSVHVNGSVNGGNGTEERLDELRRLLGKSDGDLLKIVGIGAGAWGSVFAALLQDAYGRFREKVQIRIWRRAGRSVDRTTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISKYWKERISVPVIISLAKGIEASLDPIPRIITPTQMISSATGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGISAVGAFFELLSQPSLSVQHPEENKQVAPAELCPILKRLYRILIKRELSTRDILQALRDETMNDPRERIEMAQSHAFYRPSLLGKP >ORGLA01G0372200.1 pep chromosome:AGI1.1:1:31048462:31049772:1 gene:ORGLA01G0372200 transcript:ORGLA01G0372200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSHETDKNIEIWKVKKLIKGLDAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPPNGLVLYTGTIVTDEGKEKKVTVDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLFGTLSGNSREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLIIAGSADFKTELSQSDMFDQRLQAKILKVVDVSYGGENGFNQAIEISAEELSNVKFIQEKKLIGKYFEEISQDTGKYVFSVDDTMSALEMGAVETLIVWENLDINRYVLKNSVTGETTVKHLNKAQETDQSNFRDKATSAELEVIEKTLLLEWFAENYRQFGCSLEFVTNKSQEGSQFVRGFGGIGGILRYQVEINAYEDLSDEEYDEDYE >ORGLA01G0372100.1 pep chromosome:AGI1.1:1:31043311:31045320:-1 gene:ORGLA01G0372100 transcript:ORGLA01G0372100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAYDASSDEEDAGGEPPAAAAASPAPVPSSFGPRPRPPSPSTSVGAAPQPPPHSQNISSTSSSNISLPTPSLDLPDVADLFSSPSLPSRGSTSMMDSTSRKRESNGSAFQDPRSKFPRVQSGQSRGARIAAGNTLVPPQLSGRSNVVTEDMTKLFVARRKE >ORGLA01G0372000.1 pep chromosome:AGI1.1:1:31037617:31040686:-1 gene:ORGLA01G0372000 transcript:ORGLA01G0372000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMMTSASGCAKLDYLSSQEPGDESQINAIDIVDRLLVEDDIETYQQISIDQTTRAKSASTLGSDIAQCLAKRARCSSPLKKAGNFDWVDTPTVDDCRTSIISMENTVDRANNQVDRANNQVKHGGCGSSTRAWPILECIDEDLGTNCLKKPEPFCGTDDLYQEYDIGPNTQMAAEAMEALFNASTVSYDVKENERPEDSVVKNMTKGTKVDKTCAVHSPIQKRKVNFLRHRSGVATEYKQIKVDDTVRENGESSVSHTNTSQTRKYTKQMAGKAKRNISSGITQRDIDHEVSEVITRSGTNDSNIPLSLDTDASIHPKRRRTYIFTSGSSKIEFIEAIKPTALRAKTTEVKQLSTANTVSVSDQDTTSGLRMSQHSSFADHEASAGSSYFNPLAETFTVGLEKQSIPEKKGHDSSMMPSVPLRELNGAGPQARTRTSETLKRVLKSPGSRELANLFRNEVSPVLQSSRRRRKHMSTVRVLLSQSMGNETLNDQTKILIHFGLSVATTISEATHFVAEKFARTRNMLEAIAMGIPVVTPAWLECCREARSFVDEKRYILRDIKKEKELGFSMPVSLSRACKKPLLEGRRVLITPNAKPSKELLKSLVVTAHGKVLERNAMSKMKNRSLMGAFVISCEQDYKICVPFIKNGFEVFESELVLNGIVTQKLEFERYRLFSG >ORGLA01G0371900.1 pep chromosome:AGI1.1:1:31035378:31036334:-1 gene:ORGLA01G0371900 transcript:ORGLA01G0371900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRTTRCAKRPAPQLAVAPCAATAKRRRLAPPESPWASLNPHLLRLVAERALASDLLDYVRLRAVCAAWRSATACPRGRGITDPRFHPRRWMMFPEGHGLHPGHAKLCGFVRFFNLSTGALARAKLPLFQDHMVLDSVDGLLLLQRDHDTAIRLLHPFTGDIADLPPLETLRPQMGNTTNSVLWNYNEEKHRIGFLRDVCASVSVNDTGSITVMLAFHLFNRVAFAASGDLQWTLSKCDFGRPCWRTLSYQGKLFMVKAKHDITGNSDILQIDPPNDQDAEGSPLPEKELAPKLVATIPWPLFSGRMRLGDTDNWP >ORGLA01G0371800.1 pep chromosome:AGI1.1:1:31027377:31033704:1 gene:ORGLA01G0371800 transcript:ORGLA01G0371800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:I1NV28] MSFIRKKSMEFLKSFEVPAKNPSEEAQRRWRDAVGTLVKNRRRRFRMVPDLDKRSQAETQRRKIQEKLRVALFVQKAALQFIDAVRKTEHPLPELARQCGFSVSAEELASIVRGHDTKSLRFHNGVDGIARKVAVSLADGVKSDDAGLRAEVYGANQYTEKPPRTFWMFLWDASQDMTLLLLAFCAAVSVAIGLATEGWPSGMYDGVGIMLTILLVVMITAASDYKQSLQFRDLDKEKKKIDVQVTRDGYRQKVSIYDIVVGDIVHLSIGDQVPADGLFIDGYSFVVDESSLSGESEPVHVSTANRFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLLGKAGAPGGLLRWRMVDALAVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMAVEKIWASGAAQTMSNAKGFDQLTSSMSETFAKVLLEGVFHCSGSEVVRGKDGRHTIMGTPTETAILEFGLAVEKRARIEHTGAGKLKVEPFNSVKKTMAVVIASPSAGGRPRAFLKGASEVVLSRCSLVLDGTGNVEKLTDAKAKRVASAIDAFACEALRTLCLAYQDVDGGGGDIPGEGYTLIAVFGIKDPLRPGVREAVATCHAAGINVRMVTGDNINTAKAIAKECGILTDDGIAIEGPEFRNKDPDQMREIIPKIQVMARSLPLDKHTLVTNLRGMFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALMVNFISASFTGSAPLTIVQLLWVNLIMDTLGALALATEPPNDAMMKRPPVGRGDNFITKVMWRNIVGQSIYQLVVLGVLLLRGKSLLQINGPQADSLLNTFVFNTFVFCQVFNEVNSREMEKINVFSGIFSSWIFSAVVGVTAGFQVIMVELLGTFANTVHLSGKLWLTSVLIGSVGLVIGAILKCIPVESGSDASDRHDGYRPIPTGPSAV >ORGLA01G0371700.1 pep chromosome:AGI1.1:1:31026063:31026430:1 gene:ORGLA01G0371700 transcript:ORGLA01G0371700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDQLIGSAFIAFGIILFVGFFYAAVLTKMLPPYENRFLSAIQNDRYYCLLIPLTLPVIIVAVYLHWLSMKMFKHA >ORGLA01G0371600.1 pep chromosome:AGI1.1:1:31021409:31023773:1 gene:ORGLA01G0371600 transcript:ORGLA01G0371600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAETQEELLRKHLEEQKIEGDEPILEDDDDDEDDDDDEDDKDDDVEGAGGDASGRSKQSRSEKKSRKAMQKLGMKTITGVSRVTIKKSKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSNVISKAEPSAAAQDDEEVDESGVEPKDIELVMTQATVSRSRAVKALKAANGDIVTAIMELTN >ORGLA01G0371500.1 pep chromosome:AGI1.1:1:31008735:31012901:-1 gene:ORGLA01G0371500 transcript:ORGLA01G0371500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAVGEGQHQQQRPPDGAGGGGGGRGGRGGGGGRGGSGRLGWWWWCCCCGVGGVVRLKCVAALVLGVAVLLSAVFWLPPFARRGRGSEGPDPGAGFDADIVASFRLHKMVPELNGNASKLELDIYEEIGIPNSTVVVNSLQLVGSNWTNVIFSIVPYPKNLTLSSTGLSILRSYFMSFVVRQSTLQLTESLFGNSSSFEVLKFPGGITIIPPQTAFLPQKPHATFNFTLNFPIYKVQDRIDELKDQMKTGLLLNSYENLYIKLANLNGSTVDPPTIVETSIFLEVGNHQPSVPRMKQLAQTITNSSSGNLGLNHTVFGRVKQISLSSYLRHSLHSGGGSEAPSPAPMHHHGHHHHHHHHHGHEDSRHSAPAQAPVHYPVHEPRYGAPPPSRCPYGTDKPKNKAHVMPAPEPTANGHHFASPVALPPHSLSPRNPNVHSRSPIPSPPVLPEPPLPTVSFAHAHPPSEHTSRRDPAGLSALAPAPHSSNATRSLWRSIHWANIVPLVCILMSLL >ORGLA01G0371400.1 pep chromosome:AGI1.1:1:31006086:31006610:-1 gene:ORGLA01G0371400 transcript:ORGLA01G0371400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYLMSRRFSYRRLKKLPAAAPPDVPQQLQEQYYAAITAAAAGGGGGIGRRRRVRWRSFGDGGGGGRRMRPRLRISRLARVLRRRAAAVGGAVRASVAKVVRRLREGSPYVGDLFAGNYMFMQVTPSPTMAAAGFAKNGVVPYYHHGIIAGGKNSKLGTTCSPSVMYKVKFN >ORGLA01G0371300.1 pep chromosome:AGI1.1:1:30998072:31003184:1 gene:ORGLA01G0371300 transcript:ORGLA01G0371300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGDKATAAAEAGGGAAATAAAGGGGGGGGGGAEEESVKLFVGQVPKHMTEAELLAMFQEVAIVDEVTVIKDKATKASRGCCFLICPSREEADKAVNAYHNKHTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVTDTELTDLFSKYGNIKDLQILRGSQQTSKAGCAFLKYETKEQAVAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQLQSSNMPSASPMQQSSLFGALQMGYMPQYNGFSYQPPGTYGLMQYPLSPMQNQATFPNMVQPVNQGNSIRGVNPELSPNSVPRSFNAMQLSSPYPPVPGVQYAGSYPGGLMNNRPFGNSFSSIKVPIVNANSPASSSPSSNPGGQIEGPPGANLFIYHIPQDYGDQDLSNAFQRFGRVLSAKVFVDKATGSSKCFGFVSYDSPASAQAAIGVMNGFQLGSKKLKVQLKRDNSKHSKPF >ORGLA01G0371200.1 pep chromosome:AGI1.1:1:30991922:30993385:1 gene:ORGLA01G0371200 transcript:ORGLA01G0371200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center Psb28 protein [Source:UniProtKB/TrEMBL;Acc:I1NV22] MAAVMKALAVASPISARAQPRRCPAGSSGGPSQSLHSSFGGVSLQCRRTKPASLHRSRPSMQVVMMAARPAIQFIQGTDEQTIPDVRLTKSRDGTNGVAIFTFDQPSVFDSSAELGDITGFYMIDDEGVLQSVDVSAKFVNGKPALIEAKYVMRTPREWDRFMRFMERYSQANGLQFVKK >ORGLA01G0371100.1 pep chromosome:AGI1.1:1:30988621:30991371:1 gene:ORGLA01G0371100 transcript:ORGLA01G0371100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19220) TAIR;Acc:AT4G19220] MLRRTPRLLAAVNPATAVRSTTALVQIASPLDPFLLHHLTARFHHAPADAHHLLDETPRRASSIVRALGACRGASREEADGVAALHCAAFKSGAVLDPPVRTSVITAYSRVRDVCSALQVFDEAAAPDLILWNAAISALTLNCRYGDAVVLFWWMVDVLGVFDSTSMVIMLSGASRARSLEHGIAFHGMALKRCLDTDLSLWNTLMDMYAKCGDFYSSEVVFQRMPYRDTTSWNSMVSGSLFNGLAEISAYYFKEMVRSSFQADEVSLSCVLSACSHLKDLFSFGESVHSFVIKLGYEDTTSSVANSLITFYYELGFPEAAEEVFLSTSNKNLVTWNAMIKGLVENDRVNEAMCMFQEMRSKNQPDVATLVTIISACGDRGLLPEGKEVHGYIIKKGHIYKECSVGNSLLDLYMKCNDPSTARILFRTMPMRDLISWNTMISGYSRNDSLGEEAKAMFKGLLSEGLSCTLSTVVAVIPSCFCPQDLNFGKSVHSFILKYGFLTGVSAANSLIHMYICCGDSLAAFSLLESITPMSDIISWNTAIVGCVQNGLYGDALEAFQFMHSTLTLNPDSITLVSVLSVCGNLKLQSLGKSIHCMALKRLIEFNLRVKNALLTMYFRFGDTESAELIFSSLVGRNLCSWNCMISGFAQNNEGLRALQFYKKMEDFEPNEISIVGIICACTQLGDLRQGKNIHGHVVRFGLQTNVFISASLVDMYSKCGRLDISIRVFESSAEKSIACWNSMISAFGFHGLGLKSIEIFWKMNNSGVKATRSTFIALLSACSHSGLTDEGLKYYHLMIEHFGIIPTPEHHVCVVDMLGRAGRLQEAHKFVESLPSKQAHGIWGALLSACSKKSELKMCESVAKHLLCLEPENSGYYVTMSNLYAYQDMWSGAVQVRDILQDKGLMKPRGRSIIG >ORGLA01G0371000.1 pep chromosome:AGI1.1:1:30984393:30984869:1 gene:ORGLA01G0371000 transcript:ORGLA01G0371000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARARGGRAFFLLALVLVVLAAPAAALRTSAISAAPEYPRLPTGPGHGGGRHAAPAPAAVLPPAPALSPDIMPLLPSPGPDSDGSAEAPSDVMPTIPSSPSPPNPDALLPDSALAPFGSAPAVAAQSRAPPPSTTTAVAAAWALPVAVGLVAMWLV >ORGLA01G0370900.1 pep chromosome:AGI1.1:1:30981499:30982797:1 gene:ORGLA01G0370900 transcript:ORGLA01G0370900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLIPPATVSLVLLLSCLVATGDQQPAAYKLPLIVPLVRDTNTSLYTIAIKKGDAGPLVVDLAGALVWSTCPSTHATVSCLSGACGAANQQQPRRCRYVDGGWFWSGREAGSRCACTAHPFNPVTGECSTGDLTSFAMSANTTSNGTKLLYQEAFATVGACAPERLLASLPAGATGVAGFSRRPLSLPSQLAAQRNFGNKFALCLPGFAAFGDTPVYIGTESLGIVNYTESLPYTPLLTNPRNPGYYLPVKGITVSWYGRDVTASLPADALNMDARTGRGGVVLSTTTPYAVMRPDVFRAFAEAFDAAIRGTDYAKVVRVPAVEPFKLCYDGAFPFRKRPPTWDVPTIDLELAGATGIWRLFTENYMVQTPRGMCVGILEMEAGGGMPVDGEPAMVLGLKQLDTNLLVFDLDKMLLWFSGELSFRLTGCVSPF >ORGLA01G0370800.1 pep chromosome:AGI1.1:1:30977473:30978033:-1 gene:ORGLA01G0370800 transcript:ORGLA01G0370800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGGGATAPMPAPSTHYPMRDRELLAGSAGAGAGKEEPSADAKTDEEVEREGVALAASLAWSTMSTYLASSSRRRRKRPPVTSSDVAWTVEDAAVGDELRRGTWMTKDTPVGDELRRGMWMTKDAATGDELRRGINGGGCGCRRRAPAWGVDDGGRGRRRRAPTWRGRWRMWPPATSSGVGRGR >ORGLA01G0370700.1 pep chromosome:AGI1.1:1:30974620:30975948:1 gene:ORGLA01G0370700 transcript:ORGLA01G0370700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPIKCLLPPAIVSLVLLISCMVATGEQQAPYKPLVVPLVRDSDTSFYTIPIKNGAPLVVDLAGTLVWSTCPSTHTTVSCLSGTCSAANQQQPRRCRYVDGGWFWSGREPGSRCACTAHPFNPVTGECSTGDLTTFAMSANSTVNGTRTLHPEEFAAVGSCAPQRLLASLPAGATGVAGFSRRPLSLPSQLAAQRNFGNKFALCMSQFVAFGDAPVYLGMEGRGFVDYREILPYTPLLTNPRIPGYYLPVKGISVSWSVPETPASLPAGALDLDARTGRGGVVLSTTTPYTVMRPDVFRAFAEAFDTAIIRRSKYTYSNVTRHPPVGPFKLCYNGAFPMLKRPASMDIPTIHLELDGATGTWSWFNDNYLVFAPGAALCVGVLEMGPGGMPVDGEPAMVVGVKQLDWNLLVFDLDKMLMWFSGDLAFRLAGCSYSSQIGL >ORGLA01G0370600.1 pep chromosome:AGI1.1:1:30971130:30972782:1 gene:ORGLA01G0370600 transcript:ORGLA01G0370600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPEPVHVLLLAAIAVQVFVQCTAQAASDQKPLVSRLAQDYNTSLYTISVKNGAPPLVVDLAGTLVWSTCPSTHATVPCQSAACDAVNRQQPRRCRYVDGGWFWAGREPGSRCACTAHPFNPVTGECSTGDLTTFAMSANTTNGTDLLYPESFTAVGACAPERLLASPSLPQAAAGVAGFSGTTPLSLPSQLAAQRRFGSTFALCLPAFATFGDTPVYLPNYDPSGPFDYTKMLRRTPFLTNPRRNGGYYLPVKRISVSWRGPGDVPVSLPAGALDLDVRTGRGGVVLSTTTPYAIMRPDVFRAFAKAFDTVVARDRYSSVARVAGEKPFELCYGGTGGFALMKRAGYDAPAITLELGAGATGNWTILNGNFLVRGTCVGIVEMGPEGMPVDGEPAVVLGGMQLENILMVFDLDKRTLGFSRLLEWDLTNCYSASFL >ORGLA01G0370500.1 pep chromosome:AGI1.1:1:30936049:30937305:-1 gene:ORGLA01G0370500 transcript:ORGLA01G0370500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRHGNLVLAAALLVLLASPRPSCAAAAPRRRDPVVVPVTRDPATSLYTIPVRYYDNLVLDLAGPLVWSTCAADHLPASLSCQDPTCVLANAYRAPTCNVTGGGGGGDCSKNVCTAYPYNPVTGQCAAGNLAHTRFIANTTDGKNPLSQVSVKAVAACAPRKLLARLPRGATGVAGLAASGLALPAQVASSQGVAGKFLLCLPRLGYGQGVAIFGGGPIYLGEGLPDFTTTLEYTPLVAKRDNPGYYVTANAIALDDARLPLPRGALAAAGVALHTTVPFGQLRQDVFRPFVREFEKGLNRSDAKVAAVAPFLLCYNASMLWNTRIGYFVPAVRLMLAGGKNYTMTGTNLMVDVKGGKACLAFVEMKSGDAGSPAVILGGFQMENMLLQFDSEKKRLGFARLPFYTSCSNFNFTRTQ >ORGLA01G0370400.1 pep chromosome:AGI1.1:1:30933603:30934877:-1 gene:ORGLA01G0370400 transcript:ORGLA01G0370400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHLLLAVSLCVALASSLPWAAASANGNGNGKPLVAAITKDAATSLYTVPIKDGRPLVLDLAGALVWTSCAAAHPTLECHHHFCMHAHSYHPPGCPHNGYGRADVEDPFRCKCTAHPYNPFSGESATADLTRTRLSANATDGKNPLYPVSFAAVTSCAPDSLLAKLPAGAVGVAGLARTRLALQAQVARSQKVANKFALCLPSGGGGDGVAIFGGGPLFLLPPGRPDVAATLAGETPLHRNKDLPGYFISATKIAVNQEQVQLYTQEPLVVELCTRIPYTALRPDVYRAVVDAFARATAGRKRVTPPAAAAAPFELCYDSRELGSTRLGYAVPQIDLVLEGGKNWTVFGGNSMAQVSDNTACLAVVKVKGEKGSPPPPAAIIGGFQMENNLVVFDEEKQRLGFSGLLWGRQTTCSNFNFTLAA >ORGLA01G0370300.1 pep chromosome:AGI1.1:1:30927909:30929201:-1 gene:ORGLA01G0370300 transcript:ORGLA01G0370300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPQAKSKGKPLLLVVISFLAVLPWHTLASGGGGGGKPLVTAVTKDGATKLYTIAVKDGHPLALDLSGELVWSTCDASHSTVLPYERECVEANRYTPPSCWMQYGGAGGDYRYGNKCTAHPYHGVTGKCAPGDLTRTALAADATNGSNPLYPVTFPAVASCAPGSLLASLPAGAVGVAGLGRSDLALHAQVAATQNVAKKFALCLPSVAVFGGGPFVLIFPYSRPDIMQKLSYTALRRSPELAGGNGGGYYITAKSIEVNHHQVPLPNHGAPLVVQLSSMVPYTELRPDVYGPFVKAWDEILQWPKKVAPPVAPFELCYESRTIGSNRLGYAVPDININLEDGAAWYIFGGNSLVQVDDATACFAFVEMRLEKAGAGYGGGGAPAVVIGGHQMEHNLVVFDEEKQQLGFSGLLFGLQTTCSNFNFTVAA >ORGLA01G0370200.1 pep chromosome:AGI1.1:1:30917998:30925685:-1 gene:ORGLA01G0370200 transcript:ORGLA01G0370200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKTGARLVLDLGGPLLWSTCLAAHSTVPCRSDVCAAAAVQDNRWNCSSSTDGRGGAGLCACSAYPYNPLNGQCARGDVTTTPMLANVTDGVNPLYPVAFPVHAACAPGALLGSLPSGAVGVAGLSGAPLSLPSQVAASLKVERKFALCLPGGGGTGAAIFGGGPFHLLVVPEEFGMVSNGLSYISYLRNPKNGGFYLDVVGIALNHRGADVPPDSLALDAGTGHGGVMLSTVAPYTALRPDIYRAVIEAIDAELRLIARTPPSWPFERCYQRSAMWWTRIGPYTASVDLMLAGGQNWTIVGASAVVEVSQEAACFAFVDMGAAAAPAVDHSPAVIIGGHQMEDNLVVFDLEKWQFGFSGLLLGTMTRCGNFDFSIGSQ >ORGLA01G0370100.1 pep chromosome:AGI1.1:1:30907968:30912630:-1 gene:ORGLA01G0370100 transcript:ORGLA01G0370100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDLAEIHPRELQFTFEVKKQSSCTVHLVNKSNEYVAFKVKTTSPKRYCVRPNTGVILPRSTCDFTVTMQAQRTAPPDMQLKDKFLVQTTVVPYGTSDEDLVPSYFSKESGRYIEESKLRVVLVSASHPFGEQSINGIPNTEAAVEVPSLKDTLNIKNEIPVAEKEVHSPLEEDPVVIPAPPYPVKEAPILREVPVHISPVRETSFLREVPAPVSPVKETPILREVPTPLKETPVILTESPPPPTDTSSITVESLHPFEQNLASLKESPLEETLPKAAVVLSEQGAVNVQSRQLCHVTEDVQNLKSKLNGLEVKLEEAEKMIIKLREESRTTTQERDKLQQEMMFLRKKGTPRNQVGFPLLFVVYVALLGTSLGYLLRL >ORGLA01G0370000.1 pep chromosome:AGI1.1:1:30906171:30907073:1 gene:ORGLA01G0370000 transcript:ORGLA01G0370000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSYGIVDTFREGDVVAPYLVEYLKDREEALAVGALLEVALGDGALLEVALEDGPHYLLLDLAFWVAQALALVTGGLDLEVSWGPGKLCTLHCPKLSGS >ORGLA01G0369900.1 pep chromosome:AGI1.1:1:30902568:30905133:-1 gene:ORGLA01G0369900 transcript:ORGLA01G0369900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEAVATGNTVGSTGGRSSRRLFRRKSSVVAAANAAPSHAPPPPSDESSAAAVVKESSVNEEVKGKGKATPKEKAIDEEKKDVEESTTAAAADEVVVKDTGVVIVEKTTTAAAAKASPAAVKKIVEEKKKEEAKNKQEAVTDDKKKEKVIPTKVAIDEKKEDVVVPMKEEFVKEKEKITDAKNDVASTENVAAVDEKIKAEEDKNKNKNDDEVVATATMEAAVEEEDDDEAALAEDDDDDDEQPNDDESITFAVAPVAEDEEGSVTFPGARARPVVANADEVHQEDDVTSVTSPTTAPATLENDTSSSAAAAFPAAVPAPVAGEEVAQLEQPSSSKSNNGEMEAVAGVDQAEDAKKETSNIEAINVEQEEIKVEEEEEKIIVSQETEEEEEKSAVISRDEITKVEVEREEIKMKVEHEEIVVSQETEEEKSIAVSKDDDGQSDGKQTIELKEAMTATKTDEKGEEQVAKDKKDDEQEQQATAAPIESPKQEQQATADPIEAPLN >ORGLA01G0369800.1 pep chromosome:AGI1.1:1:30899701:30901860:1 gene:ORGLA01G0369800 transcript:ORGLA01G0369800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPALQRRTQAQRGGSLSPNSLINSRVPPTPPPHDHERGRAMPCRAVRNGCMCMLSHLDWINPTVTLTSDHRLLFSAGWISDKSNNQFRTNHGHHYQHIRIEFLIIADALKIKLDPPFDDTEMPSGERPGHC >ORGLA01G0369700.1 pep chromosome:AGI1.1:1:30891086:30895107:-1 gene:ORGLA01G0369700 transcript:ORGLA01G0369700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGLLKAALLVCLIVLCSGREIQVIQRHPSTTIYNPKLAKTLVEYASAIYTADLTQLFTWTCARCGDLIEGFEMMDIIVDVENCLQAYVGFASDINAIVVVFRGTQENSIQNWIEDLLWKQLDLDYPGMPEAMVHRGFYSAYHNTTMRDGVVSGIQKTRKLFGDVPIMVTGHSMGGAMASFCALDLVVNYGLDGVKLMTFGQPRIGNAAFASFFKKYLPHAIRVTHGHDIVPHLPPYFSFFPQKTYHHFPREVWVHNVGLGSLVYSVEQICDDSGEDPSCCRSVSGSSVQDHIYYLGVSMHAEAWSSCRIVMDYSKLRYRMDINGNIVLSKHLGLSGDLEHSDQ >ORGLA01G0369600.1 pep chromosome:AGI1.1:1:30886649:30890015:1 gene:ORGLA01G0369600 transcript:ORGLA01G0369600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFLCFGSAQEGEAKKPGADSKDARKDGSADRGVSRVGSDKSRSHGGLDSKKDVVIQRDGNNQNIAAQTFTFRELAAATKNFRQDCLLGEGGFGRVYKGRLETGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHTNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPQGEQNLVAWARPLFKDRRKFPKMADPMLQGRFPMRGLYQALAVAAMCLQEQATTRPHIGDVVTALSYLASQTYDPNAPVQHSRSNSSTPRARNLAGWNEDRRSVRSPNHHSPDLRREAARSSKAEVSRTSSTGDSGRRSGLDDLDMTGSQMGSPAQTGRKRETPRTADRQRAIAEAKTWGENSRERKHPNGHGSFDSTNE >ORGLA01G0369500.1 pep chromosome:AGI1.1:1:30880215:30880592:1 gene:ORGLA01G0369500 transcript:ORGLA01G0369500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVARLSSQRAVVIFGASNCFMCHVVKTLFSELGVSWAVHEVDKDPNGKDVERALAGMVGRTPPVPAVFIGGKLVGPTDQVMSLHLAGKLVPLLREAGALWLXDTKYSYILPANQLINYRSIN >ORGLA01G0369400.1 pep chromosome:AGI1.1:1:30876164:30878028:-1 gene:ORGLA01G0369400 transcript:ORGLA01G0369400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLARSKALALALSRADAAAPGPAAGVQWLRTLSSLPRDPAAAASPAPAPRQPAVGSPLGLSKIPGYEQTSRLSGTQVLPRWFSTGTSNGSSAQQEGATRKVMAFSPLEASIAKPRKGPLTSESWKVKQTELLTRSTYYMIPTLLLVSKNSISTSLLVASVFHQVYMFYKEILLDYVHHDITRKWVFIYFKILLIIMAKETVVYFDLF >ORGLA01G0369300.1 pep chromosome:AGI1.1:1:30869562:30871675:-1 gene:ORGLA01G0369300 transcript:ORGLA01G0369300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTVVVAGIAAAVATLLVAAAVAAAWWWRVARRSRRNSDTGSSETPPTLVEWGRCGRTLSAPEYQGARQFSLEELAHATKNFSDANLVGAGSFGPVYKGLLLDGTVVAVKRRVASPRQDFVDEVKRLSEIWHRNVVTLIGYCQEGGLQMLVFEYLPNGSVCGHLYADTGKESMTRLEFKQRLSIAIGAAKGLNHLHSLVPPLIHKGFKTSNVLVDENFIAKVADAGIDRLLRGFDGAAPSHHPSSSCSSIYQDPEVHSLAQLSESSDVYSFGVFLLELITGKEAASLISSEPREPLVHWMESHFSSNNEVTDPRLGGSFTSEGMKELVGLTWQCVSTSARRRPKMRLIAAELDRILEKEMSLTTVMGDGTAIVTLGSQLFTS >ORGLA01G0369200.1 pep chromosome:AGI1.1:1:30864987:30868871:1 gene:ORGLA01G0369200 transcript:ORGLA01G0369200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGISQLLKKAFRPSSSSPLSSSWINHEESSGFTGLRALAILGVGASGLLSFATIASADEAEHGLEAPHYPWPHAGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFSNGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSVYKSRKLVLDVVN >ORGLA01G0369100.1 pep chromosome:AGI1.1:1:30857201:30860311:-1 gene:ORGLA01G0369100 transcript:ORGLA01G0369100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLEVAFIDIVWMSAGMVSSRLNFPSYHFVEFPSHISSLYLVPHFEKMLYDQGQIANVYLDTFLITGDEYYSSVARDILDYLRRDMIGEEGEIYSAEDADSAEYDGAPRKREGAFYVWTNKEIEDTLGENSELFKNHYYVKSSGNCDLSRMSDPHDEFKGKNVLIERKQASLMASKCGKSVDEYAQILGDCQHKLFDVRSKRPRPHLDDKVIVSWNGLAISAFARASQILKSEPTGIRFCFPITGCNPEEYLGVAEKAARFIKEKLYDSSSNRLNHSYRNGPAKAPGFLDDYAFLINGLLDLYEYGGKIEWLMWAAHLQVIQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVAAINLIRLSSIFDAAKSDGYKCNVEHLLAVFQTRLRELGIALPLMCCAADMLSVPSRKQVVLVGNKESTEFRDMVAATFSTYDPNRTVIQIDPRNTEEMGFWESNNAIIAQMARSSPPEKPAVAHVCQDFKCSPPVTSADALRVLLNKTVAAATSSAAA >ORGLA01G0369000.1 pep chromosome:AGI1.1:1:30851057:30856121:1 gene:ORGLA01G0369000 transcript:ORGLA01G0369000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1NV00] MDAEAGVGGADQLPWRQHYRNLLLLAYQSFGVVYGDLSTSPLYVYKSTFSGRLRRYQDEQTVFGVLSLIFWTFTLIPLLKYVTIVLSADDNGEGGPFALYSLLCRHAKLSFLPNQQSADEELSTYYRNGFTSRHGSLPWLRRFMEKHKNARTVLLLIVLCGTSMMIGDGILTPAISVLSSMSGLKVRATGLHDRSVVLLSCIVLVGLFALQHRGTQKVAFMFAPIVVIWLFCIGGIGLYNIIHWNPRIYQALSPYYIVKFFRTTGKDGWIALGGILLSMTGCEAMFADLGHFTSASVRLAFITIIYPCLILQYMGQAAFLSKNILDMPTGFYDSIPGPIFWPVFVVATLAAVVGSQAVISATFSIVKQCHSLGCFPRVKVVHTSRWIYGQIYIPEINWILMVLCVAVTVAFRDITLIGNAYGVACMTVMFVSTFLMALIMIFVWQKNIIFALSFFLLFGSVEVVYLSSSLMKVTQGGWVPLVLALIFMSVMYIWHYGTRKKYQYDLQNKVSMRYILSLGPSLDVVRVPGIGLIYTELVTGVPNIFTHFTTNLPAFHEVLVFLCVKSVPVPYVSPDERYLVGRIGPRAYRMYRCIVRYGYKDVQRDDDNFENMLVMNIGKFIMMEAEDASSSASYDTANEGRMAVITTSDDYDSPLAVRDSNDLADSMTTRSTKSESLRSLQSSYEQESPNVSRRRRVRFELPEEDDMDQQVKDELLALVEAKHTGVTYVMGHVYIKARKNSSFFKRFAIDVGYSFLRKNCRGPSVTLHIPHISLIEVGMAYQV >ORGLA01G0368900.1 pep chromosome:AGI1.1:1:30847149:30848663:-1 gene:ORGLA01G0368900 transcript:ORGLA01G0368900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G21420) TAIR;Acc:AT3G21420] MDSGAAAVCAEKASEIIKIGQVDDVQELQRRLCSATTVPERYIRDGDDRPDHAVVDDERAQEQIPVIDVGELQRGGEDELDKLRLACEQWGFFQVMNHGVEEETMEEMEKAAREFFMLPLEEKEKYPMEPGGIQGYGHAFVFSDDQKLDWCNMLALGVEPAFIRRPNLWPTTPANFSETLEKYSVEIRELCVRLLEHIAAALGLAPARLNGMFGEAVQAVRMNFYPPCPRPELVLGLSPHSDGSAVTVLQQDAAFAGLQVLRGGGGWVAVQPVPGALVVNVGDTLEVLTNGRYKSVEHRAVASGEHDRMSVVTFYAPAYDVELGPLPELVADGEPRRYRTYNHGEYSRHYVTSRLQGKKTLEFAKI >ORGLA01G0368800.1 pep chromosome:AGI1.1:1:30843350:30845825:1 gene:ORGLA01G0368800 transcript:ORGLA01G0368800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHLRFEDGWKILEQGIVKCSKLLEDCPGGRPTVNEYMNYYDCAYRMAVQKDQYCQEMYNSYKATHESCVCAMVLPHLMHKQGDLFWRELVKMWSNYCAMIRFTTGFLAYLDRCFVTHKKLPSLEDAAATSFFSPVFSYFNNEISDVLLTLIRQERDGCNVDMNLLMGIMRGICRSEVKTKLRSAVIQDTYLYYSRKSYEWIVQYPLQDYLAKVQETVQKETKRLIHYLCISEEEGSGLCLKAVSAPLMQAYENYTKEKHIGGQVLLQTYKQTVEDDLLDRCSRLTIDNGLDNNSFSHME >ORGLA01G0368700.1 pep chromosome:AGI1.1:1:30825718:30826251:-1 gene:ORGLA01G0368700 transcript:ORGLA01G0368700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear factor Y, subunit B4 [Source:Projected from Arabidopsis thaliana (AT1G09030) TAIR;Acc:AT1G09030] MADHHGDHHADGHRRQQQLQGEAADQAAAEIIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDVCWAFGALGFDDYVDPMRRYLNKYRELEGDRAAAAATSRSGAGAAAGPDHPSSSSSVAAATAGHFMFNAMDRSTDSSRQF >ORGLA01G0368600.1 pep chromosome:AGI1.1:1:30817324:30817854:-1 gene:ORGLA01G0368600 transcript:ORGLA01G0368600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLPRASSSSTSASRDRDGGDGDGGGGGVTMTNGQDNLLPIANVGRIMKDGLPPQAKISKRAKETIQECATEFISFVTGEASERCRRERRKTVNGDDICHAMRSLGLDHYADAMHRYLQRYREGEELAASLNSSSSAAATAAAAAGSRGGGAIQIDVRAELSIFRSGNNQGRPNN >ORGLA01G0368500.1 pep chromosome:AGI1.1:1:30807381:30810682:-1 gene:ORGLA01G0368500 transcript:ORGLA01G0368500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFELQQQPYYSKLLLGSPLEIANVDDSDLQLVAGVPSDPPPAPPTAVKKKKKRSLPGTPDPSAEVVALSPRTLLATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRGGAGGGGGGEPPRKRVYVCPEASCVHHSPSRALGDLTGIKKHFCRKHGEKKWKCDRCGKRYAVHSDWKAHSKVCGTREYKCDCGTVFSRRDSFVTHRAFCDALAQENNKLAQPMNMAAVTSALQGQQQAHHPVADDDDAAGVKSPHLKLFPDVDNIVAAATAGNPLLPPPLSMAGCMLSSLAAPLSSPFLPGCKLGVDAARDAAMVFPPPPAPAGSAAAIMSATALLQKAAELGATTSTGCYGGVAFPAMGIAGGLDRLPSIGHHLAPYDDVVVPAALQGQTATQLVGFDLGGLLPGQLYGGGGGAMTRAIGSLMHGGDQHAGVVDRRRGEGVRVVDYMGVDDDDDHGCFDGVGPFGPHIGPWA >ORGLA01G0368400.1 pep chromosome:AGI1.1:1:30803301:30805083:-1 gene:ORGLA01G0368400 transcript:ORGLA01G0368400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIRQSVTLKKNQKLHTDIDMHSLDHRACSKIPRFRMEDGGKHFVFVHGLGHGAWCWYRVVAALRAAGHRATALDMAAAGAHPARADEVGSLEEYSRPLLDAVAAAAPGERLVLVGHSLGGLSLALAMERFPDKVAAAVFLAACMPAAGKHMGITLEEFMRRIKPDFFMDSKTIVLNTNQEPRTAVLLGPKLLAEKLYNRSPPEDLTLATMLVRPGTNYIDDPIMKDETLLTEGNYGSVKRVFLVAMDDASSDEEMQRWTIDLSPGVEVEELAGADHMAMCSKPRELCDLLLRIAAKYD >ORGLA01G0368300.1 pep chromosome:AGI1.1:1:30800234:30801795:-1 gene:ORGLA01G0368300 transcript:ORGLA01G0368300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSSSKKHFILVHGLCHGAWCWYRVVAALRAAGHRATALDMAASGAHPARVDEVGTFEEYSRPLLDAVAAAAAPGERLVLVGHSHGGLSVALAMERFPDKVAAAVFVAAAMPCVGKHMGVPTEEFMRRTAPEGLLMDCEMVAINNSQGSGVAINLGPTFLAQKYYQQSPAEDLALAKMLVRPGNQFMDDPVMKDESLLTNGNYGSVKKVYVIAKADSSSTEEMQRWMVAMSPGTDVEEIAGADHAVMNSKPRELCDILIKIANKYE >ORGLA01G0368200.1 pep chromosome:AGI1.1:1:30798445:30799445:-1 gene:ORGLA01G0368200 transcript:ORGLA01G0368200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSSSKHFILVHGLCHGAWCWYKVVTMLRSEGHRVTALDLAASGVHPARIDEVHSFEEYSQPLLDAVAEAPAGERLILVGHSFGGLSIALAMERFPEKIAVAVFVAAAVPCVGKHIGIIPELIREKAPKDMLLDSKMIPINNKQGPGTAILLGPNFLAENGYPLSPAEDLTLAKLLVRPTSQFVDDPTMKDDRLLTSANYGSVKRVCLMAMEDDLKEVYRYMITLSPGVEVEEIAGADHAVMCSRPRELSDLLAKIGSKYD >ORGLA01G0368100.1 pep chromosome:AGI1.1:1:30795616:30796671:-1 gene:ORGLA01G0368100 transcript:ORGLA01G0368100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGGGSSKHFIPVHGLCHGAWCWYKVVTMLRSEGHHVTALDLAASGVHPARIDEVHSFEDXSRPLLDAVAVAPAGERLILVGHSFGGLSIALAMERFLDKIAVAVFAASSMPCVGKHMGIVRELMRERAPKGLLMDSKMIPMNNKRGPGTADLTLAKLLMTPGSQFQDDPMMKDDKLLTSANYGSVKRVCLIGMEDDIKELHRYLITLSPGTEVEEIAGADHNIMCSKPRELCDLLAKISSKYD >ORGLA01G0368000.1 pep chromosome:AGI1.1:1:30790078:30792904:1 gene:ORGLA01G0368000 transcript:ORGLA01G0368000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT3G05410) TAIR;Acc:AT3G05410] MAMAAAARHQRHPLSRCGEGRRWRRGEVRCSSPAQEFAALAAVFRRRLVVGATTAAAAAVGANFGGVTSFLLGLSPQLGRSLRLDVLYPVGGFNRCLDSDNGFEFIYPASWVGDQTLLYRGAKRAELQRSLDPPPLANGRSPSRPRNISEPVAAFGPPGSSGELNVSVIVSPVPQDFSIEAFGGPKDVGEVVLRRIAKTKRGADINATLLDAALREDPANNVKYYKLEFRVESPSFRRRNVAVCCAKDGKLYTLNAQAPESAWKAVRKEFLAMADSFSLVADV >ORGLA01G0367900.1 pep chromosome:AGI1.1:1:30780420:30788477:1 gene:ORGLA01G0367900 transcript:ORGLA01G0367900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02560) TAIR;Acc:AT4G02560] MELVPFKPEAGALVESGGGAHGDSIPAMVAAQQELLHAQVDQLQLLVVAQCRLTGVNPLAQEMAAGALSIKIGKKPRDLLNPKAVKSMQSLFAMKDTIGKKETREISASFGVTVTQVREFFASQRTRVRKFVRLSREKALRIESSKAPDNVCSISTEQTPVDIEVHAQVIEPLRTLEPLEAQQISLPHLVVPQISLQLPVVPQSCAIPVAPIGVMQPTEAKTNPDPIQKETKQEEVAGVESEDKKFLESIFVLMRKENTFSGQVKLMESILQINNVTVLSWFLTMGGLAIVSTWLGQAVTEEQTTVILVVFKVLLHLPLHKALPAHMSTVLQTINRLRFYRTQDISSKARNLLSRLSKVLVRSQALKKSQKDLICKQRISEILRDESWKSEVDITEDILALTDDASESRMPEPRKTPLLLTASADESNKKSSLQTKSKEKRKVLLVEHPNRKAAGKNVNPVRSTSTNNSRPLSADDIQKAKMRAMFMQEKYGKVDTSKVTEKPHMMEIQKPSGLVDSNVPLVPRTPLTSIIKQPVDPSPSTSKQSTLSPPDKPEIAVSLKLNVTAKENFIEKLDSKRVIWQIPPEVWIDPAWSLGAGENSKEFEVQTQRNRREKETFYASLKDIPLNPKGPWDVEMDFDDSLTPEIPIEQPPDADAMETDSVSTAPPNIVVPVVDKQIGSTSSVSPAVAAGANGAASEPDLELLAVLLKNPQLVFALTSNQGGTLPSEQTVALLDMLKQTGLGLSELVNSLANNSGVQNEPESGPEAIPASLPSPTPPKDLIARDGWSSEFPSQVRTQNLQHAHLPNRANAPPVASSVQQSFSNVVSSLPSQPYASASALPAQTRTNMTSLPQSMISVNPSTQHVAPMNNLLSRATVHQHTQSYALTSDPVAVAVHHQPAVNKLAHEVQSISHPAVSHSSVAESHASYTYTWQSSVATIAATGRNATPDRWAAPARTTNSFNAAPSNSNHVTYPNQNAYSNHSTQATTYNSYGSAPVSSHSLHPGQGLDRNGYTHAAEYQTTTARDALRRNSRSPELGAGAVYGSSSQGYVPEPSRQWNYGQQSYNPEPSSRQWSSGQQSYNTVTAEPSRQWSSGQQSYSNPAEPSRQWSSSAHAQPSYNPEPSRPWNSGHQSQNPEASRQWSHHHGKQERYNPTDGRNSYDQHWRR >ORGLA01G0367800.1 pep chromosome:AGI1.1:1:30774341:30778914:1 gene:ORGLA01G0367800 transcript:ORGLA01G0367800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEEPSQMRRALVDALAGAISGGISRTVTSPLDVIKIRFQVQLEPTTSWGVLRRDVYGPSKYTGLLQASKDILREEGLPGFWRGNVPALLMYMPYTAIQFTVLHKLKTFASGSSKTEDHLHLSPYLSYVSGAIAGCAATVGSYPFDLLRTILASQGEPKVYPDMRSAFLDIMKTRGFRGLYAGLTPTLVEIIPYAGLQFGSYDTFKRSMMTWNRYRYSHLNSGSEDDSVSSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVAKEGFGGLYKGLFPSLVKSAPAGAVTFVAYEYISDWIGSKAGVE >ORGLA01G0367700.1 pep chromosome:AGI1.1:1:30769667:30770743:-1 gene:ORGLA01G0367700 transcript:ORGLA01G0367700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTLELTLISAKDLKDVNLLSKMEVYAVVSLSGDRRSRQRIATDRAGGRNPAWNAAPLRFTVPASGAGSLHVLLRAERALGDRDVGEVHIPLSELLSGAPDGAVPAKFVSYQVRKISSGKPQGVLNFSYKIGEVTQSGSYPGASPPVAYGQAPPAPAYPPSAAASAAYPPQSTYPPPTAYPTAAKADGSAAAAYPPQSAYPPPGKGNEPSTAYPPPAGYPPATGSSKPAKAGEPVTAYPAAAGPSTAAPYGAAPPPQYGYGYPAQPPPPQAGYGYPPPPPQAGYGGGYGYPPQAGYGGYQQQAVKPAKKNNFGMGLGAGLLGGALGGLLIGDAISDASAYDAGYDAGFDDGGGFDF >ORGLA01G0367600.1 pep chromosome:AGI1.1:1:30762647:30766719:-1 gene:ORGLA01G0367600 transcript:ORGLA01G0367600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62770) TAIR;Acc:AT3G62770] MATPPHDASPAPPNPNPVSDDPPPPPPVTETKPEPEPPLPTTSIDPTPSGDEESGDDSSSSVSSASSTSPTAAAAAAAAGGGGGGGGERAAPHPAAKDLLHISFNQDYGCFAAGTKSGFRIYNCDPFREIFRRDLGAAGDNGVGGGGGGIGVVEMLFRCNILALVGGGDAPHYPPNKVMIWDDHQSRCIGELSFRSPVRGVRLRRDRIIVVLENKIFVYNFADLKLVHQIETAPNPKGLCAVSQQPGSIVLVCPGAQKGQVRVEHYGARKTKFINAHTSRVACFALSQDGRLIATASTKGTLVRIYNAAEGNLLQEVRRGADRAEIYSLAFSNNLQYLAVSSDKGTIHVFNLKINVGLTTNDKPLPAPDPDVPHISPSLSFIKGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGSFYRCQFDPVNGGEMLQLECYNFLKPSSDQPQ >ORGLA01G0367500.1 pep chromosome:AGI1.1:1:30758310:30759528:-1 gene:ORGLA01G0367500 transcript:ORGLA01G0367500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGRKLRVYGMALSANVVRVATVLNEKGLDFDLVPVDLRTAAHKQPHFLALNPFGQIPVLQDGDEVLYESRAINRYIATKYKAEGADLLPAEASPAKLEVWLEVESHHFYPAISGLVFQLLIKPLLGGATDTAAVDEHAAALAQVLDVYDAHLAGSRYLAGNRFSLADANHMSYLLFLSKTPMAELVASRPHVKAWWDDISSRPAWKKTAAAIPFPPAA >ORGLA01G0367400.1 pep chromosome:AGI1.1:1:30743936:30744782:-1 gene:ORGLA01G0367400 transcript:ORGLA01G0367400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVVSPLPSCTDLPPAPGSHPLRPPLARIRNWRPLLLPHRSRHLPAPCSRGSAANDDGRGRPSSLSYGDNNDWWTWADPVPGICCGGDSRVAEDDIMCDFLLKLLRAGSMVGTDAALLQELESRAVHTSPSQLSRPGYGRLTSRRSRPRRACQRPMSLTRRRFQPQPPSMEAHQISTWILLGYCAVTQVCQTFMWHQYLSWKLKL >ORGLA01G0367300.1 pep chromosome:AGI1.1:1:30738106:30738489:-1 gene:ORGLA01G0367300 transcript:ORGLA01G0367300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFRNGVVKLVENPPASANSGGGGGGGGGGGGIRRKALLHMPTGEVVTSYASLERKLAALGWERYYSGGGGGGAAAAAAMMLQFHKRSSVDLISLPKDFSQFGSVHMYDIVVKNRDAFRVIDV >ORGLA01G0367200.1 pep chromosome:AGI1.1:1:30730083:30731687:-1 gene:ORGLA01G0367200 transcript:ORGLA01G0367200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSEPIECQVLVLRVSIHCEGCKKKVKKVLQHVPGVFRCDVDARSNKVIVTASRNMDANILVAKLRKSGKQAEPWPEEPKQQQPPPPPAESQSQETKNQSDESSKPSDQPAEKPVPDKAEGSAAEPNNPQPSPEPTKSTDETPKPNQEIQEPSNAKANTDANASGNASDETKEAAATGEQPSGPKGKVKQHRERPIDARVTMEYGGGSHVNYMPQPQPVPVMSYNVARPTASAAYYAAPPAPAPMSMPMPMARPGPSSQGYIDEEYSPSYYNRSSPYEPYYYPQPSPYRYQHYQQSSADDYYYGAPQQRSAFSPPRDAYGEMFNDENANSCSVM >ORGLA01G0367100.1 pep chromosome:AGI1.1:1:30726869:30727354:-1 gene:ORGLA01G0367100 transcript:ORGLA01G0367100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKAPAPQEQKVEGESSDASLAVGGGSELPPACGGHSAAIGPADRHGLLLLLLLRGGGRLRAPARVRIPLGGNWRGRPPWSPPPSPLGGRRGIHGRRRRRGERRRQAVAVGAGESGGATPSSPADAAARCSLLFCWRPPGRRAADLVRPPRRHLAPCTR >ORGLA01G0367000.1 pep chromosome:AGI1.1:1:30723179:30723514:-1 gene:ORGLA01G0367000 transcript:ORGLA01G0367000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRAALAAAAVLLLLVVAAATAQAVEVAPYCVGEPGEECVAGGGGEEAVAVSAAARRRLQGGGYISYDAMRRNAVPCSYRGASYYNCRPGGQANPYTRGCSAITQCRG >ORGLA01G0366900.1 pep chromosome:AGI1.1:1:30721188:30721637:1 gene:ORGLA01G0366900 transcript:ORGLA01G0366900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGRKMTTMLAIALLMAILFASLSGTEAIICKARSKMYRGKCRGNRNCAMICVHEEYTGGYCSKGVFSKCMCTKRCGGGGGGGGGGGGGGGGGGDEPPLREARVHRSSPPLEPK >ORGLA01G0366800.1 pep chromosome:AGI1.1:1:30714758:30719717:1 gene:ORGLA01G0366800 transcript:ORGLA01G0366800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G15840) TAIR;Acc:AT4G15840] MAAPPVSGDGAAAAAPVVALGAAGAVGGPRPYEVAVAAAELRPVDCNLAALCDHVQAEGFGSGAFSDVVVEAMGATYRLHRLIISRSAYFRNMLHGPWREAGAPTVVLHIDDPNIDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQDLCTICTDFIISELWTSNFLQYQLFAESQDYGSHGERVRNACWGYLCQSATLELREVLPKLSSQTLHALLTSDELWVPNEEKRFELALYALLAKVTLPDVEVSGNENLNLTSSSANSDHSMRKGKSPMNEAGEEQLMGSELQNLKLHDNTETISAHNTSDIPDMVIPQDSTAYSIEQNAEASRRKVNDFSTGGPSGESTSYQFNEDIWLSSDQTRNYLSRTSSSNGLVPTEWGKPNAPLWGGRVVGRRQVRCVRGSSSLSADEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFGFPCKAVNDGLWLQVLLCHRVQAIVADTCTNCCLTGNSCACKQAHVSSHHHYRQEHDRSSASGTVGNIYLTDAHGEGNAVFGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQPFANDESEPRVDYNGDISGDGLTALVNLSQESSASHHQTESIFETGIQVRYSGAASVSTPGGSSLQMQESKEHELGSNLETTENTTISLDMKTPLSHFPPFRFGVEFEDVHRLADSQVKHSTEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAEPLDPLRKANMYVDHREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELGDLLQGGSLRIAAVVQLV >ORGLA01G0366700.1 pep chromosome:AGI1.1:1:30709234:30709540:-1 gene:ORGLA01G0366700 transcript:ORGLA01G0366700.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDITTVGILHLCNTDYMWKTEKRVLHKTCQFMTVGSKCFAKCVTKPGSSLSGSESSCISRCVDHYIEATGIFSRALFSTTR >ORGLA01G0366600.1 pep chromosome:AGI1.1:1:30702102:30706691:-1 gene:ORGLA01G0366600 transcript:ORGLA01G0366600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1NUX6] MVPPGNGNGAAAAAAAAAGNDVILELSTPGDDWSHELQGDDVEANGGGNGDAPPRRTFSFGQAYKTRHRQPQVFTVWQTLMLGYQSLGIVYGDLGTSPLYVFPSVVLPDADATDFLGILSLIIWTLTLMSLVKYALIVLKADDHGEGGTFALYSLLRQHVNFKGNIPVPLTRLESDVHLKFHSKRRSRPSRLQLFLENSPKAQLAITIIVLIGTCMLIGDGALTPAISVLSAVQGIQSRSSHIKQKHVVVLSAVILVLLFLVQRFGTSRVSFTFSPIMLLWFASIAGIGVYNIVMHYPPVLKAVSPHYIYYYFAKNKRVGWEQLGAVILCITGAEAMFADMGHFNKSSIQVAFSTAVFPSLILAYSGQAAYLIKNPGDLSTAFYSSVPAPLFWPMFVVSTLAAIVASQSLISASYSIIRQSIALGCFPRTTVKHTSDKYEGQVYCPEINYVLMVVCVLITVGFQGGPEIGRAFGVAVIWVMLLTTTLMTVVMVVIWEVNGALAGGFFVFYLAIEGTYMTSLMTKVPQGGWVPFAITVAFLSVTLSWTYGRKKKREYEARHAVGDGEFAGIVSRSARVPGMCLFCTDLMDGVPPIVRHYAANTGSLRELLLFVTFRTLPVRTVLAGERFLVAREGARAGVYRCIAQYGYMDEQDMVGDDFVRAAVAALVEVAAAAAEADSGEEEAEMIGRAPASGVSYVIGRTVLRMRRRARNWPKRFVINELYRFLQKNFRSNVSTLKLDHAKTLQVGMIYEI >ORGLA01G0366500.1 pep chromosome:AGI1.1:1:30691127:30691633:-1 gene:ORGLA01G0366500 transcript:ORGLA01G0366500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSPCASCKLLRRRCTKDCIFASFFPADDPHKFAIVHKVFGASNVSKMLQELPAQQQGDAVSSLVYEANDRMRDPVYGCVGPGPSPSCRTRCHSCRCSSPSHRARSSASRCSTAM >ORGLA01G0366400.1 pep chromosome:AGI1.1:1:30683995:30688338:-1 gene:ORGLA01G0366400 transcript:ORGLA01G0366400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEDSDWLRAFQPPTTSTVMLSSDSYDSPENSPTRTTPSGEEQKGENKASSDHVGDVDVAAPNKGKKATPTRRKTPTSQEDAFGKDEKPTMESNQDKPAKRSTPKKLNSHLVLMLQRLLDQKLVQIKXMVSQRLPLIIPDKVQRSKALIECDGDSIDLSGDVGAVGRIVISNSPNGNQDLLLDLKGTIYNSTIVPSRTFCVVSVGQTEAKIESIMNDFIQLEPQSNLFEAETMMEGTLDGFTFDSDEEGDKLPEPHASQNDQNNEDGDQPKAKTKRKAEKPAGKGQKKAKVAGKATKQGTRKTQTTKRTKKAKK >ORGLA01G0366300.1 pep chromosome:AGI1.1:1:30673130:30673447:1 gene:ORGLA01G0366300 transcript:ORGLA01G0366300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQPWFRVQKEYKILKKEGRYNVRAVVEVALTGEVYRIIDGASHKLEYRIISAGGEVLAEIRRKQTDTGVVLGDDVLSLTVGPTADRLLVVGLVVVCGLLDCCI >ORGLA01G0366200.1 pep chromosome:AGI1.1:1:30668506:30672542:1 gene:ORGLA01G0366200 transcript:ORGLA01G0366200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKLEAHGCAYRGVFTCAPKAREKRFQELPAFWEMSNPGDGIKWTYMPIISSIELSKSSSILIFISSMDKMLTRIINLILRKTDFFDTKEMAIAPPRIQPVSAHLHSSASPKDSDHQEKKQVCYTVWMKSLVFNGRGCTVYGQDGRAAYRVDNYACRRSREVFVMDSGGKTLLKLLKKNFGVFKTWQGYSCCNNNNGDAAATMEDQPWFRVQEYKILKKEGRYNVRAVVEVALSGEVYRILDGASHKSEYRIVGTGGEVLAEIRRKQTDAGVVLGDDVLTLTVGPTADRLLVVGLVVVCGLLDRCI >ORGLA01G0366100.1 pep chromosome:AGI1.1:1:30666732:30667640:-1 gene:ORGLA01G0366100 transcript:ORGLA01G0366100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLPAASPSAEWHHGDGGGGGVQGKQAVYTVWMKSLVFNGHGCTVYGSDGRVAFRVDNYGCRGSRDVFFMDTAGTTLIGIQTKSFGMMKRWEASRHHGGEKETTTATATTPWFRVQRGRGPGGAMATVTLHGGVGMAYRIDGCPSKSEYRISRGGGGGGDGEVVAEVARKQTASGVVLGEDVLTLTVGPGADHLLVLGLVVVCGLISRAM >ORGLA01G0366000.1 pep chromosome:AGI1.1:1:30660180:30662859:-1 gene:ORGLA01G0366000 transcript:ORGLA01G0366000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTMTHSSSFLRLPATSSPHPPPADDASAAYAVVVLNQRLPRFAPLLWDRARLRVCADGGANRVFDGMPELLPAEDPDQVRMRYKPDVIKGDMDSIRPEVKEYYSNLGAEIVDESHDQDTTDLHKCVSFITRNPPGSEESNLYILVLGALGGRFDHEMGNINVLYRFSNIRIVLLSDDCSIFLLPKTHSHEIHIERSIEGPHCGLIPMGSPSASTTTTGLRWNLDNTSMSYGGLISTSNIVEEETVRITSDSDLIWTISLRN >ORGLA01G0365900.1 pep chromosome:AGI1.1:1:30656456:30659502:-1 gene:ORGLA01G0365900 transcript:ORGLA01G0365900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G05510) TAIR;Acc:AT3G05510] MAPMAGGGGVPWGDRARVVGAQIRSRFRVGVAPVDRRWLWRRGDGRVASEAVRQWTERVRSLWQREKSTDQISSSPGTSQAAAAAKPSSSALRFYRKKVGKEVDGIEDSVIFRSLQALAVPLIGNACHIFMHGLNSVQIYGAEKLQQALQERPKGKPLLTVSNHVAAMDDPFVIASILPPSVMLEAQKLRWTLCASDRCFTNPILSTFFRSVKVLPVSRGDGIYQKGMDMALSKLNNGGWVHIFPEGSRSKDGGKTVAPAKRGVGRLVMDADSLPVVIPFVHTGMQDIMPVGKRIPRAGKRVIVVVGDPINFNDLIIDNSDETQHISRGILYDKATERIGQRLQELKAEVDRLAAEQKSELQHHHARDTVNYGTRLWQQVDWEAFGMESSMLSPEPSDVQEPLKKAKPVLHLESEHAKPELHLEPEQAKHELHTEQCVPAAPSAEICSDFGVPLFFRQHTDPSELMGFAARGLLKNGRFMEEEGYRELQRQGAMNMFWGSQANNTVL >ORGLA01G0365800.1 pep chromosome:AGI1.1:1:30654425:30655571:-1 gene:ORGLA01G0365800 transcript:ORGLA01G0365800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G15830) TAIR;Acc:AT4G15830] MALRALDNTLPMASAERPKKLPKLSAAAAAVVPAASPEGKRKKNDENSAPKATTEQAVEYVRSEDLQPVPHPKAKAAGLVAELDSKDWIRACDALNDARRLAIHHPSLLNPILGKVMLAIVKTMKSPRSAVCKTSIMACTDVFDSFGNLLSSASDDAFDKLLLQLLLKASQDKRFVAEEAEKAMRAMAASMPPLPLLRKLKAYVHHANLRVRAKAAVAMSQCASRMDVATMKEFGMSAMLQVAAELLSDRLPEAREAARGMVNSMHAAFSKEAAAAAREEEEGAAAAAAASWESLCALSLPPISAQSVAKIVSQSQSQ >ORGLA01G0365700.1 pep chromosome:AGI1.1:1:30650110:30653035:-1 gene:ORGLA01G0365700 transcript:ORGLA01G0365700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1624) [Source:Projected from Arabidopsis thaliana (AT5G27730) TAIR;Acc:AT5G27730] MDGGGQGVARRHGAVAEEDPDRRRGDRSGKEGDDDGVDVDEKAPPPRRTSRRVASLDVFRGLTVALMILVDGAGGEWPVIGHAPWNGCNLADFVMPFFLFIVGMAIPLSLKRIPDRGRAVRRVVLRTLKLLFWGILLQGGYSHAPDDLSYGVDMKHVRWCGILQRIALAYLVVAVLEIVTKNAKVQDQSSSGFSIFRMYFSQWIVACCILVIYLSLVYGIYVPDWDFRVSDVKNPNFGKILTVTCGTRGKLSPPCNAVGYIDRKVLGINHMYHRPAWRRHKDCTDDSPHEGPFKTDSPAWCYAPFEPEGLLSSLSAVLSTIIGVHYGHVLVHMKSHTDRLKQWSIMGITLLILGLTLHFSHAIPLNKQLYTFSYICVTAGAAGIVFCMFYFLVDILNLHYPFAPLKWTGMNAMLVYVMAAAGIFEGFLNGWYYEGTNNTLVYWVRKHVFVKVWHSTRVGILLYVLFAQILFWALVAGLLHRARLYWKL >ORGLA01G0365600.1 pep chromosome:AGI1.1:1:30648509:30649430:1 gene:ORGLA01G0365600 transcript:ORGLA01G0365600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRARRWSLAGKTALVTGGTKGIGRAIVEELAGFGVRVHTCSRHDADLQDCLRRWNAAGDGGGAAALVTGSVCDVSVRGDREALVAAARAALGGRLDMLVNNAGQVVVGPAAETAPEEYARLMATNLESCFHLSQLAHPLLRNAAAGGGAAASVVNISSTAAFYAAPHLAVYSATKGGMNQLTRCLAAEWARDGVRVNAVAPGATRTDICDTSGVALGEETRRRLADAGAMDRVPIRRIGEPEEVAAAVVFLCMPAASYITGQVICVDGGRTLL >ORGLA01G0365500.1 pep chromosome:AGI1.1:1:30645727:30646698:1 gene:ORGLA01G0365500 transcript:ORGLA01G0365500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTPAASRERRWSLAGKTALVTGGTKGIGRAIVEELAGFGVRVHTCSRHDADLQDCLRRWNAADGGGLGGGAAAPVTASVCDVSVRGDREALVAAARAALGGRLDILVNNVGQTLFGAAAACAAEDYARIMATNLESCFHLAQLAHPLLLGAGGATASVVNISSVAGFIAYPALSVYSATKGAMNQLTRSLAAEWARDGIRVNCVAPGGVRTDIAGSSGVALEPGAARAMEEREAARVAMGRIGEPEEVASLVAFLCMPAASYITGQVICVDGGRTITA >ORGLA01G0365400.1 pep chromosome:AGI1.1:1:30638015:30643837:1 gene:ORGLA01G0365400 transcript:ORGLA01G0365400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAVVYLSLLLLLLHGAAPAVLGYTRGDFPEDFVFGSATSSYQYEGGFDEDGRSPSNWDIFTHQGKMPGRSTADVAADGYHKYKDDLKLMVDTNLEAYRLSISWSRIIPNGRGDVNPKGLQYYNDIIDGLVKNGIQVHIMLYQLDLPQVLEDEYDGWLSPRILEDFKAYADVCFREFGDRVAHWITIDEPNVASIGSYDSGQLAPGRCSDPFGIRKCTVGNSSVEPYIAVHNMLLAHASVTKLYREKYQVAGKGIIGISVYTFWAYPLTNSTVDLEATKRCQDFIVHWVLRPLVFGDYPQVMKNIVGSRLPSFIKAQSEDVKGSLDFIGMNHYYSLYVNDRPLGKGTRDFVADMSIYYRGSKTDPPPGKAAPTSIGPDPQGLRLMVQYLQETYGNLPIYILENGYGSSNDTVHDNDRVDYLKSYIGSILTAVRNGANVKGYFVWSFVDVFEYLTGYGQSYGLYRVDFADESRPRQARLSARWYSGFLKNREMDVDQSELAMAAAESRAQQ >ORGLA01G0365300.1 pep chromosome:AGI1.1:1:30629268:30629966:-1 gene:ORGLA01G0365300 transcript:ORGLA01G0365300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESAARRMWGYLRAVFFMVRKGVISKRRLLLGMQLAMRLKRRNRAVARSVASLLSHHHGGGGGGALRRRREYEFSCSNSPDVVHGGAASRRRLAYFPCLGAEDDVVDAGASATAAAALQRIEYYGYAAAAASSPAPSSPGFMVRDELLAPGDECSTPGLSPLLGTTGGYSVRVSNYSSEEEEDGDYGHADGGGGEEAVDDEAEEFIRRFYEQLRRQNHVALLPYMTESAA >ORGLA01G0365200.1 pep chromosome:AGI1.1:1:30620875:30625049:1 gene:ORGLA01G0365200 transcript:ORGLA01G0365200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1NUW2] MTEPLHTSSNGGAERGPNAAFESEKTLQTTTRLQRFDSLHMEAGKIPGGQSHTAKVGWATTLHLAFQSIGVVYGDMGTSPLYVFSSTFTNGIKDTNDILGVMSLIIYTVVLLPLIKYCFIVLRANDNGDGGTFALYSLISRYARISLIPNQQAEDAMVSHYKLESPSNRVKRAHWIKEKMENSPNFKIILFLVTILATSMVIGDGVLTPCISVLSAVGGIKESAKSLTQGQIAGIAIAILIVLFLVQRFGTDKVGYSFGPIILTWFIFIAGTGVYNLFKHDTGVLKAFNPKYIVDYFERNGKQGWISLGGVILCITGTEAMFADLGHFNVRAIQIGFSVVLLPSVLLAYIGQAAYLRIYPEHVADTFYKSIPDPLYWPTFVVAVAAAIIASQAMISGAFAIIAQSQILGCFPRVRVIHTSTKFHGQVYIPEINYVLMVLCVAVTAIFQTTDKIGNAYGIAVVFVMFITTLLVTLVMVMIWKTSLLWIALFPVIFGGAELIYLSSAFYKFTQGGYLPLVFSAILMFIMATWHYVHVHRYKYELRNKVSNNYVAELAVKQNLARLPGIGFLYSELVQGIPPILPHLVEKVPSIHSVLVIISIKYLPISKIETKERFLFRYVEPKEYRVFRCVVRYGYNDKVEDPAEFESLVIENLKQFIHEESLYSQSSHSLEGESIKEIGGVTDPTSEVQDAMSSRNNLDQHTTEPRNGCMDEIQSIHKEMGNGVVHLLGETNVVAEPNADFLKKIIVDYVYNFIRKNFRQPEKITCVPHNRLLRVGMTYEI >ORGLA01G0365100.1 pep chromosome:AGI1.1:1:30618557:30619102:-1 gene:ORGLA01G0365100 transcript:ORGLA01G0365100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAVTNSLATMVNKKKRNLEQNSLCTICGTEEEDVAHALCRCPHAKYLWEAMGNTNAISCKPDSNWKDSDWILDISGRVSKEERMGLMMLLWRIWYVRNGITHGKAAIPVEVSQRFIISYMASLLEIRQHPNANLIKGKHVVQYGSSMPLPRQCKPSAESSSWIRPQEGWMKLNVDGSSP >ORGLA01G0365000.1 pep chromosome:AGI1.1:1:30607727:30608095:1 gene:ORGLA01G0365000 transcript:ORGLA01G0365000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAAAAPELSIFDHRSTHHGHHPAYSSSSSFAPSFAPAVRIQGFDVAACRSSHGSGGGGRVLSARA >ORGLA01G0364900.1 pep chromosome:AGI1.1:1:30599598:30600669:1 gene:ORGLA01G0364900 transcript:ORGLA01G0364900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid lumenal P17.1 protein [Source:Projected from Arabidopsis thaliana (AT3G44020) TAIR;Acc:AT3G44020] MASLLCPAAAASYSSLLSSYFPSRRRPQPSAPLASPAPSSPPRPRSVAAAAAYGYGGDVLMRPFDTQTLLISAAVVSAVSLSLVLGLKGDPVPCERCAGNGGTKCVFCNDGKMKVENGVVECRVCKGAGLILCKKCSGSGYSRRL >ORGLA01G0364800.1 pep chromosome:AGI1.1:1:30595062:30597064:1 gene:ORGLA01G0364800 transcript:ORGLA01G0364800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQPRYSFSQPPHGPPRRDNVAAHRDAASASHACISPTHLLTHWGQCGSLLHPRRLRFSVAPVAAAKPEAVGTTGEAAAAPVEELAKSLQGVELFDLRGKAVPVVDLWKDRNFLSCFGRLIVFETIDDGCLHVCALPFHLQKHKMFSFSTFAAPPPSQQLRAAASDREEEKNDQGGREE >ORGLA01G0364700.1 pep chromosome:AGI1.1:1:30590629:30590904:-1 gene:ORGLA01G0364700 transcript:ORGLA01G0364700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVGAAAAVLVLAAAAAAMAAEPPTDDGAVRVAAGLTKCVSGCGSKVTSCLLGCYGGGGGAAAAATAMPFCVIGCTSDVLSCATGCSTSL >ORGLA01G0364600.1 pep chromosome:AGI1.1:1:30587822:30589406:-1 gene:ORGLA01G0364600 transcript:ORGLA01G0364600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGRRGRRRRGSGGSSGGGRSRSSAPCHTSRTSGGGTASLRRRRRRARGGGGDGANRGIGYEAARQLATHGLHVVLTSRDAARGRDAAEQIRAAAGKPGVSVEWRQLDVTDAASVEGFATWVERTHGGVHVLVNNAGVNFNRGADNSVEFAEQVIETNYFGTKRMIEAMMPLMITSPHGGRIVNVSSRLGRVNGRRNRIGDPSLRERLLNDDHLSEELINEMVMKFLEQTKQDNWSSGNEWPQMYTDYSISKLAVNAYTRLLARRLLDRPEGQKIYINCFCPGWVKTAMTGWEGNISAEEGADTGVWLALVPQEQATIGKFFAERREISF >ORGLA01G0364500.1 pep chromosome:AGI1.1:1:30579460:30581373:-1 gene:ORGLA01G0364500 transcript:ORGLA01G0364500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26700) TAIR;Acc:AT3G26700] MSAILAASLAGAAGFLALVGAAIFLIVLFLRHRRRASDSSESSSSGPAQPELQGARCMTLEELSSATRNFSNVNLIGHGMFGEVYKGLLQDGTIVAIKKRHSPPSHEFIHEVNYLSSIRHRNLVNLLGYCQENGMQMLVYEYVPNGSVSTHLHGSSHAPGVKLEFKQRLSIAHGAAKGLNHLHSLTPPTVHMNFKTANVLVDEDLIPKVADAGIRALLDRLGGVGPSSRTSYDPFLDPRMRESINFSIQSDVYSFGVFLVELLSGKRALSDQNIIRWVQNFQQSSDISAIADNRMASAYTSEGMREFLRLTSWCVNPTSEHRPSMNLVEAEINRIREQEMRMTTIMPESTPTVTLGSQLFTTSG >ORGLA01G0364400.1 pep chromosome:AGI1.1:1:30577647:30578885:1 gene:ORGLA01G0364400 transcript:ORGLA01G0364400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase 18 [Source:Projected from Arabidopsis thaliana (AT5G43750) TAIR;Acc:AT5G43750] MMSSSSSLVSAISASPSATAKARLRHDDAATAATGAAAAAAAARQLKTEHGGCCCCRSRGRAVVARAGPGPLTEIEPDLNEDPIDKWRTNGVSPDDFEYGVYDGHHTYNETQDKKGFWEDVSEWYQEAEPPQGFQALISWLFPPAIILGMAFNVPGEYLYIGAAVFVVVFCVIEMDKPDKPHNFEPEIYMMERSARDKLIADYNSMDIWDFNEKYGELWDFTVDRRDEIVKSS >ORGLA01G0364300.1 pep chromosome:AGI1.1:1:30573087:30576720:1 gene:ORGLA01G0364300 transcript:ORGLA01G0364300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAGRKEKKEVVRLERESVIPIMKPKLIMKLAYLIEQQSDREEFLKLCKRIEYTIRAWYHLQFDDMMELFALFDPVHGAQKLQQQNFSTEEVDTLEQNFLTYFFQVMQKSNFNILSDDEVELAHSGQYLLNLPIKVDEAKLDNKLLSKYFKEHHHDNLPEFSDKYVIFRRGIGLDRTSNFFFMEKVDMIIARAWRWFLEKTRLQKLFSRKKSARPKTDSKKNDDLVGEEEDKELYVERIRLETMNLSLRNLIGKVTIQEPTFEEVIVLYRRKSPKGQNDRSIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLVSSLEMPKADFWVVVAVLSALAGYCAKIYLSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMENGKATIEDLDLQCEELIQEEFGLQCNFEVMDAVQKLERLGIITRDSIGRICCLSLKRANEIIGATTEELVMKARQS >ORGLA01G0364200.1 pep chromosome:AGI1.1:1:30567071:30570274:-1 gene:ORGLA01G0364200 transcript:ORGLA01G0364200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDAGKSSNLKGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLHRTTKTSKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEVIAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQVISAIKVILGEDGSNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQHVAVVTVAFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYFPVEHEKTASVEKLKKLQ >ORGLA01G0364100.1 pep chromosome:AGI1.1:1:30559259:30563790:-1 gene:ORGLA01G0364100 transcript:ORGLA01G0364100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVPFVTAVTTLFSYGVIFGFGHLRDWFRALLRSLFSGHSPAAAGANLKGYAPICGGQEDFYYRRFVRRVQDCFWRPIASKPDAWFDVVERYSNDSNKTLHRTTKTSRCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALIGKGGLIISDSLNHNSIVNGARGSGASVQVFQHNNPAHLEEVLREQIAGGQPRTHRRWKKIIVIVEGIYSMEGELCKLPEIVAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQVISAIEVILGEDGSDRGAKKLAQIRENSNFFRSELEKMGFEVLGDNDSPVMPIMLYNPAKMPAFSRECLRQKVAIVTVSFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYLPVEHEKTTSAEKLKKIQ >ORGLA01G0364000.1 pep chromosome:AGI1.1:1:30551718:30555867:-1 gene:ORGLA01G0364000 transcript:ORGLA01G0364000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKVPYVTAATTLFSFGLIFGFGHLRDSFRALLRLLFSSSAAADSPAGCNSKGYAPICVGKEDFYIRRFFRRVQDCFGRPIASKPDAWFDVVERYSTDSNKTLHRTTKTSKCLNLASFNYLGFAAADEYCTPRVIESLKKYSASTCSSRVDGGNTQLHIELEELVARFVRKPSAILLAMGYATNSAIIPALIGKGGLIISDSLNHNSIVSGARASGATIRVFEHNNPAHLEKLLREQISGGQPRTHRAWKKILVIVEGIYSMEGELCKLPEIISVCKKYKVYTYMDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTLSKSFGSSGGYIAASKEIIQHLKLTCPSHIYGTSMSPPAVQQVISAMKVILGEDGTDRGAKKIAQIRDNSNFFRSELQKMGFEVLGDNDSPVMPFMVYNPAKMPAFSRECLKQNVAVVPVGFPATPLLLGRIRICISASHSREDLIKGLEVISNVGDLVGIKYLPVEQEETTSVEKPKKL >ORGLA01G0363900.1 pep chromosome:AGI1.1:1:30547842:30548974:-1 gene:ORGLA01G0363900 transcript:ORGLA01G0363900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNAPKYSSAQCHHEVGGLLVYTFLQGDDPYKRKYXNDIHTTLLSGYRVLIAEESALLDYEILILDDINVSTYYRRIGGQ >ORGLA01G0363800.1 pep chromosome:AGI1.1:1:30536514:30541624:-1 gene:ORGLA01G0363800 transcript:ORGLA01G0363800.1 gene_biotype:protein_coding transcript_biotype:protein_coding FREPILPQIPKSYLRIKDSNMKVEVVMKYLAGKLGLTQSHLQRAASSSLLAGQKCQRQHLVLITATKLQGRRRRRRRG >ORGLA01G0363700.1 pep chromosome:AGI1.1:1:30531473:30535444:1 gene:ORGLA01G0363700 transcript:ORGLA01G0363700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin chaperone subunit family protein [Source:Projected from Arabidopsis thaliana (AT1G03760) TAIR;Acc:AT1G03760] MAAAARKGTATPLGAVFSPEETRRAVARVAEAVADRRAELGRLQGFSADNAALVSLVQRLPDEVSHDIMVPFGGAAFFPGRLIHTNELLVLLGEGYYVERSAKQTTEILHRRGMELEAQVEAMKATISDLEAEAKFFESTAAEASEGLIEIREEYDEDTEINSSTLDASSSASGMPDKDREYTQIMARLDELEMEENDAGSTSEEDGEDDEDDEDDEENAGPSEDDKEDEEEENILIDDNDHHNASLGASFSGSGGNDQSHESAQLKSALKKPGGREILKSASFAPSASTPHSVFPGQTSTINPGIQLPLKKAVSFQDDNRHTVGPSKSLPLPQGPKHSSPILQVSSDNPTSHDRKIMSSGQKAFTGSIIEHDDNISTLQPSKSAALQNPASSSSRPVSRFKMQKGGR >ORGLA01G0363600.1 pep chromosome:AGI1.1:1:30516958:30523574:-1 gene:ORGLA01G0363600 transcript:ORGLA01G0363600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPPPRGEPRLRAAAERQQHGLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAARLAQVMASQSAAAAAGRDDDDDDDDYANDHPPAPPPARFGSARPAAAHGSNGVSLLGRTARSPSPALGRNIVEPPPTVRSTSAGRPAVASRPTTTVVPPIKTSTTLRTPSPIPPVAVEPPVDRSRQKRFDTGHLNSRESTPKREASALQDELDILQEENESVLEKLRLAEERCEEAEARAKELEKQVAALGEGVSLEARLLSRKEAALKQREAALKAARESKDGKDGEVTTLKHELDCAKEEVVTAMEQLKEAETETKALRSMTQRMILTQEEMEEVVLKRCWLSRYWGLAVQYGVYPEIAVSKHEHWSSLAPLPLEVVLSAGQKAKEEPLKQGEDDAQRRNKLVRDMSDVMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDFKSPGEPKFLEAFDLSHEEAEDVSFKQAWLIYFWRRAKTHGIEEDIAEERLQFWIGRNAVAPTSHDAIDVERGLTELRKLGIEQQLWEGSRADIDEDSSAIENH >ORGLA01G0363500.1 pep chromosome:AGI1.1:1:30507028:30509617:-1 gene:ORGLA01G0363500 transcript:ORGLA01G0363500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEAAAAAAKMDELAGGGGGGGGDWSYLAADALAAASFTAFPFHHHHHHHHRDVLSASTPSSLLLNMDAATAAAMFDFQAAFPSSSVPPPPPTTTAALPPFHDFASSNPFDDAPPPFLAPPGQKLGFLGPPGGAFGGGMGWDDDDEIEQSVDASSMGVSASLENAAPVAAGGGGGGGGGGGRGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESAPSSSLTGPSSASFHPSTPTLQTFPGRVKEELCPTSFPSPSGQQATVEVRMREGHAVNIHMFCARRPGILMSTLRALDSLGLGIEQAVISCFNGFAMDVFRAEQCRDGPGLGPEEIKTVLLHSAGLQNAM >ORGLA01G0363400.1 pep chromosome:AGI1.1:1:30501448:30505417:-1 gene:ORGLA01G0363400 transcript:ORGLA01G0363400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRFAAAPLRLRLVSPAPPAIGGAGDGGRGGGGGAARIGVLGRARCRRRRGGGGRLEVAAAAADDSARCRAKGVGAAAAAAETLGGIEVGGGDQLSVVMKFGGSSVSSAARMREVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNLIKDLHIKTVEELALPRSVIHTMLDELEQLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKIGVKARQQLDAFDIGFITTDDFGNADILEATYPAVAKRLHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQRKMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDCVATSEVSISVSLDPSKIWSRELIQQELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKAFQVLRKSGVNVQMISQGASKVNMSLIVHDSEAKQCIKALHQAFFEDDVLTEVEEEALHMS >ORGLA01G0363300.1 pep chromosome:AGI1.1:1:30499524:30500642:1 gene:ORGLA01G0363300 transcript:ORGLA01G0363300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRFLNLIMDNRFPVSKSLRCIDLMHHCNLFNATPPNFFNGSKSTIIRVENRIQLPSPIFNFAAGFGEDWRMDCLPFLDRRVICADQSGRCFLFEADRSRVVMLPRLHGPKQVPISIFIPCPEEESGEFDGGNFYVMDKMSRAGVSGTNQFEAFVYCHYRGSRIMKSWTCQLLPPPPYVYDRTYLGRWLEIRSYVVVDYGSKICISVKGVGTYCMDRRSLTWSHLGKWMLPFIGKVEYVPEVKLXVGICSSTHELAAADLSSMDSQPQLVGTCKEFEPPEEWKQCRDPQLVNLGSGKFCVTRFFRNQAPKGDSDDEFIGRNITVLIGVEVMQSACHGNGNGNGSSGEMELQMIPHRSRWYGGDTIGTVC >ORGLA01G0363200.1 pep chromosome:AGI1.1:1:30495550:30496491:1 gene:ORGLA01G0363200 transcript:ORGLA01G0363200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRFLNLIVDNRFPGSKSLRCIDLTLQNFFNRSDSKVVVAADASAAISGSMDWIQLPSPMFNFQASSVAHHWEISCFPLRGREGCPISLFVPSTNVYDDDGDGTLFIMERVVKPESPRSSPSHSDQFEAVFYRTPSSVRSVSSTCKPLPLPPFVRDPKFSNTRTTINLYVVVSGGSEICISVEGAGTYCMDTVKHTWRHVGQWTLPFYGNVEYVPELKLWFGLSDKTNHLAAADLSAMDDCFQRPELVRAWMELSPCQRGLRQVLHRKVLLHSAFCGLLS >ORGLA01G0363100.1 pep chromosome:AGI1.1:1:30486189:30490773:1 gene:ORGLA01G0363100 transcript:ORGLA01G0363100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1NUU1] MPPAAMAPPPPPQGSSTGDPLYDELWHACAGPLVTVPRVGDLVFYFPQGHIEQVGVEASMNQVADSQMRLYDLPSKLLCRVLNVELKAEQDTDEVYAQVMLMPEPEQNEMAVEKTTPTSGPVQARPPVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHSMDWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQLSNVPSSVISSQSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESVKNNYSVGMRFRMRFEGEEAPEQRFTGTIIGSENLDPVWPESSWRSLKVRWDEPSTIPRPDRVSPWKIEPASSPPVNPLPLSRVKRPRPNAPPASPESPILTKEAATKVDTDPSQAQRSQNSTVLQGQEQMTLRSNLTESNDSDVTAHKPMMWSPSPNAAKAHPLTFQQRPPMDNWMQLGRRETDFKDVRSGSQSFGDSPGFFMQNFDEAPNRLTSFKNQFQDQGSARHFSDPYYYVSPQPSLTVESSTQMHTDSKELHFWNGQSSVYGNSRDQPQNFRFEQNSSSWLNQSFARPEQPRVIRPHASIAPVELEKTEGSGFKIFGFKVDTTNAPNNHLSSPMAATHEPMLQTPSSLNQLQPVQTDCIPEVSVSTAGTATENEKSGQQAQQSSKDVQSKTQVASTRSCTKVHKQGVALGRSVDLSKFSNYDELKAELDKMFEFDGELVSSNKNWQIVYTDNEGDMMLVGDDPWEEFCSIVRKIYIYTKEEVQKMNSKSNAPRKDDSSENEKGHLPMPNKSDN >ORGLA01G0363000.1 pep chromosome:AGI1.1:1:30474718:30476065:1 gene:ORGLA01G0363000 transcript:ORGLA01G0363000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIASKNPTKTHLLLLLLLVFFLSLACSQPLHSEPMSTTTTTATQPTPPPPPPQSKIPHAEAGGAARLRRIVLGVLFGSLTGFLLSLAFLYAIRVAILHAKYAPAIVRGPVSFTPQISPKNLHSALPSAQPLAHGPNGKYYKLVLDNDVTVAVKRLEASRPEASPSSMPNVSKSDMRRVQRQLELLARVRHQNVMGLKAYVREADRLSLAYDFVPGGSLEDVMKRVRSLQVNLNWDARNRIAIGVAKGLRYLHFECTPRILHCSLKPSNVMLDEGFEPILADCGVSRLIASGSADPELASSLYSAPECYQSSRPEKIFSKIWLYFFKMIVMALEFLWSLGGSHKPILP >ORGLA01G0362900.1 pep chromosome:AGI1.1:1:30472500:30474185:-1 gene:ORGLA01G0362900 transcript:ORGLA01G0362900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQTDRSCLDVGDCSNVASSSKTKRTDSCFLDDDGFQLPDENHVCEKDVHCVSSPHVDLDDRFTDPLDNREDELCSITQYDVHNFENDREIVNFAAGQIWAAYDWEKFPRRYARINKIVADKEHLYVSWFKPSPQSHDENRWFSASLPFVCGIFIADECKISVTCPTMLCHQISSDNWNHHLKVYPQEGEVWAIYSDWDIGWCNDPGMRKKSTFYVVEILNSYLKGSGCTVAQLVKVDGYRSVFQRHVRSGREQLLQVHIHNLLMFSHRIPSFRFTCDVGTVFELEHSAVPENLQYENTSASVAPLYPLQGLHDDSNGFHEAAATHLSNPSTSKMDLGNPQQGMMNYNNKLSPEHFVEGQIWAVYNAPDRMPRSYVRVIRVLSHTAVSVLKLEPHPMLNEEIHWVEDGLPVACGVFRAGSETACKEISEFSHPVECDWSAKRSFYRIFPKKGEIWAMYKNWKIAFSNADIDKCEPRMVEILSDYSDEIGVNVCRLTRVKGCLTFFQRVIVEDFHLTKLISRSEMLSFSHRVPAYVVIEIKDRDIPKGSWHLEPNALPLRNID >ORGLA01G0362800.1 pep chromosome:AGI1.1:1:30466131:30469059:-1 gene:ORGLA01G0362800 transcript:ORGLA01G0362800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGEEDDRASRCKRITRESDATKNGAATKLQALRLVEDLSLPSVQVVVMSANMGCSHCRQRVTKVVSKMNAGLLDYMVDFGKKEVTVRGTMVHTKKKRKQHKKKHEENKKGIAANWEKKSSSQSNDSARTLAWFLRCYSS >ORGLA01G0362700.1 pep chromosome:AGI1.1:1:30463487:30463750:1 gene:ORGLA01G0362700 transcript:ORGLA01G0362700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGLKDSEATYGAGVGGEEGTTTATATATTTSIGRQVIEALERGNRMLGDQLEAQRAAWDAEREQRVALLAAVDKLAGAVCRIADKL >ORGLA01G0362600.1 pep chromosome:AGI1.1:1:30462674:30463384:-1 gene:ORGLA01G0362600 transcript:ORGLA01G0362600.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANTITQEIPRRSVQAWSRVAVGMVMNGGTSNEGYKVMWLGNDGNYEVYDSMKNMWSCPGTFPPSFKLPLALNFRSQPVAVGSMLYFMCAEPEGVLSYDVSTGIWRQFVIPLPLHLTDHTLAEFQGRVMLVGLLCKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHMKMTCLGNSGLLMLSLKAKRMNRLVTYNLLNKEWQKVPDCMLPCSRKKQWIACGTAFGPCPSALA >ORGLA01G0362500.1 pep chromosome:AGI1.1:1:30459090:30461324:1 gene:ORGLA01G0362500 transcript:ORGLA01G0362500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDDAIRSKEIAERKFNENDIAGAKRFALKAKTLFDSLEGIDNMISALDIHIRAQTKIEGENDLYGILDISASDDDEKIKKQYRKLALQTHPDKNKFSGAESAFKLIQDAWDVLSDKDKKRSYDQKRFGGSSRVYQNGFAENANATPGSTMSSMNGFFWQNSGRHPSYATDTFWTYCDSCQMSFQYSREYVNRNLACSFCQTEFVAVETPPPTAPVYYNVTNLMDTSSNMDDPQGTGVPYSSNKIFDPVLQPVFGSVGGAHASRYPVQQTCKPARKEEVAEVNVARREEATKRKHEQASSSLGSSSSAAKVIHRRKAVTKEMEAEKRRCINNKSKVSGQKNNTNKVVGKSTSSAADGDSGPQMHPAKRKSASSIGTSGTKRRKMPSDHNSGNARTSFGKVFLQLETEIPGLKMEKMKLQIRDKLEEFKSRRANVENKGNVHVSLEKKKTWKWKKPATLFVYTRRNRKEHRKEPGVDAIGAGSSHKHLDGKYSCLDQVPSSDEGSCVMPVPEADFYTFGDHPETSFQNGQIWAAYDEEDGMPRYYALIQKVLSRHPFKVRLAFLKAKDCSEFVTSNWISYGYSKTCGDFIVGTPKNTDQLNTFSHVVTWEKGPGGIIRIFPRKGDIWALYQNWSPEWNTCTPDDTIYKYDLVQVLDSYNPSAGISVMPIVKVPGFVSVFTPLLDPTKSRTIPKEEMLRFSHQVPFHVLTGEEAKNSPKGCYELDPGSTPKELLQVVPQSDGV >ORGLA01G0362400.1 pep chromosome:AGI1.1:1:30423700:30428048:-1 gene:ORGLA01G0362400 transcript:ORGLA01G0362400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G27320) TAIR;Acc:AT1G27320] MDEMSCGGGGGGARWKRARVAGMGEGKAGGGGGAAFLGLERVGMVVRMLPVPEKVSARARVVRGSLVAHFRGWRVVRETWWWVLLLWILAGSLGSFYLFLFMNAQSLDKRRDSLASMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKTPSAIDQMTFARYAERTAFERPLTSGVAYAVRVTHGEREQFERQQGWAIKKMYSSSNKKQSSPGPGPGDAAVAEIREPAEEYAPVIFAQDAYKHVISFDMLSGNEDRDNILRARKSGKGVLTAPFKLLNNRLGVILTYTVYKYELPAYARPHERIQAAIGYLGGIFDIQALVEKLLKQLASQESIMVNVYDTTNESPISMYGDDTGSGMCHVSVLNFGDPSRKHEMHCRFEKKPPWPWLAITSSFGTLVIALLTGHIFQATVHRIAKVEDDFHKMSELKKRAEDADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELETVPFDLRTVCDDILSLFCGKAQEKGLELAVYVSDQVPQILIGDPGRIRQIITNLVGNSIKFTERGHIYLTVHVVEEVMSCLEVETGIQNTNTLSGYPVANRRRSWESIRLFNRELHSSEKSFAPIASDSISLVISVEDTGVGIPFEAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPHVGSTFTFTAVLMRAHCKGNDIKSSEFKGINALVVDHRPVRAKVTKYHLQRLGVKTELTAELNQFISKLNSGSLTAKLVLIDKETWLKESHCTPLLVNKLRNNDKPDSPKLFLLGSSASSPKGGSDTSREHNLNVIMKPLRASMLQVSLRRALGGVDKVHCRNGVVGNSTLGSLLHKKQIIVVDDNIVNLKVAAGALKKYGAEVTCADSGKKAITLLKPPHNFDACFMDIQMPEMDGFEATRRIRVMERDLNERIERGEAPPECASIQRWRTPILAMTADVIQATHEECLKSEMDGYVSKPFEGEQLYSEVARFFQNHDQVE >ORGLA01G0362300.1 pep chromosome:AGI1.1:1:30416295:30421688:1 gene:ORGLA01G0362300 transcript:ORGLA01G0362300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQGFDTHRLHQEVKSRWLKPKEVLQILQNHDRFIITHKTPHKPPSGAWFLFNRRVLRYFRNDGYEWRKKKNGKTIAEAHERLKVDNVDALNCYYAHADKNSTFQRRIYWMLDPAYDHIVFVHYRDVQEGSISVSALNDSSTSNQNGSGSRAEAQSSPGLTSELFAPCLNSCSPGSAEEVSSQIMAINNETNSVSQPDWVQHCNQAALRKLKVQLSLEDREDHDVDAKDIPSNSEPITVYGIQNEEPGTCRNLADVFSGLEFSKENHPEETGLTFSSTIDVLKNSDTWLEEDQIEAILHSASMIVTENQWFNIREVSPEWSYCSESTKVIIAGDFLRDPSHGSWAIVFGDVKVHAEIVQQGVIRCHTPCLDARKVTMYLIDENEKACSEARQFEFHNKPTKSVVCENRKPCREVHESELHQRPTESNNELLLLFNYAQLLFDGHVSEQFLKFGLPFPNLECGLQVSPSEIMKGTSERLNRDTAVNCVMEVLLNNKFEEWLFSKYEQNSEGNHFLPRQYHGVIHTIAALGYNWALKLLLNSGVLVNYRDANGWTALHWAARFGREETVVLLLDAGAAAGALSDPTAQDPAAKTPASVASAYGFKGLSAYLSEAELIAHLHSLESKENGSSGDQISRVVGRISDTSAHAQSGSDDQLALKESLGAMRYAVQAAGRIQTAFRIFSFRKKQQAGLQNRGNHIISIREVGAASHGMLEKAALSIQKNFRCWKKRKEFLKIRKNVIKIQARVRAHQQHNKYKELLRSVGILEKVMLRWYRKGVGLRGFHPGAIAMPIDEEDEDDIAKVFRKQRVETALNEAVSRVSSIIDSPVARQQYRRMLEMHKQNKDDDEKVEVSPASHVYGSGSHHMCWLSHNNKAMH >ORGLA01G0362200.1 pep chromosome:AGI1.1:1:30408468:30411279:1 gene:ORGLA01G0362200 transcript:ORGLA01G0362200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGERTVKRLRLSKALTIPDHTTVYEACRRMAARRVDAVLLTDSNALLCGILTDKDITTRVIARELKLEETPVSKVMTRNPLFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGASVSGPNTFIETLRERMFRPSLSTIISENSKVVTVAPTDTVLTASKKMLEVKVSSAVVAIENKPGGILTSRDILMRVIAQNLPPESTTVEKVMTQTPECATVDTPILDALHTMHDGKFLHLPVLDKDGNVVTVVDVLHITHAAIATVGNSAGSGSEATSAMMQRFWDSAMSIGPLDDDDDSRSEGSTKVASEATDVGRSAFYPASGLSNTFGFKIQDKQGRMHRFNCETSSLTELITSIIQRLGDDIDRKNLPQILYEDEDHDKVILSSDSDLVAAVDHARQIGWKSLKLHLDYAGVGRRKRGGGGTSDFEYAGKDAWASAYSAVAAGAALVAGLGVMAYLKRAG >ORGLA01G0362100.1 pep chromosome:AGI1.1:1:30401760:30401987:1 gene:ORGLA01G0362100 transcript:ORGLA01G0362100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAASTDWGPIIVAVILFVVLSPGLLFQLPARARVVEFGNMATSAIAILVHAVIFFCLLTIFVVAIGVHVYAA >ORGLA01G0362000.1 pep chromosome:AGI1.1:1:30397079:30397483:1 gene:ORGLA01G0362000 transcript:ORGLA01G0362000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTMYKEAAATCGDGEHYSRLIRELCALLAAIISPSSSSSTAAAAAAARSPGMSPAAAATMLLGASVALMLCGSVTFAIGLLLMPWVAGVALLFGLSAAVSTLSSGVFGKAAAAASSPASHASSDNKPVLVVA >ORGLA01G0361900.1 pep chromosome:AGI1.1:1:30367106:30385591:1 gene:ORGLA01G0361900 transcript:ORGLA01G0361900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRVQLRRIEDKASRQVRFSKRRAGLFKKAFELALLCDAEVALLVFSPAGKLYEYSSSSIEGTYDRYDRYQQFAGARRDLNEGSTSINSDENASIHSRLRDITAWSLQNNADESDANQLEKLEKLLTNALRDTKSKKMLAKQNGEGSRSRANSSGSRGQEEGSA >ORGLA01G0361800.1 pep chromosome:AGI1.1:1:30359753:30360007:-1 gene:ORGLA01G0361800 transcript:ORGLA01G0361800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLKNSARGGAKGKVVTVYSKYVKPQSSPSVSVTQAYSYQPNYSSSIDVAAAANGSYGGGNVDERATAYILAVRERFKKEWM >ORGLA01G0361700.1 pep chromosome:AGI1.1:1:30354725:30357962:1 gene:ORGLA01G0361700 transcript:ORGLA01G0361700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDAKMPSWDLGTVVGPSGGGGGGGGGGGALDLKLGAPTSWKTTTTVSAASAAPAAVAPPPPPPASSSSSAAAAGKRARAGQGQQAAVPACSVEGCAADLSKCVRDYHRRHKVCEAHSKTAVVTVAGQQQRFCQQCSRFHLLGEFDEEKRSCRKRLDGHNKRRRKPQPDPLNPGNLFANHHGAARFTSYPQIFSTAASMSPQETKWPANVVKTEAADVFQEPYYHALHLNGAGAATAASIFHHGGNKARKHHFPFLTADHGGGAAAASPLFGCQPFTITPSSESRSSSSSRHSNGKMFAHDGGLDNCALSLLSDNPTPTAQITIPQPLVAGGGQYGGGGDVSLTGLSYVRMAGKDTSILAKSATTTATTATTPTTTSAQLQYHGYYHHHVSADQGSSDAAIQALPFSSW >ORGLA01G0361600.1 pep chromosome:AGI1.1:1:30324736:30325989:-1 gene:ORGLA01G0361600 transcript:ORGLA01G0361600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFDEAAVVVAAPPPPQPECGAAAAVVGGEPGWSSPSPAASPEFEFWMVGKNPSSFQSPALLTADELFSDGIVLPLRTLQQVPSGEGDGEGEEGEGEGDAAAVESSDLPEAAAQRVAESGGPAPTPDLPAVTFKWKDIFKATGGGESKDRKKVERRVSSVGGNGELININIWPFSRSRSAGHSAAGAGTAAAGAALSRNKSNPNANVNANASNNAAAAAAAAATAPAAATAPGPAPARKVSSAPCSRSNSRGETSAAAPPPSIATAACAAAAAAATAPAPAPATSMLRRLVPGHGRTGALTVTGIRLGRASPVWQLRRNKLQQQGAAAEQKQSSDTPTPTTAATKKKATATTTTAATPTTQDVDGEDKAAASATTPAAAATAGCRNNASCSEAGGEESNPPQGLFGLRTFFSKKVY >ORGLA01G0361500.1 pep chromosome:AGI1.1:1:30314894:30315199:-1 gene:ORGLA01G0361500 transcript:ORGLA01G0361500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYTAILSFCSVSSYFATLNSSGRHCDTRKKSGLSTLSSLNTTKSLSGDNCSLVISSAKARSRSLYDHTSACCLPALLWISFTIASISLCAMCHCDAAAMW >ORGLA01G0361400.1 pep chromosome:AGI1.1:1:30295816:30297263:-1 gene:ORGLA01G0361400 transcript:ORGLA01G0361400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQKRKRSSLPPQYATAGDCCGGGGGRRKRLAGGGPDYLDELPDDLVLAVLSKLAASASSPSDLLSVHLTCKRLNGLGRHDMVFAKASPASLAVKAASWSEPVQRFLKLCADAGNLEACYILGMIRFYCLGNRSGGAALLARAAVGGHAAALYSLAVIQFNGSGGAKSDRDLRAGAALCARAAALGHVDALRELGHCLQDGYGVRRDPAEGRRFLVAANARELTLALAAAASHRPFAALPLAGGAAAGAIGCPLLSDFGWSLPEAEPHPANLFMADWWASRGVQATAKKPGLEAPAAATGDSDGGGELRLCSHVRCGRRETRRHEFRRCSVCGAANYCSRACQALDWKRAHKAQCVPMDRWLLAAGEAQ >ORGLA01G0361300.1 pep chromosome:AGI1.1:1:30290977:30294223:-1 gene:ORGLA01G0361300 transcript:ORGLA01G0361300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPFLLSCSVFQLARQVQLHVHGPMLNSELHYSQEKNKRKVGDVPAWGKPLKFPTGAEAETDRVSTRESSEKWSASAYVYLQWLGRTAATVCCRQKLASAACAWLTVFVLINDAKARESNADGGVDVLIIHDDGKRFETVGILRFKKGGGVVCRMAEAFNFGNDGFSKGPETVDFAESNL >ORGLA01G0361200.1 pep chromosome:AGI1.1:1:30279339:30282702:1 gene:ORGLA01G0361200 transcript:ORGLA01G0361200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGAMSDPERMFFFDLACQNAKVTYEQNPHDADNLTRWGGALLELSQMRNGPESLKCLEDAESKLEEALKIDPMKADALWCLGNAQTSHGFFTSDTVKANEFFEKATQCFQKAVDVEPANDLYRKSLDLSSKAPELHMEIHRQMASQASQAASSTSNTRQSRKKKKDSDFWYDVFGWVVLGVGMVVWVGLAKSNAPPQAPR >ORGLA01G0361100.1 pep chromosome:AGI1.1:1:30277250:30278853:-1 gene:ORGLA01G0361100 transcript:ORGLA01G0361100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARREVRTKEEQWRGEERVVESPLGSARWSPEAEIGMRVEDIWDSLDQPQLSDRDRLNSCFDAIPVASFPHTFDGAQVVEIPSDATLAEAVDILSRHRIITAPVRNVDAPDDASWIDRYIGVVEFAGIAVWLLHQSEAAAARADDLGADELAAKLGTVALEGAAAARAPDQQQSAEGAVAEAFGALPSSDLFNKTKVKDISGSFRWAPFLALQSSDTFLTMLLLLSKYRMKSLPVVDIGEGTISNVITQAAVVHMLAECAGLHWFEDWGAKSLTELGLPMIRPSRLVKVRHDEPALKAFRLMRKRGVGGIPVVDHAGKPTGSIMIKDVKHLLASSDANRDYRTLTAQEFIANARQSSGEKQMNIVTCKKEESIKEIIFKLDAEKRQRIYVVDEQGNLDGLITLRDIIAKLVYEPPGYFGDFFNGVFPLPQNSRV >ORGLA01G0361000.1 pep chromosome:AGI1.1:1:30273649:30275598:1 gene:ORGLA01G0361000 transcript:ORGLA01G0361000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPGGQEKVIAAAQHIVKSLANSKNAADDMIRILSGFDDRLTFMSDLFPPPPPAAAAVREPSVVEEVEEEEAGPDDAREDEVEEAAELVERWDSPEEGDRLVFDSAKDAGDYLDAAAVLVGARGARAEAALQAAMARLEDEFRHLLARGMSPLAGEDLHASLLRRLSLTVPSFASSASDLDCPSFASHAGDGDESGGAGGRASVSDEEISPYLISPDTVGALRGIADVMLRAGYGPELCQVYGEMRRDTLMECLAVLGVDKMSLEEVQRVEWGVLDGKMKKWIQALKVVVRGLLAEERRICNQIFAADAEAEEDCFTEAAKGCVLQLLNFGDAIAIGKRSSEKLFRILGMYEALDEVLPELEGLFSGDARDFIKEEAVGILMRLGDAVRGTVAEFANAIQGETSRRALPGGEIHPLTRYVMNYVRLLADYSRSLNQLLEDWDTELENGGDNVNMTPLGQCVLILITHLQAKIEEKSKLYEDEALQNIFLMNNLLYIVQKVKDSELKTLLGDNWIRQRRGQIRRYSTGYLRSSWTRVLACLRDDGLPQTMGSSSALKASLKERFKNFNLAFEELYKTQTTWKVVDPQLREELKISISEKVLPAYRSFVGRFRGQLEGGRNSARYIKYNPEDLENQVSDFFEGRRPNA >ORGLA01G0360900.1 pep chromosome:AGI1.1:1:30268795:30271164:1 gene:ORGLA01G0360900 transcript:ORGLA01G0360900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT1G67410) TAIR;Acc:AT1G67410] MASPSSRAVAVGGALLLLLVFAVPTTFLYLTSAPAVSSPSLLLNLKPFGARCAPAAAAAPPLRVFMYDLPRRFHVGMMDASASGFPAWPPSAGGIRRQHSVEYWMMASLQGGGGGGNGSSEEEEGREAVRVTDPDAAEAFFVPFFSSLSFNVHGRNMTDPETEADRLLQVELMEILWKSKYWQRSAGRDHVIPMHHPNAFRFLRDMVNASILIVADFGRYTKELASLRKDVVAPYVHVVDSFLNDDPPDPFDARPTLLFFRGRTVRKDEGKIRAKLAKILKGKDGVRFEDSLATGEGIKTSTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSSRIELPFEDEIDYSEFSLFFSVEEALRPDYLLNQLRQIQKTKWVEIWSKLKNVSHHYEFQNPPRKGDAVNMIWRQVKHKVPAVNLAIHRNRRLKIPDWWG >ORGLA01G0360800.1 pep chromosome:AGI1.1:1:30259122:30267110:1 gene:ORGLA01G0360800 transcript:ORGLA01G0360800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGSSSVRRPVAAARSRSGPEPDARRXXXXXXXXXAAAARRRGRGDHGPLRLMEVSPRNLLLVGTASVALLAVAFVVYTGVWQGKADGEVETPLRRVVRSVTPLDAPRMMDLPQFQGDHKESLYWGTYRPNVYLGIRARTPLSLIAGIMWIGAKNGQYFLRHVCQDSDELSTYGWTDHNGRDYGHQVLVDHGLLLTTSFLKEKGEGSGYGGDWAVRLNAKTDGPSLSEDQESTTHLFFYIADEAGNSITMDSHIPSSRGHVLLASGSREEIGDWKVYLRSEENLEIHRAGFKSISMHNLSDLVQQALATNAMQSGNLNLPDMAEDSSNVIVYQVSMKRSAEVDIVFLSGAASENPMIEERINRLTGPVLSTRLESKQKDFEKRYDQIFNVNNKINPKELSVGVAALSNLLGGIGYFYGQSKIALPKGFTQKNGDKYIPYWPAALYTAVPSRSFFPRGFLWDEGFHQLVIWRWDVHISMDIIGHWLDLINADGWIPREQILGAEALSKVPEEFVLQYPSNGNPPTLFLALRDLASGIHANQFSDEESEKISTFLKRAYVRLNSWFQWFNSTQTGKYEGTFYWHGRDSMATRELNPKTLTSGLDDYPRASHPNDEERHVDLRCWMLLATNCMCSIAEFLKTDSSLEKDYYKMSNQLSDFGILNKMHLDDKTGAYFDYGNHTEKVRLRWYEVRENDVMRRELLRETLQPPQLQLVPHVGYVSMFPFMMGAIPPESWVLEKQLDLISNSSILWTNYGLRSLSRTSSIYMKRNTEHDPPYWRGAIWINMNYMILSGLHHYAHEDGPYKDRAKELYDELRSNLIRNIVKNYHETGFFWENYDQKNKGKGKGARSFTGWTSLVVLIMGESYPTLHR >ORGLA01G0360700.1 pep chromosome:AGI1.1:1:30256268:30257602:1 gene:ORGLA01G0360700 transcript:ORGLA01G0360700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSAGMASSKNGLSPVVVFLLGAASATALIVFVFTSTASPAWPTPEATPATRQEKKAAAVACAPRAKGIDSETRRAARTNQTGGGDDDDEFARILRRAAMEDRTVIMTSVNEAWAAPGSLMDSFLESFRVGENISHFVEHIVVVAMDEGALRRCRAIHPHCYLLLPEVAGLDLSGAKSYMTKDYLDLVWSKLKLQQRASMIVGETRGVDDEEHDARWRWQDVDLAWFRNPMVHITAAADITTSSDFYFGDPDDLGNYPNTGFIYFKATPRNARAMAYWHAARRRFPGEHDQFVFNEIKRELAAGAGEGGGVGVRIRFIDTAAVSGFCQLGRDLNRIATVHMTCCIGLENKLHDLRNVIRDWRRYVARPRWERQMGKIGWTFEGGKCIH >ORGLA01G0360600.1 pep chromosome:AGI1.1:1:30252411:30254480:1 gene:ORGLA01G0360600 transcript:ORGLA01G0360600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLGGGGGMAMINRNHVVSFLAGAALPTLLLFFLASDKVSEQLAIVSRWGSGGSSSAAAADHDLRGAGGDAAPPPAQQEKFPGLPELLPKVAMEDRTVIITSVNEAWAAPGSLLDLYRDSFKNGEGIAHLLDHVLVVAVDPAGFRRCKAVHPHCYLLHVKSINLTSATRFMSREYLELVWTKLSLQQRVLELGYNFLFTDCDMVLFRDPFRHIAVYADMSTSSDDYSAARAPLDNPLNTGLYYVKATSRSVEMLRYWQAARPRFPGAHDQAVFGHIKHELVAKLRARIEPLDTLYFGGFCEYHDDLARAVTMHADCCVGLDTKVHDLTDIAADWKNYTGMSPEERKKGGFKWTYPTRCRNSIGWRKPVHP >ORGLA01G0360500.1 pep chromosome:AGI1.1:1:30242019:30244713:1 gene:ORGLA01G0360500 transcript:ORGLA01G0360500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKGNLRRFFVFLFELWLAATLVLVLLCVLANTGGSPEMPAAAEVCNCSQIGIASSRISEEVTGTSGNSNESTPLIWISIFQSSFADLAELLPKVATDDRTVIITSVNEAFARPNSLLVLFRESFAAGEKIAHLLDHVLVVAVDPAAFHHCRAVHPHCYHLKVDTTNLSSANNFMSEAYVELVWTKLSLQQRVLELGYNFLFTDVDILWFRDPFRHIGVYADMTTSCDVFNGDGDDLSNWPNTGFYYVKSTNRTVEMLRRWRAARARYPPNHEQNIFNYIKHELAAGLGVRVRFLDTAVFGGFCQLFRNDMARACTMHANCCVGLGNKLHDLRSALDQWANYTSPAPPEGRKKKSGGGGGDRRAGWSVPAKCGTPDKRG >ORGLA01G0360400.1 pep chromosome:AGI1.1:1:30237961:30239697:1 gene:ORGLA01G0360400 transcript:ORGLA01G0360400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGGQQSALNQLVSFLLGVSAAAVLIFFFSSAGGGWSTTTDLSSWANGTVAATAKETNLTSTAAHVEEKANLTNSQAAAAEAAKEEEEKELEKLLAAVADEHKNIIMTSVNEAWAAPGSLLDLFLEGFRAGEGIARFVDHLLIVALDDGAFRRCRDVHLHCYRLAVAGRNFTDEKVFMSEDYLDLVWSKVKLQQRILELGYNFLFTDVDILWFRDPFEQMSMAAHMVTSSDFFVGGAYNPANFPNTGFLYVRSSRRAVGVMEAWRAARASYPGRHEQQVLNEIKRELVERRGVRIQFLDTAHVAGFCSNTRDFATLYTMHANCCVGLGAKLHDLRNLLEEWRAYRRMPDEQRRQGPVRWKVPGICIH >ORGLA01G0360300.1 pep chromosome:AGI1.1:1:30228185:30235669:-1 gene:ORGLA01G0360300 transcript:ORGLA01G0360300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGIYSSSAPPSTAAATAAAAAAAVGSSVIPIVNKLQDIFSQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPADAEADEWGEFLHLPGRRFYDFREIRREIQAETDREAGGNKGVSDKQIRLKIYSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMILSYIKHKTCIILAVSPANADLSNSDALQIARNADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLRLGYVGVVNRSQQDIKSDLSIKEALAREESFFRNHPAYNGLAQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTTTAKELSFYGDPVESKAGQGAKLLNILAKYCEAFSSMVEGKNEDISTIELCGGARIHYIFQSIYVKSLEDVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAGFIYDELVKMSHRCLAVELQQFPLLRRSMDEVIGRFLRDGLKPAQDMIAHIIEMEADYINTSHPNFIGGSKAVEQAQQQVRSSRLAAVARREGVDADKSQASDKTQKPRALLGRTGVNGVVTDHLQGLRPAAEAERPGSSGSGSTSFWGSISIFSSTSDDRTHSSAKDNSSNKSYTASTSHLEHSLSTIQLREPPVVLKPSESQSEQEALEIAITKLLLKSYYNIVRKNVEDFVPKAIMHFLVNHTKRELHNYLITKLYRDDLFADMLREPDEITIKRRQIRDTLKVLQQAYKTLDEIPLEADTVERGYSLDADATGLPRAHGLSSSFQDGSSPYSTPKQPRSRKSSHSGEQLPFNPDASGNGF >ORGLA01G0360200.1 pep chromosome:AGI1.1:1:30220188:30226291:1 gene:ORGLA01G0360200 transcript:ORGLA01G0360200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G27180) TAIR;Acc:AT3G27180] MPPPAGLLPWPAPSAARLAAPTRTRPPPRTRVRPPPPPAPPPPPPPRLEPVVVVAPSTTTVTATLPPIITPTLSPSTSTCLECVHFNSCSGCTHEVDLDKPPVLQEVENFFKGHGVGDFTFSRGRLREWRCRAKLAIRGTPENPLIGLYQEGTHAVADIPECRAHHPSINATVKLLRQAISELNIEPFDEDASTGELRYVQMAVTTYNTSIPVAKRYEQGRVQVSLVWNSRDERSKNAEKLSLLSEFLWRNGGPRSNVHLIHSIWANFQTSTSNIIFGHKWRHLIGENDLWERYGGVDISLDPYSFGQANTLSFNALLHTLHKYVPRGSTVVDLYSGAGVIGLSLAASKKCRSVKCVEINKLSKMSFEKSASRLPPNLGCTITWHNTDASVEPVHWLEGSSVVIVDPPRKGLHPSVICALQKVALSERKAYKAKSTLTKVKDEKRPWILRAREAAVQVDSTPLEGSSETWPETLIYISCGWDSFKKDCKSLMSSKAWHLQNAHAFNFFPGTDSIEVLAIFKRESEAVQKKKKKAKKKKAK >ORGLA01G0360100.1 pep chromosome:AGI1.1:1:30213015:30214989:-1 gene:ORGLA01G0360100 transcript:ORGLA01G0360100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast envelope; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Transcription factor, enhancer of /.../w 2 (InterPro:IPR018783); Has 288 Blast hits to 288 proteins in 94 species: Archae - 0; Bacteria - 0; Metazoa - 197; Fungi - 20; Plants - 51; Viruses - 0; Other Eukaryotes - 20 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G27100) TAIR;Acc:AT3G27100] MRASINRPPTPNREEDPQKELSLREIINVKLVESGEKEKLMELLRERLVECGWRDEMKALCRAYARKKGRNNVTVDDLIHVITPKGRASVPDSVKAELLQRIQSFLMSSSSLR >ORGLA01G0360000.1 pep chromosome:AGI1.1:1:30209700:30211680:1 gene:ORGLA01G0360000 transcript:ORGLA01G0360000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASVHKDLGFPKKLFLASSPTKEKKAANGKGGGGGVSVDLKRKEQQQAAAAGVGVRSPGSGSKDEMFFDSRAWLDSDCEDDFYSVNGDFTPSRGSTPNYQPRTQTVMSNVFVPDNVQNSKSPEPSPTGRRKLAELLQEAMQNGSEDSTDASVPDISKNEKQQFQPVSAAVKPVSESSSACSTEPTPIREAKNRKEKAWYTGRCCLPAFVHTLDLDERRQKMTPGPCAV >ORGLA01G0359900.1 pep chromosome:AGI1.1:1:30195207:30198889:-1 gene:ORGLA01G0359900 transcript:ORGLA01G0359900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase (CBS) family protein [Source:Projected from Arabidopsis thaliana (AT1G65320) TAIR;Acc:AT1G65320] MAAVFFHHVVGDLTVGKPEVVELHDTDTLDAAARAIAASPEGAVPVWRPRAAPDEPPSGARFLGMISALDIAAFVAASGVGDRAMAAVVGEVVQPNPGLLREVDPGTRLIDALDLMKQGVKRFLVRKNGAWRGISKRFSVLYNGKWLKNMEATSPTSASSSRELSSSTSSTYKFCCLSREDILRFLIGCLGALAPIPLSPISSLGAINPHYCHVDASVPAMEAIQKVPPDPSAVAVVETTPDGTRKILGDISAYKLWKCDYVAAAWALINLSAGQFVIGADDNESTPISAIPVPPISSSLVEEIGPGRSPRAKKFSSRSIGFLNSQAHQMAFGRMRSMYRGRSAPLMCKSTSSLAAVMAQMLSHRATHVWVTDAESEEDGVLVGVVGYTDIFNAVTKSVYPAAS >ORGLA01G0359800.1 pep chromosome:AGI1.1:1:30188794:30192365:-1 gene:ORGLA01G0359800 transcript:ORGLA01G0359800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMALRPNDVTLRLTPPLAAAARRNRRAAAGGVRVYAVASGYVSTKVENKKPFAPPREVHVQVTHSMPPQKIEIFKSLDDWARDNILSHLKPVEKCWQPQDFLPDPASDGFHDEVKELRERAKEIPDDYFVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYLYLTGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKDFGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTVLAFADMMKKKISMPAHLMFDGEDDKLFEHFSMVAQRLGVYTAKDYADILEFLVSRWKISDLTGLSSEGNKAQDYLCTLAARIKRLDERAQSRAKKAGTLPFSWVYGREVQL >ORGLA01G0359700.1 pep chromosome:AGI1.1:1:30180858:30184020:-1 gene:ORGLA01G0359700 transcript:ORGLA01G0359700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAATVPLYFALFLGYGSVRWWRIFTREQCDAVNRLVAFFALPFFTFEFTLHTDPFQVNYRAVAADVISKAVIVAVIGAWARFMSKGGCAVSWSITSFSLSTLTNSLVVGVPMARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAIGMYVDGAEAAAAAGKDVEAAGAGAAAGTVVVAAAAGKPSLWALVKVVAHKLARNPNTYASFVGITWACLANRLHIALPSAFEGSVLIMSKSGTGMAMFSMGLFMAQQEKIIACGTSFAALGLVLKFALGPAAMAIGSIAVGLRGDVLRVAIIQIYLLTLLYI >ORGLA01G0359600.1 pep chromosome:AGI1.1:1:30174486:30178954:1 gene:ORGLA01G0359600 transcript:ORGLA01G0359600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G12830) TAIR;Acc:AT4G12830] MAPPLAAPRFHAAALPLRLRLRTRPQKLPCWRRAARPNDEDYYLIDAPESIGDGFSFSGGKYTDGPSKSDEWFAQGRMVKAHPVYGNKGKAKDPIFGLTMGAESQSSNDVFRWFCVEAGSSSNPPVLFIHGFPSQAYSYRNVLPVVSDNYHAIAFDWLGFGFSDKPQPDYGFDYTLDEYTSSLESLINAVAPDKLSIVVQGYFAPIVVKYANEHQDKLNHLILVNPPITDKHAKLPSTLACFSNFLLGEIFSQDPLRASDKALTSSGPYMMKEEDAMVYRRPYLVSGSSGFALNAISRAMKKDLKVYIESMRNILSSDSWKTKTTVCWGLRDRWLNYDGVEDFCGSANYKILELPMAGHHVQEDRGEELGKLVKRILSG >ORGLA01G0359500.1 pep chromosome:AGI1.1:1:30170514:30173497:1 gene:ORGLA01G0359500 transcript:ORGLA01G0359500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSQVASVSDGLPQAQGPAAPNDSPRKRFSGVAPPAALIFFVLVFAGGAIVTLDHKENLSILQLRPREMVVSETETRAPTSEVQETETRAPTSEVQEAGTGAAAGGVQRAELAGSSICENQCRPSGSEPLPKGIVQDKSNFEMEPLGGNPERRAAVARPAKSLLAIPVGIKQKAVVDKLVSKFPGDRFTVMLFHYDGKMDGWRELGWSDRAIHVAAKDQTKWWFGKRFLHPDMVAEYEYIFLWDEDIEVDGFDPIRYLRIIRREHLEISQPALDHRSQIHHRLTLRARKGQVHRRFYKTRGGGRCDDNSTGPPCTGWVEMMVPVFSRAAWRCAWHMIQNDLIYAWGLDFKLGYCAGGDRRLAVGIVDSEYVLHRGIPTLGDGGGKPAAKRSSTATDRLAVRQRSYTELQIFNRRWKAAAEGDVCWTDPYP >ORGLA01G0359400.1 pep chromosome:AGI1.1:1:30159670:30162433:1 gene:ORGLA01G0359400 transcript:ORGLA01G0359400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDFARRVPKRRRTNKLLSQLLPDLNSLPAEGADDGGSPSSSVLVSHAQTSAVAVAGTSQHLVPAVVAGPHIGMSSCPIIVDDIDDDVVIYSASSFPQVRQQAPRTEPVVTIEDDSETTPGQAGDAVDEHVDILLSLTLGRYPRHGHQRSSNISTSPVIHIIDTPSNALPEPEKAVPKEPTFNCPVCMNELVEPSSTICGHIFCKQCIKASIQAQKKCPTCRRKLTMNNFHRVYLPSAE >ORGLA01G0359300.1 pep chromosome:AGI1.1:1:30145883:30151032:-1 gene:ORGLA01G0359300 transcript:ORGLA01G0359300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sucrose phosphate synthase 3F [Source:Projected from Arabidopsis thaliana (AT1G04920) TAIR;Acc:AT1G04920] MAGNEWINGYLEAILDSGGAAGGGGGGGGGGGGGGGGGGGGGGGDPRSPAAGAASPRGPHMNFNPTHYFVEEVVKGVDESDLHRTWIKVVATRNARERSTRLENMCWRIWHLARKKKQLELEGILRISARRKEQEQVRRETSEDLAEDLFEGEKADTVGELAQQDTPMKKKFQRNFSELTVSWSDENKEKKLYIVLISLHGLVRGDNMELGRDSDTGGQVKYVVELARALAMMPGVYRVDLFTRQVSSPEVDWSYGEPTEMLTSGSTDGEGSGESAGAYIVRIPCGPRDKYLRKEALWPYLQEFVDGALAHILNMSKALGEQVSNGKLVLPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQIMKQGRMSKEEIDSTYKIMRRIEGEELALDAAELVITSTRQEIDEQWGLYDGFDVKLEKVLRARARRGVSCHGRFMPRMVVIPPGMDFSSVVVPEDTSDGDDGKDFEIASPRSLPPIWAEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLILIMGNRDDIDEMSAGNASVLTTVLKLIDKYDLYGSVAFPKHHKQSDVPEIYRLTGKMKGVFINPALVEPFGLTLIEAAAHGLPIVATKNGGPVDIKNALNNGLLVDPHDQHAIADALLKLVADKNLWQECRKNGLRNIQLYSWPEHCRTYLTRIAGCRIRNPRWLMDTPADAAAEEEEALEDSLMDVQDLSLRLSIDGERGSSMNDAPSSDPQDSVQRIMNKIKRSSPADTDGAKIPAEAAATATSGAMNKYPLLRRRRRLFVIAVDCYGDDGSASKRMLQVIQEVFRAVRSDSQMSRISGFALSTAMPLPETLKLLQLGKIPPTDFDALICGSGSEVYYPGTAQCVDAGGRLRPDQDYLLHINHRWSHDGAKQTIAKLAHDGSGTNVEPDVESCNPHCVSFFIKDPNKVRTIDEMRERMRMRGLRCHLMYCRNATRLQVVPLLASRSQALRYLFVRWGLSVGNMYLIVGEHGDTDHEEMLSGLHKTVIIRGVTEKGSEQLVRSSGSYQREDVVPSESPLIAFTKGDLKADEIMRALKEVTKAASGM >ORGLA01G0359200.1 pep chromosome:AGI1.1:1:30137603:30140903:1 gene:ORGLA01G0359200 transcript:ORGLA01G0359200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1NUQ2] MARSSSAPESMEADHQLTATVTAAASGDMPVTEQQQQQQMVAVAAPPATENADAAGGGGGGGGGGGGDNGDHLPPTATSLLGGARRTGLHLFVLNARSALRLDELGAEVLRIAVPASLALTADPLASLIDTAFIGRIGSVEIAAVGVAIAVFNQVMKVCIYPLVSVTTSFVAEEDAILSKGAAGDDDDDDDGHDAKGHGASAAAVADLEKQQVVGVDSAETNGAEVSTAAVRTTDDKKAAAAGVGVGKCRRRFVPSVTSALIVGAFLGLLQAVFLVAAGKPLLRIMGVKPGSPMMIPALRYLVVRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVTGDLANIALDPILIFTCRFGVVGAAIAHVISQYLITLIMLCKLVRKVDVIPSSLKSLKFRRFLGCGFLLLARVVAVTFCVTLAASLAARHGATAMAAFQICAQVWLASSLLADGLAVAGQALLASAFAKKDHYKVAVTTARVLQLAVVLGVGLTAFLAAGMWFGAGVFTSDAVVISTIHRGVPVGVAAVTIPCLVLLSSHGGFVGIWIALAIYMSVRAFASTWRMGAARGPWKFLRK >ORGLA01G0359100.1 pep chromosome:AGI1.1:1:30123580:30124197:1 gene:ORGLA01G0359100 transcript:ORGLA01G0359100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYCSDRSSGSSHPSSRAPIPARVGPYDYQPAVMCRCGAKAVRWISWSVDNPGXRYYRCRNRGAGCDFFDWYEPATSSFLRELLNDLREAVLSLRREKNELQHCVEELRPKVEEQCLELRVAMNEVAQLRLVAAENEATMAAMRASNSRLEKQRVWLVLMLLGCMFVLFAVILVQM >ORGLA01G0359000.1 pep chromosome:AGI1.1:1:30120345:30123017:-1 gene:ORGLA01G0359000 transcript:ORGLA01G0359000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAASSSSSSSATMAAAAAAAAAAAAAEASSSGSPASSRNARHRQRKGVRLRMLRRRGRQPVEAERAPGDGGGGAVQEDLALPLGVTRSCFRXPQVINTKNISGQRLHPDFLSKICTSAVKESLTNIYGDSSNSFIKNFEKSFSSTFRTLHLVNEIPVNERSHIPECSFKHDDSVAVDSLSSSDLQNQTNRIEHDLVNTVESQLVLFASDNQQLTHLRRSRSSPEADNRILNAIEKSVKEKARSNELKEFEIGLTMRKLQLKQSQLALSSHSHMLEKIKLSFGFQKASFKGEKFKTHMQETRDAEILRTLIDFLVSAVIVMSACFGYGTYIYSYQRITDVTLACSATSKGSKSWWMPNSVSNFSSGFLFLRCHVIAVTRMCFGILMILAIAWLALQRSSTTGSNMPITFNLILLGIICGFAGRFCTNTLGGNGNTWLMYWEVLCSIHLLGNLFPSLLYHVLHGPISVSHREQVVWLPYWVRRCLFYAAVGLILPALTGLLPFASLSDWKDHFVEEIKSIVIGDKIEA >ORGLA01G0358900.1 pep chromosome:AGI1.1:1:30117116:30118900:1 gene:ORGLA01G0358900 transcript:ORGLA01G0358900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRRAVNLRWSSSETEVEAAIAVEGGCGVDLAMVGRALGLDPATVRLNGYFVSRGRGHVSSAVTWRALLDFFAARGLPTGDAPASPVAVHGKPAPPPPPPPVSDCTINVCPKRKFGLVSDCTTEICPKRKFGLVSDFTTEVCPKRKFGLASDCTTEVCPKRKFGLVSDCTTEVCPKQKFGLYAGKSLKKSKNSEDGVLSRTGADILSDEITLGLKRRLKLDDANPAKKMKQIECSTETQQPVKFSCSFINGHGKRSRDEEMITSFSCKRVR >ORGLA01G0358800.1 pep chromosome:AGI1.1:1:30113924:30115552:-1 gene:ORGLA01G0358800 transcript:ORGLA01G0358800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier [Source:UniProtKB/TrEMBL;Acc:I1NUP8] MSAAGEEDKKPAGGEGGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNAIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGCLPA >ORGLA01G0358700.1 pep chromosome:AGI1.1:1:30111057:30112800:-1 gene:ORGLA01G0358700 transcript:ORGLA01G0358700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier [Source:UniProtKB/TrEMBL;Acc:I1NUP7] MSSPAGEDEKKPAGGEGGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDIKSIAFLFDGRRLNAEQTPDQLEMEDGDEIDAMLHQTGGSLPA >ORGLA01G0358600.1 pep chromosome:AGI1.1:1:30108332:30110818:1 gene:ORGLA01G0358600 transcript:ORGLA01G0358600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCKEQEIGAIRTETGRQQRRERRGRRNWEMETGEEGVGSGQFAVSFTALSRDNQGEIQQLTRAAHEFRGGSNTKTESQSPGTQRTNVHGTERTVSKAKQKHAASRS >ORGLA01G0358500.1 pep chromosome:AGI1.1:1:30105489:30108270:-1 gene:ORGLA01G0358500 transcript:ORGLA01G0358500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGAIDDQSEMWGWQSQEYDLQKDLLAEEAADPSSCLWSEANQNAGDAWTMFDEQTPIKHCTDIDFQFCDIGDIIVKDFDEGKETLQAKRRRMLQFCSDNVEMDCATAADGLSENLQLNLGFSEMTPGDQCLLNCDGTEELPEEWLVDCSPQESEPQCLPEDMSVHLSSPAVAMEEANLSVHKNSSSREQGNTVQKNTVQARPTPLKAGKNIIRARKVKTSVVYPFELIKPCGFHGDVTLHDINQRIHAPPPYKIKHKIDEEQLSYQTSAISGKPVVHKTKIHTEGGKGSITITRTRG >ORGLA01G0358400.1 pep chromosome:AGI1.1:1:30090863:30091801:-1 gene:ORGLA01G0358400 transcript:ORGLA01G0358400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPELRRTMTLSEQLSAPDQAIRDFLKIPHDGLGGGDGEGGRIPAAAGASGGGGGVGGMINWKPLRDRLRLRRAASAWMSASPKPAASNSGGGGGGGATLSSSNSGSNHSNKYNYSPGEAAAAFSRTFSRTRSLRPTPTFSRATSTRLGATAFSSGSRRAAVDGGGHANEDDNEDHTDDDDDDDEEEQGKDDAPAAQMSLMALLEQSDSQWDSEDEDDQGHGGGGGGGGGGGGNGGGARKNGDGDDDEDDGEGREEEMVHVCCVCMVRHKGAAFIPCGHTFCRLCSRELWVSRGNCPLCNGFIQEILDIF >ORGLA01G0358300.1 pep chromosome:AGI1.1:1:30084810:30085482:-1 gene:ORGLA01G0358300 transcript:ORGLA01G0358300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGKEQRRMDRFIVIPFSSTCRNGSSVDVVDGGKSGKKPQGGGGGGEGGGGAAENKPKGESLVARLLRGFKNLSQIFAVYEDDGEEEEEEEEREMVIGLPTDVKHVAHIGWDGSTNTTTSLRSWNRAAPPSSSASAASTSSALPAPAPPPPPSQQQQPLPAFSMRQFELAMAAQAAATGTTTAS >ORGLA01G0358200.1 pep chromosome:AGI1.1:1:30073797:30077681:1 gene:ORGLA01G0358200 transcript:ORGLA01G0358200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSFWLFILLVSFIPISAWAESRDISTLFTLRDSITEGKGFLRNWFDPETPPCSWSGITCIGHNVVAIDLSSVPLYAPFPLCIGAFQSLVRLNFSGCGFSGELPEALGNLQNLQYLDLSNNELTGPIPISLYNLKMLKEMVLDYNSLSGQLSPAIAQLQHLTKLSISMNSISGSLPPDLGSLKNLELLDIKMNTFNGSIPGTFGNLSCLLHFDASQNNLTGSIFPGITSLTNLLTLDLSSNSFEGTIPREIGQLENLELLILGKNDLTGRIPQEIGSLKQLKLLHLEECQFTGKIPWSISGLSSLTELDISDNNFDAELPSSMGELGNLTQLIAKNAGLSGNMPKELGNCKKLTVINLSFNALIGPIPEEFADLEAIVSFFVEGNKLSGRVPDWIQKWKNARSIRLGQNKFSGPLPVLPLQHLLSFAAESNLLSGSIPSHICQANSLHSLLLHHNNLTGTIDEAFKGCTNLTELNLLDNHIHGEVPGYLAELPLVTLELSQNKFAGMLPAELWESKTLLEISLSNNEITGPIPESIGKLSVLQRLHIDNNLLEGPIPQSVGDLRNLTNLSLRGNRLSGIIPLALFNCRKLATLDLSYNNLTGNIPSAISHLTLLDSLILSSNQLSGSIPAEICVGFENEAHPDSEFLQHHGLLDLSYNQLTGQIPTSIKNCAMVMVLNLQGNLLNGTIPVELGELTNLTSINLSFNEFVGPMLPWSGPLVQLQGLILSNNHLDGSIPAKIGQILPKIAVLDLSSNALTGTLPQSLLCNNYLNHLDVSNNHLSGHIQFSCPKGKEYSSTLLFFNSSSNHFSGSLDESISNFTQLSTLDIHNNSLTGRLPSALSDLSSLNYLDLSSNNLYGAIPCGICNIFGLSFANFSGNYIDMYSLADCAAGGICSTNGTDHKALHPYHRVRRAITICAFTFVIIIVLVLLAVYLRRKLVRSRPLAFESASKAKATVEPTSTDELLGKKSREPLSINLATFEHALLRVTADDILKATENFSKVHIIGDGGFGTVYKAALPEGRRVAIKRLHGGHQFQGDREFLAEMETIGKVKHPNLVPLLGYCVCGDERFLIYEYMENGSLEMWLRNRADALEALGWPDRLKICLGSARGLAFLHHGFVPHIIHRDMKSSNILLDENFEPRVSDFGLARIISACETHVSTDIAGTFGYIPPEYGLTMKSTTKGDVYSFGVVMLELLTGRPPTGQEEVQGGGNLVGWVRWMIARGKQNELFDPCLPVSSVWREQMARVLAIARDCTADEPFKRPTMLEVVKGLKMTHGMECGPLVVTVSRDM >ORGLA01G0358100.1 pep chromosome:AGI1.1:1:30060775:30064808:-1 gene:ORGLA01G0358100 transcript:ORGLA01G0358100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGRASAPAVVTVTASAAAPTPPPPPPPPPPPSQLPATDEPSHDPAALYGEGMWQQMTMSGSGTMQPGPYPERSGEPDCTYYLRTGLCRFGMSCRFNHPQDRNLAIASARMKGEYPERMGQPECQYYLKTGTCKFGPTCKFHHPREKAGIAGRVQLNTLGYPLRPSEKECAYYLKTGQCKYGNTCKFHHPELFNAMASSRGSPIYPSVHSSATAGPPSYTGTMASWAFPRGSFIPSPRWQNPSNYAPMIVPQGLVQVPSWNSYTGQMMPVSSSESRLQSPGAQQTYGTSQQVDASAGNQGMLSPYRSSSYPVPQYALQRENVFPERPDQPECQYYMKTGDCKFGAVCKFHHPRVRSMPTPDCVLSPVGLPLRPGEELCKFYSRYGICKFGANCKFDHPTMAPPMGVYAYGSASTNVPMVRRLVQSPSASAYTS >ORGLA01G0358000.1 pep chromosome:AGI1.1:1:30054288:30054971:-1 gene:ORGLA01G0358000 transcript:ORGLA01G0358000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRERMARTSWESLTLTAAGVAATGEDLRDAATVLLPGANDIPDRRRDVDIVRGSEAAKEERQRVASAKTQLMTRSLRMEMGALSVEAETEGSLLRETAALRAQAEGVVEEGRHGRGEEGGDEVVCAGVSWRRALVRGGRRHCAQAHMSAASRRKE >ORGLA01G0357900.1 pep chromosome:AGI1.1:1:30052326:30052793:-1 gene:ORGLA01G0357900 transcript:ORGLA01G0357900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTAPPSTFLFLLLFLLAASNCSATSQVGGAGEEVEVARMVPVVEGGGGFSAMVLNETRRRLGSFQLCAPCTCCGGGGGGGGSRGGGCILSPCCYAINCNIPNRPFGFCSFTPKSCDCLGCNL >ORGLA01G0357800.1 pep chromosome:AGI1.1:1:30046161:30048700:1 gene:ORGLA01G0357800 transcript:ORGLA01G0357800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWREGAGWCFCSGGGGGRSERVKAAIFSAKAAALAAVGGGHGGAGVLIHRNLLLTTHGNLPSAAAAEDAEALLGHARLAARLVPHRFFITSSILDLTIVGLDPAENETTLQAQQPHYLKTCCKPSLDHGSVVYLLGHTGKKELVIGEGKVVIGTDNLLKLSTDGVTWCPGSAGFDAQGNLAFMICDPMKLASSPTTRSSSTSSSSSHSSKKDHPMQFGVPISVVCDWLYQHWQGNLDEVSKPKLPLVRLMSSRSDHSSTSFTRRHVFKPADDENDDTSVSSQVTSKPKHQQASGNSANARISHDANPLVDLRANNEQGVSTPEIYESPRQSSCQGQKDAGSVQLLDINFPPSAPKTIFLPLPLKQMLSDENNADTSKPRNQSRDNGFPSGIIWHRNCEALSRDPPVAPLQDDCSSEGQSSSSPVELLEYRNEDHFSSEEETMYSAETMESRNIPSPREKHVGRSQSCVTYRRWSSPRMSTIQNGTLRKQHTLVPVRKSHSQNTSLPQRSHDYLSPTVSSAMKKRNSMEQQLPTKPRRSIAQTSPKWMF >ORGLA01G0357700.1 pep chromosome:AGI1.1:1:30039340:30040137:1 gene:ORGLA01G0357700 transcript:ORGLA01G0357700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAGSSSSARRGRRVAAAEPPRRALRMRASSPMYYTSDDEDLPEIVLTPPAPAPPRTGTRSLRMHASPPDYGRRRTNRPRRVARTRSPPVVQEAEREPPAPQQPAAVVVERVFYMVGSPPDVITATGEGPGGRTVAGFRCRRLTSTRTLVDGEDAAARAAAISGARALVMCSCHGAPFTHAEFLLHAGGTDLGRNVTGYPWLGDEMELTPPGAGGPHL >ORGLA01G0357600.1 pep chromosome:AGI1.1:1:30017972:30033115:-1 gene:ORGLA01G0357600 transcript:ORGLA01G0357600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO2 [Source:Projected from Arabidopsis thaliana (AT1G24706) TAIR;Acc:AT1G24706] MSPPLQAPDYKHVTEECLREWKGQPAAAAAFRVPDPVPMARFLYELCWAVVRGDLPPQKCRVALDSVEFVEEAQRGEVGSVLADIIAHLGQDVTISGEYRSRLVKMTKSFVESSLIAPRLLQERCEEEFLWEVELSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIGSDLACQNSSSVTMSIIKSLIGHFDLDPNRVFDIVLECFELYPDNTIFCQLIPLFPKSHAAQILGFKFQYYQRLDVNSTVPPGLFRIAALLVKSGFIDLDSLYAHLLPNDDEAFEHFDSFVTRKIDEVNIHFVLLKFMFLEIFAISRHCERKLYASNIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIDERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLARLNPVEHVEICDSLFRLIERSISSVYDIIFQTHYKMPRNPVYMMDTSPSSSQSFSVDPPKEFFQMLSACGPYLHRNTQLFQKVCRVLKAYHLSAKESIRTAGVMSPDSRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIIQQVEAYRDMITPVVDAFKYLTQLEFDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFWGHLYVLCKKHHSVELRSLFQYLVNQLKKDTGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRLQASSLFGSTRNSKVLTKSTNKLRDSLLPKEEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSTAVTPTTYVQLIPPLEDLINKYHIEPDVAFLIYRPVMRLFKSTNGGDTCWPLDDNEEGESVSCDDLILHPDTSHKSIISVDIIRMKKVKARQWMTLGSLEGWWSDLLSTVRNTFPAKTWNGLSPDLYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESHKHQQHIASVLQRLGREKVKWLNSSPDALKINMEFFQRCIFPRCVFSMQDAVYCAMFVQTLHSLETPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYRWKSDESLYERECGNKPGFAVYIRYPNSQRVSYSQFVRVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKISSIFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGLLDLKQGPAKIVPGNQSADPLTAKDQSVRAKSIEGRHERSEAAMKPDAQQKKNVLATNGSDNQMPSSSAQGKVSGVIRGSDEPPKLLSDEGVKVSKPTAESETRVPQKRVAHNAGKVSKHDMVKEDTKSGKSTNRGVTQQGSSVPVDKEVLSQAADGVQDTTSNSLAASNGNLHMAPRKQKRFVPTEEQDRGTKRRKGESEGRDGDFTEHTDKDKNLDSRLVDKFRSMDHEKSASEEQILSRPEKSKEKADDKYDRDPREKADRTERRRGEDIERPTDKSLERRERSIERMQERGTDRAPDKGREDRNKEERNKVKHAEPSIDRAHPSDERFRGQSLPPPPPLPASFVPQSVGNRRDEDTDRRGGSTRHNQRSSPRRDDKEKWHLEDNAASLQDDGKHRREEDIRDRKREDRDVSSSKVDDWDRDKSSIVKDESDPNSASKRRKIKRDQSGLEAGEYAPSAPQLPSHGTSSSQVFETRERERKGAISQHRISHADDLPRIHGKDSSKTSRREADQVHEREWEEEKRSRTETKRKHRK >ORGLA01G0357500.1 pep chromosome:AGI1.1:1:30015774:30016490:1 gene:ORGLA01G0357500 transcript:ORGLA01G0357500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSHHHHHHHHHRLHLHLDPRHHHHVHIRFCPHNHGHITPHPLAPAPAPAPAPAAHRTTPAAPWPLHAGAGPNDGPQGEATNEAAALHLEQAEEVILVGEEEEEEEEPVFVLTDEWAEFFAKSDAKRRLAKQQQKKNKGRKK >ORGLA01G0357400.1 pep chromosome:AGI1.1:1:30010902:30011829:1 gene:ORGLA01G0357400 transcript:ORGLA01G0357400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNKLGSFLRHSGLTSSASAGSSPAMFNAARLMSTKLFVGGLSWNTNDDSLREAFTSFGDVTEARVINDRESGRSRGFGFVSFANGDDAKSAMDAMDGKELEGRSIRVNFANERPPGNRGGGGYGGGGGGYGNQGGYGDGNRGYGGQY >ORGLA01G0357300.1 pep chromosome:AGI1.1:1:30009466:30009897:1 gene:ORGLA01G0357300 transcript:ORGLA01G0357300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLITGLSTAITCSSAVAFKGAAVAVVLLLRLVQLPGQLGCLLLCAIREAVERAVAAAFAAAGDAVAAAADAAAGAWRDAAASNGTAAVALVQAAMGRPEALLAAAGEVAAFAWEVAKQTASNSTATFLDAALFVARHARA >ORGLA01G0357200.1 pep chromosome:AGI1.1:1:30003893:30006953:1 gene:ORGLA01G0357200 transcript:ORGLA01G0357200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANGAACCGGATGPGYATPLEAMEKGPREKLLYVTCVYNGTGINKPDYLGTVDVDPNSPTYSQVIHRLPVTHVGDELHHSGWNACSSCHGDPSASRRFLILPSLLSGRVYVVDTLKDPRAPALHKVVEAEDIAEKTGLGFPHTSHCLASGEIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDYWYQPRHKTMISSSWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGSTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHEVAISIKPLKVRNWILPEMPGLITDFVISLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVWAGGLLQKGSEVVYVTEDDKEEQYSVPQVKGHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFYGQDLVKKGSHMLQIDVDTEKGGLSINPNFFVDFGAEPEGPSLAHEMRYPGGDCTSDIWI >ORGLA01G0357100.1 pep chromosome:AGI1.1:1:29994480:29999681:-1 gene:ORGLA01G0357100 transcript:ORGLA01G0357100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G41020) TAIR;Acc:AT2G41020] MDNSHEQPLPPGVGAWPPPPSIHPAKFQPNPQPYATPYGATPNNGSNHNAASYSAAAQPTMPFPNMDAGGAQSNQTAHEVSNHNDSAADIESAVQEAVLREQDIETQQVIQNQRQAKATIEPTQYGEDLLSNRRNPNALKEHLLKMTAEHRAEMANKRGKPLHADNGNVEIGNGYGVPGGGAYYAANMSSGQMNKPKDIADKAKGADDLPEFLRQRLRARGILKDEATNNSFTIKQNVDSPVGQIKAAQELPPGWVEAKDPTSGASYFYNQSTGTTQWDRPGAPLNTMQHQAPPSSSLPENWEEALDQSTGQKYYYNTNTQATQWEPPTAVNPGVAPHAPTNAAVEMAAQNTDIWNSQMQRCLGCGGWGVGLVQPWGYCNHCTRVQNLPFQQYPSYPNNTTHSSSNKNPGNVAAKDRSSAKPPFGKANRKDHRKRNRPEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTAAGPLFQQRPYPSPGAVLRKNAEVASHGKKRGMAPITKRGDGSDGLGEAD >ORGLA01G0357000.1 pep chromosome:AGI1.1:1:29987022:29993192:-1 gene:ORGLA01G0357000 transcript:ORGLA01G0357000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin family protein [Source:Projected from Arabidopsis thaliana (AT1G31730) TAIR;Acc:AT1G31730] MEQLRTIGRELAMGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIISRELDHLKRRLADPDVPRRKMKELLLRLVYAEMLGHDASFGHIHAVKMTHDESLPLKRTGYLAVSLFLDERHDLVILVVNTIQKDLRSDNYLVVCAALTAACRLIGEEAIPAVLPQVVELLAHPKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLYDLILEDPNSYKDLVVSFVNILKQVAERRLPTSYDYHQMPAPFIQIKLLKILAVLGSGDKQASGNMYMVLGDIFRKGDTASNIGNAILYECICCISSIFPNAKMLDAAAETTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEEHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIEYMINITDHHYKTEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNIRVAHNLMRLIAEGFGEEDEGADSQLRSSAVDSYLRIVGEPKLPSSFLQIICWVLGEYGTADGKYSASYIIGKLYDVAEAHPTDDTVRAYAISAILKIFAFEIALGRKIDMLPECQSLIDELSASHSTDLQQRAYELQALLGLDKQAVENVMPADASCEDIEIDRNLSFLNGYVQQAFENGAAPYIPESERSGVVSVVNYKAQDQQETSAHALRFEAYELPPAASQASISPTTDLVPVPEPSYYKEDHQMSRSQPSGDSLSGEFGLKLRLDGVQKKWGRPAYSSSTPSSSTSSQQATNGGVSSEVGGSTSSQARESTYGSKRQQATEVSAEKQRLAASLFGKADRKAQAGRKTAKESSSTEKVATANATPQPAKEQVIPSAPPPDLLDLGEPVSPSPPLADPFTQLEGLLGPASASPVVSETPASSTSKTPDLMSIFSDDVQTGVTSGSTEPSLGVNVVAAKKGPSLQDALQKDAAARQVGVTPTGNNPILFKDLLG >ORGLA01G0356900.1 pep chromosome:AGI1.1:1:29981373:29982029:-1 gene:ORGLA01G0356900 transcript:ORGLA01G0356900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSSGRSFSGSSYSNSSTDTYGSGGRQQQQQQYEAAAAGDKTSAKRQPPPPSRLKKTSGKNDDAATAAVVGYAASGGGYGGSTKANAGANYGGGGGYGYNGGYNAGSVTLYGAGVGTPYYGGGGGGGYTGGGSVPYGGGGGVPAGYWTPQNGARSPLYINTREVHVYGGPHGPYDGGDGYGGGGGSGERRRSGGFFRPAFEAVGHFFDRRFGFHSSD >ORGLA01G0356800.1 pep chromosome:AGI1.1:1:29978489:29979295:-1 gene:ORGLA01G0356800 transcript:ORGLA01G0356800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWKKSSGKSSSSSSQYYAGSDSSSFAGGGGDDRYGRAGSGNGRSPWTTSEEYPRYTSDDDNRSGSGSGSDDDDDHGDRNRRRGKKSNKKKSSSSSSSYKYDDDGGERDRSYSNYGGYGNNEGYSSSAPSGYNPYNNGGGYGGPSYGNGGGYGGSTYGNGGGYGGSSYGNGGAIVAGGGGGSAPASYGYGSNSGWGAPAPPRQEGGSGSAPTYLNVIYMTQPGSSSSQNENSGERRDNGGGGGGRNGLFGPTFQAVGGYMDRRFGFD >ORGLA01G0356700.1 pep chromosome:AGI1.1:1:29975153:29976037:-1 gene:ORGLA01G0356700 transcript:ORGLA01G0356700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWNNPYYDTSSYGAGSGGGGGGGGNRRSSSPPRGAGDKKETKTKDYQSYTSNNNNNGSDDDKDKNKHKITSSHKHKDDEKDRNNHSKDSHGGGNSSNYNKDSYGGNSGNPNNYYGSSTGVVAGSGSYYGGGAGAGGGYGGGNTSYGGSLSYGKDGGYGGSNSPYGGGNSPYGGGSSIIISGAAPIPHNNFGGGGTGWPVPPPPQDGGSGAAPVFIRAEEVKVIYHHTPPGHGSSSSSYSPSPKYESEGDRRRSGGAGSGSGSNSGGGGGGFFGPAFHAVGGYIDRKFGLDKD >ORGLA01G0356600.1 pep chromosome:AGI1.1:1:29970092:29972135:-1 gene:ORGLA01G0356600 transcript:ORGLA01G0356600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1NUM6] MGKRQQQRRLVRLAVAAAVVVAAALILTASAKKSGDVTELQIGVKYKPESCTLQAHKGDKIKVHYRGSLTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGERGSPPKIPGGATLIFDTELIAVNGKTTGGASNSEL >ORGLA01G0356500.1 pep chromosome:AGI1.1:1:29950352:29952494:-1 gene:ORGLA01G0356500 transcript:ORGLA01G0356500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGPPDQLPPATAPSCFLNLNWDQSMDAAAGGHLDPALSSMVSSPASNSTGALHGISPQPHYGGGTPLSSPPKLNLSMMGQFHHYAAPPQVGGGGGGGGGLPILENLMPMGHLDQFLADPGFAERAARLSGFDARGGGGGGGYGGAGPAQFGLPDAGAAGASKEMELGNTLDESSVSDPAPGGAEIPPKGASDGNARKRKASGKGKGKDSPMSTSAAKEDSSGKRCKSTEESNAAAEENSGKGKAAQSNSENGGGKKQGKDSSSKPPEPPKDYIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPNLLAKDMHQSCSPLQSSHFPLETSGAPLPYINQPQQGNPLGCGLTNGMDNQGSMHPLDPAFCRPMGSHHPFLNGVSDAASQVGAFWQDDLQSVVQMDMGQSQEIATSSNSYNGRIVANSPHENGALT >ORGLA01G0356400.1 pep chromosome:AGI1.1:1:29948297:29949759:-1 gene:ORGLA01G0356400 transcript:ORGLA01G0356400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRNNQVVSWGTGFVAAFELYDKYPEIETAMKIQDYLTASPADFFSTISMGIDNVMRYKKETALQQMRRSHGKKRGAKGETGTVHIHSHKQSIIKPCLRLLADNFYSRRDIQS >ORGLA01G0356300.1 pep chromosome:AGI1.1:1:29936798:29945917:-1 gene:ORGLA01G0356300 transcript:ORGLA01G0356300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G04240) TAIR;Acc:AT3G04240] MLSVRCGGGGGGAAAVEEHGAVRHHRPLTPRQQQQLRTVVESLRLDPLEVDEGARLEIARQSYRAGDYKAALEHCNAVYRANPRLLENLLLLGAVYYQLREFDMCIAKNEEAVAIQPNCPECFNSIANAWREKGDVDNAIQFYVHAIQLRPTFADAWTNLANAYTRKGNFSQAAECCHQALALNPHLADAYCNLGDVLKAQGLYREAYSHYLDALNIKPTFANAWNNIAGLLMQWGDFNKAAVYYKEAIKCNPAFYDAHLNLGNLYKVTGMRQDAIVCFQNAARAKPENAVAYVFSGNLGNAYHEQGQLDLAILSYRQAIHCNSSYVEAYNNLGNALKDAGRNEEAISCYQTCLALQPSHPQALTNLGNVYMERNMMDIAASLYMATLTVTTGLSAPYNNLAMIYKQQGNCNHAITCFNEVLRIDPMAADCLVNRGNTFKEAGRITEAIQDYFHAVTIRPTMAEAHANLAAAYKDTGLLEASIISYKQALQLRQDFPEATCNLLHTLQCVCDWDDRAEKFVEVEGIIRQQIKMSSLPSVQPFHAIAYPIDSTLALEISRTYAAHYSLVASRFGLPTFTHSYPVPISNDGRTSRLRIGYVSSDFGNHPLSHLMGSIFGMHNQDTIEVFCYALSQDDGTEWRQRIRSEAEHFIDVSSMSSDVIAKVINEDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGADYIDYLVTDEFVSPLKFSHIYSEKLVHLPHCYFVNDYKQKNRDVLGPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGEMRLRAYAISKGVRADQIIFTDVAAKNEHIRRSALADLFLDTPLCNGHTTGTDILWSGLPMITLPLEKMATRVAGSLCLATGIGEEMVVNSLEEYEERAVSLAENPLKLEALTNKLKAVRMTCPLFDTARWVKNLERAYLHMWNLHCSGRHPQHFKVLEDDAQFPF >ORGLA01G0356200.1 pep chromosome:AGI1.1:1:29934250:29934696:1 gene:ORGLA01G0356200 transcript:ORGLA01G0356200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:I1NUM2] MASKLYYAVAPLVLVLLLLAPLSSARLAAAAADDDDGQWPAGGGRGRKVGGRTDVEDVEGNREVQELGLFCVVEHNRRGGSATRGRGLVFSRVVAAQTQVVSGIKYYLRIAAQEADDELVFDAVVVVKAWVPSREMVSFVPAAELPGY >ORGLA01G0356100.1 pep chromosome:AGI1.1:1:29932381:29932857:1 gene:ORGLA01G0356100 transcript:ORGLA01G0356100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:I1NUM1] MVARCPVGVASVLLLIVLVTVASAASGARSGGGGSGGIRELRGGGAGRRVGGRTEVRDVEGDREVQELGRFSVEEHNRRRRSRDCGDVRLEFGRVVAAQRQVVSGLKYYIRVAAAEEGAAGQNGGEPRVFDAVVVVKPWLESRTLLTFAPAADSPNES >ORGLA01G0356000.1 pep chromosome:AGI1.1:1:29922296:29923177:-1 gene:ORGLA01G0356000 transcript:ORGLA01G0356000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIRLDDAVRARLRGDAGSSASSGSEHEASACLSGLVQAFLETEGAAAGEDGAVPASKGGEGYDSDDGDGPERAAAAAESVRELLDPPVEEDPFRVRLAAAVAAAMEAEPALRRYGAAFRRAVARRLRAAGYDAGVCKSRWEASGGITAGTYEYVDVVAPAARGQKSRYIVDADFRAGLEVARATAEYAVVVAAVPASVVVAREEAVGRAVRVAADAARRSLRSHGLHVPPWRKTRYMLAKWLGPYKRSTATSPSAAGAMPMPAAAAGMDVKCRAVGFFTPPPAAPAARIK >ORGLA01G0355900.1 pep chromosome:AGI1.1:1:29918053:29918816:1 gene:ORGLA01G0355900 transcript:ORGLA01G0355900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPWPIPCPVAPVPRRPARPRPGSHRRRGVTQCDIGRSAGAVLGLRGDGRGGGHLCPASTGNLLYVFSRLAHPAATVAFTMKPQYLLRRESSYYA >ORGLA01G0355800.1 pep chromosome:AGI1.1:1:29914118:29916739:1 gene:ORGLA01G0355800 transcript:ORGLA01G0355800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGRKAPAGAGGIRRWLSTVVVSVVALVLTLVVISLSVGSSLTGASLHEYLFVRPSDSSKLTDGNMNGTAVGVPLQEEVLQGGKEVPVEHGVQSGGVNSSETGEIDTKVQDPAVTDDTASVPDEGNLPVSSDSSDNLQKTNEGSCDLYHGHWVFDSSGPLYTNNSCPIITQMQNCQGNGRPDKDYENYRWKPEQCILPRFDGPKFLELMRGKTIAFVGDSVARNQMESLLCILWQVEAPVNRGSRRMSKWIFRSTSTIIVRIWSSWLVHRSTEAVGFAPKGIDKVFLDIPDETFMEFIPRFDVLVLSSGHWFAKRSAYILNGNVVGGQLWWPHKAGNMQINNVDAFGISVETCLTALATNPNFTGIAIVRTYSPDHYEGGAWNTGGSCTGKTKPLDVVVRNGFTDTMYGKQVSGFTKAVQNSGKHGSRLKLMDITEPFALRPDGHPGPYRSTDPNKKTQRGPDGRPPPQDCLHWCMPGPVDTWNEMLLETIRREFEGVRS >ORGLA01G0355700.1 pep chromosome:AGI1.1:1:29908465:29911452:1 gene:ORGLA01G0355700 transcript:ORGLA01G0355700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPAPSSSSPRRGGRGLAYLLVSVLLLASRVPGAAGADSEFEDGVSPKFPGCDNPFQKVKVTYWVDGDERSSLTGITARFGEVLPATGSDGDKRKAVVPAPKTGCAKSSAPLASSIAVAERGECTFLEKAKTAESGGAAALLLINDEDDLQKMVCTQNDTVPNIGIPVVMVSQSAGRKILSGMDGGAKVDILMYAPEKPSFDGAIPFLWLMAVGSVACASVWSFVVVGDEDKNAPTLGGEEAADSEIVELQTKTALVFIVTASLVLLFLFFFKSTWSAWLLVVLFCLSGLQGLHYVASTLIVRACDRCREAKVALPVLGNVTVVTLVILPLALIFVVVWAVHQNSPFAWVGQDLMGICMMILVLQVVHLPNIKVATALLVSAFMYDIFWVFISPFIFKKSVMITVARGSDEGPSLPMVLKMPKEFDTWNGYDMIGFGDILFPGLLVAFSFRYDRANGKDLTDGYFLCLMIGYAFGLSCTYVGLYLMKSGQPALLYLVPSTLGTIVTLGAKRGELSQLWNAKV >ORGLA01G0355600.1 pep chromosome:AGI1.1:1:29905372:29907141:-1 gene:ORGLA01G0355600 transcript:ORGLA01G0355600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPPPHALATLLSRLRACSSASHALQCHALLLTSGHLAASPARLSNLLLLALASASTSPSAADHADSVFAHLAEEASRHAFPWNTLVRLHAAASPRRSLLYFSRMRRAAVAPDAYTFPAVLKACGCAPGCRVGLVVHGEAVRTGLDADLFTRNALISFYCRIGDCRSGRKVFDHGVRDLVSWNSMVAGYVGCAEVDLAQDLFDEMRQRDAFSWATMIDGYGEMAGGVDRARELFDQMPDRDLVCWNSMIDGYARHGRMDEARVLFEEMPERNVISWSIVIDGYVRFGEPNEALEFFQRMLRCGIKPDRVAAVGAVAACAQLGALEQGRWLHSYLEKKKVLFDVVVQTALIDMYVKCGRLDLAKLIFESMPKKSVVTWNVMIVGLGTHGYGLDAIKLFNQMETERAPMDDLSVLAVLTSCTHAGLVSEGLGIFYRMEKDLGLEPKVEHYGALIDLLGRAGRVDQARNTIETMPMEPTPELWGSLLASCRSHRCVELAELSVERLASLGADDSGVYVLLSNIYADEGMWDDVFRIRKLMSAEDMKKNIGRSVIEVDGQIHEFVNGGSSHPHKEEIYLTLWNLSNIAASI >ORGLA01G0355500.1 pep chromosome:AGI1.1:1:29901182:29902091:1 gene:ORGLA01G0355500 transcript:ORGLA01G0355500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANACPTSTTSSLPLFFLFCFLLFSHARCNQGHHGSISGTDYGEQYPHQTLPEEHIHLQENIKVLNKERLPKYARRMLIGSTAPICTYNECRGCRFKCTAEQVPVDANDPMNSAYHYKCVCHR >ORGLA01G0355400.1 pep chromosome:AGI1.1:1:29900897:29901025:-1 gene:ORGLA01G0355400 transcript:ORGLA01G0355400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGICISLCHTMQTVLYSEKGSSGDCGDHQMAHLPRMHAHTG >ORGLA01G0355300.1 pep chromosome:AGI1.1:1:29895516:29895827:1 gene:ORGLA01G0355300 transcript:ORGLA01G0355300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVSAVVLVALVVVAAAAGGAAGLSMCGVDRSAVALCRSYCTVGSAEKAPTKECCKAVANADFQCLCDRRDMLRNLENIDADRATQIPSKCGVPGASSTCK >ORGLA01G0355200.1 pep chromosome:AGI1.1:1:29892772:29893107:1 gene:ORGLA01G0355200 transcript:ORGLA01G0355200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKKAAVAVLLVVMVVVSLSAVAPATAAGAPFMVCGVDADRMAADCGSYCRAGSRERAPRRECCDAVRGADFKCLCKYRDELRVMGNIDAARAMQIPSKCRIKDAPKSC >ORGLA01G0355100.1 pep chromosome:AGI1.1:1:29885875:29887211:-1 gene:ORGLA01G0355100 transcript:ORGLA01G0355100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALDDCKWRQIPAFGDWNIWDDMPVTQYFESGTFFFTAQAEKDEDLFKVPQFPANPYNYKKCVVRVKGEKENANANANAVRVRKGGRKQQYLNEQQKWKPKTAVDEDLYKISPKLICRVKKKKLLRNLLGGCLGVNCIA >ORGLA01G0355000.1 pep chromosome:AGI1.1:1:29883742:29885175:-1 gene:ORGLA01G0355000 transcript:ORGLA01G0355000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKISIALIANPQTRATTYKKRKAGLIKKAGELATLCDIPVAVVCAGPDGGAPTVWVSPEGGDAIERYRVLPAEKRARHTHVAYLQEELDKERAKLARLRQKGRPGELDPPDAVLDGMSQDELQQLLASIDATLLATAKRREALGLLPGADDDADGGGRRRDADGTNSVGVHGYQHQEVHAPATCDPFHPYNAGVTLMQPGYNNAQYMGGHGAVDMSGYQLQMQMPGNGSNNHSRLAWGGFQPCNATFVQPVYGNLQCWDNNVVDGNGEPCDAIVPSAGDPYMDIAGNDVYGNQMQPAPATNGGWHDPGTWGYDGGEPCKAIVPSSGDPYMGIGVYGNQMQPAPAPAANGCWHNPAGTWGNDGEPCNAIVPSAGHPYIDIECDIDGNYIDTIVFDYQTTSTSDNFMDAPVQFIVTGSDESIVTNVAGCDETEFSIDDLLQCSDASQHSSGLEELHYLSDLADGFDFGCNFDVLLD >ORGLA01G0354900.1 pep chromosome:AGI1.1:1:29881402:29883062:1 gene:ORGLA01G0354900 transcript:ORGLA01G0354900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05600) TAIR;Acc:AT1G05600] MVATPVRWPRVLTPAHLAGAIRRQKSPLDAVHLYADAPRRYPRSSYRHNDAVHSSLLAAASASSSDLLPSLLRRILPSSPSADSLLAASIPHLPPAAAVSVFRSSLPSSLAPSWSRSFSALLRRLLSDGLLPEAARLFADFAGRPEVSLASEDLTSLITGLCRARRPELALQVLDEMSNQCLAPEKDAYRAIVPALCDASMLDEATHVLYSMLWRVSQKGCDEDVVVYRALLVALCAAGRGEQAEIVLDKVIRKGLRSSGSRRSLRVPMLAGLSIEDAQEIIDQALVVRGGRTVASFEVMVIDLYDEGRLNEADNLFKEMGKKGFKPTIYMYEAKITSLCREGRLDEAVKVLEEELPKNDLVPTVTTYNLLMRGLCNSMQSMRALRYLGRMDKQLGCVARKETFSILISGLCSESRFIDAAQIMERMVKGHHRPEAGEFNNVIEGLCSAGRTYDALLWIEEMIDHGETPDVHVWSSLVSAALGLGEGLTTAATSKRNICKLIISACSNNIS >ORGLA01G0354800.1 pep chromosome:AGI1.1:1:29878693:29879996:-1 gene:ORGLA01G0354800 transcript:ORGLA01G0354800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDKEKEHEQTASGRNPDVNDVEEEEEDEEGNKRAVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVLNLTILSPDRPDLVLPIPFVPDEKGYAFALKDGSTYSFRFSFIVSNNIVSGLKYTNTVWKTGVRVENQKVMLGTFSPQLEPYTYEGEEETTPAGMFARGSYSAKLKFVDDDGKCYLEMSYYFEIRKEWPTIQ >ORGLA01G0354700.1 pep chromosome:AGI1.1:1:29873690:29876053:-1 gene:ORGLA01G0354700 transcript:ORGLA01G0354700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLSRLRFAPLSHHHHRHLGRALSAAAAADPPPDESPPPPHPPPPPTPPSNSKLFVAGLSWSADERSLTDAFSSFGTVTEDGVRGRCSMKRLGGGSGCSARARPRQMFDEMPVRDLVACSAAIYWHAKSGLFGEAVRLFVGMMRVGVSPNSFTLVGALIAAAGMGNLVLAECIHGWAMKSLLESNPFVRTALLDSYAKCGRPMKAWALFGEMRDPGIVTWNALISGLVHNDLFEEALLVFKRLLFSFGPVHNVVTMISIAQASAGCGDLGLCESAHAYSVKIGLDSDVSVTNSILGMYLSFGSLAIGREIFKKIAVNDVVSCTMMMGFLLEEAQAIEVIRMFVQMRLSGIVPDRVALVTVAQACAHLGDGRIGKLVHNEIVIRGFSGELPAVNSLITMYSKCEDLSSARLLFDGTMEKSLVSWTAMVSAYIENGYSLEGMYLFAKMRHEGSFMIDSVTLVTLLLACYEVAKFELCIQLHAYCYKLGLCLYKPVLNTLIAVYGKCGYATLAHKVFDEMISRNAVSWNTMILSYGVNGQGEKAVALFNEMEKSSEDQDSVTYLNTLLACSHSGLVDDGMLVFRRMVNDKGIIPCPEHVGCIVDMLARAGRLDEAAGVASLTHNKLGANAWKALMGGGHLHGDMEFTKVAAEKVLTTESFDYGHVVLLSNAYASSGKYRAAESVRSCYAKRITRKTLGLSSIEIVPYSRR >ORGLA01G0354600.1 pep chromosome:AGI1.1:1:29866916:29871418:1 gene:ORGLA01G0354600 transcript:ORGLA01G0354600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGAVENGGVVGGEVVAGKRGKKPQGWKCMPFIIATETFEKVATIGVVANLTVYLVKRFNIGQIEAANITNIFFGTLNFAPLLGAFISDAYLGRFKTLAYGCFASLLGMLGMTLSASLPALKPPICHEKTRLGGGCNSPSTLQLSELYLSLGFLIIGGGAIRPCSLPFGVDQFDKTDEEGRKGLNSYYNWYYGTSTAALVLSMTVIIYIQNNVSWPIGFGIPTLLMFLAIIMLFLGTNLYVHVQPEGSIFAGIAQVLVASFKKRNLKLPCPHDINQQGLILYNPPSKGNRVFRLPLTSQFRFLNKGAIVMGDDINVDGSARNSWELCNVQQIEEVKCLIRIVPVCISGVLCFVALAQQFTYIILQTFTMDCHFGTHFEIPAGSVVSISLIALTLFIPIYDRILVPIARRFTGVESGITLLQRQGIGLAISPISMVVAGLVERKRRNSALSNGGRSPMSVLWLAPQLVLMGIAEAFNAVGQIEFYNKQFPENMQTLAGSLFFCTIAGANYLSTALANIMRKVTTRDGHSSWLTDNINLGKLDYYFYFIALMGVLNLIYFLICSHFYQYKVMSLHSEESIKVPTKEEEATEIDIDTDAPSK >ORGLA01G0354500.1 pep chromosome:AGI1.1:1:29858820:29859424:-1 gene:ORGLA01G0354500 transcript:ORGLA01G0354500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSWWVVMLLMVVAAAGWGGVAAATAAEAAHEVLRAHGLPRGLLPAGIADFRHDEGSGRFEAALGESCTAQFEVGLRYNATVAGVISYGRIASLSGVSAQDLFLWFPVRGIRVDVPSSGVIYFDVGVVFKHFPLAVFEAPPPCTPDPLLLLTQAGQVREDGSVAGGGAASQ >ORGLA01G0354400.1 pep chromosome:AGI1.1:1:29853895:29855496:-1 gene:ORGLA01G0354400 transcript:ORGLA01G0354400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHGGDPRLDRLSRALTSDHPPPAAAAVHAHLVRAHAGTPPPVIRSLLNRAIRRLSKPHPRAALRLLLLMPRLPVSPDHFSLPFALNAAASLRLLPLGASLHALALRLALLPGRLPVANALVDLYAKCDDLPAAHTALADIAAPDAVSFNSLLCAHARLASVPDAESLFAAMPSRTQVSWNAMVVVYVNAGDVSSARRVFDQMPTRDSTSWSVLIVGYCKCGSMRSAREVFDRMPAKNLVAWTAMINGYAQSGVPKESLTLFREMEAAGIEPDAATMVGVISAASQIGSTELAGWVGSYVDKKRIERNDKVLTALVDMHAKCGNVDEALSAFREIAQPDAYPYTALISGLAAHGHAKLALKVFERMQAQSVWPDPITFVGVLTACSHAGLVDKGLDYWEAMVKYYGMERRADHYACVVDMLGRAGRLEEAFEMVQTMPMGPHPGALGALLSACKTHGNVEIAEIVANKLFELEPHNTGNYIMLSNIYAEKEQWEEAERIRSVMRTRLPFKQPGSSWVEDRQRERGRFPLRS >ORGLA01G0354300.1 pep chromosome:AGI1.1:1:29851658:29852537:1 gene:ORGLA01G0354300 transcript:ORGLA01G0354300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSVSSSHGPASSPAISTCRPAACGRFPALLGGGVASQRRSLTVVSGPETRAVIPVRSSGSDTATVGAEAEAVAVTGQVTEVNKDTFWPIVKSAGPKVVVLDMYTQWCGPCKVMAPKFQEMSEKDQDVVFLKLDCNQDNKSLAKELGIKVVPTFKILKDGKVVKEVTGAKLDELIQAIETVKSS >ORGLA01G0354200.1 pep chromosome:AGI1.1:1:29846738:29847714:1 gene:ORGLA01G0354200 transcript:ORGLA01G0354200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHSKLRASEHHDHELTLTAGKESFRCDGCKEHGYHMRYVCKLGGCRGGFHLHEACAQHRFGDSYQDPFKRYSLVFHKSLPSTVQDDVRCDGCGGNIFRPQIKRFWLHRRKL >ORGLA01G0354100.1 pep chromosome:AGI1.1:1:29837647:29840436:-1 gene:ORGLA01G0354100 transcript:ORGLA01G0354100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYTEILDTNHHEHKVCLVRKDEPFICSGCKELGFELRYACHTARCNHQYHRSCILQPLNTRVPAPFYKHDFFFFKSVRGYVYYCPDKKVSLHPCCADLPRVITTETVQLKLERKITKKCGMCHERNQGSFSNPWAYASSEKMIQLHVACVRKALVSQFESRLYGVQKPKMLLPPPPAAGASAASSTSSSTAIVECNSFPVLEVDKYRRKSAGFLDTFRRIVRAVMAMVSAVITGNHLEIYMAFIEFFKPN >ORGLA01G0354000.1 pep chromosome:AGI1.1:1:29834630:29836654:1 gene:ORGLA01G0354000 transcript:ORGLA01G0354000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2358) [Source:Projected from Arabidopsis thaliana (AT1G65230) TAIR;Acc:AT1G65230] MATATATAAALFSSRTLSPSSSPRRRRRRGIPAAVTGFLSRRHAPALQRRLAPLHVVDDSKEVETAAGDGAEERSQTDKLVDGMDFGELCNDFECISSPYVEATARQLARDILDLRDDNRAFTCYAVSVKYKDPVRTFVGREKYKRPLWITKALENPTVTVQEMSMQSTSNLTIKWTFRGKPKNPIFATIGGDLIVSVTSQFVLNQISGQVLEQVDSWDLSASSPPAQAYFWLSRRAFSTVEAGKDTIEAAKGTASRLSSKKDENLEVYPDPSGDPTKFFQRPDDGLNQDVYQIALFLAVLYFIVQFLRTTL >ORGLA01G0353900.1 pep chromosome:AGI1.1:1:29829897:29833634:1 gene:ORGLA01G0353900 transcript:ORGLA01G0353900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGEEAESSAAGEQRRMPFARGGPVFVPFMVGPVSTVPEFMSSALHELQSLKDELGDPGDEFDEELCVDELRVLSEEELVERALREAMEEGWDSTALSQLEDQRIDGGMSASSTFGNGAITSSSSGERETSRSPTEDTASIPHVHGNNGKTRGVKGETRGRKRKNVTPTLNSSVETEIPGPSVDTAVVPYVPQQGIEGKTGGMKGKSRGRMKKGGNTTSNSLGENLEVVSHESVPPVEDLEVVSHDAAGADGDTKCGKGKKRGRHFDREVRAHILQGSYLTKAVKMAEIKAKQEEDKHAASLHSFSGDSVLAKVSKPSAEKVDVAKSLRYISTTWKSQEFLVLGSQLLTDLRDNIYCFKDKLMNVAKQHVHSGYFLIEDTFYNDTRRSTVDYSKPILDWIKNSRNEAEEKWDAITSGVLKKRQKDLLMGLNVSNVPDFKSAKMEKTRFSDLNFRLGAGYLYCHQGNCKHMIVIRDMRLIHPEDTQNQAEYPLMTFQMQRRLQKCSVCQIFHATKMTVDDKWTLNNPCYFCDKCYYLLHYKEDNSLLYHHTVYDYLQE >ORGLA01G0353800.1 pep chromosome:AGI1.1:1:29827206:29828134:1 gene:ORGLA01G0353800 transcript:ORGLA01G0353800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGGGGGEARVTPAVAGEQRRAALEMRKERLVRKASSLATRCDVPVAVICPGVGAGGEPTWWPSKEEVWAIATRYKSLPEKDRRKHSVDNASYRENQAAAKQGPGGGGGELAMAAAQVDGIAAMPDVELLELLRSIDVSLAAASDTIQKAADEAEQSVSLERAHAHAHAGALMVDSQEDAAPPPAASGNGVAYDGEHINLGGXMIEHNRFEAIWREHAIPPPQSLLPDDDGGEPLRLWSFDDGETVVIXTRWKKPLRGGDDQSSLDFCVDEILDKLMDFRSIL >ORGLA01G0353700.1 pep chromosome:AGI1.1:1:29815183:29815794:1 gene:ORGLA01G0353700 transcript:ORGLA01G0353700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEELRELLRSIDCSLAAASHAIQKAADEAEQKLSLQRAGTLMAVDSSSQDAVSPHAAPMDMGDEVQGAQPPPDRWFEEEREPVICSKKKPEPCSAPPPPPPPANRNGVAYEAEQRRACALMVDSQEDAAPPPPAAASGNGVADDGEYINLGGYMIERNRFEAIWREHAIPPPQSLLPESLPDDDGEPLRLWSFDAGERVIL >ORGLA01G0353600.1 pep chromosome:AGI1.1:1:29810219:29814528:-1 gene:ORGLA01G0353600 transcript:ORGLA01G0353600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF185) [Source:Projected from Arabidopsis thaliana (AT1G04900) TAIR;Acc:AT1G04900] MAPPHMASVPAALRRAATLARWYPAAMFSSWIVPENKPILVRDFVRSALYDPNHGYFSKRSGPVGVLDSSIRFNQLDGRSAYMQYLDKLYKKHDIAWFTPVELFKPWYAYAIAASILRTANLSVPLKIYEIGGGSGTCAKCILDYMMLNAPPKVYNTMKYISVEISSSLAEKQLETVGEVRSHLSKFMVECRDATDRAGWGRKDPRPCWVLMLEVLDNLPHDLVYSPDQVSPWMEVWIEKVNGSSQGSEVYKPLQDPLVSRCVEITGINEEKVSVRDKLSLAAKGVVSKVFPKPRRAWLPTGCLKILDTLHQALPSMSLIASDFSYLPDVSIPGDRAPLVSSKKDGRTLDHRNYLEAQGDADIFFPTDFWLLEKIDHDCSGFSKQQKNPGAFKPVKTRRTIILDSAAFMEEFGLPLKTRTKDGYNPLLDDFRNTKFYLSVPTHNKK >ORGLA01G0353500.1 pep chromosome:AGI1.1:1:29803159:29809302:1 gene:ORGLA01G0353500 transcript:ORGLA01G0353500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BLISTER [Source:Projected from Arabidopsis thaliana (AT3G23980) TAIR;Acc:AT3G23980] MASAQVSSSSAAAGAASSSSSRRKDLHAGKKLLAEYRKKKNKAAKKAAETADQATAPVPDTLENPPPNATSTNSGDKLVSDVDVNTTSTSSVPPVYENGPTSYSRGDEPLSNGPAPVNVPVSVSNPAPSHDVSSVGGSKIYGNLSFSDLVNGHNEDWRGDAARKREEHSPDKDVTLKSKLSAFGNSDEVSHSSDEVLLSQGRNPVLREVSDTEQSSSYSSGSLFGKLEGTQSQGYSANNDIFGRFRATSKDSSQADGLAYASSREYGSAFNNSWNTSNSTPVSFDKQDPFMSSGYPTTYNRSRPSFLDSIGVQRAPTTEVPYAEPAKASKPFDNSNSESSFFQPPNQQSAGSNDVDGSLKSGRQEYNNEKGSYGNSIRTDSFPSKDERNLHHSTQMFQNFTIPGKDDDFAALEQLIEDLTKEKFALQRTLEKSQELAQTLATDNSALTDKFNQQAQVISNLTSDMERLQNEIQAQLLALESVRTEYANAQLECNAADERAKVLAAEVILLEDKALRLRSSELKLEKEIEGLSSEVSSYRRKVSSLEKERQHLQSTVEALQEEKKLLHSKLRNTSVTEKVNIIEKPSADKRDASTATEDLDTGESSSSETLTSAIDTVEDGETSVSRSNNVADFTYLEEVSSSIPHDQLRMIDNINSLMSELAVEREELLRALRIESSNCSKLKELNKDLTQKLEIQTHRLELLSSQRMANENVLPKPIDTRSINDATMYADEGDEVVERVLGWIMKLFPGGPKRRTSKLL >ORGLA01G0353400.1 pep chromosome:AGI1.1:1:29796275:29799691:-1 gene:ORGLA01G0353400 transcript:ORGLA01G0353400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein [Source:Projected from Arabidopsis thaliana (AT3G24650) TAIR;Acc:AT3G24650] MDASAGSSAPHSHGNPGKQGGGGGGGGGGRGKAPAAEIRGEAARDDVFFADDTFPLLPDFPCLSSPSSSTFSSSSSSNSSSAFTTAAGGGCGGEPSEPASAADGFGELADIDQLLDLASLSVPWEAEQPLFPDDVGMMIEDAMSGQPHQADDCTGDGDTKAVMEAAGGGDDAGDACMEGSDAPDDLPAFFMEWLTSNREYISADDLRSIRLRRSTIEAAAARLGGGRQGTMQLLKLILTWVQNHHLQKKRPRTAIDDGAASSDPQLPSPGANPGYEFPSGGQEMGSAAATSWMPYQAFTPPAAYGGDAMYPGAAGPFPFQQSCSKSSVVVSSQPFSPPNAAAAGDMHASGGGNMAWPQQFAPFPVSSTSSYTMPSVVPPPFTAGFPGQYSGGHAMCSPRLAGVEPSSTKEARKKRMARQRRLSCLQQQRSQQLNLSQIHISGHPQEPSPRAAHSAPVTPSSAGCRSWGIWPPAAQIIQNPLSNKPNPPPATSKQPKPSPEKPKPKPQAAATAGAESLQRSTASEKRQAKTDKNLRFLLQKVLKQSDVGSLGRIVLPKKEAEVHLPELKTRDGVSIPMEDIGTSQVWNMRYRFWPNNKSRMYLLENTGDFVRSNELQEGDFIVIYSDIKSGKYLIRGVKVRRAAQEQGNSSGAVGKHKHGSPEKPGVSSNTKAAGAEDGTGGDDSAEAAAAAAAGKADGGGCKGKSPHGVRRSRQEAAAAASMSQMAVSI >ORGLA01G0353300.1 pep chromosome:AGI1.1:1:29784803:29789381:-1 gene:ORGLA01G0353300 transcript:ORGLA01G0353300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transporter associated with antigen processing protein 1 [Source:Projected from Arabidopsis thaliana (AT1G70610) TAIR;Acc:AT1G70610] MPTPAALLLTTATGSAALSVGVAAVGPRAPSLLLRSASATRRAPGRARPTRIRAAAAIGGEFGGLAQRRALAGEFIERLRNVLPGGSWWRLEDGEEAGGGRAEASGATAASALRRMWALVASDRWVVFVGFASLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVVLCLISGVFSGVRSCCFGVANMILVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQGVGALIYLLVLSWPLGMCTMLICATLSTIMLVHGRYQKRAAKFAQEFTASANNVAQEVISLVRTVRVYGTEKQEFKRYAKWLDKLYDVSFRQTMAYGGWSLSLNYLYHSTQVIAVLIGGISIMAGKLTAEQLTKFILYAEWLILSTWWIGDNWSSLMQSVGASEKVFRLMDLLPSRQLSSEGHRLQKLEGQIQYADVSFSYPSRPTVPILGGLNLTLHPNEVVAIVGLSGSGKSTVVNLLLRLYEPTDGQILVDGVPLSELDIRWFRERIGFVGQEPRLFRMDISSNIRYGCPREVSNEEVEWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRDPSILVLDEATSALDAESEHYVKNVITKFSTDPKARRTVIIIAHRLSTIQAADRIIVMENGNIVEDGKHSELINKHDGLYSRLARRQNDALD >ORGLA01G0353200.1 pep chromosome:AGI1.1:1:29777154:29783853:1 gene:ORGLA01G0353200 transcript:ORGLA01G0353200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribophorin II (RPN2) family protein [Source:Projected from Arabidopsis thaliana (AT4G21150) TAIR;Acc:AT4G21150] MAAAGGLPASATLLLLVIAAVAVAPLASAVRPVSDAHRSAAAELFAASPDGSFGDLETTYEAVRTFQILGVEKDKGLIGKACKFAAEKLASSSSSPAKDLFHAARISGVLKCSVDSGVYDDVATRLKAVIKDTNSLLELYYSVGGLLSIKEQGHNVVLPDADNTFHAIKALSQSDGRWRYDTNSAESSTFAAGIALEALSAVISLADSEVDSSMIAVVKNDIVKLFDTIKSYDDGTFYFDEKHVDAAEYKGPITTSASVVRGVTSFAAVASGKLNIPGEKILGLAKFFLGIGLPGSAKDCFNQIESLSFLENNRVFVPLVLSLPSKVFSLTSKDQLKVEVTTVFGSAAPPLRVNLVQVLGSDSKVITTETKELQFDLDNNVHYLDIAPLKIDVGKYSLVFEISLQEQEHETIYATGGTDTEAIFVTGLIKVDKAEIGISDNDAGTVESVQKIDLQKDTSVSLSANHLQKLRLSFQLSTPLGKTFKPHQVFLKLKHDESKVEHLFVVPGSARQFKIVLDFLGLVEKFYYLSGRYDLELAVGDAAMENSFLRALGHIELDLPEAPEKAPKPPAQAVDPFSKFGPKKEISHIFRSPEKRPPKELSFVFTGLTLLPIVGFLIGLMRLGVNLKNFPSLPAPAAFASLFHAGIGAVLLLYVLFWIKLDLFTTLKYLSFLGVFLVFVGHRALSYLSSTSAKQKTA >ORGLA01G0353100.1 pep chromosome:AGI1.1:1:29769760:29773755:-1 gene:ORGLA01G0353100 transcript:ORGLA01G0353100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63120) TAIR;Acc:AT5G63120] AYEPRRVSPPSPKDHDTWAIATDLARPHTLRILTHQNQTTGHLAPPHAAFLPDPNLTRRLPFPSSSSTPTAAAPPDSGEPSRARARTETYRTGDMNPYDLRYADPSSYRDRRSDLAGAPVLAASAPAAANPYAAAYAPAPAAPVAPAGADFSRFGGRGRGGGAGGGGWGRGGGGGAGGYRGGGGRGGGRDALDSLSLPKPDFRSLIPFEKNFYVECPAVQAMSDMDVSQYRRQRDITVEGHDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDMIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQQESGKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEGGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVESLARQFLQNPYKVIIGSPDLKANHSIQQIIEVISEHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKVTRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLDVKDIKCVINFDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHSNAKFSRNLVKILREAGQVVNPALESMAKSASSMGGGNFRSRGRGGFGNRSGSNSIPIRGRRPY >ORGLA01G0353000.1 pep chromosome:AGI1.1:1:29766116:29768201:-1 gene:ORGLA01G0353000 transcript:ORGLA01G0353000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/Utp3/C1D family [Source:Projected from Arabidopsis thaliana (AT1G07840) TAIR;Acc:AT1G07840] MDSGGTASPAGVAGDGAGGGGSVFRGDDAPKLLAALKEMKEGLDLVTGKVKALTRKVKKNQLPTADGIGYLEAKHHLLLSYCQDIVYYLLRKAKGLSVEGHPVVRSLVEIRLFLEKIRPIDKKMEYQIQKLTNAADSGAAQEKVLNAEAKSKDQPKDDEDLLKYRPNPDMMDTKIDPAGQDNDGIYRPPKFIAATMDDEDKRHKQASRKDKALVRMATESSYFKEIIDDAADRPEELKETAGDESREFTRYMRQRELQEKQEEELFTRAPLTKRDKQTEKRMRKELHGLRGLTDGFDLGINMFVDGDKDNDVGSTEPHFKSGGRRKHHKGKRKRH >ORGLA01G0352900.1 pep chromosome:AGI1.1:1:29764270:29764551:-1 gene:ORGLA01G0352900 transcript:ORGLA01G0352900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYQEVDYCSEEVRSVTPTGGFLGRGGVQQQHVVKETFQEIDRSGSGRHHHNHNHNHGNDYLMVRETKVEEDFNTCTGEFRERKQSFLLKSD >ORGLA01G0352800.1 pep chromosome:AGI1.1:1:29760955:29761263:-1 gene:ORGLA01G0352800 transcript:ORGLA01G0352800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYYSEVDHCAEEMNRPPHAGGEHYAVRRESYEEVDEMARAGRGHHHGNGGGGGHLGYSGSRHGDAHLGGHREEHLVHGDEHRHGHGGERQYDSCTGQYYG >ORGLA01G0352700.1 pep chromosome:AGI1.1:1:29751788:29753008:-1 gene:ORGLA01G0352700 transcript:ORGLA01G0352700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRGNGGYQYGAYSYGYGYSKPQVNYHSQSSESVTTVVTKMDEMSVHENAVQKMSSSFREEKVYEQQGGAGGDVQVCRNGGAAAGAVQKHTYEEKKEEEVYEESNHGGGGGGCGGYARQHGAGGGQKHAAYQHEVLKGYESAGGGYAAARHNGAGAGGVKKQYSYREEEEYDAGGYARHNGGGVQKQYSSYRRDKEECDAAAGGGYGRHHGAGGGAVKQHATYKQHQAIEGVNGCGAGGYNYNRHQAVAVAGGGQHHYGGATAAAYGNASNKQHFTAAAAGHHSSGGHTQYHHQSYECEEEDSDEDDCEDDDESDDDDDDGHCPPSRQGSVHSYHQAAYQHEEKQHAGGRNHYHAYERHEEHGGGAQRYQKYESSTQVGYAGGGGGNGGCVRGNAKPCFRIMA >ORGLA01G0352600.1 pep chromosome:AGI1.1:1:29747439:29751161:1 gene:ORGLA01G0352600 transcript:ORGLA01G0352600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLAILGRSSGLPRSNEGMRLLFSAVIGVMLGYLFGISFPTVNVTKLHFPSSIISYIEDKDSGITTQTLLNHAWTSANSKKRNNSESNSDEIPKIYVPTNPKGAEGLAPVIVVPETDLYLRRLWGEPSEDLTSQPRYLITFTVGYSQKANIDAAVKKFSENFTIMLFHYDGRTNDWDEFEWSKRAIHVSVRRQTKWWYAKRFLHPDIVAPYDYIFIWDEDLSVQHFNAEAYIKLVRKHGLEISQPGLEPDKGLTWQMTKRLGDQEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGNQGTAENGRTPWEGVRARCRKEWGMFQKRLADAEKAYYLGKGITPPN >ORGLA01G0352500.1 pep chromosome:AGI1.1:1:29743838:29746431:1 gene:ORGLA01G0352500 transcript:ORGLA01G0352500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYSLGIVSSSSCTCHLCSCKANKLSYTSNLPGRGKLVNHITMWELLFWDLLGREKKKMKKRRELLKIRGNLTAEANHGRYMCMAVAPVKLKENSIAPWIIHRTDFLRKMHSHVICDLQEEGQRLDFYVEEKELISEVSVPGSESTLRISTEVCRAVLFKIIKRFQSMHSAGFSLGGFEHKILFVTSDFEVKIGGISRVKDFTKTRGSKDYKSIGKIAREVIFSSVTNLPVDIEQLLDLLTDNPMEQTALLGMHYSLLDPLTQVSEFLWWHKRLIHLKDINPEKFQRIMENIPTGNNWMSRAVENKYIRKVSLGIPKRRKGLVHTTEEVKPETTAASQGTGIEGVKPKTAVGQDTNIEDPKPETATPKCKYSEDSWGQSLLCRNSIEHLDRWAALRRIKKKKSGGKIRTVKVQFEEMGRCYIIIQAFSKFFGELQKALAEENELPQRKQ >ORGLA01G0352400.1 pep chromosome:AGI1.1:1:29738769:29741456:1 gene:ORGLA01G0352400 transcript:ORGLA01G0352400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAGGGEDAAAAGARLRERPARGLWLGADCAERGREKGGRSGHPARHRHRRDLEEAIPAILVIFSLYPSKPIEWRGLGGWEGINLITPMGEFSLRNSYQITEQSLREERKRREKPFSPTTLVLDRVCRPTAPVLSRVCRGKHSDEY >ORGLA01G0352300.1 pep chromosome:AGI1.1:1:29737956:29738264:-1 gene:ORGLA01G0352300 transcript:ORGLA01G0352300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFHKPQCLSTWFQNTHVNGSKVQKTPSLSSLGHLNLPTWFMHDPLSVAALVAIVEAWSRRVRGCNFRRDGVLSHYITSLSPLSHSSSPIRAPAQRCGRCH >ORGLA01G0352200.1 pep chromosome:AGI1.1:1:29732253:29733392:-1 gene:ORGLA01G0352200 transcript:ORGLA01G0352200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGGGGGKRRLYLVLDDSKHGYGFRRIDMDEYYFEADDSGDDSALERMTEYLPSRRCLRVKAPRHQAMLFKALGTKIIATHPSPTRAAIPAYDSRAQAVSAGPAWPPGEGDSTLPSCSTVFVPVGDRLYALDGGGDSGRAVSFQVLFPSGGDGWWLWSSVASAAAPPFDPSRITSYAAHPNGRAFFVSVARKDVPFFPTLSRGWPWLHAGSTFCFDTESLEWTDYGCWMLPFQGQGHYDAELDAWVGICRHPDKPGRLCSSDVPAPRIRGRDSRWRVPSCKIGKDVLFCKDKERHRGVALHYMGSDSNFCLLECVEQPAATASRLMYVRMFRLKYGKDGGLRTATRGRWGRCFLLPPEASSFDVMDQKITAFLMCIT >ORGLA01G0352100.1 pep chromosome:AGI1.1:1:29727339:29729588:1 gene:ORGLA01G0352100 transcript:ORGLA01G0352100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTGESSKIKEHIGHIIPCKVYSHHKTLALMRKHTKKRDTIRPGVTRFALAFLTLQSLIEKKRELRAMALSVEWDCGNNAPALKKAKGKIATSTLMSRTFWNDVSQCLKVFEPLVKVLHKAKKEIMVAAKNLENTYNPIFEHIAKKMEGLPFSLIYEASGATKAMQPRRSARVRELHEDDFNSGEEDEAKEEGIDFESDKDEIVPTKVYDQEDED >ORGLA01G0352000.1 pep chromosome:AGI1.1:1:29723244:29723744:1 gene:ORGLA01G0352000 transcript:ORGLA01G0352000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLLLLLAAACQFTPFRSVVTHPHARRRRLPGGGMRRRATSRLPAPRSPAPRRAWEXSANARATAAAQAKKGGRSGGKGRETWSRRPATTTSTPATGGKEPPRHLVLSTARQCRDRKEDPSRVGRGGDHVEDGQTASTPASARARETGSERSTARWWVSGNVKK >ORGLA01G0351900.1 pep chromosome:AGI1.1:1:29701594:29708607:-1 gene:ORGLA01G0351900 transcript:ORGLA01G0351900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFWGVVIEPCNKKEIFCPEDTLYHLTSVALDEAYGLKDSNVKVFVEVNDEKFAIGTLSSKRHPHIKVDFCFKKNFQLFHTSLISKVAFCGYQVKNLGKFTDSEGDESDEEVPPDNMIKEAQKSKLPAKSATVASAMQKAFVKEIEHYEKSKADDDNNERYSDISVVGESTGNEDSSDDADYEGKSSDEEETTAKEGESTDEKETPAKEGESSDEEETPAKNTKEENEPVVTPLKTFPHEMAKIEAPITDNKTGTNTSKRGSHLQVTNPHPAKQAKRTPIKNDTPKRSASYVCNSCKKTFNSSGALKDHSKAKHPATN >ORGLA01G0351800.1 pep chromosome:AGI1.1:1:29698259:29699236:-1 gene:ORGLA01G0351800 transcript:ORGLA01G0351800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDLFSVPSCFSSGEKLPDIPSSGTAAAAAAARQSAVTLVYRAEISGHRRLVTVTWCRNLLTHGLSVSIEGSAGNGKDKIGREYGEAAVAATAADGGGGGGGGKSCSACKVEMQPWHFWRKYGAKQFQVDGSAIDVVWDLRSARFSDEPEPLSDYYVAVVAGEEVVLLLGNLKKDAFRRTGSRPSLQDAVLVCKKEHVFSKKRFVTKARFSDRGKLHDISIECSSSNLTGGTDVDMAIKIDGCVSVLVRHLQWKFRGNECISINKLKVQVYWDAHDWLFGTGMRHALFIFKPEPPSPSPPGASSEFSTDEYSDFCLFLYAWKVE >ORGLA01G0351700.1 pep chromosome:AGI1.1:1:29693503:29697116:1 gene:ORGLA01G0351700 transcript:ORGLA01G0351700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGAPDLADFMNDWFFGTVGTRRSGGGAYDLTGDQSGGKRPSAPAEKKQQQQQGRSGGGGGSASKQTQEWLEEARRMIGAGSPGRKGVGSPSRQVPRFAAAAAAGTEPSPSLDRRDPMSRSARRHRQPGGIGDEILQRASISSSPPRADPFASAPASPAHSLPPNPPSSRRKSRFHDPSAPDSFSSSSAYRRAASSASTSPTSAAKPHHRRHASASSSSPAAVDVFDDGVARLNSFLRRQRAAVAELGSGGRPSSRSTKIVLSDASKSVSSIAAAICYAWMLASKEDAEAAMPVVNMRRGRMERCRQAAWLLHHVGVDASALLFADEVDMEGLMMDKRVSLVVVGQDVLKPNDKMGSVCTILTNNYCEDAYSLLQSLDIKKLLLAVLLDHNDHSFVEYLKNTYREPSTKGEGNSPLDQKHSVSASGSSQDAKKPNSNNQRPVHGNGGKTSDEAPRGKNKFFLAKWFGFGSK >ORGLA01G0351600.1 pep chromosome:AGI1.1:1:29681203:29690834:-1 gene:ORGLA01G0351600 transcript:ORGLA01G0351600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 3 [Source:Projected from Arabidopsis thaliana (AT3G43920) TAIR;Acc:AT3G43920] MAVNMNPLKRSLESSSQEHEAGKQKLQKRECQDFTPRRYQLDVYEVAMRRNTIAMLDTGAGKTMIAVMLIKEFGKINRTKNAGKVIIFLAPTVQLVAQQCEVIEIHTDFEVEQYYGAKGVDQWTGPRWQEQISKYQVMVMTPQVFLQALRNAFLILDMVSLMIFDECHHATGNHPYTRIMKEFYHKSEHKPSVFGMTASPVIRKGISSHLDCEGQFCELENLLDAKIYTVSDREEIEFCVPSAKEMCRYYDSKPVCFEDLSEELGVLCSKYDALTTELQNKRSDMYKDADDITKESKRRLSKSIAKICYCLDDVGLICASEATKICIERGQEKGWLKEVVDATDQQTDANGSRLFAENSALHMKFFEEALHLIDKRLQQGIDMLLNSESGCVEAAKTGYISPKLYELIQIFHSFSNSRHARCLIFVDRKITARVIDRTIKKIGHLAHFTVSFLTGGRSSVDALTPKMQKDTLDSFRSGKVNLLFTTDVAEEGIHVPECSCVIRFDLPRTTHSYVQSRGRARQEDSQYILMIERGNVKQNDLISAIVRSETSMVKIASSRESGNLSPGFVPNEEINEYHVGTTGAKVTADSSISIVYRYCEKLPQDKCYPPKPTFEFTHHDDGYVCTLALPPSAVLQILVGPKARNMHKAKQLVCLDACKKLHELGALDDHLCLSVEDPVPEIVSKNKGTGIGTTKRKELHGTTRIHAWSGNWVSKKTALKLQSYKMNFVCDQAGQIYSEFVLLIDATLPDEVATLEIDLYLHDKMVKTSVSSCGLLELDAQQMEQAKLFQGLLFNGLFGKLFTRSKVPNAPREFILNKEDTFVWNTASVYLLLPTNPSFDSNVCINWSVIDAAATAVKLMRRIYSENKRELLGIFDSDQNVGDLIHLANKSCKANSLKDMVVLAVHTGKIYTALDITELSGDSAFDGASDKKECKFRTFAEYFKKKYGIVLRHPSQPLLVLKPSHNPHNLLSSKFRDEGNVVENMSNGTPVVNKTSNRVHMPPELLIPLDLPVEILRSFYLFPALMYRIESLTLASQLRSEIGYSDSNISSFLILEAITTLRCSEDFSMERLELLGDSVLKYAVSCHLFLKFPNKDEGQLSSIRCHMICNATLYKLGIERNVQGYVRDAAFDPRRWLAPGQLSIRPSPCECPVKSEVVTDDIQIIDDKAIVLGKACDKGHRWMCSKTIADCVEAIIGAYYAGGGLRAAMAVLKWLGIGAEIEEDLIVQAILSASVQTYLPKDNVFEMLEAKLGYSFSVKGLLVEALTHPSQQELGAKYCYERLEFLGDAVLDILLTRYLFNSHKDTNEGELTDLRSASVNNENFAQVAVKHNFHHFLQHSSGLLLDQITEYVNRLEGSSMDKVELLSDGLPKGPKVLGDIVESIAGAILLDTKLDLDVVWGIFEPLLSPIVTPENLELPPYRELIEWCGKHGYFVGINCRDQGDTVVATLDVQLKEVLLVRQGFSKKRKDAKAHASSLLLKDLEEKGLIIPKNASKTEQFEKHCGSSNPFNNLHVDAMDTQTPKPTKEKNAADSRNISDPLLGKPLHVIVKTSKGGPRIALYELCKKLQWPMPTMESEKVQPSFSSVCSSPGGSSQKATPQAFAFASTITLHIPNADVISLTGDGRADKKSSQDSAALFLLYELQRRGTLQLQEV >ORGLA01G0351500.1 pep chromosome:AGI1.1:1:29673371:29675537:1 gene:ORGLA01G0351500 transcript:ORGLA01G0351500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTMGFWGVAVRPGETVMCDPPGEFYYHISQIALEPGELNENVQVFVEVDGKRILLGTLSVEHRPQLSIDLVFEKEFELLHTSKTYNIFFSGYQAADARRSDSPTEEGDESDEEVPLAIPLFPNSDDDKIKEVQNSPSKFATLKSAAAASPTPEAIVEERNNYGKSEADDDDSDEESDASGEDEYDDDEDMIDKQDSSDDDGDSSDEEETPSKV >ORGLA01G0351400.1 pep chromosome:AGI1.1:1:29667777:29669144:-1 gene:ORGLA01G0351400 transcript:ORGLA01G0351400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQPAGGSEPRSRARASGTAAPTTRGPAPQTHAPPQERRQEETVHGVRHAVAPGDGGAGTSARPDRRTRFVTQVTEVRQSPVHGDAVAGEEAALARRSGKKPSYLPARLRRAEEGSHGRTPWSAPAGHPAPPAAVPEEPQEPRLGPPGLKPAKILDTHKSIERTSTIRDEDDGVTYPAEPAPPGRTFPAARPPQDEPGFATAARHPRTSPGTPALPGRSSPSPWRPHNEPGSYASPSPSPEAPARTPAKSPMLRPRNDKKRRPVAFCFTACCILFWLLVIAVGAAVLVIFLIYHPQSPRMRVTSATLNAGYVDELGIVGGARALNADLTVLAAIYNPNTKLHVVLRYMQLDLYFQGSMIGTQAVWPAPLHEGPRGSVLRSVHLVVSEVTMTQEDVYEWQNATSKGGPVVLHLAGRFRARLIFGRWFKFNYWVSPQCTLWLDPPPSGALRRARCS >ORGLA01G0351300.1 pep chromosome:AGI1.1:1:29665913:29666828:-1 gene:ORGLA01G0351300 transcript:ORGLA01G0351300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPATKPWQKHAPPRRPSYVPLWQRRVEEEEEKKKQRHAAAPPRAAAPEEQQQAEKTPRRTSTKRGDSARRVISANLTVLAAISNPNTKIGIVLRYVRFDLYFEDSVVAAQAVWPAPVQVAPGGSVPRRVHLVVSGVSVTRQDAALWRNATAKGGRPVALRLAGRFRTQLNFDRWFFRYRYWVKPQCTLWLDPPPSGALRRSRC >ORGLA01G0351200.1 pep chromosome:AGI1.1:1:29662571:29664091:1 gene:ORGLA01G0351200 transcript:ORGLA01G0351200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT2G36240) TAIR;Acc:AT2G36240] MAASRRLARKLPSLISKHQRLISPEIDVEQAAESPASSSSIPLDPSLPILPLAVSHLSPPSPLPALPSAHASTPAALLRILRRARHHPRLAALDLHLLLAAASDSLAFRPDHRLTSLLAARLAESRRLPSLRRLLELVLFCPCPCTDDSIFACPELLPTFRKAILAFAASGDIPAASDALASLRRAADSPLPAEFYNIILHALARLRRHEDTVRFYGEMTSTHRVHPDVYTFNILINSSCRAEGVDAAMRWFQEMRRWSCSPTGVSFNTLMRGFFREGRCKEGIKVAREMLQLGFGLSVASMEIMINGLCRGGEPLKAADVFIEFLVDGVVPEGFDCLDLVESLCRVRNVEKAVEVVELILERNWVSCLGVPAGVTVLECLMKEGKLDKACQMMGRMVAAEIVPDTISCNYIFEALCEAGRTVDANRLRLQAKEKGFQADGFTYSIIVQGFGRQGIRKEGEAVLDEMLDAGYVPNIATYNRLLDGLHMGRSMQLQQKCSRHRNAAN >ORGLA01G0351100.1 pep chromosome:AGI1.1:1:29651752:29655289:-1 gene:ORGLA01G0351100 transcript:ORGLA01G0351100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGQSRSPRDGSGHGRYGHSPSFQQQWGGGGGGGGGGGYPYGQDAHGGGYYGAPAPQGGYAAPYPAYQQPPPPMPSPAATQPPARAGGASKPRLDRRYSRIADDYHSVDQLQVTDALAQAGLESSNLIVGIDFTKSNEWLGKFSFHGRSLHHISNAPNPYEQAISIIGQTLSKFDEDNLIPCFGFGDATTHDQDVFCFYPDLRPCNGFSEALSRYRELVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQKTVDAIVRASELPLSIVLVGVGDGPWDMMKEFDDNIPSRAFDNFQFVNFSEIMNKNMPQSRKEAAFALSALMEIPQQYKATVELGILGRRSFKSPERVPLPPPGGSHDAYSYGSKSFSKPSTYPQSSTSSSSYPHYETAQSASPAAPSSTYDNQVCPICLVNPKDMAFGCGHQTCCDCGQSLESCPICRSPISTRIKLY >ORGLA01G0351000.1 pep chromosome:AGI1.1:1:29651521:29651661:-1 gene:ORGLA01G0351000 transcript:ORGLA01G0351000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CNPCKAFERLADKPVKYWVCIDKDRKASNPLLQDCGAGDVSSIETH >ORGLA01G0350900.1 pep chromosome:AGI1.1:1:29648242:29650908:1 gene:ORGLA01G0350900 transcript:ORGLA01G0350900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLDAEAAAAGQQDKAGSGSYTTAATAHQIDTDSWQQVGVLLVVGFNCAYVLSFSNLMMAPLGWGWGIACLLFIGAASWYANWLLAGLHVIDGQRFIRYRDLMGFVFGRKMYYITWFLQFITLILGNMGFILLGGRALKAIHAEFSSSHSPARLQWFIAATGFVYFAFAYFVPTISAMRNWLATSAALTVAYDVALIVILIKDGKSNKQKDYNVHGTQAEKVFGAFGAIAAILVCNTSGLLPEIQSTLRKPVVSNMRRALLLQYTAGAAVYYGISVAGYWAYGAAVSEYLPDQLSGPSWATVLINATAFLQSIVSQHLFTVPIHEALDTQMQRLDEGMFSRYNLGRRLLARGLVFGANAFVTALFPFMGDFVNLFGSFVLFPLTFMFPSMVVLKIKGKDEAGRWNRIWHWGIIVASSVLSVVTTAAAVRLIVHNASVYHFFADM >ORGLA01G0350800.1 pep chromosome:AGI1.1:1:29637203:29640306:-1 gene:ORGLA01G0350800 transcript:ORGLA01G0350800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXASREWLVVPASGQARVEEAGKHAVMARTGLPARDLRVLDPLLSYPSTILGRERAIVVNLERVKAVITAAEVLLPNSKDPAFASFVCDLQARVLASSSDQAAEFTDMEGESSAVTSPFPALTSTTPNELEMTNKNSNVVGGMTHSNSMPTLTAAKDGNTKVLPFEFRALEVCLESACRSLEEETSTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMYLTEKLTRQEISETSSRVEVDDPSQLEVDRDEDYRSEADVSNGTFIGYKPHIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGLFGMNIGISLYADPTNEEEKRASNMKFWETTLGTIAGCTVMYIVAMGWGKRSGLLQ >ORGLA01G0350700.1 pep chromosome:AGI1.1:1:29634693:29636079:-1 gene:ORGLA01G0350700 transcript:ORGLA01G0350700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVATYFAMTFGAFLFWQSMDRVHVWIALHQDEKKERMEREQEIKRMQAELMAQAKESES >ORGLA01G0350600.1 pep chromosome:AGI1.1:1:29629745:29633672:1 gene:ORGLA01G0350600 transcript:ORGLA01G0350600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGVRAAGDAAAAADVDVITSSGRRRIPAHSTVLASASPVLESILQHRLKKERDAAAAGGKVRRAVVRIRGVTDDAAAAFVRLLYAGSSGDEEEIDEKSAAQMLVLAHAYRVPWLKRRCEGAIGSRLTAESVVDTMQLAALCDAPQLHLRCTRLLAKEFKAVEKTEAWRFLQENDPWLELDILQRLHDADLRRRKWRRKRAEQGVYVELSEAMDCLSHICTEGCTEVGPVGRAPAAAPCPAYATACRGLQLLIRHFSRCHRTSCSRCQRMWQLLRLHAALCDLPDGHCNTPLCMQFRRKEEKAAAKAKAKAGDDDDKWGLLVKKVRVARAMSSLGKRRQMSCSQC >ORGLA01G0350500.1 pep chromosome:AGI1.1:1:29623760:29626496:-1 gene:ORGLA01G0350500 transcript:ORGLA01G0350500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPRSPPGAPPPPEFEISRQSRLLAALSKKVIDLDELRMLAAQGVPDGAAVRPTVWKLLLGYLPSDRALWEQELAKKRSQYAAFKEEFLSNPMEIARQQELEGQGSENAGSINNGLLHRSEVTQEEHPLSLGKTTAWNQFFEYSEIIEQIDRDVKRTHPDMHFFCGDSSFAKSNQESLKNILIIFAKLNAGIRYVQGMNEILAPLFFVFRNDPDDKNANFAEADSFFCFMELLSGFRDNFCQKLDNSAVGIQGTLSKLSQLVAKYDGELQRHLEITTEINPQFYAFRWITLLLTQEFNFADTIHIWDTLLSDPDGPQETLLRICCAMLILVRKRLLAGDFTSNLKLLQNYPPTNISHLLYVANKLH >ORGLA01G0350400.1 pep chromosome:AGI1.1:1:29608863:29612403:1 gene:ORGLA01G0350400 transcript:ORGLA01G0350400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGGSGVGGMQGAASNLLDAGAQAFYPAVGAPFPFQQLPHQLYCPQPPPPPYQVMPVPPPPPVGLPVPPLPATMAPQPGYCVPAAATVVDGPASRAVVLSLVPPHAPEDEIARAMAPFGAVRAVDASAVASEGVATVYFFDIRSAEHAVTGVREQHIRQQCRLGQLYAAAAAALSPTWPPPAWDWPHDDNRGLVLGQAVWAHFAAGSTVPDDGASRGSLVVLNSLPAMSVFELREIFQAYGDVKDVRESALRPSNKFVEFFDTRDADRALHELNGKELFGRRLVVEYTRPSLPGPRRRGHVSHQPLAPTPPRLQAAWRPAPAPSQSAQPSSSGSGKAREGVVLLRRSSGKGSSGSQSKGGGNAGHERKSKGGKSAAAACSTAASALSSTAAAPSKQSQKGGGGRGGSWRGQKSGWEARFLFKEPVAAAAAAGDAAASETQEPASCKDTRTTVMIRNIPNKYSQKLLLNMLDNHCILSNQQIEASCEDEAQPFSSYDFLYLPIDFNNKCNVGYGFVNLTSPEAAVRLYKAFHKQPWEVFNSRKICQVTYARVQGLDALKEHFKNSKFPCDSDEYLPVVFSPPRDGKLLTEPVPLVGRSPAPSSASGASSPPKSRAASVDPLAQELMTAPSSSGDGASSASSSNAHADEDDVHGETGGDRGDDAGLDLELQRLGYTD >ORGLA01G0350300.1 pep chromosome:AGI1.1:1:29594246:29595361:1 gene:ORGLA01G0350300 transcript:ORGLA01G0350300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVISSWRVLAVVAALMAMAAVELCAAIPFDERDLESDEALWDLYERWQEHHHVPRHHGEKHRRFGAFKDNVRYIHEHNKRGGRGYRLRLNRFGDMGREEFRATFAGSHANDLRRDGLAAPPLPGFMYEGVRDLPRAVDWRRKGAVTGVKDQGKCGSCWAFSTVVSVEGINAIRTGRLVSLSEQELIDCDTADNSGCQGGLMENAFEYIKHSGGITTESAYPYRAANGTCDAVRARRAPLVVIDGHQNVPANSEAALAKAVANQPVSVAIDAGDQSFQFYSDGVFTGDCGTDLDHGVAVVGYGETNDGTEYWIVKNSWGTAWGEGGYIRMQRDSGYDGGLCGIAMEASYPVKFSPNRVTPRRALGAKETQ >ORGLA01G0350200.1 pep chromosome:AGI1.1:1:29586082:29592577:1 gene:ORGLA01G0350200 transcript:ORGLA01G0350200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPPPAAPAAEPVPPWLRSLPVAPEFRPTAAEFADPVSYILKIEPAAAPYGICKVVPPLPPPPKKATFSNLSRSFAALHPDDRSPSFPTRHQQVGLCPRRTRPGLKPVWRSSHRYTLPQFESKAGATRKSLLAGLNVPASRQLTPLDHEVLFWRASADRPIVVEYGSDMSGSGFSPCAAQPQPPPQQQPTARAAAHLGETAWNMRGVARSPGSLLRFMPEDVPGVTTPMLYVGMMFSWFAWHVEDHDLHSLNYMHLGAAKTWYGVPRDAALAFEDVVREHGYGGEVNPLETFATLGQKTTVMSPEVLVESGIPCCRLVQNAGEFVVTFPGSYHCGFSHGFNCGEASNIATPEWLRIAKEAAIRRASINRPPMVSHYQLLYDLALSMRFREPSNGEMETRSSRIKEKKKCEGEQLVKKMFIQNVIEDNELLSHLLNDGSSCIILPANAHDGPGLSTLRSTDQSNMNSRISHNLCSREEAPEASGCLSPNRNGDTRNCISSDTHNMEGDKGDIMSATGLLDQGLLSCVTCGILSFSCVAVLKPRDSTARYLMSADSNSINNQLSISGGSILADAPTNERNGVISRPYSEHCCNEIMSDDAEIDKNSALDLLAFAHGGQSDPEEDPLEKILKIAHGINKSQPNSSNNVGCVGTKLSSSSTERQERPSSQNAHCNGSSVISNGPKGVRTRNKYQLKMVLSEGFQAKDIYSAKEKKVQSEPSSSKGDVKETIDVSGTENDVRCKSTTISVSEHRGSTKNMYSVKEKKVQSKPSSLKGTVKETVDVSGTENDARCKSITISVSEHRGSTPMTNSLAASIVKPDKDSSRMHVFCLEHAIEVEKQLHAIGGSNIMLICRPEYPKIEAEARLLGEEMGLVYDWKGIHFKEANMEDRQKIQEVLRDEEAIPTSSDWAVKLGINLYYSANLAKSPLYNKQMPYNRVIYRAFGCDSPNDSPVMFNTCERKQSHQKKIVVAGRWCGKVWMSKQVHPYLAHRVESQEAEEADRICSYHFDEKHKAEPVGNSSRVEASKRKSSSLTDVTESSNRRGEIPGEETNTKRPKHSQENNLRALETAAEVVVPSPAGTGLRVSSRIANRANKLKSKMEKEDVPSSRPKSNIKEKSSHASGQKSNVQEANANSASHLRAMPPKQKAEAEAKKQIRTPKPPKQAVEYSCDIEGCSMSFRTKRDLSLHKSDICPVKGCGKKFFSHKYLLQHRKVHTDDRPLTCPWEGCNMAFKWPWARTEHLRVHTGDRPYVCHEPGCAQTFRFVSDFSRHKRKTGHSVKKKKKAKS >ORGLA01G0350100.1 pep chromosome:AGI1.1:1:29579399:29584081:1 gene:ORGLA01G0350100 transcript:ORGLA01G0350100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component PIG-S-related [Source:Projected from Arabidopsis thaliana (AT3G07180) TAIR;Acc:AT3G07180] MAVLAEIAGDESPPPLPPSEGGEASGAPSTSSPSDADGGGKPSPRTSKPGRKRLVLTASVLLSFLIGLPLLLKSTEIHRSPLPSDAIAALSRRLHSNPPSFPCGLHAVFLRSGRDPSEASVANRIEREISTQLVDLPDASTAGKISVSVTVESAGGCSSSSKVASPWRCGAVTTADLGRGDEVFDELLDSALGDGGGDGMRVYTVVFVDSDDLKRIVIGKHRHAWVVGKVDEAEVVSIIGKVFVKYFMNGGVEEGEASTVKREFMPVGSDGNIVLSFSLLNADPSDWVYDWEFENIGQRMLTPVIEALRPIANINIESQVLYHTPKSSYSYSDDKLGGNVLSVGDIPFFVNSNEWHLDTSISATGRSKVLQFVVYIPSARECPLYLQLPDGELSKTNAFISPMWGGVIIWNPPGCSFGSKPHGALDKMSSEELMETIEIFIGQLRQLFGLKSSYHTQSMDGVTKFITSPKGFAQWELDLLYRHHACSNLLSCLTTLESLSSLVQSLPRMIVMDEIGRQVELSLEAASLAQRNASLGISDSSAVSATRARALAEDAFFHPSVMSISYASIEHYFAIYMPFFAPVSLHVLLAVMKELKRYMVERRKYSAFLASQATSS >ORGLA01G0350000.1 pep chromosome:AGI1.1:1:29576246:29576861:1 gene:ORGLA01G0350000 transcript:ORGLA01G0350000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIPSPRRLFRSRSKSIGGAGAGVGADICAMVAEHEKIEWEVRPGGMLVQKRRAPEEQDDGSSSMSAHSGADAIVVRVSTGWQWHDVSIDSTATFGDLKVMLSLVTGLWPRDQRLLYKGKERDDGDHLHMVGVQDKDKVLLLEDPAVKERKLRSTTLAQLMGVPCHSFIEV >ORGLA01G0349900.1 pep chromosome:AGI1.1:1:29561264:29566862:-1 gene:ORGLA01G0349900 transcript:ORGLA01G0349900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT5G63200) TAIR;Acc:AT5G63200] MLADLNVDPPESDGEDQPPTPNPNVNPATAAVTAATVVAIDSSTRYASSNDEGSLAKSVITTKEPDTVECEDADQHCQGASVAREEKVSNLKAALVHVARKMPKNAHAHFMLGLMYQRLGQPQKAIAAYEKSSEILLQDEEEVRRPDLLSSVRIHHAQCILQTSMGDTFDEELESGELDEILVKMKSSVESDPRQAAVWNILGLVLLRSGQLQSAISVLSSLTVVAPDYLDSLANLGVAYIQSGNLELATKCFQELVIKDQNHPAALVNYAALLLCKYGSFAAGLGGNVSAGSCLHQKEGLAVAKECLLAAVKADPKAASVWVNLANAYYMAGEHRNSKRCLEQAAKHEPSHMPARYAIAVHRIRDAVRSQCSDDQLLWASNEMATVLKEGDPSAVDAPIAWAGLAMAHRAQHEIAAAYDTEQINLSDGEERALYTLKQAIQEDPDDAVQWHQLGLYNICTTQFSRSVNFLKAAVARSPDCSYVWSNLGIALQLSDDSSCETVYKRALILSSSQQSYAILSNLGILYRQHGRYELARRMLLRSLELCPGHAPANNNLGLVSIAEGRYEEAISCFEKSLQSDPLLDAAKSNLAKVLALSKKQ >ORGLA01G0349800.1 pep chromosome:AGI1.1:1:29558437:29559423:-1 gene:ORGLA01G0349800 transcript:ORGLA01G0349800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEAGAVAWLLHISSPQADAGGGDDNDDYDLRGGGGEAQGRGPGXGGGXGEGEGEGEGGGVXDRPD >ORGLA01G0349700.1 pep chromosome:AGI1.1:1:29553709:29555148:-1 gene:ORGLA01G0349700 transcript:ORGLA01G0349700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPTIIPLRPNYSPPGDGAAFRREPATYSRFPPAAARNECDLTFGQERKREGMKGKPPISRKIIYNHRDFVSMGERFVALCFIFTMSPCIDATLFVRVVLDFVRREETRRDEMPRTKLVLKLIENEKKRKATFKNRRDGLKQKVSQFATLCGVEALLICVAPAVAGGEVTTWPPDRAAVLDLIARLRATPPEKIRQLHNTQSLLRDDLDKQQRLLLKVQKCGTDDVLTPWHCSLYDLSLDGLNALHDTLSETLDRAHRRMAALGGGHGHVHDDAASSSAFSVPAPAPHAVALPDNAFDFPFAPSNTGPIVGAHYFYPLHDTLPLPQQVPGQHPPCIAYQMPPPPCLAYQMPPPPPPSLAAAPFDQCMNATGFMDSNPYATHIMHGGSTAAGLLDDHGQIFSAGAGYDDDDILGHGFGFAAGTGYDLDPRMATADVWPMNTLNNIPNDGGIGFQLQNDLKWMLPGGSNGSNLQGGFQI >ORGLA01G0349600.1 pep chromosome:AGI1.1:1:29544026:29552234:-1 gene:ORGLA01G0349600 transcript:ORGLA01G0349600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLSGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQELMHKIHEIKTKAEQSESMVQEICRDIKKLDCAKRHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKITELREKFKNIKKILKSHVFSDFTSLGTGKETEDATLLQQLSDACLVVDALEPSVREELVKNFCSKELTSYKQIFEGAELAKLDKTERRYAWIKRRLRSNEDTWKIFPPSWHVDYLLCIQFCKITRAQLIDILNNLKEKPDVATLLLALQRTLEFEEELAEKFSGGVTTTRNKESASDDENEDTGRNKIVSDIRKKYEKKLAVPNDEIGHDKDKQKDLSIPGAGFNFHGIISSCFEPYMSVYIELEEKSLVDQLDKLIQEEKWETEEGSQTYILSSSMQVFLVIRRSLNRCSALTKNETLFNLFQVFQRVLKAYASKLYARLPKGGTGIVAAATGTDGQIRTSDRDEKMICYIVNTAEYCHQTSGELAENVAKMINPHFADKVDISEVQDEFSAVIMKALMTLVHGLETKFDAEMVAMTRVPWSTLESVGDQSEYVNGISSILSSSIPVLGNLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPSLGKQSTAAASYSKFVSREMSKAEALLKVILSPVDSVANTYRALLPEGTPLEFQRILDLKGLKKADQQTILEDFNKHSPAIKHPAVAPTVAPPVATSSAHHIAPVTTPTVSTTPSMATLTGALANREDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >ORGLA01G0349500.1 pep chromosome:AGI1.1:1:29531838:29536345:-1 gene:ORGLA01G0349500 transcript:ORGLA01G0349500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G19880) TAIR;Acc:AT2G19880] MAAMEAAADAVLAAASRAFCGPAAVFIQIQGCLICLTLGLGWAVAALVRKKEIRRMRRRIVDGNSFAFLCDDVNELEHSVQEKLPRVSVIMPLKGFGEHNLQNWRTQITSLYGGPLEFLFVVESKDDPAYHAVSRLIAEYKDKLEAKVVVAGLSTTCSQKIHNQLIGVEKMHKDSKYVLFLDDDVRLHPGTIGALTKEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSIGFATGGKTFFLWGGCMMMHADDFRQDLYGVVSGLRDGGYSDDMTLAAIAGQHKRLISSPPVAVFPHPLSSDLSFSRYWNYLRKQTFVLESYVSKVNWMMNRALFASHCYLSWGFVWPYIMALVHVVVALRAPYSEIVKDASNSSCGLKLVCCLLICTLTELVSMWNLTKVEIQLCNMLSPEGPKVSLGSYNWGLVFIAVLVDNFLYPISAFRSHFSQSINWSGIRYHLKDGKISKIERENKLKYTDLGGKHLYGKRTYPSKTSLLGYLSRTVAQWHQPKKYDV >ORGLA01G0349400.1 pep chromosome:AGI1.1:1:29523029:29524907:-1 gene:ORGLA01G0349400 transcript:ORGLA01G0349400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:I1NUF4] MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEENRRSLRELLFTTPGALQHLSGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTVEVAGTNKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLSIDLNAQGLARYAIICQENGLVPIVEPEILVDGSHDIERCAYVTEKVLAACYKALNEHHVLLEGSLLKPNMVTPGSESKKVSPQLIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATVNLNAMNKLSTKKPWALSFSFGRALQQSTLKAWGGKTENVVKAQKAFITRCKANSEATLGTYQGDAVLGEGASESLHVKDYKY >ORGLA01G0349300.1 pep chromosome:AGI1.1:1:29518683:29521502:1 gene:ORGLA01G0349300 transcript:ORGLA01G0349300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGGRPLSLRGSLKALEADIHHANTLAHAIHRAYGGACVQMRLSYSSMAPIILNLIQWMDCSCSLSYTLPSYLGLLEVLVYKVYVDEDASISTNIERRASLKEFYAVIYPFLQQLEGNLMEKDCNEKGWCKEAASGGGGRKLYADDKDDEREDECGICLETCTKMVLPNCNHAMCINCYRDWYTRSQSCPFCRGSLKRVRSRDLWVLTGDDDVIDTVTLEKENVRHFHSFIDSLPLIVPDNLLLVYYDYLV >ORGLA01G0349200.1 pep chromosome:AGI1.1:1:29515193:29516772:-1 gene:ORGLA01G0349200 transcript:ORGLA01G0349200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAFAFGRYISPAADPCDCDLESKKEEKKILSPIRPTDEAVRGLSRARLITDASPIGQPGVWIIARFFQNNLAVISTGALMGGWLWLATPADKSQQAWGAGDFGRSRWLKWTVF >ORGLA01G0349100.1 pep chromosome:AGI1.1:1:29502118:29505380:-1 gene:ORGLA01G0349100 transcript:ORGLA01G0349100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELIKVPKIEQEEGNADSHGKEKADVVHEEKTEKVKRRRKRVSDPQRKKACVDCTKRCIRIHGMASSSSEKARPTPTLPSFFKIMVGYFSENMDIPLPFARTITDMTGSNVYLEDAYGLRWRVRLYLHDDVLSFGHGWKNFVLDHDISVGEFLVFRQIARSVFTVQIFAISACERIHLCERNKRQSRKRKPGRKTGYPANNQMVKVSSKDVVKRRKKPRTDEQRYDLDPRQHDMPVRVCIDSGSELRCSESSVKELDAAPDKSHAVVQVPATECNADPSYNAAGMKTIKNLEAIGASSSTKDVTWDANKSEDYPSFSYPESSNVMTADKESERSHQDRPMQLYCELGLEDGNAETENCENSNVLENAELRTPLAMMDLNEVGIDDIFLSADIYEFDSDFCSPEAFSVDVNTEGLVANGRTPGDCFGMPETSRCLENKQMTDVPRTSTDDGSIAVHGIDINALPSNTYPDIDAAPDDCKKDKDVLHSECNKVAQKAHSSVKQDITKDGPRQIAAEIMSSGPKTCELTYVRKNSVQPGYFTSVGQYAGNNSKGQESGGTKSCVVLAVAANSKKFCITIPPPDQTWLELPRRLPVLPRTKKQARKILILKDPSMRLWPVLYQCTPKFNGFIAGWADISRENNLREGDTCEFELCSNSELSFQVLVPNLQ >ORGLA01G0349000.1 pep chromosome:AGI1.1:1:29498394:29500266:1 gene:ORGLA01G0349000 transcript:ORGLA01G0349000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAEYRLAVAEQVLLGWHCARSSGADLASIWDSDATCTNESLLSAVDEVLLLAEIHAFPMASAARRSMQDALDVAVSCLMEEFLRLKVWDASQMEVRLGVRFAVGKFSMPAADSGVSLSLAFPTTGSISTASTIDELYASGGSQSSRVGAVTMLLDGEFFDELDLISPASLSVLHQIALRVIRAGYTKELLHAFTNAPCDVLDRFLTILQMECAFETDHVSFEDAEWWTAEDMIKRWILATKLVAKALAVMQRQLQAQSCGAFDRFKNDYFMAIAKNSIFVLLRFANGFTTTEAPDKLVYVLEMYEALSNAAPGLLLLFTEQRVELVSRQVEVVLAKLARALRAMIGGLIARIRTADCPQTTGSAARGVGVHPLTRYAMTCVELLSPHRAALDLILANGAGESVTSLGSLVAVLVTSLERHLEEINPELSNDDDDAAAAAAASRHLFLATNASYVARRAVDAGVEPLLGDGWAARRGSLIARYVASYVEACWAPVAACLETAGRKPVKVAAKFSSAFDEAYESQVHREIPDPALRDALRKAASEMVVPAYSAYLQNHPKLQKNVRHTAGELDRLLWELFEGEAAERNKLLL >ORGLA01G0348900.1 pep chromosome:AGI1.1:1:29495579:29497359:1 gene:ORGLA01G0348900 transcript:ORGLA01G0348900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVGKAAPAKARLDIAENTIFQRNRGIWDAAAACTNERLLDAVDEILDLAEAQPFPAASAAAARLDSALRAAMSLMMDEFMCLRVWNASSHDLRLAVDRLAVGASANALWQHTFPSTGDRSSSASTVGRASAGSPSSAPGDLVGFLDGEFLDELQLLCPASLLVLHEIAHRVIRAGYTKELVQKFTNSPCDVLDRFLSIFQGECSRRTTVDLIKRWSLATHLVGKALVVMQRQLYTHNSPGAFDALKDEYFLAITKNRILNLLKFADDFTSITSHEKLIYILGMYQALSEAAPGLLLMFTGPHKELVAERSEEILAKLAMSIRSMVASLIAKVRDGVSNTKNIVGVGVHPLTKYAVLCIERLAPHRDTLDLILASGGDDVASLSDLASRVVGSLEEKPVLPCDDDATAAATGSRHHLFHANNANFVLQSCKPLLGDEWAAARESVVERHVAGYAEACWAPVVACLEPAGRKPAAKVVAKFSAAFDRAYETQARCEVRDPALRDALRRAVSDKVVTAYGVYLKTHPKLEKKLRYTAGELGERLSELFEGEAAEHNK >ORGLA01G0348800.1 pep chromosome:AGI1.1:1:29493138:29493620:1 gene:ORGLA01G0348800 transcript:ORGLA01G0348800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTSYLPASAAPWIELSTTGLGYNTATGEHKVVSLFKKRNGGEYSCEVYTQGAGGWRRCVGRVPPCAANFLPALPPVFVHGYLYWLLRPAGPGEEPIHRILSFSMGAEQFGWVYVPPRARGCLPASATSPTSTARCAPSSTTASSAASTGSSRGAARCS >ORGLA01G0348700.1 pep chromosome:AGI1.1:1:29479457:29482991:-1 gene:ORGLA01G0348700 transcript:ORGLA01G0348700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:response regulator 11 [Source:Projected from Arabidopsis thaliana (AT1G67710) TAIR;Acc:AT1G67710] MDATAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLARVALDILRERKNKFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGNDSCDDLQILRNSFEGLDEKSLFMRSDSDTMRKRKDVDKDHADQESSDGNTVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERILGAARQDFSHKGTSENLNLRSSFQEQPSNIANGYPHASQNIQTQANMLDSQLEDTKSTVPLPVPDKKRTLASDAADSQNVTSASSLGGVLSFKSMPVNQDRKPSETMILECQAWTGGIPSKQFMQYPKHNHERCDLLGDYSCLPKPDLEHPVGPSNLYAPPPLISMSCGMEGDARDFSDVKPAIMDCIKSLSPALTCTVDSVSVQLSDSVVTSIDGDLKSSGVDGLPSIKDCCLDQTNSQGSLRPSQEPSIIGSTELASLPEDLPSYPLHGVSLENIGLSSIDLLNYSDAMILSGLQSNWYDDLEFSSEMMDYPSIDECLFASS >ORGLA01G0348600.1 pep chromosome:AGI1.1:1:29473266:29473850:1 gene:ORGLA01G0348600 transcript:ORGLA01G0348600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNSSTSIGVREIILLAHWFLHQYIAISRTATSIGVREIIPLAHWFSHQYVAISRTATSIGVREIIPLAYWFLHQWLRNSYDWSSTGSVAVLVPQLQMIEGHLLTGSQPVDRSDLSYICFKWSSYDYCLVLDQRCSVSPATSALSGQVTTTAWFSTSDVXXXXXXXXX >ORGLA01G0348500.1 pep chromosome:AGI1.1:1:29466475:29470686:-1 gene:ORGLA01G0348500 transcript:ORGLA01G0348500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAVVAALLLLWPSPVSSEAAAGEGRRLHTLFSVECGDYFDWQAVGLLHSLRKAGQPGGVTRLLSCAADQLPSYRGLRIGHTLQVPSYSRHPRTGDWYPAINKPAGVVHWLKHSVEANNVDWVVILDADQIVRGPIIPWELGAEKGKPVAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILAMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRIGIDPLILHYGLPFKVGNWSFSKLEHHEDGIAYDCNRLFPPPPFPREVEMMESDPNVKRGLYLSIECINTLNEGLVLHHASVGCPKPQWSKYLSFLKSRRFSELTKPKHWNGQTIENRNIVQHVASLKEDHKLPKIHTLFSTECTPYFDWQTVGLMHSLRVSRQPGNITRLLSCSDEDLKNYKGHDLAPTHYVPSMNRHPLTGDWYPAINKPAAVLHWISHVETDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIDDLRRFAMLWLHKTEEVRADKAHYATNITGDIYSSGWISEMYGYSFAAAELGLHHIIRRDILIYPGYVPVPEVHYKVFHYGLRFGVGNWSFDKADWRNVDVVNTCWAKFPEPPDPTTVMKEDQAARERDLLSIACGRALNKALYWHHKSRDCPRPSPVDGASKKFQKVTASNDLGGGNTEQSFIHNIKQKSHIDVAKLKPIERVAATISSVHRARRLARSSRICIIAVWAASIAVFLLVISMFFTDRRRSVSRSRISRSQKAHV >ORGLA01G0348400.1 pep chromosome:AGI1.1:1:29457669:29465259:-1 gene:ORGLA01G0348400 transcript:ORGLA01G0348400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:UniProtKB/TrEMBL;Acc:I1NUE4] MHIKEICLEGFKSYAGRTVVSGFDPLFNAITGLNGSGKSNILDSICFVLGITDLRQVRAASLQELVYKQGQAGVTKATVSIVFDNSDRSRSPLGYEDSPEITVTRQIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKEAALKTLEKKQNKVDEINKLLDEEILPALEKLRKERCQYMKWANGNADLDRLKRFCIAYEFVQAERVRDGALNDVKQIRAKIVELDESTEKLKSEIQEMDKNISNLAAEKEAKLGGEMKTLSEKVDKLSHALIKETSVMNNQEETIKSEEKGAEKILKNIEDIKRSISERDTAVKNAEDGAADMKKRADDLTKELDESEKEYQGVLAGKSNANEKKCLEDQLRDAKAAVGEAESGLKQLTTKISHSEKELKDKKAQLVSKRDEATAAENELKAREKDLETVKASMQSVNYEEGQMEALQKDRSIELDAVQKLKDKIRALSGELANVHFNYRDPVKNFDRSKVKGVVARLIKIKDSSTATALEVAAGGRLYNVVVDTETTGKQLLQNGDLKRRVTIIPLNKIQTGTIPERVRQAARRLVGAENVTLALELVGYVEEVKNAMTYVFGSTFVCRNMESAKEVAFNREVGSTSVTLEGDIFQPSGLLTGGSRRGGGDLIRQLHELAKAEADLANHEKRLSVIEQKIAVLLPLQKKFTELKSQFELKSYDLSLFQNRVEQNEHHKLGELVKKLEQELQESKQELKAKQAQYEKSVSTVSELEKTIKTYGSEREGRLKALERKIKSLKSELQSMSKQLKAHESERERLIMEKDAVANELAMLEEQLTTSKAQIAAMTETLNRHQTKVASIKQDYDQAESELNIGRSKLKECDSQINSMAKEQQKLQQQLSDSNVERKKMENEVKRMEIEQKDCSSKVDKLVEKYSWIATEKQLFGKSGTDYDFVSCEPHKAREELENLQAQQSSLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKSKIKTVIEELDEKKKETLKVTWLKVNKDFGSIFSTLLPGTMAKLDPPEGGTFLDGLEVRVAFGTVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVIFRTKFVDGVSTVTRTVPSKQR >ORGLA01G0348300.1 pep chromosome:AGI1.1:1:29453581:29456462:1 gene:ORGLA01G0348300 transcript:ORGLA01G0348300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAAVRRMHAAAAAAAAAAQPPRLTKLALHPPRSVEVEFTDGSSFHLSAEFLRVHSPAADSKIISVSGEKVIFGQRYVGIMSAESVGNYAIRILFDDLHKTGIFTWDYLHHLGSKKFSLTRNYIRTLRKHGLSRDPQRRK >ORGLA01G0348200.1 pep chromosome:AGI1.1:1:29447973:29452926:-1 gene:ORGLA01G0348200 transcript:ORGLA01G0348200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALAQLQDIGDRITDRLRPWSRSAEFWVRAADIYTSYKVCQLRAGFVKDEEEREAMWEQQHELGAQKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDKAPATPIDVVRDVVEKQFGKSFDDIFECFDVEPVGSASIAQVHRARLKLSKTDVAVKVQHPGAEKLMMVDIRNMQAFALFLQKYDINFDLYSATKEMEKQICYEFDFVREAKAMERIREFLRVTNKKKPPVIVPRVIPEMVSREVLVMEFIEGTPIMNLGNEMAKRGIDPGGKIATMAKQKILTDLTLAYGQMILKDGFFHADPHPGNILICKNTEVALLDYGQVKAMPEDLRLAYANLVIAMADDDFLRTKESFREIGIETWSIADNELEELFQLSLRMFDTRLPPGVTAMSPFAEDSSLNKVGVQSFPEELFSVLRTIQLLRGLTVGMGLRFSCAQQWKPIAEEALLKSGRLKAARSRRPRRSFVRRLFSSDNENQGT >ORGLA01G0348100.1 pep chromosome:AGI1.1:1:29434915:29435637:-1 gene:ORGLA01G0348100 transcript:ORGLA01G0348100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSPQMHKTKKLVRVRKQVEVVLPVEVLPPLPPLAIICKHKEHVWITQDDYTFRLLDINLVLTLAAVGCAGLGFFLFPRNRALGMALAAAFFVGFFAHAKASMLLHWDVFPRFVLAILDRGRRLMAVACVAIVVVCVLRFGTQDGVRRATLFIWTVAMALAALTGWVLRVERHVSAAAEFVELDGDTSLWIIRKDGSLAAVADIEGDTTFYLLTSRGIIPTGYDVVVHTAKPCVTCV >ORGLA01G0348000.1 pep chromosome:AGI1.1:1:29430270:29431571:-1 gene:ORGLA01G0348000 transcript:ORGLA01G0348000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDKFSSSYQPSWPPAQATVDVVGSVETPARRAFQRVASFFVQELLDRRASTGAGPCEHGVLHPAVVVREAYAEGLGMMYLEFRAVDRSLESLHIEDLGIDADEAVAINSVLELHPVVKENRGALNSVLQTIRMLSPRAFVLVEQDAGHNGPFFLGRFMEALQYYAALFDALDAELPRYGARRARVEQFHFGTEIRNVVGWEGAARVERHERADQWRRRMSRAGFQSVPTKMAAKAREWLVENAGGDGYTVAEEKGCLVLGWKGKPVIAASCWKC >ORGLA01G0347900.1 pep chromosome:AGI1.1:1:29427045:29427857:1 gene:ORGLA01G0347900 transcript:ORGLA01G0347900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGTARLYVDLPLLVGKPFGYTSPRSSLMAADPSPQMQKTKKLVRVRKKVEVVLPVEVLPLLPPSLAIICKHKVHEWITPEDYTFRLLDLNLVLTLAAVGCAGLGFFLFPWNRALGMALAAAFYVDFFAHAKASMLLHWDVFPRFVLAILDRGRRLMAVACVASSWFACSASVPRTASAVPPCSFGPSPWRWPRXPAGSSASRGASPPLQNSLNWTTTRAFGSSARMGVSPPLQISKETPRFTCXHIVELFQLATMXXCILPSHVLHVY >ORGLA01G0347800.1 pep chromosome:AGI1.1:1:29424819:29426430:1 gene:ORGLA01G0347800 transcript:ORGLA01G0347800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSPEPCNSISDCSQQQSHHLTLTQQQDSTIICTNQELDYYYRFYDVDEAAFDGNEVELVSRFSKVTRMDRMISSPYQPTWSPAQAAVDVVGSSETSRVRKKRFWDVLESCKQKVEAMEAMDTPATATFRVGAGDGGGGGGGGAGGGGGGADGMRLVQLLVACAEAGLADRLALAHPPALGPASMAFCIPPSSCAGRDGARGEALALAYELCPYLRFAHFVANACMLEAFEGESNVHVVDLGMTLGLDRGHQWRGLLDGLAARASGKPARVRVTGVGARMDTIRAIGRELEAYAEGLGMYLEFRGINRGLESLHIDDLGVDADEAVAINSVLELHSVVKESRGALNSVLQTIRKLSPRAFLLVEQDAGHNGPFFLGRFMEALHYYAALFDALDAALPRYDARRARVEQFHFGAEIRNVVGCEGAARVERHERADQWRRRMSRAGFQSVPIKMAAKAREWLDENAGGGGYTVAEEKGCLVLGWKGKPVIAASCWKC >ORGLA01G0347700.1 pep chromosome:AGI1.1:1:29417971:29419440:1 gene:ORGLA01G0347700 transcript:ORGLA01G0347700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFGVSTNLVNYLKYRLHEGSKSAANNVTNWEGTGSIAPLVAGYLADAFLGRYWTIVLSMVISAVGYGVLAASASVIRLESAALYAGMYLVALGGVLEPIMAPFGADQFDDGEDDQRGRRQSSFFNWFYLSLNCGSLVGGTVLVWVQTSVGWGVGYGVPAIFSALSVAVFLAGTATYRRDQPPGGSPLTRIAQVVVAAVRKFDVEIPSDSSMLYESDAVDGMPAIHGRRRLLHTGIERTRSQAGILSFLKHSTNVCAHNARILTPINAYMHILFLVMSIFKRLSRHILILTNSLRMSWYQGVRHVPLKKIISRKCEHSRQV >ORGLA01G0347600.1 pep chromosome:AGI1.1:1:29413526:29416205:1 gene:ORGLA01G0347600 transcript:ORGLA01G0347600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVESCNGRHADADDRRTSKKDRRTTWASAFILVNNFMQYTAYFGVSTNLVNYLKYRLHEGSKSAANDVTNWQGTGSITPLVAAYLADAFLGRYWTILLFMAISVLGYGVLAASAAAPALLHGGAAAFYAGLYLVALGSGLLVVMAPFGAGQFDEADEGERRRQSSFFNWFYLSLNFGSLVGGTVLVWLQTSVGWGIGYGVPAIFSALSVAVFLAGTAAYRRCQPPGGSPLTRIAQVVVAAARKHDVEVPADASLLHECCDAVDGMSAIQGSRRLVHTGQFRFLDKAAVETAGDKAEPSPWRLCTVTQVEELKCVLRLLPVWASGIIFAAAYTQMTTTFVLQGDTLDPRIGGFKVPAAVLSVFDTLSVMLWVPLYDRAIVPLARRVTGHDRGFTQLARMGVGLVILTVAMLVAGTLEVARRRVIAQHGLYGDDGDGGYLPLSIFWQVPQYVVVGASEVFTFIGQMEFFYDQAPDAMRSLCSGLSSTSFALGNYASSAIVVVVARATARGGRLGWIPDNINRGHLDDFFWLLAVLCVANFAAYLLIARWYTYKKTVD >ORGLA01G0347500.1 pep chromosome:AGI1.1:1:29404673:29406937:-1 gene:ORGLA01G0347500 transcript:ORGLA01G0347500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVGVKIYSVFFKLLLRHKLQSLAAAAAAAAAGGEDDAAAFGVSCRADEATAPSNPAFSAADGVASKDLHIDPNSSLSVRIFLPTPPPRPHSRRASEPPPATANGGSAPYRGYLPHAVSSPRAAASARRRLPIVVQFHGGGFVSGSSSSAANDAFCRRVAKMCDAIVVAVGYRLAPESRYPAAFDDGVRVLRWIAKQANLAMMSKVGGGVDTFGASTVEPWIAAHGDPARCVLLGVSCGANIANFVTRKAVEDGKLFDPIKVVAQVLMYPFFIGSVPTHSEIRLANSYFYDKSTCILAWRLFLSEKEFSLDHPAANPLAPDRGGPPLKCMPPTLTVIAEHDWMRDRAIAYSEELRKVNVDAPVLDYKDTVHEFATLDVFLKTPQAQACAEDIAIWMKKYISLRGHEFSY >ORGLA01G0347400.1 pep chromosome:AGI1.1:1:29396844:29403690:1 gene:ORGLA01G0347400 transcript:ORGLA01G0347400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zincin-like metalloproteases family protein [Source:Projected from Arabidopsis thaliana (AT1G67690) TAIR;Acc:AT1G67690] MSLRRRERRVIAAAGAAALVAVGLNLAFSAVAAHRRRKRRELPGFTAQVNLSAADIKRLADRVVSKSKETYDAVAAVPLDKVSFSNVIAPLAELDAQQFPLVQACVLPRMVSPSDDVRRASAEAEKRLDSHFQQCRQREDVYRVIKAFTQKGERIGLEATRFVQCMVREFERNGAKLTQSKKTEMEKLKSHIDDLSLKYIQSLNDSTKFLLLNEEDLAGMPLEFLKELENTNGKWKVLLTSYHVTPILEHCKVGSTRKLIAVAYGQKGGKENIAILEKLVQLRHRLARLLGYPNYADYAIEPRMPRTSRKVLEFLEEMSEQLNGLANRELSVLKDLKMKEEGDAQFSVEDLLYYMKRAEELKVDLDIGEIKQFFPVDLVISGILKMFQDLFALRFEEMKDAETWHDTVRLFSVWDASSSDLLGYFFLDIFSREGKYAHTCVVALQNGCLCSNGTRKVPVAVLLSQCPKEFDGNSALLRFPEVVRIFHEFSHVVHHISNRATFSRFSGLQLEGDFAEIPSLLLENWCYENISLKMMSGFHQDITKSITSEACQSLKRRRDIFAGLKLKQEILLCLVDQIIHTGENVNIDDLIKDLHPKCSFFFQVMLGIPLLEGNSPASCFPRIAIGYDAVCYSYIWSEVFAADLFASKFKDDLLNQHAGLRFRNKVLAPGGSKNPLDIISDYLGREPSLQAFIQSRTRNSL >ORGLA01G0347300.1 pep chromosome:AGI1.1:1:29389359:29389682:1 gene:ORGLA01G0347300 transcript:ORGLA01G0347300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPRYLELQHKENVVTTAEVFIQTQWLYLQHVMRCRQKVWQTGTPIQVAHRDQISLRHPDAGSATLERHLGGSGNCQQCAVQTCMCPPCVHLHRVLRLYDRVHDH >ORGLA01G0347200.1 pep chromosome:AGI1.1:1:29378035:29381946:-1 gene:ORGLA01G0347200 transcript:ORGLA01G0347200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G48500) TAIR;Acc:AT3G48500] MAATPATATFPHLGLRPKPLLRRRLRRIAVSVSPSGPDETPADDPPVIPSILVKNTEPEDVARRRSWVEHGWAPWEEIMTPEVAFARHSLNEGEEVPLQTPESQEAFRMLTPAYREKVESEPGYEERLFATRDTPEPLETSWAGELPLRLVPPRDWPPPGWEVDPGELEFIREAHREFTERLDMAAVAAKGVTHVDKVENAPDDLGLDRYKMFLKQYKEWVEANRDRLEEESYKFDQDYYPGRRKRGEDYKEDMLELPFFYPGQICRGRVISVHLYQGAFVDIGCVHDGWVPIKGNDWYWIRHHIRPGMEVHVEILAKRDPYRFRFPLEMRFVYPNIDHLIFNRFDYPPIFHRKEDTNPEQLWREGGRPPIPRKKPLKDMEKEPLVSDHPFVETLWLWHNAEQMILDHEEENPDKFKDTTYESTVDSSIVHEENRVQHTEGYFKDTLLKKEVVISRSLIWMPLVLSVSXXKNXERKQRKEERNTKXGSSDETKKWMSTIXCNGAVLSKSGKLLSETYAAVKHLGFLLTNLGDMTWMRPKFMAKITTTRVSLCTATTTGESPRTPRRPS >ORGLA01G0347100.1 pep chromosome:AGI1.1:1:29374082:29376257:-1 gene:ORGLA01G0347100 transcript:ORGLA01G0347100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGGGDGGASSAGFSYFAVFHNYPLVAALLGFAVAQSIKFFVTRYKENRWDPKQLIGSGGMPSSHSATVTALAVAIGFQDGFGCALFATAAIFASVVMYDASGIRLHAGKQAEVLNQIVCELPSEHPLSETRPLRELLGHTPTQVVAGALLGSMLATAGQMFLVVSGSV >ORGLA01G0347000.1 pep chromosome:AGI1.1:1:29369926:29373402:1 gene:ORGLA01G0347000 transcript:ORGLA01G0347000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) TAIR;Acc:AT1G24340] MPSIAGAGGGRLFSVNGAAAYWGSSRRRLLLLQRPLFSSLAGGGTGDDVTPHLPVVIVGAGPVGLVLSFLLTKFGIKCAVLEKNVEFTRHPRAHFINNRTMEIFRKLDGLAGDIERSQPPVDLWRKFVYCTSLSGSILGSVDHMKQEDFDKIISPISVAHFSQHKLVDLLLKKLDGIGFQTCLPGDIGSSTQDLVLENKILMGHECSSVQLTDKGILIGASFNEAGRMQERKIHCGLLLGADGARSTVRELAGAIGVLVAHDLEHGEFVLQIPFYPPQQMFEDFSTKICEEIIVKLVGWEPSDVQVLDIKPWVMHAEVAEKYIGCDNRIILVGDAAHRFPPAGGFGMNTGVQDAHNLAWKLCLLQNGVASPSILQTYESERRPVRLSSHSQAFYNVAIFNTELSVENFKAAMSIPAALGLDPTVANSVHQVINSSLGSVIPRNLQKSVLEGLFSIGRAQVSDYILNEKNPLGSLRLARLRSILDEGKSLQLQFPAEDLGFHYELGALVAEDCAETTYEAEKQKHSKRSREYIPSAKAGSRLPHMLMRALSTSNEGVFSTLDLVNGDKPEFALIIAPLKVSYELARAMLKIADELKLPTKICVIWPQGSVDAKVKGSRSELAPWTNYVDVEEVPRAPVNSWWEMCQITSKSVILVRPDEHIAWRTESDRVTDADSEVRRVFSEVLCLTSRIQ >ORGLA01G0346900.1 pep chromosome:AGI1.1:1:29364958:29368268:1 gene:ORGLA01G0346900 transcript:ORGLA01G0346900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSPPPQRAHIPMAEQRWRPPYAYASSAAGGGGVDRRSRSGFCAATRTFHSLRSVGPLPPEELPLTVAAYAFSLLSSAPPLVVAGRGPALVDAATGIAVSYPAFVARVRSLAGGLWCSLGLRPGDVALVVSPSCLDVAVLYFALMSIGVVVSPANPASTADEYAHQVRLSRPAVAFVAPEVAARLPRHVSRVVIGSEVFDRLASASAAGGWAAPPAVAMKQSSTAALLYSSGTTGRVKAVAITHRNLIAQISAYNAIRETVAREAATDAGKGKPPPPSPPAAVTLFPLPLFHVMGFGLLTRTISSGETAVVMRRFDLAAAARAVERYRVTKLSAAPPVVVALTKSDEARRRDLSSLVAIVVGGAPLGREVSQRFATVFPSVQIVQSYGLTESTGPVATMAGPEESAAYGSVGRLAPRVQAKIVDTATGEALGPGRRGELWIRGPVVMKGYVGDPEATAATITPDGWLKTGDLCYFNEDGYLYVVDRLKELIKYKGYQVPPAELEHILQSRPEIADAAVVPYPDEEAGQLPMAFVVRQPGAYLTEQQVMNCVAKHVAPYKKVRRVAFVNAIPKSPAGKILRRELVLQAMASTSRL >ORGLA01G0346800.1 pep chromosome:AGI1.1:1:29360008:29363456:1 gene:ORGLA01G0346800 transcript:ORGLA01G0346800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPTARRLRLRRPPGSTPGAASARRRGSSTAPAPRATSRRSRSRXPRRPTPSPSCRRRRSPAAPRSSTPPLALPSPTRPSSPPSAPSRGGLWCSLGLRPGDVALVVAPSRLEVPVLDFALMSIGAVVSPANPVSTPEEYAHQVALSRPVVAFAAPEVAAKLPGHVRCVVIGSDEYGRLAASDGRRAAAPAAVAVKQSDTAAVLYSSGTTGRVKAVAITHRNLIALMSLHADNREKVAREAAEAGEEPPPPAVTLLPIPLFHVFGFMMVLRSVSMGETSVLMERFDFIAALRAIERYRVTLLPAAPPVLVAMVKYEEARRRDLSSLLVIGIGGAPLGREVAEQFASVFPNVELVQGYGLTESSGAVAATVGPEESKAYGSVGKLGSHLQAKIVDPSTGEALGPGQRGELWVRGPIVMKGYVGDDEATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILQSHPGIADAAVIPYPDEEAGELPMAFIVRQPGSNITKEQVMDYVAKQVAPYKKVRRVAFVTAIPKSPAGKILRRELVQQALSMGASKL >ORGLA01G0346700.1 pep chromosome:AGI1.1:1:29352143:29353684:1 gene:ORGLA01G0346700 transcript:ORGLA01G0346700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSFDLSCSLRKEEENGTNLSPFVRKLFKEWDDRKARGLFHHDISSCETKVLPGEHNFVATLIEGRDQKKRPTQFGMNQVLQPFDSVKFNFTKVSPEEVIFTFKESQNDSVKYFDNVPHAVAASPTAILINVSPIGYCHVLLIPRIQDCLPQRVDKESFLLAMYVASEAKDPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKAPTEKLTTLGNGVSIIQLVQYPVSGFVFEGGACLEDLSDVVSKVCIFLQENNKPFNALISESGKRVFMLPQCYAEKQALGRASQEFLDMRINPAVWELSGHLVLKRRKDYDEASEATICRFLDEASLSESEFQELKSCILEFLSSAAPEE >ORGLA01G0346600.1 pep chromosome:AGI1.1:1:29345908:29350531:-1 gene:ORGLA01G0346600 transcript:ORGLA01G0346600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLRRASLRRAVAAVAAAAAPCPESYKQGICGSTFHCRYFSSKAKKKTKSSGTDSGEENLSKKDLALHQAIDQITSAFGKGAIMWLGRSEGRREVPVVSTGSFSLDLALGTGGLPKGRVIEVYGPEASGKTTLALHVIAEAQKHGGYCAFVDAEHALDPALAESIGVNTSNLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVAALVPKSELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQIRSKVTTFGGFGGPMEVTSGGNALKFYASVRMNIKRIGLVKKGEETIGSQVLVKIVKNKHAPPFKTAQFELEFGKGICRSSELIELGLKHKLVKKLGGAFYSFNEESYRGKDALKSYLNENESIVKELETNLRKLMETQAPKKQEDEGDFLSDLPEESLATETSSEEELAAVIEA >ORGLA01G0346500.1 pep chromosome:AGI1.1:1:29329172:29332072:-1 gene:ORGLA01G0346500 transcript:ORGLA01G0346500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1NUC5] MDAMEAEEGPFLANDAKLHAGMYRAFHPAVSKLVAIFPFIEASRPRSKSGIQALCSLHVALDKAKGLLQHCADCSRLYLAITAETVLLKFEKARTQLQESLRRVEGIVTEEIGCKIVEIIAELEEVVFTLDQSEKEAGDEVINLLQRNRKMNSSSDSGELEVFHMAALKLGITSSRAALTERRALKKLIEKARSDEDKRKEYVVSYLYNLMRKYSKFFRSEAGDDTDSQGSSPCSPTVLGMEDMYGPYGNGRAFSRQLSSIQSFNSRFGSFNSRLGSFNCRRSGPRSENMSIPPEELRCPISLQLMYDPVIISSGQTYERVCIEKWFNDGHSTCPKTQQQLSHLSLTPNYCVKALIASWCEQNDFPVPDGPPGSFDVNWRLALSDSEATGCVSLESFDSINVKSVKVVPLDNVRKEEPANNESGTLDDSSCYDFDMNEGYRNLLLMLHEKTNLHIQCRLVEQIRYLLKDDEEARIQMGSNGFAEALVQFLRNAVQDGNEKAQEIGAMALFNLAVNNNRNKGLLLSAGVIDQLEQMISNPRLSAPATALYLNLSCLPEAKNIIGSSQAVSFLVDRLFSHEARDTKGSSCKHDALYTLYNLSNHQASVPSLLSAGIVEALHSLLSESPASEGLGWTEKALAVLISLAATQAGRKDIMSTPGLISTLAMLLDTGEPTEQEQSVSCLLVMCSADDKCIAPVLQEGVVPSLVSISATGTGKGKEKSQKLLKLFREQRQRDGAQPVPQQAQQQQQQQQQAGETGNGTMVCRRDSKQLCKSKSRKLGRTLSSLWKNRSFSLYQC >ORGLA01G0346400.1 pep chromosome:AGI1.1:1:29324084:29325946:-1 gene:ORGLA01G0346400 transcript:ORGLA01G0346400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRELRPLRSIRITGDGRCLFRSVAYGACLRRGKQSPSDSIQKELADELRSKVADEFVRRRGDTEWFLEGDFESYVRQMRKPHVWGGEPELLMCSHVLRMPITVYMYTKGSDSPRIIAEYGQEYGKDNPICVLYDGYGHYDALQPSLERNTDNRRLRYLRLFSRTVSRSSAT >ORGLA01G0346300.1 pep chromosome:AGI1.1:1:29318483:29323453:1 gene:ORGLA01G0346300 transcript:ORGLA01G0346300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMTAPHGGMPPPPMPAAGGLARYGSAPGSLLASIADSVIRGGGVGVVDQLHHHQHQHQLPPPPPPQQQQMVGRYFSAESSGLTSCESSCRTTTTTSTAAAADVGRHPLERAYGGSGEIHVDASSAAVPLFRHSSSPAGLLSRLMADPHGNGMATTRGMGGYSGGGGDAGAMAHRRLSSQWSFSRQDLPQISEMGGLIPDIGESIVTGGGGNSSSDGAGHGAQSSSFLSSRNFSMSSWDDTNSIMFSPPSSSKKARVAAAAAGDHGDDMVSSFSNIDSQFGLSKQSSLEMAGMDDFLQLQPDSVACRARAKRGCATHPRSIAERERRTRISKRLKKLQDLVPNMDKQTNTSDMLDIAVTYIKELQGQVEKLKHDQANCTCSGKHDC >ORGLA01G0346200.1 pep chromosome:AGI1.1:1:29302465:29303748:1 gene:ORGLA01G0346200 transcript:ORGLA01G0346200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAAAATRHRHARPAGHLRCAADAVSTAPAERTAARVIATSSRTASASAASGSVARVWRKVQGSGDWDGMLSPLHPVLRGEVARYGELVGACYAALEEDPSSPRYMNCKYGKLRMLEDAGVAGAGYEVTRYIYSSPDAAVPGMEASTSGRASWVGYVAVSTDETTRRLGRRDVLVSFRGTVTPAEWMANLRSSLVPASLAARGGGGGDVKVESGFLNVYTSADETRRFGCADSCRDQLLREVSRLFAASRSGGEDVSVTLAGHSMGGALALLLAYDLAELGVAGEAPVTVFSYGGPRVGNAAFKARCDELGVKVLRVANARDPVTKLPGVFLNEATTRSGPLAAMRGACYAHVGEELTLDFVNLGDLASVHDLGSYVASLREGVVTDAEAATGGVLAMAMELVGRQWQSKDAARGMVQSTGLI >ORGLA01G0346100.1 pep chromosome:AGI1.1:1:29287516:29288835:1 gene:ORGLA01G0346100 transcript:ORGLA01G0346100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPRQCAAACRTGGGGGGVVRCRAVAAAGGAVAVRDAVVAPVARRGAARKTAETVAGMWREVQGCGDWEGMLEPAPHPVLRGEVARYGELVGACYMAFDLDPASRRYLNCKYGRERMLEEVGMGGAGYEVTRYIYAAADVSVPTMEPSTSGRGRWIGYVAVSTDEMSRRLGRRDVLVSFRGTVTPAEWMANLMSSLEAARLDPCDPRPDVKVESGFLSLYTSADKTCRFGGAGSCREQLLREVSRLVAAYSGGGEDVSVTLAGHSMGSALALLSAYDLAELGLNRAAPVTVFSFGGPRVGNAAFKARCDELGVKALRVTNVHDPITKLPGVFLNEATAGVLRPWRHSCYTHVGVELPLDFFKVGDLASVHDLATYISLLRGADKKQPAAAAADAGGVIAKVMDFVGRRRGGGGGGGALPWHDAAMIQMGGLVQTLGLI >ORGLA01G0346000.1 pep chromosome:AGI1.1:1:29284242:29284817:-1 gene:ORGLA01G0346000 transcript:ORGLA01G0346000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLQGKGAETAAAGERVAPGTNAAAFAVLGYPPIQSPVALQEEEGPRDAAFAGYAPIRSPVVSRLQEKGEGEGEEEEVDKREEAGMAADGSAFAAGMALVPKPEPVAVEFLRGLAVAKPPPRNRDRHVKVEGRGRRIRMPVNCAARIGQLTRELGHKSDGETIRWLMQQSEPAIVAATGTGTVPAIATTV >ORGLA01G0345900.1 pep chromosome:AGI1.1:1:29261523:29268244:1 gene:ORGLA01G0345900 transcript:ORGLA01G0345900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVLATVRWATACAAVLNAAAASTGGAVAALALRGGGGCGGALGPVAAAASAASAARLVASAVAGFAQGAAAAAIAAGAIGAHVDSDRDLRHLSRLRYKRWLWWTRFGVVITVLQFVLALCLLCIIVKDFSAGRSSKQCLSGHDQDNSNWKHTLLISFIVIMWVATIVQCSTGSDVLRWRSVLEEDDICVVAKLLGDLMAYRASGTGHLELIAGFSLLQKFKSSAVVSKEQAEAPQDLIKEAVLFHPFAEAAYTGPLLDFGRNPFMFPCVWLNRQGVMTPWTRARRPVLEGDNWWRGHATAFLKYVSVPPEVLIKGRVSQARREAAYFVVVLHDLKTLVIAIRGTETPEDVITDGLCRECSLTVDDLDGLINSDQLPLQVKDAVISSFPHHGHAGMVESARELYAKLEGLPIHQDKPDAVPAGFLSSLLGAGCECHGYNIEIVGHSLGGSVAALLGIRLYGHFPKLHVYAYGAAPCVDYVIAEACSQFVTSIVHNDEFSARLSMNSIIRLRAAAVRALSKDALPTSTKVGKLVAGIVRTKGYNRNVADHRQSTGALQIVNEAKLRNDQIHGNNLMHTIRGGVFLLGKAISCLVNTPKYRVSSTAAINYELGSRSRMNILGDRGNCMAASCSVLDVPHFGEPSNACGNGKSSEDDLNECSREYRLPHSNDGTKLSIDPNDLRTISLPEGQSPEVYLPGLVIHLVPVKNHTSPFQKTPVTRHKSRKNKSYKAFIANRQDFMDLVVTPRMFLDHLPWRCHYAMQRVIETRKRDMLIHDSSTRDDIV >ORGLA01G0345800.1 pep chromosome:AGI1.1:1:29245651:29249332:-1 gene:ORGLA01G0345800 transcript:ORGLA01G0345800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNNWLAFSLSPQDQLPPSQANSTLISAAATTTAAGDSSAGDVCFNIPQDWSMRGSELSALVAEPKLEDFLGGISFSEQHHHGGKGGVIPSSAAACYASSGSSVGYLYPPPSSSSLQFADSVMVATSSPVVAHDGVSGGGMVSAAAAAAASGNGGIGLSMIKNWLRSQPAPQPAQALSLSMNMAGTTTAQGGGAMALLAGAGERGRTTPASESLSTSAHGATTATMAGGRKEINEEGSGSAGAVVAVGSESGGSGAVVEAGAAAAAARKSVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPVNNYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSAALPVGTAAKRLKDAEAAAAYDVGRIASHLGGDGAYAAHYGHHHHSAAAAWPTIAFQAAAPPPHAAGLYHPYAQPLRGWCKQEQDHAVIAAAHSLQDLHHLNLGAAAAAHDFFSQAMQQQHGLGSIDNASLEHSTGSNSVVYNGDNGGGGGGYIMAPMSAASATATAVASSHDHGGDGGKQVQMGYDSYLVGADAYGGGGAGRMPSWAMTPASAPAATSSSDMTGVCHGAQLFSVWNDT >ORGLA01G0345700.1 pep chromosome:AGI1.1:1:29241521:29243407:-1 gene:ORGLA01G0345700 transcript:ORGLA01G0345700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSMRGQPKGIPWLVLAMLIATFAMLTEGATSLSPPSLSLTPTYAPVIKVIGKVYCYRCFNEAHPEESHGKEHLKGAMVKVTCQANDQALVGFGYTQDNGKYSVSITGLPLSSTYGADSCKVELHSAPGGSDCNVPIELNLSGLSVYSKSNEEVVLQANQVMAFASQKTFGFCSKPHIQPPIFPYNSPPPSPYQYPSPPFNYKSPPLPNQFSPPPFNKFPPPSHQYPSPPQSSYHSPPPYQYTPPNSYQAPPTSYNHPPPPYGYNSPIPPTNKYLPPPYYFNSPPPQYQHSPPANSYVSPPLAHQYPPPPYKSPPIPPYYFNSPPANHYSPPPYNFGSSPPTYQYSPPLLPKTPKYLPPKVPLEMSPPAHATSPQPLVHYSPPPPLQHAGISSTTPSVNSYQSPPPVNQLS >ORGLA01G0345600.1 pep chromosome:AGI1.1:1:29240433:29240945:-1 gene:ORGLA01G0345600 transcript:ORGLA01G0345600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSTTIKQKKTLKLYSVIDNERRKQLTNQSLVITKSELQDFSRIQCSADTPARSSAGITFFRRRISSTDLAVSKQHDPPTNEKENLYIADSYDFFPNAAAEVVTSSNGLQGHTGEDEDDDEEATGGHGRGVLPEVAVEASPEAAATAAAAAAGPAVAPPQKIRIVFGWA >ORGLA01G0345500.1 pep chromosome:AGI1.1:1:29234353:29237608:-1 gene:ORGLA01G0345500 transcript:ORGLA01G0345500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59300) TAIR;Acc:AT3G59300] MAAGVTFNWIKTPLDIRRFHDFSSLSFRCRNTFGSIQPSWLTTDQEPSFSKVRVAADYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLSLTDVETARTVVEANSKGLLVFPARVHNEPHGHVAWSDFQYIVDDYGDIFFQVPDSENILEDDAANNPVTVLIGMDGPIIGETSVVTSDFSDYMDVENFIDMPDENDSKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKAVHDKHREKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHGECNGDGYSSDWRDECKREPAPASGTNGLIDDDKSRFDFMNVGSSTDSTIYKLEIMTVELFSIYGKQLMIDPQDFQDAEPDILANSASEIINRIKENDDQCAMALRSLCHRKKCLIVEEASLISIDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRRKNVKPSTEDEC >ORGLA01G0345400.1 pep chromosome:AGI1.1:1:29224684:29227244:-1 gene:ORGLA01G0345400 transcript:ORGLA01G0345400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43200) TAIR;Acc:AT2G43200] MAWPARRDRAWYANVELPPLAPAKLAGPPDPVRARGDWLVFPKGVGTYVEQLAGMVPLRGGEVRTALDVGCGVASFGDYLLNYGILTMSIDRRNRHKAQVQLALERGLPAMIGALGVRRLPYPTRSFDMVHCAGCLIPGNSHDELYMLEIDRLLRPGGYWVLAMPPISWKTQYDDLNRTAKGMPGEQLALEEIVKKLCWSKVSENGTIAVWRKPINHIQCEQDAKLLRSPPFCTGDDADSAWYVNTSMCLTRLPRDIAGGAVEKWPERLTAIPPRIASGETKGMPIQTYKLDSLDWKKRVDFYRTYLNLSDGSYRNVMDMNAGFGGFAAAMSEYPVWVMNVVPANLTDNTLGIIYERGLIGTYMDWCESFSTYPRTYDVLHANGVFSLYMDTCGIPYIMLEMDRILRPGGAAIIRDVPDVVHKVKDAADRLHWHSEIVDTENGGLDPEKLLIVDNSLPFPDHPA >ORGLA01G0345300.1 pep chromosome:AGI1.1:1:29213383:29215548:-1 gene:ORGLA01G0345300 transcript:ORGLA01G0345300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWRRWICCNCQVNESDQLENGHAKVLTSNVDGVTKGLKDSATEKAQPQSSALTINIPVLSLDELVEKTDDFGSSALIGEGSYGRVYYAVLDSGTKIAVKKLDSNENEPTSEFLTQVALVSRLKHENFVDMLGYCTEGNLRLVAYEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVRIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKVADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVKQCIDPRLNGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLTSKPTPPAPPPALDG >ORGLA01G0345200.1 pep chromosome:AGI1.1:1:29206676:29211518:1 gene:ORGLA01G0345200 transcript:ORGLA01G0345200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVECRVCHAKVQVPMAAAAVSKAYDIHRSSVSSRQRALNVLLVSGDCVLAGLQPILVYMCKVDGKFKFSPVSVNFLTEITKIIFAIIMLCIQARRLKVGEKPFLTVSTFMQAARNNVLLAVPALFYAINNYMKFVMQLYFNPATVKMLGNLKVLVIAVLLKVIMRRRFSTIQWEALALLLIGISVNQLKSLPEGSSTLGLPVAAGAYLYTLFFVTVPALASVYNEKALKSQFDTSIYLQLQNLFLYGYGAIFNFLGLVITAIIQGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFVLAISIVIISMHQYLSNQIKDEVPSSKIEMGDAHEHRSKESVVVNVSDSIATEAKHRHGTDERQPLLPV >ORGLA01G0345100.1 pep chromosome:AGI1.1:1:29200836:29201381:1 gene:ORGLA01G0345100 transcript:ORGLA01G0345100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTTTAAYFSSQPQLPATAAAKSGGRQQQRRRSSFVMLEAAAASGSGGEGGGAVGRTRSLTEEDLEELKGCLDLGFGFAYHEIPELCGTLPALELCYSMTRRFLDEQRAPGQEPESPATPPLPNWRISGPGKAFPSPRADFDSDNVLGLGLCEYILDYFLVVKVCDRFFLLFCWSCMI >ORGLA01G0345000.1 pep chromosome:AGI1.1:1:29191919:29194039:1 gene:ORGLA01G0345000 transcript:ORGLA01G0345000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:I1NUB0] MEKNCACPPPSKGNMITILSIDGGGVKGIIPAVILSFLESKLQELDGKDARIANYFDAIAGTSTGGLIAGMLAAPSLGNANQPCYAKDIVPFYLKHSPHIFPHRTGFFGWFFNILGIIKMAIGPKYDGKYLHRLINDLLGETRMKETLTNVVIPTFDVKCVKPIIFSTFKARRKPLKNACLADVCIGTSAAPTFLPAHYFETVDHTGASQSFNIIDGGMAANNPTLVTMGEITKQIKRKSEEFPKAEPLDYRKYLVISLGTGLPEHDIMFDARNVAKWGIFRWLVDKDTMPLLNMFFHASSDMADTLVADLFQAIGCSHQLLRIQDHNIPIGAISNDLSTKDHLQLLIKIGENLLKKPLSKEECEKNNIEPEPNLHGDHVTTYADMLTRFAKLLSDERKLRLHNMKLDAKP >ORGLA01G0344900.1 pep chromosome:AGI1.1:1:29189392:29190952:1 gene:ORGLA01G0344900 transcript:ORGLA01G0344900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAPIAIQNENLPIFRGIDGKKAGAAAAAAARVGRQERRALGDLSKARRARPASSTGGKATAAASVGGSGKNLVKPSYLSDEDWMKCCEWAKDGVETASFTGNDMQKLLSDKLEERIQKKVEKAMGTMQLSMDSLYRIDAHSKACMVDPEDKTELDLDTEFLPPMSYLSSRLGEHNANHVLSDLEFEHETFANCNLDLKLKEEYGT >ORGLA01G0344800.1 pep chromosome:AGI1.1:1:29178154:29183921:-1 gene:ORGLA01G0344800 transcript:ORGLA01G0344800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05960) TAIR;Acc:AT1G05960] MGVMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIIAEIYQLPPDGEPNDRRIGKLCDYISRNPTRIPKITEYLEERCYKDLRHENFTLAKVVPCIYRKLLCSCKDHTPLLATSTLSIIRTLLDQRMNDDLRVLGCLMLVDFLNGQVDSTHMFNLEGLIPKLCQISQELREDDKGFRLRCAALQALASMVQYMGDHSHISMELDEVVSVIVSCYEVNQTLSIKEVVRLQDDDDLVINGSLTGLPVSGQNSAKVASDTMSASENPAHWARVCLRNMASIAKEATTVRRVLDPLFRLFDSHNYWSPENGIAFSILQEMQALMDKSGQNGHLLLSFTIKHIDHKSVAKKPAKQTSILKVASLLAKHAKLKASVTIASATSDLIKHLRKCMHCAVESPNAQNDVDKWNSALYVALEECLVQLTEKVGDVGPVLDMVGVMLENLSCTATIARTTISSVFRTVQIAASIHKSLYNQKAFPEALFHQLLLAMMHPDKKTRVGSHRVLSTIIAPSLLCPWSGISFPIPVKGNDSQSITLLALSAFSSEAIMDEVRIKSRTHEQLQNNVKPETLVGSENGYTHTEPNSRKSPGLGIPLKDENLKFMKLNSSQLVLLLSSIWSQAPLEDNSPANFEAMCHTYNIALLCSMTKSSSHAALVRCFQLAFSLRRMSLNQENGLQPSRRRCLYTMASAMLIFSAKVADIPQTIPLVKAAVPEKMVDPHLCLIDDCRLVVSSPQSSNSGIVYGSEEDESDARNFLSCVNKNDTQLKEIVISHFKEKFENLSEKFNGIEEQLLQEFSLDDSFPLSAPLFMETPHSCSTYAEKDDHCFDEEVIPCEMDDDDDIVFEHSGSQSDRKTSGSMASSDVLNVNQLIESVHETARQVANAPVSANLVPYDQMKSQCEALVMEKQQKMSVLLSFKHSRTDSRGSTAENGLETNESSARSEPETQSTRKERMRRSDSASSESDRSFRLPPASPYDKFMRAAGR >ORGLA01G0344700.1 pep chromosome:AGI1.1:1:29175249:29176687:-1 gene:ORGLA01G0344700 transcript:ORGLA01G0344700.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAQEAGSPGVAEVAGDLAHAAHDCVRALVESGPAAERPRCLLRLWRRKNRHNKNAAGEADLEAPLLHPHERPSSSSSPIGASLSEIIELSQSERDFINYGMFGALAIFPYLTRTGGLKSAYSPLSPSTFHIIFCTWWICVGLDVLCGNRGRAMMKNILAFILAFYARASARLAILGVSLLVILYSHLELAPNEIYTLYILLGAATCMHLLVWAMDYMSRAPGDAAD >ORGLA01G0344600.1 pep chromosome:AGI1.1:1:29172565:29173334:1 gene:ORGLA01G0344600 transcript:ORGLA01G0344600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLMLPRQYDAAAAPRRTTVTIDDGEAALLERLLRRMEEGDDAAAAGGDQTRANDRRCFSVKAGCRFVRGFGAMASLIRVSFSLMGDSSYSLALRTIISLSPAAFAFFLTQENPFFAREPFVRFFLQ >ORGLA01G0344500.1 pep chromosome:AGI1.1:1:29166049:29167542:-1 gene:ORGLA01G0344500 transcript:ORGLA01G0344500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFPVPVTSWSSAALLGRAISSARDAAEASSPITAAEMVRVAKEVANAADACGVSGKKLLEAAEALSRSDTDAEPRRRAAERIFDAASMVAKEADASGASGLSDAAQNLTCATYAFSVAASGWGSLPESSTSGRDAGDLLTEPLLGSCQDKNEKMTGEGKDFSEMRNSAADSDPLQQSEIKESSLFGKCKELLNYGFLGGPALLPYLGSGLRKTVSPCSPSVFHYIFSSWWICIGVVDELFVRIIDCSQ >ORGLA01G0344400.1 pep chromosome:AGI1.1:1:29151121:29160054:-1 gene:ORGLA01G0344400 transcript:ORGLA01G0344400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sister chromatid cohesion 1 protein 4 [Source:Projected from Arabidopsis thaliana (AT5G16270) TAIR;Acc:AT5G16270] MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSIIFPEVPIALRLSSHLMLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPEAAFQGDIDHHVSTKEQITLQDNPEKTGYSTSQFGLDERFGDGNSSHIGLDLEEELMLNKDQSIQLEADDGIIIQGRSAVHPTDMEVDDNQNKDESAEGYNMEDGPSSHNKLNPLSADGLVGNSLPNWHTYNVQTPDLNDMLLNNDGNAGPSASYYQPSPFPCDEPASPEFVSAQAPATPGLMEETVPSRVHESPVLSPQRKASPSSNDETAKTAENANDLVGAETTELALTKPVQIESSGAVQEIDSLSQQCATEELPPQAETSNLEATMDKSMINTDGVAASGEATAAKETTEVSLVENSLELCADGPTEPVIENQTQFNDGSVDVQGGGQHAPHAILATADSQVNVEASTQEMASNDIPSDLPTLEFPEREKMLSAPDVELYQANDLGQVTAEKGTTESDGSNKVGSLTSRKRHLEDSLPALESATTEKLSSRPRGKRTIDGIPDDDDLLASILVGRRTPGLRLDSTPIPPKTSSLKRPRLTSKTTTPKRKVQMDDAMVIHADTIRQQLISTEDIRRIRKKAPCTRSEIWMIEKGSLEDDIFHEPIFSCMCKELNDLQYRTYEIVAHPTIHNMEIHVRLDMSQTMADGSNDVGTSGAKDSGNHQDHVVLPDGAESDAMHPEATDAADARTDFDSHMPSDKQVNNVEGVTEQLTDNEKETAVVEKATTNMGDSAQVDSLDKEYLQDVPADLQRSTNTNTPLFVLDDMPGPDVVLDSSDPVSAQAVDDMKGELSDIVHDNVNAFDNKDMPTSEITVLEFTQNASGFPQPTEDENVLSAMGENSGLQENHVGSVMDLDNMGHDFSLKECSDFGSAIQGVDTDFLMYDDEVDCDEANDDEPNPEEFQSLDNSGWSSRTRGVARYLKTLFDEESGLGRKSVAIDHLLSGKTRKEASRMFFETLVLTTKDFISVDQPNSFDFVSVKPGPKLLKSDF >ORGLA01G0344300.1 pep chromosome:AGI1.1:1:29139567:29143280:-1 gene:ORGLA01G0344300 transcript:ORGLA01G0344300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:I1NUA3] MPSLRRFLELVVVGIVVCGVNGGSDGLEVAVARRQLHQPFFPDQSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGAAATAAPDGGGGGGGGARKSKSSASKLVPAIVLPLLTVAVLGLSIAFFFTHRRGNAARGGGGGGGCVGGGDAKFLHPERASLFARDEFGGSGGAAAPPAAAMDYRYVGNAGIGRMDEKSSETTSSGDEASRSTGGSPELRPLPPLLARQCGPMGARSPGSGVGGFASPSSGDEEFYSPQGSSKMSTSHRTLAAAVEAAVAARDRSKSPSPGSIVSTPSYPSSPGATMSPAPASPPLFSSPGQSGRRSVKSRSDSVRTFGQPPAPPPPPPFAPTLPPPPPPRRKPPSPSPPSSPLIENTSALRSTTTTDTTIPRNPFVQPPPPPTHTHGPPPPPPPPPPPPVGYWESRVRKPGTGTSKETRSPALSPPPQAASFKSGLPTDAFPGRLADNADHAAAGGGGGGGDKSEEATPRPKLKPLHWDKVRASSDRVMVWDQLKSSSFQVNEEMIETLFICNPANSAPPKEPATRRPVLPTPKTDNKVLDPKKSQNIAILLRALNVSKEQVCDALCEGNTENFGAELLETLLKMAPTKEEEIKLREFKEETSPIKLGPAEKFLKAVLDIPFAFKRVDAMLYIANFESEVNYLKKSFETLETACDELRNSRLFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRTEGSHLSASNRSTPRTQANPLRDELECKKLGLQVVAGLGNELSNVKKAAAMDSDVLSSYVSKLAGGIEKITEVLRLNEEVKSREDAWRFHDSMQKFLKRADDDIIRVQAQESVALSLVKEITEYFHGDSAKEEAHPFRIFMVVRDFLSVLDQVCKEVGRINDRTIASSVRHFPVPVNPMMPQLFPRIHALRAGISDDESSATSASSP >ORGLA01G0344200.1 pep chromosome:AGI1.1:1:29130514:29135200:1 gene:ORGLA01G0344200 transcript:ORGLA01G0344200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta glucosidase 42 [Source:Projected from Arabidopsis thaliana (AT5G36890) TAIR;Acc:AT5G36890] MGSTGRDAEVTRGDFPDGFVFGVATSAYQIEGARREGGKGDNIWDVFTENKERILDGSSGEVAVDHYHRYKEDIELMASLGFRAYRFSISWPRIFPDGLGKNVNEQGVAFYNDLINFMIEKGIEPYATLYHWDLPHNLQQTVGGWLSDKIVEYFALYAEACFANFGDRVKHWITINEPLQTAVNGYGIGHFAPGGCEGETARCYLAAHYQILAHAAAVDVYRRKFKAVQGGEVGLVVDCEWAEPFSEKTEDQVAAERRLDFQLGWYLDPIYFGDYPESMRQRLGDDLPTFSEKDKEFIRNKIDFVGINHYTSRFIAHHQDPEDIYFYRVQQVERIEKWNTGEKIGERAASEWLFIVPWGLRKLLNYAAKRYGNPVIYVTENGMDEEDDQSATLDQVLNDTTRVGYFKGYLASVAQAIKDGADVRGYFAWSFLDNFEWAMGYTKRFGIVYVDYKNGLSRHPKASARWFSRFLKGDDAENKADMN >ORGLA01G0344100.1 pep chromosome:AGI1.1:1:29126179:29127876:1 gene:ORGLA01G0344100 transcript:ORGLA01G0344100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02650) TAIR;Acc:AT3G02650] MWRRSGARALLLLRSSIRRTLPPPPPSPLRALTRAPPPPRPLFRFLSSSPEPLPDAAGGAASAPSDPADAGEDGLASDPTEAGEDNLASLWEEDAGDADDVFAADASPDPADAVVDEVLAARVRAVVESTPEDQIPSALADMVVDFNEPLLAAILVSAAESCSGKKLLLLFKSAGKNNPAAKSLANLEIVVDKIADSDGIDKMDVYLLWDLVKEMGAVPGSVNTQVLNKLLAMFWKLEKSKAALEAFDMFSELGCAPDGDSYYLAIQAAGRKSMVDAAWRVCEGMVGSGCFPDGEKVGDIVTFFCKKKKVKEAHSVYMAAKEKKVQAPTSALTFVVSALARNDETINTALELLEEYQGESLKDAGQSYAAVIHGLCKTNNVEDAKKVLTRMVNLGPAPGSAVFNFVITALSKNGEMEDAKGLMRVMENQGICPDIYTYSVIMGGYAKGGMMDEAHALLRDAKKIHPRLSRVTYHTLIRGYCKMEEFEKALECLKEMKEDGMEPNMDEYNKLIQSLCLKALDWRTAENLLKEMEDGGLCLKGTTRSLIAAVKELEMDELSKASQEA >ORGLA01G0344000.1 pep chromosome:AGI1.1:1:29122528:29125130:1 gene:ORGLA01G0344000 transcript:ORGLA01G0344000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPPAFTGNLKKALAGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCVVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVMPMLPRNRLRDDRDHKLKIFSGNEHPFHDRPLEPFVMPPRQVREMRPRARRALIRAQKKEQDRAAAASTKDEENAKNAKSEITA >ORGLA01G0343900.1 pep chromosome:AGI1.1:1:29119009:29121663:-1 gene:ORGLA01G0343900 transcript:ORGLA01G0343900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRQRLVISLSALCLAVAVMSGALLPPRASAAGGVGRKRRWAGFDYYVLALQWPGTVCRQTSHCCSSNGCCRSHPLKFFTIHGLWPQYSYGGWPSCCRPTTFDGNKISRLKTILEEYWPSLYCGSFSTCFGGKRPFWVHEWETHGTCGYPEIQDEYDYFSTALYLYSKYNVTKALKKAHIYPRGGRKYLVGHIVSAIEFSFGAMPSIVCKNGSVQELRLCFHKDYQPRDCLVEGENSVRRNHCPRYVTLPSYKPHAFGSSTEGISNQVNVEHQSYQ >ORGLA01G0343800.1 pep chromosome:AGI1.1:1:29115541:29117985:-1 gene:ORGLA01G0343800 transcript:ORGLA01G0343800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARKAPRMVAIWAVVVVVVVVAADLFGAAVARSASARHAVGKKQREFDYFALALQWPGTICASTRHCCAINGCCRSEPLQTFTIHGLWPDYDDGTWPACCRHTSFDMDKILPLKPTLEKYWPSLYCSSSSTCFSGKGPFWAHEWEKHGTCSSPVVKDELEYFTTALDLYFKYNVTEMLASGGIHVSNGKQYALTDVIDAIKCAFGASPQIVCKKGSVEELRLCFDKDLKPLDCLTTTATNENVSKKKYCPRYITLPTYDPIVHANSTREIITVESEVYGYLYTS >ORGLA01G0343700.1 pep chromosome:AGI1.1:1:29111703:29114110:1 gene:ORGLA01G0343700 transcript:ORGLA01G0343700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLFFQGTTDGGSVDTDAVKARRSLLGKVEPIIRSVIKSGGGYEARMWLCSTVSSIHLLDPYGQRDLFLNLLGMKNSKRDVAARLLRMIFDKKPKKAGSIIAKKCQMLEDFFQGNPKRIMQWFGHFAVTGELTHKKGARALSQFAFVNRDICWEELEWKGKHGQSPAVVATKPHYFRDLDVLQTVENFLEYVPDFWSSEELADSVKDGEILQIDTEYFVDQFVYLMYEENSRDAWQLVDEFLMDEQFSSLSQHLLIHLDEQRLLNFLRALGKLIKLNSQCKEMVFPCCWLEVLLSAHSDHISLDELVLLNCVISKGRQLWRLMNDEEQEEEQGQMQELLKSTNQLTDADHFALMKGFVDTELPDALKWIGIQSWLVFCELSKGCKSADSCEHLFTCNRIEFRKADDYPLVQNDGNSIALDSDDEDLIRGSHKRRKRDRKRKRRRYDSDEDDLDQLLELGTSNRRGIESHHGCWYLSTDGFSASWDIADIPDHLSSHYLKTWLKFACFR >ORGLA01G0343600.1 pep chromosome:AGI1.1:1:29105657:29108194:-1 gene:ORGLA01G0343600 transcript:ORGLA01G0343600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAQDRATGQLVALKKTRLEMDEEGIPPTALREISILRLLSQSLYVVRLLSVEQATKNSKPVLYLVFEFLDTDLKKFVDAYRKGPNPRPLPTNVIKSFLYQLCKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGSTHYSTGVDIWSVGCIFAEMVRRQALFPGDSELQQLLHIFRLLGTPTEEQWPGVTDLRDWHEFPQWKPQILERQVPSLEPEGVDLLSKMLQYNPANRISAKAAMEHPYFDSLDKSQF >ORGLA01G0343500.1 pep chromosome:AGI1.1:1:29101727:29104343:-1 gene:ORGLA01G0343500 transcript:ORGLA01G0343500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGSGSGHAATPVRYVALPPPRGVRDGGGWNVLVPESMASEWTVAHVRGVVRVASRGGGAPEVSVDMAALQAALNGRRVGDDDPDLHLRPERGGGRDALTPVRYVALLLPRGVSDGGWNILVPEAMASEWTVVHVPAAAGVVRVASRGGGAPEVSVNMAALQAALNGPRRGDDPDHLHLRSGHRGVGGGAAERGGGGGAGGPCYVPVVFVLNTSKEAEKKEHQARMISLLMLSTFAVYLLYAMQHISKDTFLTVELLQFVGHIVMWAVASRVARSTNRSEPRLVPRSFITVTKKNA >ORGLA01G0343400.1 pep chromosome:AGI1.1:1:29098312:29100277:1 gene:ORGLA01G0343400 transcript:ORGLA01G0343400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGFVKTQKTNAYYKRFQVKFKRRRPYCTGLLLARRVLKLRGLDQEYEGNIEATGEDYYVEPADERRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDEKQLDSDIHRKYIYAGHVADYMRSMAEEEPEKFQAHFSECLKKGIDADGMEALYKKVHAAIRADPTMAKSTKKEPATHKRYNLKKLTYEQRKASLVERLNALNSSAGADDDDEEEDDE >ORGLA01G0343300.1 pep chromosome:AGI1.1:1:29092247:29094713:1 gene:ORGLA01G0343300 transcript:ORGLA01G0343300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFVKTQKTHAYFKRFQVKFKRRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLNEYAESYCTGLLLARRVLTLRGLDQEYEGNVEATGEDYYVEPADERRPFRALLDVGLIRTTTGNRVFGALKLMWIYFCFLLQGALDGGLDIPHSDKRFAGFKKDEKQLDSDIHRKYIYGGHVADYMRSMAEEEPEKFQAHFSEYLKKGIDADGMESLYKKVHAAIRADPTMAKSTKKEPATHKRYNLKKLTYEQRKASLIERLNALNSSAGADDDDEEEDDE >ORGLA01G0343200.1 pep chromosome:AGI1.1:1:29085554:29089910:-1 gene:ORGLA01G0343200 transcript:ORGLA01G0343200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLPLTPRPPAAMLLPVCSAAPTCSPLCPVTSSVAHVVGAPALRGRVVEVSALRSYADPLVARPVPDRPLLADSSILSPYSASPDDIVRGLAAAEVPSADATAAGDALCCGGVEPATLTAVSDLAATTADAAVTDAAERALLDAPVPTTFPADASDAEAAFARLIDSLGKKIFQAEDALTEGYDKLRLSAYQSLSAWRKSVDGAVGGLTASVDATKKQAAGGVTDASGALQDKVAGAGTAAVDVLRKAIVAAEDSLGSAATSIGYYYGSTKSSLPPNVKDLLNSSEEKASLVLKPIGGALQQVYVVVEGIEKNVGLDPSDPIVQLAVLLGGSTTIGLTYWLFAYGGYSGDLSPESTLELLRNDDKAVLIDVRPEDLRVKDGIPDLRRAARSKYATAASPEIKGSVKRLLKGGRDVDDALLAVIIRNLKLVKGDSKVIIMDANGSRSKAIARLLKKLGVQRPYLVKGGFQSWAKNLRVKELKPETALTVINEDAEEILEGIKPTPTLVLGSLLGLSAAAYALLEWETTLQYIGVLSLGLTIYLRFSTYEGSEDLEQDLKLLLSPLRVGAEAFSWAAKKLEPSKIGLATSPSTTAVQDRVLQAAAKHESQPSDAEADSLVSEA >ORGLA01G0343100.1 pep chromosome:AGI1.1:1:29083495:29083995:-1 gene:ORGLA01G0343100 transcript:ORGLA01G0343100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKGSHTHRAFLLCNYALLGAASSCIFLTLSLRLLPSPCGVLLLFLHALTAVFSAAGCSGSFTAPATPAQWHNAHTAGAALTAIFQGAVALLAFTRTSDFLAELQSYVRDDDAAVILKMVGGLGTAIFVLEWAALALAFSLRLDDDDGAGDYDNKNWAAASYHV >ORGLA01G0343000.1 pep chromosome:AGI1.1:1:29077565:29082984:1 gene:ORGLA01G0343000 transcript:ORGLA01G0343000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKLLGVAHPEPANSIDAELRYDLGQSRMQVDGPVVLNRSAELEPSDSMAIDDVPVEASSQPAPAKQSPALMDTIVEVQKQLKRKRASSGPALAAADKDALVSGCCQELEGLLEYYREVAGHRMQFEVGNLSTNAAIGCLLEESSLGLSKLVDEIYEKLKGMEGVSATSVRSSVLLIGQRMMYGQSSPDADVLEDESETALWCWEVRDLKVIPLRMRGPLSTRRTARKKIHERITAIYSTLSVLEAPGAEAQVNDMRKASLKLSKALNLEGIKSLVERATQKSNIERGAKNTGSTAKEPMQEMVKSNNDTGIIENVDDSQLQKNTSTNEKDTQKAQKQVEKELKQKEKEEARMRKQQKKQQEEALREQKRREKEEAEMKKQQRKQEEEAQKEQKRREKEEAETRKQQKKQQEEAEKEQKRREKEAVQLKKQLAIQKQASMMERFFKNKKDSEKLEKSGGKDSGVQTTDPCTTNKEVVPLVTSIIDSSFSQKENWALEDLRRLQISGWQKLSSYNRSSRWGIRNKPKKEAFKELKLQKTSDNMLEEILSPNEDTCHNLSQENEPDKSANDVDMLPAVELQFHGTNHANPLPTRSIKRKLLQFDKSNRPAYYGTWRKKSAVVGPRCPLKMDPDLDYEVDSDDEWEEGIQIESLLDDKDEASSSPPDQCAEVEEFRALLRQQKVLNTLTEQALRKSQPLVISNLTHEKADLLTAGDLKGTSKIEQLCLQVLSMRICPGGATIDLPVIDSSSANAEETNQLNVKSSPAAASAIPDTDLAEIVKVIGSCRDGINKLVESLHQKFPNVSKSQLKNKVREISEFVDNRWQVKKEVLSKLGLSSSPASSKKPKSIATYFSKRCLPPEEAILASPELRLKSKTTQNVNGDTDIPRISLLPSSQ >ORGLA01G0342900.1 pep chromosome:AGI1.1:1:29071530:29074299:1 gene:ORGLA01G0342900 transcript:ORGLA01G0342900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGWITALKKAFASGPKDKPTNGQLVAQYSHQHRSGGGGGAAARDKKRWGFGRSRQHAEPSPAGALINIPLYREPSSIEKILVDAEMEQQHRQYRAQYQITPAKPATVTAPAAAASLPAPPPPVSARERQRRRDVDDDKPAIVLPLPPPSPPPLIRRFDHDREQQQKLQQMQQQTRAETEWRRPQAQRRRAARPRGPAPPDRARAAAVAIQSAFRGYMARRNYRSLRGLIRLQGVVRGPSVRRQTAHAMRCMQMLVRVQSQVRASRVEAMERRNRHHHAAMLRDAARWRAASQDGGIWEDSLLSRDEMDARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRNPWWWTPIERRHEAEAAAALLSRQRVEHVGNGGGGGRHVAVTATPARSVVSSYSTATATTAVRPRATRPAKVASSYGGGGSIRDDESLTSCPAFGGALPNYMTPTMSASAKARARAQMLRQQQEKQAQAAQEKPRFSFGLGQSIGSWAKSPFWKGGAAAAPPSISSRVATPAASVAGRHRPTRSSVSELSVDSAVSMPAGIGRRTFK >ORGLA01G0342800.1 pep chromosome:AGI1.1:1:29067051:29069030:-1 gene:ORGLA01G0342800 transcript:ORGLA01G0342800.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQISIAGCKPFLFGGVAELNNMAANLADAGVLDRLGQLGVQHFVRQGNWPVGETDIRRPATGRWRRRWATRRRRTSTRHQVVGGGSPAAGNEAAADLNMTSSSGRREAAAANDDLAAVRDLRADAEQPSLPEPSSLERRPRPHLPRPPPEQLKLPCRRKRHGEHVVLPGGPPCALRXEEAVHVDREVLDDDGLGAGVRESAFADHREEGLHGGAMRLGWNGSEGVEGGGVVTRGSEEAEARERRRG >ORGLA01G0342700.1 pep chromosome:AGI1.1:1:29055365:29059375:-1 gene:ORGLA01G0342700 transcript:ORGLA01G0342700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSGGRHRLFLRFIALSSLLLIAAGEVIFEERFEDGWESRWVKSDWKRSEGKAGTFKHTAGRYSGDPDDKGIQTTLDARHFAISAKIPEFSNKGRTLVLQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLQCETDKLTHVYTFILRPDASYSILVDNRERESGSMYTDWDILPPRKIKDVHAKKPKDWDDREYIEDPDAVKPEGYDSIPKEIPDPKDKKPDTWDDDDDGIWKPRMIPNPAYKGPWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPEYARKAAEETWGANREAEKEAFEEAEKERKAREDKEAERAREEGERRRRERGDRHRGRDYKDRYKRRHRDHWDDDYHDEL >ORGLA01G0342600.1 pep chromosome:AGI1.1:1:29053540:29054361:1 gene:ORGLA01G0342600 transcript:ORGLA01G0342600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 [Source:Projected from Arabidopsis thaliana (AT3G59520) TAIR;Acc:AT3G59520] MGKPLIYEILEKPASSSVIGICSLIWFFIQKRNIGYGDVGLSYEAAMEGGQYWRIITSAFSHINVVHLVFNMSALWSLGVVEQLGQIGLGVEYYLHYTLVLVVLSGLLVLGFYHVMIQKFKVEYFRRVTAVGYSCVVFGWMTILATKQPSSKLNIFGVLSLPISFAPFESLIFTSIMVPQASFIGHLSGIIVGYSIAWGLVHGMNNYWAITMFGWIVLVFVLSLKRTGSMDLRFIEIEPVTDPSLPSVGVVASRNGRTLQMDVLPRRGVADIV >ORGLA01G0342500.1 pep chromosome:AGI1.1:1:29049414:29050682:1 gene:ORGLA01G0342500 transcript:ORGLA01G0342500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSRCSSVINGAPLLLVVVVCCGLSPVARSQSSDSCSTPASLAAGVSKLIPFDTSNLTCFDAWSSENFIVRYTSSGSTWSFVLSAPDKGGYVAVGFSQDGAMVGSSAVAGWSSGNGVGGVAKQYKLGGTSSRSCPPDQGSLSLVAKNTLVVAQSSRIYVAFQFTAPQPTPYLIYAVGPSNTNPSGNGDYLAQHRVYTSAAVNYAAGTTSSAGGGAADTKKWHGAMAGLGWGVLMPVGIALARYFKKHDPFWFYAHISVQGVGFVLGVAGVVAGFKLNDDVPGGDTHQAIGITVLVLGCLQVLAFLARPDKSSKVRRYWNWYHHNVGRAAVACAAANIFIGLNIAHEGNAARAGYGIFLVVLALVAVFLEVKLWRSRRSG >ORGLA01G0342400.1 pep chromosome:AGI1.1:1:29047750:29048401:1 gene:ORGLA01G0342400 transcript:ORGLA01G0342400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVHGFSLDWPQWTTGGKKDRKGKEYRGVHAVMQQHDQLVVMAASPAAPEAERLTAAGVAKEELVAAVRIQAAEVMRAAAPRRGEGQHVLLGTAGETRAAPQGLADAVDVDGLERADDERPPAGSMTTPPRRPRPVGANTALQRLQLPPPTATTRRRADDAAAVDLEWTRMV >ORGLA01G0342300.1 pep chromosome:AGI1.1:1:29039656:29041139:1 gene:ORGLA01G0342300 transcript:ORGLA01G0342300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIMRQWRSLLLLLLCVVGSSSLPLVSSQTSSSSSDSCTAALAVGDLIPFNTTGLNCFQAWSSQDFILRFGQDASAGSNVWNFVLSAPDAGGYISVGFSPNGGMVGSSAVAGWVASGGVGTARQYYLGGTSSRSCPPGQGKLSLSTGAAAPTIVSQGSRLYLAFQFSGQPRTDLVYAVGPAGSLPGTNGFLAQHQYMTSGTITLPTGTSGGGGGGSTSTGGGGGGGDSDDGNEGGGGEGKGKHKHSGGDGDGDEGKGGRRKSPSSSSSATASGAAGVLSSKRRHGVLAVVSWGAMIPAGVAMARFMKRFEPLWFYAHAGVQGLGFVVGAVAIVAGFRLDGEDDVGAHKAVGVAVLVCACLQVMAVLARPIKEAKARKYWNWYHHYVGRAAVVLGVGNVFYGMSLAKEGDEWSYVYGIFVGVCAVAYLVLEEWRRRH >ORGLA01G0342200.1 pep chromosome:AGI1.1:1:29034790:29038865:-1 gene:ORGLA01G0342200 transcript:ORGLA01G0342200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 4 [Source:Projected from Arabidopsis thaliana (AT1G65260) TAIR;Acc:AT1G65260] MEIRAPPTSLRLAPPPPASASFRRTALRTSFLNGSVSLRLIQVRQSNVNRFKCNGIRSNLLDRFSRVVKSYANAVLSSFEDPEKILDQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQASDDWYRRAQLALQKGDEDLAREALKRRKSYADNASSLKAQLDQQKGVVENLVSNTRVLESKIAEAKQKKDTLKARAQSAKTSTKVSEMLGNVNTSGALSAFEKMEEKVMAMESQAEALGQLATDDLEGKFALLETSSVDDDLAQMKKEISGSSSKGELPPGRTAVSNSGAARPFRDIEIENELNELRKKANEY >ORGLA01G0342100.1 pep chromosome:AGI1.1:1:29032900:29033103:-1 gene:ORGLA01G0342100 transcript:ORGLA01G0342100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHLHRDLLLAVVLAAIAAASLAGRADAARPLPPSPALPSPSSSSALEAAKAAVSTLMEMLPSGPSA >ORGLA01G0342000.1 pep chromosome:AGI1.1:1:29025645:29027569:-1 gene:ORGLA01G0342000 transcript:ORGLA01G0342000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATLPKLRFRPKCHGHFQAIYPVHVHIKNCNACKCQIPTHGLLVSSARSRPVLPVSAVGSGGGSSVAEDERKSGLSLQNAKTSVVSRDDETINVRVDLPGKATQKVFDEALTSLARDAPPVPGFRKSKGGRTSNIPSSILLQMLGKSRVTKFVLQEILSITVGDFVKKENLNVNPEIKTTQSEEELESSFTPGSSFSFSVVLQLEKPESDETSENSESDEASEPSS >ORGLA01G0341900.1 pep chromosome:AGI1.1:1:29018467:29022328:-1 gene:ORGLA01G0341900 transcript:ORGLA01G0341900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTEKVAGDGCSGGGGGGGGEGQVEVEVGVGMGMDGKGMIECRICQEEGDEGAMDSPCACTGTLKFAHRKCIQRWCDKKGNITCEICNQVYSPNYVLPPTKCCSAEMDMDLRQSWVGRIDPHDSHFLAIAIAEQQLLQAEFDDCVSSNSSGATCCRTVVLILMLLLLVRHVVVFVRDVSMLQDATVLFSATLQFAGFFLPCYVIARSCYAFQHRRRRQGPPEPGWKHLLKTTQHHHRETRGKACEEVTQTPFAWSLCERFGLISPPTRCSCRFRPWALVKLNCT >ORGLA01G0341800.1 pep chromosome:AGI1.1:1:29016009:29018037:1 gene:ORGLA01G0341800 transcript:ORGLA01G0341800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:selenoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G05720) TAIR;Acc:AT1G05720] MGRSVYVAAAVALVLTSCSVLCLGAERFGARECEELGFTGLALCSDCNALAEFVKDQELVEDCRKCCTEDSDDSISKLTFSGAIIEVCMRKLVFYPEIVGFLEEDKDDFPYVEARYVYGSPPKLIMLDDKGDQKETIRIDNWKREHIRQFLKEKVKPVKSDS >ORGLA01G0341700.1 pep chromosome:AGI1.1:1:29010021:29012134:-1 gene:ORGLA01G0341700 transcript:ORGLA01G0341700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSCRRWRGCRWRRRRRSSRRQGGAPANVAIAVARLGGGAAFVGKLGDDEFGRMLAAILRDNGVDDGGVVFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTHAELNVELIKRAAVFHYGSISLIAEPCRSAHLRAMEIAKEAGALLSYDPNLREALWPSREEARTKILSIWDQADIVKVSEVELEFLTGIDSVEDDVVMKLWRPTMKLLLVTLGDQGCKYYARDFRGAVPSYKVQQVDTTGAGDAFVGALLRRIVQDPSSLQDQKKLEEAIKFANACGAITATKKGAIPSLPTEVEVLKLMESA >ORGLA01G0341600.1 pep chromosome:AGI1.1:1:28999713:29003176:1 gene:ORGLA01G0341600 transcript:ORGLA01G0341600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYRNLLLLPLLLALVAAAASAAGDERAVLEVSGSPEGVVWVVQLSDLHFSVHHPDRAYDFRRYVGPALAMVNPALVLITGDLTDGKSKDLLTMKQNEMEWIEYRSKLKDVIESSKLPRSIFYDLRGNHDSFGVPSPGGDHDFYQKYSINAILRRHSRVQSITLENSGRKHLFVGFDSTMEIGLRGPTNLFGHPTDKQLIELDQSLSQWDTDFNKAQVTKVAFGHFPMSFSTLTESGKSIKDVFLKHSLAAYLCGHLHTRFGKNLKRYYHRSTEGSPLSEHYYQFNMHQGYEIHSDKESCSKEAVHAEEEFWEWEMGDWRKSRSMRILAIDDGYVSYTDIDFRLGSKSIIVLPTFPLDSRFMQRATASRDFKCQVMGASTFDTVRALVFSRHEIVSVSVKIYDSRSGHLDVVFVSEMKRVNANETRGDMYLVPWNWRAFADPSPNRYWLQIEVMDITGDASVSQLRPFSVNGFASKVSWTWKEFFVMGIQWALVYHPALWCVLALIFSLLFVPRASVLLFKDQFTYKYLRPNGSQWVSLKYLIGGFIWLFVEFSRVTIVWSLLLVYMIYLLVFPWLFGHPITEDSNLASMTFRGWILGKSNSGSEVLHAGTPDVMVIVLPHLCFVVLPTIVILAAMAAERTAYREQYLSQSGKKKDDHYQKSRRQKEHDNFWNSRWTRKFLFLLCLAVLWKHWKHCRALVKAYAMNPVIHSPVHFFFIPVLIAFAIYKTLSL >ORGLA01G0341500.1 pep chromosome:AGI1.1:1:28995192:28995855:-1 gene:ORGLA01G0341500 transcript:ORGLA01G0341500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTAVGDGYEYDGVVVGHPVIQFGDGAPPAVVGYPLPKEPPPPQPPATLQRGRPEQRCSSRCGPCEIFTVTFMVVVAVESLTYFLLAMIGMASVIALTRVHPRGKFKLPGRSPILKLLQTKHT >ORGLA01G0341400.1 pep chromosome:AGI1.1:1:28990357:28991650:-1 gene:ORGLA01G0341400 transcript:ORGLA01G0341400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAMRQWRHLLLVLCFVGSSSLLLVSSQTTSDSCTAALSLGNLISFNTTGLNCFQAWSSQGFILRFGKDASSAGSNSVWNFVLSAPDSGGYIAVGFSPNGKMVGGSAVAGWATPGAAGTARQYYLGGTTSSLCPPDQGKLSLSRGAAAPTIVSKGSRLYLAFQLSGQPLTNVIYAVGPAGTLPGPSGLLAQHKDMAAGTISLSGGTSGGGGGGMPATGGGGDGDEGHEGHEGGGEGKGKSDQSGGVGGESGSDGNGGRSTTTTASASSSGSASGRVFCAQWTKCSLVVQMLVYFVLLSGTVFL >ORGLA01G0341300.1 pep chromosome:AGI1.1:1:28989137:28989382:1 gene:ORGLA01G0341300 transcript:ORGLA01G0341300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVNVVSLPLRISCRTRTWRGVVGVVSAAPWAAGNRRRPAAPRRRAGPADGRRRPPCRRRGPWLPRRGGSVLLDPHGAGVR >ORGLA01G0341200.1 pep chromosome:AGI1.1:1:28985831:28987779:1 gene:ORGLA01G0341200 transcript:ORGLA01G0341200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB and TAZ domain protein 3 [Source:Projected from Arabidopsis thaliana (AT1G05690) TAIR;Acc:AT1G05690] MACLELDSSQFLLNGDGNVIGSPFDIQLECNSFTGSKAVQDHSRYTLPSQCTNAPDPPPLPGTSYGTHRTSRNAKACRCVPEEIQDFWDRMFFEAYQYDLRVLTEDGNEIMSHSCVVGIKSPVLRAMLEEAKVHGGIRHILIPGVPSEAVHVFIRFLYSSRFEQYQMKRYVLHLLVLSHVFSVPSLKRVCINQLETSLLSPENVVDILQLARLCDAPRLSLVCTRMIIGDFKAITQTEGWRVMRQANPSLEQELLESLVEEDTKRQERARRLEENKVYLQLHEAMEALIHICRDGCRTIGPRDQTLKSSQAVCRFPACKGIELLLRHFSACKMRVPGGCANCKRIWQLLELHSRMCSAPETCHVPLCRHFKEKMQHLSRKEEAKWNLLVSKVLESKATTSSISERRKFPSLKT >ORGLA01G0341100.1 pep chromosome:AGI1.1:1:28971655:28974538:1 gene:ORGLA01G0341100 transcript:ORGLA01G0341100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGMRVALHRQVSSGSLKESGELRRQSSLESPRTGRAATRFLFGRQSSMDPNRRRGRSQSPVGLAEDLTVPDNLDATMQLLFLACHGDAAGVEALLRGGVDVNSINLDGRTALHIASCEGHPDVVRVLLTWKANIDARDRWGSTAVADAKCYGHTEVYNLLKARGAKIPRNRRTPMMVSNPGDVPEYELNPSELQFKKGDEVVKGVYQVAKWNGTKVHVKILDRECYCDQEVINSFRHELTVLEKVRHPNVVQFVGAVTQNIPMMIISEYLPNGDLSSCIPRKGKLHGQKVLKYGLEIARGMTYLHQCKPDPIIHCDLKPKNIFLDSGGQLKIAGFGLTRLSKISPGRVKLADHESMVDSFSHYTAPELYRNEIFDASVDAFSFGFILYEMVEGTHTVHGKSSEESGHTIRYDGMRPSLKNKLRGYPPDFKALIEECWDTQGIARPTFSEIIIRLEKIYAQCMKQGTWKDSLKIWSVSRRFKNIRSKHFRTKKRVHVAM >ORGLA01G0341000.1 pep chromosome:AGI1.1:1:28965044:28967862:-1 gene:ORGLA01G0341000 transcript:ORGLA01G0341000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:I1NU70] MAATSGKLRPPFRLLLLLLAAAVARSVDGVELTLLTGAREKGAVCLDGSPPGYHLQRGFGSGEHSWFIHLQGGAWCNTIEDCSKRKMSAYGSSKFMRAVEFNGILSNDQQLNSDFYNWNRVFIRYCDGASFSGDGEAQDQDGSTLHFRGLRIWEAVINELMGKGLATAKQAILSGCSAGGLAALLHCNDFYARFSKEVSAKCLPDAGFFLDIASFCSSEDLSGERHMWSVFNGTVHLQNVTQVLSKDCLAKKDPTECFFPAELVKSITAPTLILNSAYDSWQIQNALAPDGSFPGQSWSSCKTDVRNCSSTQIQVFNGFRNKFVDDIEVVKDKKDWGLFIDSCFTHCQTPFDISWNSQASPVLGNKTVAEAIGDWYFERSYEVKEIDCEYPCNSTCSSQLP >ORGLA01G0340900.1 pep chromosome:AGI1.1:1:28960246:28963142:-1 gene:ORGLA01G0340900 transcript:ORGLA01G0340900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:I1NU69] MPILPRRRYAEPLLLLLLAAVARSTAAAPDVVELILLTGAQEKGAVCLDGSPPGYHLQRGFGSGEHSWLIYLEGGAWCDTIESCSNRKTTELGSSKLMEAQEFEGILSNNQTVNSDFYNWNKVVIRYCDGASFSGNAEAQDQDGSTLHFRGLRIWQAVLDELMEKGLASAKQALLSGCSAGGLATLLHCNDFHARFPKEVSAKCLPDAGIFLDILCSSEDLSGKRLMWSVFNGTVQLQNVSEVLPKDCLAKKDRTECFLATELVKSITAPTLIVNSAYDSWQIRDTLAPVGSYPGRSWLNCTNDIGNCNSTQMEVLNGFRKKFLDGVKVVKDKKDWGLFIDSCFMHCQTKYSISWSSQFSPVLGNMTIAKAVGDWYFERSKTVKEIDCEYPCNPTCKLTG >ORGLA01G0340800.1 pep chromosome:AGI1.1:1:28948985:28951947:-1 gene:ORGLA01G0340800 transcript:ORGLA01G0340800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:I1NU68] MATSSKLRSPVLPRRRLAEPFLLLLLLLLLAAVARPTAAADVVELTLLAGAQEKGAVCLDGSPPGYHLQRGFGSGEHSWLVFLEGGAWCNSIESCSRRKMGVYGSSKFMKAAEFNGILSNDQQLNSDFYNWNKVAIRYCDGASFSGDAEAQDKDGSTLHFRGLRIWEAVVDELMGKGLATAKQAILSGCSAGGLAALLHCNDFHARFPKEVSAKCLPDAGFFLDVFCSSEDLSGERHMWSVFNGTVHLQNVREVLSKDCLTKKDPTECFFPAELVKSITAPTLILNSAYDSWQIRNALAPDGSFPGQSWSSCKTDIRNCSSTQIQVFNGFRNKFVDDVEIVKDKKDWGLFIDSCFTHCQTPFNISWSSQASPVLGSKTVAEAVGDWYFERSYEVKEIDCEYPCNPTCSSQLPT >ORGLA01G0340700.1 pep chromosome:AGI1.1:1:28943894:28944733:1 gene:ORGLA01G0340700 transcript:ORGLA01G0340700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAKLLLWLLLLSSSPWCFCSELDVQCLETLYRSVIDSNGILQSSWTFVDNGTDGYICKFTGVECWHPDENRVLSLRLGNLGLQGPFPQGLQSCTSMIGLDLSSNIFSGPIPADIATQVPYLTSLDLSYNSFSGPIPASISNMTYLNTLNLQHNQFSGEIPRQFDSIGRLTSFNVAENLLSGPIPSSLQKLSASNFAENQGLCGAPLDNCPRRRRWRLRLHRINDESSIGAAVGFVVGFVVAFYFPHWFVFSKRLHPYIFQIWSIPSGNLSANLRRT >ORGLA01G0340600.1 pep chromosome:AGI1.1:1:28938424:28939175:1 gene:ORGLA01G0340600 transcript:ORGLA01G0340600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKTTKFLGPLLPSFLKIYILPEALRRNIDPTSCQEYLNNLWRKTEQAVPPESFVGNLQLSGKPLSRPCEPFFPSPDGAPTDGRGSRGGSVLVAEKKKKKKLSGTVIATIAVGGGMAALLALVLLLIELLRKRHVNTMSDEDRVKFAT >ORGLA01G0340500.1 pep chromosome:AGI1.1:1:28935389:28936436:-1 gene:ORGLA01G0340500 transcript:ORGLA01G0340500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVAAVEVSRPAAAALPSGSGGWRRKAISRRRRPVVTAIHLSLRVAPPEFSTRCKL >ORGLA01G0340400.1 pep chromosome:AGI1.1:1:28922743:28923663:1 gene:ORGLA01G0340400 transcript:ORGLA01G0340400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDIKFVLSLLLLSSLMLFVASDDTECLRTLQKSLVDPRGELESTWNFSGNGFNGFICSFTGVNCWNPSDSTVRSLHLVSLGLQGQFPEGLQGCSMIEDLNLSGNSFSGPIPSDISWRLPYLRYLDLSHNSFSGQIPTNITEMTKLEFLNLSNNDFSGPIPSNISLLVPQLSSLVLSYNSFSGPIPVSITDMAYLKLKTLNLRHNKLNGEIPWQFCSLAHSVSFSVAENLFSGLVPSCFENFTASIFAGNRRLCGAPLGNCRLRRVNDETSIGAAVGFVVGFVLAFYFPHWFVFSTSLHSYIFRI >ORGLA01G0340300.1 pep chromosome:AGI1.1:1:28912640:28915837:1 gene:ORGLA01G0340300 transcript:ORGLA01G0340300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGTAFFRFSAKRLTLSRLMRSGRLAARLSTTPINFHAKQPSMAVCHGITRRRHGEMPKXIPRVLVLPEGIDIYFENVGGPMLDVVLLNMRTHGRIAVCGMVSQNALTDPVKHYRDGKIVYVEDMSIGLENAPAAFVGLFSGKNVGKQAFPSVSLASMETAPTEDLDTSVKRSLIDPSGILRSSWKFSQDGTTNSICNFMGVICWNPDENRILGLSLGSLGLQGQFPRGLEHCTSLVRLDLSNNSLSGPIPSGISWQLPDLSSLNLSYNRFSGEIPVNISEMTYLYSIGLQHNKLTGSIPGKFALLSRLESFNVSDNLLSGSIPVALSKFSTSCFSGNQGLCGVPFDSCSTSYGDYSIGIIGAAVGFVVGFVGALYISHCLFFLRDAPALRLSHT >ORGLA01G0340200.1 pep chromosome:AGI1.1:1:28908801:28911442:-1 gene:ORGLA01G0340200 transcript:ORGLA01G0340200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKPPPSALVDNHVVPGDVVLDLTEMTNQTIKLGAGLRQDCDTIQATSAGRLRLSKPNKYWVESSQKRYIPSVEDTVLGVVVDTKPDNFLVDIKGPNLAFLPVLAFEGGTRRNIPKFEIGTLIYARVVKANSIMNPELSCMDATGKAAEFGQLKDGYMFDTSTGLSRMLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNAPSPSNVIVVSNAIIKSESLSGIGQRSMVESLLERLS >ORGLA01G0340100.1 pep chromosome:AGI1.1:1:28905556:28907730:1 gene:ORGLA01G0340100 transcript:ORGLA01G0340100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G65560) TAIR;Acc:AT1G65560] MEQQQAAPMARNRKVVLREYIAGRPPREDDMVLVDGGTVALRVPEAAAPAPAVLVKNLYLSCDPYMRGRMRDFHGSYIPPFKPGSVIEGLGVARVVDSTHSGFSAGDIVSGMTGWEEYSLIDRPEQLSKIQQSDIPLSYHLGLLGMPGFTAYAGFYEICSPKKGEFVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKGKFGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGPMLDAVLLNMRTHGRIAVCGMVSQNALTDPVGIHNIFCLVPKRIRMQGFIQSDHLHMFPQFVSDMAKHYRDGKIVYVEDMSIGLENAPAALVGLFSGKNVGKKVVCVSQELSQCTF >ORGLA01G0340000.1 pep chromosome:AGI1.1:1:28901692:28903425:1 gene:ORGLA01G0340000 transcript:ORGLA01G0340000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMLSLLVHLHAALLFVPEPAGAGAVYSVVRYGARGDGASDSTRPFLRAWADACRSPRPATVYVPPGRYLLGRATFVGPCSSRAVAFSIAGTVVAPAGYAWDGATAGQWITFESVVGLTVSGGTLDGRGDALWACKKQQPRGHCPTGASSLTISNARNVVVEGVRSVSSELFHVVVLQSRGVTVRRVTVEAPADSPNTDGIHIHKSTNVAVYDAAIRTGDDCVSVGPGNSNLWIERVACGPGHGISIGSLGKQQGMAVEAVQNVTVKTTWFTGTTNGLRIKTWGSSKRGYVRGVTFSDSTMAGVGNPIIIDQHYCPDGGCGGGARQQSSGIKISEVEYDGVRGSSATPVAVSFDCSRSNPCSGIRLRDVRLTYQGKSGRLQAAGAVSSCRNAQGTASGLVVPPSCL >ORGLA01G0339900.1 pep chromosome:AGI1.1:1:28892902:28898181:-1 gene:ORGLA01G0339900 transcript:ORGLA01G0339900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:I1NU59] MAQALSLGLLLAFLAIQSCIAIELTDHIDLWPMPTSVSHGTQRLYVSKDITMSMEGSTYPDGKGILKDAFQRVVDLMKLNHVVDGANPSSFVLTGVNVVVHSPEDELKFGVDESYNLSVPTAGYPLRVQIEAQTVFGALHALQTFSQLCYFDFTSKLIELISAPWRISDTPRFPYRGLLIDTSRHYLPVTVIKKVIDTMAYSKLNVLHWHIVDAQSFPIEIPSYPKLWNGSYSFSERYTTSDAVDIVRYAENRGVNVMAEIDVPGHALSWGVGYPSLWPSDSCKEPLDVSNNFTFGVIDGILSDFSKVFKFKFVHLGGDEVNTSCWTATPHIKKWLDDNQMNVSDAYRYFVLRSQKLAISHGYDVINWEETFNNFGDKLDRRTVVHNWLGEDVAPKVVAAGLRCIVSNQDKWYLDHLDATWEGFYTNEPLKGIDDPEQQSLVIGGEVCMWGEQIDASDIEQTIWPRAAAAAERLWTPIEKIAEDPRLVTSRLARFRCLLNQRGVAAAPVAGYGRTAPYEPGPCVRQ >ORGLA01G0339800.1 pep chromosome:AGI1.1:1:28889198:28892404:1 gene:ORGLA01G0339800 transcript:ORGLA01G0339800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48390) TAIR;Acc:AT5G48390] MKISELSPEYRQPPPHAGLIADLSKAVSDVESFAASATAPEKLAGDLRRILTSLASAASSSSFTEALSVQIWRLGTRLWNAVVDRANSAALAGGPAALAVEAEIRQAAPELLLLAGIPDGVPSAAAKVASFFHRSGLAWLDLGRVDLASACFEKATPLVSAAATEDRGVLLELNLARARAASDAGDQALAVALLSRSKPLAAASPEGAKSLAQGYLSIGEATLAAKHSNPAVEASTLFTEALDLCEKAASPSSSSPRTPPYGGATPKTPNLEGLKRRCLRFLALERLQAQDYEGVLRCIRVSRASMGLEEEHPSIGVMAMRAWIGSGNMAEADKELERLMANALATENLCVSAAEAYLAAAGPEAARKVLIALAARCRAGGAAAAVRVVKQVIDGGGGGIGRARAIAELVSDERVVALFDGPGNTHERGTMHALLWNCGTEHFRAKNYDTSADLIERSMLYVSRDEESRSRRADCFRVLSICHIALQHLDRALEFVNEAYKVEPNIKCAFLKVKINLQKGEEDEAFKQMKTMVGCVDFNPEFLTLTAHEAMSCKSFGVAVASLSYLLGLYSAERPMPMPEVAVLRNLIELLSREPGTETEILKYSRRAKQRMADLGVESFFGSGIVGGRELNWFADLSWNMGLRASKEKKYNFGAEFFELAAEFFSSRNAECDENRSKVCKALIMAVTIMLNAEELNNSPLSDSDIKKGVEMLSRAGKLLPLISPSVPVASDQLEANNFLYLHTFNSYQLMGRMGTPAHPQQLQLIKNFASSKACTPANLLTLGVTASKGALPNMLAAEFSLKACITTALASQSPNYRVISCALRKLACLAGLQDLNGSKSDAAYDVFQQAYQIVVGLKEGEYPVEEGQWLVATAWNMSCLPLRLHQAKVARKWMKMGLDLARHLEGMKEHIASMQTTFENLERVSGDEPDECSQEEAPKASISGSMSQPVLV >ORGLA01G0339700.1 pep chromosome:AGI1.1:1:28882353:28887420:1 gene:ORGLA01G0339700 transcript:ORGLA01G0339700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NU57] MGRAIDRPLEFLNNICMNHAVKRKKQHYSFALHKFQGRRFKHHPNLVKQHDFAESTSRTVCDRWQMDGTGNNAPTPRPVSGGVGAANPSLPDWLSACLVNLLENKLEDLLASGNLVIRQESNASSVLWQSFDDITDTWLPAPGMFSVEIDPDGSNQYIISWNKSVVYWGTGNWTGSSFPNMPELSPANTYPNTPYTYKFVNNDKETYFTYNVTDDRVLSRHAIGVSGQTQSLVWVESAQAWVLYFSQPKANCGVYGLCGVNSKCSGSALSSCSCLKGFSIRDPNSWNLGDQTAGCRRNVMLQCGSKSSAGGQQDRFYTIGSVKLPDKSQSIEATSIHSCKLACLSNCSCTAYSYNGTCSLWHSELMNLQDSTDGTMDSICIRLAASELPNSRTKKWWIIGIIAGGFATLGLVVIVFYSLHGRRRISSMNHTDGSLITFKYSDLQILTKNFSERLGVGSFGSVFKGALPDTTAMAVKKLEGVRQGEKQFRAEVSTIGTIHHINLIQLLGFCSEGAKRLLVYEYMPNGSLDHHLFGSSGVSLSWSTRYQIAAGIAKGLAYLHEKCRDCIIHCDIKPQNILLDSSFTPKVADFGMAKLLGRDFSRVLTSMRGTIGYLAPEWISGEAITTKADVFSYGMMLFEIISGKRNGMHGGSFFPVLVARELVEGELHKLFGSESSDDMNLGELDRACKVACWCVQDSESSRPTMGEIVQILGLVDVEMPPVPRYLQVLGQGVKSYEISSEMNQASN >ORGLA01G0339600.1 pep chromosome:AGI1.1:1:28875500:28876318:-1 gene:ORGLA01G0339600 transcript:ORGLA01G0339600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPNNITGRPYFVDVLXSIGHPIPATAVPDITLPVPPRERRTTRPESETVYLNFTPSPEMLYFSRRFAYAYITRTTAPADADDAATTIHDAIHAVLPGLQLDLLPPSYGAHKTVRFLTPDDREAAMEKQPFALGGGGEVKLVREGETSNVERVSLECVVHAALLDYPKEQRNEGDIGRNCGSFGLLMEVDPACYAAPDMSPVRIVVNNKSPSEIPREIRIRYANDRIPPSIVSRHVVPVQILGVWVWDESQSQYVDANGEKYVAMYNHAP >ORGLA01G0339500.1 pep chromosome:AGI1.1:1:28870088:28870976:1 gene:ORGLA01G0339500 transcript:ORGLA01G0339500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGGARPTSQPDPEADNNISGRPDFVDSFVQDMRPVPATAAVAIIPETERGRTRTHATSPDHCTVYMPEAPPEMKYFARCFAYAYITAPAAGADGAAARADDDRAAATIRDAIHAVLPGLQFRLQPPSHGADKTVALRLARRLRGLHGEAAVRAGGRRRRLSRRRRRRIGQARARGFWHVVPVQILKVWDKSLSFDANGEYVPIYTPAGAA >ORGLA01G0339400.1 pep chromosome:AGI1.1:1:28864461:28868420:-1 gene:ORGLA01G0339400 transcript:ORGLA01G0339400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRQQTERQARRSRVKSDLQIKQPKLKQQIQPRSPSSACSSNLPSQLRKNRENMGKGIRRWTKKEEDYLVQLTKDPEMAELFDGGNYEAVVSELKVHNELQKDKAAAMDTYLGRHDKKKDDEMARTREVTERIMALSRECWVTEETPKLWVGMLKMLKDRDAVNVFELSNPEGRKSLLEHLAREDVRPEPADTGDEDFEKELDRVMMEYFMDDFADTVFLYGVCCCMEVLSGGLGVPKPDWLPGALQGDQVPSSRVSKRRRTRM >ORGLA01G0339300.1 pep chromosome:AGI1.1:1:28838827:28839231:-1 gene:ORGLA01G0339300 transcript:ORGLA01G0339300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLLGKPQHELVERQQIGWLAGPFAVIVDLLAPLFADLLRRRPADAVVFDGVLPWAATAAARLRIPRYAFTGTGCFALSVQRALLLHAPQDGVASDDEPFLVPGLPDAVRLFRP >ORGLA01G0339200.1 pep chromosome:AGI1.1:1:28831693:28835657:-1 gene:ORGLA01G0339200 transcript:ORGLA01G0339200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NU52] MAAARGGRRRRPSSSSSCGSPFLMMLISCLLWLHREAAPSLAADTVAVGRPLSGGQVLVSRGGKFALGFFQPAASDNSSQRWYMGIWYNKIPDHTKVWVANRRAPLSDPDTSRLAISADGNMVLLDRARSPVWSTNVTTGVAANSTVGVILDTGNLVLADASNTSVVLWQSFDHFGDTWLPGGRLGRNKLTGEVTRLVGWKGYDDPTPGMFSLELDPGGASQYVMSWNGSSRLYWSSGNWTGGMFSSVPEMMASNADPLSLYTFNYVDGENESYFFYDVKGEVVLTRFVVDVTGQIKFMTWVDSAAQWVLFWSEPKAQCDVYSICGPFGVCAEDALPACSCLRGFHARQPRRWLQGDHTAGCARSTALQCGGGGGAQPAAQKTKSDRFFVMPNVNLPTDGVTAASASARDCELACLGNCSCTAYSYNGSCSLWHGDLISLRDTTGAGNGGGRSISIRLAASEFSGNGNTKKLIIGLVVAGVAAAVILAVVVTVLVRRSRRLKALRRVEGSLTAFTYRDLQVATKSFSEKLGGGAFGSVFKGSLLADGTPVAVKKLEGVRQGEKQFRAEVSTIGTIQHVNLIRLLGFCTERTRRLLVYEHMPNGSLDRHLFGHGGGVLSWEARYQIALGVARGLDYLHEKCRDCIIHCDIKPENILLDDAFAAKVADFGLAKLMGRDFSRVLTTMRGTVGYLAPEWITGTAITTKADVFSYGMMLFEIISGRRNVEQGQDGAVDFFPATAARLLFDGDLKGAVDGRLAGNADMGEVERACKVACWCVQDSEATRPSMGMVVQVLEGLVDVNAPPMPRSFKVLGDPSNYVKFFSALPSIS >ORGLA01G0339100.1 pep chromosome:AGI1.1:1:28828029:28831266:-1 gene:ORGLA01G0339100 transcript:ORGLA01G0339100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25480) TAIR;Acc:AT3G25480] MPLAGMALLQLREHCSLLPNPLRPARNPRKNRLLPPNSAKVPGFSRISIHGAPPAPEAAILEEMVEVDVDVEAAVVPWRGAFLFPVAAAVVASWPLPSLAAEAGGKVSLESIVVAIDDFNNRNPFFVAGAVFVWLVAIPLVQEYFKKYKAVSAIDAFRKLRDEPGAQLLDIRRGKSVRFMASPNLRLVEKSAVQVEFDEEDEEGFVKEVLARFPDPANTVVCVLDNFDGNSMKVAELLFNNGFKEAYAIKGGLRGPEGWQAIQEKYLPPSVHVVPRKKSKESEDSDVSADGTDDQLEVNGKLLATPNSSVVNTGNGAEDSTEKPNGSTSAIKHASRRPLSPYPNYPDLKPPSSPTPSKPER >ORGLA01G0339000.1 pep chromosome:AGI1.1:1:28820060:28820978:1 gene:ORGLA01G0339000 transcript:ORGLA01G0339000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSVPAPSGSVITIASASASASAAANTAACGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVAIISILQRNLRQLQQDLARAKFELSKYHAAAAASASTGTNNGPHSMAEFIGNAVPNGAQSFINVGHSAALASVGGAAACFGQEQQFSAVHMLSRSYEGEPIARLGGNGGYEFGYSTSMAGGGHMSGLGALGGAPFLKSGIAGSDERQGAGQ >ORGLA01G0338900.1 pep chromosome:AGI1.1:1:28807241:28807531:-1 gene:ORGLA01G0338900 transcript:ORGLA01G0338900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPSLLSSSSFDPNQWKARVPPTREWRALLVARLVAPSSPAGGPVVRQHPLFRWSSSLSFASRHRSVPLVRSLRQAVWFRRLSATPLSFVDSLR >ORGLA01G0338800.1 pep chromosome:AGI1.1:1:28803512:28805185:-1 gene:ORGLA01G0338800 transcript:ORGLA01G0338800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGSSKKGPPGRRTRKKLKFKPKVPPQKPRKSVPEKPNLEQSKPIDEELMKRLKEGTTKANWKKKPFHITRAVPLDAIPGNFLKASLAPLLWIIAQYIPQESQQQEQGDTRRMLLFQLPKSLPLPRISAAVERNGKARGKEVKEGSNLKELPQGYLGKMVVYKSGKIKMKLGDVMFDVNPGEECRMAQHVAAINTKEKHCCLLGEIESRHVVVTPDVDSLLLNDNR >ORGLA01G0338700.1 pep chromosome:AGI1.1:1:28799003:28800235:-1 gene:ORGLA01G0338700 transcript:ORGLA01G0338700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEIHPGGGGGGGYYDSGSETEDDDDRYVFQSRRDEEEEEEEGVNPASKRRRLEDILAETRGALPLPSPTPSSSGSEGTISDDHGDGIAGAAAAADAPVARVAFPCHVCSKEFGSRKAVHGHMRVHQADKDKEKEPSLHLALGWTSTGKRGANGNARAVTVAFAPMEQAVGDDDGDARAIVLAPAAQPQPQPMVVAEAANPPNQVADDNHRLPVPAAAPYVGAAAAPARRRARPKRNAGQGGPYRCSYPGCKGEYRTHQGLGGHVAGHINREKQAAAAAQGGSGGGLGGGARPEGNHPCKTCGKEFSTGVALGGHMRKHYDPKKKKKHAGLVLTLSVAPPTPAPAPSIAGAALPPAEVKADVDEHEAEQVPMAPVSPPAEARGNIVRIFGVDIEKPADEEEQEGGSDV >ORGLA01G0338600.1 pep chromosome:AGI1.1:1:28794337:28798155:1 gene:ORGLA01G0338600 transcript:ORGLA01G0338600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle subunit SRP72 [Source:UniProtKB/TrEMBL;Acc:I1NU46] MPPKSKAAAAAAAAAAAQPVAVEDLFTTLHRHIEAGEFPQAVKVADQVLAAAPGDEDAVRCKVVAHIKSDAIEKALAAIRAAERLPIDLSYCKAYCYYRQNKLQEALDLLKGQEETSAILQLESQIYYRLGRMNDCMNSYEKLQKFKVESMDLKINIIAALVAAGRASEVQATMKAQKVDLSSRALRDTRSFELAYNSACSLIENKKYSEAKEQLDLAKRIGKEELMVDEYGDDDIEYELAPVSAQLAYVHQLQGQTQEAMETYNNITSRNLADPSSLAVATTNLISLKGTRDAADSLRKLSRLIEKSTAPNQLQLIESLESKLSPRQKEALYSAHVLLLLHANKIDQAHEFVSGLLGMFQDSTFPVLLQAAVHVREKKVQKAEEVLSQYAEKHPENSKGVLLSLAQIAASANHFQIAADSLSKIVDMQHMPATVATLVALKERLGDSNGASSVLDSAIQWWKNSMTEDNKLDVFMREAATFKLNHGRDEEACQLYEDLVKSFGSAEALAGLVATSARTNLEKAEQYEKKLKPLPGLKGINVESLEKTSGARPFEAPKPMEQDVTDEVKKQKAKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAQVRGAQGAVSRDGTSSASASSSSKANQATKAPAAAPEPPKGSNKSRKKKSRS >ORGLA01G0338500.1 pep chromosome:AGI1.1:1:28786229:28789474:-1 gene:ORGLA01G0338500 transcript:ORGLA01G0338500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFIYKAIKERRSRTYSLCSSDMSAARRFGRVGEEEEDVVAWEEQKQWAVDGGKFAGGEREMTAHRRHRSLEELAGERLKLVKLKISQRDEEQCSRKKALAMEAMSAFAPPPPPTQLMVPVVGYGGGGGGGAGEGTTTAVRGSYGPVIAMLAVLAVLAAAAVAVGRLCFGRRVHLGQAAAGHDLEAWVERTCGPCVGARIFSTSGGAKEEGGEESAAPAEPPPPPPPAAAAEGTERGEDSGIVSGGS >ORGLA01G0338400.1 pep chromosome:AGI1.1:1:28781280:28781723:1 gene:ORGLA01G0338400 transcript:ORGLA01G0338400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAIRSSEPELWFLIPSEEAAVAVAAHRLVVMDQRRSGSAHRPKRTHMAAAEDEHRRPGTSSRRRVAPTPTTQTQTQTAPGYFTVELVMAFVCVTASLVLLPLVLPPLPPPPSLLLVVPVCLLAVLVAMAFVPLDAQSNVVGSSCL >ORGLA01G0338300.1 pep chromosome:AGI1.1:1:28776094:28779332:-1 gene:ORGLA01G0338300 transcript:ORGLA01G0338300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine kinases;ATP binding;catalytics [Source:Projected from Arabidopsis thaliana (AT3G51270) TAIR;Acc:AT3G51270] MKLDVNALRYLSKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDATKYDGYRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLAHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVKHRNAQMFFDRDIECIYKFFRKRFHLSSEKCEEQDGSDIDDDENSRPSFLSVQKAAGSLDKELAASGFTRKEQVEMDKYIDQNAEEESSDDDSTSEQDNEDGDDVAVKIGSLKIAEQDSAEVPDCTLASKDSNEPETFAKENETSTSCSGENNSINPSPSSNGDAKEPTESQDNDDSDDDSSDDPDGEDDDALAKQLNKQRKRAIAAAHGRRRPISSRNAYKYKGKGTMNSKIERQACKW >ORGLA01G0338200.1 pep chromosome:AGI1.1:1:28771908:28775504:1 gene:ORGLA01G0338200 transcript:ORGLA01G0338200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:I1NU42] MARAEAAAALEFTPTWIVAAVCSLIVLISLAAERCLHYLGKTLKRKNQKALFEALLKVKEELMLLGFISLLLTVSQGILQKTCVPPKWTNYLLPCRKMEDQSKQRGPSEAHFVAAGVLGHLGRRLLADGGTGADHCQNKGKVPLLSLEALHQLHIFIFVLAITHVIFSALTMLLGGAKIHQWKHWENDIQKDVAQNAPKKVTHVHQFEFIRERFKGIGKDSIILSWLHSFVKQFYGSVTKSDYITMRLGFIQTHCRANPKFDFHRYMVRALEADFKKVVGISWYLWIFVMIFLLLNVNGWHTYFWISFVPLLLLLAVGTKLEHVITQLAHEVAEKHSAIEGDLVVNPSDEHFWFGRPKVILYLIHFILFQNAFEIAFFFWILTTYGFNSCIMDHVPFILTRLIIGAIVQILCSYSTLPIYAIVTQMGSFFKKEIFDEHVQQGLVGWAQKAKKRKGLKESNGAMAGAGSTNFSSQPSSMLQMVRRAAASEEGSSNGGDMRTDQ >ORGLA01G0338100.1 pep chromosome:AGI1.1:1:28768734:28771716:-1 gene:ORGLA01G0338100 transcript:ORGLA01G0338100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGGGVEVGGGAVGQCHSGRRDQREPSIERRPVILADTHARPSRAATAAAAIPPSHLLPDHRRSPPRRRRPPPRAAYRPPTASSSVSSGSRCPLLPLPNSKQSLRARERLAAPSSLLHLYWICRRRYGPGLIGSLHRSSFFHKIDPWIS >ORGLA01G0338000.1 pep chromosome:AGI1.1:1:28764389:28768730:-1 gene:ORGLA01G0338000 transcript:ORGLA01G0338000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPGEMSVSNPLRFQGFPCNVGKRNVFIAARSSGLGRSRIAQQCFQQHHICYPGVRRASVPNVRMLPAPGALVSRGVDSSLVPKTGNASEAGVIQLYRIPYLQESETIELLRQVQAKVSSNIVGIKTEQCFNIQLDNALTPEKLATLQWLLAETYEPDKLQAQSFLEEEISRSPYSVIVEVGPRMTFSTAFSTNAVSICKSLSLMEVTRLERSRRYLLCLDPGNGPLDESQLNDFAALVHDRMTECVYPNKLTSFHSDVIPEPVRIVPVIERGREALEEINVKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPKTLFQLVKSPLKANPDNNSVIGFKDNSSAIKGYPANQLRPTIPGSTSPLSVMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRMEGSYAPWEDPSFSYPSNLASPLQILVDASDGASDYGNKFGEPLILGFTRNFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACSEMGESNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCERERVSMAVIGTIDGCGKIVLIDSAAMEHAKINGLPPPTPVEDLELEKVLGDMPQKTFEFKRVSLVSEPLDIAPGVTIMDALKRVLSLPSICSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPAKGLLNPKAMARLAVGEALTNLVWAKVSSLSDVKASGNWMYAAKLDGEGADMYDAAVALADCMIQLGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGNDGVLLHIDLGKGKRRLGGSALAQAFDQIGNDCPDIDDVPYLKKAFEAVQELLSQRLISAGHDISDGGLIVSVLEMAFAGNCGVKLNIDSEDSGLLQALFAEELGLLLEVHLKDLSVVKQKLEAGGISANVIGQVTASPDIELVVDGRLHLKEKTSDLRDIWEETSFQLEGLQRLKSCVRLEKEGLKHRTSPSWSLSFTPKFTDEKLLTASSKPKVAIIREEGSNGDREMAAAFYAAGFEPWDITMSDLLAGKSSLEEYRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQNFYNRPDTFSLGVCNGCQLMALLGWVPGSDVGSSLGSGGDMSQPRFIHNESGRFECRFTSVSIGTSPAIMFKGMEGSTLGIWSAHGEGRAFFPDENVLASVVNNNLAPVRYCDDANNITEVYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKEWQLEKCGPSPWLRMFQNAREWCS >ORGLA01G0337900.1 pep chromosome:AGI1.1:1:28756792:28758648:1 gene:ORGLA01G0337900 transcript:ORGLA01G0337900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRRWRLRWREEEEEEEGIRRAGEVDGHEDDLVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAMAAIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPADTDRYHKTEISLCRVYKRTGIDDGHGHVSTARSSAHSRGGGAAPVQDNKQGSSSTSTPTPPPTPSKLHLLSSECTSPPAIVTDHAAMAAHKAPSPRHHQQQQQQLHAAKPCGGYLQNSSMASAAGGDQQQQFQQDFAAALYQQYSKNTSGAFASTYSLLNLVNAASMGSSAAAIDELSSLVGHGTPSYINPAAGSHNYSQFLHLPTTPSSHQPTPAPLGTTTAAAAATLPMSLAAFSDRIWDWNNPIPEAGGRDYSTSTGFK >ORGLA01G0337800.1 pep chromosome:AGI1.1:1:28739315:28739608:1 gene:ORGLA01G0337800 transcript:ORGLA01G0337800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGMSSKRAEELEQLIQRMEQAAARRRKTQPAGSKRKVPEPDTFCPTRWLPTPESLRESEGKHQAFMRGAREVIREADEALESIALQQRGDSCDEG >ORGLA01G0337700.1 pep chromosome:AGI1.1:1:28730526:28734430:1 gene:ORGLA01G0337700 transcript:ORGLA01G0337700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIRRHGGGRILRRSREEKRRLSEGGGGASQQPQGPAEDDYCPVIGSKHGWDIRPRSCNAYSFVFSFDEALRSIKLEEGRLLIHIADLYEMKRSLDDKITSLKAAVKSSPSADILRRIKSTRTKNNETQEEIYADKSLLAKTRLKLVKLEESPRSWRISIDEVAVVADSDGDADVNAEQLLHLLEHVGAIGENTEVERFDEKRVFFTVQQVVAVPNMSY >ORGLA01G0337600.1 pep chromosome:AGI1.1:1:28727767:28728009:-1 gene:ORGLA01G0337600 transcript:ORGLA01G0337600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADLLPLPASSSMSGLASGHWMCLHVPFTVGASVPFAPLIKPTCVEIATGAFAPERQTICNESSESSGRLHCSLVLSI >ORGLA01G0337500.1 pep chromosome:AGI1.1:1:28722322:28727068:-1 gene:ORGLA01G0337500 transcript:ORGLA01G0337500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGGGGGGGGGGGGGGGAPYATRTAEEVFRDLRGRRAGMIKALTTDVEKFYKLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLSVAFYFGARFGFDREARRRLFNMINNLPTIFEVVTGAAKKQAKEKTPNSSSKSNKPSSKVQSKAESRSKSKLSAPKDEEGSGDDEGEEEEDDHDNTLCGTCGTNDGKDEFWICCDNCEKWYHGKCVKITPARAEHIKQYKCPDCTNKRARA >ORGLA01G0337400.1 pep chromosome:AGI1.1:1:28716603:28717932:-1 gene:ORGLA01G0337400 transcript:ORGLA01G0337400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSLRRGGGGYMKVVVLEFNKSVRKYPKRGKAKTPTPILKILPSLSNKYPKRRKITTPTPITFIYYEISKEGKDNNTNTNVTYSDIMQQEQFAVAEISRISDTKTLMEQNLCNLKKSDQVPEFRQFKEDCGINKKNW >ORGLA01G0337300.1 pep chromosome:AGI1.1:1:28701702:28714314:-1 gene:ORGLA01G0337300 transcript:ORGLA01G0337300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I peptide chain release factor [Source:Projected from Arabidopsis thaliana (AT1G33330) TAIR;Acc:AT1G33330] MARALLRITRSYCPLSPSPRLPPPLHHRGAAPPLRFRFLSLSSSSAPSSSAAATANSSDGPGEKGGGGGGWDDYLGMSDDELMGQCEMGTFKASGPGGQHRNKRESAVRLRHRPTGIIAQAVEDRSQHMNRASALSRLRTLIALKVRKPINLEDYTPPVELLQILPLKSTIRGKDIGPQIGPNNSKFTPGMQALLDLLFAVEGSVSEAAKLLGLSTGAVSRLILSDDSLRAAANELRAAKGLKPLR >ORGLA01G0337200.1 pep chromosome:AGI1.1:1:28697323:28701134:1 gene:ORGLA01G0337200 transcript:ORGLA01G0337200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G02500) TAIR;Acc:AT2G02500] MAVEAGSAREARAAEMEAGLAREARPMEGGWTGARGASGGGGRLVARGAAGGGRGDLGAWWSCRWVWRVLRRMKAGRRGASVQGPHMSAEFEWWWSIGASAVDSQVSGSCAVAPRRHARKWGSAVCAAKADGAQKCCTDDAGIFFFFGFRQGEAVKERSVSVVLLSGGQGKRMGASMPKQYLPLLGLPIALHSLKTFCQLKEVKEVVVVCDPDYKDIFGGSIENVQIPIKFALPGKERQDSVYNGLQEIDGDSELVCVHDSARPLVSSEDVKKVLEDAIVHGAAVLGVPVKATIKEADSNSFVVKTLDRKTLWEMQTPQVMRPSLLRDGFELVKRDGLEVTDDVSIVEYLKHSVYITEGSYTNIKVTTPDDLLLAERLMNEK >ORGLA01G0337100.1 pep chromosome:AGI1.1:1:28695299:28695607:1 gene:ORGLA01G0337100 transcript:ORGLA01G0337100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPSTKYEPVILSCHENPFWLAPKLTKTMREQCTWQSHQQVEYHKCRIKLCTRAGTQIKKSGQDPKGSKGIPDFQPLACTYQRHKCLCLLLCCSLGLAALL >ORGLA01G0337000.1 pep chromosome:AGI1.1:1:28689936:28695207:1 gene:ORGLA01G0337000 transcript:ORGLA01G0337000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVGIKRSAAAVATQTISVPPPDARFAVREAVRATIASPPVEAPPPPPGRRRRRXXAVEGFLCLEEVDGRRWSYVVDGGQGKGKGRGRGRSGAAVPMGASVRAVPLQSPLPPAEEVMAFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLSSVGVSQSKVTPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSSDLLLEIGAGIELATAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLLGTGLSIFISKRNPSLVTSFAFLSCGYLWSSYHEVRSVVLNTLNRARFTVAVDSFIKTGHIPSLKEGNSQETIFNPPWRHEPIAIGSRFGEAFQEPASFVAIRPLFEDERYMVTYNPAKDKVYALLKDQAKSDDIIKAAFHAHVLLHFINASHARKQMNSRRSDPYGNPCNMDFMAHIAESCKIVSSSYGTFKKKAREQGWIMSESLLNPGKARLCPARP >ORGLA01G0336900.1 pep chromosome:AGI1.1:1:28688438:28688659:1 gene:ORGLA01G0336900 transcript:ORGLA01G0336900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGCRCALLLLVCAASLRCSTAPKKLLIGGVSIEEPRAGGGGEECDMFNPLYASRDCPFLDVGFRCSDNGRPDE >ORGLA01G0336800.1 pep chromosome:AGI1.1:1:28681390:28686693:-1 gene:ORGLA01G0336800 transcript:ORGLA01G0336800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease [Source:Projected from Arabidopsis thaliana (AT5G49840) TAIR;Acc:AT5G49840] MFRTFSKLREAAAPLTAAAVRRCCSGGGGGRIRAEANCPRCAAHMSVQFSLQPLPTPPPAAAAGGGGGDGSQSHHHDGASVCPACSAAFLFRAHRIEPLRGAFLEIPPGVGGEDEDAAREGFGDRIRRMISERPPDDFPMPPPPPPPLPPMQHVPPRQRRTPRRRLREDGGGGGGGSSGDSSGGEGTSAAPKREWWGGATLGEELPTPREMCRRLDEFVIGQGKAKKVLSVAVYNHYKRIYNATVQKGCSTNSGWLDAASDDQNNIEIDKSNVLLMGPTGSGKTLLAKTLARIVNVPFIIADATSLTQAGYVGEDVESILQKLLVAAEYNVQAAQQGIVYIDEVDKITKKAESANVSRDVSGEGVQQALLKILEGTVVSIPEKGSRKNSRNESIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPIRTNMRSSEVTDPMVTSSLLESVESGDLARYGLIPEFIGRLPILVSLTALNEDQLVQVLTEPKNSLSRQYRKMFSLNKVKLHFTDGALRIVAKKAIARNTGARGLRAILESLLLEAMYEIPDEKTGSERVDAVVVDEEAIGSIDRPGCGAKILRGDGALEQYITNTNMKNSMEANEGLAGELEDAYMMSRFVSL >ORGLA01G0336700.1 pep chromosome:AGI1.1:1:28678421:28680492:-1 gene:ORGLA01G0336700 transcript:ORGLA01G0336700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGRRGSSRWGLPVVRSEALGKLGPSFGIGAGCGVGVCFGLIGGAGIGAGFPGLQLGLGAGAGCGIGIGFGYGFGKGIAYDENGRYSNIRRSFQNSRNLPYDEQFDILFDEMMESTRKLIKATSKEIDKWRRM >ORGLA01G0336600.1 pep chromosome:AGI1.1:1:28672334:28675705:1 gene:ORGLA01G0336600 transcript:ORGLA01G0336600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRIPPPSPLQYSPSPVHSSPHPLSSLRYSSSERERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASSLPPNHNFVDPERIEHGSPLRLPGLPVNGQPMDLEGWSGMQTENMRVLQASSMGWNGPPAITGTPVVKKVVRLDVPVDKYPNYNFVGRLLGPRGNSLKRVEASTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNEPLHVLVEAEFPADIIDTRLNQAVTILEDLLKPIDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGR >ORGLA01G0336500.1 pep chromosome:AGI1.1:1:28664731:28667543:1 gene:ORGLA01G0336500 transcript:ORGLA01G0336500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G09960) TAIR;Acc:AT4G09960] MGRGKIEIKRIENKTSRQVTFCKRRNGLLKKAYELAILCDAEIALIVFSSRGRLYEFSNVNSTRSTIERYKKASASTSGSAPVIDVNSHQYFQQEAAKMRHQIQTLQNANRHLIGESIGNMTAKELKSLENRLEKGISRIRSKKHELLFSEIEYMQKREADLQNENMFLRAKVAEAERAEHDDQQAAEDDEMAPAPAVGGGSSSGTELEALPATFDTREYYPPAPPVSMLAAAAAAAAAQYSSDHHQTALHLGYFKVDSGKGGLL >ORGLA01G0336400.1 pep chromosome:AGI1.1:1:28654759:28657325:-1 gene:ORGLA01G0336400 transcript:ORGLA01G0336400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALTPNSGGSSCLPARRTPPPLAAAGEAGSLAAGPGRWCSWRRRQPAERWPKLAVSASGRKSKGGRDEGGGDEPKKNKAASSSSSGKGDASAPSGDVSNNELQSNDTMYVPGNLSYWRDVRASFVVPKVQTVDAHTLPQAATDAPVHCLPRKWAHSIPMPESGCVLVAAEELDGNGTFERTVILLLRLGSRDAYDGPFGVILNRPLYTKMKHVNPSFRNQATPFSDCSLFFGGPVDMSIFLMRTTDDRPIKGFEEVSPGICFGFRTDLEKASALLKSGAVKPEDLNFYVGYSAWDYDQLLSEIDQGYWHVTSCSSGLISDSLATDPSCLWTEILKLMGGQYAELSQKPKEDGS >ORGLA01G0336300.1 pep chromosome:AGI1.1:1:28652554:28653398:1 gene:ORGLA01G0336300 transcript:ORGLA01G0336300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAECGGGEEEERCRYRGVRRRRWGKWVSEIRVPGTRERLWLGSYATPEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTAYARPDHCAGATAARHDELARRGMYGAHAHAAGANARTSGERQLVCAEEISVDDMEILM >ORGLA01G0336200.1 pep chromosome:AGI1.1:1:28638777:28639832:-1 gene:ORGLA01G0336200 transcript:ORGLA01G0336200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTSSTSTHAGERPPAPPFGPLPVLVYDHGLDPASRRHTVVRIAAAAGNTIALETTRVVVPELASNDYHVTPHGWVFLSEPAAAGTTRRTRLWNPTTGESVELPRMEQPLPANWKCYLSDDPTAASCVVLVLAMSEPSLLYCHVGATAGGGWVAHDYDIGDVGLPPSYAPPRRQFISQIAAVNGRFYFADTGKLGVLEFTPSPEFSYLDYPHIEFPEGSNFAKSFLVASHGELFDVYVFFKGFTPEILAVRVCAIDLGGAGDERPAFREVGDLGDRALLLGDANAALLCSASAHGVKGNRVYFMHNILEEPDGGPLRVYDLGDGSMETVRPCPGVSELMCKPFWVMPSAT >ORGLA01G0336100.1 pep chromosome:AGI1.1:1:28635661:28638141:1 gene:ORGLA01G0336100 transcript:ORGLA01G0336100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NU21] MPAMADNPPLIMLLAFLFSALLLLSPAHGGSRLQRGESLSVERASDILVSSNGVFAFGFYNLSSTVFTVSVWFAASAGRTVAWTANRDRPVHGAGSKLTLRRDGRLVLADYDGTPVWQTNSSSGAAAAAELTDSGNLVVTSHGGDVLWQSFDYPTDTLLPGQPVTATARLSTTDVLHPTSHYALRFDDRYLLSLAYDGPDISNIYWPDPDASSWANGRISYNASRRGVLDDAGRFLASDNTTFVASDTGAAAGGVTWRRLTLDHDGNLRLYSLRDADGGWSVSWMAFSQPCGIHGLCGWNGLCVYTPRPACSCPPGYVPADAGDRGKGCRPTFNLTCGGGGGRPEMGFARLPQTDFWGSDLNLFSSISVDGCKAACLELCNCVAFEYKDDVSDCYLKSALFNGKTYPGYPGTVYLKLPANLVAESDTYTAAPAATAAVNLACDAARTEEVLLSFPAASPDTSSWRYYYGFLSAFFAVELCFIAFGWWFTARSRPATSEQWAAEEGYRVVTDHFRRFTYGELRKATKNFKDVIGHGRYGSVYRGVLAGAGDDRAVAVKKLKAATPQRGDDEFETEVSVVGRINHMNLVRIRGVCSERHRRRRLLVYEYVDNGSLATWLFGAKETLNWNQRYNIAVGVAKGLAYLHHECLDWIIHCDIKPENILLDEDFEPKISDFGLAKMQQRRDLDDPASFSIKGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVRGARMADLATDSVGDTEIAMRQLVWKIREGLKIGDRSWVISLVDRRLNGSFVYSQVALMLEVATSCLEKERNQRPSMNDVVKKFYTSDKKVEFIGEMSS >ORGLA01G0336000.1 pep chromosome:AGI1.1:1:28629927:28634646:1 gene:ORGLA01G0336000 transcript:ORGLA01G0336000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10030) TAIR;Acc:AT4G10030] MAAAAASAAPRVLSAEPRRRDPVAVGVPPWRLSPGSRVRAASRARQENVRRGQLISTNIKSRPLLCPPCRCAQMALANTRIAYQPDVQKHSGVLAYELVQGSLVQWNSFMDKSVPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPMWQFLLVDLRCHGDSASIKKRGPHTVASTALDVLKLIVQLRLTPRVLVGHSFGGKVALSMVEQAAKPLARPVRVWVLDATPGKVRAGGDGEDHPAELIESLRRMPMQVSSKQEVVDALVKEQFSVDVARWVATNLRRSSPLGSLSSSSFSWIFDLNGISDMYKSYEETNLWGIVENVPRGVHINFLKAERSLHRWALDDLQRIHTAEELAADEGGGVEMHVLEDAGHWVHADNPDGLFRILSSTFRIEATIRGMQN >ORGLA01G0335900.1 pep chromosome:AGI1.1:1:28626632:28629426:-1 gene:ORGLA01G0335900 transcript:ORGLA01G0335900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEVEEEEEEEEEAEASRKKGERGLGEGECGAPSSKKRDPELELRMEQKAAEWHRKARETLKEIAKEMAKYPNEDWSDTLGVKAREYREDWEYRWSAIFGPYDTISPIPPMRYTHRKDDPMPRHISVRHTLQIISVKIKGIRGGLQWPINVFGLIAARDTIDRNRIMIFNHTRDNCQTITKEDRYLLLTGPTRAVVVSDPVYFEAPLKVKGSVESEDKDLSFLAVPLTGASDRGETRLVNREYTSRLSTLELTFGFVVESLEASISVSIIDGSWQDGFRGAFTAHTPSLKDNKVLLLDSGYCEMVPVTADRMIKLSRHVVSVEGEGDLTVSVLALGTDNVIEDEKDFTPKEAGMSQSSLDVGFCKLEAIVNWSLLSLLPDGYT >ORGLA01G0335800.1 pep chromosome:AGI1.1:1:28612561:28615771:-1 gene:ORGLA01G0335800 transcript:ORGLA01G0335800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEVNQTFFAWSQGEPTERDGSQGVSVSQKIDHGSISFGRFELESLSWEKWSVFSDDKRHEEFGKFNGLVAQKKAYFEEYYRKIRELKAQQQNQQTELILEYSGDGSDSSQTGEYTQGAELETPTGSGTIVDDYVEQGAHETTSEQGLTCYDDHENENFNAEFSSSNISSSAVGLQQTGRDARENVHGDDSAGKMDLEQQNAISGHSLGTAYEVVRAPKRIIEKDSRLRYAPKIVPKSVKTSSDSPLDRTSVSKRPDSLKLGMSINQKAKTDNDRLLRGPNVAPHKMSGSTERNKLTTKQTGVRRPSFASSQRPSVGERHRIARESIKKPADVSTPRRPSTAERHPVTTERARKQADVDTPRRPSTSERRAVNKGSADMTTTHRPSTGERRSVTRESVLKMDVRTPSKTRPTMTQLKGATTTVGIVKKAGTPNASKSINMGTKSNIRELEGPSKVGRHSVGSKITDLQIAGKQKSSSVNLPPRKLLSSSIGEPALQTFTRPKKKDVAAQSRASTSKRAMTLHTVNVKGRSSNPPPPPPPPRRPSRTTNRPNGSNSSTVGQKPKVSSAPKWH >ORGLA01G0335700.1 pep chromosome:AGI1.1:1:28604889:28606082:-1 gene:ORGLA01G0335700 transcript:ORGLA01G0335700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTREAADAFGVATITLFAIFAVLGLFCIFQSIYFRFRIRRGAFIPLGYFNGPWVTRIVLILITIWWGVGEIVRLSFLKRKLFSSILLQKGVCDAYILSNLGFAEPSIFFAFAFLLHGSLQKRELGTLNQRWNWKTIGYMLVFCVPVFFVQALLVFVGPTFVKDENNTHGRKKIAKYFIRTSMPVGDTNICTYPLFGTIFLGLIDAILMSYVSYVGSRVLSLVINKALRRRVSLLMLSVLFFLPIRVLLLGFSVLPQPGDVAFEVIIFLSFLMMISCTTVGVLLLVYYPVADSLALREIGQREITEMVPYDDYYYEGASLVANQSFREIERNSDTSTKRGSISFRTMIREDQLQQDGVDEIGFSSRSGAHIGSPSPSGSSPSAAMPMLPLKEVPRY >ORGLA01G0335600.1 pep chromosome:AGI1.1:1:28599757:28602145:1 gene:ORGLA01G0335600 transcript:ORGLA01G0335600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSDAPPGDAAAGEKIFRTKCAYCHAVDKAAGHKHGPNLNGLFGRQSGTAPGFSYPSGDKIVPVIWEENTLYDYLLTPKKYTPAKMGFNGLKQPQDRADLIAYLKNATA >ORGLA01G0335500.1 pep chromosome:AGI1.1:1:28592992:28595997:-1 gene:ORGLA01G0335500 transcript:ORGLA01G0335500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family [Source:Projected from Arabidopsis thaliana (AT1G22850) TAIR;Acc:AT1G22850] MRQPHLLSPQQLSPSPVLSSHFSPPAPGGSPAWRRGRHHHHRRAFHPPLSSLRDPDKATLRKASPNVPFRLGGGGGGGGQGGGSSKDRRPAADQEEEEEEEAAEDKGDGGAGAITGTLLAGALLVGVVGGFGAAGYVYKDQINTFLTQFSGFIDGYGPAGYALFVLVYAGLEVLAIPAIPLTMSAGLLFGSITGTVIVSISGTLAAAVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGSWAYVSAGAFGRAIIQDESEIGLGGNSQLLTLGIGLLFTAIAATYVTRLAKDAVKEIDE >ORGLA01G0335400.1 pep chromosome:AGI1.1:1:28591199:28592752:1 gene:ORGLA01G0335400 transcript:ORGLA01G0335400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGKGLVACNAAISRCSRAGLHRRALALFTEMRARGLRADEYTLPPILNSAALLRAPPAAALHALLLRAGLASHLHVANALVDAYAKLSRLGAARAVFDEMPRRDVVTWTSLVTGLARAGSHGLAVRAYRDMVAAGVATDEFAVAAVLSSCAGSTALELGRSVHAAAVRSGHEPFLSVGNSLVSMYAKTGSLRDARTVFDAMRSRCAITWTALIVGYAQNGRGRESLAVYADMARSGCRPDYVTFVGLLFACSHAGLLDAGRAHFQSMQSEHGISPGPDHYACMVDLLGRAGRLGEAVDLLDRSPAAELDATVWKALLAACRTHRNAALAERAAGMVWRLDPTDAVPYVMLSNLYSRARRWGDVARVRALMRSRGISKEPGCSWVVVAGVTHVFHAGDRDHQRAAEIYRKAEEMAARIRARGHVPDTEWALQDEAPEGREMGLAHHGERLAVAFGLLAVPAAAPIRVYKNLRVCGDCHAAIKMVAEVYGREIILRDSNCFHHMKDGSCSCGDYW >ORGLA01G0335300.1 pep chromosome:AGI1.1:1:28587300:28589151:-1 gene:ORGLA01G0335300 transcript:ORGLA01G0335300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTAKRRTSTTGSFSSPPPPPPMRPLRRRREMAAAMTRRGRSSTITSSLVRMXSIRRGFPSRRRRRREGEGEEEEGVASGNASWVEPDPDDLVFPGPDRAALWSDSSDDGERREEAEATEPLPVEAAAAEVEAGEGALTKGGGAGAGVVRWWHLPMGVLRAWALRAARSVWSMPVAVALLGIAVLGRRLYRMRRQSKAVARVRLVLDEKKASQFKAQASRLNESFPMARRAPIMKPLLPANGVTPWPVLGHI >ORGLA01G0335200.1 pep chromosome:AGI1.1:1:28569095:28580223:-1 gene:ORGLA01G0335200 transcript:ORGLA01G0335200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSKQKVEDMAEELCFVCKDGGDIRVCDFKNCLKGYHPHCVGKEESFLDSAEQFICELHKCVSCKRNSEYHCLCCPSSSVCGECLGKVEFAQFRKQSQTKGLCNNCLKLALFVEKNSEANSDGETVEFRYTENYLVLFKDYWETIKDNEGLALIDLQQANICLRTSLSCKQGRDSEKPPDEDYRADKNSLSVNDGAEQPFPVDVKVQPSEAKMSLKRKKSNKKTYVGWGSKELIEFLSCIGKDTTKPLDEFILTGVVKEYIQQKNLFKDRKRKSVICDDKLHSLFRKRKVKSNMILNLLEIHLAANAASEDDFLDDSEDDEGRIMRKRPCNTLKAAETSERDPKRNKKCFAALNHNNLKLIYLRRTLIMNLMGQDTFEQKVVGSLVRVKNDDNHYSYQMPKKHYQLGLVTGIRKSPQEYKIKDKRTDILLCVSNLWDDIKISMLSEEDIEEDECNDLLLLAKKGLFKRPTVADLEEKAASIHVDIVNHWIDRELMRLEKEIERAHEKGWRQELHELFNKKRLLSTQDERQRRLAEVPEAIPDTGESKRDEFGVAASNHLEENKGATGHVPNSVKVLMEDSRGATGHIADSVKVLMEGLPGGATARVADVFNVDMAKSQGAKMQVAEPLKVAIEKSLEDASGQVTDYLEVVEEETPEDASGQVADILELVEEETSEDASGQVASILEVVEEETPEAPGKNLCNGGIPGSGLQNKMHNAQDGGTAQGSDMCNGGNTSRRLNDRKSVIVIDSDSDEDEDPHPEQHEPERAAPRAAMDVVMAPTHGAPVAMNGTSAPTLPCAKRGKNGTTAPKGRVPAIAALHALQSMNAPGEHEYIWNYADPQGKVQGPFTMEHMRNWHRNRFFPPDFRVWRLGQTQNDSVLLTEAMGLKFSS >ORGLA01G0335100.1 pep chromosome:AGI1.1:1:28565752:28568467:1 gene:ORGLA01G0335100 transcript:ORGLA01G0335100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1NU11] MDSVSLSLLDSISNFRVLSSSNALKTELVKKYCQTMDGILDHLEVALNRAFPQITPDGELSKVLEELGATINEATELVGGWNQMMSKIYFVIQADSIIAKMQIYVFELCQIVNSLMQIESMHLEDLEHDSCGKISDVIREASRALAGEVMPNSEEFGKIQTTLSLSTNQELLMEYVALVKVKTKGNHEDNKEMDDINDIVELVNHMLDKHVEEKQTRSIDGVTIPADFCCPLSLELMSDPVIVASGQTYEHVFIRKWFDLGYNICPKTRQILGHTKLIPNFTVKQLIENWCEVHGIMLPDPVKLLSLCFPVSLNITDGSASADKSGSPEHCQLVAALHPKAQCASDDSHHYNLIHENSDSDDRVSSFGDTDDSEPDSLRLSTETTAANKSLLDEKTDRSDGLKQLRDNGFQVSDEEQYLERNGKSHISSHHQLEVDGENVRVQASSDINASEVMQDDPVTTCSKVSDNPPRLGGVRSRNQPNWWRQSNKTIPRIGLSSSTDSKPDFSGNDAKVRNLIEELKSDSAEVQRSATGELRILSRDSLENRIAIANCGAIPFLVSLLHSTDPSTQENAVTILLNLSLDDNNKIAIASAEAIEPLIFVLQVGNPEAKANSAATLFSLSVIEENKIKIGRSGAIEPLVDLLGEGTPQGKKDAATALFNLSIFHEHKTRIVQAGAVNHLVELMDPAAGMVDKAVAVLANLATVHDGRNAIAQAGGIRVLVEVVELGSARSKENAAAALLQLCTNSNRFCTLVLQEGVVPPLVALSQSGTARAREKAQVLLSYFRNQRHVRVGRG >ORGLA01G0335000.1 pep chromosome:AGI1.1:1:28564502:28564723:1 gene:ORGLA01G0335000 transcript:ORGLA01G0335000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKRARWDPPPEEENGGPTRQSAQAGTTALRDCRWGPRVSGGEQLLPSSSRIRPPRAPGLPYASPYLQMGPT >ORGLA01G0334900.1 pep chromosome:AGI1.1:1:28555290:28556940:-1 gene:ORGLA01G0334900 transcript:ORGLA01G0334900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQDLQLPPGFRFHPTDEELVMHYLCRRCAGLPIAVPIIAEIDLYKFDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGSPKPVAIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGGLEKPPAAAMAAAGMVSSGGGVERKPMVGVNAAVSSPPEQKPVVAGPAFPDLAAYYDRPSDSMPRLHADSSCSEQVLSPEFACEVQSQPKISEWERTFATVGPINPAASILDPAGSGGLGGLGGGGSDPLLQDILMYWGKPF >ORGLA01G0334800.1 pep chromosome:AGI1.1:1:28546215:28550538:-1 gene:ORGLA01G0334800 transcript:ORGLA01G0334800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRGSKMDGRRSSPSSSLCTTTTVVVFVALCLVGAWMMTSSTIFPLEITSNKKAAVKEQPARVNYGASEEAAAASGNSAEGVDRFGDTDNNDNAVPEEPNNTVPSEEEKFSENTVEKPVESSEEKAPPKEKEESKDTFDDANGKTEQSSAKEDGDSESGSGQSDGSKNGDDEEKSEEKKDDEQSDGERKDDQEEKAEGSGSKDTTDQPQIEETVDESGEKGQGAKSNEVFPDGAQSELLKESNTENGSFKTQDAESKNEKESQAASNSSDDETTYNWKLCNNNAGTDYIPCLDNEKAIKKLRTTKHYEHRERHCPVEPPTCVVPLPEGYKCPVEWPTSRDKVWYSNVPHTKLAEYKGHQNWVKVSGDHLLFPGGGTQFKNGALHYIDTIQQALPDIAWGKRSRVILDVGCGVASFGGYMFERDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPSRVFDVIHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQKLPEDVEIWNAMSSLTKAMCWKMVNKTKDKLNQVGMAIYQKPMDNSCYEKRPENSPPLCKETDDADAAWNVPLQACMHKLPAGQSVRGSKWPETWPQRLEKTPYWIDDSHVGVYGKPGNEDFEADYAHWKRVVSKSYVNGMGIDWSKVRNVMDMRAVYGGFAAALRDQKVWVMNIVPTDSADTLPIIYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKLKKRCKLLPVFAEVDRILRPEGKLIVRDNAETINELQGMVKSLQWEVRMTYTKGNEGLLCVQKSMWRPKEIEASM >ORGLA01G0334700.1 pep chromosome:AGI1.1:1:28541899:28544581:1 gene:ORGLA01G0334700 transcript:ORGLA01G0334700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GA C20oxidase2 [Source:UniProtKB/TrEMBL;Acc:B6F2E3] MVAEHPTPPQPHQPPPMDSTAGSGIAAPAAAAVCDLRMEPKIPEPFVWPNGDARPASAAELDMPVVDVGVLRDGDAEGLRRAAAQVAAACATHGFFQVSGHGVDAALARAALDGASDFFRLPLAEKRRARRVPGTVSGYTSAHADRFASKLPWKETLSFGFHDRAAAPVVADYFSSTLGPDFAPMGRVYQKYCEEMKELSLTIMELLELSLGVERGYYREFFADSSSIMRCNYYPPCPEPERTLGTGPHCDPTALTILLQDDVGGLEVLVDGEWRPVSPVPGAMVINIGDTFMALSNGRYKSCLHRAVVNQRRERRSLAFFLCPREDRVVRPPPSAATPRHYPDFTWADLMRFTQRHYRADTRTLDAFTRWLAPPAADAAATAQVEAAS >ORGLA01G0334600.1 pep chromosome:AGI1.1:1:28520799:28521326:1 gene:ORGLA01G0334600 transcript:ORGLA01G0334600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGARALIELSQGTPGSFCKVCNEVEKPNKRFLICAHSLCPYKFFHIRCLRYEQIASSEQQGNEYWYCPSCLCRVCKVDRDDEQIILCDGCDEGYHLYCLIPPLTLVPEGEWHCSSCIVQEEKETKRRLHGKDIATNVSMLETDGFAELEAANVLMLLKNSSTDGETVVSPVSQ >ORGLA01G0334500.1 pep chromosome:AGI1.1:1:28505617:28508827:1 gene:ORGLA01G0334500 transcript:ORGLA01G0334500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFSGMATTGALYSGQVMPITSRDGSGTKPKGTRLERAIRDLQKIAAEYRPPAIDINEVDPNGQVAVKRRLPPEVKQKLAKVARLSANHGKIQEHELMDRLMGIVGHLVQRRTLRRNMKEMVESGLSAKQEKADKFQRVKMEINEMIKSRVAAKAKVNEHHSGSADDFQIANDEKRYLKGKSVMDAALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPEGSMDNVGIKDAINRSKERRRSLYNQQKVRNEERMKRKRLAAAAKLQDGYPVVMQSALIQQVAQPPITNPVATYPVTDQGSKSFDRVREISASANPDDINRNTGEMKKKKRKPESDLVDTQANAMKGPSQHVEKNKPPKRADEAVETVLCLPFYDQQPS >ORGLA01G0334400.1 pep chromosome:AGI1.1:1:28501217:28501939:1 gene:ORGLA01G0334400 transcript:ORGLA01G0334400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAAASSGGARACPEPGDLVHRPPHGNLKSSNVLVVFPAPGSGGDVVPVANLTDHRFYPLLLHHGHRLAAAKCSEFMRGRWLSSHADVFCLGLVLLEVVTGKVPVDEDDDLAEWAQLALSHEWSTDILDVEIVTDRDRHGDMLRLTEVALLCAAVEPEHWPMSAPSHRLVPTATAAAPHYLVPIATAAAPDRRCRRSPPPPQLLPTAATAASIRKAGREIRERERREEEGKERKDDMWVP >ORGLA01G0334300.1 pep chromosome:AGI1.1:1:28498045:28500169:-1 gene:ORGLA01G0334300 transcript:ORGLA01G0334300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNRQVTFSKRRSGILKKAREISVLCDAEVGVVIFSSAGKLYDYCSPKTSLSRILEKYQTNSGKILWDEKHKSLSAEIDRIKKENDNMQIELRHLKGEDLNSLQPKELIMIEEALDNGIVNVNDKLMDHWERHVRTDKMLEDENKLLAFKLHQQDIALSGSMRDLELGYHPDRDFAAQMPITFRVQPSHPNLQENN >ORGLA01G0334200.1 pep chromosome:AGI1.1:1:28495415:28497352:1 gene:ORGLA01G0334200 transcript:ORGLA01G0334200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAPLFRLAAAAAVVMGVVSPAVKAAGGGNSSTACPLDLGYVRSFPWDTAPCMPPVANQTACCTTLLSVLGVGLAARLRATGHFRLPSANASAACLGAFSDELASPPLSLQDTLVPACWPVSSQLAISPSYCAGVTTAKQYVATVGNAAVLGSLNSSCGSDLADLSLCSSCLAAAIDASGRLVAAAAKGTNPQNCFYLTVLYAAGVSSSAGPTSPGTANCALGLALSTPSSSSSPASSSNHTNMAVATAIPVASALLVSVIAALLVWRRRQDSIRSKSRRLSGERRLSRPRPNVGSVLFSLGELAKATCGFAERNLIGRGGFGVVYRGVLDDGSVVAVKKMLDPDMEGGDEEFTNEVEIISHLRHRNLVPLRGCCISDDDADEGKQMFLVYDYMPNGSLDHYIFKDGGDGGRRPPPLSWAQRRGVVLDVARGLEYLHHGVKPGIYHRDIKATNILLGTDMRARVADFGLARRSREGQSHVTTRVAGTHGYLSPEYALYGQLTEKSDVYSFGVLVLEVMSGRRALDLSDPSGVVLITDWAWALVRAGRAAEVVAAALREREGPAGVHAMERFVLVGILCAHVTVACRPTMPEALRMLEGDMDVPDLPERPQPYGQRIAFDEGEANFSASSVLSGPFMDFGDMLR >ORGLA01G0334100.1 pep chromosome:AGI1.1:1:28487925:28489556:-1 gene:ORGLA01G0334100 transcript:ORGLA01G0334100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENVVGTYYYPPSAAAMDGVELGHAAAGSKLFDDDGRPRRNGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMVLFSLVTYYTSSLLSDCYRSGDPVTGKRNYTYMDAVNANLSGFKVKICGFLQYANIVGVAIGYTIAASISMLAIGRANCFHRKGHGDPCNVSSVPYMIVFGVAEVFFSQIPDFDQISWLSMLAAVMSFTYSVIGLSLGIVQVVANGGLKGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESAVMKRATVVSVAVTTVFYMLCGSMGYAAFGDDAPGNLLTGFGFYEPFWLLDIANAAIVVHLVGAYQVFCQPLFAFVEKWAAQRWPESPYITGEVELRLSPSSRRCRVNLFRSTWRTAFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYVVQKKVPRWSTRWVCLQMLSVGCLVISIAAAAGSIAGVMSDLKVYRPFKGY >ORGLA01G0334000.1 pep chromosome:AGI1.1:1:28482775:28483722:-1 gene:ORGLA01G0334000 transcript:ORGLA01G0334000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductases, acting on NADH or NADPH, quinone or similar compound as acceptor [Source:Projected from Arabidopsis thaliana (AT5G58260) TAIR;Acc:AT5G58260] MWSAAAARTVTPLPAASPLQQHQQRRGAWARVGNGRAASTTAARAGLWDFVGGDLVKPDMGRWLDDVEKHKSLAIYPPHEGGYEGRYLSRLSYQGYYFLDLSARGLGDPETTLTKIHPVCPPSLGRQPVARWYFPPEVDYRLSLLHPDAKGLVVWVMEAKVLSKAELQFLAILPDIRPKVRVIAECGNWRKFVWKPLKQIAGLEPDPDAEE >ORGLA01G0333900.1 pep chromosome:AGI1.1:1:28481878:28482561:1 gene:ORGLA01G0333900 transcript:ORGLA01G0333900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEECKVLIDQASNEAGDPHQDDDCEDEDDDDSSSLILLTNLILSGTARLNVLLPTATILAFAIFAPLLTDDGKCTRLNRALTGALMLLCAASCVFFTLTDSFRSPTGRLRYGIATPSGIRTFCVGGRRRRRGGGKAGPREPERYRLRWSDLFHTALALVAFVTFAASHHDIVLCFYPGVPRKVVNTVPLVIGFVVSLLFVLFPSKRRGIGYPFLLSTDLVYLRR >ORGLA01G0333800.1 pep chromosome:AGI1.1:1:28476374:28481276:1 gene:ORGLA01G0333800 transcript:ORGLA01G0333800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:I1NTZ8] MATEASTSAAAGAGGGSWVEGMSADNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLADIMLKEKLHIFGILGCVLCVVGSTTIVLHAPQEREIDSVAEVWALATEPAFLFYAVTVLAATFVLIFRFIPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLIYPQTWMFTIVVVACILTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPTLPIRIPKHDEDGYAAEGIPLRSAAEGLPLRSPRAAE >ORGLA01G0333700.1 pep chromosome:AGI1.1:1:28463786:28466508:1 gene:ORGLA01G0333700 transcript:ORGLA01G0333700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAGRGSNGATDEALREWRHHGSKFYDTFTVSGLRVDAIQPGRVLCSFTVPPRLTNARSKRMHGGAVASLVDLVGSAVFFAGGSPKTGVTVEITVSYLDAARANV >ORGLA01G0333600.1 pep chromosome:AGI1.1:1:28459352:28462628:-1 gene:ORGLA01G0333600 transcript:ORGLA01G0333600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYSVIAAGDDHPTPASSVAAEAADGSAAAGGGAAVQPAALPPLLPPPQMVVLALDATRDHREEEIRMALRAVVTRGDILRAGGDSLLVLGVLHAITNPSEGHAPPSFPTVGYQTKACIDSFAGTNLRLLSDQVKAKAEFYQNKLHHDVEELSKVGINVTLKVSPGSPAKFVIINEVKSSKAAWVVLDRHFRRDFKYLEKHIACKVAVFQDNLVVQPLKIIRTIPPSKSMGEVKALQHLAVSLDLRSETLDTDTHSVLTKSSPVSYFASLSYHEMHETSSVVGSSMSYLTPSMSAMSLTTIDRTDSLSNGKGVEGNMFYHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSEFSNENLLGEGGFGHVYKGQLKDGQVIAAKVHKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNKSLEWHLFDKDANLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNASIHTRILGQSGLE >ORGLA01G0333500.1 pep chromosome:AGI1.1:1:28451151:28453733:-1 gene:ORGLA01G0333500 transcript:ORGLA01G0333500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEQLMSGELDNSFNALMVSGEGESGQAHHEGTGTTLLGWKDLPMELLLRILSMAGDDRMVIVGSGVCTGWRDTLEWGVTNLSLSWCQAHMNDLVMSLAQKFTKLQVLSLRQIKPQLEDSAVEAVANNCHDLRELDLSRSFRLSDRSLYALAHGCPHLTRLNISGCSNFSDAALAYLSSQCKNLKCLNLCGCVRAVSDRALQAIACNCGQLQSLNLGWCDSVTDKGVTSLASGCPELRALDLCGCVLITDESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRRVRSKGRSWDAAARKNAGAGADGLASLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACAHHPHRHGRAILSNHAY >ORGLA01G0333400.1 pep chromosome:AGI1.1:1:28449800:28450666:1 gene:ORGLA01G0333400 transcript:ORGLA01G0333400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRGCTWTTARRGSSTASATYRNVLAGKVLAIQLGSLGTDQRSGDEADGRGQRGEPVSPLLVGLPLDVSTHMHCLLLPLVLASRSPASPHLSPLADCLGNQQENAQRRCLPTRVWLRRCRLAQHADRVHRRRRRLPRPRRSSRLQRRRRRKCRRSHRRMLPSSRGCRRESRWRDTSGPYAFVGAAGERRRRVRRGRQRGRGAQWWIVQSRGRGWRGHGHSRGPFSSFRRPTFHGGLDLRRRRRSGPRPHAAVTAPMPLPGLRRRWPPSHTRGEKERGENMIYVSPT >ORGLA01G0333300.1 pep chromosome:AGI1.1:1:28441631:28443580:-1 gene:ORGLA01G0333300 transcript:ORGLA01G0333300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCARECROW-like 1 [Source:Projected from Arabidopsis thaliana (AT1G21450) TAIR;Acc:AT1G21450] MSFIRRADPSTTYADNLYIHKFGTPNSNFAARRYASDTQLFRYGPEPYNPENSFYNQQASPMPYMVTADGHSPSSADNSCSDVAKDSPLVSNVSQQNSQSISDNQSSELEVEFDEDDIRMKLQELEHALLDDSDDILYEISQAGSINDEWADPMKNVILPNSPKESESSISCAGSNNGEPRTPKQLLFDCATALSDYNVDEAQAIITDLRQMVSIQGDPSQRIAAYLVEGLAARIVASGKGIYKALSCKEPPTLYQLSAMQILFEICPCFRFGFMAANYAILEACKGEDRVHIIDFDINQGSQYITLIQFLKNNANKPRHLRITGVDDPETVQRTVGGLKVIGQRLEKLAEDCGVSFEFRAVGANIGDVTPAMLDCRPGEALVVNFAFQLHHLPDESVSIMNERDQLLRMVKGLQPKLVTLVEQDANTNTAPFQTRFREVYDYYAALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMTMAGFTPCPFSSNVISGIRSLLKSYCDRYKFEEDHGGLHFGWGEKTLIVSSAWQ >ORGLA01G0333200.1 pep chromosome:AGI1.1:1:28437304:28440929:1 gene:ORGLA01G0333200 transcript:ORGLA01G0333200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT1G19025) TAIR;Acc:AT1G19025] MPIEMPRGLPFAVDTWGPSSRRRRHRFLTHAHRDHLVAAGGAADSGECPGAVYATRLTLDLALRHFPRLERGEFVEMEVGKTVVVDDPAGAFSVTAYDANHCPGAVMFLFEGQFGSILHTGDCRLTPDCVQNLPLKYIAKKGKENICRLDFVFLDCTFSKCFLKLPSKESAIQQVIACIWKHPHAPFVYLACDLLGHEEILIEVSRTFGSKIYVDKRRNSDCFRALSLIAPEIITEDPSCRFQILGFQNLYDKACTKIEEARASLQPEPLFIRPSTQWYAHCAQSQKPSLTEAVLDGCGVWHICFSIHSSRDELEQALELLHPQWVISTTPPCFAMELSYVKKKCFKTCLTADDPLWKIFKNPLRKSVSSPSTLLDSDTHTNEDHSISVDDDHDHSASPSGEECTDFDICTLELKFMPSPPVQEPDITLFGRARFGSEEIDIMREELCNQRIAVEEARAYSTTYLICDGSSEVETCPNSRTDFVIVQASKSQQSYSGYEDEDPSCQCAASPRQLESRSILXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGEETTDCERGTLCVIGSSKCLNASLKRLYRSRNVPVPRPLPSLVGLLESTKRMKMQPGSDGSSLNSWHTLPRR >ORGLA01G0333100.1 pep chromosome:AGI1.1:1:28433499:28436657:1 gene:ORGLA01G0333100 transcript:ORGLA01G0333100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1NTZ1] MEHIARFFFGVSGNVIALFLFLSPVVTFWRIIKKRSTEDFSGVPYNMTLLNCLLSAWYGLPFVSPNNILVTTINGTGSVIEAIYVVIFLIFAERKARLKMMGLLGLVTSIFTMVVLVSLLALHGQGRKLFCGLAATIFSICMYASPLSIMRLVIKTKSVEFMPFLLSLSVFLCGTSWFIYGLLGRDPFIAIPNGCGSFLGLMQLILYAIYRNHKGATPAAAAGKGDAADEVEDAKKAAAAVEMADAKTNKVVADDADADADGKSADDKVASQV >ORGLA01G0333000.1 pep chromosome:AGI1.1:1:28417907:28419472:-1 gene:ORGLA01G0333000 transcript:ORGLA01G0333000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLRAALGAVKDRTSVGLARVGGADEVAADLAVAIVKATAHGESVPGDERHVQEILTLTCYSRARVAACVSAVSRRLGRTRAWAVAVKALALVHRLLADGDPAYEQEVFLATRRRRRMLDVSHRFPHRSSRSRATWDFHGFVRAYAAYLDDRLKHRMKGRVASQGKWCSDGRRDGFPDITDGSYEVGEAVAEVWALVPRDTPATGTTTTEELVSKAQHLKHILQRFIGCRPTGKARTNKVVAAALHRLVKESAVMYRELTEVMAMLADRFAELETPGCVRVHSIFTSIAKLFDELDEFYSWCRSATICRPSEIPEVERVAQKKLDLMDEFIRDRQPASSRWWRRTPPAPSSPLAPIASNGDSGSKASPAEPAPAGALVVVDDHMADFLNLGEESTPLSTEEQDRDLTLSLFGDDPATPAPKWETFDDDQYDDWETALVQSASKFAATQSATVLALPPPPGATGGEVADPFAASLAVPPPTYVQMMDMQARQRLLANEQMMWQQFETQQMAAWSYSSLL >ORGLA01G0332900.1 pep chromosome:AGI1.1:1:28408610:28416553:-1 gene:ORGLA01G0332900 transcript:ORGLA01G0332900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARGGRRGRGRGRRGGRGGAASRARGENVVDVEPIKLGDDDAKVDPQTKSPNEEITSAEVCEVETSEASPLGAPEEDEGKPGSTRNLKRLRKTITIDSAAAEEHGAESDDEEFDVLATASCQELAPCRRSKRLRCKPTRKRSKPTGKRDLGGKESEDDVFANSSSSSQDDAGKLASRRSKRLRERLTSDYFDGDMTIVRLPAEDQNAFGTDWAPEIFHMLMMIATPMIHVTLTTEITTDCKKIVPCRRTSKRLQEKRKAHDVSEESYTEASSCMLSTSSSDDELLHNSIKPGRRNSGGPSCSICKIGIACSFLIKCKNSTCSCSFHTFCLDPPLQEIIGTWECSWCKSNAAPAVKVTEVLTXKKIQRLVGHRRILQEADFQYQFLVKWQSLSHHHDCWVPLEWLHVSDPLRVQSYLNKNCLPKEVYSEDQRKLEWFEVDRAIACRRKFHHEGLCDVLATFQDNQDFDGYEFLVKWKGLDYCEATWEPCCTDGVQQVVSMLVRRHKNASKRVNISQTCLDGSKIEEVHCGSLYDYQLQGLQWLIDNFKTRRSVILADEMGLGKTVQVVCFLYHIIKESLTASPALILAPKSILLQWEKEFCQWASDLNVIVYQGDRDSRKCIQVHEMYSSDGKPLFDALVTSYEFVQIDKAVLQKFKWSTIVIDEAHRMKKLDCNLAACLKRYCSEFRLLLTGTPLQNNIMELFSLLHYIDPDEFSDPKADGLFSPIESGRDLTMDEKVARIHDILKPRMLRRMKSDVLTDSMPVKKWVEVPCALADSQRELYINILERNYSKLNSAIRNGRKLSLNNILMELRKCCNHPVGLEVGQQATEDVFLSLIASSGKLQLLHKLLPRLKERGNRVLIFSQMTRMLDILEDFLCSLGYKYARIDGQTSLSARQESIKEYKNIDSETFIFLMSTRAGGIDSETCLVQIGXLYTILTSIHLWTCKHNLGLIGSGRQDQWLFTNSLQSVLSRKRYYRSQSRSWPSKIC >ORGLA01G0332800.1 pep chromosome:AGI1.1:1:28406135:28407781:-1 gene:ORGLA01G0332800 transcript:ORGLA01G0332800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G21470) TAIR;Acc:AT3G21470] MAASRARQLHALYLTRGCRDPDMWANLAKVYASNSFLREAAIIYSKLLPHRTHHLPLVIVLLKATASRADPVLGRALHAEAVKSANAHDRLVGTTLVSVYCKCGLLADARRVFDGMLDRNAVTCNAMLAGYAAAGDMVSAEALFAGMGSRTPVTWATLIRGFAGKGDMAETRRWFEAMPLGMQNVVTWTVAVQAYVAAGDMETARELFNKMPARNAFVWSSMVTGNFKAGNAEEAQAVFDKIPVRNLVNWNALIAGYAKMGCCEKALEAFHSMLKDKIKPDEFTMAGVLSACAQFGSLEQARKVHNFIIQHRIRKNQFVMNGLVDMFAKCGDLAFARNIFDNMQWRNTECWNSMISALSSHGQSIEAIRLFSKMECSEQKPNEITLLAVLGACTHGGFVDEGLRIFSKFDIYGVAAAVEHYGCLVDLLGRAGRLREAYEIVKNMLVEPNEVIWGSLLGACRVHGDAEMSELVSSEIHQLHSRRVSTNDAEYILLSNIMASSERWEQAERMRRKMALHGVGKTPGCSSVELEITEHQVCAGSGTLSQLH >ORGLA01G0332700.1 pep chromosome:AGI1.1:1:28403961:28405192:1 gene:ORGLA01G0332700 transcript:ORGLA01G0332700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant stearoyl-acyl-carrier-protein desaturase family protein [Source:Projected from Arabidopsis thaliana (AT1G43800) TAIR;Acc:AT1G43800] MQVVGTVRVSGCGAVVAPSRRQCRVSAAVLTAAETATATRRRVTHSMPPEKAEVFRSLEGWARSSLLPLLKPVEECWQPTDFLPDSSSEMFEHQVHELRARAAGLPDEYFVVLVGDMITEEALPTYQTMINTLDGVRDETGASACPWAVWTRTWTAEENRHGDILGKYMYLSGRVDMRMVEKTVQYLIGSGMDPGTENNPYLGFVYTSFQERATAVSHGNTARLARAHGDDVLARTCGTIAADEKRHETAYGRIVEQLLRLDPDGAMLAIADMMHKRITMPAHLMHDGRDMNLFDHFAAVAQRLNVYTARDYADIVEFLVKRWKLETLETGLSGEGRRARDFVCGLAKRMRRAAERAEDRAKKDEQRKVKFSWIYDREVIV >ORGLA01G0332600.1 pep chromosome:AGI1.1:1:28397830:28398338:1 gene:ORGLA01G0332600 transcript:ORGLA01G0332600.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEGKAPRPRSARLFLDSPDWRQSVAGREWEWERDDGDDRRGSWLASGSWWFVGDDAVLLFTVLQSSEFQRFSEVERVSCERIASFLMTVVVSPSPPP >ORGLA01G0332500.1 pep chromosome:AGI1.1:1:28392604:28396120:-1 gene:ORGLA01G0332500 transcript:ORGLA01G0332500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSFSRSTSARLTARGGVGSPRVSAAAAAHRKWWWWAPSGPSFECAALAFFLSSVALVLSCALYLYLFRYQGRGHAVAEFAGDNLGSCDVFDGSWVPDRRYPLYNSSDCPFVERGFNCLANGRKDTGYLKWRWKPRGCDLPRFSARDVLERLRGKRVVFVGDSMSRTQWESFICMLMAGVENPKTVYEVNGNQISKTIRFLGVRFASFNLNVEFFRSVFLVQQSPAPRSSPKRVRAILKLDKMDNISRKWENADVLIFNSGHWWTPSKLFDMGCYFEAGGLLKLGTSINSAFKMALETWASWVKEKVDLKRTHVFFRTYEPSHWSGSNQKVCEVTEFPTAEAKGDDRSEFGDILAGVVVNMSVPATILNVTLMGAFRSDAHIGIWSHPSTILDCSHWCLPGVPDAWNELVISHLLTNGWRTLAG >ORGLA01G0332400.1 pep chromosome:AGI1.1:1:28386621:28391093:-1 gene:ORGLA01G0332400 transcript:ORGLA01G0332400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1NTY4] MSPPPLRLLLFLLLLHLPSSLSSRHHHHAPSPSKPAPASYAAPLAVLLACNATRFQSACVSTLSNASADASTPDLLAATLSALRARIPPAVSTARSVLAASSNVNLTNAATNCLTFLSLSTHRLSPPPSTSSPSLLSASTALLHLYDCWSAYKYVNFSRTISDAMAYLDDTIAVNSNYISMLAALQRYGDDTSRWAPPQTERDGYWPPAAAGSAADEDALGVPKGLPPNVTVCGAGCHYKTVGEAVAAAPDYGDEMFVVHVKEGVYKETVNVPWEKTNVVVVGDGMGKTVITGDLNADTPGVSTFNTATVGVLADGFMARDLTISNTAGPDAHQAVAFRSTGDRTVLDTVELLGHQDTLYAHAMRQFYTRCRVSGTVDFVFGNSATVLRDTALIVLPRQLRPEKGENDAVTAQGRTDPAQPTGIVLRGCIVNGSDAYMALYREKPDVHHVYLGRPWKEYSRTVYVGCTLSEIVQPRGWMAWNGDFALKTLYYGEYESAGPGGDGASGSRIGWSSQVPRDHVDVYSVASFIQGDKWIPRIH >ORGLA01G0332300.1 pep chromosome:AGI1.1:1:28377029:28381319:1 gene:ORGLA01G0332300 transcript:ORGLA01G0332300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NTY3] MGSLETTNTRYRPAGAADDTAKRRTQKSKSFKEVEKFDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYDHQMQSSSRFVSGWIWDKTIPDPRYVSSLGVQWEDVYKTVENLNDGERKLKVGLLNFNSTEIGSWTQLLPDSDFSIIRLEHAKESITWQTLYPEWIDEEEETEIPSCPSLPDPIFPRGTHFDVVAVKLPCTRAGGWSRDVARLHLQLSAAKVAVTASRGNRGIHVLFVTDCFPIPNLFSCKNLVKHEGNAWMYKPDLKALREKLRLPVGSCELAVPLKAKARLYSVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDFVILVDETISNHHRKGLEAAGWKVRIIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEVKVKKTRLFGADPPILYVLHYLGLKPWLCFRDYDCNWNNPILREFASDVAHARWWKVHDKMPKKLQHYCLLRSRQKAGLEWDRRQAEKANLTDGHWRRNITDPRLKTCFEKFCFWESMLWHWGESKNSTKENPVPATPTASLTSS >ORGLA01G0332200.1 pep chromosome:AGI1.1:1:28351302:28353914:1 gene:ORGLA01G0332200 transcript:ORGLA01G0332200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQERAMKHVMEIGEERKRSSLKRRLMMRLRKDGYDASLCRSSWVATAEHPGGDYEYIDVLVAVGHGADTSSASRLIVDVDFRSQFQLARPAPWYAHLSSRLPPVFVGPPEKLRQAVALLCMAAQRSLRESGLHVPPWRRPSYVQAKWLPCRGVQASALPPGGESAAAANGGDGPRAVVQWSVGKERRRRGGGHRRSGLSVELSDSGAGESSTILSPWPIAAFARRKEKKEAKSKHSRSLNWASMAERTLYLAESSTGPLWI >ORGLA01G0332100.1 pep chromosome:AGI1.1:1:28343530:28344207:1 gene:ORGLA01G0332100 transcript:ORGLA01G0332100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRPQGGWQPVKRRFGEEGRGFRDLTEGELRERLQRERAREGEREGSRERGGEQRGPRRFGSPVGRREGGRGAGRGDGNWQGGRPDVARQGSGGDGGWHGGRGDGGRLGGGRAEVIKCFKCAQEGHLQIDCPNPPICYTCKKSGHIAAECSNFHRKGIHLCGYGIAGQCFNSMTIETDEGEDDQIPIRGILTVISGKGSVDQIKWQLKYKLFKGVVWDWKVTAIS >ORGLA01G0332000.1 pep chromosome:AGI1.1:1:28334200:28336417:-1 gene:ORGLA01G0332000 transcript:ORGLA01G0332000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G56570) TAIR;Acc:AT3G56570] MEASASTSTARRLRAFRRWMRDHGVVCSDALRLDAAEDGGGGVYVRALAALREGDLVATIPRGACLTPRTSGAAEAIEAAELGGPLALAVAVMYERARGAESPWDAYLRLIPEREPVPLVWPADEAERLLAGTELDKIVKQDRQFICEDWKECIEPLILSGELEVDPDDFSLENYFSAKSLLSSRSFRIDSYHGSGMVPLADLFNHKTGGEHVHFTSVLEGSDSDSEDGEDPNNASADEQSTIENSADIPSGYILGDDEDLEMIVVRDVNEGEEVFNTYGTMGNAALLHRYGFTEMDNPYDIVNIDLALVTKWCSSKYSRRYARARVSLWHNLGYSGCTSQDADYFEISYDGEPQLELLILLYIISLKSDAYDKLASVAHDLIGDDEVDSISSVLKVVRVTSSNQHPDIGGLEKLPDVKKLLLNESVCSALVSLADMRESLYGSNTLEDDRQKLQACSSVNERNLYHSLVLRVSERTILHKLKKHASSWSKTKKRKQL >ORGLA01G0331900.1 pep chromosome:AGI1.1:1:28330710:28333341:1 gene:ORGLA01G0331900 transcript:ORGLA01G0331900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPAATPRPLPLQVSPPPFPLCDLCRTPQPPPVDATSATPPASSPGARRQPTLPLHGKRRAFLMRINNGRMKMRNKQRKSSALNFDAGCRSSLSFIVWSLVGVALIVCFFSVVRQADTRQNHIYFRHLSATRELEEIEEEHFRLPPPHKVNPRAVKRRGPRKAPKVIDQYLDESSAVHALFFPDERSAVNPTKGGNDSMYFYPGRVWLDTDGHAIQAHGGGILYDHITAKYYWYGENKDGLTYQTHPKSTYRVDIIGVSCYSSKNLWSWTNEGIVLPGEPTNVTHDLHKSKVLERPKVIYNDHTGQYVMWVHIDDANYTKASVGVAVSNSPTGPFTYLYSFRPHGFESRDMTIFKDDDGSAYLFYSSRDNTELHVSPLTKDYLNITVAMRRILIRRHREAPAVFKLQGTYYMITSGCSGWAPNRALAHAAESIMGPWETLGNPCVGGNRFFRLTTFLSQSTFVLPLPGLPGTFIFMADRWNPSNLRDSRYVWLPLFIGGLADEPLDYSFGFPAWSRVSIYWHRKWRLPESWKGYT >ORGLA01G0331800.1 pep chromosome:AGI1.1:1:28327702:28328385:1 gene:ORGLA01G0331800 transcript:ORGLA01G0331800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1NTX8] MAATNTAMSLVLCLLVMIICSTHRLLHPQPQLAATAAASSSSPFSSRSKIGKDIIDKTVSFTLYQQETMNRTGYVVVPGVDAPAPAPARVVVSDAGEPSADRQPFGSMYVFRDNLTVRADSSSRVAGVAEGTSISTSFDGEDGRRSVSLAKITLHHRGYRGSVSILGGTPNITRPSVYPVVGGTGDFLYAVGYVRSSPVDTRGRTRTTYKMELRLYWPPHAYFAPIP >ORGLA01G0331700.1 pep chromosome:AGI1.1:1:28324226:28324819:1 gene:ORGLA01G0331700 transcript:ORGLA01G0331700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1NTX7] MAAAGSSKTANLLLCLTMISFVQLAQSSPSGDKGIKGFHGKKLSFTLYQQETINKTSYMVVGGVAGAGVSETTTPFGTVYVFRDDLTVRAERSSRVAGVVEGTSVTTSFDGLRSLSLGKITLDHRGHRGSVSVLGGTHNTRPSDCPVVGGTGDFGYAVGYVRTSPVNLRARGSSVTFKVEFHLYWPPYAHYAPTSKH >ORGLA01G0331600.1 pep chromosome:AGI1.1:1:28320270:28320770:-1 gene:ORGLA01G0331600 transcript:ORGLA01G0331600.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRRVAWVPLMFMYPEANVPVCQLSLQTGRDGAYHYDLGRALAPLRDDGVLILGSGNATHNLSCMAPVAEGTPVPRWEAEFDGWLQEALLAGGRHDDVKQYEEKAPHGKMAHPSPDHFLPLHVALGAAGEDAKAELIHHSWYNAMLSHASYRFTTTTKNKPIAACKE >ORGLA01G0331500.1 pep chromosome:AGI1.1:1:28311307:28314411:-1 gene:ORGLA01G0331500 transcript:ORGLA01G0331500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQSKQPSPAAAVHARATTTPTPTPGEDEQQGSALEAERRGRRRTTTRRAAEGAMDTFFLSHGAPTLSIDDTIAAQGFFKSWLPAAVAGAELPRAILVVSGHWEAAAPTVNVIRGNNDTIHDFYGFPKAMYKLKYPAPGAPDLAMKTKELLEQAGFGPVKEDHSRGLDHGAWVPLMFMYPEANVPVCQLSLQSGRDGAYHYELGRALAPLRDDGVLVLGSGSATHNLRRMGPEGTPVPQWAAEFDGWLQEALLGGRHDDVKRYEEKAPHGRVAHPSPDHFLPLHVALGAAGEGAKAELIHRSWSNASLSYASYRFTTAKN >ORGLA01G0331400.1 pep chromosome:AGI1.1:1:28305584:28309525:-1 gene:ORGLA01G0331400 transcript:ORGLA01G0331400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKVERKEVAVDDDGRVRTGTVWTATTHAITAVIGSGVLALPWSVAQMGWVLGPIALVVCAYITYYTAVLLCDCYRTPDPVHGKRNYTYMDVVRSCLGPRDVVVCGIAQYAILWGAMVGYTITTATSIMSVVRTNCHHYKGPDATCGSSGTMYMVLFGLAEVVLSQCPSLEGVTLISVVAAVMSFTYSFVGLFLSAAKVASHGAAHGTLLGVRVGAGGVTASTKAWHFLQALGNIAFAYTYSMLLIEIQDTVKSPPSENVTMKRASLYGIGVTAVFYVSIGCVGYAAFGNAAPGNVLTGFLEPFWLVDIANVAVVIHLVGAYQVYAQPVFACYEKWLASRWPESAFFHREYAVPLGGGRAVRFTLCKLVLRTAFVAVTTVVSLVLPFFNAVLGLLGAVAFWPLTVYFPVTMYMAQAKVQRGSRKWVALQALNVGALVVSLLAAVGSVADMAQRLRHVTIFQTQL >ORGLA01G0331300.1 pep chromosome:AGI1.1:1:28289144:28291538:-1 gene:ORGLA01G0331300 transcript:ORGLA01G0331300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNAAPEDVESGEHDRTGTVWTATAHIVTAVIGSGVLALAWSVAQLGWVAGPLALAGFACVTYYTSTLLANAYRAPHPVTGTRNRTYMDAVRSYLSPREVFMCGIAQYVNLWGTMVGYTITATISMVAIRRSDCIHRNGAGAAARCDNTSATVLMLAFSIVQVVLSQFPGLEHITWLSVVAAVMSFAYSFIGLGLSVAEWVSHGGHLSGRIQGATAASSSKKLWNVLLALGNIAFAYTFAEVLIEIQDTLKPSPPENKTMKKAAMYGIGATTIFYISVGCAGYAAFGSDAPGNILTASGMGPFWLVDIANMCLILHLIGAYQVYAQPIFATMERWISSRWPEAKFINSAYTVNVPLIQRGSVTVAPYKLVLRTVVVIATTVVAMMIPFFNAVLGLLGAFSFWPLTVYFPISMHIAQEKITRGGRWYLLQGLSMVCLMISVAVGIGSVTDIVDSLKVATPFKTVS >ORGLA01G0331200.1 pep chromosome:AGI1.1:1:28284649:28288502:1 gene:ORGLA01G0331200 transcript:ORGLA01G0331200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQLQIYLCFILLSLKFGISASLPLETDALLDIKSHLEDPQNYLGNWDESHSPCQFYGVTCDQTSGGVIGISLSNASLSGTISSSFSLLSQLRTLELGANSISGTIPAALANCTNLQVLNLSTNSLTGQLPDLSTFINLQVLDLSTNDFSGPFPAWVGKLSGLTELGLGENNFNEGDVPESIGKLKNLTWLFLGQCNLRGELPVSIFDLVSLGTLDFSRNQIIGVFPIAISNLRNLWKIELYQNNLTGEIPPELAHLTLLSEFDVSQNQLSGILPKEIANLKKLKIFHIYRNNFSGVLPEGLGDLEFLESFSTYENQFSGKFPANLGRFSPLNAIDISENYFSGEFPRFLCQNNKLQFLLALDNNFSGEFPSSYSSCKTLQRFRISQNQFTGRIHSGIWGLPNAVIIDVANNKFVGGISSDIGISASLNQLYVHNNVFSGELPMELGKLSLLQKLVAFNNRFSGQIPAQIGSLKQLSFLHLEQNALEGSIPPDIGMCNSLVDLNLADNSLTGTIPDTLASLFTLNSLNLSHNMISGEIPEGLQYLKLSYVDFSHNNLSGPVPPALLMIAGDDAFSENDGLCIAGVSEGWRQNATNLRYCPWNDNHQNFSQRRLFVVLIIVTSLVVLLSGLACLRYENYKLEQFHSKGDIESGDDSDSKWVLESFHPPELDPEEICNLDVDNLIGCGGTGKVYRLELSKGRGVVAVKQLWKRDDAKVMRTEINTLGKIRHRNILKLHAFLTGGESNFLVYEYVVNGNLYDAIRREFKAGQPELDWEKRYRIAVGTAKGIMYLHHDCSPAIIHRDIKSTNILLDEEYEAKLADFGIAKLVEGSPLSCFAGTHGYMAPELAYSLKVTEKSDVYSFGIVLLELLTGRSPSDQQFDGELDIVSWVSSHLANQNPAAVLDPKVSSHASEDMTKVLNIAILCTVQLPSERPTMREVVKMLIDIDSISANGKAKNKNDKK >ORGLA01G0331100.1 pep chromosome:AGI1.1:1:28277673:28278044:1 gene:ORGLA01G0331100 transcript:ORGLA01G0331100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKGERHRACDLRVGPSKRPGRWRRRRHGRRGGRCHTGELEDEDPSRSEVSDLNGIAPAVDDPRKHALVLAVLELHHPAAAHDSRARLLHARARSRFDVASPEEPSLRWGWRWRGGSRAPRR >ORGLA01G0331000.1 pep chromosome:AGI1.1:1:28272508:28273064:-1 gene:ORGLA01G0331000 transcript:ORGLA01G0331000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSRPWPGDPSPAPPQPPVVAAAADAGGEASTSLRDFGTSMDAISFGFAATAILVSMFLLMAIFEHLIKPHVFPPLAGAALRPARRRHGVSPAGKLRSPPMVETVLQAADLSVLMPGQRYPTYLAQPAPLPPAPCPREGVHWPPHDHDVHHSYMPP >ORGLA01G0330900.1 pep chromosome:AGI1.1:1:28269829:28270263:-1 gene:ORGLA01G0330900 transcript:ORGLA01G0330900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGYCNLTSSPPVASGAVEAETKWEGVAVGAATLVRNFSSASQRFRPVERSRSTAGGNGGGLQAVVRRAFSMRRQPSSFADGYWRIHDDMDGDDAAGEHQEQAAFQDGEQKRREEQDHATGKKEKITKKKGRIFKACKKLLGF >ORGLA01G0330800.1 pep chromosome:AGI1.1:1:28264455:28266054:1 gene:ORGLA01G0330800 transcript:ORGLA01G0330800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAHFFFSLLFFSSSLFSLQRARQSQAERRRTTRRRRRSPPGPGRSXSCWWTSARVACFTRRRARTRWTSSSASSAFVSFVEADADISLEEFGDSLCPPIPCAGELLTLPDSNFAVVTDRPLLYVYVTRLRCGGFVFSTQICHNFVDAAGITQFLQAVSELAEGAERPAGCHVSKTKQKYCQGTFGEWFERI >ORGLA01G0330700.1 pep chromosome:AGI1.1:1:28252603:28255656:1 gene:ORGLA01G0330700 transcript:ORGLA01G0330700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNSRPLKRARTRVEARDFAGFPPAGDGGAAGTFREAVRGFLARYARLLPLPSIFSPAAAAAPPHLLTWRVSLRVGEEGDEEGGGGAVELNVVEEDVLRSRSVYCDQCRVVGWSGHPVCGKRYHFIIENDNNQVCGKRHSCCLRCGTPTVAGESRCLLCNFDMDGEELEECGYMHLDDNTHLLHAVVHANGYGHLLRVNGREGGSRCLTGRDIMSFWDRLCKVLHVRKVTVMDISKKHGMEYRLLHAITSGHPWYGEWGYKFGAGSFALTSDTYQEAVNTLSGIQLALYFSHRQPIRTPLQNTIALYWALSDRQLVTVRDLFRFIMHLLHQARKKNETSKPTTDEHKEVASNVLCKWTKEDIDRAETAMLKVLRVVQPGQWVSWRALRGAASKAVDSQELLDYSLRGLGGKLMDDGHFIAVRCNAETSAIEYRLEDNSNQSVDAAAFGPSVDHLLHDLKFLYNALLNPETMLASQPEVIGASSHSAAAKILDCKQFIKHYDQHTPRAPLNPFLLSVRCSIELLDHPKDYTAPPVELVLLPASATLAELKIQATRAFQETYLMFQSFQVEQLPDFPNFSDTTLVKHVLGSSQLVRVRGRCTGDNRRIVQFRMERGLENWTVDCTCGAKDDDGERMLACDVCGVWQHTRCSGISDFDDVPEKFICRKCASPRRGKGRGGGGGNGGSRMDVSAAGRCKDEIGSSVGGAGKFGRMATVG >ORGLA01G0330600.1 pep chromosome:AGI1.1:1:28235002:28244868:1 gene:ORGLA01G0330600 transcript:ORGLA01G0330600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTPPQRKRRADSDVDAAAATATTRSPVSDRRLVLYDRPTALVPAGVPGEPMDDMVCPYHCRQMVKSEFMVALDTAEKQVQEYRATLDDMEERLSKSEDERATCQDKLNYVEQELAATKGRESAMQERLLKEVGDFQERYCDQIKKIGELETQLKKEIDSRIAAESSSASAKESVKELEGNLQRLSENSEREKKALKKELSYLQDDTKLSISKLNAELERMRLRAQNSEDEAKLLNEQLEDLKKQLDESVREKNEMEHRLLNCSSLSDERTPSDDQKLIKLLQEELRNYEKEVDEARRLKSSHTNVELLKEKILEEQGRRERAEMELSKLQEIEAKAQKLELELASCTALLSNIPDVSSFGDIPQKIADLQKQALTNLNKVGEVTSQLKELKVALEFADLSKQRAEGEATLAKERAESATREIKRLELLLAAISEERDRLRKDHAVSKSRDGDDASSKNMESDLSRMEKVVTELESTIRDQRELISQQHTELNLMNEKLSIESRKAKSLERDGDQLRSQVALLESKLGHGDYSASSTKVLRMVNTLAVDNEAKQTIEALQAELKKTKERLQAVEELKGQADVGTVVDVNIAEKLAQLKNQIATLEKREERYKAVFAERISVFRKACCSLFGYKIVMNDQQQSNGIPVTRFILHSVYAQSDDEKLEFDYESGSTNIVVNDYTSQHEIARQVDIFIRKMNSIPAFTANLTMESFNKRSICXRXKFSENSYCWILXVCFLRVTEDWKMYFILETLWAHVFPMHYHSFSXXLEMSKLLCCGSPGPWSSKLLDIARFPPPFFIYTKVQLIYLVVFYMFXRFVQMPKAEEHGVLLIGHEXRVDAGLSHTHMDSPLLXLLTKYIXMRALLVLWPGVKHELLXTVANYHMXLSHVNCXLPNQNWFSXHAIIITXCTQRVDPSRKQLTXLYPVPLHFLGYTDPFPCIFGATPIHDWYIVRRXIRRTISTCKFVVMHGXTLPGQVPCFTRSAXGASHEMAAPNRTEPRAVQAQVAAAGRXPARFARAPAHKSRRPPAAREPYVLQKCKCXLSLLADNPPSERASEAAAAKXXHCRRRSGSRSRRRSRHGRGRRCQARPWPRCAWRALSWACCSAAGWRCRCYLRARRRRRATTRRPSLLAARTNALSLDKAVSSLEMELAVERARSSAAVGAGTAVSSLGPQKAFVVIGINTAFSSKKRRDSLRDTWVPRGDKLRRLEKEKGIVIRFVIGRSGAAAAGDGPLDRAVDAEDAENKDFLRLDHVEGYHELSSKTRIYFTTAVATWDADFYVKVDDDVHVNLGMLTSRLAKYRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNKYFRHATGQIYAVSKDLAAYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSMCCATPPDCEWKKRAGNVCVASFDWSCSGVCKSVDRMKHIHRACGEGQGAVWSVAT >ORGLA01G0330500.1 pep chromosome:AGI1.1:1:28231054:28231335:-1 gene:ORGLA01G0330500 transcript:ORGLA01G0330500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFRRKTQTIPILRNRGQLPYGIPLQRSSHGIINKTTLTDLIPHFVIFPTKANSARFPIQNPTDMQLLIATPTRTQLRAIPRRRRGAPPQLL >ORGLA01G0330400.1 pep chromosome:AGI1.1:1:28226724:28228598:-1 gene:ORGLA01G0330400 transcript:ORGLA01G0330400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cobalt ion binding [Source:Projected from Arabidopsis thaliana (AT1G71310) TAIR;Acc:AT1G71310] MASGALARLLLGRRAAATPLLARPFAAKARASRRPQEPAFPSEDEDDFAGGEVAAPAPTEGISKPLAEVLRELGKRVPEALVKTRVEDGFSLKYIPWHIVNKILNIHAPEWSGEVRSIVYSSDGKSVSVIYRVTLHGTDAEIYREATGTSSADDTGYGDPVQKAEAMAFRRACARLGLGLHLYHEDMS >ORGLA01G0330300.1 pep chromosome:AGI1.1:1:28219043:28224047:1 gene:ORGLA01G0330300 transcript:ORGLA01G0330300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSVIGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARSFRVMKSFSQQAGIQGGLGAAFPSHATFPHYAIPQGLPYHVYGYSPYSPDYSYPTNYYNIYGGAQYPFYGAAAAAAAAAAGMVTGSSPFYPYFQFGQSGSTTTNYASGQGYNLQYPQMFHFSTVASTAAAVTGFAQQYGGPLSLAASPQAQAGMTMALTAPTLPTPTQAAHPYRLIPSHFAVSAAPEQPLA >ORGLA01G0330200.1 pep chromosome:AGI1.1:1:28203299:28206619:1 gene:ORGLA01G0330200 transcript:ORGLA01G0330200.1 gene_biotype:protein_coding transcript_biotype:protein_coding CINYIAIFSYNRXLGXKGNXKNIVXDSYSARSCSRPMHTSXQGNXLSQRLRFCXVXNRGNRTVCCSTFFRTCSALWXNTQICDLWTRQTLIKWQXSSNAXTQSCTITKAASVCASXXYACIAYPSGSNALPVGPVHSYGGFSNVWRLCFECCWPWSSEATNVVSVLLGTKEGNLPREEAMKMESPGVQPAAAGEEGGGGGGVFFCVAVTSRGRTDRLSYFQAEGDGDDAEEVARATAALCLDHAPEHHHWHHHTVVGRRTFAFLAGDDGRTYFAVADPTPGSAETVRFLQRVRDAFGSCGGGGATRRRNQRDDAVDAVVWQFVRALRASAGRGTAALFPGDDSRGGGDASSADGDKDDEEDDRGGEAMAVAADGARRRTRRSWWRYSKVVIGVELVLFLVLFVVWMIVCKGFNCVQR >ORGLA01G0330100.1 pep chromosome:AGI1.1:1:28198382:28200938:-1 gene:ORGLA01G0330100 transcript:ORGLA01G0330100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLKRKAAAAAKEEEEEAGVGVATAAAAGRRRRRRLYGFSVSLVVACWVVLLLLNPLVGHGNGQRDEGIFADEGSSDPSFDSVEPTLSEGSVDSVVQQENGENHALPGDSCAKPDENHVLSEETLLEKDQLCSNDEAQGDGMDALPKDNVDQGENLPRTDDDSVVHPEGEVESEGVPRPARLSRVVPPGLDEFKTRAIAERGKGVPSGQPGNVIHRREPSGKLYNYASAAKGAKVLEFNKEAKGASNILDKDKDKYLRNPCSAEGKFVIIELSEETLVDTIAIANFEHYSSNLKEFEMLSSLNYPTDSWETLGRFTVANAKIAQNFTFPEPKWARYLKLNLLSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVENKRLEPDDKMKEPVDQQTQLKEPTEGKESSHEPLDEDEFELEDDKLNGDSSKNGAHDQVTETRPIQAGRIPGDTVLKVLMQKVQSLDVSFTVLERYLEELNSRYGQIFKDFDADIDTKDALLEKIKLELKHLESSKDDFAKEIEGILSWKLVASSQLNQLLLDNVRIRSELERFREKQADLENRSFAVIFLSFVFGCLAIAKLSIGMIFNTCRLYNFEKFDRVKSGWLVLLFSSCIIASILIIQ >ORGLA01G0330000.1 pep chromosome:AGI1.1:1:28193957:28195033:-1 gene:ORGLA01G0330000 transcript:ORGLA01G0330000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEIGEDMLTEILVRLPYKSLARFQCVATSWRRLISGDYLRRRLPLITSGVLYQEGRGGGGEGRRRQAYTYACASGGGDHGGGVAEADMGFFPGHETSTIIDGCNGMLLYYASHPAAAFHVVNPTTRRWARLPPPRGKTLLSVLAFDPSASPHYRVVCFTGWLPRGASVEVFDSEGGAWRDHELDFGLDTDAMSATMHYFDGAIHVLAYSGHVVRIDLGTMACAVTALPAPVSCRARAGHCRGRLRYASSDGTRLKFWELKNAATSEWALKHELGVNDLVAGEASGACQAVTFLFMAFHPDREMVYLWTPWKLVAFDMEKRRVEEEWEVGPEKEKEGAHLIQIWLFPFSRHLANSLA >ORGLA01G0329900.1 pep chromosome:AGI1.1:1:28189146:28192812:1 gene:ORGLA01G0329900 transcript:ORGLA01G0329900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:I1NTV9] MAVGTESGSGGVAESAPSTPMSAMTTTPISARSVRWESDMPDIEEGGGGLERPLLRRRGTNTTSQMAIVGANVCPIESLDYELVENEVYKQDWRSRGKLQIFHYQILKWVLALLVGLIVGLIGFFNNIAVENIAGFKLLLTTNLMLQNRYKAAFLWFISCNAMLAAAAAALCAYFGPAAAGSGIPEVKAYLNGVDAPSILAPSTLFVKIVGSIFGVSAGFVLGKEGPMVHTGACVASFLGQGGSRKYGFTWNWLRYFKNDLDRRDLITCGAAAGVTAAFRAPVGGVLFALEEATSWWRSALLWRTFSTTAVAAMVLRSLIEYCRSGNCGLFGKGGLIMFDVSSQVTSYTTMDLAAVVLLAIVGGLLGALFNFLLNRILRVYSYINEKGAPYKIILTVVISLVTSCCSFGLPWLTACTPCPPELAASGHCPTIGRSGNFKNFRCPPGQYNAMASLFLNTNDDAIRNLFSGGTESEFGVPMLLAFFTAVYSLGLVTYGVAVPSGLFIPVILSGASFGRLLGKLLGALTGLDTGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLLLPLIMLVLLVSKTVADCFNKGVYEQMVRMKGLPYLEAHAEPCMRSLVAGDVVSAPLIAFSSVESVGTVVDTLRRTGHNGFPVIEDAPFAPEPELCGLVLRSHLLVLLRAKTFTADRVKTGAAEVFRKLAPFDFAKPGSGKGLTVDDLDLTEEEMAMYVDLHPIANRSPYTVVENMSLAKAAVLFRQLGLRHMCVVPRTPGRPPVVGILTRHDFMPGYIRGLFPNVLRE >ORGLA01G0329800.1 pep chromosome:AGI1.1:1:28183935:28185192:-1 gene:ORGLA01G0329800 transcript:ORGLA01G0329800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAMADEFKIVLTRKERFLYLAKAVVFLVIFALGVVAGLWTATGPRRCCSTYTNILFPSTTVYRYRGGGGGSFSEFVAPTRLMHDMTDEQLFWRATMAPASSGGAYPFQRVPKVAFMFLAGRGVLPLAPLWERFFRGHEGLFSIYVHAPPGMVLNVSDDSPFYGRQIPSQETSWGSITLMDAEKRLLANALLDFSNDRFVLLSESCIPVQSFPVAYGYLTGSRHSFVEVYYHKGKTCRGRYSRRMEPDITLPQWRKGSQWFELRRDLAVAALTDARYYPLFRRHCRPSCYPDEHYLPTFVAMLHGADNSNRTVTYVDWSRGGAHPATYTAGDVTPELILSIRRSEVPCMYNSRPTTACFLFARKFSADALEPLLNISSTVMQY >ORGLA01G0329700.1 pep chromosome:AGI1.1:1:28180832:28182748:1 gene:ORGLA01G0329700 transcript:ORGLA01G0329700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPELYHRILNIPRETSPQEIRAAYKSLVKKWHPDKHPPSSKPEAEARFKAITEAYEALLDQQENRAVFGVCCNDGRAGEKAMACGVVGGGGAHIARTRSDDFGARMAPGTPAREFKKVYSSGNSGGRRAFAEFSSSIMRKAPPLERKLDCTLEELCHGCKKEVKFTRDVVTKNGSIVKKEVSQMVLVKPGWKKGNKITFEGMGDERPGCLPADAVFVISEKKHPVFKRVGNDLVLKAEVPLVSALTGWSFSFRLLSGKKVSCSFQDEIICPGYEKIIKGEGMPIADQKGTRGDLRVKFEIAFPKQLTDEQRDGLAQILRGCAWD >ORGLA01G0329600.1 pep chromosome:AGI1.1:1:28176995:28177745:1 gene:ORGLA01G0329600 transcript:ORGLA01G0329600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPLNISFRRAIDDQKLIEWNDLILRLANITLSNEKDCFVWWLHMNGQFSVKSMYAAIMNCNVRIKKRILWDLKIPLKIKVFMWFLHKKVIFMSSYWLHFWSTMLPQEEHDTMRNVATLLESVAKGLLFHYGWRSSIRIAS >ORGLA01G0329500.1 pep chromosome:AGI1.1:1:28165917:28171755:1 gene:ORGLA01G0329500 transcript:ORGLA01G0329500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) TAIR;Acc:AT5G63810] MAGASSSFSLRRLLLLLLPLIPLLGATTAAAAGANSSVTYDQRSLIISGRRRLLISTSIHYPRSVPEMWPKLVAEAKDGGADCVETYVFWNGHEPAQGQYYFEERFDLVRFAKIVKDAGLYMILRIGPFVAAEWTFGGVPVWLHYVPGTVFRTNNEPFKSHMKRFTTYIVDMMKKEQFFASQGGHIILAQVENEYGDMEQAYGAGAKPYAMWAASMALAQNTGVPWIMCQQYDAPDPVINTCNSFYCDQFKPNSPTKPKFWTENWPGWFQTFGESNPHRPPEDVAFSVARFFGKGGSLQNYYVYHGGTNFGRTTGGPFITTSYDYDAPIDEYGLRRLPKWAHLRDLHKSIKLGEHTLLYGNSSFVSLGPQQEADVYTDQSGGCVAFLSNVDSEKDKVVTFQSRSYDLPAWSVSILPDCKIVAFNTAKVRSQTLMMDMVPANLESSKVDGWSIFREKYGIWGNIDLVRNGFVDHINTTKDSTDYLWYTTSFDVDGSHLAGGNHVLHIESKGHAVQAFLNNELIGSAYGNGSKSNFSVEMPVNLRAGKNKLSLLSMTVGLQNGGPMYEWAGAGITSVKISGMENRIIDLSSNKWEYKIGLEGEYYSLFKADKGKDIRWMPQSEPPKNQPMTWYKVNVDVPQGDDPVGLDMQSMGKGLAWLNGNAIGRYWPRISPVSDRCTSSCDYRGTFSPNKCRRGCGQPTQRWYHVPRSWFHPSGNTLVIFEEKGGDPTKITFSRRTVASVCSFVSEHYPSIDLESWDRNTQNDGRDAGKVQLSCPKGKSISSVKFASFGNPSGTCRSYQQGSCHHPNSISVVEKACLNMNGCTVSLSDEGFGEDLCPGVTKTLAIEADCS >ORGLA01G0329400.1 pep chromosome:AGI1.1:1:28158225:28162859:-1 gene:ORGLA01G0329400 transcript:ORGLA01G0329400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin family protein [Source:Projected from Arabidopsis thaliana (AT4G06599) TAIR;Acc:AT4G06599] MAEASSSSAAAVPPLDPEAIAAVAEAAPPEEMTLVAKWKGNDYTVRVVGDDTLGELKRRICEVTGVLPKRQKLLYPKFKLNECKDSELISSIPFKPNVKINMIGTVEDEIFVDQEDDPEILNDYEIGQNEVTAIKDKDVYKQKLKRRASQYKIKILNPCRKGKKLLVLDIDYTLFDHRSAAENPIELMRPYLHEFLSAAYSEYDIMIWSATSMKWVEMKMDQLGVLGNPNYKITALMDHLAMITVQSENLSEKKTFDCKPLGVIWAQFPEYNETNTIMFDDLRRNFVMNPQNGLVIKPYKRTHSNRGTDQELVKLTQYLLTIAELEDLSKLDHSAWESFTEENAKRRRHR >ORGLA01G0329300.1 pep chromosome:AGI1.1:1:28154033:28156568:1 gene:ORGLA01G0329300 transcript:ORGLA01G0329300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGEHFLRQLSASNGGYGGAAQYQMGRGVVAEEEVELGGGGRRRGSKRWSKKRXXXXXXXXXXXXXXXXXXXXXVAGRKRVMVVVDDTSGAKHAMMWALTHVANKGDFLTLLHVLPYAGAGRGEETPSLANSLGTLCKACRPEVEVEALVIQGPKLATVLSQVKKLEASVLVLSQSKPSHFCWLSCILRSSSEEFVEQCINQAECLTLAVRKQSKGVGGYLISTRWQKNFWLLA >ORGLA01G0329200.1 pep chromosome:AGI1.1:1:28140865:28141976:-1 gene:ORGLA01G0329200 transcript:ORGLA01G0329200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFFTSLARGLDDLSSGGGLSSLPALLRAAALLRGLHSQLTLLVGQLHLPPGGRWLDEYMDETARLWEACLAVKVGLAAVERYCAAASCAAAAMDDWLQDPSPLSTRQVMRAISASRREAMAAEEENRALSEARIAPLSLQLDERLLLRAADARLSGFNGFRGLLYALHNASSLLLLVLASGAVSCAAAAAGPCSADGAADAGGFVASIAMLQQRMAEEADADGGAGAARGGIRMYEFRCARAAVEAAREEVERAVAAGPRKQQCEDGDGGVKDKVDELKAWLDVLRTGTDGLVCQLDDFLDDIVEGRKELSDLCSH >ORGLA01G0329100.1 pep chromosome:AGI1.1:1:28134560:28138221:1 gene:ORGLA01G0329100 transcript:ORGLA01G0329100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRPESSDLSLGFHSLGHARGHAVTGPLRLFDDMEDAKPEKSVGGGGGGGGGGGEEEDGEEGGDQHFSLLGHALCVKRPRRALYGGGGGGGAGGGGGGGGEASSCSSSSSSLHPAKRQATAERGADLEARRGAVRAWGNQALAEADPDVHALMELERDRQVRGIELIASENFVCRAVLEALGSHLTNKYSEGHPGARYYGGNQHIDGIERLCHERALAAFGLDPACWGVNVQPYSCTSANLAVYTGLLLPKDRIMGLEPPSGGHVSHGYYTPSGKKVSGASIFFESLSYKVNPQTGYIDYDKLEERAMDFHPKILICGGSSYPREWDFARMRLIADKCGAVLMCDMAHISGLVAAQECRSPFDYCDVVTSTTHKNLRGPRGGIIFFRRGKNLRRRTGSFSQADENDYDFEDRINFAVFPSMQGGPHNNHIAALAITLKQVATPEYKAYIIQVKKNAQALASALLRRKCRLVTGGTDNHLVLWDLRNLGLTGKNFEKVCEACHISINKMPIYGDNGSISPGGVRIGTPAMTTRGCLEDDFEVIADFLIRATQIASNLMKEHGKMQKEFLRGLQNNKDIIELRNQVENFASQFAMPGFDV >ORGLA01G0329000.1 pep chromosome:AGI1.1:1:28128807:28130775:-1 gene:ORGLA01G0329000 transcript:ORGLA01G0329000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G34380) TAIR;Acc:AT1G34380] MPPPAVSTAPVAPVVASSSSAAAAAPRRPRSLRLRVTPYASVSSSSSPSTLAVSIPPPPPARHSRKQLVGRDGAPAKPAKPRVFFLDVNPLCFRGSQRSLGAFARWLALFFAHVSLRDPVVAVLDGEGGNEYRRRLLPSYKAHRPRGPGTGADSRVIDVLRECNVPVVRVDGYEADDVVATLTEQVLQKGFRVVIGSPDKDFKQLISEDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLRCFIGDEADGVPGIQHLVPGFGRKTAVKLLKKHGSLENLLNTAAVRTVGKDYAQDALVKHADYLRKNYEVLSLKRDVKVQLDDRWLSTRDSCNDSSVLSDFLLKFNEGQNIRGN >ORGLA01G0328900.1 pep chromosome:AGI1.1:1:28120923:28123397:1 gene:ORGLA01G0328900 transcript:ORGLA01G0328900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPQPEAAPAAVAATTEVAVAEKAPVEAEKEKKVEEETPAVEAEAKEEKKDEAAAAAAAGGDEAGAIEGTGSFKEESNLVADLPDPEKKALDEFKQLIAAALAACEFNLPPPPPPPKAKVEAAVEETKAEESKAEEEPKAEDPAKEEEPKAEVAAAAAAPPEAGTEEPKAEASSEEAKTEEPKAEAAADEPAKEESKAEAAPAEEAKPADPEPEEKTVVVTEEEAATKTVEAIEETVVPAAAAPAAAATEEAAAPEPEVQAAAAPEPVLIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLRSAVLWRKRFGIESLLDADLALPELDSVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGILSQLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQAVALLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFIFASPAKSAETLFRYIAPEQVPVQFGGLFKEDDPEFTTSDAVTELTIKPSSKETVEIPVTENSTIGWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVGEPGKIVLTINNPASKKKKLLYRSKVKSTSESV >ORGLA01G0328800.1 pep chromosome:AGI1.1:1:28104517:28105462:1 gene:ORGLA01G0328800 transcript:ORGLA01G0328800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDDDDELIIKLHALLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLSRGIDPQTHRPVSAGSSAAAASGLTTTASTAAFPSLAPAPPPQQHRLHNPVHAAAPSNASFARSAASPPSEDGHSSSGGSSDAPRCPDLNLDLDLDLSMSLPSSPPKTPAAASSTTASRHHHHQQQKTICLCYHLGVRNGDVCSCKAAAPSPAGPRAFRFLRPLEEGQYI >ORGLA01G0328700.1 pep chromosome:AGI1.1:1:28088793:28092532:-1 gene:ORGLA01G0328700 transcript:ORGLA01G0328700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLVPRAAASFLGAPPLLTTQAGPLLAARSGPPNPSRLRLRLSPWRLLRSRRGLSCSADAAKRCGDDDAEEDGEQSVAGGGGSRPVVDRRQRSRGDAAMGSGELLAIPGVGPRNLRKLVDKGFDGVAQLKQLYRDKFFGKSNEKMVEFLQSSVGIIHKNHAESITLFIKESVDEELKGTDSPNVSKNKRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIAKWQDVGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQEKESSSGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMVRKRSEEGGVTLDYLRGLHEKHESWLLPSKGQGPGVLSVSQVPVHMEGSLPPDIRERVFYLEGDHMHSSIQKVPALVLDCEHDIDFNKDIEAKRQYARQVAEFFEFVKKKKEDPSAESSGGDKSTNKQIMLPHRGGLWVPEGNPLPGSALNSLDFRRAMSSFLSA >ORGLA01G0328600.1 pep chromosome:AGI1.1:1:28084499:28085117:1 gene:ORGLA01G0328600 transcript:ORGLA01G0328600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLAGSRSLTTRTYIFPLANTCDGEDRRYARDRQRITSPVFWRLGGMEIAGEMLTRRRRMLYRVVASPVLPIPSEGVMDVLGYDMVLRVKH >ORGLA01G0328500.1 pep chromosome:AGI1.1:1:28073253:28080122:-1 gene:ORGLA01G0328500 transcript:ORGLA01G0328500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKGSAVDKSPSDTTLGPDRVVRGHERGGAGVGVGKEERKTVAREAAAKRIQEQQQQQRQQPASVQEAPASRAPIDARELPWDGVPNLARLPSQKSGMGVAKASAAKVSEVSSILGRASTVGLGKAVEVLDTLGSSMTNLNINSGFGSGTTTKGNKISILAFEVANTIVKGCNLMRALSKESIKHLKEVVLHSEGVQNLISKDMDELLKMSAADKREELKVFSTEVVRFGNRCKDPQWHNLDRYFDKFASERTPQHHLKEEAESVMQQLVTCVQCTAELYHEMHALDRFEQDYQRKQQEEDGSSVHQRGENLNILKQEVKSQRKHVKSLQKKSLWSKNLEEVMEKLVDIVHFLHLEIHNAFGRSDNEESQEPTKRRNRLGPAGLALHYANIISQIDTLVSRSSSIPPNTRDALYQSLPPTVKSSLRSKVNSFVVNEEVTAAQIKAEMEKTLRWLVPIANNTTKAHHGFGWVGEWANTGSEVNCKPTGQMDLTRIETLYHADKEKTETHILELVAWLHHLISRSKSANGERSPIKSPVRSPTQRGHTITLSPNKASSNSSPLLTQEDQDMLRDVKYRKFIPGISKSQEFETKSRHSKQSRLSKSNSHSPSSGNMKELLSIRRMLPVIDFEIDRTKAMDVIDRVDNLKSTVRT >ORGLA01G0328400.1 pep chromosome:AGI1.1:1:28064886:28071314:-1 gene:ORGLA01G0328400 transcript:ORGLA01G0328400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSELQCVGRLEVAAPPPARYLRVGSLPVPTDSPASLPALLPSSSPTGAPRYQMLPLETDLNTLPMIPNIPEKVFPMDAKSTEGSRYGSGLANQNLSRKCEALAVSGLAEYGDEIDVVAPTDILKQIFKIPYSKAQVSIAVNRIGDTLILNTGPDVDEGEKIFRRQSNHPKGSDPSMFLNFAMHSVRAEACDCPPSHQPSKEKQTASAILRGPFGQREGPLDSPSSSSFSTSPYLDQNISKSRKTSHGARESLYWGARENKQKVKGSDPVKKTTHVGDKPRCDVQESEKSRRVGNNGFRKVCFWQFHNFHMLLGSDLLIFSNEKYMAVSLHLWDVSRQVTPLNWLEAWLDNIMASVPELAICYHQNGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLQDNCKQDPGAYWLYKGAEEDVIQLYDLSILPQNHTAGDHRSTCGPMSSLMKKGRKESLFSLGTLLYRVAHRMSLSKVPSNRAKCAKFFKKCLDFLSEQDHLVVRAYAHEQFARLILRCYEELELTSESFLLESEVTLTDLDESPDLSLENLPSKQNEVLTEISEEPATLDGMLECSRSGSSQASNSLVDPGHVDISPVSSATKGDVTVDSLVMCQSGTQVSRTIADAISSKLAAIHHVSQAIKSLRWNRQLQNTQDDCVGNADTIWEKPVDFSLCRCGDIDCIEVCDIREWLPKSKMDHKLWKLVLLLGESYLALGEAYKNDGQLRRTLKVVELACLVYGSMPKNLEGEQFISSMSNSSLSVEDGDLKANLVLDEADYFKNAKCFNYDVSAGQLPPNYLFWVKAWMLVGDVYAEYHRLRGQQAPVLPEQKPDGEVRMSNEVAMEVKRLKRKLGKDKQNCGTCSLINCSCQSDRANSGSSASSSSSEASTLYGRKKNKKSSGRNFHSQSRETKENPSTQDSMGDSESKQQSVNGACFEKRSVSNVEIDTNNYTMENQSRNNDGVPDKSKEDVSSVRVGGIFKFLGGPEPGDVEYNLHSAIHCYDAAKGVIFAFPVPSAEKSTILKKRGWAFNELGCHRLESRNLGNAEIAFADAIKAFQEVADHTNVILINCNLGHGRRALAEQFVSRIDEFQKYDFPQDAYMQSFKSAKSEYFQAINYYTAAKRQLTYADNEVDKVLYNEVYTQYAHTHLRLGMLLARESFLTDSYEGGFVDESSNRTVLEISASDAFREALSTYESLGEHRKQEAAFGHFQLACYQRDLCLRFLDLIDKEVKQKNEDKYRQKAKWYGSLAEKNWQRALEFYGPKTHSTMFLNILMAQSALSVNLSDSFHSSVMLENALVHLLDGRHVVEANDEYSNDLDLDIKPKFWSQLQRLLKSMLAAARPAASVGQANASNSRGDTAKLKEMYRLSLKSTSLGQLHALHKIWVS >ORGLA01G0328300.1 pep chromosome:AGI1.1:1:28061754:28063893:1 gene:ORGLA01G0328300 transcript:ORGLA01G0328300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:I1NTU3] MSPDATGEAGRGGGGGGDLFAANLKGSLLAVASSAFIGVSFIVKKKGLLRAGAAGSRAGVGGYGYLLEPLWWVGMVTMLVGEIANFIAYMFAPAVLVTPLGALSIIVSAVLAHFTLNEKLQRVGVLGCVLCIVGSTVIILHAPQERTPSSVDEIWHLAIQPDFLCYATAAVAVSLFLMIYCAPRYGQMNIMVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWLFAVISITCIAVQLVYLNKALDTFNTAVVSPIYYAMFTTLTILASAIMFKDWSGQSASKIASEICGFLTVLAGTLVLHSTREPDQTLSADLYAPLPPKIYWHIQGNGDIGKQKEDDSLPCDIITVMRQDYFV >ORGLA01G0328200.1 pep chromosome:AGI1.1:1:28041032:28042690:-1 gene:ORGLA01G0328200 transcript:ORGLA01G0328200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidophosphoribosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NTU2] MAAAAAAAATATASSGLIRCATGGAPAHGHHQVFRCSAAKPSPLALRHRAGRPAPLQAFPEYDRVTPFDYDGEVDGGDGDDHPREECGVFGVVGDPDATSLCYLGLQKLQHRGEEGAGIAAAGDDGTIKLERGLGLVGDVFGDPARLGKLPGQAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQLAVAHNGNLVNYQALRNKLEAQGSIFSTSSDTEVIQHLIATSLSRPLLARICDACERLAGAYSLLFLTADKLLAVRDPFGFRPLVMGRRANGAIVFASETCALDLIDATYEREVEPGEVVVVDRRDMSVSSACLVPHRPRKSCVFEHIYFALPNSVVFGHAVHERRNAYGRALAEESPAPTADVVIPVPDSGFYAALGFSQTSGLEFQQGLIRWHYSGRSFIQPSQAIRDLAVKLKLAPVHGVIRGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVIGSCLYGIDTPSEGELISNRMDLEGVRRAIGCDSLAFLSLDKLHTIYGDEAHELCDACFSRNYPVLPTVPEPVPELVSAFED >ORGLA01G0328100.1 pep chromosome:AGI1.1:1:28034227:28035255:-1 gene:ORGLA01G0328100 transcript:ORGLA01G0328100.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRFLNRALLDLASDVERLLQVNESRGFPGMLGSIDCMHWRWEKCPLAWRGQFTRGDYGVPTIVLEAVASQDLHIWHAFFGVAGSNNDLNVLNQSPLFFDALKGEAPQVQFSVNGNEYGTGYYLADGIYPEWAAFMKTIPLPQTEKHKLFAKHQEGARKDVERAFGVLQSRFTIVRRPARLWRRKSVGRIMLACVILHNMIVEDEREEATIHIDLNENPGASFALPPEVNIGGNLCFADVMRKKATVRSRPQHTQLKNDLVEHIWHKFGDNHHN >ORGLA01G0328000.1 pep chromosome:AGI1.1:1:28031022:28031291:1 gene:ORGLA01G0328000 transcript:ORGLA01G0328000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVNGWRNHRIRLRGVGKRSAAQGGNRLDCDGRSLSGWPRRTKGIESRERERERELFLLLLLLLFSLLLFVVFLVGSRREGERREEE >ORGLA01G0327900.1 pep chromosome:AGI1.1:1:28027557:28030916:-1 gene:ORGLA01G0327900 transcript:ORGLA01G0327900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDDDMERDFAARLRLAPSPASPTAAAGGGGGGIAFRAPQEQFTVGDFELGKIYGVGSYSKVVRAKKKDTGNVYALKIMDKKFITKENKISYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIVRKGRLSEDEARFYAAEIVDILEYLHSLGLIHRDVKPENLLLTSDGHIKIADFGSVKPTKDTPIKVLPNSTNERACTFVGTAAYVPPEVLNSAPPTFGNDLWALGCTLYQMLSGSSPFKDASEWLIFQRIIARDLKIPEYFSDDARDLIDKLLDVDPSKRPGAGPDGYVSLKKHPFFRGIDWKNIRSTRAPKLAMEANANEDEDSQDSSWLSHMGSAPVNQHVSPVGNDGASSSSEVRSHISRLASIDSFDSRWQDFLEPGESVVLISKLKKINKLTNKKVQLILTDKPQLICVDPGKMVTKGNIMWSDDPSELNVQVSNSSHFRICTPKKVSSFEDAKQRAWQWKKAIEDLQRCQKN >ORGLA01G0327800.1 pep chromosome:AGI1.1:1:28019207:28026445:-1 gene:ORGLA01G0327800 transcript:ORGLA01G0327800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease 3 [Source:Projected from Arabidopsis thaliana (AT1G75660) TAIR;Acc:AT1G75660] MGVPAFYRWLAEKYPMVVVDVVEEEAVEIEGVKVPVDTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFEREGRKLPPKQQSQTCDSNVITPGTEFMAVLSIALQYYIHLRLNYDPGWKQVKVILSDANVPGEGEHKIMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVYTPGQQDKCFLCGQVGHLAANCEGKVKRKAGEFDEKGEAIVPKKPYQFLNIWTLREYLEYEFRMQNPPFPIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNKVEHFIQAVGSYEDKIFQKRARLHQRQAERIKREKAQAKRGDDLDPHVRDDLIVPVARFQGSRLASGPAPSPYGQNGSDKNNGGKNSRARKAARVSSSGSSIAAAIVEAENDLEAQERENKEDLKTMLKDALREKSDVFNSENPEEDKIKLGEPGWRERYYEEKFGARTPGQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLSGLGQLNITFELGSPFKPFDQLMGVFPAASSHALPVQYRQLMTDANSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDEARLLAEIKKVEHTLTPEEARRNSIMFNMLFVNGSHPLSPYIYSLNSKFGHLPDRERNEIKEKIDPSSSGGMNGYISLCSGDPCPPVFRSPVDGLEDIMDNQVICTIYKLPDSHKHIARPPVGVIIPKKTVEATDLKPPPVLWHEDSGRRPHDNNNRRPYENSNRQNPAGAISGRQLGEAAHRLVVNSLNARSGGQYNTPLMPYQTIMNGMPYPNGIPPRMEQPAPGWHVPGDLPNGQVPPAYASSSGHYQNDRSGPSQYGRDNHGRYPYARDNHHDSRGRVPPYHQSGGNSYQSHSAPSAGPGRYAQPPPYAGGYGRSYQPAPYGGGQQWQQQQQQPYGSYAGSGPYGGGAPPARPNSRPQQSQNRYNTLDRNSNRRPPPGHGRH >ORGLA01G0327700.1 pep chromosome:AGI1.1:1:28011113:28017506:1 gene:ORGLA01G0327700 transcript:ORGLA01G0327700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAEALLPEPEPESPPLLAVDHLGGPASRGSSGRWPAAFFLIGAEVGERFAYSGIMGNLVIYLTGPLRQPTAAAAAAVNVWMGTSMLLPLLGSAVADSWLGRYRTIVCASLLYILGLGMITVSSVLALEESSESSNLAAHVAFFYFSLYVVAFAQGGHKPCAQALGADQFDENDPGELASRSSFFNWWFFASYGGNTVTVPILNYVQESVSWQLGFAIPCIAMAVSLAIFLIGTRSYRFYPPKSKGNPFGEVAEWIRRWIASSCSKLPDSSDELLPSSSSEGDVSNSSSEFVPNEAAELVKLFPIWASSLIYAAVMAQCITFFTKQASTLDRRVGSLVLPAASNGALFNATIMVFLPIYDRIFIPVARRYTKNPSGITTLQRIGVGLVLSIITMIVAAMVEMRRLRIARDFGLVDKPEAVVPMSFLWIVPQNILAAISDMFAVIGLQEFFYGEAPESLRSFSMALFLSIIGVGNFISSFIVYAIDRVTSSFGDSWFSNNPNRGHVDYFYLLITVLNALSLACFLYFAKMYEHRKKWDSGCEQHLPMAYKMKGVFKGLKVISQIFVVKEHQMEIGYPTDVKHVTHIGWDSPTGSAASPSWMNDMKGSPDYSSLNNFGPSTGTSWTSQVCSTDFDHPQDISPFGLYVENAGKEANPPHPDIPKPPRKSRRKKSKNNSPTASSRSSRSRSKRSFSSTADTVVDNSIQNEVRIV >ORGLA01G0327600.1 pep chromosome:AGI1.1:1:28008147:28010465:1 gene:ORGLA01G0327600 transcript:ORGLA01G0327600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAEPLLPPPASAVDHLGRPASRRTSGRWLAALFIIGVEISERFAFGGISGNLITYLTGPLGQSTASAAAAINAWNGAALLLPLLGAAVADSWLGRYRTIICASLLYILGLGMLTLSPVLVPHQQAESGDNADNNASSSMDIHVAFFYLSLYIVAFAQGGHKPCVQAFGADQFDENDPEECASRSSFFNWWYFGIYGGNVITVSILNYIQDNIGWQLGFGIPCIAMSLSLAVFLLGTKSYRFYPLRSNTSLFDQVGKSLLAKIRWWCASWCSKSSGDLHCTQASSSQGDHDDAEKACFPDEATAVLKLFPIGATCLIYAIVFAQWITLFTKQASTLDRWIGKVQIPAAALQSLISVSIVISVPIYDRILVPLTRRYSKNPRGITTLQRIGIGLIISVILMVVAALVETRRLMVARDFGLVNNPEATIPMSFWWVVPQFILTGLADMFTMVGLQEFFYDQVPDGLRSLGLALYLSIFGIGSFISSFLVYAIDKVTSMTGDSWFSDNLNRGHLDYFYWLLAVLSVLGLAAYLHFSRVYVHKKGISVQ >ORGLA01G0327500.1 pep chromosome:AGI1.1:1:28002772:28006450:1 gene:ORGLA01G0327500 transcript:ORGLA01G0327500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLLAHSDEPSSAASKHGDGRGGWRAALFIIAVGFLERVGFYGVQGNLMMYLTGLMGMSMAAAAAAANAWGGTVMVLTLVGALAADSHLGRYRAVVAAGVLYLLSLGMLTVSSTLQPKHPRPASCNGGATACLPPLSPTSRLAFFYAALYLLALAQGFHKPCSEAIGADQFADDADPGANASRSSYFNWFHFSITWGYAVATTLLSYVEDNVSWTVGFAVCWATMVLYLAVFLLGTGTYRATAERPIDGAPLARLAETSAAAARAWTKRVFCRKDAICTERSGVSAHGRSGCAKRAGGGASFFSLPVSTLSLLELLPFFVGSFGWVEAAAGQRGKLKRPKRRCPVPGSPLAEHDEEAGGRRDGGGLGQLSGEERXDATSWRQGSAASSEVGRWRGVGAVLVEATRCQCLCGGYXVDGRQTTXKVAGVPGHSVRHHRLAGYHPVHQAGQHDEPERRRGPRRAARRAAVRHQRHHRHAVAGLRPCPRAAREASHKAPRGHHHAPARRRRHGHVGPRHGRGGARRGQTAPRRERRRAGRQARRDGADERVVAGTAIRPPRHIEGVRPHRPRGVLLRPGARRAP >ORGLA01G0327400.1 pep chromosome:AGI1.1:1:27995397:28000855:1 gene:ORGLA01G0327400 transcript:ORGLA01G0327400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGILPPSETCAGSGSPDGRGGWRAARFLIAVGFLERIGFNGVQGNLVMYLSGPMGMSTAAAAAGANAWGGTVLVLTLVGALAADSRLGRYRAIVAAGVLHLLSLGMLTISSVMQPTHQHPVSCHDAAAACSPPPPPSPSLGRLVFFHAALYLLALAQGFHNPCSEAFGADQFAPPSDPGARASRSSYFNWYNFSSSCGYAISNTAMSYVEDNVSWTVGFAACLATTAVYLPVFLLGTAAYRAEQPVDGAPLALLAKKSLSATRVWTARVFPRKDAICTERLLLAKEEEVEHGKGFVVKLLPIWVTSIVFAAVISQQVTLFTKQGSTMDRRVAVGGGVFVLPPAALQDVISATMLTVLPAYDRALVPLARRFTGHPAGITTLQRVGAGMATCCLHMVVAALVEAKRLRAASYAGLPADATVPMSVWWLVPQYALVGLSKVFGVIGLQEFFYDQVPDDLRSVGLAMSLSAQGVGSYASSALVSAIDWATTRRGGESWFSDDINRAHLDYFYWLLAALAALDVAVFVYIAKRYVYRNKDEQ >ORGLA01G0327300.1 pep chromosome:AGI1.1:1:27988992:27990921:1 gene:ORGLA01G0327300 transcript:ORGLA01G0327300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGLIAPSETCSSDGRGGWRAARFLIAVGFLERIGFNGVQGNLVMYLTGPMAMSTAAAAAGANAWGGTVLVLTLVGALAADSRLGRYRAIVAAGVLHLLSLGMLTISSVMQPNHTHPASCHDATAACSPSPPPPPSLARLVFFHAALYLLALAQGFHNPCSEAFGADQFAASDPGARVSRSSYFNWYQFFNSFGYGISNTALSYVEDSVSWTVGFAVCLATTAVYLPIFLLGTRAYRAEQPVDGALLPRLAKTSSSAARAWTARVFRRKDTCCTERXASGEGRGRREGVPCQVASDLGDQHSVRHRQRARGHPVHQAGQHNGPAHRRARGPRRAARCSAVHCQRHLPHLRAGLRPRPRAAREALHGAPRGHHHAPARRRRYGHVLPRHGRGGARRGQTAPRRERRRPDRPAGRDGADGRVVAGAAVRPRRPIEGVRHHRARRVLLRPGARRPAQRRARHVPERARRRELRQRRARVGDRLRDEERGRELVLRQPQPRAPRLLLLDPRRARRFGGRRVRLHREAIRLQEQRRAMILPSSD >ORGLA01G0327200.1 pep chromosome:AGI1.1:1:27985886:27987247:1 gene:ORGLA01G0327200 transcript:ORGLA01G0327200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTISSVTRATHPHPVSCHDAATACSPPAEAAAAPPSTARLAFFHAALYLLALAQGFHNPCSEAFGADQFAPPSDPGARASRSSYFNWLLAKEEVEHGKGLFVKLLPIWLTSIVFAAVVSQQSTLFTKQGSTMDRRVGGIVVPAAALNCVVSFTMITLVPVYDRAVVPLARRFTGHPAGVTTLQRVGAGMATSCLAMVVAALVEARRLRAASDASLVDRPGATVPMGVWWLVPQYLLVGLAKVFGDIGLDEFFYDQAPDGLRSVGLAMSLSVLGVGNYVSGVLVSVIDTATRSGGESWFSDDLNRAHLDYFYWILAAFAALEVVVFVYIAKRYIYKNKGEP >ORGLA01G0327100.1 pep chromosome:AGI1.1:1:27981432:27981874:1 gene:ORGLA01G0327100 transcript:ORGLA01G0327100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLLAHSDEPCDAGSKPDADADGRRGGWRAARFLIAVGFLERVGFNGVQSNLVMYLAGPMGMSTAAAAAGANAWAGTVLVLTLVGALAADSRLGQYRAIVAAGVLHLLVS >ORGLA01G0327000.1 pep chromosome:AGI1.1:1:27977913:27979769:1 gene:ORGLA01G0327000 transcript:ORGLA01G0327000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEQCALLPEAEPSTGSKSGGRGGWPAAFFLLAAVFAERVGFNGVQGNLIMYLTGQLGMSTAAAAAGVNAWGGTAFMLPLLGALAADSWIGRHRAVVASGVLYLLVEPWHADGLIHGSTTTSDNGVPGYSRRVLVAGGSTGGPRGVLLRRAVPACARAGLPQAVRASHGRRPVLGEQPRRARVAELLLQLDQLRRFVWLRSLNRRDQLRAGQGQLGHRVRRVLGHDARVVVRLLARHGNLPPGATANVRRDASWRRHGRHGKVATLFTKQGSTMDRRIVIGTGGGGGVLLVPPAALQSLVSFAVMVTIPTYDRALVPLARRVTKHPSGITTLRRVGTGMVTACLAMAVAALVEAARLRAARDAGLLDEPGVAVPMSVWWLAPQFVLLGVATTFTMVGLEEFFYDQVPDELRSVGVAACMSVVGVGSYASGMLVSAIDWATRSRGESWFSDNLNRAHLDYFYWLLAGISALDVLVFLYFAKGYVYTKNKVLSVDI >ORGLA01G0326900.1 pep chromosome:AGI1.1:1:27970480:27972388:1 gene:ORGLA01G0326900 transcript:ORGLA01G0326900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRSKLVSHLLDSGMADTVAGAVDYRGRPASRAATGGWKSSVFVMAMEIAERFAYKGVAANLITYLTGPLGQPMARAAASIDAWKGVSQMLPLPLACVADAWLGRYRAIVLASVIFVLSMGTLSMSSTFPVSRAGHVVVFYVALYMVALGEGAHKPCAQAFAADQFDEKDGAECAARSSFFNWWYFGMCAGTAVTTMVSSYVQDNVGWGLGFGIPCIVIVVSLAAFLLGTRSYRFYTARAASPVARVAKAFLTLIKSWRSNRRTNPASGGKGDGDGDAGDLVEEVKSVFRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRIGRSFNVPPAALQTFISVSIVVFIPVYDRLFVPLARRYTGRPSGITMLQRVGAGLAISLVAVVLSALVETRRLRVAAGAGMADAPKARLPMSLWWMVPQYVLVGVADVFAMIGLQEFFYDQVPDAVRSLGLALFLSIFGVGHLLSSLLISVIDGATARRAGGSWFANNLNRAHLDYFYWLLAGLCAVELVAFFLFARVYTYKKKGSDADGNGDYRGVDDDGICV >ORGLA01G0326800.1 pep chromosome:AGI1.1:1:27965404:27967653:1 gene:ORGLA01G0326800 transcript:ORGLA01G0326800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAVTDALLLPRSEGAVAGAVDFRGRPASRASTGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINLWSGVATMLPLLVACVADAWLGRYRTIVLASLLFVVSMGMLTLSSALPAFHGDGGGCSYTSKSLSCAPSTAQVAIFYVSLYLVALAEAGHKPCAQAFGADQFDQNDAKESVSRSSFFNWWYFGMCSGTAMTTMVSSYIQDNIGWGLGFGIPCLVMAFALAMFLLGTRNYRYYVSTQSSPFARLARAFVALIRGSKDDALAVVDDDDGGDHREELRGVLRLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIGESFRVPPAALQTFISVTIIAFIPVYDRAFVPVARRFTRASSGITMLQRIGTGLVLALAAMVVAALVEARRLGVARDAGMVDDPKAALPMSLWWMVPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHFFSSFIISAIDGATKKSGASWFANNLNRAHLDYFYWLLAGLCAVELVAFVFVSRVYVYKKRVPHNGCDVM >ORGLA01G0326700.1 pep chromosome:AGI1.1:1:27964107:27964370:-1 gene:ORGLA01G0326700 transcript:ORGLA01G0326700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELEGGVVRARGSLPPRTPPTSLTQHHKNTTLALPLGNAAATAICSISLPSYYYLPRGKITSNSLFVYFCMLASCNCFLAPSCVVC >ORGLA01G0326600.1 pep chromosome:AGI1.1:1:27958592:27958891:-1 gene:ORGLA01G0326600 transcript:ORGLA01G0326600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHGMSSPSHSFRSYSVSSSEEENRCGAVVACLTRRVMPAGTANTVGTSKVTPFPLMATGQGTEGAPPRLQRSRAVSRDLVRDWNFEEIAVRN >ORGLA01G0326500.1 pep chromosome:AGI1.1:1:27954673:27957729:1 gene:ORGLA01G0326500 transcript:ORGLA01G0326500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aspartate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G22250) TAIR;Acc:AT2G22250] MAASTSATSFSTPAKPASSSSSSSSPNSVCFARASGRCRMASVVVRAEAVDATISPTVSALRPSKTMAITDQATALRQAGVPVIGLAAGEPDFDTPHVIAEAGMNAIKDGYTRYTPNAGTLELRKAICNKLQEENGISYSPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPYWVSYPEMATLAGATPVILPPSISENFLLRPELLASKINEKSRLLILCSPSNPTGSVYPKELLEEIADIVKKYPRLLVLSDEIYEHIIYQPAKHTSFASLPGMWDRTLTVNGFSKAFAMTGWRLGYLAAPKHFVAACGKIQSQFTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLVKSFKELPGVKISEPQGAFYLFIDFSSYYGSEVEGFGTIKDSESLCMFLLEKAQVALVPGDAFGDDKCIRMSYAAALSTLQTAMEKIKEAVALIKPRVAAK >ORGLA01G0326400.1 pep chromosome:AGI1.1:1:27952031:27953599:1 gene:ORGLA01G0326400 transcript:ORGLA01G0326400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G34370) TAIR;Acc:AT1G34370] MDSGLGRSSETSLKALPSMASNATRNTDPDQQGVRFSSMDQPPCFARPGQSFPAFPPLFGVQSSSLYVPDDIEAKIGNQFESNPSPNNPTMDWDPQAMLSNLSFLEQKIKQVKDIVQSMSNRESQVAGGSSEAQAKQQLVTADLTCIIIQLISTAGSLLPSMKNPISSNPALRHLSNTLCAPMILGTNCNLRPSANDEATIPDISKTPDYEELMNSLNTTQAESDEMMNCQNPCGGEGSEPIPMEDHDVKESDDGGERENLPPGSYVVLQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTAAALAKPSKDSSSESAPVTRYSCPYVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWLCSCGTTFSRKDKLFGHVALFQGHTPALPMDDIKVTGASEQPQGSEAMNTMVGSAGYNFPGSSSDDIPNLDMKMADDPRYFSPLSFDPCFGGLDDFTRPGFDISENPFSFLPSGSCSFGQQNGDS >ORGLA01G0326300.1 pep chromosome:AGI1.1:1:27938889:27945568:1 gene:ORGLA01G0326300 transcript:ORGLA01G0326300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAGGGGGGGGESAGELLLRAAAMVPAEHYALAALAVVSVLAYGFLELHFLGDLLRGFRGGRVELTFHPASEIYHRVASKCRSLHGRYLATPWLASPHLQTLFLGISGRPPSFTYKRQLYTVHDGGTIALDWLLATDSKDSDGILSEDASAPLVVIVPGLTSDSAAAYVKHMAYSMATKGCNTVVSNHRGLGGVSITSDCLYNAGWTEDLREVINYLHHKYPKAPMLCVGTSIGANIVVKYLGEEGENTPVAGAASICSPWDLVVGDRFISRKLVQRFYDKALAFGLKGYAKLHEPVLVRLANWEGIKKSRSIREFDHHATCMVAKYETVDTYYRRCSSASYVGNVSVPLLCVNALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTAGRLWWVGAVSEFLFVLLDSKYMHQQKAQDHILHSSLESSIDKSPYVNVMEDGMIAPVTDDGPCDDITPSHQVNDIKQDNGDFTQQNEHTREVDDKNITEVNAMPSQSPEQSAGQQVEEHYVGKFHEAIAPVKRSINQLTRYQGKSVWLLAYIAFVTSWPLLGSLAFIAFRKKFRNNLLAKWLRR >ORGLA01G0326200.1 pep chromosome:AGI1.1:1:27927818:27937574:1 gene:ORGLA01G0326200 transcript:ORGLA01G0326200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NTS2] MASSGAPPCSALVPPCLFVIAMATLQSAVVFADAADTVAADRPLSGSQRLLVSSRGKFALGFFQPENSIHWYIGIWYNQISKHTPVWVANRGSPISNPDTSQLTIATDGNMVLLDNSTTAIWSTNISKIASNSTVGVILDTGNLVLADASNTSIIHWQSFDHFGNTWLPGGKLGRNKLAGVSTGLVAWKARNDPAPGVFSLELDPNGTSQYLLEWNSTQQYWTSGNWTGRIFTGVPEMTPTGIYPNSLYTFDYVNGENGSYFVYDLKDDSVLTRFVLGEMGQIQFLTWMNGANDWMLFWSQPKAQCDVYSLCGPFSVCTENAMASCSCLRGFGEQNVGEWLQGDHTSGCRRNVELQCSSNGSVVGRSTDRFYTMGNVRLPSDAESVVATSTDQCEQACLRSCSCTAYSYNGSCSLWHGDLINLQDVSAIGSQGSNAVLIRLAASELSSQKQKHAKKLITIAIVATIVAALMVAALVVILRRRMVKGTTQVEGSLISFTYRDLKSMTKNFSEKLGGGAFGSVFKGSLPDATMVAVKKLEGFHQGEKQFRAEVSTIGNIQHVNLIRLLGFCSEKSRRLLVYEYMPNGSLDKQLFDGRKHVLSWDTRYQIALGIARGLDYLHEKCRDCIIHCDIKPENILLDGSFAPKVADFGLAKLMGRDISRVLTTARGTVGYIAPEWLAGTAVTAKADVFSYGMTLLEIVSGRRNVERREDGTADILPLLAASRLVGGVGDGRREELVSAVVDGRLGGDADMGEAERAFRVAFWCIQDDENARPAMATVVQVLEGLVEIGVPPIPRSLQLLADESNYLQFFSDLLPSK >ORGLA01G0326100.1 pep chromosome:AGI1.1:1:27914237:27917056:-1 gene:ORGLA01G0326100 transcript:ORGLA01G0326100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NTS1] MAISGAPLCSSALVLLPCLFVIAMAALQSGVVVLADAADTVAADRPLSGRQRPLVSNRGKFALGFFQPENSEHWYLGTWYNQISKHTPVWVANRGSPISNPDTSQLTIATNGNMVLLDNSRTAIWSTNISNFTSNSTVGVILDSGNLVLADASNTSIILWQSFDHFGDTWLPGGKLGRNKLTGVSTRLVAWKARNDPVPGVFSLELDPNGTSQYLLQWNSTLQYWTSGNWTGRIFTGVPEMTPTGSYPNSLYTFDYVNGENESYFVYDLKDDSVLTRFVLGEMGQIQFLTWMNGANDWMLFWSQPKAQCDVYSLCGPFSVCTENAMASCSCLRGFGEQNVGEWLQGDHNSGCRRNVELQCSSNGSVVGRSTDRFHTMANVRLPSDAESVVAAGFDQCEEACLSNCSCTAYSYNGSCSLWYRDLINLQDVSVIGSQGSSAVLIRLAASELSGQKQKHTKKLITFSVLATGAVLLMMAVLVVILRRRMVKATTRVEGSLISFTYRDLKSVTKNFSEKLGGGAFGSVFEGSLPDATMVAVKKLEGFRQGEKQFRSEVSTIGNIQHVNLIRLLGFCSEKTRRLLVYEYMPNGSLDKHLFGSNQHVLSWNTRYKIALGIARGLDYLHEKCRDCIIHCDIKPENILLDGSFAPKVADFGLAKLMGRDFSRVLTTSRGTVGYIAPEWIAGTAVTAKADVFSYGMTLLEIVSGRRNVQEQGGAAVDGLLPLLAASTLGGGGGGRDELVSAVVDGRVGVNADMGEVERACRVACWCIQDDEKARPAMATVVQVLEGLVEIGVPPVPRSLQILADLANQSNNLQFFSDLPSK >ORGLA01G0326000.1 pep chromosome:AGI1.1:1:27907960:27911214:-1 gene:ORGLA01G0326000 transcript:ORGLA01G0326000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NTS0] MAPPCSSALVLPCLLVIAMAALQSAVVFADTVTAKRPLSGSQSALVSKRRKFALGFFQPENSQHWYLGIWYNQISKHTPVWVANRGTPISNPDTSQLTIATDGNMVLLDNSTTAIWSTNISKIASNSTVGVILDTGNLVLADASNTSIIHWQSFDHFGNTWLPGGKLGRNNKLAGVSTRLVAWKARNDPSPGVFSLELDPNGTSQYLLEWSITQQYWTSGNWTGRIFADVPEMTGCYPSSTYTFDYVNGENESESYFVYDLKDESVLTRFFLSEMGQIQFLTWIYAAKDWMPFWSQPKVKCDVYSLCGPFSVCTENALTSCSCLRGFSEQNVGEWLQGDHTSGCRRNVELQCSSNASVMGRTDGFYTMANVRLPSNAESVVVIGNDQCEQACLRSCSCTAYSYNGSCSLWHGDLINLQDVSAISSQGSSTVLIRLAASELSGQKQKNTKNLITIAIVATSVLVLMIAALFFIFRRRMVKQTTRVEGSLIAFTYRDLKSVTKNFSEKLGGGAFGLVFKGSLPDATVVAVKKLEGFRQGEKQFRAEVSTIGNIQHVNLIRLLGFCSEKSRRLLVYEYMPNGSLDKQLFDNKKHVLSWNTRYQIALGIARGLDYLHEKCRDCIIHCDIKPENILLDGSFAPKVADFGLAKLMGRDISRVLTTARGTVGYIAPEWIAGTAVTAKADVFSYGMTLLEIVSGRRNVQGRRRRQEQQDDGGAAADRPFPLVAAGRLVGGGVGGGRREELVSAVVDCRLGGDADMGEVERACRVACWCIQDDENARPAMATVVQVLEGLVEIGVPPIPRSLQFLAELADQSNYLQFFSDLLPSN >ORGLA01G0325900.1 pep chromosome:AGI1.1:1:27903959:27906320:1 gene:ORGLA01G0325900 transcript:ORGLA01G0325900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:I1NTR9] MSGDAFNMSVAYQPSGMAVPEWLNKGDNAWQMISATLVGMQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVTWGYNMSFGHKLLPFWGKARPALGQSFLLAQAVLPQTTQFYKGGGGADAVVETPWVNPLYPMATMVYFQCVFAAITLILLAGSLLGRMNIKAWMLFVPLWLTFSYTVGAFSLWGGGFLFHWGVMDYSGGYVIHLSSGVAGFTAAYWVGPRSTKDRERFPPNNVLLMLTGAGILWMGWAGFNGGDPYSANIDSSLAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWFTMMVVHKRSRLLQQVDDTLGVFHTHAVAGFLGGATTGLFAEPVLCSLFLPVTNSRGAFYPGRGGGLQFVRQVAGALFIICWNVVVTSLVCLAVRAVVPLRMPEEELAIGDDAVHGEEAYALWGDGEKYDSTKHGWYSDNNDTHHNNNKAAPSGVTQNV >ORGLA01G0325800.1 pep chromosome:AGI1.1:1:27892395:27900573:1 gene:ORGLA01G0325800 transcript:ORGLA01G0325800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRATGHRLNITRNRSLNSLELTEAVSNSVNISAGDIASLIYLWNPWAIVTCVGSCTSPIENLMVVIMIYGACSRLAPLAAFGYVMSTHLTLYPAILIVPIILLLGYGPDTPPAKVFRLKISSASKTEVPDNDRFSTSRDVQQFMWKPVFYFVLWMFFWSCYVLLLSSMILNKVDGLQEMFEKTYGFILTVKDLSPNIGVLWSFFLIVINMNIVFMVLPLAIRLKHRPCFLAFVYTAIVAMLKSYPSVGDSALYLGLLGLFATELAEMKLTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCIQTVLVVESKLHHRYLRMEACPVNGATAVRTGPASAPNTDSHKPKAPNTIEPSTQKALKKFGEKERRAGDESSRTLAMAGEAGGDPRAWLAVDETAAAFLSRSLSARPPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLTAAVQCILPKEWKGTYFGGLGKVVMYLDLDCRFDVLRLAQVLRNRIGECCGSTNPTNEEFAKDGATNSFSENTLFSECMKRFLRTLSLQSMTETVVQKLRNFLQLQPVLVMATKAPIYGEGFTGNDFQRGTSKQMLEDSTMRCTGQEEEKNISYREFMPSVWQSFVTHRIKLQDLGQEAELFSGQENKELPLRTSEWMQPSLNTKDKFSITDDGVILIH >ORGLA01G0325700.1 pep chromosome:AGI1.1:1:27884105:27889218:-1 gene:ORGLA01G0325700 transcript:ORGLA01G0325700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDGGEASPSGGGGGEGSPDPRRPPPRPQLTKSRTISGSAASAFDRWGTSNSSSSILVRRSSTAPLPPGAAPRGLLTVAVDEPSYAAPNGGAAMLDRDWCYPSFLGPHASRPRPPRSQQQTPTTTAAAAAADSRSPTPAAPPQTASVSQREEEKSLASVVKRPMLLDERRSLSPPPPQQRAPRFDLSPYLVLMLVVTVISFSLAIWQWMKATVLQEKIRSCCSVSTVDCKTTTEAFKINGQHGSDFINSADWNLASCSRMLVFAIPVFLVKYIDQLRRRNTDSIRLRSTEEEVPLKKRIAYKVDVFFSGHPYAKLLALLLATIILIASGGIALYVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEVNHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIGKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKIASKAGKILMNPDNDYVLQEGDEVLVIAEDDDTYVPASLPQVRKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKERERKLTDGGMDIYGLTNIKLVHKEGNAVIRRHLESLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPSKELKSPLRYNGFCHSSWIREMQHASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFFDIMVRARERDEVVIGYRLAKDDQAIINPEQKSEIRKWSLDDVFVVISKAGNATYFVKTTVMRSNPVVYSSTF >ORGLA01G0325600.1 pep chromosome:AGI1.1:1:27878344:27882989:1 gene:ORGLA01G0325600 transcript:ORGLA01G0325600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEAVRDIGSGNFGVARLMRNRETRELVAVKCIERGHRIDENVYREIINHRSLRHPNIIRFKEVILTPTHLMIVMEFAAGGELFDRICDRGRFSEDEARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKVSYFDPLLVSDMLADVWSCGVTLYVMLVGAYPFEDQDDPKNIRKTIQRIMSVQYKIPDYVHISAECKQLIARIFVNNPLRQRITMKEIKSHPWFLKNLPRELTETAQAMYYRRDNSVPSFSDQTSEEIMKIVQEARTMPKSSRTGYWSDAGSDEEEEKEEEERPEENEEEEEDEYDKRVKEVHASGELRMSSLRI >ORGLA01G0325500.1 pep chromosome:AGI1.1:1:27864495:27866762:1 gene:ORGLA01G0325500 transcript:ORGLA01G0325500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMLVSGANGTVAAASTSRLQAVRPTPFSRLVLSQPSSSLGRAVSVKTVALFGRSKTKAAPARKAEPKPKFKTEDGIFGTSGGIGFTKENELFVGRVAMLGFAASILGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGSFVDDQPVTGLDKAVIAPGKGFRSALGLSEGGPLFGFTKANELFVGRLAQLGIAFSIIGEIITGKGALAQLNIETGVPINEIEPLVLFNVVFFFIAAINPGTGKFVSDDDEE >ORGLA01G0325400.1 pep chromosome:AGI1.1:1:27860463:27862964:1 gene:ORGLA01G0325400 transcript:ORGLA01G0325400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM, LAG1 and CLN8 (TLC) lipid-sensing domain containing protein [Source:Projected from Arabidopsis thaliana (AT1G21790) TAIR;Acc:AT1G21790] MPPPPHRGGGGGRDTSAFFAATLVLWAVSVGFEIGARGRRELAPVAAGFAFFQAANAAVRAAVSRDPLFVNTAVSLLHSSLTSASVIFVLVNRWHNKDLKNMFEHEELFGGGWVGAYSALCFSCGYFAYDQLDMLRYRLYSGRIPGILMHHLILLICFTLALYRNVTINYLILTLVCELHSVFLHIRKLRRMAGFRDYNRKIVKLEWVLNWTTFVSARVACHILITYKLIIDAHKFDSGIELPLALFGMAGMNLLNIFLGLDLLKAYTLERNQQTHQD >ORGLA01G0325300.1 pep chromosome:AGI1.1:1:27856970:27859414:-1 gene:ORGLA01G0325300 transcript:ORGLA01G0325300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Plant nuclear matrix 1 (InterPro:IPR010604); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: /.../BLink). [Source:Projected from Arabidopsis thaliana (AT5G17620) TAIR;Acc:AT5G17620] MASKQMEEIQRKLAVLAYPRANAPAQSLLFAGVERYRLLEWLFFRLLGDRSPFTQQNWQGDSLDRDEENSRIQHLAEIANFLGITPSVDTEAIQGRGSYDERVELLRLIVDLVEASCYADNPEWSVDEQLAKDVLLVDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDITELELKLSEYTKKMSNLQLMVQELASKYDYNPNEDYAETELKLREHLQSFLETVKSFNMIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLSNLRSLRDSYAAMAAGSLSASNEPSSVTKIISDCESALTFLNNSLSILSTSVAREQGETLNSQ >ORGLA01G0325200.1 pep chromosome:AGI1.1:1:27852958:27854430:-1 gene:ORGLA01G0325200 transcript:ORGLA01G0325200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NTR2] MDKTIVLYPGLYVSHFVPMMQLADALLEHGYAVAVALIHVTMDEDATFAAAVARVAAAAKPSVTFHKLPRIHDPPAITTIVGYLEMVRRYNERLREFLRSGVRGRSGGIAAVVVDAPSIEALDVARELGIPAYSFFASNASALAVFLHLPWFRARAASFEELGDAPLIVPGVPPMPASHLMPELLEDPESETYRATVSMLRATLDADGILVNTFASLEPRAVGALGDPLFLPATGGGEPRRRVSPVYCVGPLVVGHDDDERKENTRHECLAWLDEQPDRSVVFLCFGGTGAVTHSAEQMREIAAGLENSGHRFMWVVRAPRGGGDDLDALLPDGFLERTRTSGRGLVVERWAPQADVLRHRSTGAFVTHCGWNSASEGITARVPMLCWPLYAEQRMNKVFMVEEMGVGVEVAGWHWQRGELVMAEEIEGKIRLVMESEEGERLRSSVAAHGEAAAVAWRKDGGAGAGSSRAALRRFLSDVGGRELRSVET >ORGLA01G0325100.1 pep chromosome:AGI1.1:1:27838002:27852078:-1 gene:ORGLA01G0325100 transcript:ORGLA01G0325100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77600) TAIR;Acc:AT1G77600] MPASPEQAVREVGKRLAQPRLGKDALVKLLKASSVASLVSSCLNRSKSTALPFPVERCFDFRAFELQAESALSELSQSSSLQEALHPLSKSLVQTTLLTHRDKDVKLLVAVCFIEVMRVLAPDPPFSDEIFKEIFRLFISVFADLAETSSPYLPRRILILENVAALRCSVIMLDVGCQDLVLDMVRIFFSAVKQGLQQSVCQAMLSIMTQILNEKVTQPLLDVILRNLVKEDKGASHKLAVDIIQNCAEKLEPVLRTFLSSCIFNKDVPANETRKQHHKIILEMFQCAPQMLFAVIPHLTHELLSDRVDIRLEAVHLIGRLLVLSNLRFAQENQLIFKEFLKRFSDKSAEVRIAAIDAAKVCYMAISSGNEAEDILTSLAGRLLDFDDKVRIRAVAAVCDMAKSNLNSFPAKVSVRKHVMLKLLDLYRDYCKKCSKGIATVNFHYEQIPAQLLTLCFDKDSEIFRPQNMELILAEELFPSSLSPKERAIHWVEFFSYFKPQHIKALHIIFSLKRRLQLEMQAYLSLRAKKEEPSDEIQKKFCASFRNMSVAFADASNVEECLKNLHQLKDNNIFKDLTELSYEGSSFATVQSIRYSISKKVKFGQDFLHGSATSSHLHDLFLKRIGNKHPLYNFCKVLSVKCSHSIFNWEMIYAILEVLFSHRNELTNHVEAACDLLLLVSKVFPSLFQGSEEYLIKLFSEESVLINEKTLEMLAHLAKSGCHLSIDFSDDVYPLLEQKCIEGTRAESKYAVAAIDSLIQSPNDEKFARLCEKVVAALDDNYNVPTLLQSLGLILEHSPSMYKLYDKKIMNFVQDILCSTEIYCLKTLVKSCLPRSTVRDRIEHFLKILLDIILEKFKAITLCENDRPYLKLAAGKSVLQLAALWDSQISPKLFRSVVLMARDSSYTVRKSFICKLHDLIMEHAIPIKYACAFALASTDCSRDVRTESTRYLTEVLKEQRRLFVHHNTKRKESLVDHPAPLVVMLRTLIEMDDEHGHNTSSVPILMGIFRAIQMAGDLAEAEDLAECGITHKLHILSRIGLLIVKELDKHCKMSDSPRHFPLPSSYFRVSGSARKTDRILGAHGPVHPDDTKCSDNAERVSTEVAPDKEARSSLSNIVGQNASCHDKGKRNKKQDQTTNHSLEKEKVSSCGSAGTKLSSPASLGLAKEADSIDSISLLENQNRPESRSSTGETRASETDHNYSNRRETVMKDTGTVLVGRRIRLWSARDMCYICGTVETYDQSNGFHKIINENGDKELVRLECQKWEFISDTISTVKDIPNSHPRCCSFKRVRGKGSADSQNKRQEMLLPGSSIVCNPDEDGDIDDNFVKQPFSNNGTGVAGLKKNSKRALDSSNAQTSSGLTAFNPVDNVRCTRSRKVQL >ORGLA01G0325000.1 pep chromosome:AGI1.1:1:27834661:27837409:1 gene:ORGLA01G0325000 transcript:ORGLA01G0325000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAQPVSAAVAPVTGRRKGAAASRKWMVVPAVGEERRVEFGKHQIMKMTGLPGRDLRVLDPVLSYPSTILGRDRAIVVRLQGVKAIITATEVLVPDHDDVLLASFLLDLRSRLSLPDAAPSTNPAAADRGNGTEQGDQGSVPGLAISGAGNAKIPPFEFKVLEVCLEHACKDLESQTRSLEKEAYPALDKLGSKVSTLNLDHVRNLKSRMVDLSGRVQKIRDELEHLLDDDMDMSEMYLTRKLSFQGLSGSLSRADSHKYASVDHDDDREEEDHDDETESGRESSVYVKPDIEELEMLLEAYFVQIDGTLNTLYHIREYADDTEDYINIMLDEKQNQLLQMGVMLTTATVVVTAGIVVVSLFGMNIHIDLMKDPETPEMVRMSNMHFWETTFGTVAGCIAIYLLAIYAGRKSKILQ >ORGLA01G0324900.1 pep chromosome:AGI1.1:1:27832039:27832694:-1 gene:ORGLA01G0324900 transcript:ORGLA01G0324900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSRKILTXSSRRRLVAVFVWSPVKALNEAGLEASVWAYGSSGMVIWWPSPYIVASGVLLMASFFEWLFPPLQCLAVAAVVAGAPPMASAEMSSLMGMLPAKAVLATTGDVVSVRDVRVGDVVAVRAGEIVPVDGVVVDG >ORGLA01G0324800.1 pep chromosome:AGI1.1:1:27825798:27831323:-1 gene:ORGLA01G0324800 transcript:ORGLA01G0324800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF639) [Source:Projected from Arabidopsis thaliana (AT1G71240) TAIR;Acc:AT1G71240] MLQLRLQPPRFTPLPRRRVAGGGHHRRRRAAAPPPPLALRSQWRIPDVDADAVQERVRSWLSRARGAIADAANAAREKGRSKEEAEGRKKRRKEALEEQALVAVPEITVERRVGRGWLSLDAVVTIEQFARLNGLTGRKVQRIFEALAPSHVQNDARNLVEYCCFRYLSRDNSDLHPSLKELAFQRLIFMTMLAWEDPYGEDDDTESSLDNYSILGRLVGEDAFVRIAPAVAGVADVSTAHYLFRALVGSEKGLSLDIWTTYLGELLKVHHGRQTHKSGDHFLSDEQVLCIGSSRKRPVLKWEENTAWPGHLTLTNKALYFEAIGLTSTNKPLKLDLTDRNSRVEKAKVGPFGSRLFDSAVSVSSGSVSNEWTLEFVDFGGEMRRDVWLAFISEIISVYRFINEYGPRDDDPAIHHVYGAHRGKKRAVSSAANSIARLQSLQFIRRLYEDPAKLVQFSYLSNAPFGDVVLQTLAVKFWGGPLVTNSKAASQRASQWHRPSEDSSSAHAHIFDIDGSVYLRKWMTSPSWTSSHSANFWRNSSVKHGVILSKSLVVADKNLVEKAMVACKEKSKIVERTQATIVAATIEGIPSNIDLFKELILPFAIVSEKFYKLKRWENPRTTACFLLVVYTIIFRNMLSYALPFSLMMLALSMLALKGLKEQGRLGRSFGKVTIKDQPPSNTIQKIIALKEAMASVENYLQNLNLSLLKMRTIFLAGQPEVTTQVALVLLASSAVLLVVPFKYVLAFFMFDQFTRELEFRREMVKAFMSFMKERWESIHAAPVVVLPYEDGGEQHNKTLPPKSTQQTQSGSVQSTDTYMNLSNGTYTLDI >ORGLA01G0324700.1 pep chromosome:AGI1.1:1:27822179:27824458:1 gene:ORGLA01G0324700 transcript:ORGLA01G0324700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAIVAVVVALCVAAAASAETATYIVHMDKSAMPSGGGGGNGSTSLESWYAATLRAAAPGASMIYVYRNAMSGFAARLSAEQHARLSRSPGFLSSYLDAPVTRRDTTHTPEFLGVSGAGGLWETASYGDGVIVGVVDTGVWPESGSYRDDGLPPVPARWKGYCESGTRFDGAKACNRKLIGARKFSAGLAAALGRRNITIAVNSPRDTDGHGTHTSSTAAGSPVPGASYFGYAPGLARGMAPRARVAVYKVLFDEGGYTTDIVAAIDQAIADGVDVLSISLGLNNRPLHTDPVAIGSFAAMQHGIFVSTSAGNDGPGLSVLHNGAPWALTVAAGTVDREFSGIVELGDGTTVIGESLYAGSPPITQSTPLVYLDSCDNFTAIRRNRDKIVLCDAQASSFALQLAVQFVQDANAAGGLFLTNDPFRLLFEQFTFPGALLSPHDGPAILRYIQRSGAPTAKIAFRATLLNTKPAPEAAAYSSRGPAVSCPTVLKPDIMAPGSLVLASWAESVAVVGNMTSPFNIISGTSMATPHAAGVAALLRAVHPEWSPAAIRSAMMTTAATLDNTGRSINDMARAGHAATPLAMGSGHIDPNRAADPGLVYDAVPGDYVELMCAMGYNLSDIRAVTQWSTYAVNCSGASSPDLNYPSFIAYFDRRSAAAAAETKTFVRVVTNVGAGAASYRAKVKGNLGGLAVSVTPSRLVFGKKGETQKYTLVLRGKIKGADKVLHGSLTWVDDAGKYTVRSPIVATTLSSTRL >ORGLA01G0324600.1 pep chromosome:AGI1.1:1:27817350:27819623:1 gene:ORGLA01G0324600 transcript:ORGLA01G0324600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASHARAPLLLQLLMCSCLLLSPVAADRAAYIVHMDKSAMPAHHSDHREWYSATVATLTPGAPRGGRGGPRIVYTYDEALHGFAATLSASELGALRLAPGFVSAYPDRRADVLHDTTHSTEFLRLSPFGGLWPAARFGEGVIIGVIDTGVWPESASFDDGGMPPVPSRWRGECEAGQDFTPDMCNRKLIGARYFNRGLVAANPTVTVSMNSTRDTLGHGTHTSSTAGGSPAPCASFFGYGRGTARGVAPRAHVAMYKAMWPEGRYASDVLAATDAAIADGVDVISISSGFDSVPLYEDPVAIAAFAAIERGILVSASAGNDGPRLGTLHNGIPWLLTVAAGTVDRQMFAGSIYLGDDTRSTITGITRYPENAWIKDMNLVYNDTISACNSSTSLATLAQSIVVCYDTGILLDQMRTAAEAGVSAAIFISNTTLITQSEMTFPAIVVNPSDAASLLSYINSSARPTATIKFQQTIIGTRPAPVVAAYSSRGPSRSYEGVLKPDIMAPGDSILAAWAPVAPLAQVGSTALGSDFAVESGTSMACPHAAGVAALLRAAHPDWSPAMIKSAMMTTATAVDNTFRPIGDAGHGDAAASPLAIGAGQVDPNAAMDPGLVYDAGPEDFVELLCSTNFTAAQIMAITRSKAYNCSFSTNDMNYPSFIAVFGANDTSGDMRFSRTVTNVGAGAATYRAFSVSPSNVEVTVSPETLVFTEVGQTASFLVDLNLTAPTGGEPAFGAVIWADVSGKYEVRTHYVVL >ORGLA01G0324500.1 pep chromosome:AGI1.1:1:27812158:27813636:1 gene:ORGLA01G0324500 transcript:ORGLA01G0324500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSHAHALAFLVLVVLMPQMASPGKLPRFTMGPRTNKQLRDFFKNHGSDMADLVPSGQGGHEQGGGSSSNGQSQQGGGDSGGGGGGGNQAPATNAGMYVFSYGIGTPPQQVSGALDISSDLVWTACGATAPFNPVRSTTVADVPCTDDACQQFAPQTCGAGAGAGASECAYTYMYGGGGANTTGLLGTEAFTFGDTRIDGVVFGCGLKNVGDFSGVSGVIGLGRGNLSLVSQLQVDRFSYHFAPDDSVDTQSFILFGDDATPQTSHTLSTRLLASDANPSLYYVELAGIQVDGKDLAIPSGTFDLRNKDGSGGVFLSITDLVTVLEEAAYKPLRQAVASKIGLPAVNGSALGLDLCYTGESLAKAKVPSMALVFAGGAVMELELGNYFYMDSTTGLACLTILPSSAGDGSVLGSLIQVGTHMMYDINGSKLVFESLAQAAAPPPSGSSQQTSSKTNQQAGGRRSASAPPPLISPAVFVIHFMLVVVYMFL >ORGLA01G0324400.1 pep chromosome:AGI1.1:1:27807826:27810461:1 gene:ORGLA01G0324400 transcript:ORGLA01G0324400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRITVLAIALLVLILSPQMAVQGKPAAGNTASPRPKQQQLGNFFKKHGSDMAGLFPRHRNGGSSGSYSGQAVPADGGENGGGGQSQDPATNTGMYVLSFSVGTPPQVVTGVLDITSDFVWMQCLACATCGADAPAATSAPPFYAFLSSTMHPRFAHLTSRIVDEDSPCGYSYVYGGGAANTTAGLLAVDAFAFATVRADGVIFGCAVATEGDIGGVIGLGRGELSLVSQLQIGRFSYYLAPDDAVDVGSFILFLDDAKPRTSRAVSTPLVANRASRSLYYVELAGIRVDGEDLAIPRGTFDLQADGSGGVVLSITIPVTFLDAGTYKVVRQAMRARXGCAPPTARSSGLTCATPASRWPRRRCRPWRSSSPAAPSWSXRWGTTSTWTRPPGWSAXRSCRRRPATGRSSAAXSRXLSLLSCRRRADFTKVVHFDNVSSGLGGKLFAVWRSEFGETGGLGIAWEGLNLGPSAWMGCXNEPKLHRDGLCQTWTKRFTNRIEEKLAL >ORGLA01G0324300.1 pep chromosome:AGI1.1:1:27799343:27806654:-1 gene:ORGLA01G0324300 transcript:ORGLA01G0324300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:I1NTQ3] MDEGSADAGASGRRSRARGSEAVARSAALERLRAIRDGGARAAAAVQVRIEAPIYDTVAEEDYAALVARRRKDAGAFIVDDDGLGYADDGREEDWTHRTIHSSSDEGSDGEDGAPRKRKQPRPQSKRPPQQSAAAASLSAAAAMMGKQRLSSMFTSSVFRKPGSDRGRDSSLAADSIVDDVIAEFAPDDNDREERRRRVGRVCAPAPAPTTTAHIKAENVAVDTAMAFRSDNVFEAHEVSDHGNDMDMELKPDVEMEPKLDTPLGASAELANNSNSLEEPKQEANGEVKIEKVHRLNAKIKTEDSRNGDMASATAGWMKICGDGDNAGGEGAVAANSNTGVDESSEFELKDGALPFYILDAYEEPFGANSGTVYLFGKVEVGKRFHSCCVVVKNMQRCIYAIPSSSIFPRDTISRLEKNSTTSDSSPSLRASLHELASGLKSEIADKLSDFNVSNFAMTPVKRNYAFERTDLPNGEQYVLKINYPYKDPALPTDLRGQHFHALLGTNNSALELLLIKRKIKGPSWLSISKFVACPATQRVSWCKFEVTVDSPKDISVLMTSTTLEVPPVVVAAVNLKTIINEKHNVHEIVSVSVICCHCVKIDSPMRSEDWQKRGMLSHFTVMRKLEGSIFPIGLSKESSDRNQKAGSNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVPSNMWSKIGRLRRSVMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLAETQLKKERKEVSPHDIPPMFQSSGALLKLVEYGETDACLALELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHARKFIVPDKFARSKEFNSTKRKMNPDTEAARPDEADPSIDDEGHHVDQGKTKKGPSYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVDRSADGNVPNLPASKTTGVLPELLKSLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKGIAGKVIQEVNKKYRCLEIDLDGIYKRMLLLKKKKYAAIKVALDGSLRE >ORGLA01G0324200.1 pep chromosome:AGI1.1:1:27798030:27798812:-1 gene:ORGLA01G0324200 transcript:ORGLA01G0324200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEQSSDTQSASAATMDEHHSPVGGGGNAEGPDTPRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLYPAAATTTTAAPPPAPVAAASPSAAIYPGASQSAEYLRYQMLLQGRLTTATPNQGTLLPFYGGGGGGGSMTNPYGGGGGGAMSGFLGSYYSFPTPSVSVATVPSSTSSAPGNYYSSHGGSHQSMSAAEEWNWENALVYPATAASWSESSYHHQPPAAAAHAV >ORGLA01G0324100.1 pep chromosome:AGI1.1:1:27793334:27795986:-1 gene:ORGLA01G0324100 transcript:ORGLA01G0324100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEESTSIPLSQAAEAVDPEDPAKSPPRPSSPTTSTRKACCAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYAKLGIDIFGLGFLTSLVFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASLEPTPRKSQNIRLNRIMT >ORGLA01G0324000.1 pep chromosome:AGI1.1:1:27788875:27792542:1 gene:ORGLA01G0324000 transcript:ORGLA01G0324000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEISESELLQVVQPFGTVAKLVMLRAKNQALVQMEDLASAVNVIQYYNTIQPSVRGRNVYLQYSSHQELTTDQSSHGRNPDQEEPNRILLVTIHHMLYPITIEVLHQVFSPYGFVEKIVTFQKSAGFQTLIQYQSRQSAIQAYGALHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRSRSSQPSYNDPSSLFGFQQPGDPYAQMSKAAMIAAAFGGTLPPGVSGINDRCTLLVSNLNTDKIDEDKLFNLFSMYGNIVRIKILKNKPDHALIQMADGLQAELAVLYLKGAMLFGKKLEVNYSKYPTVTADPDARDYSTSHLNRFNSNVVKNYRHCCAPTKMIHISALPQDITEDTIHSLVGEHGTIANSRLFETNGKTQALVLFESVEEATEALVEKHASKLDRTNIRISFSQMQNI >ORGLA01G0323900.1 pep chromosome:AGI1.1:1:27785035:27787012:1 gene:ORGLA01G0323900 transcript:ORGLA01G0323900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:I1NTP9] MSHNHGDTIPLHPSSAQSDMDEIESLIYAAPSATVLPARPPSPPRASIPVSTSPAPLPAPAKPSLPGASVPIIVPQAPPASVSVPIASDGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVILKIIVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGFLIIAID >ORGLA01G0323800.1 pep chromosome:AGI1.1:1:27774300:27783710:1 gene:ORGLA01G0323800 transcript:ORGLA01G0323800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G43620) TAIR;Acc:AT1G43620] MGSSGEAVAEWGKEGEGGLRHRWRAEAVGASSSFAEGMGEFVLRSMDARFSGSADADGFPSSRHPGFGHSKSTTATSDCSKGQEHVFVRSYSDRLLKCDLTLDMLSENEKIKIIENLVKIQNDGTLEVDVRRSALIASELSEIDAFGSLSRDIVEAAPGLSKSVPKLKIVILVVGTRGDVQPFIAVAKRLQEFGHYVRLATHANFRTFVKSAGIDFYPLGGDPRILAQYMTKNKGFCLAGPTEISVQRKQLKEIIFSVLPACTEPDLDTGLPFRAQAIIANPPALGHLHIAEALGVPLHIFFTFPWTPTNEFPHPLARMPQSATYRLSYLILDLVIWWGTRGFINEFRKKLKLAPIAYFSTYHGSISHLPTGYMWSPHLMPKPNDWGPLVDVVGYCFLNLGTKYQPPQELSQWLQQGPKPIYIGFGSMPLGDEKKVTSVILDALRETGQRGIISRGWGDLGSFSEVPVDVFILEDCPHDWLFPRCAAVVHHGGAGTTAAGLVAGCPTTIVPFFGDQFFWGERIHAQGVGPAPIPIAELTVEALSNAIRFMLDPEVKSRTMELAIAIGNEDGVAAAVDSFHRHLPAELPLAPPPTDVKEEQLDFFQWFSQALEKCCFPFNP >ORGLA01G0323700.1 pep chromosome:AGI1.1:1:27769913:27771900:-1 gene:ORGLA01G0323700 transcript:ORGLA01G0323700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELERQWRAHRPATQMEPRVGVNGGAVDGRRRCWSSRRQSGDHVADGHVAGDGVGVPAEGAPADHADGAPGGKSGDHVAGGRVAGGRVLLSPSGTSSLRRSHRRPPPPHSLGAQRQRRSYLDGIGGRRVSRRYPSRWYTGPVTVILDSTSGSELRYASIADYPLLHDNGPPPPASTPHLHSRAKDHASSSSSSTQADAVSTQRTECTLSTAIRTRASSTTPCLELTTGAHRYGGDKGKRKEDREIEEEGGRDSYWPPLQDEATKRQPVSGAKSSRFAGDGNGPRRVAWRT >ORGLA01G0323600.1 pep chromosome:AGI1.1:1:27764895:27765254:1 gene:ORGLA01G0323600 transcript:ORGLA01G0323600.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVNRYQSQETVLVSQEDRISQQQRSLYYRVGVQSCWAADRSRTHNCITKGKRKPRLGPNITGATWELGRNPKTHRSRLAPGRTPRQWDPLHLLQQLGGNYLYRARVSTGVLSKPWEISI >ORGLA01G0323500.1 pep chromosome:AGI1.1:1:27761289:27763847:-1 gene:ORGLA01G0323500 transcript:ORGLA01G0323500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRVMASSSPSHTASDLALFAAGRGGGGSAGLGSMNVEEILRGIYADMPTPALPLVGGDRPMSPLPVPDVAAAPRTAEEVWKEITGAGVAAAAGGVVPPAAAAAAAPAVVAGAGAGTGAEMTLEDFLAREGAVKEDEAVVTDPSAAKGQVVMGFLNGAEVTGGVTGGRSRKRHLMDPMDRAAMQRQKRMIKNRESAARSRERKQAYIAELESLVTQLEEENAKMFKEQEEQHQKRLKELKEMVVPVIIRKTSARDLRRTNSMEW >ORGLA01G0323400.1 pep chromosome:AGI1.1:1:27756184:27760312:1 gene:ORGLA01G0323400 transcript:ORGLA01G0323400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPRSLRKAAVPPSLLSDPSPGSLQPTRLAVHVNAAGSSCSAYLASGCRVYKIEIAMEVEMLSKGKESLLIPINAEVISSSVVDRCPHRSEIQSVVLAEGEGDGCLILGTVDSYGHLIVSRLDTVADDIDRASYSVPPRDCGVGEGSWAGLCFSPMHQSTVAVARELCKCIDIYDQDIHVRSLRTLWYPSSFSFAQCMPQVNESGSMLAIAEGSQLSIWDLRTSNNGGCVHRISGPIGGIIYSVCSSPSGPIAVGGTDRTVTIYDPRRWSALSRWVGCSKYEITGLSFSSVDESFIYVQGVDYEITCGLWKGNERAFSFRGDSNWLGFSKCANTDVVAGWCESGSVFVADVRQDCLSVIG >ORGLA01G0323300.1 pep chromosome:AGI1.1:1:27752237:27752868:1 gene:ORGLA01G0323300 transcript:ORGLA01G0323300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNILSTNLTIEVQTLAALYCLLADVCLRESLVFVIDSFTNESVQYTFSVLQVDISRKIKHAFCPPKLAEGNPCLEYIKYIILPWYGKFEVVREKEDGGNKTFLSMEELTADYVSGVLHPGDMKLASQIH >ORGLA01G0323200.1 pep chromosome:AGI1.1:1:27741566:27743383:1 gene:ORGLA01G0323200 transcript:ORGLA01G0323200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:I1NTP2] MSFRSIVRDVRDGFGSLSRRSFEVTLASLYGLTGHHKGKTQSSLDELDDSPAIIPESRWASLPPELLREVIRRLEADESTWPSRRNVVCFAAVCRTWREMCKEIVLSPEFCGKLTFPVSIKQPGPRDGMIQCYIKRNRSKSTYHLYLCLSNVVTAEGGKFVLAAKRHRKTTCTEYTISMVSGNISRSSRTNIGKLRSNFLGTKFIIYDTQPPYNGAVVPHVGRTSKRFNSTKVSPKVPSVTYNIAQVSYELNVLGTRGPRRMRCMMHSIPASSVEPGGIVPGQPEQIVPRALEDSFRSTTSFSQSFRSTTSFSKSIMDPSMDFSSARFSDINGSIMGGDDNGEIKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLVAAPSPPPAGAPTPSQPGPADPEKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >ORGLA01G0323100.1 pep chromosome:AGI1.1:1:27732041:27733462:-1 gene:ORGLA01G0323100 transcript:ORGLA01G0323100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAAAEEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRTTKRTIPFLFVRGDGVILVSPPLRTA >ORGLA01G0323000.1 pep chromosome:AGI1.1:1:27730561:27731073:-1 gene:ORGLA01G0323000 transcript:ORGLA01G0323000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BolA-like family protein [Source:Projected from Arabidopsis thaliana (AT5G09830) TAIR;Acc:AT5G09830] MGVTKEDVEAAITSSLSPSNLVVTDTSGGCGASYEIEVVSEKFEGKRLLERHRMVNTALAPHMAEIHAVSIKKALTPAQAQPQPEPAADKAPQA >ORGLA01G0322900.1 pep chromosome:AGI1.1:1:27727060:27728389:-1 gene:ORGLA01G0322900 transcript:ORGLA01G0322900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADGGEGAKPKRPAPRLNERILSSLSRRSVAAHPWHDHDTGADAPAVFNVVVEISKGSKVKYELDKKTGFIMVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGCFLRARAIGLMPMIDQGEKDDKIIAVCVDDPEYRHYNDLSELSPHRVQEIRRFFEDYKKNENKEVAVNEVLPVTAARDAIQYSMDLYAQYIEHLGQ >ORGLA01G0322800.1 pep chromosome:AGI1.1:1:27724128:27726604:1 gene:ORGLA01G0322800 transcript:ORGLA01G0322800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEADAYRTDLMTITRYVLNEQSRNPEARGDLTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEEDEEATFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKENVTLEDVLQPGKNMVAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDEPTAKFVEKCKFPKDGSSPKSLRYIGSMVADVHRTLLYGGVFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQSFTGKERALDLVPTKIHERSPIFLGSFEDVEEIKGLYAAQAK >ORGLA01G0322700.1 pep chromosome:AGI1.1:1:27719363:27722452:1 gene:ORGLA01G0322700 transcript:ORGLA01G0322700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKPSSSGGGASSSGGGGGSGGPEAVVLANATDVSHFGFFQRGAAREFIVFVARTVAQRTQPGQRQSVQHEEYKVHSHNRNGLCAVAFMDDHYPVRSAFSLLNKVLDEYQKAFGDSWKAATKDATDAAQQWPFLTDALTKFQDPAEADKLMKIQRDLDETKIILHKTIESVLQRGERLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >ORGLA01G0322600.1 pep chromosome:AGI1.1:1:27718332:27718742:1 gene:ORGLA01G0322600 transcript:ORGLA01G0322600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:I1NTN6] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ORGLA01G0322500.1 pep chromosome:AGI1.1:1:27717461:27717658:1 gene:ORGLA01G0322500 transcript:ORGLA01G0322500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMASHQGIPNVCNGLLCWVSPGNKDVCPCSLKAFCFEFSTSRIAGLNDCTSSFVFSLEDNLFLCT >ORGLA01G0322400.1 pep chromosome:AGI1.1:1:27715349:27717262:1 gene:ORGLA01G0322400 transcript:ORGLA01G0322400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPMNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGFTLPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELDTARSSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIGDRMSKEITALAPGSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPGIVHMKCF >ORGLA01G0322300.1 pep chromosome:AGI1.1:1:27709724:27710308:1 gene:ORGLA01G0322300 transcript:ORGLA01G0322300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEQLQQHARRLLSNGRVVSAAAAARRPAPFSSLDATVITVLSLLLCVLVVGLVLHAIARCAFRVTRRVCYGQEPPGDHGDEAAAERCARVARKKPGRAIAEKIPAIVCPVGGLDRLAGCGSTECAICLAEFAQGHRVRVLPRCGHGFHARCIDRWLAARQTCPTCRREPFAAAAAVQLQVYPDAAGGQHETP >ORGLA01G0322200.1 pep chromosome:AGI1.1:1:27690171:27691133:-1 gene:ORGLA01G0322200 transcript:ORGLA01G0322200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYAPRPPSLLVDRRYKQGAEAAPNCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKSSSSARSAADAVSSGRDAAFGHRFPGPVRPDVVLEGMVGNPANPGQAMPDVAAAADGSTIDLAMLYAKFLNHPPTDAGLGAVTPESGGHVDEAFDTFSASSDLSPGILAAASAQFDPNQDGFGEWSSPASGNDPTSTATTATTSMLCTDASVQAALGELNFAMDQSCFDSLGLPTDVAGAGSLSSWCSIVPSLSTWEEPKYDSLDSFPDDAMSLHECMIGAPDHDWSVDCQGLEALYMP >ORGLA01G0322100.1 pep chromosome:AGI1.1:1:27681758:27682972:-1 gene:ORGLA01G0322100 transcript:ORGLA01G0322100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1NTN1] MASAAAEVPSYFVCPISLQLMRDPVTLPTGISYDRAAIARWLAAPGARRTCPVTRQPLEHGLELTPNHTLRRLIQSWAASVSPGSAVDEEVAALRPVSSDEVASLLSDAAAAQVGALRRLRELAAECEDSRAMLESQGGVFDVLSRVVTSGSACSTAREEAVGVLASLRIPEQELIGVSTRHGNLAESLTAVLRSSNLQSRAHAVQLVRTLADAVVPAWVIGLNAELLAEVVGVVRDRVSARATKASLHALAALCPYGRHRVKIVGAGAVAALVELLLDEPERRVCELALAVLDRLCTCAEGRAELVAHAAGVAVVGKKVLRVSEAASERAVRVLRSVARHAATPAVLQEMAQCGVVGKLCLALRSEQCGVKTKEKAHEVLKLHSRVWRASPCLSPSFLALYPS >ORGLA01G0322000.1 pep chromosome:AGI1.1:1:27675198:27680738:1 gene:ORGLA01G0322000 transcript:ORGLA01G0322000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPLRDSLRRLCTDVGWSYAVFWRATRAADSQRLKLVWGDGHYERAAGAPSISGFEAMDLLLKEKAAALRSGTGRGGGGGEGHAADGAAGHGHDRVDALVHKAMAQQVHVVGEGVIGQAALTGLHRWIVHDIVDECEEEDEVLLEMKGQFCAGIQTIAVIPVLPRGVIQLGSTKMVMEEAAFIDHVRSLFQQLGSSTAVVPCGSFVQDSIMRTPFHKSLGVPTSSHSEDLAGGGNTYNDDMINHQFRHQKSPASTIQSFNPVQQFYAGPTFCRPVTIASRCDLFQPDHGSTFTLNSQSEDNRSTALLKNSVSHSKTSNDAFSHAFNPLNEPNVSISGRRECVSIEQHGSCRNGEMEITIGRTASSSCTGKTNIINKVDDLLSQDCLVDCQASNATSMNRKFQTMSIVDNTKLQDGSYAIPHAALVDSTRYSDCFQSLLGTIQGSSSSNSNAIHVDTSHNAVHGKSNFCPLGDRNAANSSDLAELLASPIPLELTGGNDLFDVLQLQQKPNGSNNSEVNNRQSMPYGSEQAVKSLIGCVDDDFTGLITEADPDQLLDAIVSKIITGHKQNVDTSASCSTTVAGFDRPLHSDCHLYTTGPSSGPIFCNFASVAPVAIKTEGPAAGSRQSSSSIDKSAGCSQTQESYKSQIRLWVENNHSVGSDSLSTGQASDSLSTGQCKRSDEIGKSNRKRSRPGESARPRPKDRQMIQDRIKELREIVPNSAKCSIDTLLEKTIKHMLFLQNVAKHADKLKKSGEPKIVSQEEGLLLKDNFEGGATWAFEVGTRSMTCPIIVEDLNPPRQMLVEMLCKERGIFLEIADQIRGLGLTILKGVMEVRKDKIWARFAVEANKDVTRMEIFLSLVHLLEPSTGSSILSAGVENTSLPRDSFFPSSIPATGFSNCL >ORGLA01G0321900.1 pep chromosome:AGI1.1:1:27672848:27674069:1 gene:ORGLA01G0321900 transcript:ORGLA01G0321900.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRWTRAPPLGPQVERLLHPREPRDGVREPPRERVGEPTAGGGAVVDLRASAVVIAVSTPSGPTATEGIGAADAPALGRSGGGGGDEGDGRRSKQSAVLICSNPSQTLIHTPMPLPKSTRPSVTASPELIKAQTAEFAVEISISSELKRTTVLHPPQKRRRLPPNRIVSCNT >ORGLA01G0321800.1 pep chromosome:AGI1.1:1:27668945:27670366:-1 gene:ORGLA01G0321800 transcript:ORGLA01G0321800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGVGGSMHVVMLPWLAFGHILPFAEFAKRVARQGHRVTLFSTPRNTRRLIDVPPSLAGRIRVVDIPLPRVEHLPEHAEATIDLPSNDLRPYLRRAYDEAFSRELSRLLQETGPSRLDWVLADYAAYWAPAAAARHGVPCAFLSLFGAAALCFFGPAETLQGRGPYAKTEPAHLTAVPEYVPFPTTVAFRGYEARELFKPSLIPDESGVSESYRFSQSIEGCQLVAVRSNQEFEPEWLELLGELYQKPVIPIGMFPPPPPQDVAGHEETLRWLDRQEPNSVVYAAFGSEVKLTAEQLQRIALGLEASGLPFIWAFRAPPDAGDGDGLPGGFKERVNGRGVVCRGWVPQGKFLAHASVGGFLTHAGWNSIAEGLANGVRLVLLPLMFEQGLNARQLAEKKVAVEVARDEDDGSFAANDIVDALRRVMVGEEGDEFGVKVKELAKVFGDDEVNDRYVRDFLKCLSEYKMQRQG >ORGLA01G0321700.1 pep chromosome:AGI1.1:1:27667495:27668282:-1 gene:ORGLA01G0321700 transcript:ORGLA01G0321700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHALRAAEARVWHGGLRATETWEWRRQALERRRRRATTGDSSKGAGPRQKATHRHCPGHLAAPPRDRTTPSPSTVAGIVLRARSCTSSPHRLNPRSSSGSGVYGSGGGGRTHVEKRAVRTTSPPHPPAHGHRHGTDATAGLKGNPYAVGVDAVMEFPGNPWYGPDRVIYLRPLSGEFPGYYG >ORGLA01G0321600.1 pep chromosome:AGI1.1:1:27657614:27661273:-1 gene:ORGLA01G0321600 transcript:ORGLA01G0321600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uricase [Source:UniProtKB/TrEMBL;Acc:I1NTM6] MADRLELQGRHGKSRVRVSRVWRRPAAAGGHVIVEWNVAVSVVSDCLPSYTSDDNSAIVATDSIKNTVYVKAKECTEIVSMEEFAVILGRHFTSLYPQVSEATVTIAERPWERVVVDGKPHSHGFKLGVEKHVTEVIVKKSGNLLINSGIQGYSLLKTTQSGFEKFVRDRYTLLPDTRERIVATEVTAWWRYPFEHVSQIPSKSFCFTQRYQDVKKVLADTFFGPPDVGVYSPSVQNTLYLMAKEVLNRFPDIASVQLRMPNLHFIPVNLGNKENPGLVKFADDVYLPTDEPHGTIEATVSRPKSKL >ORGLA01G0321500.1 pep chromosome:AGI1.1:1:27634244:27639543:-1 gene:ORGLA01G0321500 transcript:ORGLA01G0321500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMDQYEVMEQIGRGAFGAAILVNHKTEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGTYFPEEKLLKWFAQLALAVDYLHSNFVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKADDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSMKSLIKSMLRKSPEHRPTASEILKSPYLQPYVNQYRPFADISHPIHSLEKPITSSRSSQKSMSGSQCSSICGSDIDSIQSSERNTSGPSTSSNNTIDTEGAEATDHVSVKNCSRSDDVKSNKETVGPELERQDSSKSIHVDQRPRNEIKQPKIIKKILTTLREESKLRQNNSPIRASRVKLNSPSNREQLSDDSKHSSDISSSSKSSEVTSRESAKVICEPVKRAQASPPLKHLSPIVEHSPKAKIKQDEPLQPDPAKQAMEDVDAAVGKVKNRTSPSYSRRLSIPPRRPLGAESPLHADTKRAHNKVIKERAKSPCRPVHGPDNDIIEPPGFPMAPPSPLGGVQMKVGNARAKSAPPRAVSIKEDSSDCSSSTIAYAENTKLSEPSKQDSSAQLVSSCKCSIPDAAIQKHDLTAMPSSELNTTNFQKSMASNDDVCENLALEPSSDISEQVSIFKDNVPCSKISQSTANAIVQNDEDKFTVQELLSSVADIAPFVSTKNFALEKGSPPIQSLERTSSPHLNPPIEDVIHVIRHSSFRVCGEQAVAENAEMGVQSSDVGKLLNVVREEVDSRSIPSNNLVPHRLPDCAAPKPNISETNTISSKTACSDVVKFLTVPEVNSTTTAINNGFKEEASPTKEILDVKSFRQRAEALEGLLELSADLLQHNRLEELAVVLKPFGKDKVSPRETAIWLAKSFKGMMNDEASRSSM >ORGLA01G0321400.1 pep chromosome:AGI1.1:1:27632757:27633422:-1 gene:ORGLA01G0321400 transcript:ORGLA01G0321400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSGQELHVEHGGGDPPPYPQAQPAALHPQRRRPSAFRVLVRAFIAACTVVVAVAVLVWLIYRPRAIQVAVDAATLSRFALNTTANPRPVLSFNLTAGLTIRNPSRRTAVYYDVLSADGFYRGLRFGAAALPLSYQGGRRADAVRAVLVGSSGVVSWDAGAFGEDNHTGVFPVTLWVLGAVRYKYGGLMTTSATMLSARCPLALKLVEASSRVECTVISF >ORGLA01G0321300.1 pep chromosome:AGI1.1:1:27628871:27629554:-1 gene:ORGLA01G0321300 transcript:ORGLA01G0321300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTRTTARRSRRHRRQRTTAQRRLRPRHAGAAAHRLLCCLFRVLAVAVIALGTAVLVLWLIYRPSGVKAYADTAALSRFDLTNGGSLLVYNLTVGMRVRNPNRFGINFRSVDAQASYDGDRFGYAPLQPFYVGRKSDARFDVTLSGSAAIDDRDVERTYRRETAQGSYEVKVRVYARQGFKVRGFRLNNKSKFTCTLNLPAPSSGNGTASGTPTTVFTRKQPKCDVDY >ORGLA01G0321200.1 pep chromosome:AGI1.1:1:27627307:27628020:1 gene:ORGLA01G0321200 transcript:ORGLA01G0321200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVYSKSQFKGYINAPDQVSSGEMDNLAQKEAFLKFFAEQLLHYLNKNREVATTPIPNQTRVGDSMQNEGRRPLGRSSRIPAWGSEVSGGGKRRDRRGAMKRQGPRGLSAPDLDD >ORGLA01G0321100.1 pep chromosome:AGI1.1:1:27622713:27623438:-1 gene:ORGLA01G0321100 transcript:ORGLA01G0321100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHAMANNHEHKVDHLDQPFYGPPVLPPVEPPSAAAARRRCVADPYALCCRAIRVLTIVVIAVGVVALVLWLVSLPNALKAYVDSAELTRFELGGSDGAKRGQLLRYNLTVAVSIRNPNRDQAVLYRRLEAVVLYSGERFGYVDFPRTRQGRKSTMVIRPSFVGQGVLAGAAAFGREKEEGFFNINVKLHMRVRLKVMVFVDSVEYRPDVDCYIRVPDPSNATAVAMGFTATRCRVDDFM >ORGLA01G0321000.1 pep chromosome:AGI1.1:1:27619581:27620036:1 gene:ORGLA01G0321000 transcript:ORGLA01G0321000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDARMVLALALTAGVFVALLSLLVAVLVRRWWRRREAVASSRGFVLFGICFNDKESQQLRMARPSLERNRRWPSRERQPGEAEDDDQEPDQCELERWKKMFGGPARSLSTIDEGTEKGTTPITTPAFCSPAASPDRRDARSLQTMSIAV >ORGLA01G0320900.1 pep chromosome:AGI1.1:1:27609987:27610673:-1 gene:ORGLA01G0320900 transcript:ORGLA01G0320900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRRGSFSLRQPPVVDIGCNCRRPKLFSIFSSSSSSSFRRGGSKPKSPNASSTSTTTAFTATTGGAGTATSTDSSWGPASFTTNSLFEEPAAAQQEQEQLETRRRRRQQRRRRRRAGTTSFARGGDVGGHDDEQQQLQEQAPYRRVAKESVAVAVESAEPYEDFRESMVQMVVEKEIYAWDDLNDLLHQFLSLNSPRHHPLILHAFADLWTRNGLFSPPSPCQF >ORGLA01G0320800.1 pep chromosome:AGI1.1:1:27600982:27601716:1 gene:ORGLA01G0320800 transcript:ORGLA01G0320800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGRLALSSFFHNKARDTSPSPPPAPATAPPWVWPSCKNPRTQSFRAATAPPPPPGSRTIASIFLDSAESSFTTSSARHDCSDSLSTASEASAGAEAADTADDAIVRGLRSSDRLLFDPGASATSSILEEKSSGAAGEASFIGGVAVAFESEDPYVDFRVSMEEMVVAHGVGNWGWLEEMLGWYLRANGKDTHAAILAAFIDVIVAIADPALASCSSHRRSSTCTITEESSLEVAEKQAKLAV >ORGLA01G0320700.1 pep chromosome:AGI1.1:1:27585106:27585420:-1 gene:ORGLA01G0320700 transcript:ORGLA01G0320700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPAPPPAASGLPSDDTHELGGDAVDDDEDDSLRGDVFAPLTPPLSPPGRTVADGPPPPPPRALDRLISLRHSSLELLPLFLLILAASTNTTSDHCTQLRQQH >ORGLA01G0320600.1 pep chromosome:AGI1.1:1:27579600:27582469:-1 gene:ORGLA01G0320600 transcript:ORGLA01G0320600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGANARRRRRRLVDRGSDRLAFITGQAQSLPPDPSPDSPLYTVDAASLQLSERQLNEVGIGDDIFNYITQLQKSESGVQPTSEAHLETHKEKHQGNESDLQKLKTSNVVPEIQPVNEKAFQRHSEETLRKKNSHDRSASTQPMREMETRPRYVPPNQSNQSDSAGWSVETLKEILNFAPHEITQAISATEYNRFLASVVIGFLVVLSNWGLDVGGTITKVLVATRPILFLIVTNITIVFTLLMENKDPNVRGRPAGSNLGSADNLGQMLEIGLLLQKALSALLIDCSVCAVIMICFI >ORGLA01G0320500.1 pep chromosome:AGI1.1:1:27577541:27578307:1 gene:ORGLA01G0320500 transcript:ORGLA01G0320500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYGAAAGGGPVARRPWSKVEDKVFESALVMCPEDVPDRWALVAAQLPGRTPQEALEHYQVLVADIDLIMRGAVDAPGSWDDNDGNDRRGGGGKPRGEERRRGVPWSEDEHRLFLEGLDRYGRGDWRNISRFSVRTRTPTQVASHAQKYFIRQANAGARDSKRKSIHDITTP >ORGLA01G0320400.1 pep chromosome:AGI1.1:1:27569729:27570133:1 gene:ORGLA01G0320400 transcript:ORGLA01G0320400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDGKNRTLQEHSSAAAHRIARFGETNPQKAGEKPRETPRFQSECAVHLAAVPRHMAVCIPARPASDVGGIGATGIPKSRPPETKHRPLVNRPENICCCYGGLPVKAIQAVYTVHGLMPCIGLKCSGSMLCPRM >ORGLA01G0320300.1 pep chromosome:AGI1.1:1:27556689:27557276:-1 gene:ORGLA01G0320300 transcript:ORGLA01G0320300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSTKLSKIDEAAVTTEDGVEGDSRRLLSPRQVDLDDVVWCGRRTAYLTNGSGVAEARTAGSGAPKLGMVGSATSEFRVSGSGAPEFMVAGTVRGRVGDVEARRRPEISGDGRRGLSMVLGSGVVPRSPMVLEPALSSSLARYDPDLAWWRREGGGDPDLEWWRHGGDRGRWVKEAATVGGGQRRQRPGGGGRRSR >ORGLA01G0320200.1 pep chromosome:AGI1.1:1:27553129:27554463:1 gene:ORGLA01G0320200 transcript:ORGLA01G0320200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGRRAPGFRFYPTEEELICFYLRNKLDGLRDDIERVIPVFDVYSVDPLQLSEIHHEMLGGGGEEGEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGVVYSADRRPIGMKKTMVFYRGRAPSGTKTAWKMNEYRAFHYPDASSASASSAGAAAPPNHLPPQLRSEFSLCRLYTRSGGIRQFDRRPLAGGGDENPGPSMAAAAASPEENDGSGSSMQQLELMDQGGAVDPDWDQWDDLATLTALLYWPRD >ORGLA01G0320100.1 pep chromosome:AGI1.1:1:27542549:27543208:1 gene:ORGLA01G0320100 transcript:ORGLA01G0320100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF584 [Source:Projected from Arabidopsis thaliana (AT3G15040) TAIR;Acc:AT3G15040] MDRSRHKNSPSSERFLGSFLPSAAAGDQPGSAAFELDEDDLFASGAGSPERPQPSRRPLILSAVRAANPSPLPRLRRPPEGILDALPERRSPFSPPPSSSSNSSTTASPAAAAAAPPRLIPTIPRPAAALAPHIPQSAPVNVPVAQFRRLSVEALMDKAEDDDDDDEEMLPPHEMVARARARDSPMTTFSVLEGAGRTLKGRDLRQVRNAVWRKTGFLD >ORGLA01G0320000.1 pep chromosome:AGI1.1:1:27540749:27541384:1 gene:ORGLA01G0320000 transcript:ORGLA01G0320000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSMQALIRAQATVRAHCTGAGAAANLPHIHHAPFWPRRSLQERCATDDTRSKQGVAVYSRRLSTSIESSSYGYYRSPKIVEVDIGRPKSRSSSSRRASSPLLDAGCASGGEEWCANSMSSLLPCYLPGGAAAPPPRIAVPTSRHFPYYDWCTLEKARPAMVXSTPRYAHAPLKQRP >ORGLA01G0319900.1 pep chromosome:AGI1.1:1:27535019:27538697:-1 gene:ORGLA01G0319900 transcript:ORGLA01G0319900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sorting nexin 1 [Source:Projected from Arabidopsis thaliana (AT5G06140) TAIR;Acc:AT5G06140] MSQERSQSQSPRSPASAAGVPFLSISVTDPVKMGTGVQAYISYRVITKTNLPDFEGQEKIVIRRYSDFEWLHDRLAEKYKGIFIPPLPEKNAVEKFRFSKEFIELRRQALDLFVNRIASHPELKQSGDLKIFLQADEEKMDRERSYETGIFKKPSDFLQMFKDVQSKVSDVVLGKEKPVEESSPEYEKLKNYIFELENHLAEAQKQAFRLVKRHRELGQSLADFGKAIKLLGACEGDSLEKVFSEVGSKSEMLSVKLQREADNLLFNFEEPLKDYVRAVQSIKATMVDRANAFRQHHDLFQQKEYKGVNLEKLKFVNPDKFSELEAEVRELTADSEEATKRFEHIVAVMNEELARFQEQKTADIGFAFHEFAKGQAKLAKDIADAWRGVLPKLEACSTS >ORGLA01G0319800.1 pep chromosome:AGI1.1:1:27533925:27534671:1 gene:ORGLA01G0319800 transcript:ORGLA01G0319800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRQLLVHRLSCSGLPASRCKTSCWSSGERLPGLKSRVRLLAVAIKPPRAAAGKDEIVRADDDDDGVSLGTVKLPANIDIARFETLLFQWGNSLCQGATLPLPVPLRVDKVEGGVRLGFMAVDDGVTQVLVYIDCLVSPATAASGPVFRAIRNGPMKDQEPPGEPRIMRSLLEALQKCIQYAQV >ORGLA01G0319700.1 pep chromosome:AGI1.1:1:27530981:27533179:-1 gene:ORGLA01G0319700 transcript:ORGLA01G0319700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRASSSSLRSRLLSSSSAAWSPWSHVLSSSVHSEASNQTETLAFDEIQLSPEKPSTATAFVLHGLLGSGRNWRSFSRALASELRDRSPSDEWRMVLVDLRNHGRSAGIKGLRPPHDMSTAARDLADLVKARGWAWPDVVVGHSMGGKVALDFAESCSRGDYGESADLPKQNSFGCLILFLVK >ORGLA01G0319600.1 pep chromosome:AGI1.1:1:27526974:27528668:-1 gene:ORGLA01G0319600 transcript:ORGLA01G0319600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATVGGGGGEPEAAAEWRARAVGGMEYGWYRAVPGGTGTTLLALRLARGAEAAVASATVQAALRAILDAHPVLRARLRGSASGSPTLAFPSAAAPPPPPLALELLPVPESAPDFPSLLEHELNRNPWTAAAATATASEHEPDAPPVLFATLYELPPPAGGGSALFVRIHTAACDRAASASLVRELLAQLAGDGAAAAAASEPEDAAVRASLEERIPQRDSWKPFWARGLDMVGYSINGLRTSTLPFEVTGTERSTQMLRLGFDRDETTRLLDACKQNGVKICAAMAAATLLAARQSKLQLASNQQETYSIATLINCRKFLEPALDDHNVGFYHSAITNTHAIHGGEELWELAKRCQDSYTNAKNNKKHLTDIADLNFLMCRAIENPQLTTGSALRTAVVSVFEEPVVYDLSDLQSKAGVEEFVCCATVHGVGPSIGLFDSIRDGQLEFACMYPCPLHSRKQMQEILNKVKQILHEGSIGDDESFEDCT >ORGLA01G0319500.1 pep chromosome:AGI1.1:1:27522175:27526244:1 gene:ORGLA01G0319500 transcript:ORGLA01G0319500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSPSPRRRGRPTSPSGSPPLDRTPSSAKAAGGGATTTVSPYALARSPSVSAAEADGDDGVVRVYGSDGCPVAWRVRVALLYKAAAPVHFTPSEAAPLGRPVLRLSASDPELCGTADELLRHVDARFEGKPLVTPPERPARVSAAAAAAEEVAELVRLQHRSAERHLEGVAAKVAEMVKKGAKKAGKGAKVVVVEGAEVRRLGKWYGDAMEVMLEHARMEETVLFPDIQRASFPGVLDKANEQHGRHLPMMNGIKEDIKTLLTLELGSSLFQEVLVNLSVRLKALQDHTKEHFKEEERELLPRLEGVRRMQREEGNVSDKSNTAWASEAMGTMEMTHSKLFPFFMTGLLPQEAMQYLDLVCRCTKNTRHLVSMLRSLAERLEDANPAIIHNNPTKLYEHLLVKSP >ORGLA01G0319400.1 pep chromosome:AGI1.1:1:27518207:27519028:1 gene:ORGLA01G0319400 transcript:ORGLA01G0319400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAAAAANQKQQKASIGRRAWRLLRLAVLWVRKGSAVHSLCLFSNLRRAGVGLGAVSGGGRSERLRYGEPEYSIEETPSARVLCLIPCIAPAIPNTPGFYGDEDRYFFCHWDTEPECSSVGCYDYIENNVLKTEQIVQVRHGARGDVTILPTLVINNVQYRDLFCYDYNGEPSECGGVEDESFPDGTMDEQLLELSMPTPASARRSAR >ORGLA01G0319300.1 pep chromosome:AGI1.1:1:27507985:27515766:-1 gene:ORGLA01G0319300 transcript:ORGLA01G0319300.1 gene_biotype:protein_coding transcript_biotype:protein_coding IMGNPNDIEDLFGDSISSESESSPNDDEFCDNEDSESSYVGISKDELLNSLKRKLSKKKNTDEGTSKRKKPKQXMLISKISWIQPCLRYIEIMFXMEXKGNSILIKARKIQXRVLQLXILNXQGFLXNTFLMCXLHCLNIRERLFPKPVSKLSYSLKNVPCHVNLLYGWHKELMSPLVISSLEIKSFHFPKNQFILFWVCLLEIXRLVQILKLANRSFLTLSASLLCPLSNILERSLSKMKQCLMSKSXCVSXLYPXIASCAQNSSLQPSTKYLGVFEDLSCIDNLDWSSLVFDXLMKHLSKLDKSKTFGGCFYCLVVNYLDFLNFGMRLVPKDVPRIKVWKGSMIKIFSKFDKISKGVYGKRPVKDFSECCYKMINYLLIQHFGPDEDCIDERAKNLLVDVFVLLSNSKPIVPENTNVNLNEDDKSKFNDDSSMVKDQNTCNDNAIGNEQTPMKISSSPKDKIAVVDGIMKKLSKPDDDFPNEEKHIKTQVTPKHQDNSNTIPKDLSQDFDDNSQSQLNNSTNEDKLVMITLEDTDTEILTQHNEKENLNIEQLQKKINAFVVKPDKKLKMSTGSPERILLCNVDKSVGQCSTSQKPKHDLRRILQPARYYTDPYSPERHSFPVTQYDRQVYNAVCKISKSNFQDKVAVDIDGVHCKFYTFGDSFKPSGELSNFVACVFCRYMFRSCHPSKLKKHYFFSSIGDDLLKDRSMTNFSAVKKCFDGASLARPVHTCDLLFFPIVKSSHWVVLSLIXNLKDLFFWIHCMMRRASIMLNXDLNWLYSLIXRFXMNMVGLAGLYGGLLVVYLLVD >ORGLA01G0319200.1 pep chromosome:AGI1.1:1:27506699:27507181:1 gene:ORGLA01G0319200 transcript:ORGLA01G0319200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDNIKRKSIIPVSESSVLRSNILSRKFCEITSRGAKTIPAYEFLMEEADKINEKLNDLIDNDNEVGVDEVNNDQDANMNDNSENNVVQDERLPEEDFIEDPDIANSKGRPRQRYKTIREQIAEQESYHCSHCGRTDHTFPTCPFKHIEFDLPRKKKRKV >ORGLA01G0319100.1 pep chromosome:AGI1.1:1:27497857:27502044:1 gene:ORGLA01G0319100 transcript:ORGLA01G0319100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACLSSSPSWWSSSNATFFLACRRPPPWQEQPPPQRSTATNPRHHLRPRLRPYLSRSESTLPMQDTLGDRGSSSPSPLRLLPPPPPPRLPERLSGKIRPCDGRRRVNAGRMLAGRPKNVVAAAGAPAMRVELERDLAGMGLEEAPAADLGLVLPLVESSRSYIGFSSEKATSSPMGMVIDSGGKSGSGGGGGGGIRGGDASPLSPAAMTVSHDTFLSPAPAPATPHTKLSRGPYIVTLQIQSDHVKEIASYQLRGLTLSRAGGWLAGPRQRRLLRRRRRSGSRLRLLGGGELAEAGLESADSVLGAAELAPAPGEVGGEAAVDEDVGSPHVWVGLRVLLRLPPLLLQLRDHAASICRHPSLRRRSLRLLLRLPRRLRVLTVPGGRRHEALLGAWSGIGQRDKASNELRDSRRPRMMGF >ORGLA01G0319000.1 pep chromosome:AGI1.1:1:27492221:27494146:1 gene:ORGLA01G0319000 transcript:ORGLA01G0319000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVRASSLLLGLLLQLLSSVDVVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLMAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGELGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAVRTASLTLLLLVLPLLSSFSC >ORGLA01G0318900.1 pep chromosome:AGI1.1:1:27485530:27486103:-1 gene:ORGLA01G0318900 transcript:ORGLA01G0318900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSPLSPLSHLFLSSHLQSLERGAAATAHREGGGGGCSRAVAATANGRADAASRRSGDEAPSGRSYGSSSSRRRDGSRHAHAHRDAFAAAAAYLDYIVDNADEFGGTRWAITKFSWDVKYAGVQILAARIRRDSNKHLALT >ORGLA01G0318800.1 pep chromosome:AGI1.1:1:27485290:27485460:1 gene:ORGLA01G0318800 transcript:ORGLA01G0318800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVATPVPPSPPPPPGLCSTLASAPPAHARAHMVLCLLPVPLQCLPVPLLVLPSHQ >ORGLA01G0318700.1 pep chromosome:AGI1.1:1:27477852:27478349:1 gene:ORGLA01G0318700 transcript:ORGLA01G0318700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:I1NTJ7] MATMPAPVATCFVPATSGVRCRAFSTPITNYSARGVVADPPKLLSRPGNLQLTSGGARFSGRFRASAAAVHKVKLIGPDGAESELEVPEDTYVLDAAEEAGLELPYSCRAGSCSTCAGKLASGEVDQSDGSFLADEQIEQGYVLTCISYPKSDCVIYTHKEEEVH >ORGLA01G0318600.1 pep chromosome:AGI1.1:1:27476011:27476928:1 gene:ORGLA01G0318600 transcript:ORGLA01G0318600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSRMFSAMQMLIMVVVALAGLAAGARAGDIAIYWGQNGNEGTLAQTCATGNYRFVIVAFLPVFGKGQTPVLNLAGHCDPASNGCTGVGADIKSCQSLGIKVMFSIGGGVGNYGLSSRDDAKQVAAYLWNNYLGGTSPSRPLGDAVMDGIDFDIESGGGMYWDDLARYLKAYSRQGSSKKPVYLTAAPQCPFPDASLGVALSTGLFDYVWVQFYNNPPCQYSSSNGVGNLASAWKQWTSIPAGRVFLGLPAAAEAAGSGFVETSDLVSKVLPVVKKSPKYGGIMLWSRYYDGLTGYSDKVKSSV >ORGLA01G0318500.1 pep chromosome:AGI1.1:1:27472255:27473228:1 gene:ORGLA01G0318500 transcript:ORGLA01G0318500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALTPMQLIGTVFVALLTTCHAGGIAVYWGQNDGEASLAETCASGNYEFVIIAFLPKFGKGQTPRVDLASHCDPASGGCTGQSKDIRACQRRGVKVLLSIGGGDGSYGLSSPGDARQVAMYLWNNFLGGSSSSRPLGDAVLDGIDFDIELGGAKFWDDLARDLKSLGRSGGRRVVLSAAPQCPFPDEWDGGAISTGLFDAVWVQFYNNPECQFSAGRGAFMDAWRKWESVPAGRLFLGLPASKDAAGTGFVPAGELNSRVLPLIRGSPKYGGVMLWSKYYDDQTGYSSAIKSHV >ORGLA01G0318400.1 pep chromosome:AGI1.1:1:27468265:27470892:1 gene:ORGLA01G0318400 transcript:ORGLA01G0318400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDALREAISQITSESCEKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKSTAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVIRVF >ORGLA01G0318300.1 pep chromosome:AGI1.1:1:27450110:27450331:1 gene:ORGLA01G0318300 transcript:ORGLA01G0318300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWRGGVAAGGKRPPGPALPRRSHGHGRWSGLVAVVAVWIGGARKRGRHAMGWERTRALQLQSIATSGEVFR >ORGLA01G0318200.1 pep chromosome:AGI1.1:1:27447580:27448833:-1 gene:ORGLA01G0318200 transcript:ORGLA01G0318200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G67190) TAIR;Acc:AT1G67190] MEHLPVEVIGNILAHLSAARDVMVASGVCRKWRTACRKHLHSLSFNSDDFPRDMTTRQLEIVITQTIFQTMGLQCLSIHIDRTHEFSAAPVIAWLMYTRETLRSLSYNVRTNPNVNILEKCGRQKLEVLDLDHNTITGVEPSYQRFTCLKSLSLRHVSISALDLSLLVAACPKIESLALDFLEVVTSDPQSTMELTSHTLKSLFAKSVGVDKIILDTDNLEVLNLNALNLDLFELIGKGTLKHLKIDDVSVTHMDIGESTDHLEVVDVSNFTIVRPKLYSMISRASNLRMLRFWGVVFDDEDEIVDSETIAVSFPLLRHLSLSYELRDGLLHYSLQGSSPLENVSVLELGWTVISEHFGPWVFGMIERCPNLKKLVIHGVLSEAKTREERQMLASFTSFIVCLMRKYVHVDVQFEYE >ORGLA01G0318100.1 pep chromosome:AGI1.1:1:27433386:27439844:-1 gene:ORGLA01G0318100 transcript:ORGLA01G0318100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGEESSWRMAASTHHERAIPLNQALAYGVQAHASPSVAAAPPASFLDFQPAAAAAAYFGELEEALIHGANAGGVVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGGDHDKRKHGSTRKDGKSVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGLFLGGCSAAGDMSSGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ORGLA01G0318000.1 pep chromosome:AGI1.1:1:27429841:27431172:1 gene:ORGLA01G0318000 transcript:ORGLA01G0318000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGISRLIGLKAAVFLSVAYFFHRLDMTLLSFPLIYASVIALLVSIASHPSIDLPLLLGKGSNGSFPVWSWIMFSPFLLFIHLFVLLRRFVKNEPLYTEIADGVYVGGWPSSVERLPPGEPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSQIESAVRWALRKRSQNKAVYVHCAYGHGRSVCVMCALLVALGLAEDWKAAEQMIREKRPSISMNSLHRKSLEEWAKHLLTPSKRSGESDVSYAILSDHTRKRH >ORGLA01G0317900.1 pep chromosome:AGI1.1:1:27426290:27427809:1 gene:ORGLA01G0317900 transcript:ORGLA01G0317900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMSKNVKVTDDQEVTSQERDQSGGTKVGGEEEIAPLARQSSILSLTLEELQNSLCEPGRNFGSMNMDEFVANIWNAEEFQATTGGCKGAMEEAKVVDSGSGSGDAGGSGLCRQGSFSLPLPLCQKTVEEVWAEINQAPAHTSAPASALQPHAGSGGVAANDRQVTLGEMTLEDFLVKAGVVRGSFTGQAAMGSGMVNGPVNPMQQGQGGPMMFPVGPVNAMYPVMGDGMGYPGGYNGMAIVPPPPPAQGAMVVVSPGSSDGMSAMTHADMMNCIGNGMMIENGTRKRPHREDGCAEKTVERRQRRMIKNRESAARSRARKQAYTVELEAELNYLKQENARLKEAEKTVLLTKKQMLVEKMMEQSKEKMNANRGGSQLRRSGSCMW >ORGLA01G0317800.1 pep chromosome:AGI1.1:1:27409030:27410399:1 gene:ORGLA01G0317800 transcript:ORGLA01G0317800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSKLVLITAVALLGWAYKVARPPPPPILGGPGGPPVSSPRVQLKDGRNLAYREAGVGREIAKYKIIFSHGFASTKESDFPVSQELAEELGIYLLYFDRAGYGDSDANPKRGLKSDATDVEELADKLQLGEKFYVVGTSMGGYVAWSCLNYIPYRLAGVALVVPAVNYWWPMPASVSASAYRKLDVGDRRTFWIAHHMPWLFYAWFNQKWFRISPIVEGKPEAFTEKDWEILAEIQRTGQLDRGRATKQGAYHSLCRDATILFGAWEFDPTAIENPFPNGDGVVSIWQGREDKIVRVEAQRYVAEKLPWVRYHEHPEGGHLFMCADGLGDKIVRELLLGEEPRDL >ORGLA01G0317700.1 pep chromosome:AGI1.1:1:27400614:27402204:-1 gene:ORGLA01G0317700 transcript:ORGLA01G0317700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGLRTCSAMEGEVVAASPFFEWLKPPPRPASSSSWSSSFSSSSSMASRDQETVVPGEDGGGEIQEDHKSGMTCLPLLSMLEEGNSKRHEHPVKEEIMSSAHAAGVVEPGVELNIGLPVTGSSAQEVTMEEDDEEEDDDDVGEEEMDEWKPMHGGCKVEGDEEQYGEAVASVEGSSSITAVGDMFGGVGAESGVAMSSRYWIPTPAQILVGPVQFICHVCNKTFNRYNNMQQMHMWGHGREYRKGPESLKGTQTLAMLKLPCYCCAAGCKNNVAHPRARPLKDFRTLQTHYKRKHGAKPFRCRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGAHHLPVAESAAAAATTPADKDRIISFQR >ORGLA01G0317600.1 pep chromosome:AGI1.1:1:27387851:27389032:1 gene:ORGLA01G0317600 transcript:ORGLA01G0317600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPLRRPFAVLLFVVLCAAASFPSVLRRSVGPAPVLAPLPPLDPARLNATLLRLAAADPSEAPLRRDVDDLLEGRLPASSARARAWRLRGDRLHLHLRHHQFPVYRRGHHPDHDHDPLLHPLPRQELLLDPSLRRALRSWHRLRRHDPGVLRNLPSLLSLPGRIPSCAVVGNSGILLGASHGALIDSHAAVFRLNNARISGFAANVGAKTNLSFINSNVLHLCARRPNCFCHPYGDGVPILLYICQAAHFLDVASCNASSRSLHAASISVTDPRLDVLCARIVKYYSLRRFVAETGRAAEEWSSTRDAAMFHYSSGMQAIMVAVGVCDRVSVFGFGKAADAKHHYHSNQKAELDLHDYKAEYAFYRDLADRPEVVPFLNDAGIAVPPVVFYH >ORGLA01G0317500.1 pep chromosome:AGI1.1:1:27382048:27384845:1 gene:ORGLA01G0317500 transcript:ORGLA01G0317500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27590) TAIR;Acc:AT2G27590] MGKSGKKTESHRQGRGRRASRFRGGGGGGDEDGDELPSSAYDAPPPHHEDSDGDDTDEAAAEDEHEGGVDGGDQEQREVGSMPSKFHLYQLSVQSPKGDISYLQKFFLMYVGGRVPLHFQEDFCGTALLSAEWLRTDARRTAVGLDLDLESLEWCLENNLSKIGANGYSRMLLFHGNVLQPNVARLVKQKICDAMQDLHVNNDNDSLASKICDQLDPASPKCSANSTMSDLVLPARDIICAFNYSCCCLHRRKDLVLYFRHAFNALSKRGGIFVMDVYGGTSSERKLRLQRRFPSFTYFWEQEEFDIISHQTRISLHFQAGKKQMLRHAFTYHWRLWSIPEIKDCLEEAGFKSIHVWIREMPNTQSSGNAKEYNANRDVKYEELQHFNQADAWNAYVVGVANI >ORGLA01G0317400.1 pep chromosome:AGI1.1:1:27380147:27381613:-1 gene:ORGLA01G0317400 transcript:ORGLA01G0317400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPATGAASSSSASAPAATSASSSAMPRGGVALGLPAHPRAPQTPVGYTGFVPPPTLAAQFGSMHRGPDQPPPSSTQPRQPSPGIQNIGTVGSINTSQVRPGAISSLPQQTRPNFPSSTAPSPSDSQIASSQ >ORGLA01G0317300.1 pep chromosome:AGI1.1:1:27377565:27379800:-1 gene:ORGLA01G0317300 transcript:ORGLA01G0317300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSMPLQQTPPNVSAPLRPPQHRPHPRPYHAPAISHPQNALLTQQQQKLPQHQHLQQQQQQQQQQKLQQQQQQQQQKLQQQQQQQQQQKLQQQQQQQQKLQQQQQQNQPQHSSQQSQQTTTLRNQQQISQQQTARTPVSMAQKLDSPAVLKATNVQSGDMASVDVDAGGSGNRLLSKRSIHELVAQIDPSEKLDPEVEDVLIDIAEDFVESVATFACSLAKHRKSSILEAKDVLLHAERSWNITLPGFSGDEIKLYKKPHVNDIHRERLTLIKKSMASESNAKGSAAQAAANQKNQTPKPPATGSP >ORGLA01G0317200.1 pep chromosome:AGI1.1:1:27356761:27358335:-1 gene:ORGLA01G0317200 transcript:ORGLA01G0317200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEAEALHGAVEALAGSLQPHVATAFFVFSACTVALAALLAVVRLRPPWWCDCTVCEAYLTASWAGEFDNLCDWYAHLLRTSPAQTVHVHVLRNVLTANPVTVDHVLRARFDNYPKGAPFSAILADFLGRGIFNVDGDAWLFQRKLAAAELASPALRAFAARVVASELRCRLIPLLHSASREGNGKVLDLQDVFRRFAFDSICKISFGLDPGCLELSMPVSTLVEAFDTASTLSARRATVPMQIIWRLKRFLNVGDERKLRDAVRLVDALAAEVIRQRRKLGGAATGSDLLSRFMGSIDDDKYLRDIVVSFMLAGRDTIASALTAFFLLLSDHPEVATAIRDEVARVTGDGNRTMAATFDKLKDMHYVHAAMYESMRLFPPVQFDSKFAAGDDTLPDGTVVAKGTRVTYHAYAMGRMESVWGPDCAEFRPERWLRDGRFVPESPYRYPVFQAGVRVCIGKELALMEMKAVIVAVVRSFDIEAIARSSRRPKFAPGLTATFAGGLPVRVRRRRARASGHNPPI >ORGLA01G0317100.1 pep chromosome:AGI1.1:1:27354021:27355270:-1 gene:ORGLA01G0317100 transcript:ORGLA01G0317100.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQGQLATAGGQRARRRAVGDGRQRSPTWIATAHHLGCXGVGSVGHRASGKVAELVICPIYANLPAELQAKIFEPAPAGARKVVLATNIADAETPYNPRTAMESFLVAPVSRASAEQRACRSGIQVDRDSDTIGAYVCNPATRRWASLTQPATPWPRRHDGAFIAFDPAVSQTRRLACGVVGRTPTLDLLIPESSSGEDELAPSPEERTLLLRVFSVSHAAAASASQVVGCSCQVWLRRRAPTTRRRELPCSPREGERERVKEGKRRRR >ORGLA01G0317000.1 pep chromosome:AGI1.1:1:27352490:27352855:1 gene:ORGLA01G0317000 transcript:ORGLA01G0317000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTARGRTQVPQLTDDKDQDNRTRVASAGDGDSDHLEERELRERAIAEFQRLVEYTFRLTHTPEAFVPVGWTAGLDRPESTPRLVPGDPIGTDAPVRTSPSCRKKKSCRLRSDGDGWAL >ORGLA01G0316900.1 pep chromosome:AGI1.1:1:27339138:27347838:-1 gene:ORGLA01G0316900 transcript:ORGLA01G0316900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPEATGAPPQTEGEEIKDGIEVCVFDESPEVFSRAVHAISELTTGEHEPNFPDAEVERLASSITFLREWRHFSYEPKNVSFTCDARSAPSRVDTHKINLPQFSSASVPQITHLDNGKAKTDRSSMKHEMRDLNLILKALQTCLKISVQSPKLLRYLAVAAHPPGSSYHKIGMPLIGRGIIQVWCLLAPSEEGHPHQSLVACNKYNPSNQPKQRGXXXXXXXXXXXXXXXXXXXXXRPRKYPLPIAKVEDSSQNDRSQEFALIDPLVSPAVVSCDIAIAYPMPTVNPVESTPRKGRGRPRKKPVEIKGLSGIKLTEDLSTALSPIALTCMEPKKKRGRPRKYPAPSNSKHLPGTDTELGNDSVCQPGSIDFGLGPTENTGSGANITHAAVDAASAVPLSGQRGREQPEKEVIHIENSMQSGQSGIGSMLPTYILPESGNKSNSTGLRRRGRPRKKPFPSTTSCVFVPGTETPKKGSTLTNSNNLVVLAKSNCDILANDIGRSSCAIENSVHLSVGTSHAATPAQGICLAKCKEESSAKKGRGRPRKQPISTECGCSKACRGEEQKTQTIPKSGDNASLVENCKKESCPGKGRVQDKKKSVSNERSSVVLSVEAQNMDGSSASTAYTSCCTPACNFENAETNQAVSVPSENSAQVIDELKDTEVARFKESTKDDNMICSAEKTLSRVPKDISLPRVVLCLAHNGKVAWDVKWKPPSANQSEHKSCLGFLAVLLGNGSLEVWEVPSPSMIQKIYSSSSKEGTDPRFLKLKPVFSSAKVKCGNRQSIPLTVDWSPSHDMILAGCHDGTVALWKFSANLSFQGSKPFMCVTAESAPIRTVSWAPSVSKENVNTFVTAGEDGLKFWDLRDPYRHLWELTTAPRAVISLQWLKDARGVVISLEDGTLKFVSLSRIANDVPVTGRPFVGTKTQGVSTYQLSEYLIWSVHASEITGYAAYCVADGTAVCFELTPRFWEKEPGRNRVPYFLCGSLSEEGTTIKIGTALPNSPLSNVPLGTKRATKTCKDVAQLHVIEEGKLLTNSEYNGAINPSIRDGQQDEPDEGQETGAIVLAAPSMQENFGTSTSRGSESPENFEVFPPKAVALHRLRWNMNKGSEKWLCYGGAAGIIRCQRI >ORGLA01G0316800.1 pep chromosome:AGI1.1:1:27336515:27338310:1 gene:ORGLA01G0316800 transcript:ORGLA01G0316800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVFIFNFDQDPLNSLQPSSSSKIYSAQQRTGKSKKQSHKLHKSQIPGHGHIQQRLHPGLSSSQNCATAHYGAVTGLRTTTDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYCSAQEQELYTGSNDMQILVWSSTPAFTEMGSHVYYYCTVLHPAVSPEISL >ORGLA01G0316700.1 pep chromosome:AGI1.1:1:27328673:27330136:-1 gene:ORGLA01G0316700 transcript:ORGLA01G0316700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPGGWELIEPTIRELDAKMREAENDTHDGKRKCEALWPIFRISHQRSRYIYDLYYRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >ORGLA01G0316600.1 pep chromosome:AGI1.1:1:27325394:27327631:-1 gene:ORGLA01G0316600 transcript:ORGLA01G0316600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTAMTVDFLRARLLSERSVSRAAKERADQLAKRVAELEEQVQAVTAQRWQAERAATEVLGILESHGFGGNLSDVLDSGSDRDGEEDDDPRDARSDGDTAGSRGEEQPPAQCEAAEDALSGTAEPGGGLSWKGRSTAPEEQRGDVEEIAWSQKGQQDGSDCTDDGQADMDGEVAGGQYVIRYEKDGEMERVLERQAELIGQYEAEEEAQRQWEKQFNENRSSAKVHVEAENKACQIENGWEQSKEHSRLADQAVHCNEEAKPGVKIHPSASNNRSAGLLLNGSLPESPQDTSGQEAAADQRDSHEELHGHCHAQSQGNSNVAGTMTGKNQEQGNENPDGCSSYCDIKAPSDGSPSMSDATLNSKVSDWSSSRFHDHGDNQVDAGPDQQPTSNMDIECVLQALQLARISLSQKLSKPVPPSQVTLALPAPGDHEHSEDDGYSPSTTSSILQEMSSAAQANLLIRRYWLSLHQRITMTGRICLPMTTLPFHXQRNRPVQAHTGRRSWLSRL >ORGLA01G0316500.1 pep chromosome:AGI1.1:1:27316461:27320984:1 gene:ORGLA01G0316500 transcript:ORGLA01G0316500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleobase-ascorbate transporter 12 [Source:Projected from Arabidopsis thaliana (AT2G27810) TAIR;Acc:AT2G27810] MSSSSGAARRARPGPWPPAPPPQPQAQPLSWAKRTGFQSRVSGESVAIASASSSGQVSLPRPAEAPSDLESGPPARPNSALPPPPAAAAANAEAKPQPPPPPPPARTRRRDSDGGRPNGQAAAAPLPQLLEEEDDGAPERPKYELRDSPGVCKCSKEERCVAVPIAVYGFQHYISMLGSIILIPLLMVPAMGGSPDDMAAVVSTVLLVSGMTTLLHTFCGTRLPLVQGPSFVYLAPALAIIYSPEFFGLNHNNFKHIMKHLQGAIIIGGAFQVLLGYTGLMSLFLRLINPVVISPTVAAVGLSFFSYGFTKVGSCIEMGLLQLLIVVMFALYLRKVKLFGYRVFLIYAVPLALGITWAIAFVLTATGVYSYRGCDANIPASNNVSAYCRKHVLRMKSCRVDTSHALRSSPWLRFPYPLQWGTPIFSWKMGLVMCVASVIASVDSVGSYHASSLFVATRPPTAGVVSRGIGVEGVSTVLAGLWGTGVGSATITENVHTIAVTKMGNRRAVGFGAIVLILLSFVGKVGAFIASIPDVLVAALLCFMWAMLCALGLSNLRYSAKGSSRNSIVVGLALFLSLSVPSYFQQYRLQPNSNSSVPTYFQPYIVASHGPIHTGSSGVNYILNTLLSLNMVIAFLVALILDNTVPGGRQERGLYVWSEAEAARRESAVMKDYELPFKIGHAFRWVKCVGL >ORGLA01G0316400.1 pep chromosome:AGI1.1:1:27299840:27305788:-1 gene:ORGLA01G0316400 transcript:ORGLA01G0316400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G08230) TAIR;Acc:AT1G08230] MGAPSREDEEAKKMEAGGDTVGQKLDAGALFVLQSKGSWLHCGYHLTTSIVAPPLLSLPFAFASLGWAAGLICLVIGAAVTFYSYNLISLVLEHHAQQGRRQLRFRDMATDILGPGWGRFYIGPIQFLVCFGAVVACTLLAGQSMKAIYLIANPGGTIKLYVFVAIFGVFMMILAQMPSFHSLRHVNLISLVLCLAYSFCAVAACIYLGSSKGAPEKDYSIAGANTRDRVFGVFNAIAVIATTYGNGIIPEIQATVAAPVTGKMFKGLCLCYAVVVTTFFSVAISGYWAFGNQSQGTLLSNFMVGGRAVIPEWLLLIIELFTLLQLSAVAVVYLQPTNEVLEGLLSDPKAGQYAARNVAPRVLSRTAAVALGTTIAAMVPFFGDMNALIGAFGFLPLDFAVPAVFYNVTFKPSKKGAVFWLNTTIAVVFSALAVVASVAAVRQIILDANSYKLFANV >ORGLA01G0316300.1 pep chromosome:AGI1.1:1:27295950:27299352:-1 gene:ORGLA01G0316300 transcript:ORGLA01G0316300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KDO transferase A [Source:Projected from Arabidopsis thaliana (AT5G03770) TAIR;Acc:AT5G03770] MRTARTPASAARGGRALYELYRAASRAAAPAALLWRRLRGLEHPSRWPERLGRPSVARPRPGSPLVWFHAVSLGEGMAALPVVRHCARLHPGLPILLTTTTLSSFEVMKDLLPDGVIYQFAPLDCPDAIESFIGYWKPNLILLMESELWPNLILSAAEKGIAVVLLNARMSLKSFNRWSLPLGLQLVSLMLSKLSLVIPLSTIQAVRFQLLHAPPQIIHFAGDLKYAVGDIAAGEKEVAAIEDLQQQFSNRPIWMAASIHKGEDEIILRVHDELTRAYPTLLLILVPRHPEDSKNVSQTLKKQKVNFVLRSTREVVSSNTSIYVVDTLGELRMLYRVTPIAVIGGSFLPGLAGHNISEAAAVGCAVMTGPSVGHFYHMLVEMWQINPLAVKQVKGEYELLEALKQLLGDSRALEACQRAAKDAFSFMSDGVVNRVWNLVHPFTIGSQTDTCDSFSSS >ORGLA01G0316200.1 pep chromosome:AGI1.1:1:27290351:27291599:-1 gene:ORGLA01G0316200 transcript:ORGLA01G0316200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:I1NTH2] MRQAANTMLPSHSPAATHQLPTSPRRSTRPAPPPPCPCPRAGAAPAAESLLPGCLRAESLPRHVAVVMDGNARWARARGLPSAAGHEAGRRALEETVRLSRAWGIRALTAFAFSNENWSRPKVEVDFLMRLFERVIHDSVAEFLREGIRLRVIGDSSRLPVSLQKIAREAEETTRNNSQLDLTLAISYSGRRDIVQACRKLAQKVQSNALAAEDIDEALFADELETSCAADEFPYPDLLIRTSGELRLSNFLLWQSAYSELFFTNTLWPDFGEADYLEALCSFQSRDRRFGVRKL >ORGLA01G0316100.1 pep chromosome:AGI1.1:1:27280212:27287444:-1 gene:ORGLA01G0316100 transcript:ORGLA01G0316100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carboxyl-terminal domain (ctd) phosphatase-like 2 [Source:Projected from Arabidopsis thaliana (AT5G01270) TAIR;Acc:AT5G01270] MAMPRAPPQAAVPGGGAGAAAGGVTMRMFHGDVFLGEAEVFPMKQGAEGSLPFPSNEIRISHLSPTSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMDIEDDPVRIAGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQERVLRPVIRLPERNAILTRINPEVRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEANLISSHNLAERVVCVKSGSKKCLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQPRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTNFAPNNKDVAPIPEGMSGAEVGKRLNGLAYPRDQKQIPSSTRLSDDDGVALRGIPGGTNIQSNGGSLATTPSLFVTVLQEIGRLCESRVEFRSTVSSGKNMQFSVEVLFSNEKIGIGIGKTRDEAQILAAEKALQNLESNYLSFMAPVAGVLNKDVNKPPGSGNGFLEDITLSEDISMEEPSGSTLKEQDHSKALDRLSSVISLIRELCLEDQHVVFRDQVRDSGSALNGEYHFQAELGGQILGRGIGLNKDFAKLQAAEEALKTLKTTTDPQIKKHLRPMQQLNFPLYPTQR >ORGLA01G0316000.1 pep chromosome:AGI1.1:1:27277594:27279247:1 gene:ORGLA01G0316000 transcript:ORGLA01G0316000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAVVCRGGGLRAPARRGGSDSSTTRAGGVAASPAPATTARRRPLLVASLGEPLITAQPLSSSLGDGAAVHETLARSDSVIPSLKPSHCVDHSVQVDADEETGSTKTLPPPDDVPTKTVHVKFVLQKRCAFGQRFLVVGDVAALGLWNPAKAAALDWSEDHVWTVKKELPAERSIEFKFLLQDRSGHVEWQHGRNRILHVADTSNTLIVCEDWDEAKNQQVSEEIGDADGIFSGSDGVFQEDELQLGEEQETNKGVTVGVDDAKSALVTYIYREMMGANDAIQPQLALDKHHKIPDELSGEANMAAQDGNHTATAAAASGFAGSNGEDAILHKEGDPVENNRLGLASIFFNDMAWTRKALQQLLRSLGFQIGTRKT >ORGLA01G0315900.1 pep chromosome:AGI1.1:1:27273138:27273614:1 gene:ORGLA01G0315900 transcript:ORGLA01G0315900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWRAVMGAGASSADTDTTGGVEFWRSPERAGWLTKQGEYIKTWRRRWFVLKQGRLFWFKDSGVTRASVPRGVIPVATCLTVKGAEDTLNRQFAFELSTPAETMYFIADSEKEKEEWINSIGRSIVQHSRSVTDAEVVDYDSGRPAASVAANGDK >ORGLA01G0315800.1 pep chromosome:AGI1.1:1:27268018:27269280:1 gene:ORGLA01G0315800 transcript:ORGLA01G0315800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVDALVTVVVVAVVAAADDDDGTTVKLKPPAEEAGAGTENNDPDVAGEAVAADGVDALVAEAEANAGAEAEDEPNGKLREVADELAAALVLENNEGAGAAGEVAKEKPVDGADAGVVGAAELLFVKEKAGAEDAEENKAGAVLAVVVVADDGVKPNDGAEAAVAGDDEKPKDAVVAGDEAVVVLKSGAEVVDPNSAEPVPAPNPRAGEEAEVVVVLDAAAPVFNPKPKDGVEAAAVAAVVVPDAAEPKPKPVAAPEKRLGAEAADAAAPNRPGAAAAAEVAPKGLGAVAAEEAPPNKLGVVAGEEVAPPKMLGVDAADDAAPNTLGVVAVAGVEDAPKMDGADAAAAVDWPNEKPVDPKPNGDGDEEVAGAAAEAAGADPNREEPKVGAAAEEEEEKREEPKAGAEAGAGEEAAAAG >ORGLA01G0315700.1 pep chromosome:AGI1.1:1:27262404:27267384:-1 gene:ORGLA01G0315700 transcript:ORGLA01G0315700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFFWCSPTTTAITPVASQAPKLPSEIVGKNVEQIIRDWNNELQDRTAKFRKHATAISEWDRRILQNRNVLIRLEAEVAKVVETQTSLERQLELIETHQKEVDKALQSMEEEAERVFQDERLLLREDEAASARDTMFEQSETVENELQHMTEQVKSIIQTLNATQGAEFETADSMTPFDVAVRILDNQLRSLMWIDEKANEFSSRIQRLPNNSAAAERDSGMPRFWLS >ORGLA01G0315600.1 pep chromosome:AGI1.1:1:27254758:27260147:1 gene:ORGLA01G0315600 transcript:ORGLA01G0315600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPREQAEEAIVADSNGKEEEVGVMGVSAGEHGADDHHGGGGKFSMKNLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQLFYGFMGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALLNGQAEGITHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSASAMYWAFGDELLTHSNAFSLLPKTGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHILTYRTASARMNAAEKPPFFLPSWTGMFVLNMFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPAPALAQSPVPLPHH >ORGLA01G0315500.1 pep chromosome:AGI1.1:1:27231721:27232120:1 gene:ORGLA01G0315500 transcript:ORGLA01G0315500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVKRILLLSSPLNCPGWLLLSRQAPVAFEPLDRKAGRALGISFSSSSYPSMTRSNRAYRLATHAWLGPGQFSVESSSACICKPGPMPFDD >ORGLA01G0315400.1 pep chromosome:AGI1.1:1:27220179:27223494:1 gene:ORGLA01G0315400 transcript:ORGLA01G0315400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRSATASASTAGTASPTAIATPRSAKRRLTSPRRAAGSPDASQFTSPHKSPNVGIVGTPKLLSASPRSSRKRLYGDFVAAEKPKWNPRDPAQMQVVKEALHVATVPSCGLVCRDDEQSRVLEFCKGCVEQERAGSLYVCGCPGTGKTLSINKVKESVARWADETGMETPDALSINCTSLAKTHEIFSKILAKFQTRKKATCKLSPLQQLQTMFSHKESAPRRMLLVVVDEMDYLITRDRAVLHDLFMLTTYQFSRCILIGIANAIDLADRFLPKLESLNCKPLVVTFRAYSKDQISDIIKHRLKVLEYDVFEPLALEFCARKVAAASGDMRKALGVCRSAVEVFEARLQESSDQEFGLVTFDHMDIALSKAFKSPVVDSILCLPQHQQMVLCALANTFHHCKKKATTLGELNKSYIEICRSTQVPAVGMLEFSNMCMVLSDQGFMKLGQSKEDKLRRVMLQIDSSDITFAFKGNRFFQKCLEQQKF >ORGLA01G0315300.1 pep chromosome:AGI1.1:1:27210385:27211809:-1 gene:ORGLA01G0315300 transcript:ORGLA01G0315300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKLSPVSPVRPEDKQRASSSSSSGAAAAPLRGQDDTAVEEYDQYLRLPELARLWKDRCCPEWADEGLVKPALQALEITFRFISVALSDPRGYASRRELARRLEALAAREVELVAALCEGEQCPPLAELSASKGVLPRERSASEVWKIPGSAAAVVCQVSEASLLPRLAAWDKSETVAARIKYAIESQMQGCVFTLGLGEPNLAGKPVLEYDRVVRPHELHALKAKIAPEPKTGYRNKENEALFTIHQILESWLCAASQLLTRLNNRIEARNWEAAASDCWILERVWKLLADVEDLHLLMDPDDFLRLKSQLAIRAAPGSDASSCFRSRALLHVANATRDLKKRVPCVLGVEVDPNGGPRVQEAAMRLFHSRRRGEGEEAGKVELLQAFQAVEAAVRRFFFAYRQLVAAVMGTAESSTNRALFSPAEEMDPLAQMFLEPPYFPSLDAAKTFLADYWVRRMPGDGDSASSRRS >ORGLA01G0315200.1 pep chromosome:AGI1.1:1:27204350:27206413:1 gene:ORGLA01G0315200 transcript:ORGLA01G0315200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGMPHMYFASSSHGTGAHYQSPGGAPITMAVPDMGFLVAGIGMAPSSFVMPEGALAASYSAMATVPVGVVVPQQQSSRFGGNNGNPGSFKGAWTRQEDEVLKQMVILHGDRKWATIAKSLPGRIGKQCRERWTNHLRPDIKKDVWTEEDDRTLIEAHKTYGNRWSVIARCLPGRSENAVKNHWNATKRSLKSKRRMKKKSVQVVNSPPGQLSPLEEYIRSQYPSAVETTPPPPAVPAPPSDVIVHGAGSVSAGPTVATQEPTGTNPSEMGIYLGLGNPAGPTTQQLAAMNLNMSLAPDLNAYNDQREGYYLPFVPQGNLHYGMHVPAPPVQQQQQQGISVDQGLHSSCLSLYHPFPGTHPVSLDFGCQSSNHANAGGYYSEAGPSSGSGSGDPDDVDVIQMASRQFLMPSEAEVTLDLTRFK >ORGLA01G0315100.1 pep chromosome:AGI1.1:1:27169231:27170874:1 gene:ORGLA01G0315100 transcript:ORGLA01G0315100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQVAAVTSSPCAVTTSSSSSLSPSSSAAATTSRRHGVLGGVRLSRGQSSLASWSVGMTRRRAGGHQMARRALSASIDSIGSDGGDDEEFLRRIQELAVGLHPGAAGCGWPASVERSASSVGLPLSLRMLKRKKQQQQQQGRWDERLIDCAGESARGAVGRAFSSMVLIIRELQSFTLQMRQALFYEDLQRVLARVHAEMHASFVWLFQHIFSGTPALMVSVMLLLANFTVYSMGDSVAAAATLPPPQPPAATVAMVDTQHAEQSHSHQRFDHASLKTLSIGRTASVGGNSGGGGKVRPVAGATGDGRSDEWSNRQSGAVLPQDASQGTPGAGAEEAVPVSEAMAVEETEDELVIWKRIADEATRMQASVRAEALMDPDTLGQLVAPVEAKLDTEDTAEFAATEELYERAVSEEPDNSLLLSNFAQFLYTVQRDHDRAEHYFKRAVRAEPADAEAMGRYATFLWKARNDLAAAEETYQEAIAAEPSNSHHAAAYAHFLWNTGGDDTCYPLD >ORGLA01G0315000.1 pep chromosome:AGI1.1:1:27148577:27151518:-1 gene:ORGLA01G0315000 transcript:ORGLA01G0315000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRFKPVEECSSDGRSEQTVAADFDGTLVRSRSAFPYYLLVALEAGSVLRAVVLLLSVPFVYVTYIFFSESLAISTLVYISVAGLKVRNIEMVARSVLPKFYAEDVHPESWRVFNSFGKRYIITASPRIMVEHFAKTFLGADKVVGTELEVGKNGKATGFMVKPGVLVGDHKRQAVVKELRDAVPDVGLGDRETDFDFMSICKEAYLVTSRKYSAVPKNQLLSPLILHDGRLVQRPTPLVALVTFLWMPFGFALALLRVYVNLPLPERIVFYTYKLMGIRLIVKGNPPPPPKKGHPGVLFVCNHRTVLDPVEVAVALRRKVSCVTYSISKFSELISPIKAVALSREREKDAENIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKESMFHGSTVRGFKLMDPYFFFMNPRPTYEITFLNQLPKELTCSGGKSPIEVANYIQKTLSGQLGFECTAITRKEKYSILAGTDGRVPSKNKEKEKN >ORGLA01G0314900.1 pep chromosome:AGI1.1:1:27141100:27142737:1 gene:ORGLA01G0314900 transcript:ORGLA01G0314900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 86, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G58860) TAIR;Acc:AT5G58860] MATDGGVLQLHPYAMAAAAVALASAYMVWFWALSRRLSGPRMWPLVGSLPSVVLNRARVHDWIADNLRATGDAATYQTCILPLPFLARRQGLVTVTCNPRNLEHILRARFDNYPKGPMWQASFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMARWANRSIKYRLWRILDDHCNAAASVDLQDLLLRLTFDNICGLTFGKDPETLSPGLPENPFANAFDEATEATMQRFLFPSLLWRIKKAFGVGSERSLRDSLAVVDRHMTETIAARKATPSDDLLSRFMKKRDSKGKAFPEDVLQWIALNFVLAGRDTSSVALSWFFWTLMQRRDVERKVVLEIASVLRETRGDDTARWTEEPLNFDELERLVYLKAALTETLRLYPSVPQDSKYVVADDVLPDGTVVPAGSAITYSIYSVGRMESIWGKDCAEFRPERWLSADGSRFEPVKDAYRFVAFNGGPRTCLGKDLAYLQMKSIASAVLLRNSVELVPGHKVEQKMSLTLFMKNGLRVHVKPRDIASYVEPSEPAPPQGSLVIPTTTAAAA >ORGLA01G0314800.1 pep chromosome:AGI1.1:1:27131066:27131777:-1 gene:ORGLA01G0314800 transcript:ORGLA01G0314800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSGRVGVKCGRWNPTAEQVKVLTELFRAGLRTPSTEQIQRISTHLSAFGKVESKNVFYWFQNHKARERHHHKKRRRGASSPDSGSNDDDGRAAAHEGDADLVLQPPESKREARSYGHHHRLMTCYVRDVVETEAMWERPTREVETLELFPLKSYDLEVDKVRYVRGGGGEQCREISFFDVAAGRDPPLELRLCSFGL >ORGLA01G0314700.1 pep chromosome:AGI1.1:1:27125324:27125740:1 gene:ORGLA01G0314700 transcript:ORGLA01G0314700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKGSECTRITPETTGGGKPTISLQASSWSSRMEPSDPDRRSRLARGACGSRLLRSARWTATRFYRRARASVARAFRPASTKKGPASCAASRSPDCTPARNSSRRHSLAPVVADDSHKSEAVEECIRFMNSSSRKYR >ORGLA01G0314600.1 pep chromosome:AGI1.1:1:27111456:27112907:1 gene:ORGLA01G0314600 transcript:ORGLA01G0314600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLSAVAMVGAASAASPIVTKSLVGVDAPASGITVVSRQDVRPDGASAVGDLTLSVSDLPMLSCHYIQKGLFFPAPDVPMASLVSLLMSSLSRALAIFPTLAGRLVTLPDDRVVIRCNDAGVEFRHAVAPNLSLDDFIVPDADVPTKLTKDLFPMDRTVSYDGHRRPLTSFQVTVLGDGAVFIGIVANHAVVDGTSFWHFFNTWAALCRGASPKLPDFRRSFFGESTAVLRFPGGVGPAVTFDADAPLRERVFHFSADAIRELKAITNRRPSGGQDAEVYGKMAHDRKNPEGLSAISSFQSLCGQIWLSVTRARQRLAPDATSTFRMAVNCRHRLRPAISPVYFGNAIQSAATTATVAELASNDLRWAAAKLNASLAAYDDGAIRRAAAAWQGAPRCFPLGNPDGAVITMGSSNRFPMYEGNDFGWGRPLAVRSGRANKFDGKMSAFPARAGDGSVDIEVCLAPDTMAALLRDSEFMQFVS >ORGLA01G0314500.1 pep chromosome:AGI1.1:1:27088222:27089202:1 gene:ORGLA01G0314500 transcript:ORGLA01G0314500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT1G07310) TAIR;Acc:AT1G07310] MASPSPSSSPLHPQHQHQHPLPPHPQPQYQSPPPPMPPPPPAAPLKAIDLEVTVVSGKHLKNVNWRRGDLRAYVVAYLDPSRRAATRPDDVGGCKPAWNERVVLPLPPHLSPHDPSLLLSLDVFHSKPSDSPKPLVGSARSPLRDLLFSTNPNPSPDSPASALITLPLLRPSGRPQGKLRIRLALRERSPPPPEPQFPPPSSSPYYFPPPPPPAYSAPPPPQYGSEQYYRSGGYYSAPPPPPQYEYTAGPSAPVEYNRQYDPRAGSGSGNGRYGVGTGIAVGTVTGALGGLAIDEGVKYKEKSADRVEDKVVPAGRDDDSRGYREY >ORGLA01G0314400.1 pep chromosome:AGI1.1:1:27082078:27083093:-1 gene:ORGLA01G0314400 transcript:ORGLA01G0314400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEALREVLPLPYFPGQPCWYLQERRGAEAWSAEENKVFERALAQVDLDSPNRWEMVAAMLPRKTVIDVVNHYRDLENDVGSIEAGLVPFPHYSSSLSPASSGFTLQDWDGSDGGFRRGCYLKRGRAPDQERKKGVPWTEEEHKSFLMGLKKYGRGDWRNISRYFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPEEDTSNPSPSPPSVLTTASDQLGSLVDTKPVPPPPSLGAQRHFMSPLPGALGVSHHPYGNVKLEPNASFLAGGGTGPGLDDAILLQMQCGHL >ORGLA01G0314300.1 pep chromosome:AGI1.1:1:27074824:27075339:1 gene:ORGLA01G0314300 transcript:ORGLA01G0314300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELQGVEHVVGLTVASSTEGDDSGSHGRGPKRTRHKWCRQGHPFARQSRSTRRNEATPPGRAPSAHCGGALQGSSDERRGPQPRAMVTSRFDLPHCGGRSRITRPHHRTGGGAPLRHPPPLWCVANLQARGRRGRPHGVTATLSRARLPLIRKSDGTVRSLALGAAACA >ORGLA01G0314200.1 pep chromosome:AGI1.1:1:27071542:27071763:-1 gene:ORGLA01G0314200 transcript:ORGLA01G0314200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMVDGDSIWHTMEVLCAYGMRSRIWKVSKFGTFGYVKFVSCTIGFPKVFRTPSMSLVRGFRLPTSGINRGGA >ORGLA01G0314100.1 pep chromosome:AGI1.1:1:27069417:27071126:-1 gene:ORGLA01G0314100 transcript:ORGLA01G0314100.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPFTPPLVGLVLVIRSYIGSTKVDMRDLEASPISLNSYSRKLTTLVIDHIQRESIPPSGSKDFNKTNHDMFNGQGLVKVPNAPLTICKFEYDLHQLSKAGKQLGAQFGSVSKDMKTAT >ORGLA01G0314000.1 pep chromosome:AGI1.1:1:27065361:27068386:1 gene:ORGLA01G0314000 transcript:ORGLA01G0314000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVPEPRRLNRALSFDDWVPDEALHLVMGHVEDPRDREAASRVCRRWHRIDALTRKHVTVAFCYAARPARLRERFPRLESLSLKGKPRAAMYGLIPDDWGAYAAPWIDELAAPLECLKALHLRRMTVTDADIAALVRARGHMLQELKLDKCIGFSTDALRLVARSCRSLRTLFLEECHITDKGGEWLHELAVNNSVLVTLNFYMTELKVAPADLELLAKNCKSLISLKMSECDLSDLISFFQTANALQDFAGGAFYEVGELTKYEKVKFPPRLCFLGLTYMGTNEMLVIFPFSMKLKKLDLQYTFLTTEDHCQIIAKCPNLLILEVRNVIGDRGLEVVGDTCKKLRRLRIERGDDDPGLQEEQGGVSQLGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDRERQVTDLPLDNGVCALLRNCTKLRRFALYLRPGGLSDDGLSYIGQYSGNIQYMLLGNVGESDHGLIRFAVGCTNLQKLELRSCCFSERALSLAVLQMPSLRYIWVQGYRASQTGLDLLLMARPFWNIEFTPPSPESFNHKTEDGEPCVDSHAQVLAYYSLAGRRSDCPQWVIPLHPA >ORGLA01G0313900.1 pep chromosome:AGI1.1:1:27053550:27054405:-1 gene:ORGLA01G0313900 transcript:ORGLA01G0313900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLHTIRMSIPSLHRSSPYRCALLKRKRSCIRACSSEDDGSDASSSLGGDKRQQEVLAKIAMLQAQKVRITSFLDERSAYLTKFAKDADTEFDLIGQNAMKELDEVGDQIMERLDSKMQAFEETAEVQRQEIEMNERVLEDFEDWIEKEKNEGMFFKSLGKVKPRNKKELTVKPIPKLEAQKVKDIAKESAGSKTRMNIYLGLMAILGLTIANAVFATPEVEWRKVAALGLIFIGLVAQVIYEQDISSPEAEKKGGKEE >ORGLA01G0313800.1 pep chromosome:AGI1.1:1:27050015:27052126:1 gene:ORGLA01G0313800 transcript:ORGLA01G0313800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09060) TAIR;Acc:AT3G09060] MPPAKLDPDPPPIHHLLELIKSEPDATAALAHLELLVSTRPAFPPPQPLLFHLLRRLATSSPSHLPRLLNLLPRLRHRPRFSESAALVVLSAFSRAIMPDAALDAFRALPSILGCNPGIRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIVLRSLCARGDLDRAVTLFDSLRRRQVAPDRITYSTLMCGLAKQDRLDHALDLLDEMPRSRVQPDVVCYNALLGGCFKAGEFEKVMRVWDKLVKDPGARPNLATYNVMLDGLCKFGRFKEVGEVWERMVANNLQPDVITYGILIHGLCRSGDVDGAARVYSEIIKTGLVIDAAMYNSLVKGFCQAGRVQEAWKFWDSAGFAGLRNLRTYNIMIKGLFDSGMVDEAIELWDLLEKDVACIPDTVTFGTLIHGLCQNGFANKAFTIFEEARVSGKQLDVFSYSSMINGLCNVGRLVDAVKVYEKMDKDGCKPNSHIYNALISGFCQVYRTSDAVRIYSKMADNGCSPTVITYNTLIDGLCKAEKYQEASSVAREMVENGFTPDITTYGSLIRGLFSDKKIDDALSIWKQILYKGLKVDVMMHNILIHGLCSAGKVDEALHVFSDMKEKKNCPPNLVTYNTLMDGLYETGYIDKAATLWTSITEDGLEPDIISYNTRIKGLCSCDRIHEGIQLLDEVLSRGIIPTVITWNILVRAVIKYGPIQV >ORGLA01G0313700.1 pep chromosome:AGI1.1:1:27046299:27047137:1 gene:ORGLA01G0313700 transcript:ORGLA01G0313700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARCNELNKGYWRGATVFVQSTTSWSFLGPLTCRRHQKKIDINRTFFRIEEFQRNYGGFEPNAAKFMCLVVSDAQYSTHSHLETQALEINLSRIGGKVEEAKRPRASDGPDKDASDLMGFALDHGRR >ORGLA01G0313600.1 pep chromosome:AGI1.1:1:27039505:27044051:-1 gene:ORGLA01G0313600 transcript:ORGLA01G0313600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMYQQQLVEDPFPLSGGYCSDQPRHAPASASTSTSTSSSAAVAASPLAQAHGAGEPRRLFEAFMGEILLPLRGEGGANGGGVGRGPGGVGDLGELLRWTREVAANPVAARPVPAEVKARKRQVLALRRARYLRMEDVANADELPSFFKKRKYRSHNNHAERQKGKGSMNMPTRKSERLAKRMKLMTSVLLTQRKKIGVGEHFQAEVPDWTEPPSDELARYKNDPNISKMLGTRIWPPEGQVLQTDKKIAGQGRMESCNCSYPGSFFCRQHHTDAARDQLRCELGRAFTEWRFDSMGEEVSKMWTREEQLKFNALERLVPVMDHKTFWAVASKHLASKTRIELVRYYLNVFLMRRVLSQCRLNLLEIDSDEDETEEEEDEDQSESTSTSQRTQDAQNVKSIS >ORGLA01G0313500.1 pep chromosome:AGI1.1:1:27020944:27022824:-1 gene:ORGLA01G0313500 transcript:ORGLA01G0313500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLQLDPPVVPARRSAATSCDLHPDETFTGFCTACLRERLAGLEASAAAASAPGRKSTSAIRSLFARPFGGGAGGSSVAGAGASLPDLRRCKSFSCGRGGDALAAAAAAAAAGTARADEPQRRSCDVRGHSTLWSLFHQDDRGRVPSSSSAADIAPPHQQPPPPPPRPFIPDDFLDEDIPVVMEHDEIMPVVEPVIVVDTSGEIETEPNVVAREGKAMKDHMDFESSQPKKPPTKDLKESFWVAASVFSKKWQKWRRKQKLKKEAAVSKAAAAAMPPPEKPSKPSFLRRRRLRGEAGSELAGGRRSCDTDPRFSLDAGRMSVDDAGFSWDEPRASWDGYLFGAGAGIGLGRAPPPLSRLPPMMSVLEDTPATIVERSDGQIPVEDDADIDPPGGSLQTRDYYLDSSTRRRRSLERSSSVRRPSFEVTEPKPAPTTIANGKESPLGGSEFYHFHHAEDLLDRGFSSNSLIEDISASLEAALSGPGSAKKPRRWRKAWSLWGFIHRRASGRRSGGPSDIADRSFSEAWPDLRVRGYNPKMQRCNSNLSARSSFSSNSGGLGSSRRSHVDVNGSSARRREEHVLERNRSARYSPPGRVDNGMLRFYXXXXXXXPDVLMPRLQPKRRGG >ORGLA01G0313400.1 pep chromosome:AGI1.1:1:27012320:27015227:-1 gene:ORGLA01G0313400 transcript:ORGLA01G0313400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;3 [Source:Projected from Arabidopsis thaliana (AT3G46980) TAIR;Acc:AT3G46980] MAPPGQLLPLARSLLPLSAPPFVSGRRRRLPTLVLGRALPPPTWLPHGRLPPAHPLPFAPPRRLSRPPPPATSLPGASPGGGAEAQAVLAEFVTSERVKVAAMLGLALALCNADRVVMSVAIVPLSQAYGWTPSFAGVVQHSKQSSFLWGYLVSPIIGGALVDYYGGKRVMAYGVALWSLATFLSPWAAARSLWLFLSTRVLLGMAEGVALPSMNNMVLRWFPRTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRAGIFGPFVIFGLFGFLWVLVWISAISGTPGENAQISAHELDYITRGQKLVKTQSGGERLRKVPPFSKLLSKWPTWALISANAMHSWGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMALLGYVAGVVSDRLIQNGTSITLTRKIMQTIGFVGPGVALLGLNAAKSPVIASAWLTIAVGLKSFGHSGFLVNLQEIAPQYAGVLHGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLILTSLLYFSSTLFWDIFATGERVDFDGTG >ORGLA01G0313300.1 pep chromosome:AGI1.1:1:27007997:27011016:-1 gene:ORGLA01G0313300 transcript:ORGLA01G0313300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGRRGASSTSQGRESTSFNHEFQSDPGFSWTSTYAARSPPKVSKNGKSSRSFWEVVDTITGGCTSCFAPRQSKIKEGHVKPSNDGHDISISSIVSRISSASSTSTNTSRQRGDDSQKKSWQEQCSFQEICMATSNFSEQNRIGLGNFGTVYKGKLRDGSIIAVKRATKNMYDRHLSEEFRSEIQTLSKVEHLNLVKFLGYLEHEDERLILVEYVNNGSLREHLDGLRGEPLEFSQRLNIAIDIVHAVSYLHGYTDHPIIHRDIKSSNILLTDQLRAKVADFGFARLAPDNTEATHVSTMVKGTAGYVDPEYMRTNQLTDRSDVYSFGVLLVELLTGRRPIERGRGRHQRLTTQWALRKCRDGDAVVAMDARMRRTSAVVAAMEKVMALAAECTVPDRAARPAMRRCAEVLWSIRRDFQHEQQRATAAAAAGARGKRHDGSTYGPSITSLKE >ORGLA01G0313200.1 pep chromosome:AGI1.1:1:26994654:27000425:-1 gene:ORGLA01G0313200 transcript:ORGLA01G0313200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4 glucan phosphorylase [Source:UniProtKB/TrEMBL;Acc:I1NTE2] MPESNGAACGAAEKVKPAASPASEEPAAIAGNISFHAQYSPHFSPLAFGPEQAFYSTAESVRDHLVQRWNETYLHFHKTDPKQTYYLSMEYLQGRALTNAVGNLGITGAYAEAVKKFGYELEALVGQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQCITKEGQEEIAEDWLEKFSPWEIVRHDIVYPIRFFGHVEILPDGSRKWVGGEVLNALAYDVPIPGYKTKNAISLRLWDAKASAEDFNLFQFNDGQYESAAQLHARAQQICAVLYPGDATEEGKLLRLKQQYFLCSASLQDIFFRFKERKADRVSGKWSEFPAKVAVQLNDTHPTLAIPELMRLLMDVEGLGWDEAWDITNKTIAYTNHTVLPEALEKWSQIVMRKLLPRHMEIIEEIDKRFKEMVISTWKEMEGKIDSMRILDNSNPQKPVVRMANLCVVSAHTVNGVAELHSNILKEELFADYLSIWPNKFQNKTNGITPRRWLRFCNPELSEIVTKWLKTDQWTSNLDLLTGLRKFADDEKLHAEWASAKLASKKRLAKHVLDVTGVTIDPNSLFDIQIKRIHEYKRQLLNILGAVYRYKKLKGMSAEERQKVTPRTVMIGGKAFATYTNAKRIVKLVNDVGAVVNNDPDVNKYLKVVFIPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSLNGCVIIGTLDGANVEIREEVGQENFFFFGAKADQVAGLRKDRENGLFKPDPRFEEAKQLIRSGAFGTYDYAPLLDSLEGNSGFGRGDYFLVGYDFPSYIDAQAQVDEAYKDKKKWIKMSILNTAGSGKFSSDRTIAQYAKEIWGITASPVS >ORGLA01G0313100.1 pep chromosome:AGI1.1:1:26990537:26991343:1 gene:ORGLA01G0313100 transcript:ORGLA01G0313100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGDDALYARCLVTLYLISPITVFLLRFVSAPYGKLSRPGWGPAVPAALAWFLMESPTLCLPPLVLSAAASSSALRAAALLPAALYALHYVNRTLVHPLRLLRLRRAPAPVPILVAAFAFGFNLLNAYVQARSWALDAAAPHSTATATATPAAVARCLVGLALFAWGMRTNIAADKALLRLKEAGKGYQIPRGGLFDVVTCPNYFGEAVEWLGYALVAWTPAAWAFFLYTCSNLGPRARDHRRWYVGKFGDKYPASRKAFVPYIY >ORGLA01G0313000.1 pep chromosome:AGI1.1:1:26981506:26985611:-1 gene:ORGLA01G0313000 transcript:ORGLA01G0313000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Josephin family protein [Source:Projected from Arabidopsis thaliana (AT3G54130) TAIR;Acc:AT3G54130] MEEAAAASNGGLLYHEVQEGKLCAVHCVNTTLQGPFFSEFDLSALAVDLDQRERQVMSEGAAGAATTAAGDFLAEGEGSHNVSLGGDFSIQVLQKALEVWDLQVIPLDSPDAGSCLFDPELETAFICHLQDHWFCIRKVNGEWYNFNSLYPAPEHLSKFYLSAFIDTLKGSGWSIFAVRGNFPKECPMATEGSNGFGQWLTPDDARRITSSCNQVQTPTQQAGVSLVADQSEEMSEMDMIAAQQEEADLNAAIAASLMDTGGPFANYAAHEESRSQDAFAIESTSGEMSKDGNLEEQGANKSETSEPNSDNIESASGSNPKQNTPSLEGKESIKED >ORGLA01G0312900.1 pep chromosome:AGI1.1:1:26977381:26979284:-1 gene:ORGLA01G0312900 transcript:ORGLA01G0312900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:I1NTD9] MDASDQGCRLLGAQRSLHGLLGGGAMADVVLWRRKEVAGWSLAAVAASWVLFYCLPGYTLLSFVSSVLMIVLAVLFVWAKAARLLNRPPPPVPLIKISEDSMSKAAAAVGNILHKALQGFENIAHGKDSSLFYKMASVLLLISIVGRVTDLITLVYACLVLALTIPALVDKYEENIAKFLNQASANIQACGKAWKAYKSKIKN >ORGLA01G0312800.1 pep chromosome:AGI1.1:1:26968900:26972472:-1 gene:ORGLA01G0312800 transcript:ORGLA01G0312800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPSVASSSSSSSPVLSAAHRRRLNDVERDAFDYGGPCDVDVDHDDDDGGGGVRRGHGAGVAGVRALFSSARRSKRASVIIDQAWLRNVVACLLGLTVVAGLVLSSHRVSGAGGGRLVQRMDLGDGEVMGWTEENLTAVARQSPDTPMKIWMTPDSEGYGKCIERPKKHDRMNSATAGYIIVNANGGLNQMRLGISDMVAVAKLMNATLVIPTLDHKSFWTDPSDFKDIFDVEHFKKTLEGDISIVDSLPLAYKGLKLYMRAPTSWAKASYYRAFSRTLKKAKVVKFTHTDSRIVNNGLPPSIQRLRCRANYEALRFHKEIEELSTALVDRLRNGSNHYIALHLRYEKDMLSFTGCSHNLTHKEADELREMRLNVRHWKEKEINSRERRLQGRCPMTPREVALFLKAMGYPSSTKIYIVAGEIYGGHSMDSLKAEYPNIYTHYSLATVDELEPFKLYQNRLAALDYNVAVQSDVFVYTYDGNMAKAVQGHRRFEGFQKTINPDRQKLVGLIDKLDEGTLTWNEFQSEVKIHHENRLGGPYQRLSGRSPRQEEYFYANPLPGCLCKRMQRIK >ORGLA01G0312700.1 pep chromosome:AGI1.1:1:26965762:26967748:1 gene:ORGLA01G0312700 transcript:ORGLA01G0312700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fructokinase-like 1 [Source:Projected from Arabidopsis thaliana (AT3G54090) TAIR;Acc:AT3G54090] MAMAASPFLILPSLFPKPTILAARIHPSIFRGRHIRCSPNGAAVPESPEPAPRRGRRKSPSPSPPKAKTTRRRTKKDTQESDSEGEEEPPKRRGRRTRKSKQEAEQEAAEKEDEVRAASPGTEDSKRAVQDEDGEAEATGSDSEDGEDSPYDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDIYSTWLHLQWEPPEFARAPGSAASNVAIALTRLGGRAAVLGKVGDDDFGRELVYRMNCERVQTRAIRFDDGAATATARMKVGFRDREDGSGGTRLVAETVKSAAEDSLSKAEINVDVLKEARVFHFNSEVLLTPSMESTLFRAIELSKKFGSKIFFDLNLPLPLWRSRDETKELINKAWNEADIIEVSRDELEFLLDHEYYQYKRANPPQYYLDGFHLTRNWPQYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVIGTEDALITPYTTDRTGSGDAVVAAAIRKLTSCPEMYEDQDTLERNLRFAVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW >ORGLA01G0312600.1 pep chromosome:AGI1.1:1:26964520:26965170:1 gene:ORGLA01G0312600 transcript:ORGLA01G0312600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G37970) TAIR;Acc:AT2G37970] MGMVLGKITVETPKHEVLHTGAGYEVRKYPPCVVAEVTYDPAEMKGDRDGGFTVLGNYIGALGNPQNTKPEKIDMTAPVITSGEPESIAMTAPVITSGEPEPVAMTAPVITAEERGQGKGQMTMQFLLPSKYSKVEEAPRPTDERVVLRQVGERKYGVVRFSGLTGDKVVKEKAEWLKAALEKDGFTVKGPFVLARYNPPFTLPPLRTNEVMVPVE >ORGLA01G0312500.1 pep chromosome:AGI1.1:1:26960300:26962688:1 gene:ORGLA01G0312500 transcript:ORGLA01G0312500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:I1NTD5] MASAAMLVPLVLVLCTAAASAAVVEHTFKVGGTKITQLCMNSVIYTANQQLPGPTIEVTEGDTLVVHAVNDSPYPLSLHWHGVYQLRSGWNDGANKITQCPIQPSGNFTYRFNITGQEGTLWWHAHSSLLRATIYGALIIKPRNGPSGYPFPEPYEEIPILLGEWWNRNVDDVENDGYLTGLGPQISNALTINGMPGDQNRCKGSAMYEVEVEYGKTCLLRIINAAVNVELFFKVAGHTFTVVAADASYTKPYATDVIVIAPGQTVDALMNTTASPGRYYMAAHVFDSKTVAVPFDHSTATGIVKYKGVPNYAPAAMPSLPPHDDVVTAGRFYWSLTGLARPSDPGVPTTVDHNMVVTFGLDQAPCAPNQTKCSGFALVAAMNRNSFQFPDQKVSLLEALYKGVPGVYSEDFPDFPPPMQGFRKATAVKKVKYNDVVEVVLQSEQYSSTLGTENHPIHLHGFDFYLLAQGLGRFNPSMKSKYNLVDPQVRNTVAVPAGGWAVIRFMANNPGMWFMHCHLDAHLPLGLAMVFEVLNGPAPNLLPPPPVDHPKCHG >ORGLA01G0312400.1 pep chromosome:AGI1.1:1:26948451:26953302:1 gene:ORGLA01G0312400 transcript:ORGLA01G0312400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:I1NTD4] MVIPWCSSMMRLLWFLFALLLSRSVADAATANYTFTVESMRVSRLCNSTDIIAVNGQLPGPTIEVNEGDAVAVEVINGSPYNLTIHWHGILQLLTPWADGPSMVTQCPIQPNSSYTYRFNVTGQEGTLWWHAHSSFLRATVYGALIIRPRNGSAYPFPAPDQEVPIVLGEWWSRNVVDIESDAVSSGQLPRESDAFTVNGVTGELYQCANDTFTVDVQPNTTVLLRVINAGLNTHLFFKVAGHAFTVVAVDACYTANYTTDTLVLAPGHTVDALMVTNASAGSYYMAVQAYDSLSPTTMAVTDDTTATAIVRYNTTSTKKNATPVMPTMPQSSDSATANAFYFGLRGPPSPSAPAVPTKVDVNMTIELGLGQLPCDSTQSSCSGKSVAAAMNGVSFRLPSQMSLLEAQFNRTPGVYTADFPDAPQPSGTPMVEGTKVRRLKYNSTVEIVLQNPTAFPSENHPIHLHGFNFFVLAQGLGNFTPGNVSGYNLVDPVSRNTLAVPTGGWAVIRFVANNPGMWFFHCHLDAHVPMGLGMVFAVDNGTTPDSFLPPPPADLPKC >ORGLA01G0312300.1 pep chromosome:AGI1.1:1:26944147:26946652:1 gene:ORGLA01G0312300 transcript:ORGLA01G0312300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:I1NTD3] TPVLTVMLMILFLYDFCQVATLSLPRICQPGNTSVTAVNGRVPGPQVEAREGDTVVIHVINDSPYNVTVHWHGVFQRGTPWADGPAMVTQCPIRPGHRYTYRFAVAGQEGTLWWHAHSSYMRATVYGALVIRPRRAGGYPFPTPYEEKTILLGEWWNGDPVALESQSFSTGIPAPNADAYTINGMPGDSYLCPETTNRIAKFEVRRDKTYLLRIINAALNTAFFFKVAGHTFTVIAADASYTEPYATDVIVIAPGQTVDALMAADASPGCYHMAISSYQSAIPFPPRPAGFNGNTSTAVVEYVDATATTDAGSPVLPVMPKPNDTYTANQFYTSLTALIRPGRRTVPLTVDTRMLVTVGLGFSSCQPEQTQCNRSAPVVLANMNNVSFALPNTVSMLEALYRNTADGVYTRDFPDQPPVAFDYTSRGLLGNSPLASTGSPSTKVKTLRYNATVEMVLQNTALVGLESHPMHLHGFNFFVVAQGFGNNDGEAAGAGEFNLVNPQERNTVAVPTGGWAVIRFVADNPGMWAMHCHIDSHFAIGLAMVFEVESGPTPGTTLPPPPPDLPQC >ORGLA01G0312200.1 pep chromosome:AGI1.1:1:26936902:26938354:-1 gene:ORGLA01G0312200 transcript:ORGLA01G0312200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETAASPPPPPPPNPPAADSAHSSPASMTDETHGAGAHPDESQPHRSSSSSSSPPVAVDGETRVPLPVRSLPQPSHPSPPPSGEDEDDVVITGQIAVGDAAGAAMEERVKGPWSSDEDTLLSNLVEKLGPRNWTLIARGIPGRSGKSCRLRWCNQLDPQVKRKPFTEEEDRIIMAAHAIHGNKWAAIAKLLVGRTDNAIKNHWNSTLRRRHCTGGRCTQGGVMKRAIPERPRSVSEEPWPLGNLSSLNVREAMEAPAQTVSESYVGAWQIGDQSCKTEVVDPPYLARPVAKVGAFKPYNVGPAQSSQKEMLSFATKFDSNLHPFKPENGVCKFVDPTSFAAEVPNKCGHGCCSSHGQLRKNSLLGPEFNEFEDHPPISDSSFASLVSEISSIAWMKSGLQSSDTLAQSVPPA >ORGLA01G0312100.1 pep chromosome:AGI1.1:1:26926998:26929245:-1 gene:ORGLA01G0312100 transcript:ORGLA01G0312100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGEQALTIAPAAAADSSAEPARHVGGGGGGGGGLAEESSDEDKGSQRFSDAEDHSWRSHSRQGSVALEDFISTCASVRSGAGASAGDADADGGGRRKSCVSECSLGDVDLEAGLAEISKASPDTAERNCRICHLGLESAASESGSGMFLGCSCKDDLSCAHKQCAETWFKIRGNRICEICGSTACNVVGIGDAEFIEQWNESSNTAASQTPASEPRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >ORGLA01G0312000.1 pep chromosome:AGI1.1:1:26919735:26923941:1 gene:ORGLA01G0312000 transcript:ORGLA01G0312000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIAREATKLWRKVCAEIAVELQLLFEKWRLLLAGLVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYVSESVFTFIFISFLLWSFHPFIYHSKRFYTVLLWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKMATLPPPHNVLEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRLIKILAWLMAIIQSLLIIASRKHYSVDVVVAWYTVNLVVFFIDNKLPEMPDRTNGSSLLPVTAKDKDGRTKEELHKLEKDCKMKEEFHKLLNGNTVDSTDRRQRVQMNGKHGEDINHTLSDATSNGT >ORGLA01G0311900.1 pep chromosome:AGI1.1:1:26907252:26908708:1 gene:ORGLA01G0311900 transcript:ORGLA01G0311900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCTPGAAEAELWLPDEFLDDDFFTVEEKAAVAAKSESDEEDGLDGLARRMADLLAGEGGKGTGSKVEVMAGSPQSTLCGLAASGEDSPNGGASQVSSPPSSPLEQPPTDPWDVLSEAAGQVARLRMNSIPVPQKPHAHAGHGRFVPPARNPSPPVQAQKTAGAFQFAPNNMLTQRQVQVAHFHLLKQRQLLKQQREQQLAAAAAAAWGTHRAGVGVGAPLGLNSSGWPPLQKAHQQASSAAGMRAVFLSPPGGKPERTGTGVFIPRQAGAPAEPKKKPSCSTVLLPARVVQALNLNVDDLGARPCFPGGFVLDHDALVSRSNAMLTTQKRVQHHLHAATAAPPTLAAAREVNLPQEWTY >ORGLA01G0311800.1 pep chromosome:AGI1.1:1:26887404:26888292:-1 gene:ORGLA01G0311800 transcript:ORGLA01G0311800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAGGERRIGVAMDFSPSSKKALQWAADNLLRKGDTLVLLHIRHHGRDEAKNVLWSHTGSPLIPLEELMETAVRQRYDIPSDEEVFDMLNAVSREKELSVVLKMYWGEPREKVCEAVGELNLESLVMGSRGLGQIQRILLGSVTNYVLSNASCPVTVVKAK >ORGLA01G0311700.1 pep chromosome:AGI1.1:1:26886383:26886589:1 gene:ORGLA01G0311700 transcript:ORGLA01G0311700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF 3339) [Source:Projected from Arabidopsis thaliana (AT5G40960) TAIR;Acc:AT5G40960] MKDWAPAIIASALFALLCPGGILQMPGRNRPVDFMNMKTSLASIVVHAVIYGLLLMLFLVILEAHLYI >ORGLA01G0311600.1 pep chromosome:AGI1.1:1:26875860:26879989:-1 gene:ORGLA01G0311600 transcript:ORGLA01G0311600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEEEREARSEAAFTDSADGSSSSSDAASADEWPVTLAAPPRKTAACGRVPGAEVVDSSKPHAQKRRAPSSEMEMMKERFAKLLLGEDMSGSGKGVCTALAIANAITNLCATIFGQLWRLEPLPPEKKAMWRREMGWLLCVSDHIVELVPTWQSFPDGTRLEVMTSRPRSDLYINLPALRKLDHMLIEILDSFRDPEFWYVEQGICAPDCDGSASFRAAFHRRDEKWWLPVPRVPPGGLRDKARKQLQHKRDCANQILKAALAINSNALAEMEVPESYLESLPKNGRATLGDIIYRYITSDHFSPECLLDCLDLSTEYQALEIANRVEASVYVWRRRIAAKPASVLGRATSGRSSWGMVKDMIIDTEKRELLAERAEGLLICLKQRFPGLTQTSLDMSKIQYNRDVGKSILESYSRVLESLASNIVARIDDLLNIDELNRHAEHFPQGDADCRIACNKAAVPPYQVPASGTPFVTAYATPSFSPAQLASPSKKERSPLGAGRRSYSNRGFGAKKALAIDLVNPEVMGVIISGGKMIDVSTTTEL >ORGLA01G0311500.1 pep chromosome:AGI1.1:1:26874567:26875018:-1 gene:ORGLA01G0311500 transcript:ORGLA01G0311500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVRCVWFLLVVAVVVAAGVETVRGAGECGRVPVDQVALKLAPCAAATQNPRAAVPPNCCAQVRSIGRNPKCLCAVMLSNTARSAGVKPAVAMTIPKRCAIANRPIGYKCGPYTLP >ORGLA01G0311400.1 pep chromosome:AGI1.1:1:26869957:26872788:1 gene:ORGLA01G0311400 transcript:ORGLA01G0311400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNPLPQQESEPHVEGNKSPAPTSIVDDATNTTEDDSALRDLLYPQSLEAKGKEDIYSIFYKGQNGTAQAGTADGKSQWTPPKSRTVYTKDNKQSNQYDSVDTSCFGSSVNYGGRDYYGISGHKQSTESNDYKADKKDPSTDSHGDWWQGSFYY >ORGLA01G0311300.1 pep chromosome:AGI1.1:1:26858212:26860242:-1 gene:ORGLA01G0311300 transcript:ORGLA01G0311300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFFLESKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVFDVTKRQTFDNVQRWLRELRDHADANIVVMMVGNKSDLNHLRSVPEEDGHAFSEKEGLSFLETSALEAINVEKAFHTVLSEIHQIVSKKALAAQESASTNGRSMQGTTINVAEAPAATKGSCCSS >ORGLA01G0311200.1 pep chromosome:AGI1.1:1:26856550:26857164:-1 gene:ORGLA01G0311200 transcript:ORGLA01G0311200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGAAALTCVSRRRRLTCVRRRRHCRPPASLGVAAVIRQHLPAPPPSNASLGAVALTCVRRRRRCRPPASADAATLTCVRRRPHPPVAASVAVVPPPSLASSAVALVHRGRSRRRRRVRRNCGPRLPRPSPLPSLASSTVALVHRGRSSRRRCVRRSCDHHPATAITLSPSLASALVVALTSHDRGRAAAVVEPSSSPASVA >ORGLA01G0311100.1 pep chromosome:AGI1.1:1:26836716:26840603:-1 gene:ORGLA01G0311100 transcript:ORGLA01G0311100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGGGYGGGQGGGAEHHHHHHGHAGHLLLHHHPQHVAGAAVAAAAAAAGGQMYHVPQHSRREKLRFPPDAGDSPPPHGHGHGHAPQQQQQHGSWPPPPAFYSYASSSSSYSPHSPTLAQAQLVAHGLAPPLPQIPPQNFSLSLSSASSNPPPPQAQPRRQLGGLAQATGPFGPFTGYAAVLGRSRFLGPAEKLFEEICDVGGAASHVDRTISDEGLLDADPMDGVDHDVVDHDLGGADRAAADAGPISGAEQQWKKTKLISMMEEVCKRYRQYYQQVQAVMASFETVAGFSNAAPFAALALRAMAKHFKCLKSMILNQLRNTSNKVAVKDGLNKEIAVFGLAGGSSGGAGLQRANSASAFGQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDGDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQMHKHSVVDKGQHSVHHQAQHSSQCSGNPSVPSDSHPGQSSSITRNHNTAASQGFPDELSQMSQSIQGQVSFAYNGLTSQHNIASPHHQHQQVGGVGIGGGNGGVSLTLGLHQNNRVCIAEPLPAALPANLAHRFGLEEVSDAYVMSSFGGQDRHFGKEIGGHLLHDFVG >ORGLA01G0311000.1 pep chromosome:AGI1.1:1:26831559:26833541:-1 gene:ORGLA01G0311000 transcript:ORGLA01G0311000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G46790) TAIR;Acc:AT3G46790] MSAPAPASPPASLFHLSRPAAGPRARPRVSRSRCVASLASQPPTPPPANANHLVQTLCASGRLARAAALLQGLPAPTQRTYKSVLLAAARAGDAALAAAVHRRLKADPVFRSDPFLSTRLIDAYASLGELPAARQVFDEAPVKSIFVWNALLKALALADHGEEALARLADMGRLRVPVDSYSYAHGLKACIAASTSHVPASALVREIHAHAVRRGYGLHTHVATTLIDCYAKLGIVSYAESVFATMPERNIVSWTAMIGCYAKNERPGDAILLFQEMVASDEDLVPNSVTIVCILHAFAGVNALGQGKLLHAYILRRGFDSLVSVLNALMAMYMKCGCLEAGRHIFDLIGHRKDVVSWNTLISGYGMHGFGHEAVQVFEDMTQMGVSPNIITFISVLGACSHAGLVDEGKRLFESMVDYNVTPRAEHYACMVDLLGRAGHLDEAVELIQGMHIRPSPQVWGSLLGACRIHRHVEYAEMACSQLFDLEPRNAGNYVLLADIYARAKLHSEVGVLKDLLEEHALEKVPGCSWIEVKKRLHMFVSVDNKNPQIEELQALIGEFVTQMKNDGYVPDTGAVLYDIEEEEKEKILLGHSEKLAVAFGLINTGRGEVIRITKNLRLCEDCHSVTKFISKYAEREIIVRDVNRFHHFRDGICSCGDYW >ORGLA01G0310900.1 pep chromosome:AGI1.1:1:26821930:26830445:1 gene:ORGLA01G0310900 transcript:ORGLA01G0310900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate synthase [Source:UniProtKB/TrEMBL;Acc:I1NTB9] MGRGGISGAGLVAAVAKADVENTDSTRGFVKDVKRIIIKVGTAVVTGPNGRLAMGRLGALCEQVKQLNFEGYEVILVTSGAVGVGRQRLKYRKLVNSSFADLQNPQMDMDGKACAAVGQSVLMAIYDTLFSQLDVTSSQLLVTDRDFMDPSFGNQLRETVNSLLDLKVIPVFNENDAISTRRQPYEDSSGIFWDNDSLARLLAQELKADLLIMLSDVEGLYSGPPSDPQSKIIHTYVHEQHGKLISFGEKSRVGRGGMQAKVAAAFTASSKGIPVVIASGFAIDSIIKVMRGEKIGTLFHREANQWGCSKEATAREMAVAARDCSRHLQKLSSEERKKILLDIADALEANEDLITSENQADLDLAQDIGYDKSLVARMTIKPGKIKSLAGSIREIADMEDPISHTLKRTEVAKDLVFEKTYCPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNTILHKVITGAIPDVVGKKLIGLVKNKDEIADLLKLDDVIDLVIPRGSNKLVSQIKAATKIPVLGHADGICHVYIDKSADMDMAKRIVLDAKVDYPAACNAMETLLVHKDLNRTEGLDDLLVELEKEGVVIYGGPVAHDTLKLPKVDSFHHEYNSMACTLEFVDDVQSAIDHINRYGSAHTDCIITTDGKAAETFLQQVDSAAVFHNASTRFCDGARFGLGAEVGISTGRIHARGPVGVDGLLTTRCILRGSGQVVNGDKGVVYTHRELPLQ >ORGLA01G0310800.1 pep chromosome:AGI1.1:1:26811332:26813536:-1 gene:ORGLA01G0310800 transcript:ORGLA01G0310800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:I1NTB8] MDWSSVTAEDLVDALREVDWSTPPRPVSEFFSRFTAPRSYSKWTSRLKCNLYYYRTNYFILIMFILGMGFLRKPVSILAAFSTGLSIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPLRPVIRGRPSSKRSIHICGRPRWVFVVLFSVVSCFLWMTSCSLLTVLWALIVGLLATLLHASFRTPNLKARLNTFREEFRAVWRNYSEF >ORGLA01G0310700.1 pep chromosome:AGI1.1:1:26809121:26810797:1 gene:ORGLA01G0310700 transcript:ORGLA01G0310700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFTLNTGARIPSVGLGTYKAGTGVVADVVSAAVKAGYRHIDCAPLYKNEQEIGGALKKLFDDGVVKREDLFITSKIWCSDLAPEDVPLAMDSTLKDLQLDYVDLYLIHWPFQIKKGTELSPENFVKPDIPSTWRAMEQLYDSGKARAIGVSNFSSKKLGDLLCVARVPPAVDQVECHPGWQQAKLRAFCHTSGVHLSAYAPLGRMKGIAVDSVLPSVAEMLGRTPAQVALRWGLQQGQSVLPKSVSEARLKENMDLFGWSIPEELCAKLSEIEQVKQIRGDGFAHPESVYKTYEELFDGEI >ORGLA01G0310600.1 pep chromosome:AGI1.1:1:26797694:26800745:1 gene:ORGLA01G0310600 transcript:ORGLA01G0310600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFVLNTGAKIPSVGLGTWQSDPGVVGNAVYAAVKAGYRHIDCASAYNNEKEVGLALKKLFEEGVVKREDLFITSKLWCDHHSPEDVPEALDATLNDLQLEYLDLYLIHWPFRTKKGSSIGKPESYLPPDIPSTWAAMEKLYDSGKSRAIGVSNFSSKKLGDLLAAARVPPAVDQVECHPGWQQMKLHNFCQSTGIHLSAYSPLGSPGSTFMNGNVLKEPIIISIAEKLGKTPAQVALRWNIQMGHSVLPKSVSEERIKQNLDVYDWSIPEDLLAKFSEIKQVRLLRGNFIVNPQSVYKTHEELWDGEI >ORGLA01G0310500.1 pep chromosome:AGI1.1:1:26790133:26793856:1 gene:ORGLA01G0310500 transcript:ORGLA01G0310500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFVLNTGAKIPSVGLGTWQSDPGVVGDAVYAAVKAGYRHIDCARMYKNENEVGIALKKLFEEGVVKREDLFITSKLWCDCHAPEDVPESLDKTLSDLQLEYLDLYLIHWPFRVKKGSGISNTEDYIPPDIPSTWGAMEKLYDSGKSRAIGVSNFSSKKLGDLLAVAHVPPAVDQVECHPGWQQTKLHNFCQSTGVHLSAYSPLGSPGSTWMNSNVLKESVIISIAEKLGKTPAQVALHWNIQMGHSVLPKSVTEERIKQNIDVYDWSIPEDLLVKFSEIKQVRLLRGDVIVNPHSVYKTHEELWDGEI >ORGLA01G0310400.1 pep chromosome:AGI1.1:1:26785588:26788011:-1 gene:ORGLA01G0310400 transcript:ORGLA01G0310400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1NTB4] MRELAGSPGTWSGLSLRVGQLVFAAASVCATASALGFAAYTAFCYLIASMGLQALWSLGLACLDCYALKFKKDLHSAVLLSLFVVGDWVTAILSFAASCSAAGVVVLFDRDIYACRNPQLPCGRFELAIACAFLSWAFSATSALVMFWLLASL >ORGLA01G0310300.1 pep chromosome:AGI1.1:1:26782365:26784102:1 gene:ORGLA01G0310300 transcript:ORGLA01G0310300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKEVGVTEVVLAINYRPEVMLNFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPFAELIKFHKSHGGEATIMVTKVDEPSKYGVVVMEEVTGMVEKFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIASDAKLFALVLPGFWMDVGQPRDYITGLRLYLDSLRKRSTNRLATGAHIVGNVLVHKSAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVHIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVGDEVYTNGGVVLPHKEIKSSILKPEIVM >ORGLA01G0310200.1 pep chromosome:AGI1.1:1:26778608:26779322:1 gene:ORGLA01G0310200 transcript:ORGLA01G0310200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIPFIFKVVAQYKEGGHVSLSDMISDKPSPASYVLLPGDSDGRHADDKTQPLRLQASTGSEEEVLYAERYAYASAISVTVIDLPLKKKFSCYLMQDCRFFGLGFAGRN >ORGLA01G0310100.1 pep chromosome:AGI1.1:1:26772933:26776766:-1 gene:ORGLA01G0310100 transcript:ORGLA01G0310100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit A [Source:Projected from Arabidopsis thaliana (AT1G17440) TAIR;Acc:AT1G17440] MADPPSAAATASPQPDQLAAAAVSTPQNPNPNPLLSPQIPPSPTVSDLSAISSPQLDPSAAGGGAMDYPPRPPQMQAPSPGQAAAGAGGFGQIHRSGSGSRLAAVGQLPQYAAAAARMYGSQVNFSGGGGQVGQQQQQQQQLAARAAMLSQGQIGMLQGQGNAASAAHYGLQSQMMAQPRQKGMVQGAQFNTANAAQALQGMQSMGVMGGMRGNGTIPYNQQRFAHAQAQLRPQQTSQQSTLSPQKVVGQGLTRTASIAALNPQLPGSSTNGPMAQMSLPQKQQQAAWLKQMQSSLGSPVSPQQFQHQQRMLLIHQLQQQSGLNQHQIAQTQQQHPHLNTQLLQQQHILQQLQQQQQSPRISASGSQKSMNLTGSQPGTPLSGGTMTGGSASQGAEVTNQLLGKRKIQDLVSQVDPLGKVDPEVEDLLLEIADDFIDSVTAFACTLAKHRKSSVLEAKDVLLHLEKNWHLSVPGFLREDKNPQRHPVKVSGDPQQPECDAAGIRSTGNKLVINNSVANHQTRPPVAEPSPMPTMGPLSKVPRF >ORGLA01G0310000.1 pep chromosome:AGI1.1:1:26765889:26771390:1 gene:ORGLA01G0310000 transcript:ORGLA01G0310000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGGGGGGGVGVVGAGGEEQMEMEEDGAGLRARVAAGRKERVVLMWGYLPGVSPQRSPLLGPVPVRLPAAAGGDGWRDVCGGGCRFAMAISESGKLLTWGSADDMGQSYVTAGKHEETPEAFPLPSDVAIVRADAGWAHCVAITDEGDVYTWGWKECVPTGRVISDQSSGGTLEKDERQSAIAADQVSPRSQVSRTSSGAASGPSESRGTDDSTKRRRLSSTKHAPESSTSSDENLSAPPCVVTFNTGVKIMAVAAGGRHTLALSASDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESALYSKDRPAAMKGNKSAEAQISKVMGNCVKAIACGGRHSAVVTDSGALLTFGWGLYGQCGQGNTDDVLSPTCVSSILGVKMQDIGAGLWHTVCTSVDGDVYSFGGNQFGQLGTGSDQAETVPKLVDASSLENKNARAVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSMDRNVPCSVPVDAYHPLNVSCGWWHTLVLAESPT >ORGLA01G0309900.1 pep chromosome:AGI1.1:1:26754365:26759739:-1 gene:ORGLA01G0309900 transcript:ORGLA01G0309900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77260) TAIR;Acc:AT1G77260] MKPLGAHGADLLCGPRVLRAAALAFACAAAFLVGYHWSDSSPRLLFFSSTASSSSSPLLSTGSPSVAVSPNANLSFDPSLIPTPAASAPPASPTANASPPPSLPPPPPPLRPPPPPARLGIVGEDGAMRDDFDVGGGGANDTDLAATDEALPQEPTDAGPAVGSRVRIGRFPVCPESMREYIPCLDNEEEIRRLPSTERGERFERHCPAKDKGLSCLVPAPKGYKAPIPWPRSRDEVWFSNVPHTRLVDDKGGQNWISKAKDKFRFPGGGTQFIHGANQYLDQISQMVPDIAFGSHTRVALDVGCGVASFGAYLLSRDVLTLSIAPKDVHENQIQFALERGVPAMAAAFATHRLLYPSQAFDLIHCSRCRINWTHDDGILLLEVNRMLRAGGYFAWAAQPVYKHEEAQQEAWKEMEDLTARLCWELVKKEGYIAMWRKPLNSSCYMNRDPGVKPALCDPDDNPDDVWYVNLKACISRLPENGDGPTPFPWPARLMEPPKRLEGVEMDAHSSKKELFKAETKFWDDIVEGYIRVFKWRKFKLRNVLDMRAGFGGFAAALINRKLDCWVMNVVPVSEPNTLPVIYDRGLLGVAHDWCEPFDTYPRTYDLLHAFSLFSKEQKRCNISSILLEMDRILRPGGRAYIRDLKQVVQDVKEITTAMGWRSIMRDTAEGPYASRKVLMCDKPMVR >ORGLA01G0309800.1 pep chromosome:AGI1.1:1:26746858:26750569:1 gene:ORGLA01G0309800 transcript:ORGLA01G0309800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G39740) TAIR;Acc:AT2G39740] MSIWRVPNYDVLEKCTEDILSLIKPVEGDRNKRIYAIQELADTIYSAGALRGASVKPFGSFVSQLYAKSGDLDVSVELFNALNLPISKRKKQDTLREVRRALQKRGIARHMEFIPNARVPVLQYVSNQYGISCDISISNYPGRIKSKIFYWINTLDDRFGDMVLLVKEWAKAQNINDPKNGTLNSYSLCLLVLFHFQTCEPAILPPLKEIYEGNIMEDISGRAYYNEKHLDEVCSINIERFRRQNMGQRNQSSLSHLLASFFHKFFRIDALSDKVISTYTGRLERIQDNPRWMDKSYSLFVEDPFEKPDNAARAVGSFEFQDIVNAFSNASNKFVSDAHALTDRNGLLSLLCTPDVGSKLGGRASASRYTNTLVSPHDRFL >ORGLA01G0309700.1 pep chromosome:AGI1.1:1:26742192:26744031:1 gene:ORGLA01G0309700 transcript:ORGLA01G0309700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYDEEHLDEVCSENLAKFQSKNKERRNESSLCHLLATFFHKFSSIGTLSGDVISTYTGQFKRIEENPSWMARSYSLFHQDNTRIILDNARPETTITKCYMLQRPRQRPETTITNCYMLQQPRQRPETIITNRYMLQQPRQKDHTGISSRDNTHLAVKQIGTL >ORGLA01G0309600.1 pep chromosome:AGI1.1:1:26730062:26731615:1 gene:ORGLA01G0309600 transcript:ORGLA01G0309600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEEAMSPPATATAAVAAAVSASAAAAVSSAIDRRRRRMEMRRIRIASDLELQAGEDGRPGKRQRLARTASGAPRPDEDSASERPSWGRTEEFPRYGVTAVCGRRREMEDAVSIRPDFLPASGKFHFYGVFDGHGCSHVATTCQDRMHEIVAEEHNKGASGEVAPWRDVMEKSFARMDGEVGNRASTRSDDEPACPCEQQTPSRRDHAGSTAVVAVVSPTQVVVANAGDSRAVISRAGVPVALSVDHKPDRPDELERIEAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPYVTSEPEVTVTERTDDDECLILASDGLWDVVTNEMACEVVRACFHNNGPPAPAARPSGVPSSAEAAETENGGAASVKGISKAESSDKACSDAAMLLTKLALARRSADNVSVVVVDLRRGL >ORGLA01G0309500.1 pep chromosome:AGI1.1:1:26720870:26721581:-1 gene:ORGLA01G0309500 transcript:ORGLA01G0309500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHPVVATKHRCLNFIGMSPTIERMSPIHSPVVKKLRSETHQGISDAVARGDSDGKDVGTKVILPSSFTGGRRYMVQNYHDSMAICRSYGPPQIFSTFTCNSKWPEIIEAIRFEAGQKPSDRSDMVTRVYHMKLDEYITYIKNGEAFGPIKAEDCRIPTH >ORGLA01G0309400.1 pep chromosome:AGI1.1:1:26712617:26713665:1 gene:ORGLA01G0309400 transcript:ORGLA01G0309400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYNRLLLYAEWLRRRCYCFVRSCCCKRDYPVGMEEPAQEXRRLVQIRSKTLLFEVLRGIGANEARYSCRAVADGYVGFAEATVYGARGVGEPFVVRAQGISAIRPCDAEESAAHALISVIKKECSVEFDDTNWFDMNRYHVETERLKRALGRARKKCNTLAKKARLLEIGWDRALDSLGSVNQICDDICSSVLGGPDADDLSHREVGVLYDVHRLGEYAESFVDEGLANLTSVAARYI >ORGLA01G0309300.1 pep chromosome:AGI1.1:1:26706135:26707218:-1 gene:ORGLA01G0309300 transcript:ORGLA01G0309300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYFILDGNLWYFEDRIIRLPISDLFALEQESIWSSYRSREFNHIS >ORGLA01G0309200.1 pep chromosome:AGI1.1:1:26697954:26698796:-1 gene:ORGLA01G0309200 transcript:ORGLA01G0309200.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGSSPSCPMIKLTGMLTDLKAIFWTCTGVKQIFQNSDVAKKGRSWGVGDVAVAVAAGRWRMLRRGVRRVVNCHAGGTIGPAKMDEKWREREEKTGARKIALCATLFRSAVMPVPLLIMRRRRWTVVAEGGKIGRKRKYECGGAKEQDWGVHDRFSIGSDLLLITNPTL >ORGLA01G0309100.1 pep chromosome:AGI1.1:1:26693405:26695735:-1 gene:ORGLA01G0309100 transcript:ORGLA01G0309100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMPVDLRLPSGPQAALGILAFEAAAAMSKLLSLHRSLSEQEVSRLRSDTMRSPGVAYLNSTDQAFLLRLACAELVVSLDAAAAAVARLGLRCGIDFGGVYASLKAGAPDARLDPLVAKGLRVKAKKMERLVASTARLCSEMEALDELEASERKLAFRGWNRLSGPIPMQPVAPSAAGDSPGADSLQQDLKAQRIKVRRLKEESLWNQSYEKAVGLMARAACAVFSRICTIFGAFVPGLPPPLPSAATDSVQTRLSKLLNPRAVRAKASSGPITRRDGPSRVHPPVISSSCPIIGLRPSGQKAAIDWRKLLDAPASTVGGAGLDQQYANVIVSAEQLLQMEAEGRQEEANAERAEMYEMLPAKLRAAVRSKLRDWWRDPGPLDAGLAQGWKDAVDRIMAWLGPMARDTVQWQAERNMDRTRRFDGGARVYALQTLRWADKEKAEAALVEVLVALSCVCCQWSEADMPGPRVGLTLVTESESESEIPDTKMESNIIETENGAKTNRREYGNENLSE >ORGLA01G0309000.1 pep chromosome:AGI1.1:1:26684043:26684702:1 gene:ORGLA01G0309000 transcript:ORGLA01G0309000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAAASGDACGRVTEWETGLPAPGEMTPVSHQLVPPALAAAFGIDLAAVGVLLPSPSVDSPVSHLFFPVDEDDDEDEEGEGEGGNDDAPAAAAAGGGGGRCGKKARMVWTPELHHRFVEAVAHLGEKGAVPKAIVRLMNVDGLTRENVASHLQKYRLYLKRTRVAATPPPSPPPPPPPPPPLPPAMYVPCFAAKPPLDAANRSDSPPSRTSDATTKQ >ORGLA01G0308900.1 pep chromosome:AGI1.1:1:26676053:26682656:1 gene:ORGLA01G0308900 transcript:ORGLA01G0308900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISASAVAMRREMGGGGGGGDEGELEDELDALLGTGGAGARRRGEDAGERERELNMFRSGSAPPTIEGSLNAISGLLRGGGEAAVTVAAIPVAETLNGHGGLLSEDELRADPAYLSYYYSHGNLNPRLPPPVLSKEDWRSTQRLKAGVVGGIGDRRKVSPEETGHEPTVGRPVFSQNVGFDQEEAARNDVGGAAEWVDGGGDGLIGLSLGRQRSFADILQDNIGRRTPASEHPSRAVSRNSFLDNQELLNSADSQYSMHNDILEAQRAVGNVQNVSGLPSINASASQTFASVLGSSISRNATPDSHYVPRVPSPGLPPVGVRINSNEKKLNCSSSFNTASSKAVEPDDILAAISNMNMSKDGTLGDSNSISQSKFQSEISDHQNISLDPKALQVNKNQHSLMLEADTDYLGIPPISQPSNPSFSDINKNVSGLANIRNSTNTRIDGHAEMQRSSTLSTRSYQKSPTSSNASPGGSPAQHQNIDNINSAFLNYGLGGYPLSPGLPSMMMNCMGSGNMPPLFESAAAASAIASLGADSRNLGNNILSSPTLSLSDVHNLGRTGNQTATGLLSPLSDPFYVQYLKATQYAAQGTANCSDPSLERGFMGSQYGDLTAVQKAYIEALLQQQKQYGMPLGKSTTSNHGYYGNLAFGMGMAYPGSPLGSPVASPSGPGSPLRLSERNMRFPSNLRNLGGWNSDPSGYMNDNFPSSLLDEFKSNKARSFELAEIASHVVEFSADQYGSRFIQQKLETATVEEKDMVFKEIMPQALSLMTDVFGNYVVQKFFEHGSAAQRRELADQLFGHVLALSLQMYGCRVIQKAIEVVDLDQKTKMVTELDGHIMRCVRDQNGNHVIQKCIECVPEDSIQFIISTFYGQVVPLSTHPYGCRVIQRVLEHCTDPKTQEIVMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSIIIEKLAGQIIQMSQQKFASNVVEKCLTFGGPAEREVLINEMLGTTDENEPLQAMMKDQFGNYVVQKVLETCDDQQRELILSRVKVHLSALKKYTYGKHIVARVEKLVAAGERRIGLQAQYPS >ORGLA01G0308800.1 pep chromosome:AGI1.1:1:26670673:26671380:-1 gene:ORGLA01G0308800 transcript:ORGLA01G0308800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSTAGGIGMDASPIWCFMCSRLHRPDGLSTCPRRAPRAALEEIVEVMDAGEFLQACALRRAPVAAAVSSTRQQLPTVTVRDAGRTCAVCLDDLEPGGSAVVTPCDHAYHPQCIAPWLEAHDTCPLCRRESGLQVVEVEVQVDGMVLSSPDGLVLCELMMPGGRSEYRLGRRVAGRIFAVRVVDGTGKLVRGGVLRRLGSACHRFAAAAGNLLSLRYRDCVIPNNDLLLGVQC >ORGLA01G0308700.1 pep chromosome:AGI1.1:1:26664901:26666247:-1 gene:ORGLA01G0308700 transcript:ORGLA01G0308700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATTAMPPPPALPVCLLLLLLLLLAVPRPAAAAAAAAATRPLLFELRARQVPAGALPRPASKLRFHHNVSLTVSLAVGTPPQNVTMVLDTGSELSWLLCAPGGGGGGGGRSALSFRPRASLTFASVPCGSAQCRSRDLPSPPACDGASKQCRVSLSYADGSSSDGALATEVFTVGQGPPLRAAFGCMASAFDTSPDGVATAGLLGMNRGALSFVSQASTRRFSYCISDRDDAGVLLLGHSDLPFLPLNYTPLYQPAMPLPYFDRVAYSVQLLGIRVGGKPLPIPASVLAPDHTGAGQTMVDSGTQFTFLLGDAYSALKAEFSRQTKPWLPALNDPNFAFQEAFDTCFRVPQGRAPPARLPAVTLLFNGAQMTVAGDRLLYKVPGERRGGDGVWCLTFGNADMVPITAYVIGHHHQMNVWVEYDLERGRVGLAPIRCDVASERLGLML >ORGLA01G0308600.1 pep chromosome:AGI1.1:1:26664375:26664659:-1 gene:ORGLA01G0308600 transcript:ORGLA01G0308600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLQSANTDTVTQNVNLAWIHVGSDYRRDTRHLTERDTETMPPRRMLLCTTRDSAFLCCISGEKNRVVVSRNRGGMFRRGGMCSGVHVADTR >ORGLA01G0308500.1 pep chromosome:AGI1.1:1:26654726:26658280:-1 gene:ORGLA01G0308500 transcript:ORGLA01G0308500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NT95] MAGRPGYVTVPILSVLAAIGYVYYTAVFLAIPAWLGLATAAGVANAVAFTALAAACVATYAVAVSRDPGRVPPAFLPDVEDAESPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHENYKIFLVFVLYAVVASLYSLVLVIGGAVHSLPKNEQLGSDSSRTSIIICGVFLCPLALALSILLGWHVYLIFHNKTTIEYHEGVRAMWLAEKAGNLYHHPYDLGVYENLVSVLGPNALCWLCPISRNTGNGIRFRTSYDIPLSTPPI >ORGLA01G0308400.1 pep chromosome:AGI1.1:1:26652361:26653442:1 gene:ORGLA01G0308400 transcript:ORGLA01G0308400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1NT94] MSETIDLTGDRCILKTVIRRAKDDATAPSDSLPIVDVHYEGTLAENGEVFDTTHEDNSVFSFEIGEGTVIKAWDIAVKTMKVGEVAKITCKPEYAYGAAGSPPEIPPDATLTFEVELIACRPRKGSSVESVSEEKARLEELKKQREIAAAAKEEEKRKREEAKAAAAARVQAKLEAKKGKGKKAK >ORGLA01G0308300.1 pep chromosome:AGI1.1:1:26648864:26649094:1 gene:ORGLA01G0308300 transcript:ORGLA01G0308300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYAVVKPCRLYKKRSSSGGGGKVAMCVRSGGDGGAGKSRPSFTCRCVRLVKEQRARFYIMRRCVTMLVCWHEYQ >ORGLA01G0308200.1 pep chromosome:AGI1.1:1:26634139:26636364:1 gene:ORGLA01G0308200 transcript:ORGLA01G0308200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:I1NT92] MGTPRGLRNAGSSSSACRFLAAFAVLLALPTLTAGLTRHYTFNVQMTNVTRLCVTKSIPTVNGQFPGPKLVVREGDRLVVKVHNHMNYNVSFHWHGILQLRNGWADGPSYITQCPIQGGGSYVYDFTVTGQRGTLWWHAHFSWLRVHLYGPLVILPKRGEGFPFPRPYKELPPIMFGEWFNADTEAVINQALQTGAGPNISDAYTFNGLPGPTYNCSSKDTYKVKVQPGRTYLLRLINSALNDELFFGIANHTLTVVEADANYVKPFTAKTLVISPGQTMNLLLTTAPNPGSPAYAMAIAPYTNTQGTFDNTTAVAVLEYAPTRASATGNNNLPLPPLPRYNDTNAVANFSSKFRSLATARYPARVPRAVDRHVLFTVGLGTDPCPSNQTCQGPNGTKFAASINNNSFVRPRAALLEAHYQRRVVPLAFNTSVELVLQGTSIQGAESHPLHMHGFNFFVVGQGFGNYDPVNDPANYNLVDPVERNTVSVPTGGWVAVRFLADNPGVWLMHCHFDVHLSWGLSMAWLVNDGPLPSQKMLPPPSDIPKC >ORGLA01G0308100.1 pep chromosome:AGI1.1:1:26627806:26630578:1 gene:ORGLA01G0308100 transcript:ORGLA01G0308100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGGAASLGRRFSYCLVPHSVNTSSALNFGALANVTEPGAASTPLVAGDVDTYYTVVLDSVKVGNKTVASAASSRIIVDSGDAMREFRILTNSQLARIEYELLILNDLVCTANRKQTEQASPDLTLEFGGGAEAGERVRDGAAEDAVPGDRGDNGAAAGRVGLHVTDPAPPCGVRSRPIPSFASQIPPAAAAVFASFIISLLRRLLPSSLPNPPFQKRETKFLGEEEKNPAMESKAEALAAAAAAAAAAASAASTGGGHACGGWETPKREECRIPATLPCPAAPRKAVPDFGKRRGPPKNGYFQPPDLEALFALAPRRQASSCA >ORGLA01G0308000.1 pep chromosome:AGI1.1:1:26619648:26625890:1 gene:ORGLA01G0308000 transcript:ORGLA01G0308000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase [Source:UniProtKB/TrEMBL;Acc:I1NT90] MALAAAPANLAGKQSLRVVVIGDPGTGKSSLVVSAATERFPGDVPRVLPPTRLPVDYFADRIPITIVDTSSSPEQRSKLIAECQSADVVVLTYACDQPATLERLSPFWFPELRRLQLEAPVIVVGCKLDLRGEEQGTLDAVMAPIMVAFREIETCIECSALRQILVYEVFYYAQKAVLYPTAPLFDQEAQMLKLRCMRALKRIFILCDHDRDGAFSDVELNDFQVICFNAPLQPNEIIGVKRTIQEKLTEGVNENGLTLTGFLFLHTLIIGNGKLETTWTVLRKFGYDNELKLRDDLIPAIKRAPDQTLELTSQVIDFLRGIFNMFDTDNDGALQPAEINDLFSTAPENPWSSHLYENCAENNVLGGLSFEGFISKWTLMTLIHPSNSFANLIYVGYPGDFDSAFTTTRKRRVDRKKKQTQRNVFQCYVFGPRHAGKTALLQSFLKRQPSDAPPVNGEQFAANTVELPDFYFEQCNIYSCIRDXKDTCDARNFXRXCWTITIRXGIFGTLXCSSNCLXXWXXSFLAKSKRIACTSATRGKNTGYEVPCLIVAAKDDLDQSPLALQDSTRVSHDMGIETPIPISVRLRDLNNIFCRIVHAAQQPHLSIPETEAGKTHRQYRQLLNRSLTVVSVGAAVAVVGVAAYRVYAARKNASS >ORGLA01G0307900.1 pep chromosome:AGI1.1:1:26616589:26617731:-1 gene:ORGLA01G0307900 transcript:ORGLA01G0307900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAADGEADAEAVDVHLADAKTPPTITSDDKTPSTTVAGDKITVTGAETEDLVRGEAIYDTSDVASDSSFPESPSGVRPPPARERDSVDDDRSGSAMIRSGGPRATSLSDEGSDLIGARVCFISILFLACSPPLLRRRHSGEPLAHLWTIALLMSAYLFLISILSRTMRPTTVFVHISYGVLLAISAGTFAGPIAGFAVMHLATGWTAGLLGYAFAEHLQHIGKEKTAMRMAPPTFSTEEEKSSFEIHRSGVVTFFAVFSMLVATAMALLVKVPPRDLSLLVINLSVLEGTAIYCWAVFVVKFALFEALVTVDQLGYMMFYIGAYLLVSFLVCLMSYLVLAGDAIVGAMFFWFLMMAMAGLIGYMLSVRAQYNQMVLSR >ORGLA01G0307800.1 pep chromosome:AGI1.1:1:26614352:26615643:-1 gene:ORGLA01G0307800 transcript:ORGLA01G0307800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAADGEADAEVDAKTPSTITGEKTPSIVTGAETEDFLRGDAIDDTTHVASDSSSPDDNPSDIRPPSRDSDGDHSGSATISPASRSGRGRRVTTVYNEGSNRIRARIILISVPFLFLVCSPPLLRRHSGDPLALLWTIALLMCTHLFFLISSLSRTMRPSTVFFRVSYGVLVAVAADTFAGPDAGFAVMHLATGWTAGLLGYAYAEHLQHIGKETTAKNMAPPTFLTEEEKSSFKVHRRSVAAFFTLLSLAVATAGALLVKMPPPALSLLVTILSILEGIAIYCWAIFTAKFLLFEAFVSVHQLGYMLCYIGPYLLLSSILCVPLSCLVLAGDAIGAMFFWFVMMAIAGLLGYMLAVRVQYNKMVLPRL >ORGLA01G0307700.1 pep chromosome:AGI1.1:1:26611618:26613840:1 gene:ORGLA01G0307700 transcript:ORGLA01G0307700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRESRKSLPRPSGAGLGLQGKGQLVAVEAMFLMSLALAAAHITVAYRASCRERRRLLVYRIDIEAIPIRVFERFWRTIEAYACRPFTGHDNGQKNTTSPHARKAMVERWPADEGGTRHCRFLPPLAAAQGAKKATAESVRSMRSSATRHRSRPYPRSARWEEIKVAIAYKLETIVNVIVFYLYDKYNVYLGITASSKSNLQFIEHRRMRHRRECRCHMVVVKVVLAVAFIDIVVFVVGGLEGSSPPADGQATAPTKAPPRGFIYIDSNGDDDVDGHSDGDGGRAANYTRGDGRNGRANSWITAPRNEEH >ORGLA01G0307600.1 pep chromosome:AGI1.1:1:26609588:26610523:-1 gene:ORGLA01G0307600 transcript:ORGLA01G0307600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAACEADGAEAVVAGHLVDAKAPSTTITGLSSGEGGPDHIIPRVILISCLFLVFSPPLLLRNSCEPLALLWTIAFLISAYLFFLISTLSRTIRPSTVFLHISYGVLLADAAGTVAGPSVGFAVMHLATGWTAGLLGYAYADHLQRIGTETAAMRVDPPTFLTEEEETSFKTDRGVTAAGFGIISLLMVTPIALLLRPFADPDPDLLPMFVAFLSVVEGAAILSWAAFVARFVLHDALLSVDQIGHIMLCYIVPYLVISFFLLLLLTGVGFAGETIGATFLWCLMLSIAGLLGYELSVHAQCNQMMLSR >ORGLA01G0307500.1 pep chromosome:AGI1.1:1:26603695:26603916:1 gene:ORGLA01G0307500 transcript:ORGLA01G0307500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHMIEVLYAYGMRSRIWKESKFGTIGYVKVLSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA01G0307400.1 pep chromosome:AGI1.1:1:26590040:26590471:-1 gene:ORGLA01G0307400 transcript:ORGLA01G0307400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQSPHKQRRRFPGPYLMGDVPRAQATASAEEVAGGVWPCELDDHLIGELLGDDGLFVPAAEHPTLYYSFGAGSSAAAAAAPCNGGGSADHERRPRPAPAVSRDLCSVYSGPTIRDIEKALSSSASPRPPYPSGRRYSSLYL >ORGLA01G0307300.1 pep chromosome:AGI1.1:1:26584622:26585188:-1 gene:ORGLA01G0307300 transcript:ORGLA01G0307300.1 gene_biotype:protein_coding transcript_biotype:protein_coding KCRSYYKCTSSRCSAKKHVEKSTDDPEMLIVTYEGSHHHGPQPLFPPHIAQPPPPTSVVGFSAASGAGPPPSSPAAAARKRKNYVRAAFSPTTSEDDGDGAGRLRPEWPQDDGTSCDVAELRRRGDAEHAAPRRVATDRSCDDGGGGGSTSASSSVARADAATALSSDSPPTIWSCLDWPWSQETLFL >ORGLA01G0307200.1 pep chromosome:AGI1.1:1:26578672:26583728:-1 gene:ORGLA01G0307200 transcript:ORGLA01G0307200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLSRALARSARSSRQRQGSLLGGHGGLRASSPPLPCGELGFLRSYVTSVIGNRAAVASGAGKGGDWRFLLASRQFRRLFSDKSKKNHGKHSEEENKGKGDESDKSDSKKQSSSGDQWNFEESIKQFKDMIAPLFLFGLLLLSASASPSEQEISFQEFKNKLLEPGLVDHIVVSNKSVAKVYVRSSPSIDRIQDSDIHITTSHLPGIESPSSYKYYFNIGSVDSFEEKLQEAQKALEIDPHYYVPITYTTEAKWFEEVMKYVPTVLIIGLIYLLGKRIQNGFTVGGGPGKGGRSIFSIGKVQVTKLDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCSPSIVFIDEIDAIGRARGRGGFSGGHDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISLDKPDIKGRDQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEGTLITMQHFESAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNDNLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDGFEMSKPYSSQTASIIDTEVREWVAKAYEKTVELIKQHKDQVAQIAELLLEKEVLHQDDLVQVLGERPFKTLEPTNYDRFKQGFQDEDSNRNAELSNADGASSLGEAVAS >ORGLA01G0307100.1 pep chromosome:AGI1.1:1:26570038:26572397:-1 gene:ORGLA01G0307100 transcript:ORGLA01G0307100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:I1NT81] MAISYLLRSSILAVAALLLFSVNLAKGDIREYQFDVQVQTTNVTRLCSSKSIVTVNGQFPGPTVFAREGDLVVIRVINHSPYNMSIHWHGIRQLRSGWADGPAYITQCPIQPGGSYVYKYTITGQRGTLWWHAHISWLRATVYGPIIILPKAGVPYPFPAPDKEVPVVFGEWWKADTEAVISQATQTGGGPNVSDAFTINGLPGPLYNCSAKDTFKLKVEAGKTYMLRLINAALNDELFFSIAGHTLTVVDVDAVYVKPFTVDTLLITPGQTTNVLLTTKPSYPGATFYMLAAPYSTAMSGTFDNTTVAGILEYEDPSSHSTAAFNKNLPVLRPTLPQINDTSFVSNYTAKLRSLATAEYPANVPQQVDTRFFFTVGLGTHPCAVNGTCQGPNGSRFAAAVNNVSFVLPSTALLQSHYTGRSNGVYASNFPAMPLSPFNYTGTPPNNTNVSNGTRLVVLPYGASVELVMQGTSVLGAESHPFHLHGFNFFVVGQGFGNFDPVNDPAKYNLVDPVERNTVGVPAAGWVAIRFLADNPGVWFMHCHLEVHVSWGLKMAWVVQDGSLPNQKILPPPSDLPKC >ORGLA01G0307000.1 pep chromosome:AGI1.1:1:26562251:26564930:-1 gene:ORGLA01G0307000 transcript:ORGLA01G0307000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:I1NT80] MTMALSSALPSPLLLAASLLLLIVQAQGITRHYEFNVQMANATRLCNTKSMVTVNGQCPGPELVAREGDRVVIRVTNNVAHNISLHWHGVRQVRTGWADGPAYITQCPIQTGQSYVYNFTVAGQRGTLWWHAHISWLRATVYGALVILPKLGVPYPFPAPHKEVPVIFGEWWNADTEEVVNQAVQTGGGPNVSDAFTINGLPGPLYNCSAQDTFKLKVKPGKTYMLRLINAALNEELFFAVANHTLTVVEVDAVYVKPFTVDTLVISPGQTTNVLLTAKPYYPGANFYMSAAPYSTARPGTFGNTTVAGILEYENPAMSPSAASFVKGLPLFKPTLPQLNDTDFVTNFTDKLRSLATPEYPAAVPQSVDKRFFFTVGLGTLPCPANMTCQGPNNTQMAASMNNVSFVLPARALLQSHFTGLSSGVYAPDFPVAPLSPFNYTGTPPNNTNVKTGTKLLVLRYNTSVELVMQDTSILGIESHPLHLHGFNFFVIGQGFGNYDAVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEAHTTWGLRMAWLVLDGSHPNQKLLPPPSDLPKC >ORGLA01G0306900.1 pep chromosome:AGI1.1:1:26555037:26557499:1 gene:ORGLA01G0306900 transcript:ORGLA01G0306900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAGVHDVCTMLPGSKRDAHLPLPIYPQIAAANGFATAEEFDPLLFLSPDAVCGGGGGDYLNIVSAQPISAASTNGASPPRDVSVSASAASSAAAQHDDSEAFSDIVLGYINRMLMAEDIDEKFEHYPVNADDLLAAEKPFLEILADQSPYSGGSSVESPDGSSAANSCNSLSPCNCSSSSDGLGAVPQTPVLEFPTAAFSQTPQLYGDLIPTGGMVESGGAWPYDPTEFYQLQTKPVRENFLSQSSSFASSNGSSVTFSEGFESLLSPAGVLPDVSLNDFVVQNQQALQFRRGFQEASKFLPDESKLVIDVDKLYSGDEGSRFLGEVRQETKLVKVKTETSDVESAGHRGKKHFYGDDLDAEEGRCSKHSAQGIDTDHLVRDLMDKVLLCNGETCSKGVKELREALQHDVAKHSGGGHGKGSSHGKGRGKKQPKKEVVDLETLLIHCAQSVATDDRRSATELLKQIRQHAHANGDGDQRLAHCFANGLEARLAGTGSQIYKNYTITRLPCTDVLKAYQLYLAACPFKKISHYFANQTILNAVEKAKKVHIVDYGIYYGFQWPCLIQRLSNRPGGPPKLRITGIDTPQPGFRPAERTEETGRYLSDYAQTFNVPFEFQAIASRFEAVRMEDLHIEEDEVLIVNCMFKFKNLMDESVVAESPRNMALKTIRKMNPHVFIHGVVNGSYNAPFFVTRFREALFHYSAIFDMLETNIPKDNEQRLLIESALFSREAINVISCEGLERMERPETYKQWQVRNQRVGFKQLPLNQDMMKRAREKVRCYHKDFIIDEDNRWLLQGWKGRILFALSTWKPDNRSSS >ORGLA01G0306800.1 pep chromosome:AGI1.1:1:26535407:26542421:-1 gene:ORGLA01G0306800 transcript:ORGLA01G0306800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II/R family protein [Source:Projected from Arabidopsis thaliana (AT5G02250) TAIR;Acc:AT5G02250] MRPSPMAVRAAGGCSTAAAATLAFFRLRPLGRAVRPDSARAGWHFSLYGGCRGRQVHGLVDSVLEELRSQRRGRVSAKIGLQGTKELSDNKIDKRTLQKGLLLEFQKDSERFLLAVVERPDGKKNWKVTDQNGILSSIKPQQVTYVIPGXXXXXXXXXXXXXXXXXXXXDPTVLECAWMELSENDKSVTVEEFAEIVYGSKESLESYCAHFLLSRDIVYFVKVESRDSSVYQPRPPAQVEELLRRKLAKEAAEKEMEEFVQLIKSAKALPLDAKPSKDSWLMEEKVKRKIESLQAYAVDACDDEQRRTAGNILKAMGFSKTSSAALKILINIGYFPVHVNLDLYRYDVRIRYTEEVLSAAEELLVDCPDSDKDIRKDLSTLKVYAIDVDEADELDDALSAARLPDGRIKVWIHVADPTSLVQPRSIIDREAMHRGTSIFLPTATFPMFPERLAMNAMSLQQGRGCKSVTVSVILQPDGSIAEYSIENSIIKPTYMLTYESATELLYMNLEEEEELRILQEAASLRAQWRRSQGSIDTAMIEPRIKVANPDDPEPNINLYVEDQSNPAMQLVSEMMILCGEAVAAFGSDNNIALPYRGHPQSNTAVSAFTHLPEGPARSFANISVLRAAEMDFQKPVPHGVLGIPGYVQFTSPIRRYVDLLAHYQIKAFLRGESPPYSAGDLEGMTFIASMHVKVARRLHSNNLRYWLLEYLRRQPKGKKYKALILKFIKDRLATLLVIEVGIQATAVVSTGKVGDEVSVVVEAAHPRDDILSVTGITEV >ORGLA01G0306700.1 pep chromosome:AGI1.1:1:26532055:26533164:1 gene:ORGLA01G0306700 transcript:ORGLA01G0306700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT3G55470) TAIR;Acc:AT3G55470] MAGSGVLEVHLVDAKGLTGNDFLGEIGASYLDPRPSAVRRRRGRSSSISSAFLSCAGKIDPYVVVQYRSQERKSSVARDQGKNPSWNEVFKFQINSTAATGQHKLFLRLMDHDTFSRDDFLGEATINVTDLISLGMEHGTWEMSESKHRVVLADKTYHGEIRVSLTFTASAKAQDHAEQVGGWVHSFRQ >ORGLA01G0306600.1 pep chromosome:AGI1.1:1:26525620:26528378:1 gene:ORGLA01G0306600 transcript:ORGLA01G0306600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKFFVGGNWKCNGTGEDVKKIVTVLNEAEVPSEDVVEVVVSPPFVFLPQVKGLLRPDFSVAAQNCWVRKGGAFTGEISAEMLVNLQVPWVILGHSERRALMGESSDFVADKIAYALSQGIKVIACIGETLEQREAGTTMEVVAAQTKAIAEKISDWTNVVLAYEPVWAIGTGKVATPAQAQEVHDGLRKWLVTNVSPAVAESTRIIYGGSVNGANCKELAAKPDVDGFLVGGASLKPEFVDIIKSATVKSSA >ORGLA01G0306500.1 pep chromosome:AGI1.1:1:26519316:26523867:1 gene:ORGLA01G0306500 transcript:ORGLA01G0306500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMAMVEQEGCVENRQPLAASSSSVSDGSSYGGGGGGLAQMSPPVSSSANSISGLRRTSGPIRRAKGGWTPEEDETLRKAVEAYKGRNWKKIAECFPYRTEVQCLHRWQKVLNPELIKGPWTQEEDDQIIDLVKKYGPTKWSVIAKALPGRIGKQCRERWHNHLNPEIRKDAWTTEEEQALINAHRIYGNKWAEIAKVLPGRTDNSIKNHWNSSLRKKQDMYNTSNNMVVPKLLVHDKFKDKPKLMAMEGHLDLNKAPIINSKDQPGTAHRSNCSGFLSRSSLPTAQPLTSREASVVDGSAVTLVAQALESDSVRGKGLEIDSVHEKGLEVNSAPDHTGNSWTIQLEAAPSKGEAELSLKNEARSLGPLCYQIPNMEDVVPVSSSLFSDHLTGNHTSERCGDDILSPAGCTTPPPTKGKLTSQLSVDSILKSAANSFPGTPSILKRRKRDKSTPVSASEMKISGSNTDRFYTPMGMEPATATPESFKTTSFLSLGSLDGSVKSFDVSPQYRARSKRMALTKTVEKQLDFSSDGLDTCGSEILNSSCNNSQSTLSITEAPKLKEKEHAVQLENLTKNFAHTTNLDVT >ORGLA01G0306400.1 pep chromosome:AGI1.1:1:26516486:26516680:-1 gene:ORGLA01G0306400 transcript:ORGLA01G0306400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKANATCRCCSTTTAEAQAYGLQRQWICCREPDAQAFAMADLMKEPTILCLPALRWFEKLCH >ORGLA01G0306300.1 pep chromosome:AGI1.1:1:26511653:26512871:1 gene:ORGLA01G0306300 transcript:ORGLA01G0306300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTTAAAARRLCAAGDVRSVLAMLACRAKAGDTALDMTACAALVRTTGSARAAMWRKPGGRELIQGSEVDHGNEAEGFGSKFRKEAEALLDDMVRAGLQTSEPICQFTECQGKIERFY >ORGLA01G0306200.1 pep chromosome:AGI1.1:1:26500003:26501597:-1 gene:ORGLA01G0306200 transcript:ORGLA01G0306200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFGHNLSNVFNFKIKAWSSIRYYKEAVLPKLIEERLIRISPFANRLSFDAPSAVQRLRCLANFEALKFSKPITTLSDILVSRMREKSAENNGKYVAVHLRFEEDMVAFSCCVFDGGEKEKKELDAARERGWRGKFTRPGRVIRPGAIRMNGKCPLTPLEVGLMLRGMGFSNNTAIYLASGRIYKSEKNMAPLLEMFPLLQTKETLASDEELAPFKNFSSRMAAIDYTVCVHSEVFVTTQGGNFPHFLLGHRRYIYGGHSKTIKPDKRRLAILFDSPRIGWKSLKRQLVNMRTHSDAKGIEMKRANESIYTFPCPDCMCRSNKSEHSKSIQAR >ORGLA01G0306100.1 pep chromosome:AGI1.1:1:26496767:26498050:-1 gene:ORGLA01G0306100 transcript:ORGLA01G0306100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAGALPEPGGLALGVVLLLVLAVLPSRAAGVNVTAALAAFPSFADFARLLESSPVAGELAARSSLTLLAVPNNNLPRSPSAFAAASGADIADVLRYHVLLEYLSPSDLARLPASGKLVTTLFQTTGRAPSDLGAVNLTVGGNSTVVVRSPAPFPGSSATVLGAVTAVPYNLSVLAVGGLIVPSGLDVAASDSRPAGGVNITHVLADARGFNVAASMLEASGVADEFTADERGAGITVFVPTDDAFADLPATDRLQSLPADRKATVLRFHVLHSYYPLGSLESIVNPVQPTLATERFEAGQFTLNITRVNGSVAIDTGIVQASITRTVFDQNPVAVFAVSKVLLPKEMFGRGGADSDVMAPPPDAMAPDAAENVRTPPTRLSSPPALRGGADSESSSALSTARAVNWWCIRLVLLNLHLLLLPLV >ORGLA01G0306000.1 pep chromosome:AGI1.1:1:26493137:26493343:1 gene:ORGLA01G0306000 transcript:ORGLA01G0306000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCTRSYHGSTRFPSQVQNSNLRFNNRLFMSWSPKLYSATIARDGTYWSLAFYLRHHNSTVKTGYVKH >ORGLA01G0305900.1 pep chromosome:AGI1.1:1:26488940:26490080:1 gene:ORGLA01G0305900 transcript:ORGLA01G0305900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSPFSFHELRRQASSYLTDKIRSARLALTDVTPTQLMTEEATSGDASPPNVKTMSLIARQAFEIDEYVRISDILHKRFARFDRRQWREAYKALLLLEHLLTHGPRSVALEFQRDREVIEQMVSFQHIDEKGFNWGMTVKSKSERVLRLLERGPFLEDERERARKIAHEIKGFGSFNLSSAHASGSAAAALRAAAVEHQCYGRSNSRYEGRWRREACVDDGDKENLLVVSMAEAEAEAAAEEPHHYHHPFYGFGQQRPEAMLLLSQ >ORGLA01G0305800.1 pep chromosome:AGI1.1:1:26482800:26485201:-1 gene:ORGLA01G0305800 transcript:ORGLA01G0305800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLPTVHFGGLGELTTTNTAEPSAAVPLASQAGGGDVAADVAAQPPPPAVAGGGRGVDMAAAARRVASPRLKVPPPDAAALAGVLQPPHEEHHQPIVGKQGTQAGARRRRRWRRLVVVDRADADPVHGRGRAAAAQGQDLHLPHARRVVLAIRHFVYPPATFQPSRQNKQMVQDGWPLGLGAMNARAGVTRSVDLSGSASFSTAFTSSHCASSSFTSCDFDTESAWSLSRRGGGGAGGGMTLASLIGLVDAMESRSRRRRSARATSKSGKVRALLLSLCLRSHLENGRAAPSLGQFLEMERRASSSSL >ORGLA01G0305700.1 pep chromosome:AGI1.1:1:26477982:26480161:-1 gene:ORGLA01G0305700 transcript:ORGLA01G0305700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L36 [Source:UniProtKB/TrEMBL;Acc:I1NT67] MAPPQPKSGLFVGINKGHVVTKRELPPRPSDRKGKSTKRVNFVRGLIREVVGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVIRKMRSAGTTDKKK >ORGLA01G0305600.1 pep chromosome:AGI1.1:1:26462112:26464071:-1 gene:ORGLA01G0305600 transcript:ORGLA01G0305600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTTLGGGGGGRAGGFSDPPSPLSPPLSPASAAAAALANARWTPTKEQIAVLEGLYRQGLRTPTAEQIQQITARLREHGHIEGKNVFYWFQNHKARQRQKQKQQSFDYFSKLFRRPPPLPVLHRPIARPFPLAMAPTAMPPPPPPPATTTTAACNAGGVMFRTPSFMPVATNNASYYPQQQTPLLYPGMEVCPHDKSTAQPPATTTMYLQAPPSSAHLAVAAGRGAAEAEGHGRRGGGAGGRETLQLFPLQPTFVLPDHKPRRAGSACAAVSPTTPSASASFSWESESSDSPSSEAPPFYDFFGVHSGGR >ORGLA01G0305500.1 pep chromosome:AGI1.1:1:26448253:26449818:-1 gene:ORGLA01G0305500 transcript:ORGLA01G0305500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLVTTLSMENGGGGGGGGHHSLCTLLSMDPAGHLDDRAVGVMVQPRIGDGAGRAHAVSLSGAHPPDINQPWQTDLCDMLDVNLGPQIQVYDAEALAVLSSAPKAGNRKAAKRGDSIWGAWFFFTFYFKPLLADKCKGKVTRDANGVSGFDKTDLRLDMFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRPGEPQFPFSVDRGFVRSHRMQRKHYRGLSNPQCIHGIEVVRSPNLASITEVDRRRWVELTGRELNFAIPQEACDFGTWRTMPNTEIELDRPHPVMKGNVHQHPKKLLNGSGLNLSSPSNHSGEDGMDLSPVSSKRRKEVFPHAMDEECFLPLNSCGERTQQDVEMHSVVQPSWQHEFSGVMKKARGPVTAAKSIYEDDQGYLIMVSLPFVDQQKVKVSWRNSLTHGIVKILCVSTAQTPYIRRHDRVFKLADPMPEHCPHGEFVREIPLATRIPEDAKLEAYFDEAAAVLEIMVPKRGNEPEEHEVRVSMRPPHLAANDLLLT >ORGLA01G0305400.1 pep chromosome:AGI1.1:1:26443886:26446738:1 gene:ORGLA01G0305400 transcript:ORGLA01G0305400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGPGDKPMIVVQYKGEEKQFSAEEISSMVLIKMREIAEAYLGSNIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDDKIASKLSADDKKKIEDAIDGAINWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGAGADMGGAAGMDEDAPAGGSGAGPKIEEVD >ORGLA01G0305300.1 pep chromosome:AGI1.1:1:26438608:26439351:-1 gene:ORGLA01G0305300 transcript:ORGLA01G0305300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G28790) TAIR;Acc:AT2G28790] MASAKLLLLVATSLLSCGVILADYAPMTLTIVNNCPYPVWPGIQANSGHDVLEGGGFFLPALSHRSFAAPAHPWSGRIWARTGCTGAGAQLHCATGDCGGRLQCAGLGGAAPATLAQVSLHHGNDQTSYGVSVVDGFNVGLSVTPHEGRGNCPVLACRKNLTETCPSELQLRTPAGSVVACKSGCEAFRTDELCCRNMYNSPRTCRSSKYSEFFKRECPQAFTYAHDSPSLTHECAAPRELKVIFCH >ORGLA01G0305200.1 pep chromosome:AGI1.1:1:26425951:26431515:-1 gene:ORGLA01G0305200 transcript:ORGLA01G0305200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATISQASLLLQKQLKDLAKHPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMSFPQNYPNSPPTVRFTSEMWHPNVYPDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSGPNDESPANIEAAKEWREKRDDFKKKVRRLVRKSQEML >ORGLA01G0305100.1 pep chromosome:AGI1.1:1:26422446:26422865:-1 gene:ORGLA01G0305100 transcript:ORGLA01G0305100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:I1NT61] MAPKAEKKPAEKKPVEEKAEKKPKAEKRVPGAKEGGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >ORGLA01G0305000.1 pep chromosome:AGI1.1:1:26419961:26421331:1 gene:ORGLA01G0305000 transcript:ORGLA01G0305000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42450) TAIR;Acc:AT5G42450] MPHRDVVSATAAIGALTRSGRHRDAVALFSGILGDGIVPNEFTFGTILQSASALRDLRVGAQLHACVAKLGLCSNVFVGSALVDHYAKMGSVREAQGALQDTREPNVVSYTALIAGFLKNGMSGDAARLFRCMPERNVISWNAMIGGSSKAGLNEEAVNLFLEMCREGVRPNESTFPCLLTSVANAGALGVGRSIHASAIKFLGKLDVFAGNSLVSFYARCGSLDDSVLAFKKIKNKNVVSWNALICGYAQNGRGEEALDAFRRMKATGLKPDRVTLLGLLFGCNHAGLVDEGYSLFRTAEMEQPGVLRPEHYACVVDLFSRAKRFDDAKRFLENLPFEPGIGFWKSLIGGCQIHWNRELAKSVAKHIHALDPKDTSSYILLSNVYSAAGSWQDVSMIRREIKEKGLKRITGCSWIEVQNQVHVFFNGDRRHPKSDDIYMMLESCLNSEEDEDCLV >ORGLA01G0304900.1 pep chromosome:AGI1.1:1:26415801:26417992:1 gene:ORGLA01G0304900 transcript:ORGLA01G0304900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRKLGRHAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKEGTLDAARRASAFVRGDDVVHKLFTELAYRYKNRAGGYTRLLRTRIRVGDAAPMAYIEFVDRENELREAKPATPQPPQRSPLDPWAKSRASQQWAGPKISEGSRKEGL >ORGLA01G0304800.1 pep chromosome:AGI1.1:1:26411006:26413291:-1 gene:ORGLA01G0304800 transcript:ORGLA01G0304800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAGSRGKGEQQRLPWREAQQEARCPDMAPPRPPRPRPGPARAAVVYYLSRNGHLEHPHFMEVAVASPDGLYLRDVIDRLDALRGKGMARMYSWASKRSYRNGFVWHDLADDDYIYPVAGREYVLKGTERLHPIQLPLLDAAAASSCSSGSQETATSSPPGWENGTGEARQKKGAGINTSELCEYRVYKAEDPAAAAADAATQTEDGYRSSRGGHQRHAQRAAAAAAQEELSREETSPPTASTSPETLEALIKADGRVMAAVTGGGRTRASSVLMQLISCGSVSVKSTLASPVMARTAAHYRPRPPRPPTLASTTTEIPNYRQKIVEDKEYFSGSLVETKRSSPADTSQDIAVLRRSSSYNADRVQKVEPSTEAVDMHDRCIPRRPRGKKDGGAYLISGGNAQYGSKRHGG >ORGLA01G0304700.1 pep chromosome:AGI1.1:1:26409634:26410137:1 gene:ORGLA01G0304700 transcript:ORGLA01G0304700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRVHASALLSLSSPAASASQPTSSSSTPAGRRALAAEGVFECKTCSKRFPSFQALGGHRTSHTRLQAKLLSDPAAAAAAAAERDRARVHECAVCGVEFSMGQALGGHMRRHRGETGTTTVVLADADDSGGATVPQPPEPMPDLNYPPLEDAGDGSEPELLNLLV >ORGLA01G0304600.1 pep chromosome:AGI1.1:1:26403261:26403830:1 gene:ORGLA01G0304600 transcript:ORGLA01G0304600.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAVCTRRICVXGYELLLWARKELENLEVVTADDGGDGGGNTVTVHGDKAPVDGKGEAATPAKRVDVSGGQECGVVRVEDVQRVETPTVEITNAMKDTEIVKNKDQERGGNEREEEETVAMAGTGSREEALLVLFDTTWKXGNLLTGGSGGTAGALALTRGRGGSGRQATPPVAGLLRRMESRRWXPPAGA >ORGLA01G0304500.1 pep chromosome:AGI1.1:1:26392327:26395514:-1 gene:ORGLA01G0304500 transcript:ORGLA01G0304500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRGAKRRKRQPEKALPAAGQAMPAPAPGGDWWDGFARRLAAGQFSKDCQNFESVFKMSRKTFDYLCSLISGDFTRKTQSFRNFRFGDKAILGVEDQVGVALLRLTTGESLLSIGNRFGMNHSAISNITWKFIEALEERAANHLKWPTPEEMATVKAKFEKIQGLPNCCGAIDTTHILMCSSAQPNSNVWLDGENRNSMVLQAIVDADMRFRDVVSGWPGSLNDSCILRTSGFYRLCEKGARLDGQTELPGEPAGSVVRDYILGDASYPLLPWLMTPYREKDLSPAKADFNKRHAATIMVVQGALAKLKERWQVLKGELWRPDKHRLPRIIYVCCLLTNIMIDLEDAARGGMPPSHNHDDGYRQQFSDVADVGAAALRDQLCQYVSRIGSSLPA >ORGLA01G0304400.1 pep chromosome:AGI1.1:1:26389787:26391878:1 gene:ORGLA01G0304400 transcript:ORGLA01G0304400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCPSVKNILVLDSEGKRVAVKYYSDDWPSLSSKQAFEKSVFAKTQKTSARTEAEIVMFDSYFVVYKFIQDLHFFVTGGDEENELILASVLQGFSEAIDYLLRNKVHRRAALENLDLIFLCLDEVVGGGIVLETDAKAILEKVSGHGLEGSGSLTEQKLSSALATAREHFARSIFS >ORGLA01G0304300.1 pep chromosome:AGI1.1:1:26374006:26374659:-1 gene:ORGLA01G0304300 transcript:ORGLA01G0304300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSRSMWDMQEFVGSVDTARVLMLLAQQSQHGLLGGGGFAAGAQPVVVRGGAHDRVFECKTCNRQFPTFQALGGHRASHKRPRQQQQHALGAGAGADDAGLCLGRQPTPPRPQPAKPRVHECPVCGLEFPIGQALGGHMRRHRAEAEAAATTTTTTTKNGDVGKAAAVKACDGGGVCLDLNLTPSENRAKCRNVVGLGAGGQGVHKALAMLDCFL >ORGLA01G0304200.1 pep chromosome:AGI1.1:1:26372122:26372520:1 gene:ORGLA01G0304200 transcript:ORGLA01G0304200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKISAPWGVGEDLASGSVRGGGGSRRGRPRGQRWTAATTADGEDLVSGGGGWGRRRLRPQRMGKLRRWLGKTSPPVQCAEAAAVECAVAAEGDDDARSEPRIERVMRRNLRPAFAPGEIVRWRRLDGTDYI >ORGLA01G0304100.1 pep chromosome:AGI1.1:1:26364455:26364718:1 gene:ORGLA01G0304100 transcript:ORGLA01G0304100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGAVGGSAAPDGAAAHRRSPSLVVVWCGSSGGDWPRPRTPESPSSSCRGPRALARVLGSGESSKPYGVVSARAAVPDAAQVARRASR >ORGLA01G0304000.1 pep chromosome:AGI1.1:1:26360831:26361106:-1 gene:ORGLA01G0304000 transcript:ORGLA01G0304000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRAGRHQRRASQSVFVLPENFASLEDVAADVVGGGGAEQRKPAAAADASSEQQPARMQAGRHRRAMSMAVAARDLEMITEDIASYKYGA >ORGLA01G0303900.1 pep chromosome:AGI1.1:1:26347889:26351373:-1 gene:ORGLA01G0303900 transcript:ORGLA01G0303900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS3-complementing gene 1 [Source:Projected from Arabidopsis thaliana (AT3G53570) TAIR;Acc:AT3G53570] MEAQWLAEYPHQGADKRPRKRPRLAWDVAPPLFQPPKAIPMLYCGQELINGNFATAFLPPPPIYYTGPPRNLSPPWRPDDKDGHYVFAVGENLTPRYRILSKMGEGTFGQVLECWDLEHQETVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRAFPIDLVREFARQILESVAFMHDLRLIHTDLKPENILLVSSESIRVPDYKVTIRPPKDGSFFKNLPKSSAIKLIDFGSTTFEHQDHNYVVSTRHYRAPEVILGLGWNYSCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIVRADRRAEKYFRRGLRLDWPEGAASRESLKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPDARLKAREALQHPFFTRCHRRCGY >ORGLA01G0303800.1 pep chromosome:AGI1.1:1:26338533:26342576:-1 gene:ORGLA01G0303800 transcript:ORGLA01G0303800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAGGGEEGEELLLLSAVEAGSFGGGGDGGGAGAAADKSWRLNFDGFRPPEVQQERRPPRGLHHHCLGVLSQGPEDVVAEYYQQQVEMLEGFNEMDTLTDRGFLPGMSKEEREKVARSETLAIRLSNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKKRMQPLGILVFASVMATLGLQIILESVRSLLSDGDEFSLTKEQEKWVVDIMLAVTLVKLALVLYCRTFTNEIVKAYAQDHFFDVITNMIGLVAALLATYIEGWIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSSMPLQEAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHEK >ORGLA01G0303700.1 pep chromosome:AGI1.1:1:26333023:26333809:1 gene:ORGLA01G0303700 transcript:ORGLA01G0303700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGGGGGGDSSPASYIRLVQHLIEKCICYNMNKEECMETLEKHANIKPVITSTVWKELEKENSEFFATYKKGQGEEPAESKSSSSSQEAAGSKRSGGDDD >ORGLA01G0303600.1 pep chromosome:AGI1.1:1:26329209:26329831:-1 gene:ORGLA01G0303600 transcript:ORGLA01G0303600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITARKNRSNVDHRFSMDSPAKTRASRSRVQCCDCQRGAVHLERRRAVREQQGGISLYDSMNVGMIKNRHGTVIIIRKKKQGPANSDAGTDAPMCPSA >ORGLA01G0303500.1 pep chromosome:AGI1.1:1:26323782:26328783:1 gene:ORGLA01G0303500 transcript:ORGLA01G0303500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuvB-like helicase [Source:UniProtKB/TrEMBL;Acc:I1NT45] MRIEEVQSAAKKQRIATHTHIKGLGLDANGAAIGLASGFVGQAAAREAAGLVVDMIRQKKMAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEAESTTSGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRCDSFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIEIDEESLAFLGEIGQQTSLRHAIQLLSPASVVAKANGREKISKADLEEVSGLYLDAKSSARLLQEQQERYIT >ORGLA01G0303400.1 pep chromosome:AGI1.1:1:26321441:26321932:-1 gene:ORGLA01G0303400 transcript:ORGLA01G0303400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1NT44] MTLYTTVATPAEAAGVPSSQHPVFAGHGPIGHHSGGWLRVLTRPGALQPGAAAVVDERFHGKKEFGMPLAGKLQGVLVTGLEDDDDSRIVAVTALFSGDGEEDSIRFFGVHRDDQEESHIAVVGGTGRYDGATGFAVVRAADAHKAGRNVSSNSVLSFRVHLK >ORGLA01G0303300.1 pep chromosome:AGI1.1:1:26317763:26320952:1 gene:ORGLA01G0303300 transcript:ORGLA01G0303300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKSSPTHAPAAAHAPASKASKASRPGPRSWVGYLLREQRLLFVLLGALIASSFFLLRPYLFSLSPSSHVPDRRPLFSFASHTSSASGVPPGFRPPPRRVVVTGGAGFVGSHLVDRLLEQGDSVIVVDNFFTGRKDNVAHHLRNPRFELLRHDVVEPILLEVDRIYHLACPASPVHYKYNPIKTIKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMEGDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHMRKPDITKAKHLLRWEPKVSLREGLPLMVKDFRQRILDE >ORGLA01G0303200.1 pep chromosome:AGI1.1:1:26313740:26315514:1 gene:ORGLA01G0303200 transcript:ORGLA01G0303200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDQYSENIKYDEEFFVNSRDNKLFTCRWTPHKQEPKALIFICHGIAAECSVSMRGTAARLVRAGYAVYGIDHEGHGRSSGQRCYIPNFGDIVSDCANFFTSICEKPENREKKRFLYGISMGGGVALLLHRKEPTYWDGAVLLAPMCKISDDMRPHPIAVSALKMVCAVAPSWRIIPTPDIIDKVCKDPEMRKQVRSNPYIYRGKLPLKTCHELLMVSLDIEKNLHEVTLPFLVLHGGDDIVTDPSVSKLLFEEASGRDKTFKLYPGMWHALTAELPDDVERVYSDIISWLDERSDCAGSVPETF >ORGLA01G0303100.1 pep chromosome:AGI1.1:1:26310216:26311779:1 gene:ORGLA01G0303100 transcript:ORGLA01G0303100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:I1NT41] MEPPQLDGQCGGEPPASVEGVVFMPYATADSSLRVMADDGDGSLREGCRRREPLWIVFDVSGTIHLSTGLRVSSYKTIDGRGQRVTLSGKGLQLRECEHVIVCNLEVEGCRGHDADAVAVKPGSQHVWIDRCGLRGCGDGLLDVTRGSTDVTVSRCRFSAHDKAVLIGGSAGHVEDRAIRVTIHHCLFDGTRQRHPRVRFGRVHLYNNYTRGWGIYAVCASVESQIVSQCNIYEAGEKKKVFKYMIEQAADRDQSSTGFIRSEGDLFLNGAECTAQDSEAAADELWDFKIQELDFYQSCSVQPASMALKELLECFTGWQPVPLPEDTCLEEETDSAPADTTA >ORGLA01G0303000.1 pep chromosome:AGI1.1:1:26305671:26309427:-1 gene:ORGLA01G0303000 transcript:ORGLA01G0303000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDRSCIGYLRSVIAGSVDTHTGHECTITNHAAEEGGGTAAAAAVKVMTVSGSGKRGRYVRQVTGRHNDTDLHVAARGGDAGALRRALDEAAAAVATGEGREALEEVRRAVAAEPNEAGETPLVAAAERGHLEVVRELLRHLDAEGVAAKNRSGYDALHVAAREGRHAVVQEMLLHNRLLAKTFGPANTSPLISAATRGHTEVVKLLLELDDFGLVEMAKDNGKNSLHFAARQGHVEIVKALLEKDPQLARRNDKKGQTALHMAVKGTNCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVAVLLRLPDTHVNALTRDHKTAYDIAEALPLCEESSEIKDILSQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNANNGVAVVVQAASFRIFFIFNAIALFTSLAVVVVQITVVRGETKSERKVVEVINKLMWLASVCTTISFIASCYIVLGRHFQWAALLVSLIGGITMAGVLGTMTYYVVKSKRMRKIRKKEKMSRRSGSSSWYDNTELSETELNQVYAL >ORGLA01G0302900.1 pep chromosome:AGI1.1:1:26300879:26301813:-1 gene:ORGLA01G0302900 transcript:ORGLA01G0302900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKPPTAHHLKPWVPTPTPRSHRVPSLPAVSGGGGGGARPARDRRRSSSSSSHRRGGTTTDAGAVDEEEVVEAYDGGLEDLRAKLMGHIKDVADRLHLPQPKPQPRSPEPETPPAPAPLPPPPPPPPDTSVAAAARPWNLRERKRRPSARGSTAASPTTAWARRADTTRGGGERPPFAVALAAEEIEEDMYALTGGRPRRRPRKRPRVVQRQLDSLFPGLWLTEITADAYKVPDD >ORGLA01G0302800.1 pep chromosome:AGI1.1:1:26296809:26297903:1 gene:ORGLA01G0302800 transcript:ORGLA01G0302800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGPQSHDQPLQEEDYIDMDLSSPAAAEAVTASARASLLCYSTAMAASPQNSREFEFHMSAPVDKWEPAASPADELFYKGKLLPLHLPPRIQMVEKLLESAAEKGLLSASTAPTTPYQSCNASPANSCYVSGELNAEHYFHECTSAGIGAAEEAAACEKKPWSKKLKFIRHLNLGLKLKASKAYIKTIFATKAGNPDGKTGTPSANELSNAQFKSWRKNPFGQIRSNRYIASPISNSVTLGGRLKEDECGHRRSFSSVIIRYSSSNKTSSASSSSCSSSNSSSSILSSTDSGVGPVLRRSSSASSEMDNPIQGAIAYCKRSQQLASVRKSASDAGFRFMSSSASRIAAESEDPDDIIEICGR >ORGLA01G0302700.1 pep chromosome:AGI1.1:1:26287417:26288766:-1 gene:ORGLA01G0302700 transcript:ORGLA01G0302700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAARALLLLIAVAGALLRPAAAEIKQESFKDDSRASILFEKFGFSRRGFVSIAITGARTSSKLAKAEPDQFGFFLLSDEALFEAIYEQPPPTDLNPNPEPNPGCVLSSPYVKPLFSFADLDGNGNYKKTFPVTQPDEYSLFFANCAPETAVTMEVRTDMYNTNLDGSKDYLSVGQAPVPAIYAFFTVCYLVFLAVWLYVTLYRNRLSAHRIHHLMSGLLAARMLYCISAAEDQHYIRIAGTPHGWDVMFYLFQLVKGVILFAVIALIGTGWSFLKPFLQDKEKKVLMVVIPLQVAANIAAAVVGETGPFLQGWVTWNQIFLFVDVACCCAVLFPVVWSMRSLRESSKTDGKAARTLAKLTLFRQFYVVVIGYLYFTRIIVYALKTITNYKYRWVSVAAEEVATVAFYLFMFYMFRPAERNQYFALDEDEEEAAELALREEEFEL >ORGLA01G0302600.1 pep chromosome:AGI1.1:1:26284675:26285382:-1 gene:ORGLA01G0302600 transcript:ORGLA01G0302600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRVELSDSNPDGGEDPVAMVYSFAVCYGVFLIAWLHRTLARGCSTARPVHDVMSGLLAALMLHCLTAAAHDGRYTSVVAGTARGWKVPCLALRLVKNAMLFPVVALIGAGWSLPEPFVLARELNVLTAMVPLQVYMAIATTLSGDGGVAWTWGHAFVLVQLACCVAVLMPMGRAIRALRKEADTDDKARQARAVPPALPRGGGVPVPHVDGRVHPEVARGRKFRLPLGERGGG >ORGLA01G0302500.1 pep chromosome:AGI1.1:1:26282494:26283012:-1 gene:ORGLA01G0302500 transcript:ORGLA01G0302500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDGKSRLELGNGNRQRPDLGVSYVKRALAGNKRRSLIKTKGCWLRRWRCIPREQHKEGSTSSGGGGDGAADEDSVQLHKRWIPLPANAPRGKLHEVVLGEEEESSTASIESRFVPSTKLGPSLGVLIASLRFSVSVGGADSDNGENRSSNIQQVLTLRRALGGRRRCSGR >ORGLA01G0302400.1 pep chromosome:AGI1.1:1:26277055:26279304:1 gene:ORGLA01G0302400 transcript:ORGLA01G0302400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVHDRLPFLATPPPAPVRGRNPPLAEMLRLVGAATVDSDAAAAAADEEANALSLPLPRGGVTPPPPGGRTIQFRLAFTSLTYSVRAARRARPGGGDGGGGFRLPLQNRCDRVTAAAPDAHSSRARVLLDGITGEAREGEILAVMGASGSGKSTLIDALANRISRDALKGSVTLNGEPLTGNVIKSISAYVMQDDLLFPMLTVAETLSFAAEFRLPRALPAAKKRTRVLELIEQLGLRAAADTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSTSAFMVVQVLRNIAESGSIVITSIHQPSQRILGLLDRLILLSGGRTVFSGPPSAIPAYFAEFGYPVPDDENRAEFALDLIREFESLPAGTGQLVSFNKTWQVMHAARHNPNDDPWAPTMSLKEAISASISRGKLVSGSDVAGEAASMHTYANPFWVEMKVLTKRSAINTRRMPELFLIRLGAVVITGAILATVFYKLDQSPKGAQERLGFFAFAMSTMFYTCADALPVFLHERYIFLRETAYGAYRRTSYVLSNAIVSFPPLVVLSLAFAFTTFFAVGLAGGVSGFAFYTLAILASFWAGSGFVTFLSGVIPHVMIGYTVVVAILAYFLLFSGFFINRDRIPDYWIWFHYLSLVKYPFEGVLQNEFGRGGECYVRGTQMFDNSPLAVLPDTVKTRVLASIGTALGVKIGPNTCVMTGHNVLREAAVTQLGKWECLLVTAAWGFFFRLLFYFSLVLGSKNKRR >ORGLA01G0302300.1 pep chromosome:AGI1.1:1:26259925:26268771:1 gene:ORGLA01G0302300 transcript:ORGLA01G0302300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGGEAAAGSDAKPTAGTGMEQPSPSPYQPSASDHHLWSSSTGAPWNYSMNNSNQNTVYYDPQRDVSVPGSTENVTSGATHVVQSAMGITGATDSYAPYSNSVQPGYNAPQYPNYYYNCPQSTNESSVQQGVDQSSGAAYQPLTSFQNSGSYVGPTSNTYYNAGAHQTAPGYATSNNYYQSNSWTGGSSGDNHVQSYQSYTPSDTNAAQSSSSLPNNSYHYHQQYNQWPYYYDQSAPSSGGPAVAVSSVSDANTASVSSGYVYPSTQPPPPGTTWRSDAGATAVPPPQAPGTPVFQNQHVNQAAGPPGYQNQYVNQAPGTPGFQNQYVNQAPAVPGFQNQYANLAPTYQPGTTYYSQLPLSNQADQQKASRWQGPISNVSSVNHVSESSQPTFQGSATSDALRVNKIQIPTNPRIAPTLPMAMPKVEKRNLEADSSKKPAYVSVAVQKNDVKAAQDGHEAVTQGSIPVSLRTYVGRNVSRCKDDAQRSAVQNILKEIITKATADGILHTKNWDIEPLVPLPENITSTNLTSSAKDLSPFSFSTSRRSPSRRAKSRWEPVVEEKVANKVELISKESAKTNTYNSSETTKRAGRSWDIGKFLQSRQAPLSQYNQRPSKKKRIGGNSSLTENGNVSSDSDKEQDLTKYYANAITIANSPEEKKRREHRSKRFERSQGAASSKSRSSVPDKDGTSNTYARRSMPMLLSRSNGDDVSFAVEDLDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALHMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVMVYETHARLALQAGDLPEFNQCQSQLKRLYAEGIKGCHFEFSAYNLLCVMLHSNNKRDLLSSMASLPKEAKQDRTVKHALAVHSAVSSGNYVLFFKLYKTAPDLNSCLMGKYDLPLSVI >ORGLA01G0302200.1 pep chromosome:AGI1.1:1:26254911:26255222:1 gene:ORGLA01G0302200 transcript:ORGLA01G0302200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:I1QBF3] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ORGLA01G0302100.1 pep chromosome:AGI1.1:1:26246771:26249552:-1 gene:ORGLA01G0302100 transcript:ORGLA01G0302100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWWASHIRTTQSKWLDNNVQEMEIRVKAMIKLIDIEADTFARKADLYFKSRPDLINHVEETYRSYQALADRYDRVSGELHKSNHTIATAFPEQVQLSLQDDNGDGFPKGITGININRGTSAAPKRTQTHKKISSKMSKDKAQEEIERLQKKILVLQTEKEFFKSSYESSLNKYLSIERQAAEMQEEVWSLQETFSTSAVIEDNEARALMAAQALISCEDKLASLHCEQKRSYEETTMEIQRVIDAKKKITIFKSECGYPDDQKDLPNHQDIEFSSIPSSIEDSDLIMKDCKLELQELSQKVKQKFESSSEASAVHLAGQVDEIVDKVISLEIAASSQNAQINRMKNEADELQKRLDSLEDEKAALIEDSSKLSERLKQVEEVLQTIQRIGKSVHSENGNIHQQLTEVDDSLNDFVKKLDAHSTDEIVNSSQDDSGIACKSKDEGLLDALDDSSKAHKEEPDETLGWQQLDLNGLEDKDKILLKDYASILRNYKDTQKQLLEIEKRNREYHLEAMSDMNELKSSSATKDDEIRSLRRMLSSLQTKLNNSLPQRFVESEESSEANASPSLENKNIAETEEYMKIREHEEPHDPSLEDKFRAEISRILEENLDFWLRFSTSYHYMQKFQKSFDKAKAEMDKLTDAKAQEGSDAVPSCQSARKQESAVLEKKLRGLSTDLQVWLEKNVLLQGELESRFSLLCSIEEEISKITALGQTDEAHFTPFQAAKFQGEVSSMKQENSKVTKELQAGMDHVRSLQVEVGRALLKLRENIELSIGRRNRTQHSFRSLSIKAGVPLRTFLFGSKPKKASLFSCMGPVMPKPVADMRAGPFG >ORGLA01G0302000.1 pep chromosome:AGI1.1:1:26241052:26242247:-1 gene:ORGLA01G0302000 transcript:ORGLA01G0302000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHSSSASSAASYGSDVSFMNHSPAAALPAVPTQIPRVAGGYLDGNASGGLPHFGGAVSSSSSSPPSYSSSLPSSYYNNIQRSISSHSLPHHLQLTDHFGGAFFSSSSSSSHQLPLPPPLSSSPSSSSGDLFEFTSPCPVRRVFSTGDLQGMNGSSPPRPLPSGESCGQDGSGPFSQKVGRYSAEERKERIERYRVKRHQRNFNKKITYACRKTLADSRPRVKGRFARNGEADAEGDEREAFDASYSYDYSAAGYSDYRSGSNSSSVNSCYNYNRKDGAATAASVVGGSDNGEWWWRAPGATTAEAERQRQAGFDVDEEIWATLGDMLSVNLAS >ORGLA01G0301900.1 pep chromosome:AGI1.1:1:26231211:26232062:-1 gene:ORGLA01G0301900 transcript:ORGLA01G0301900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIHGMQESKTASVIKVAREPAIIINGVPDLPPDVASESQPEPSNAAEPPVDHRFGEFLEGRKVRKPFGDKHFVGKVDKYDSESNWYSVVYQDGDQEDLEWKEVEEIMLPLDITIPLKTLISDKFALQNTAPVFKPKVGRPRKVYAITDGNTNNAMEDQMMTGAANEHQSNNLLALVPASTSNDAYVNASSQPRKRGRPRKDATMYPRKDATIPANTQPKRRGRPPKNRNLSGNAQSAECTPQNSVLIRNAQTVRAEKLAKAERLKRENMHAQGAPPGTQFF >ORGLA01G0301800.1 pep chromosome:AGI1.1:1:26226842:26231010:1 gene:ORGLA01G0301800 transcript:ORGLA01G0301800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYDHQSPHAQHPSGLPRPPGAGAGAAAGGFARGLMKQPSRLASGVRQFASRVSMKVPEGVGGMRPGGGRMTRMQSSAQVGLRGLRFLDKTSGGKEGWKSVERRFDEMNRNGRLPKESFGKCIGMGDSKEFAGELFVALARRRNLEPEDGITKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQAAPEKMKRTTSSLARTMIPSRYRSPLKRHVSRTVDFVHENWKRIWLVALWLAVNVGLFAYKFEQYERRAAFQVMGHCVCVAKGAAEVLKLNMALILLPVCRNTLTTLRSTALSHVIPFDDNINFHKVIAATIAAATAVHTLAHVTCDFPRLINCPSDKFMATLGPNFGYRQPTYADLLESAPGVTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYVLLVVHSYFIFLTREWYKKTTWMYLIVPVLFYACERTIRKVRENNYRVSIVKAAIYPGNVLSLHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTTELRNLFGKACEAQVTSKKATLSRLETTVVADAQTEDTRFPKVLIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNEEVESIHGSEIGSFKNNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHNNIIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSRIRTHFARPNWRKVFSDLANAHKNSRIGVFYCGSPTLTKQLKDLSKEFSQTTTTRFHFHKENF >ORGLA01G0301700.1 pep chromosome:AGI1.1:1:26219251:26221940:-1 gene:ORGLA01G0301700 transcript:ORGLA01G0301700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNKMHFLKLYSSSTSGRNGSLEHPTRTSSQGATKTSRTARPAGPDSAADRPSTKSPPAGRSPKVERRMTMSAEREKRRPPTKLSELESQLSQLQDELKKAKEQLLSTEHSKRRALQEAEDARAQAAAASAQVRDSEAQLAELSSAEESRLLELRRLSQERDRSWQSELEAMQKQHAADSAALVAAMGEVHRLRVQLAAAARADRKQDVVEAMATIDELRVKLKASEEAEAQARALHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVKELEESRAKVKALEEEIAETSAAKAGERCNCSASASASEVAELRSELESTEARFQEERILSTVETQCAYELMDQIKMESDSRHGKLAAALESTKSEVIFLKASLFDKDSELRRALDANEKLQSETRTDNELKEQLQGALLENGQLKRELQQHTSEKKASAKATDAADAAAEAAKKGEMEAELRRLRVQAEQWRKAAETAMALLTVGKGGNGKVVDRSESLEGGGGGGGKYAGLWDELDDDAAARKNGNVLRRISGMWKK >ORGLA01G0301600.1 pep chromosome:AGI1.1:1:26218585:26218955:1 gene:ORGLA01G0301600 transcript:ORGLA01G0301600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRAPGSAWIMLPPDRMDADVVARRLGDSIRPVVWFVLYHGLNSSFVSSGILTKKAGDVCYEMGWPKTEKGPGLRNGPKCLNHAD >ORGLA01G0301500.1 pep chromosome:AGI1.1:1:26212757:26213147:1 gene:ORGLA01G0301500 transcript:ORGLA01G0301500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLASVLGALARAECQFGQPSPAAFSPRRAAAFSPVRERKALPLPATCSFVDCHITRTNPGG >ORGLA01G0301400.1 pep chromosome:AGI1.1:1:26207990:26210508:-1 gene:ORGLA01G0301400 transcript:ORGLA01G0301400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGNWFSAVKKVFSSSDPDGREAKIEKADKSRSRRKWPFGKSKKSDPWTSTVAVPTSTAPPPQPPPPPPTHPIQPQPEEIKDVKAVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTTATTAVPKSPVSSKDELAAIKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLHCTQTMTRVQTQIYSRRVKMEEEKQALQRQLQLKHQRELEKMKIDEDWDHSHQSKEQVETSLMMKQEAALRRERALAYAFSHQWKNSGRTITPTFTDQGNPNWGWSWMERWMTSRPWESRVISDKDPKDHYSTKNPSTSASRTYVPRAISIQRPATPNKSSRPPSRQSPSTPPSRVPSVTGKIRPASPRDSWLYKEDDLRSITSIRSERPRRQSTGGASVRDDASLTSTPALPSYMQSTESARAKSRYRSLLTDRFEVPERVPLVHSSIKKRLSFPVADKPNGEHADKLMERGRRHSDPPKVDPASLKDVPVS >ORGLA01G0301300.1 pep chromosome:AGI1.1:1:26199523:26204562:-1 gene:ORGLA01G0301300 transcript:ORGLA01G0301300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit delta [Source:UniProtKB/TrEMBL;Acc:I1NT23] MVVLAASIISKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGSGKQHTYVETENVRYVYQPIEALYLLLITNKQSNILEDLDTLRLLSKLIPEYAPSLDEEGVCKAAFELLFAFIEAISLGNKENVTVAQVKQYCEMESHEEKLHKLVMQSKINETKDVMRRKVTEIEKSKTDRGKPDKGGFGSLRTPNSFSDMGIRGGGPGGDPIFGDMDSFTHKAKGRPSAPAPASASTKVPGGMKLSKAQKTNQFLESLKAEGEVILEDTQPSATQSRSSYIPPSDPITVTIEEKLNVTVKRDGGVSNFDIQGTLALQILNDTDGFIQLQIEIQDVPGLNFKTHPNINKELFNSQQIVGAKDPNRPFPSGQNETPLVKWRIQELNESSLPLAVNCWPSVSGNETYVNIEYEASEMFDLHNVVISIPLPALREAPGVRQIDGEWRYDSRNSVLEWSIILVDQSNRSGSLEFTVPAADPSTFFPISVGFSASNTFSDLKSNLTQSGTSKYLGGLNFSWAFCCITSFLLAFR >ORGLA01G0301200.1 pep chromosome:AGI1.1:1:26190799:26191785:-1 gene:ORGLA01G0301200 transcript:ORGLA01G0301200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSRAAACGALIFPTTASAAPVSRSVSVDQRVSHRRRKAVAVAAVPHASSGGALLERPAFDQSQLDTLPVTQEGGDTGRMRDRRGSGSGDSYKVLIIDDARHTEKLVEKALPQVVPSVTAEAARQLFHASRQKGAALVIVAVKEHAEFYAQMMVRQGLRSAIEPESDLAS >ORGLA01G0301100.1 pep chromosome:AGI1.1:1:26186315:26189047:-1 gene:ORGLA01G0301100 transcript:ORGLA01G0301100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1NT21] MAHKAAALVLLLVSVSVAAAASGDQESDRIRELPGQPAKVRFSQYSGYVTVNQAHGRALFYWLVEAVPAAGPIAPLVLWLNGGPGCSSVGYGASEEVGPFRIRPDGKTLYLNPNSWNKAANLLFLESPAGVGFSYSNKTLDLYVAGDAKTASDAYAFLVNWLERFPQYKYREFYIAGESYAGHYVPQLAQLIYEQNKGIQNPIINLKGFMVGNAVTDDYHDYLGTFEYWWTHGLISDNTYHNLKKTCLLESSEHPSPECLKNLNLASSEEGNIDPYSLYTKPCNNTASLKLGLGGRYPWLSRAYDPCTERYSSIYYNRPEVQIAMHANTTGIQYSWKTCSDIVGSYWADSPKSMLPIYQELIAAGIRIWVFSGDTDAVVPVTATRYSIDALKLPTLVNWYPWYDHGKVGGWSQVYKGLTLVTIAGAGHEVPLHRPREALILFRHFLQNTPMPTQ >ORGLA01G0301000.1 pep chromosome:AGI1.1:1:26184607:26185267:1 gene:ORGLA01G0301000 transcript:ORGLA01G0301000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLDSYPSIKDVTYSCGYCGYALNLSSSTRNTANIGSKYGKQIKKGVVSFFAVDESRFTQADEVTCVPYFHSRRSWGLFRRRSRLLCRKCGGRIGSAYEEDEPAAAALPACDGPDDLRTTSSGSSGSASSQKNYVIKINALQPSSDDSDAVAFTL >ORGLA01G0300900.1 pep chromosome:AGI1.1:1:26180919:26183433:1 gene:ORGLA01G0300900 transcript:ORGLA01G0300900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGVRVVTLRAVEATPESFAPFGQVVAAYGGKRFGPNDAQLDLSRGVPRFYIMRLEKRPLEFWTITHHASVTQCLGSIGGEDWYLGVAKPSIVEDGAHEQGGDGRKPVQSRAGHYYLPPDPAEVCVFRVSGAKFLKLHIGTWHAGPQFKADAVDFYNLELSNTNIVDHTTHDFKKDDGVTFVIED >ORGLA01G0300800.1 pep chromosome:AGI1.1:1:26172374:26174678:-1 gene:ORGLA01G0300800 transcript:ORGLA01G0300800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVYSPTAAAAAQQQQRGKVAAQAWQAVVGWIGFLLQVLLQILRGTPSCAQLLSFVGFRYPLLSGPADSEQSPSVAFMPLRSEIPADTAPAPTPPPESLQRLTVVLDLDETLVSAYESSSLPAIVRTQAVEAGLHCFDMECISTEKDVEGRNKVNHVTVFERPGLHEFLQKTSEFADLILFTAGLEGYARPLVDRIDVHNRFKLRLYRPSTVTTEYREHVKDLSCVSKDFCRVVIVDNNPFSFILQPLNGIPCVPFSAGQHNDDQLMEVIFPLLKHLSIQRDVRPALYERFHMPEWFQKHGIPQTDQAV >ORGLA01G0300700.1 pep chromosome:AGI1.1:1:26169756:26171753:1 gene:ORGLA01G0300700 transcript:ORGLA01G0300700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAAVAVAVAAATALPSPSSSSSHRRLPATAKSVWVNPSLPSSHPFHRRKPAEPQHQRQDHVPDLPALLAALSAARTASDLAAAVSPHRPVSPRLLGTLLSRLPDARRGVALLDLLAPDLPASALLIPYNLLLRSACRAGMLRLASGLLLEMRDRGVAPDAFSYSTLLAALTRAGHLDHALTFLPLMEDDAVAPDLVLFSNLIHLALRGSDAPKALALFSRLRGAAGIKPDLKAYNAAIAAYCKSDLLRDANRLLLHDMPSDGVAPDAESYSPILAALARRGRHLAAVSLFTHMRAVARVKPDLSVFNIVLNAYGQLDLAREADRLFWSMRRAGVAPSVVTYNTMLRVYGDAGLFGEAVHLFGLMRSAASDGSDRGGSVIKPNVVTYNTMIAIYGKSLEDEKAGSLVQDMQANGIQPNAITYSTILSIWVKAGKLDRAGKLFEKLREAGTEIDPVLYQTMVVAYERAGLVSQSKRLLHDLKDSEDVPKETAIKILANAGRVEEAAWLFRRAADAGDVRDTSVYRMMIDLFAKSRRHRSVIEVFDEMRKAGSLPDSETIAITMNAHGKLKEFDKAAALYRAMREEGCVFSDRVHFQMVSLLGAQKDFDALEALLGELSDDPSIDKRELYLVAAGVYERACKFDESSQIISQIRSPNAIGVQKFR >ORGLA01G0300600.1 pep chromosome:AGI1.1:1:26164346:26168967:1 gene:ORGLA01G0300600 transcript:ORGLA01G0300600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGLDEVMAFLTDHGFAGAASALRDDVLARAASAAGDAGSDSDAALDPQLPPLRLPASTSGGGGAPAAPPASASPGSSSDSASSSAFVSMRSSPSGMLNPYGVWSPRHSDTSSSEMEFGTARQYDATDFFFQEGWLYDDHLFHSKSELDDDNGEDKEEDKFVLGVHDGSGRIEMGVLSAGDDHRHEHVGNDGCEGCAEVYTCSSPLCGCCGEGLKNGGLEVVKDSSSTVYGRYQIIDDQTEILDECGMDGFQLKHPADVVLECHLPRDSGEGDERSELSVVEKELQMLSSFGTRVDADAFTSPGLVHDITDNAKLDDSIEKNMRNSSDKYLKEGYSIEPFPESSVDDTFEFGDIGPLNTDAQNSTAAKAEEENPETNVDLALANFHREYEVFELRIVHRKNRTGFEVSKDFPIVINSVIAGRYYVTEYLGSAAFSKVVQAHDLQTGTDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEYHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQESGGEAYFTLPRIQAIARQCLEALVYLHHLRIIHCDLKPENILIKSYSRCEIKVIDLGSSCFLTDNLCLYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELYTGEVLFPNEPVPIMLAQMIGIIGPIDMEMLALGEETQKYFTDDYDLFTKNEETDQFEYLIPEKSSLQHHLQCPDSEFVDFLSYLLQINPRRRPTASEALQHQWLSFAY >ORGLA01G0300500.1 pep chromosome:AGI1.1:1:26147933:26151434:1 gene:ORGLA01G0300500 transcript:ORGLA01G0300500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCMQEWPEPVVRVQALADSGLEAIPRCYVKPPCDRPAPEADDASSGASIPVVDLGNGGDDEGGQLAEAVAAACRGWGFFQVVNHGVRPELMRAAREAWHGFFRLPLQEKQKYANSPRTYEGYGSRLGVEKGAILDWGDYYFLVLSPDAAKSPAKYWPANPGICKEVSEEYGREVIKLCERLMRLLSASLGLDETRFQEAFGGADCGAGLRANYYPRCPQPDLTLGLSAHSDPGILTVLLADDHVRGLQVRRRDGHWVTVQPLPDAFIVNVGDQIEILSNSMYKSVEHRVIVNAEEERISLALFYNPRGDVPVAPAPELVTPERPSLYYRPMTFDEYRVYVRKNGPKGKAQLEALKGQSITQNNE >ORGLA01G0300400.1 pep chromosome:AGI1.1:1:26131790:26137417:1 gene:ORGLA01G0300400 transcript:ORGLA01G0300400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVKSSHFPASAGKKKPHQARNGGVGGGGGGGGGGGGGGGGAGQKRLPVLGEEGCELIGGIDDKYALDRELGRGEFGVTYLCMDRDTKELLACKSISKRKLRTAVDVEDVRREVAIMRHLPKSASIVSLREACEDEGAVHLVMELCEGGELFDRIVARGHYTERAAANVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSENAKDLVRRMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKAMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGKGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGYIEPEELREALVDDGAGDSMEVVNDILQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSMKLIKDGSVKLVNE >ORGLA01G0300300.1 pep chromosome:AGI1.1:1:26121865:26124966:-1 gene:ORGLA01G0300300 transcript:ORGLA01G0300300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLVLLGLPILLFCSDLVTLFGPEQLPTPQPDLPPHPSPDAASDAVQPDDIAADAAASAQIAEPQVDGPASGTTVELKFCASCSYRGNAVTVKKMLETSFPGIHVVLENYPPPFPKRALSKAVPFLQVGAMATLMAGDQIFPRFGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGQLVFSKLSEQRFPSEFELRELIGNRLPDSQFGKNLEKVWS >ORGLA01G0300200.1 pep chromosome:AGI1.1:1:26117706:26119484:1 gene:ORGLA01G0300200 transcript:ORGLA01G0300200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRTLAALLFSLAAIHGIVVVAVDAAAAAVPRGGSARRIPAVFAFGDSTLDAGNNNRLVTAVRADHPPYGQDFPGGAPTGRFCDGKIMSDFLVEALGIKGLLPAYHSGSEVLSDADAATGVSFASGGSGLDDRTATNAGVATMASQIADFSELVGRMGAGKAGDVVNKSLFLVSAGTNDMIMNYYLLPSKYTLDQYHALLIGKLRSYIQSLYNLGARRLLVAGLPPVGCLPVQMTLAALRQPPRPQGCIAEQNAEAEKYNAKLRKMLTKFQSTSPGAKAVYADIYTPLTDMVDHPQKYGFAETGKGCCGTGLLEMGPLCTDLMPTCTTPAQFMFWDSVHPTQATYKAVADHFLRTNMLQFDD >ORGLA01G0300100.1 pep chromosome:AGI1.1:1:26113202:26115942:-1 gene:ORGLA01G0300100 transcript:ORGLA01G0300100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLISAHLLSLPSSAPRSRPQSRPPLSPPAAAAAASCSFDLPRPRRLVADGSRRKGTMAAAIPPEASGLAHDLGSAAVTAGVALALLRFFEELAKRGVFEQKLNRKLVHITIGMVFLLFWPLFSSGSYAPFLAAVAPGINIIRMLLLGLGVMKNEAMVKSMSRSGDPRELLKGPLYYATTITFATSMFWRTSPIAIALICNLCAGDGIADIVGRRLGQEKLPYNPNKSYAGSIAMALAGFMASIGYMHYFQSFGFIEESWSLAFGFLVVSVTAALVESHPISTHLDDNLTVPLTSFLVGSLVF >ORGLA01G0300000.1 pep chromosome:AGI1.1:1:26109233:26110918:-1 gene:ORGLA01G0300000 transcript:ORGLA01G0300000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:I1NT10] MHLRMASPPQPGPYMPDLPAVPAWLNKGDTAWQLVAATFVGIQSMPGLVVIYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGDRLLPFWAKAGPALTQDFLVQRAVFPATAHYGSDGTLETPRTEPFYAEAALVLFEFEFAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVGAFSLWGGGFLYQWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNVTATVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWSAMLMGMFAGSVPWFTMMILHKKSTFLMKVDDTLAVFHTHAVAGILGGVLTGLLATPELCALDCPIPNMRGVFYGSGIGQLGKQLGGALFVTVWNLIVTSAILLCIGLFIPLRMSDDQLMIGDDAAHGEEAYALWGDGEKFDVTRPETTRTGGAGGAGREDTMEQRLTNMGARGVTIQL >ORGLA01G0299900.1 pep chromosome:AGI1.1:1:26107600:26108154:1 gene:ORGLA01G0299900 transcript:ORGLA01G0299900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPGVSAKKRHAGVRFTLGCGCKDAKSVSVSAPAVGTPSTTATRRRSARMNPSGSTTTDTLTMTSALSSFLWERSVVEFDDDGGDYGPESFSGLLRELSELEQSVASWGRKSHHQNHDKKHSPPSSSPLPSQEDRKEKNGSNGDATDKPGDCRDGDDGVGVGLDGSVAVVKQSDDPAGRVPVV >ORGLA01G0299800.1 pep chromosome:AGI1.1:1:26099640:26101859:-1 gene:ORGLA01G0299800 transcript:ORGLA01G0299800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:I1NT08] MALPTRPGPYMPRPPAVPEWLNTGDNGWQLAAATFVGLQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGDRLLPFWGKAGAALTEGFLVARASVPATAHYGKDGALESPRTEPFYPEASMVLFQFELAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVCAFSLWGGGFLYQWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNITASIAVLNTNVSAAASLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWAAILMGICGGSLPWFSMMILHKRSALLQKVDDTLAVFHTHAVAGLLGGFLTGLFALPDLTAVHTHIPGARGAFYGGGIAQVGKQIAGALFVVVWNVVATTVILLGVGLVVPLRMPDEQLKIGDDAAHGEEAYALWGDGERFDVTRHEGARGGAWGAAVVDEAMDHRLAGMGARGVTIQL >ORGLA01G0299700.1 pep chromosome:AGI1.1:1:26096072:26098997:1 gene:ORGLA01G0299700 transcript:ORGLA01G0299700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGVGGGRSGGRDAEGEWEVRPGGMLVQRRDGDTGPAVRLRVSHGASFRDVAVPAHSTFGELKGVLTQATGVEPERQRLFFRGKEKSDNEFLHTAGVKDGAKLLLLEKPAPANVEQRAEPVIMDESMMKACEAVGRVRAEVDRLSAKVCDLEKSVFAGRKVEDKDFVVLTELLMMELLKLDGIEAEGEARAQRKAEVRRVQGLVETLDKLKARNANPFSDQNKSVSVTTQWETFDNGMGSLNAPPPRVSSTQINTDWEQFD >ORGLA01G0299600.1 pep chromosome:AGI1.1:1:26076068:26076715:1 gene:ORGLA01G0299600 transcript:ORGLA01G0299600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPRGFPIHPQPYHLHPTAGGLGEGRMRGGGRRRPGAKLSTDPQSVAARERRHRISDRFRVLRSLVPGGSKMDTVSMLEQAIHYVKFLKAQVTLHQAALVQHEEGCQHADVAAAFAAADADLALELNHRHGGAGDDDAGMTTLEMAPMQEAVGYGDGPAHQMMQQALDPAGQLMMGGAHQLPPLPCCVFVQETDPSCYSVCNVHGEESGAQGSY >ORGLA01G0299500.1 pep chromosome:AGI1.1:1:26065726:26066295:-1 gene:ORGLA01G0299500 transcript:ORGLA01G0299500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQDASSQALGLRWRYGDVDDGNFAVRGRAVPLLVALLFVLVCFVAVSLYLRWACHCHRYGRDTTPMPATTSSGFSSSHAAATAPGSASSVTGLDDATIASMPVALYRAVASAAGDGDDGGAAQCSICLGEFEEGEKVKALPLCGHGFHPECVDAWLRSRPSCPLCRSSLLPAAATTKPDVAGSDAV >ORGLA01G0299400.1 pep chromosome:AGI1.1:1:26055526:26060972:1 gene:ORGLA01G0299400 transcript:ORGLA01G0299400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRKFSQAGGGGGGGVFDPFGTKQAVSSLRKGGRLPVYVAGVFFVIFVIIMYGEDIRSLTLDPIARAGTTPARIVEPVVTEERHVARVNPPRREVSSAEKAAALPLDVDERPKLATPTPTEAAKEVPKVEKIRKPKKPKTTKKKPRKPRPAKKTVAAAAGGLLGVPETCDLSKGEWVFDNTSYPLYREEQCEFLTSQVTCMRNGRRDDTYQKWRWQPKDCSMPRFDAKLFMERLRGKRFMFVGDSLNRNQWESMVCLVQSAMSPGKKYVTWEDQRVVFHAVEYNATVEFYWAPFLVESNSDDPKIHSIQHRIIKADAIAAHAQNWRGVDYLVFNTYIWWMNTLNMKIMRPGGQSWEEHDEVVRIEAYRKVLTTWASWVNDNIDPARTSVFFMSISPLHISPEVWGNPGGIRCAKETMPLLNWHGPIWLGTDWDMFHAAANVSRTAAPRVPITFVDVTTMSERRKDGHTSVHTIRQGKVLTPEQQADPGTYADCIHWCLPGVPDIWNLILYTRIMSRPQLV >ORGLA01G0299300.1 pep chromosome:AGI1.1:1:26047620:26049433:1 gene:ORGLA01G0299300 transcript:ORGLA01G0299300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAVETTAVVVGTRMAAAKECNAVAVGRKKGRRGRMTWIDRLPEVGGGRTWTGYKKGKLRMGRLVTAGCSEGGSEVRRDWLRRRQGQRKGIGKRQIIGSETCTVSTKID >ORGLA01G0299200.1 pep chromosome:AGI1.1:1:26042607:26044372:-1 gene:ORGLA01G0299200 transcript:ORGLA01G0299200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSTAAASSMSGANGGGGGGSVFPGPPPPTPSNHHHALPSSGAAGGGTDAALSTILQRLLLSSPAPILRSPLSSRSRAPPSLPPLVSLGSSGTLRLDAAADVGYFHLEGHGVPSQLPSSALAELSLVDASARRASNLLTLGFTEEDQQEADGAEDPALVFDVDDEGEMGALPAAAAEYARRMRDVGMQVVAMMSGCPEVGFGEAPFAEGRRKARCLMWVSKVAAGEAAPPAAGKAKAYPYVVGIHCQWEASGKEAAPASWVMDDGGEWTAVGARDGALLVTIGDIAQVWSNGKLKKVRGMARPVSSAPGAGHGAEADRLSVTVLITLPLDSVISPLVPVTDAGEEGGDDDVDGAGDDGDGWRFHSFLLEDLAWRVYNGRLQFKDPLVRYRI >ORGLA01G0299100.1 pep chromosome:AGI1.1:1:26035886:26040261:-1 gene:ORGLA01G0299100 transcript:ORGLA01G0299100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT4G28820) TAIR;Acc:AT4G28820] MAGGSCDVCKEAPSKYKCPACRTPYCSVACFKNHKDKFCQKTIPLEEVSKSSLQEEISRNSRSLEEATNCPNDKDQTPSLLSDTTCPTQYPNTLHSAKSLEVEDPSWLVDKNGLRSLAESNEIRDALKDCKLQQMLLKIDGSAEPEKELEKLMEGQVFQQFTNKILDIVSPQQ >ORGLA01G0299000.1 pep chromosome:AGI1.1:1:26032523:26034208:-1 gene:ORGLA01G0299000 transcript:ORGLA01G0299000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEAAGSSRRMDLNLYLGLPRAPRPRRSDLGSDLALSTPMPSSPSSSAASVDAPPPPPELSHPPYSPSHADLSPPLQEVYSLYNPDDPPASETHLPPYAPPPAPVVSELPDDLEFGLHPPPPLVRASELLGWEDRPSSSTASSSFLPDTAARYWRLLEQTGSRWLRARRFRSDLPPLSSEAYPAGRDAAAVPVLQHEPMNDTVEHNKVAADGAEVGASEESEEQGRSAATFECNICFDMASEPVVTSCGHLFCWPCLYQWLNVYSNHKECPVCKGEVTEANITPIYGRGNSCLDAEKAVEGGKQTGPTIPPRPHGNRLESFRQQFHHLRPISRRLGEAHGLLSSWRRLLDQQIMNTASRFEGPPESAVQEMVDTAHAQHTSRLSRLASRMRARRLLREADNPNPPDGGSTSPDSGLIRNNASDPSRNGPSSLLPDGIDWLRGLTLLGYEDTERFASAMSDFRRITGPSQYGASASSSNPPNLESTFDRTHVVAAPSADQASNSSTAAVIQGDAGISESAGEPSNAGSSRSLRRRGRSSALGSLDADGGGLQRNKRRRIN >ORGLA01G0298900.1 pep chromosome:AGI1.1:1:26025981:26029871:1 gene:ORGLA01G0298900 transcript:ORGLA01G0298900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLRSLSRISRRGCVGGAGPSPFHHSRLPYSPFSTAAADAVERRGFAGLGPTAKGEKARVVVLGTGWAGSRLMKDIDTTGYEVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPLARIQPAVSKSPGSYFLLARCTAVDPDAHTIDCETVTEGEKDTLKPWKFKVAYDKLVFACGAEASTFGIRGVTDHAIFLREVHHAQEIRRKLLLNLMLSDVPGISEEEKRRLLHCVVVGGGPTGVEFSGELSDFIIRDVKQRYSHVKDYIHVTLIEANEILSSFDVRLRQYATNQLTKSGVRLVRGIVKDVQPNKLILDNGEEVPYGLLVWSTGVGPSSFVKSLPFPKSPGGRIGVDEWLRVPSARDVFAIGDCSGFLESTGKDALPALAQVAERQGKYLAHLLNHVMKTGGGHANCEIDVDLGPAFVYKHLGSMATVGRYKALVDLRQSKESKGISLAGFVSWFIWRSAYLTRVVSWRNRFYVAINWLTTLLFGRDISRI >ORGLA01G0298800.1 pep chromosome:AGI1.1:1:26022736:26025401:-1 gene:ORGLA01G0298800 transcript:ORGLA01G0298800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSTPLFSPCCAAATAKLGAACPSSYGSRRRPCTRRGRLSVVAVQTGPQKPSPSSSSQAGTESETLQNLLKREYKYGFVSDFESFSIPKGLSEATVRRISELKAEPAWMLDFRLAAYRRFLTMVQPTWSDNVYEPVDLQSICYYSAPKTKPKLNSLDEVDPELLNTFDRLGIPLSEQKRLANVAVDAVIDSTSIATTHREELMKKGVIFCSISEAIREYPDLVKRYLGSVVPPADNYYAALNSAVFSDGSFCYVPKDTVCPMEISTYFRINDKETGQFERTLIVADERSTVSYLEGCTAPAYDSNQLHAAVVELVCEEQAEIKYSTVQNWYSGDEEGKGGIYNFVTKRGRCKGRGSKISWTQVETGSAITWKYPSVELLGDDTVGEFYSVALTKDYQQADTGTKMIHKGKNSRSRIISKGISAGKSRNCYRGLVQINSGAENAYNSSQCDSLLIGDNAAANTYPTIQVGCISSRVEHEASTSKIGEDQLFYFQQRGIDHEKAVAAMIGGFCRAVFENLPYEFAHEMDALMNLKLEGSVG >ORGLA01G0298700.1 pep chromosome:AGI1.1:1:26019095:26021685:-1 gene:ORGLA01G0298700 transcript:ORGLA01G0298700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVGDPRDGPSTERAFEGQPVPPWTEQVTLRAVVASVALGVALSSVMMNLVFTSGIIPSLNISAGLLGFFLLKAWTRLLDQLGSPGRPFTRQENAVVQTCVVACASMTYSGGFGSYLLAMDRKTAEKTSTGDDSSASVSEPEFGRMMAFFFLVSFVGLLAIVPMRKTMIIRHRLTFPSGSATAHLINSFHTPHGARQAKRQVSLVLRSSLASLFWSIFQWFYTGGPNCGFTSFPTFGLSAFNRGFYISLNGTYVGIGMISPHLINVSMLFGSIISWGIMWPYIRSKRGIWYDADLQETNLKSFSGYKVFCAIAMILGDGIFQLVAISLRTIHTVRHHQVAAETLRSFSDVDAMPRPVMSFDDRRRTQVFLREHIPSTFAISGYVVLATVSTVVIPLMYGQVRYYHVAAAYAFAPVLAFCNAYGTGVAETNFSAQYNKLVILMFASWIGIKNGGIVGSLVICGIVSSTASTASDFMSDFKTSYLTLTSPRATLVSQVIGTAMGCVVNPAVFTVFHHFYEMNPNKTYQAPLAKIYRGIAVLGAGGLELPKYCLAISATFFVLALAVCAMREVAAHGKWRAEPYIPSVTGMAVSFLLVPAVSIDMCIGSLIVFLWNRNDKLGLQVFGPVLASGLICGDGLFSIPYALLARYDVTPPICIRFLGRVQNDKLDAFLASKAKAG >ORGLA01G0298600.1 pep chromosome:AGI1.1:1:26017243:26018433:1 gene:ORGLA01G0298600 transcript:ORGLA01G0298600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1NSZ6] MASTVAINLIGAQAGIISKLRNCDITSYSGLKARSSISFESRSSFLGQNASLRSSISPRIVPKANSGSHISPEASYKVAVLGAAGGIGQPLGLLIKMSPLVSALHLYDIANVKGVTADLSHCNTPSQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKTLVEAVADNCPEAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTNEETEELTRRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFVQSELTELPFFASRVKLGKNGVESIISADLEGVTEYEAKALESLKPELKASIEKGIEFVHKQQAASTS >ORGLA01G0298500.1 pep chromosome:AGI1.1:1:26014140:26015327:-1 gene:ORGLA01G0298500 transcript:ORGLA01G0298500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTQSVGAMPMEIVTRRDKEGLRCNGADGEKLPQLLDSPLPTPRRSCASADAASVRCRREASPLRTQVPFSWESSPGVPKRSSACMHMAQEIMPPPKPPPGRWTQCPGSNWCGYGNSTAASSDDDDASFSDALDRVSTPDQRVGSFDRITSKRFEDIFLGRATSFVNDRSSRRAPAEASLATPSSSSGRGPKHWRRRTTRRDHDGQQPTARQSNDHPVQVQLLPRININGRDEQMSPRACGLMVFFPWSAKPAVCGFRSPPAQYAPSPLAGASNPSSSQSRRFVTLRDAMQEENKTGSGGRDLPRPRGEKRSREEWQAASRGWGVSSLLDASKKYCTDARKALSKLSIGLGTDSGSGSGSPRVGSRERKCGKQDPSSTMPAMATKLTQLRTSRN >ORGLA01G0298400.1 pep chromosome:AGI1.1:1:26012884:26013286:-1 gene:ORGLA01G0298400 transcript:ORGLA01G0298400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLQCCWLAALPFELVTNSSAFRLEKDCPVLRCALVLLIVASSEVWSVQGEDCWNVDNVHYLVCTHTHKCRETCQDHGNVDGRCKWGFSHLWPICECLPPNFQ >ORGLA01G0298300.1 pep chromosome:AGI1.1:1:26008863:26009630:1 gene:ORGLA01G0298300 transcript:ORGLA01G0298300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPWVKTRPSSPSSAAASPSPSTALAAAAASPRLSFSSPSLKDLQALLLSDHSPSPTPPQLPPNTAPCSPSVRVFHRVRVAASALRALRTLQAPHAAAAEADRRVVLYFTSLHVVRSTYEDCRAVRAILRGLRASVDERDLAMDPRYLQELGALLPRARGVTLPQVFVGGRHLGGAEEVRRLHESGELRRVVAGAGATAFAACSRCGGERYVLCGSCNGSHKRYSLKGGGGFRTCAGCNENGLVRCPDCSPPAV >ORGLA01G0298200.1 pep chromosome:AGI1.1:1:26005972:26007223:-1 gene:ORGLA01G0298200 transcript:ORGLA01G0298200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFLLVLLLCTPKLSQATTVTWQLPNLPLGVPVLENRASKQWHIDRRAREEKKRARREGDLGMKRAGRNRAAKPRLSNAPRPRFSTLPPLDSAEERVTKFGHTRS >ORGLA01G0298100.1 pep chromosome:AGI1.1:1:26002834:26003846:1 gene:ORGLA01G0298100 transcript:ORGLA01G0298100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAWFASMLEEGGGFDGADRDDTDWVVGGSLSACRSRWEEVRSIRRRVSEIQGMMKEARDMKLKKANRDCNRISHILANKARCKSLANFWPDGSCNFISHLVCYG >ORGLA01G0298000.1 pep chromosome:AGI1.1:1:25997383:25999245:-1 gene:ORGLA01G0298000 transcript:ORGLA01G0298000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKPASPSPPPSTAVVPAANTAAAADKPAVEQLLEVAEDEVVIDFKPNAKCRADLRLRSLHPSLPVAFKVQTSSPLKFLVSPPRGAVQPLSSASLRVVLRPQQHAPASFPRSRGDRFLVLSSLSAAHLDSSASSSAATGGTTAIRLRVFFGGPYLLRLAAEAGDAAAVRLILRRQPHLLPLLEPEAAVPDGAEQWAPLHTAAARGDTGEVRRLGPDSMGARDREGRTALHVAAAAGEAEAAAALVDMGADAAATDARGRTPLDVAREKGYQEVVDVLERWELVMTAARRGDLQSLESLLSKRAGVRGRDQYGLTALHLAAIKGHCDVIALLAGSGCMDIECEDVEGHRPLHLAVEGGSAEAVELLLDMGAEVHAATRRGATPLQMAVTMGYEAIALLLRGRGADEAAAPALCIASSSSSSISCA >ORGLA01G0297900.1 pep chromosome:AGI1.1:1:25994549:25996051:1 gene:ORGLA01G0297900 transcript:ORGLA01G0297900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 10 growth stages; BEST Arabidopsis thaliana protein match is: Thioredoxin superfamily pr /.../(TAIR:AT2G31840.1); Has 114 Blast hits to 112 proteins in 39 species: Archae - 2; Bacteria - 0; Metazoa - 17; Fungi - 6; Plants - 67; Viruses - 0; Other Eukaryotes - 22 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G28590) TAIR;Acc:AT4G28590] MLRLPTLLPLKPSPPTTGLNPIHGRRHGHHPSRLLASSAPPPPPPRPPKPEPRTSHENLGDDTPDFPTTKPRKPRRGRRSEAAAVEDFVRGRLEQVFAFIRERDPEVLEGKGDILKRKEELSDEEGKEGTGEEEGELKAVVEEEDPSWPLDADIGWGIRASEYFDKHSIKNVTVDGVEIDWEREVEEGWVKEINCLEWESFAFHPSPLIVLVFERYNRAADNWKFLQELEKAAKVYWNSKDRLPPRTVKVDMNIERDLAFALQVKECPQLLFLRGNKILYREKELRTADELVQMIAHFYYNAKRPSCVNPEAIAPPC >ORGLA01G0297800.1 pep chromosome:AGI1.1:1:25990461:25991099:-1 gene:ORGLA01G0297800 transcript:ORGLA01G0297800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGPGPSSAAAGGAPAVAAAPQPPAQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLRYLDQFGKTKVHASGCAFYGQPSPPGPCPCPLRQAWGSLDALIGRLRAAYEESGGTPESNPFAARAVRIYLREVRDSQAKARGIPYEKKKRKRSQAAQPAGVEPSGSSSAAAAAAGGGDAGSGGGAAATTTAQPGGSGTAPSAS >ORGLA01G0297700.1 pep chromosome:AGI1.1:1:25987087:25987626:1 gene:ORGLA01G0297700 transcript:ORGLA01G0297700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSIGASSPIGSTGAFSPIGSTSFDDDGDADDDGTTSNRGTRNGGAGDGGCRGARPDDRGGEGDGCWGGAGDGCRFGDDGRRCSTAAGRDAARQRRCGGRRRRCGAAIGRDAALRRAEMQRGGGWRCSAAAGRDAAAGRDAAAGGDATRWWRGGVGASPRKGLKSRLTGRSKRGLTG >ORGLA01G0297600.1 pep chromosome:AGI1.1:1:25964276:25972864:-1 gene:ORGLA01G0297600 transcript:ORGLA01G0297600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSLPFIASEHKRDAYGFAVRPQHLQRYREYANIYKEEEEERSERWKNFLDSQAEYDESSGEDQDAKVSPSAEDEEAGKKAEDGRSKLSDEQKVKQQRPHKIQIWSEIRPSLGHIGEMMSLRVKKKQSSADKENAANELQSANNEEIKPSEDSDDEFYDVEKVDPNQEGPVADSADADSGMNVDANQEGHYPWKEELECLVRDGLPMALRGELWQAFVGIGARRVKGYYESLLAADDERENSKGSDSPTMEGKPKGSPFSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWALTGIMDDYFDGYFSEEMIECQVDQLVLEELVREKFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFDGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQAVDEARLQELRNKHRPSVISSMEQRAKGLRVWRDTNGLASKLYNFKRDPEPLVSLSTEQLSDLTETSSGSTDDMYSGLTVNTEIDSLPDPKDQVVWLKVELCQLLEERRSAVLRADELETALMEMVKQDNRRELSAKVEQLEQELSDLRQALLDKQEQEQAMLQVLMRVEQEQKVTEDARIFAEQDAAAQKYAAHVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKAQLPSPSPSPRTPTRDASPGQVNQDSSQEFQPRRISLLAPFSLGWRDKNKGKQNISDESTNGNLNSNTEQMVDTPKKDDEKQGDSPQEGEQRVDTPRRDSEHRLDTPETTIKLEEQLEEIKLD >ORGLA01G0297500.1 pep chromosome:AGI1.1:1:25961089:25961371:-1 gene:ORGLA01G0297500 transcript:ORGLA01G0297500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVPRRQELGATAQHLSSSACKLMEVLVYYGAYGLRLREYLASSAIALFLSEEIVRERLGGVFLAVSCLVW >ORGLA01G0297400.1 pep chromosome:AGI1.1:1:25955550:25955789:-1 gene:ORGLA01G0297400 transcript:ORGLA01G0297400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSHAPVKRCLSKAPSGNPLPRRGQVKESMGKQIVAAAAAVATAAALACEKTGGGGAGSGDKKGSGRPAPVVGAKKK >ORGLA01G0297300.1 pep chromosome:AGI1.1:1:25947087:25951484:1 gene:ORGLA01G0297300 transcript:ORGLA01G0297300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT2G20280) TAIR;Acc:AT2G20280] MPPKKAAPSKADLAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLHQAVQPKPDPTKTAAKKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSIVCEFFKVGQCQKGFKCKFSHDLNVQRKGEKIDIYTDKRDAETMEDWDQETLEKVVASKGAEYQQNKPTDIVCKYFLDAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEESEKIAIEDEIEDQRKKVKTTTPMTTDLFMEWKRKKAEEREAGLAALRAERAKNDRMSGRELFMADSSVFVDDAEAYDVYERQEESEANEEPSNKNQDEGPSSSTSNGKEVEESDDEDIDIDDDLDIDELNELEASLSRTSIQIREPGEGTSS >ORGLA01G0297200.1 pep chromosome:AGI1.1:1:25935414:25937382:-1 gene:ORGLA01G0297200 transcript:ORGLA01G0297200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGHDESGSPPRSGGVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGEDLLFAMGTLGFEEYVDPLKIYLHKYREMEGDSKLSSKAGDGSVKKDTIGPHSGASSSSAQGMVGAYTQGMGYMQPQSNFHILVVLQSFAFPYMYQVAQIYCKYPSIE >ORGLA01G0297100.1 pep chromosome:AGI1.1:1:25931330:25933053:1 gene:ORGLA01G0297100 transcript:ORGLA01G0297100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVSLFITLLCTLMSAHYIAGSQVNYLKDNGLPLLHVDPSSAAIVNKSTKTQQPDEGAYYVFNAGYEMNSQYNYGIEVTTDVYGLSLDGEQNSGILVSIANKGDDQSSTNALVIGWHVYPRLNGDAHAHFFVRWTIDGYRKTGCYNLDCPGYVPEAGISIVPGVAIDTVSEPGGIKHIIIFKIFKDGARDWLLHCGWDSEPYLIGRFPASLFTTLRNKANYMKVAGYAVARTTHLAPMGSGYLPNNPKAASFSNVQLIDQDGQTSKIPQDLPATQTFPSIYSVSPINFEGKFTYGGPLE >ORGLA01G0297000.1 pep chromosome:AGI1.1:1:25923650:25923955:1 gene:ORGLA01G0297000 transcript:ORGLA01G0297000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAMAWTPAAPTPRSEAALAGELRGQRTTRHHAGRQALGQCSGLRLGGAFFRWYIELEVIVPAWRSGEGNIQSVVFAGFFSLFFFAFFFXEFFLCSRLFXM >ORGLA01G0296900.1 pep chromosome:AGI1.1:1:25909697:25920006:1 gene:ORGLA01G0296900 transcript:ORGLA01G0296900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar proton ATPase A1 [Source:Projected from Arabidopsis thaliana (AT2G28520) TAIR;Acc:AT2G28520] MGVFDRLPPMDHLRSEKMCFVQLIIPAESARLAVTYLGELGLLQFKDLNEDKSPFQRIFVNQVKRCSEMSRKLRFFNDQINKAKLREHENDLLEMNTNSEKLLQTYNELLEFKMVLSKAGGILASSHNHAAPAERELDEHIYDKEMDDGNAYLLEQGVHLGASENSGVKFVSGIILKSKAMAFERMLFRATRGNMFFNQAPAGEPVTDPISGEEVEKTVFVVFFSGDQAKAKILKICGSFGASCYPVPEEMVKQRQIFREVSGRLADLEATLDAGIQHRNKALESVGSQLRRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKDVLQRATLHSNSQVGIIFHEMDTIDSPPTYFQTDKFTNAFQEIVDAYGIARYEEANPAVYSVITFPFLFAVMFGDWGHGICLLLGACVLILREKKLSSQKLGSFMEMAFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGKSAYECREKTCSDAHTAGLIKVRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILMGVTQMNLGIVLSYFDAKFHGNALDIRYQFIPQMIFLNSLFGYLALLILIKWCTGSQADLYHVMIYMFLDPSGNLGENQLFWGQKELQILLLLMAIVAVPWMLFPKPFILKKLHKERFQGHTYRFLGTSEMDPDSEPDSARSRHDDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLVLAWGYDNLVVKLVGLVIFSFATAFILLGMESLSAFLHALRLHWVEFMNKFYHGDGYKFRPFSFALLADDED >ORGLA01G0296800.1 pep chromosome:AGI1.1:1:25892844:25893274:-1 gene:ORGLA01G0296800 transcript:ORGLA01G0296800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKSIEHMMVMPVRSKRGLLFYSHHLLNYIASPSLSNLFHCWASPMSSDTDHFASHCEYLHTQHRRLKCHVGLPDTTRMASVGVWVTVNGHFKLPGTKHFLENNESMFILDGYAARCCER >ORGLA01G0296700.1 pep chromosome:AGI1.1:1:25880869:25885412:1 gene:ORGLA01G0296700 transcript:ORGLA01G0296700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSALSFLSDRKRPIVVSVFLFLLLSSLFLLFSPAPAALPFFSFPSSHLSSSSSSPIAATPPPLTPVSVPANASSPETPVDASGGSNAGATAPTRDAPQPDRSRSTPPAAVGVRGSAGTNGTSRGVSAGGDGAGAAAAAAAGVAVPSWEVCEVGKGVVAADYIPCLDNVKAVKALKSLRHMEHRERHCPTAPRPRCLVPLPTGYRSPLPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGNYFVFPGGGTQFKAGVTNYIRFIQQIMPNIEWGTHTRTVLDVGCGVASFGGYLLDRNVITMSVAPKDEHEAQIQFALERGIPALLAVIGTQKLPFPDNSFDVIHCARCRVHWYADGGKPLLELNRVLRPGGYYIWSATPVYRRGKRDEDDWNVMVTLTKSICWRTVVKSKDVNRIGVVIYQKPTSNSCYFERKQNEPPLCPSREGSHSPWYAPLDSCLLLPAVSSSGEGNSWPISWPERLNIKYSTISDNASTQFSQEKFDSDTKHWKDLVSEVYFNEFAVNWSTVRNVMDMNAGFGGFAASLIHKPLWVMNVVPFDHPEALPIIFNRGLIGVYHDWCESFNTYPRTYDLVHMSYLLQGLTNRCDIIEVAAEIDRILRPGKWFVLQDTEQVIRKMDPVLRSLHYRTAIVKQQFLVARKGFWRPYSAGSESR >ORGLA01G0296600.1 pep chromosome:AGI1.1:1:25877887:25879765:1 gene:ORGLA01G0296600 transcript:ORGLA01G0296600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYYETLCPFCSGFVVNDLARIFRDGLSPVVDLRLVPFGNGRVSPDGSITCQHGEEECQLNAIEACVIRLWPDAEQHFPFINCIEHLALTQKWNAWQSCFQETGLASQPVMDCYNSGYGTQLQLQYAAETNALQPPHQFVPWVTVNGRPLGDDYTNFEAYICRAYDGELPEACRGKHLAIAQQTRASRGGKIHKPDRCPCSCNAEESTKVGHSTCLLHRGVVLTSLERHFSRKLKQFKIR >ORGLA01G0296500.1 pep chromosome:AGI1.1:1:25874970:25876745:1 gene:ORGLA01G0296500 transcript:ORGLA01G0296500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGDSSGALVRVTGGSGFIGSWLVRLLLDRGYTVHATVKNLQDDGETRHLQALDGADTRLRLFQMDLLDPASITPAVDGAHGVFHLASPLTLHPTQDPEGELLKPAVSGTLNVLRAAKDCGVARVMLMASQVAIVPNPEWPADKVIDDDSWADVELLKKHQHWYNVSKTLAEKAAWDFAAKEGFQLVALNPGLVLGPMLMPSPNSSLHMLLQILGGQRFDIDDFYIGCVDVRDVAQSAVVIYENTSAQGRHLCIESIERLIDFHDKLAELXPEFPIHRIQEDKQGWVVRSKAASKKLIDLGVHFIPFEKTIRDSVDCLRSKGYI >ORGLA01G0296400.1 pep chromosome:AGI1.1:1:25864666:25865292:1 gene:ORGLA01G0296400 transcript:ORGLA01G0296400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAAVRPSPPPQLSRPIGSGAGGGKACPAVPCEVARYHEHAVGAGQCCSTVVQAIAAPADAVWSVVRRFDRPQAYKKFIKSCRLVDGDGGEVGSVREVRVVSGLPATSSRERLEVLDDDRRVLSFRIVGGEHRLANYRSVTTVHEAAAPAMAVVVESYVVDVPPGNTWEETRVFVDTIVRCNLQSLARTVERLAPEAPRANGSIDHA >ORGLA01G0296300.1 pep chromosome:AGI1.1:1:25856606:25859849:1 gene:ORGLA01G0296300 transcript:ORGLA01G0296300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEDTLQALNDLISQFLCLNRPLWSSSDDADAFLEAVDELTSTIHSLESMSADHILLESFDHLLERCSLRLGEELQHLIDASGFDTNCSYPNTRKSHDDDDDRHTLVAQPVSNFDIIVDALPEGVIFEANRIAKRMVAAGFGDSCAETYASSRLNFIDESIARLGVHAHMAEMFKSASWEELEIQIMCWIPAIRVVFHILIPSERHLCDSIFEGFTSYSDVAFVTACHPFLQLLSFGNFIAAAGKNPECLFRIVDMYDAVRDILPVLDDAFNPEVAALRECLGLSIKAILMALENLVRRDPSESCPLDGGVHPMTRYVMNYLVTACVSRHTLEEVMLLEFGSSDPSGNCPIEPDRPTSSLAIHLAWIVDVLTGNLVSKSKVYSHAPLSCVFLVNNGIYIIKKVNGCELKVLLGEDWIKVIHSKVNQWILEYRRATWGKAIMILEMDKRFCSNVNVITEKLSRFNNFVEAICQVQSRWVLVDKQQGVDFSILVEELVIPAYRDMAEMLKATGSAGESYMRLEDVRSRIQQLFKAMTSQMVEDLPSRTASHPG >ORGLA01G0296200.1 pep chromosome:AGI1.1:1:25852886:25854844:1 gene:ORGLA01G0296200 transcript:ORGLA01G0296200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVKTLGRTDTMTEDILKVFSNYDGRLSLDKLYATRAAAAAAAVAAAGGAGAGEHSVPASPPMPPPPAVPPAVAAMPAVTSLERTVRTLDRQISQFVTMDRLIWADSADADAFLEAVDDLIGTVQELDAAGTNRGLLDRADELLSRCMARLEDEFRALIERPDDVAPPAPGGFASDESEEEDYDADDGYGDEPIPIAKPVSDFDVVIDALPPGSVSDVHQIARRMVDAGFGRECAEAYAAARRGFIDESVARLGIRARTIDEVHSLPWEELEFDIARWIPAFKMVFRILIPSERRLCDRVFDGLAPYGDLAFVAAVRTQVLQLISFGDAVSAASRAPERLFRVIDMYEAVRDLLPDLDPVFADPYSAALRAEVSAVCNTLGSSIKGIFMELENLIRRDPARVSVPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLGAVGGAAIAVDPDRPTSSLAVHIAWIMDVLHKNLETKSKIYRDPPLASIFLMNNGKYIIHKVNDSELGVLLGDEWMKQMMSRVRRWSLEYQRGAWAKVMSVLQTGGPGIGSLPAKALLQKLRMFNGYLEEICAIQSEWVIADEQLREDVRTAITDSVKSAYMGLISRLKSSPEAAQDLFIKHSPEDVEARIQHLFEGVSK >ORGLA01G0296100.1 pep chromosome:AGI1.1:1:25851328:25851996:-1 gene:ORGLA01G0296100 transcript:ORGLA01G0296100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:I1NSX1] MASAAAPTPQPLLPVTNPAAAGGSAPSSGSALTDAPLATPAFRLFLSEATSRLRRNLAYFRVNYAAVVAFSLAASLLAHPFSLLVLLAILGGWCFLYVFRAADQPVVLFGRTFTDRETLLGLVVASVLAFFMTSVASLIISGLLVGGAIVAVHGAFRVPEDLFLDDPSVGSNGNTTSRLLSFLGAPGSGV >ORGLA01G0296000.1 pep chromosome:AGI1.1:1:25846230:25848415:1 gene:ORGLA01G0296000 transcript:ORGLA01G0296000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:I1NSX0] MASSSSSRLLFLLACSVLALLAGAEVHHHEFIVQETPVKRLCKTHNVITVNGQLPGPTLEVREGDTVVINVVNHAQYNVTIHWHGIRQFRTGWADGPEFVTQCPIKPGGSYKYRFTIEGQEGTLWWHAHSSWLRATVYGALIIRPRENKTYPFEKPAREVPLILGEWWDADPIQVIREAQRTGAAPNISDAYTINGQPGDLYNCSKEETTAVPVKPGETALLRFINAALNQELFVSIAQHKMTVVGVDASYTKPFTTSVLMIAPGQTTDVLVTMDQAPTRYYLAARAYDSAQGVAFDNTTTTAVIEYDCGCATDFGPSIPPAFPVLPAFNDTNTATAFAAGIRSPHEVKIPGPVDENLFFTVGVGLFNCEPGQQCGGPNNTRFTASMNNISFVFPQTTSLLHAHYYGIPGVFTTDFPAYPPVQFDYTAQNVPRYLWQPVPATKLYKLKFGSVVQIVLQDTSIVSPENHPIHIHGYDFYILAEGFGNFDPKKDAKKFNYVDPPQRNTVAVPTNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGYGKLETLEAPPVDLPMC >ORGLA01G0295900.1 pep chromosome:AGI1.1:1:25839896:25843367:-1 gene:ORGLA01G0295900 transcript:ORGLA01G0295900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGADAESSAAVDAGEDHGDLALDSSSAASTDPLLHPPPSPSSTPSSPTAIADHDAFIEEDGEDDSAPHVPSASDEAAPEFVQITVSEPKKHAEPAAGAAGVIPGSGSYFSYLITTRAADGGLFRVRRRFRDVVALADRLAAAYRGLFVPARPDKSIVEGQVMQRHEFVNQRCAALQRYLGRLAAHPTIGRSAELHDFLTEPSGIPTSAGESPRSDPALSAAMSAAAVTAPTAPAKHGRDIFGMFKDLKQTVANGLVAVRPPPVEEETDAKFVMHKAKLEYFEQHLTTASQQVEALLKAYDDLKATTGQLGMTFIKLAKFEKEQDTCNSQRKRAVDMSNFANAVINMSRSQTKLNAKIEIHLGTIYEYLETMTSVRNAFTDRANALLRVQSLSGDLFLLHTQAAKLESVSSRGMGQERLRYQKIEELKETIRKTEDAKGNALQEYELIKENNMNEIIRFNKEKRHGLVEMLKGFVRNQVSYSEHISSIWTKVAEETTGYTGRGS >ORGLA01G0295800.1 pep chromosome:AGI1.1:1:25830333:25834767:-1 gene:ORGLA01G0295800 transcript:ORGLA01G0295800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAPTRNPGACRFIPLLPPKPLLSPAAAAASSRGGLCVAAASRRDFLLLVPSIAAASTVLQSLPLSASAADDEKQAASPAPGPAAAPAPTSAGEPEAEALSRVYDATVIGEPQAVGKDARRRVWEKLMAARVVYLGEAELVPDRDDRVLELEVVRKLAARCAEAGRSISLALEAFPCNLQEQLNQFMDRRIDGNNLRLYTSHWAPERWQEYEPLLNYCRDNGVKLVACGTPLEVSRTVQAEGIRGLSKAQRKLYAPPAGSGFISGFTSISGRSLIDKISAIHGSPFGPSSYLSAQARVVDDYTMSQKIMKEITNGDPSGMLVVVTGSSHVIYGSRGIGVPARISKKMQKKKQVVVLLNPERQGIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMAELIHRFQGFRERLLADPKFLHRLAIEEGISITTTLIAQYEKRKGRFLEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSLGDNGSGESLELLKGLLGSLPDNAFQKGIMGQSWNTNQRFASVLMGGIKLAGVGFISSIGAGVASDVLYAARRVLRPSTSVETARRRTPIWKSATVYSCFLGTSANLRYQVIAGLVEHRLGEYLMAYYNQPLLANLLSFVSRTINSYWGTQQWIDLARATGLQTSKKELPSPEISNLPDMPLLECGTTEVQNMDDSNKQQPMK >ORGLA01G0295700.1 pep chromosome:AGI1.1:1:25819473:25822600:-1 gene:ORGLA01G0295700 transcript:ORGLA01G0295700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDVIRIVHLSGHVDEFSCPVTAGAVLAAHPNHTLTTTWSSAGVGCPTKKLVIVSPDSELKRGRIYFLIPSATLPADRRKKSRQSSNKKSKRPSHHHHKSNGAATAASTAEQDNYLRELLSEKTAASGGQRRRRSGSRILESHIMQICERWIVSRFVPLSNVDRLFCCFVG >ORGLA01G0295600.1 pep chromosome:AGI1.1:1:25800736:25802913:1 gene:ORGLA01G0295600 transcript:ORGLA01G0295600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSGSVETSANSRPGTFSFASASFTDLLGGNAGAGGGGVSRYKAMTPPSLPLSPPPVSPSSFFNSPIGMNQADFLGSPVLLTSSIFPSPTTGAFASQHFDWRPEVAAAQSADQGGKDEQRNSYSDFSFQTAPASEEAARTTTFQPPVPPAPLGDEAYRSQQQQQPWGYQQQPAGMDAGANAASFGAAPFQATSSEMAPQVQGGGGYSQPQSQRRSSDDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERSLDGQITEIVYKGTHNHAKPQNTRRNSGSSAAQVLQSGGDMSEHSFGGMSGTAATPENSSASFGDDEIGVGSPRAGNGGGDEFDDDEPDSKRWRKDGDGEGISMAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSAALYRPAPPAAAATSSHPYLPNQPPPMSYQQPTGPQPYALRPDGFGGQGPFGGVVGGSSFGGFSGFDDARGSYMSQHQQQQRQNDAMHASRAKEEPGDDMFFQNSLY >ORGLA01G0295500.1 pep chromosome:AGI1.1:1:25788554:25789043:1 gene:ORGLA01G0295500 transcript:ORGLA01G0295500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G05365) TAIR;Acc:AT5G05365] MAVVELKVGMHCDRCIKAIKKAIKTIDDMESYQLETEINKVTVTGNVTPDEVVKALQKIGKTATNWGED >ORGLA01G0295400.1 pep chromosome:AGI1.1:1:25785000:25786577:1 gene:ORGLA01G0295400 transcript:ORGLA01G0295400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNFPEDDMAGTPYSDQTSPILTEYHITVPALHDGSMQGSVHHERRLLDCLRATPSVEWLKNINLCSPLTNFRLPSTGVRRYLHVEVHFVRRINWSSVFSFCKNWLKHPLNIALLAWLLCVAAAGGMLILLLLGLLNRAFPSKPLRHHWIEIDNQILNALFTLMSIYQHPSLIHHLVLLCRWRPEDAAELRKVYCKNGDRRPGERAHMSVVVALLHVTCISQYVVCNLYWAYRSRSRSEFADNFFFVLGVVAPVVAGAYTVYSPLGRDTDDDASGEEAKQQQQHMIEAELPGTRTVVVDPVWAGGLLDCGEDPAACCLSSLCTFCVFGWNMERLGFGNMYVHTAMFLLLCVAPFWVFNITALHIHDYDLSDAVGAAGIALCFLGLLYGGFWRVQMRKRFALPGSRWCCGSASLTDYARWLFCWPCALAQEVRTGNLYDVEDGGGGFYEKAMDGGDVEGGAASTAATGVVPVSVGGGEGDGVVGDIKLGMDGEMIPPAQAVMETSGDTQGSGADVAANGDNELSS >ORGLA01G0295300.1 pep chromosome:AGI1.1:1:25776737:25778510:-1 gene:ORGLA01G0295300 transcript:ORGLA01G0295300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLGVAPTVALVVGVALLANAAVDFMLRYTRGPSSYAALMGDAFGRAGAALLNVFVAFNAIGTLTVYLIIIGDVMSGTTSDGKVHDGVLTEWFGQQWWTGREAVLVAAAVLLLPLVLRKRVDSLKFTSAVSILLAVVFVFINLGIAVYALFTGTAKMPRMLPDFSRLSSPFELFTAVPIVVVAFTFHFNVHPIRTELSKTSDMKAAVRISLVLCAAIYAAVGFFGFLLFGDATMADVLANFDRSSGAGVPQALNDAARLSYALHLVLVFPLLHFSLRVNVDELLFPGRRPLATDTRRFVALTAVLMAVLYALAIAIPSIWTLFEYSGSTFAVCISLIFPGAIVLRDAHGIAKTKDKALAATMIALAVITSSIAIASNVMSSISGKVEGGHAAGR >ORGLA01G0295200.1 pep chromosome:AGI1.1:1:25769901:25773942:-1 gene:ORGLA01G0295200 transcript:ORGLA01G0295200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAGAVVAPAAGAPARAAPRVEKATSHLLMGPDWAVNLEICDIINADVWQTKDVVKAVKKRLQNKDPKVQFYALTLLETMMKNCGEYVQLEVAEQHVLQEMVKIIQKKNDMLVRDKILLLLDSWQEAFGGPGSKYPQYHFAYLEVKRIGAVFPRRPIDAPPIFTPPATHTSQSYGSPRYEAGSLNEIMSSDVETLSLGDLNNIRNVTELLCDMVHALNPSDHMAVKDEIITDLVSQCRSNQQKLMQFVSSTGNEQLLKQGLEINDRLQNIISKYDIMASSTHLAVEAPPADNVEAPKEDPAEKPSAPPISTLEEEEEEDEFTRLAQRKNKSVMTSDDSLSSTGDLALVPIDMESSESSSSVASNALVPVDPALVSSSPQTKEQDMIDLLSLTLCSPTHEASTDSSTQGPNGPQQPAVTDGQHNPSGVPQYPSNHQSHPINQEYIPQNRNYVAPWAQSGQYYPAPPWAAPPSVNSNPFQSATYQEQPPPVGSVSSTYSAPSASYTSPSMAYVPPSASLPMQWNGSTTSNGLSATQAQMNGNQQPPGSSAAASKPYYIPDNLFSDLIDLKGLSGGNKMGVPTSMGSANGGQPMIGGKK >ORGLA01G0295100.1 pep chromosome:AGI1.1:1:25764196:25767360:-1 gene:ORGLA01G0295100 transcript:ORGLA01G0295100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPRCTLSVLLPVALLLCTAIASPDFPLGKNFTVPLYYQQPADLAVTTTTVLNASHGAPLRPGVAAAISVVAGTGGLEGLSMCSLVVLLGNVTVWASDHDGGRFLVRGFCRLELTVDGDLRLTDAAGTVGWSSATAGRRAKVLRLTRSGNLRLLDAKNQYVWQSFDKPADKLLRGQRIGVPSYLTAPVTMIGSAFFSLELKERSITANFNVGIKRYTYWELTPRHNRSVAFAEMDVLGLRLLDRQRRPVAQISPAIEAQVSFLALGEDGNLGMYFYDSHDMKFGPSYEALGFCELPLACGLRGVCSAAGECDDFSTYGVHPAPAAHRHSACNATTVADRHYMAVMEGVTMAIRPASPPTANVTMRQCADSCLRDCSCAAALYVLAAVADHGGACSRYEMTAGAREVIGGGHRHNYLYLVKAPRTRDSEHEHGDDDGAVSRVLTRILIGFGTLDVIGLCALTWLCAYYCIYLRDIPVLDDKDDEEADDGGGEAARRGDAVSQSPPTNSEPVIELN >ORGLA01G0295000.1 pep chromosome:AGI1.1:1:25758345:25760305:-1 gene:ORGLA01G0295000 transcript:ORGLA01G0295000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSAHWLSLVGSIWLQTINGPNSDFPVYSSQLKELKSISQVQLNFLAFASDAGKLFGWFSGVAALYLPLWVVAFVGAAFGLVGYGIQYMFLDSSGLRYWHLFLLTALAGNGICWINTVSYLLCINNFASSSRVAVSLATSYLGLSAKVYTSLAETFPGLANSKTKTYLLLNAVVPLFVTVMVAPSLRVFDLKSAAASSDAAFLVMFAITLATGACAVVGSIGSTANGLSSKEHMISLGVLLATPILIPVGLKIRETLTKIRETQRENRIHDLGTDESESVESVVVIDVAADANAEVAKEEDAVVKKPQEEVGGLRLLKSPDFWLYFFSYMFSGTLGLVFLNNLGQIAESRGIGQTSTLVSLSSSFGFFGRLLPAFMDYYSAKSGYSISRTGSMASLMAPMAGAFFLLLNQRDFFLYLATAVIGTCTGAITSVAVSATRELFGTKNFGVNHNVVVANIPVGSLCFGYFAAFLYQREAGARGTLTCSGAGCYRETFAIWGTTCAVGTLLCAALYARSRNFAGRLPVRIPSCLARLANLV >ORGLA01G0294900.1 pep chromosome:AGI1.1:1:25737747:25738878:1 gene:ORGLA01G0294900 transcript:ORGLA01G0294900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERERLDEIGKKIKREPDTAGVVVAAVAGTGTGTATPIEHRVPHRLGLGGAVNTVTPCAACKLLRRRCAQECPFAPYFSPHEPHKFAAVHKVFGASNVSKMLLEVGEAERADAASSLVYEANLRLRDPVYGCMGAISMLQQQVNALEAELEAVRAEIFKRRYRHAGAATGLMDDVQVHITAGFAAPPPPPSMHARDVVSVADAGGQGQELAGAPVISSASPPVYPAGQPSTSTTDYSSLNTSEHAAYFG >ORGLA01G0294800.1 pep chromosome:AGI1.1:1:25727988:25728662:1 gene:ORGLA01G0294800 transcript:ORGLA01G0294800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNAAEVARPKSPLRGILPQSPLRIKQDGKFYERLLAKERSAASRSFRHYWAAEPGSVPFVWESQPGTPKVDVSRMVAGAVPAITPPPSYLLRHGGKAGAAAPAAPRRRPQGKGKAKTGGKTRYRFKRIKIGFLAGIFRRIALGHVWRRSAASVQVSSLSSRWLFSSAATAPEKAEHLDHDTPAPPPPPRPNTELSTRARARPSLWMLRFRGFRSWSRDDGWA >ORGLA01G0294700.1 pep chromosome:AGI1.1:1:25724032:25726392:1 gene:ORGLA01G0294700 transcript:ORGLA01G0294700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTEEDSDSFQDDSEELQMQVTKKTLKRVISLAETFIAIATGILAAAFSAGKDVHLHRHVLAAGGCFLVVTYLSALLLIYMKLFLSDHRRLRRWHVRSLQLLCVTSGASLVATNSLLLVLIGEGNGLLSLNLLPVQGIVGVLAYHATPTEGSARDEAFEAQVKSARKVALFAAATAFAVQTTLVFGAFSNAALQVMGGRRLDLSVSFLASALSVFLVVATCMPLGFRNQGARDKVLSIVRYLKKGVMAVLAVTAVTLGQEFLGGAAALALFPEITVAAMYYAVSMPADEAAAAADRKMEVLPTVVVATFGFGMLGAAYAALFGTPEYDLYTKALAFTLLTAVVSSLGRVAGPLCNAQRDKSSAAWVTFLSSILPIVEMLVAVPLAAKVMVDFLAVPGNG >ORGLA01G0294600.1 pep chromosome:AGI1.1:1:25719279:25720620:1 gene:ORGLA01G0294600 transcript:ORGLA01G0294600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVVHAGGSDGGIQAHIKACAALGAICSSVVTAYNTIVVQMTVHHLCQLPEMYSTHNGNIRICIDGILCRQSYIGYCDAYIIRNSLSFSLLSGGSSIILRIIL >ORGLA01G0294500.1 pep chromosome:AGI1.1:1:25714090:25715237:1 gene:ORGLA01G0294500 transcript:ORGLA01G0294500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKQESMAKLLPDDVLTIVLRCLAPHDLAMSRCVCKLWCTIIDTHRMLRVDLLPHSVGGIFINFHDLGLSEFFARPSTGPTISGNINYLPLTSIVRGHCNGLLLLYSHISRPGMKQFYVVNPATRQWVQLPPPPRPDIGIMHLDNLYLAFDPTLSSHFEVFQIPYVDVFRHRSELNPAIEGIEWPPSTCVLHVFSTRTRQWEERSFVREGEAAGSLAIIRRDFPNFLHNAVXWRGVLYVRCQTNFVMRISLSDGKYRIIKPPVEIERYEESNIYMGLSQKRVYCTFFDDPDIIYILDESYGKMEWVQKNAISCLVIHAFQQTDGPWTLQDINYHEYPDAYGHDNSEAI >ORGLA01G0294400.1 pep chromosome:AGI1.1:1:25708805:25709368:-1 gene:ORGLA01G0294400 transcript:ORGLA01G0294400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNEDLKHQGTSNQKRHGGEGERHAGDAELKESSVDLGHVNRVRQTAEARENCHQEHEHGGLEVHAVVVGPEERRVQPGHEREPGGGDNPEDKFDPWPAPDSSPGLPPRAELCRVVQNQRDGLRPDGEHDEAVQELDGDNHRHQRQRGEQDVPEAADDSDQSLPLFFGEKSQRMAQTAMTVRQTVEAM >ORGLA01G0294300.1 pep chromosome:AGI1.1:1:25705106:25706614:1 gene:ORGLA01G0294300 transcript:ORGLA01G0294300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NSV3] MMDGRSILMGRYEVGKQLGQGTFAKVYYARNLTTGQAVAIKMINKDKVMKVGLMEQIKREISIMRLVKHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKIAKEGKLSEDSARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADVWSCGVILFVLVAGYLPFHDPNLIEMYRKICRADFRCPRYFSAELKDLIHKILDSDPSTRISIPRIKRSTWYRKPVEINAKNSEAATTNSISSGVATTSGSAECSISEENQGSLSLPNLNAFDIISLSTGFNLSGFFEDTHGHQEERFTTRQPVTTVLGKLKELAKRLKLKVKKKDNGVLRLAAPKEGKKGFLELDAEIFEVTPSFLLVELKKTNGDTMEYRKLVKEDIRPALKDIVWVWQGDEHLNSQSILQGEQQQSPLPPELPQDQLQPSLPQQEKQDMPEPPLLPQVPQEEVQTSIPAEQTKN >ORGLA01G0294200.1 pep chromosome:AGI1.1:1:25699957:25701168:1 gene:ORGLA01G0294200 transcript:ORGLA01G0294200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGRWRRSGSSMLKLVVALCFFAVAISLCCVCFSSGCGGSGCGGFRRRAVLLRLDFRTRATAYFGANQQDHSSSGRRWRRLLAEGPGSYPPRCTAKCGACVPCYPVHVAVPPGVPVTTEYYPEAWRCKCGNRLYMP >ORGLA01G0294100.1 pep chromosome:AGI1.1:1:25691116:25691580:1 gene:ORGLA01G0294100 transcript:ORGLA01G0294100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATAEGSTAVDPTMGRAAPTEDGSGDGGRLGGDGGDKLDSSGVEGLGGTRSCGGKGGGGGSNDREGCADGSGGGPTVCRRPRSSWRHRPIASRPFVGPKVNAITRRIASLHDRSSVAEMEVRAEAAVAIVVANGVFSGGERRPSHPSLVPSTK >ORGLA01G0294000.1 pep chromosome:AGI1.1:1:25666110:25668152:-1 gene:ORGLA01G0294000 transcript:ORGLA01G0294000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1NSV0] MAGNPAAAAPSSSGSSSVFLPPPSPSDGELLRSLHRLARDLSAVDTPAPFLRAAFASISRRSKLLAAAFDDLLLCGAAGELPRSASLCLREVLLVLQRFKAIVADCSARSRMRLLLESDEMEAELRELNHDLATLLDLLPVVELGLADDVLDVLALASRQCRRCSPAPESEETLKASVLSLIQEIEREIVPERERLEEILVEVGINDPASCSEEIESLEQEIGDRASEKWTASMIALVGLLRYAKCVLFSATPRPSDSNSKADVEAEDGEPPAPPSDFRCPISLDLMRDPVVVASGQTYDRESIDRWFSSGKSTCPKTGQVLANLELVSNKALKNLISKWCRENGVAMEACEASKSEQAQAVAANKAALEAARMTASFLVKKLSISFSPDAANRVVHEIRLLSKSGSENRAFVGEAGAVPLLVPLLYSEDAGLQLNAVTALLNLSILEANKKRIMHADGAVEAVAHIMSSGATWRAKENAAAAVLSLASVHSYRRRLGRNQSVVEKLVHLVRTGPTSTKKDALAALLTLAGERENVGKLVDAGVAEVALSAISKEETAAAVLAALAKRGGAEAIVNIDGAVARLVAEMRRGTDWARENATAALVLLCRRLGAPAVTQVMAVPGVEWAIWELMSIGTERARRKAASLGRICRRWAAASAADGERGGGCPVATVVPPAMMAS >ORGLA01G0293900.1 pep chromosome:AGI1.1:1:25656983:25658296:-1 gene:ORGLA01G0293900 transcript:ORGLA01G0293900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATEKVRRTPSSCLLLRISDICKVRSVGVAPTVREKPKADGSATGESSEDGGAHLKVHPHHVSDHESVSECSSAGCEEAFVERLLDAISGLKLSYVNLQQALVPYDPEEITIADERFTSELQETAGLKDLYVNMNKWRNPMYQCYVGSRIQEQQKLAVELQAGMCKRDSEIVCLRAELDELERKNMELEEKIGQSALQKEGSFAIGMGVSTDMFMELFELSTKSIHDFAKLVVRWMKLSRWNLGNLTSPIDNSVVYDKRSHKNYAVEAYFACMMLMGHKEEYLSLDVFDYVMSFSDPFDALMKAPDSCFGRFCREKYLAILPPSMEDSFFGNLDHRSFVENGGHPRTPFYQAFVTMSRYVWASLTVARSLNPRAEMFYVKGGTEFRSKHMECVPSKITKEGDKVSVGFTVMPGFKIGCTVIRCRVYLSMVNERNF >ORGLA01G0293800.1 pep chromosome:AGI1.1:1:25655833:25656601:1 gene:ORGLA01G0293800 transcript:ORGLA01G0293800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAITLSHSQSASFGHHHHHHHQTMPSSFRPSTASTRSVKVYAKEDEEKDSKQSLFGSITEALDFSQVRSEKDAELLYEAREATKDGGRMTKEQYGALRRKIGGTYKDFFKSYVDVDGEYVEEGWVDKTCKVCKKDTRGEPRQVDKLGRYAHVACLENPKPTNIFAKLFAR >ORGLA01G0293700.1 pep chromosome:AGI1.1:1:25651958:25653177:-1 gene:ORGLA01G0293700 transcript:ORGLA01G0293700.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPRQGSILIEKFSRFTYINLIFICFRGGFFQSSTEGCSQFWRGLHEGRIQAAHRLKKMKWNGDPLCKFCGAEEDVDHLMFKCAPARFLWCCFRDVFHWDHVPSSRREFMNILMTMGGDRAIIFLHVISAGIWAIWLVRNDWVFNNKLLSNICHLPHKAVSFLIQWRGLLPEKLKVEVDGLKDSLLASIRASGPN >ORGLA01G0293600.1 pep chromosome:AGI1.1:1:25648286:25649428:-1 gene:ORGLA01G0293600 transcript:ORGLA01G0293600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHKFRLSDMIPNAWFFKLRDMRAARGGAGAGGGGASHGGVVTQSSVAVSRAGRACRPLPNTPRHGALSLPHRASYYYTPRAGDLLVGSPLHPKCSDTQFPPLQLSPPRKSRRRHRRRSVKLAPSVSGSSVLSSPVSTGCRCGRKPELVVVEAPDTPPCRRDKFVGYNDDDDDEEEEEVEFKKPTVAVAACDELDGKVITSATDIIIDLRTEKRPDKVLPPIVTKPARRELDGCDLEEKHIDVVRRASAKKPTPLLEQSKPRRSVSSARRLKTRANTPRIVAKKSKPPPPPPPPAAARSPAPTTKPPLAESFAVVKSSRDPRRDFRESMEEMIAENGIRTAADLEDLLACYLSLNAAEYHDLIVDVFEHIWANLADIKM >ORGLA01G0293500.1 pep chromosome:AGI1.1:1:25636994:25639130:1 gene:ORGLA01G0293500 transcript:ORGLA01G0293500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAPPPTPDVLAGALLSDPDPDAARTAVGAAAESGAFRVGGAVDAGEVRAAVEAAEAVFRAPEEVKRELGRWFRRRDRVAGEEFYWFRPATASSDDDRVLDAALPGSTYQVFREKMEIVASKMEDLAQCVMRVLSDNARNPEDSALSTGAASILCLTLYNCNKLKTHWSEFGSTNPPNSYALSIHLSGRDQEICLRNQSGSTFFSLPAGSMLVTIGKQIQEWSNGEFKNAVGEILFELTDEPNPFISLELLYSPGHLRLPDVGRHARCIDHPKTVSFRDQILVALVLLVFFYLFWR >ORGLA01G0293400.1 pep chromosome:AGI1.1:1:25634027:25635762:1 gene:ORGLA01G0293400 transcript:ORGLA01G0293400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:I1NSU4] MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRDRRPPERFRRREDRPQGPRPGGGAPAPGGAAAPAPNVART >ORGLA01G0293300.1 pep chromosome:AGI1.1:1:25625868:25627096:1 gene:ORGLA01G0293300 transcript:ORGLA01G0293300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSALLLLFSAFCFLARRAAADYGSWQSAHATFYGGGDASGTMGGACGYGNLYSTGYGTNTAALSTVLFNDGAACGSCYELRCDNDGQWCLPGSVTVTATNLCPPNYALPNDDGGWCNPPRPHFDMAEPAFLQIGVYRAGIVPVSYRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGPGDVQSVSIKGSSTGWQPMSRNWGQNWQSNSYLDGQSLSFQVAVSDGRTVTSNNVVPAGWQFGQTFEGGQF >ORGLA01G0293200.1 pep chromosome:AGI1.1:1:25619502:25619792:-1 gene:ORGLA01G0293200 transcript:ORGLA01G0293200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQETTSRPAGVESVEERPRGGELDAREVELDEPIADGEAVVEAELGRVCVHGRRGLAVVGDQALDKGPEAKRRQWRSGELLAGALLRAAVAVLD >ORGLA01G0293100.1 pep chromosome:AGI1.1:1:25612244:25612465:-1 gene:ORGLA01G0293100 transcript:ORGLA01G0293100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGKDSIWHMMEVLCAYGMRSRTWKESKFGTIGYVEFLSCTRGFRKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA01G0293000.1 pep chromosome:AGI1.1:1:25610751:25611119:-1 gene:ORGLA01G0293000 transcript:ORGLA01G0293000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:I1NSU0] MAPRCATLAVVVVLVAAVVAPPTAVRAAISCSAVYNTLMPCLPYVQAGGTVPRACCGGIQSLLAAANNTPDRRTICGCLKNVANGASGGPYITRAAALPSKCNVSLPYKISTSVNCNAYVHA >ORGLA01G0292900.1 pep chromosome:AGI1.1:1:25602251:25603015:-1 gene:ORGLA01G0292900 transcript:ORGLA01G0292900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHTKSMSWYMGTPASPSPGSAGEAQHALSSGGGGGSDASFDTNMVIILAALLFALLFALGLNSLARLIIRWARRAATGEGAGGGVEGAGAGGGLKKRALRSIPIEVYGGGGGGSPAAAAEVCAICLGEFADGEKVRVLPRCGHGFHVRCVDTWLVSHDSCPTCRGSVLHGAXXXXXXXXXXXXXXXXXXXXXVAAPAAAAVTVVIA >ORGLA01G0292800.1 pep chromosome:AGI1.1:1:25580244:25583091:-1 gene:ORGLA01G0292800 transcript:ORGLA01G0292800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G08760) TAIR;Acc:AT3G08760] MMGCFTVLRSKKKKPLALTKKSVDARESTSSRLPEPEAHVPSLQSAPPSFRNKAKIHQSEKKASYSRARVLSAPSSLIVVDQDGLPYAEFDDQDDSRGKGGSIKGHRFSNPLPLPLPSPEGKSLRNFGSFKAINASGPLDASGPLPLPPKKCDGLKNFSYEELSSACQWFSGDQCVSESLTSTSYKASFRDDFTDPKTIEAIVSRLLSSTQSLKEFKTQVNTLASLQHPNLCKLIGFHAREESNERMLVYERLHHGSLDKLLFGRSDGRFMDWSARLKVALGAARGLAFLHDEGPFQAMYNDFSTSNIQIDKDFTAKLSGYGCVGFNTEEEISNASVAAANLSVETLEKGVLTPKSNVWCFGVVLLELITGRKNLDVRSSKEERNIVKWSRPFLTDDSRLSLIMDSRIKGRFPTKAARIVADIILRCLNKDPSERPTMRAVVESLASVQDIKVPCRYPLQEPSAAPRKVMLKSTSLNGIIHHHPVVTFSPSPPSRNQHLLSPRSSTSALLPPRTSCALDDPRVSSIKKSPSPILRRSGVEGF >ORGLA01G0292700.1 pep chromosome:AGI1.1:1:25578232:25578738:1 gene:ORGLA01G0292700 transcript:ORGLA01G0292700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARQLDGGGIAMEIVEEEDAGATRLGRLSLSLDLNGGGGGAFRPSTLLDEYERLAIEAQLDRAVLRRSYSEPSPSRLAVVAPQDKQEAPPGAAGRRAKEEEKPGAGRAPARRSWLLEALKRLLCWLGIGGAWGGGRRRGEEPAAPCPPAPAPRMQLLDYLTTTSTT >ORGLA01G0292600.1 pep chromosome:AGI1.1:1:25572801:25576901:-1 gene:ORGLA01G0292600 transcript:ORGLA01G0292600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKSLRRSALLQLPCGCFAETRVVLDEKTEHLQLPPTRTRCHDENSVYANLLSNIGFNTGLEVCKAVRRHANXILLSFFSCHLFPSWSDDENFIMPINLLKHHHSIYFLGVLYSCYTQELYCEFSLWRCIEVRLCHLWYLVFENQMLASPTIHSRILYLCPTRSLAKSFNIDVPALACLVLIHDSEKYSLVPTLVLHSVTWKGQVRDLLAEAWLKFLLCXRKKMPMVQPVTVTMTKRIARNGXFFYLSYQKSLCSTFVCHVEELWGSKAQPFQSFAXLWLNSLXKESKRXXLFPLETHFLKHLLTGSTTFEQTLSVQSTNCPNIFVYNISYPHRKQLQVQIILMQNMIVWSKATGICMWTWISEVSLA >ORGLA01G0292500.1 pep chromosome:AGI1.1:1:25565623:25568937:-1 gene:ORGLA01G0292500 transcript:ORGLA01G0292500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPLARLLAALLAVAAAATAATALTDDVLALVVFKTGVADPMGRLAAWTEDDDRPCSWPGVGCDARAGRVTSLSLPGASLSGRLPRALLRLDALASLSLPRNNLSGPVLPGLLAALPRLRSLDLSSNRLAAPVPAELFAQCRSIRALSLARNELSGYIPPAVTSCASLVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPGSSSLRAVDLSRNLLAGEIPADVGEAALLKSLDVGHNLFTGGLPESLRRLSALRFLGVGGNALAGEVPSWIGEMWALERLDLSGNRFSGAIPDAIAKCKKMVEADLSRNALAGELPWWVFGLPLQRVSVAGNKLYGWVKVPADAALALRALDLSSNGFSGGIPPQITAFAGLQYLNMSSNSFARQLPAGIGGMRLLEVLDVSANRLDGGVPPEIGGAVALRELRLGRNSFTGHIPSQIGNCSSLVALDLSHNNLTGSIPSTVGNLTSLEVVDLSKNKLNGTLPVELSNLPSLRIFDVSHNLLSGDLPNSRFFDNIPETFLSDNQGLCSSRKNNSCIAIMPKPIVLNPNSSTNPLSQATPTAPSSMHHKKIILSVSTLIAIAGGGTIIIGVIIISVLNRRARATTSRSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKLLSKVRHHNVVALRGFYWTSSLQLLIYDYLPGGNLHKHLHECTEDNSLSWMERFDIILGVARGLTHLHQRGIIHYNLKSSNVLLDSNGEPRVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRLEDCMDPRLCGEFPMEEALPIIKLGLVCTSQVPSNRPDMGEVVNILELVRSPQDSLEDELV >ORGLA01G0292400.1 pep chromosome:AGI1.1:1:25551550:25556455:-1 gene:ORGLA01G0292400 transcript:ORGLA01G0292400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT2G40660) TAIR;Acc:AT2G40660] MAAAAADFAASKRAMAYALCKHLSLDPNTISSTSIEESDIATLFSHIVKSSEDEVLKWVGFSSNFVGKCGEQHVLLGNLNQDLSQKSVLLGNGFKPSVADIVVFATIQVFVSHLGENELQKYPHVLRWMDYIQNIVDFGTTVQKVNLTKFVFDPPSHPKKADKGDTDPSSKKVVSGQKNVGKSDANVDSKKAAPENKAPENKANPTPAKNNKPSGDKKKAQEKSAGKPNEGVADKAPQKTAEKDSECDVSILNIQVGLIRKAWKHPSADSLLVEEIDLGDGNVRQVVSGLAKFFSPEELVNRHVVLITNVKPGKLRDVMSAGLVLCASNQDHTVVEPLIPPEGAKPGERISFAGFDGKPEDVLNPKKKQLDKITPDLHTDGNGIATYRGVPFTTSAGPCRSSVPNASIK >ORGLA01G0292300.1 pep chromosome:AGI1.1:1:25546724:25549788:-1 gene:ORGLA01G0292300 transcript:ORGLA01G0292300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane proteins 14C [Source:Projected from Arabidopsis thaliana (AT2G38550) TAIR;Acc:AT2G38550] MAASLVHAAAASASPAPAARVGAFHPLASSSPFLRLACATASSPSTRRRRCLDAPLRALSAGSRLAAGWGAPRTRRVIATFAGEESVGSESGDDKEKGKGEMKPEEAQEVWKEMLKQFKDEAQRMHGLTLQAYGVYSQRAREVLLEASEKLKIHADKAQKDLSVIATEVGEEGQEYLKLAAQNSPDSIKDINETFNSLGKLKISEYEDYHVGIPFGTFLTVGGFLNFMLTGRTSAIRFGIILGFALLAMGISSLRSQRAGGRRPRLLLKGQAAIASVIFFRELLIFFHYGWFPNIFVVLLSGAVTAFYTHRIMTGGHKGPSSESTAEN >ORGLA01G0292200.1 pep chromosome:AGI1.1:1:25544498:25545584:1 gene:ORGLA01G0292200 transcript:ORGLA01G0292200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGSSSAVVLELMTMGYQSAAYLGELLRAASPAQAGDEQQELAAEILRCCDRVIAKLNRGGATGATTGKKRKAAESAAAAAVTSPSLPVTPTKRRARGAEAVREVRSGTTTDGFIWRKYGQKEINGCKHPRLYYRCAFRGQGCLATRRVQQSQSQDDPAAAFVIAYYGEHTCGGDAAAAAAACRDGELMPPAVINSGASSFAAAWNMASREPVSSLAVERRSCDGDAPSETSQGWSPSFSSEVELDVVGFDLAGADSSASPVWEFLNGSFDWESVINSL >ORGLA01G0292100.1 pep chromosome:AGI1.1:1:25534553:25535060:-1 gene:ORGLA01G0292100 transcript:ORGLA01G0292100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WQLFLDIDCLHRPTQPWASMSQLRIGETGRRARRRGEENDEQGRRRGSLSGTVAALSGIARGHEARGHDPHRAAAPFFPASHDSFSNEERVPSVGYVNLVRSRSKPSWRRISG >ORGLA01G0292000.1 pep chromosome:AGI1.1:1:25531329:25533483:-1 gene:ORGLA01G0292000 transcript:ORGLA01G0292000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQSRLAAAASGGGGSGISGSGGISRLGGGAGEEHEAVVRELTRGHELTARLRAEALRALRGQGQAEATATFILGEVSRAFTVCLSIMASASPSASPPQPDETPPADSAVSPPPPRAAREDNVPRKRLLTASPYDDGYQWRKYGQKKINNTNFPRSYYRCSYHRERRCPAQKHVQQRDGDDVPALHVVVYTHEHTCLQGAPAELPDAATNGGAAAAASPDYFPAGGETPSSLRRLRGVGGGGLQPQFVDHRAAMEERERQVLVSSLARVLQGRQCYDDDDDDDTDVASLGAVHARAPAAAAPVAASSSSSGPVDAAGEELDVMDYDMTDALFWGPFGTDSNSYDGNLTSTRCFDLIN >ORGLA01G0291900.1 pep chromosome:AGI1.1:1:25515386:25517603:1 gene:ORGLA01G0291900 transcript:ORGLA01G0291900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGQDTIEQLYRELAGGRRLSAKLQALLEGPLDSRGQKEAVDVSRELGRVFMVSLYMLKPCSNSSRRPEGVTRTAPETRTDDSICLHTPARVKRVRSEEVLVRNGREEVVTRTEIITPSPYKDGYQWRKYGQKNIQDSNYLRLYFKCTFSRERSCAAKKQVQQRDAGEPPMFLVTYLNEHTCQQPQAVPGTPNTAGSSPTTTSRQRQSSSSPPAEMLDLTMNGAGLFSRLLLPHAVGGGGSAAEEEAAIVTCLAAVISGGGAAAAPPPLIWPTSAPEAAFVASAAGHSPSAADESVADEAAAAQMADMDYCFGQYDQSTFGAAAAADHRVLIGDDGDVQRVVAARIADTVWPRYTRDTSAWETAGTSSMRGSID >ORGLA01G0291800.1 pep chromosome:AGI1.1:1:25499392:25505981:1 gene:ORGLA01G0291800 transcript:ORGLA01G0291800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRLKREQSFDFEEASAQEAVGSASASYSPPGGGGVFGISPPESSPRDGRKRRKDRPSLVKHTFTPHFDGHLWRKYGQKNIKDSAFPRLYYRCSYREDRQCLASKLVQQENDDDPPLYRVTYTYEHTCNTTPVPTPDVVAEQPPPGAAGDAYLLRFGSSAGGGGGGAHQQQTERERQQQNTARRRPFMMLSFDSSSSHQLHEQPHAFPPDGQLPATAAAASPSSFTAAEALAAPPLTTTMNDGGDLFSTWDALRYGLDYDHGHLGNHVYLPDDCNGGDDNY >ORGLA01G0291700.1 pep chromosome:AGI1.1:1:25495454:25496250:1 gene:ORGLA01G0291700 transcript:ORGLA01G0291700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAREGGGLTTGKREGNSGGDEDRWSEARAMKVDEETVPGGSSLTTTTGVKTTSWFTGAGDGNVLDVTTFLEARFRRTIPKPISSSDFCLSCSGASDCHKMPMLAGLRGGEWIHLVSRSLPLKPKGMDGDSLV >ORGLA01G0291600.1 pep chromosome:AGI1.1:1:25483354:25487337:1 gene:ORGLA01G0291600 transcript:ORGLA01G0291600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRSDNMDSSSECSRGAHKRLLQDSRSYEQENAMKKVCIGTRTEYTYAPYHDGYQWRKYGQKMIRGNSFPRCYYRCTYHQDHGCPASKHVEQHNSEDPPLFRVIYTNEHTCGTSNSASDYMASSMQIQQIADASLRKTQAAERLRKAEVETPRLMHSPPPRCSGGYNMAMKEEKDVIVSSLLTVIRGCHIAESAGNNSAAALPANRPPPAVARSDHHSXLQLCDFAXIVAGVRRPDTGLHAGFSTGSPLGRASGFGLVQRIHAHWL >ORGLA01G0291500.1 pep chromosome:AGI1.1:1:25463766:25465435:-1 gene:ORGLA01G0291500 transcript:ORGLA01G0291500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFVERLLVGLLAAAVVAIAVSKLRGRKLRLPPGPTPVPVFGNWLQVGDDLNHRNLAALARRFGDIFLLRMGQRNLVVVSSPPLAREVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTGKVVQRHRAGWEAEAALMMYNNVYRIMFDRRFESADDPLFLRLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLRICEEVKETRLKLFKDFFLEERNDRDDAVVDGVGDRGAGVNHGEIQEKLRRELDTVLGPGRQITEPDTHRLPYLQAVVKETLRLRMVIPLLVPHMNLRDAELAGYGIPAESKVLVNAWYLANDPGRWRRPEEFRPERFLEEERHVEANGNDFRYLPSGAGRRSCPGIVLALPILGVTIGRLVQNFELLPPPGQDRVDTTEKGGQFSLHILKHSTIVAKPRAF >ORGLA01G0291400.1 pep chromosome:AGI1.1:1:25450281:25460061:-1 gene:ORGLA01G0291400 transcript:ORGLA01G0291400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein with ARM repeat domain [Source:Projected from Arabidopsis thaliana (AT2G40730) TAIR;Acc:AT2G40730] MFKFLKEVVAGSGSGLKDFPYTIGEPYASAWGSWTHHRGTSKDDGSPVSIFSLSGSNPQDRHLVAGRNGVKRLRTVRHPNILSFLHSTEAEVPDGPAMKHTIYIVTEPVTPLSEKLKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCVTSVVVTQTLDWKLHAFDVLSEFDANNEASNSPMLQFEWLVGTQYKPMELTKSDWVSIRKSPPWAIDSWGLGCLIYELFSGAKLTRTEDLRNTASIPKSLLPDYQRLLSSAPSRRMNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASALEFGSAAAPALVVLLKMGSWLPADQFSAKVLPTIVKLFASNDRAIRVSLLQHIDQFGESLTAQTVDEQVFPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIANYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNVVVLTFDPDSDVRTKAFQATDQFLQIAKQHHEKLTMGDNSAAEATGIQLKPGNAGLLGWAMSSLTQKGKGSDHGPVSSANASNSQISATSSVTSDNRSSTVAYAPSTSSSLDQTAPASARSSVDGWGEIENDNTQEENGSDKEGWDDVDPFDEKPPPSLLSNIQAAQKRPVAQPKQPVSNSSRLNQPKVPKPEEDPLWGSIAAPAPKNASKSSDIKPSTSHNDDDDLWGSIAAPPPKSAGKPLKPPAAANSDDLWGAIAAPPPSTKARPLASSGRGRGTKPAQPKLGAQRIGRTSSTGM >ORGLA01G0291300.1 pep chromosome:AGI1.1:1:25447558:25449498:1 gene:ORGLA01G0291300 transcript:ORGLA01G0291300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G11460) TAIR;Acc:AT3G11460] MATEPSTSAPASPAAVAGGDDSLEPWSARVRTLTRLGRHREALALLRHGDPSPPPHALALPAAVISCAKLHLASGVAQIHALAAKRGLLPSSDAYLLSALLSSYSRLRLLPLARQLLDELPLASTPPATARTAFNSLISGCALHGVPAGCFSLFRLMRVAAGVRFDAVTLLALVPVAPLGIVPQLHALAARSGLAANTSVANCLVSVYARGGGGGASLARQVFEEMPRASRDLVSWNAVISAHAQNGLAVEAVELYRRMRGPEGGGVEPDAVTLVGVLSSCAHTGARRVGLDVERYVRDTIPGFRDNLPLCNALINFHARCGSLAQAQELFDEMPERSVVSWTALIIGYGMHGQGDIAVDLFETMVSEATAPDSVAMVGLLSACSHAGMYDEGRKYFSTMERDYCLRPKLEHYTCMVDLLGRAGRLDEARELIASMRMAADGAVWGALLGACKIHKNVEMGEEAFERVVSLEPANVGYYVLMANIYADAGQLDGVAKVRAAMRRRGLRKEPGCSYVEHKGKVHLFMADDHSHPQARRIYELVVELERMVKEKTGGDVVEERAEKAVAEAAAVPLVGFHSEKLAVAFGLLNTEAGSEIVVIKNLRVCGDCHSFLKTVSELTNRAFLVRDASRFHRFENGACSCRDYW >ORGLA01G0291200.1 pep chromosome:AGI1.1:1:25445423:25446920:1 gene:ORGLA01G0291200 transcript:ORGLA01G0291200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEQVKRRFGRCPYCRAMIYQDPNAIIYYCSKCRTPIRGKNPEPTDDAEYALSQLEILSADTASVFSDDPDTLSRTSSVAYGGGEQPPVRTSSAPYAAFDRGSVRAGSRSGEQSGEERGGSPMHSRVSELRPTSRRTRRPMSGDMGAFRDDGSSYGSDNDVPTSAAASYRCRASPLTSQELEASSSSMGSSGYQPSGVSSSSMGSSSVYEPSGAARSPLTDPAFQRDLLQALDNLRRVIAAVEQPYGVDAHLQQAGMPPKSASCNDAATGGSGGGGGAYAAAVTRRNSRLMRRLESQLVQALPRDGLRRDRSTSSSSSASSSRPGGDRARAAGRKHHCRAVLGGTPFVVCDKCSEILQLPAAVSANRAARLECGGCGETLSIKLPAAAASGSTDRPKKIFSAPQPAVRRLDDDDAGEEHASARSNLSGDQRWPASPAEGPLHRMLGYSTVSSVFRSRRYGEQH >ORGLA01G0291100.1 pep chromosome:AGI1.1:1:25440270:25442835:1 gene:ORGLA01G0291100 transcript:ORGLA01G0291100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYETTARSWTQKYAMG >ORGLA01G0291000.1 pep chromosome:AGI1.1:1:25430691:25431888:1 gene:ORGLA01G0291000 transcript:ORGLA01G0291000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVL >ORGLA01G0290900.1 pep chromosome:AGI1.1:1:25421377:25425789:-1 gene:ORGLA01G0290900 transcript:ORGLA01G0290900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSMLIDFSGFCFGFSAGIVIGYFLFIYFQPTEVKDVKVCPLVEYDSNSLDGILHEIPLWVKNPDCDRIDWVSRFLEMMWPYLNKAICKTAQDIANPIIAENKEKYKIDSIEFETLTLGSLPRTFQGMKAYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATIQVVDLQVFASPRITLKPLVATIPCFAKILVSLMEKPHVDFGLKLLGADVMAIPILYSFVQETIKKQVASIASKRPVGILLVKVLRAQNLQKKDLLGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVFDWEQVGKHDKMGMNKILLKELPPEETKVTTYNLLKTMDPNDIHNEKSCGQITLEMTFKPFKEDDIEKDVQGTDVVGKAPDGTPAGGGLLYVVVHEAQDLEGXHHTNPYARIIFKGNEKKTKVIKKNRDPRWEEEIEFVCEEPPANDKLHVEVLSKPPQKRVDTWQGNFRLH >ORGLA01G0290800.1 pep chromosome:AGI1.1:1:25415239:25420143:-1 gene:ORGLA01G0290800 transcript:ORGLA01G0290800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSMVLSFIGFCIGFSVGIVIGYFLFIYFQPTDVKNVKVRPLVEYDSDSLDDTLPEIPLWLKNPDYDRLDWLNRFLELMWPYLNKAICRIAQDVANPIIAKNKEKYKIRLYXVXNIYFGXLTTNLPRSESPSYKXAGVGYGTLSXMGRKSQYHCCCKGLRVESNYPGLEFFRSCAXKVQISAKXNLHFSYEIFQVVDMQVFVLPRITLKPLVSSFPCFANILVSLMEKPHVDFGLKLLGADVMAIPVLYKFVQETIMDQVASMFLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLREKGPLGKRDPYVKLKMSGSKLPSKKTAVKHSNLNPEWNQEFKLVIRDPETQELDINFGKDEKLGMCKISLKKLTPGTEVITDNLIKTMEPNGIQNEKSAGEITLELTYKPFKEGNIQKEDPGGLLYVVVHEAKELEGKCNTNPYVKLTFKGVEKKTKVVKENRNPRWKEEFEFECEETPANDKLHVEVLGTKKALIRNKESLGHIDISLADVIINKRIIEMYDLINSKRGQIQIEFQWKSS >ORGLA01G0290700.1 pep chromosome:AGI1.1:1:25412122:25414383:1 gene:ORGLA01G0290700 transcript:ORGLA01G0290700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHILTAFLVVSLLFVCIPPAKSADLNSDKQALLAFAASLPHGRKLNWSSAAPVCTSWVGVTCTPDNSRVQTLRLPAVGLFGPLPSDTLGKLDALEVLSLRSNRITVDLPPEVGSIPSLHSLYLQHNNLSGIIPTSLTSTLTFLDLSYNTFDGEIPLRVQNLTQLTALLLQNNSLSGPIPDLQLPKLRHLNLSNNNLSGPIPPSLQRFPANSFLGNAFLCGFPLQPCPGTAPSPSPSPTSPSPGKAKKGFWKRIRTGVIIALAAAGGVLLLILIVLLLICIFKRKKSTEPTTASSSKGKTVAGGRGENPKEEYSSGVQEAERNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVVGKKDFEQQMEIVGRVGQHQNVVPLRAYYYSKDEKLLVYDYIPSGSLAVVLHGNKATGKAPLDWETRVKISLGVARGIAHLHAEGGGKFIHGNLKSSNILLSQNLDGCVSEFGLAQLMTIPPAPARLVGYRAPEVLETKKPTQKSDVYSFGVLVLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTAEVFDVDLLRHPNIEDEMVQMLQVAMACVAAPPDQRPKMDEVIRRIVEIRNSYSGSRTPPEEKQKDESAAP >ORGLA01G0290600.1 pep chromosome:AGI1.1:1:25401527:25405205:1 gene:ORGLA01G0290600 transcript:ORGLA01G0290600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLDTEIGKHDYDWLLTPPGSPHAPILEVVEKAPSSDVPKRITTRSSSTTRASRLSIPETENGHSTVPTRPARSNSVSRPSIQSTLISSNNRSSVVNASISSVCSPPTTPSKRTSTLSSSKPSVPASRQVPTRPSTPTKTRPSTPVKPRPSTPVKPRPSTPNKTRPSTPVKTRSSVPNTMSTSTIAKTTSAQNSRPSTPTSRPRIMSNSSSSTSSAASRPSSSSGRTSTISRTSSSTSTVPSVSRSSSRSSTPTRQPITRSSAPLAGHSPSVGRIFGSNNITSIGRPVTSNGRSSAPSSAPSSRPSSPNSRARAPVRPLDIPDFPSETPPNLRTKLPQRPLSAGRARPGVGLGPKSAPNAEQVRSAPVKKMTVPAITRSKFPDAPSRVSSLTNGHQSRQSEGSAVEGQHTKPLRSITGTDNGFGRTISRKSLDMAIKHMDIRQNLGGIRGASLFPPSIRSAGAKVRSARMSDPAHLSLYGDCHFTDNGSINGHFSGDSNGTLSNNGGSSTGSPDRESIGTKETLSELDLYTTARYEALLLREDITNTNWLHNVDDKSDQSPVFDHRFEPLPEPFGPL >ORGLA01G0290500.1 pep chromosome:AGI1.1:1:25398355:25401445:-1 gene:ORGLA01G0290500 transcript:ORGLA01G0290500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPITTASHPNSTSLCCSCSYPPYLPSKRRAARGDGNPRRRGTWNDRGEGVEISMVLSTPQRLQIGPVRHPTKSFWPKGVQVEEQEL >ORGLA01G0290400.1 pep chromosome:AGI1.1:1:25381355:25392127:-1 gene:ORGLA01G0290400 transcript:ORGLA01G0290400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFRGFRRAVIVVVLCICHVNVVRGQSTDPAEVNALRAIKGRLIDPMNNLKNWNSGDPCTSSWKGVFCDNIPINNYLHVTELQLFKMNLSGTLAPEIGLLSQLKTLDFMWNNLTGNIPKEIGNIHTLRLITLNGNQLSGSLPDEIGYLQNLNRLQIDQNEISGPIPKSFANLTSMRHLHMNNNSLSGQIPSELSRLPELLHLLVDSNNLSGPLPPELAETRSLQILQADNNNFSGSSIPAAYENIPTLLKLSLRNCNLQGGIPDMSGIPQFGYLDFQNNSLGNIPAAFEPPEEVTILLYGNPVCTNSTPARAARLCQPTSVTEAPSGQGSQVSINCSPCPTDKNYEYNPSSPLPCFCAVPLGVGFRLKSPGISDFRPYKEDFQKNLAHLLVLADYQIYMERYIWEVGPRLNMHLKLFPNNTNLFNTSEVVRLRHLLAGWEITLSNVFGPYELLNFTLGSYEDEFPTVASSGLKRGALAGILAGTITASIAASVFTTIFIMRRRSKRRTTSRRSLLSRYSVKVDGVRCFTFDEMAAATNDFTDSAQVGQGGYGKVYKGNLTDGTAVAIKRAHEGSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSAKSRRPLNFSQRIHIALGAAKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVDGTMPAHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVLLELLTGMKPIQHGKNIVREVNTAYQSGEIAGVIDERISSSSSPECVARLASLAVKCCKDETDARPSMADVARELDAIRSALPEGEELLPEYGDQSATSTSLTATGPLSSSSTTGALFISSGSGGHANSKSGIPSGTVAPR >ORGLA01G0290300.1 pep chromosome:AGI1.1:1:25376088:25378128:1 gene:ORGLA01G0290300 transcript:ORGLA01G0290300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 13 [Source:Projected from Arabidopsis thaliana (AT4G35550) TAIR;Acc:AT4G35550] MEWDKAKASSGEAVDDRGGGEGGLGYVKVMTDEQMEVLRKQISIYATICEQLVEMHRALTAQQDSIAGMRLGNLYCDPLMVPGGHKITARQRWTPTPMQLQILENIFDQGNGTPSKQKIKDITAELSQHGQISETNVYNWFQNRRARSKRKQAALPNNNAESEAEADEESPTDKKPKSDRPLHQNIAMRDHNSERISEMHHFDMEHEQIRRMMYASNDSSSRSSGSLGQMSFYDNVMSNPRIDHFLGKVESPGSFPHMRSGESFDMY >ORGLA01G0290200.1 pep chromosome:AGI1.1:1:25364778:25367649:1 gene:ORGLA01G0290200 transcript:ORGLA01G0290200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTNAEKCFSPARAMSPLPLVRPPPSPGAAGQYLAELLQEQQKIGPFVQVLPICGRLLNQEIMRMSAIVSHLGVREHDRLPIASPNQMHPLPQVPNFCGNGFNPWTGTLPEKNGFPRGTMGWEGAAHDPSYIVKKIVRLEVPTDAYPHFNFIGRLLGPRGNSLKRVEASTGCRVFIRGKGSIKDPIKEEQLKGRPGYEHLSDPTHILIEAELPADVIDTRLAQAQEILEDLLKPVEESQDFLKRQQLRELAVLNSTYREDSPHQNGSASPFSNGSTKLGKQ >ORGLA01G0290100.1 pep chromosome:AGI1.1:1:25356047:25357885:-1 gene:ORGLA01G0290100 transcript:ORGLA01G0290100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G14730) TAIR;Acc:AT3G14730] MAALRVPLRAILATPRAAGPRLLLPLHAHLLVSGRLAASPAALTSLVSLYARAAAPALHRHVAPLLLDRPSPPPLPCFNAGLSLPHPLALAVFRGLRHAYSPDAFSFPPLVSSAPSPPHLLALHALALRCGLAHDLFCASAMLRSCLRFGLADHARRLFGELPRRDVVVWNAMVNGFARLGCFGHAVECFRMMREDGEVEISSFTVTGILSVCTATADLGHGAAVHGLVVKSAFDQEVSVCNALVDLYGKCHKVDDAAMVFEGMADIVKDLFSWNSMLSALHYSADHAGTMKLFSRMRRVAVWPDAVTVAAVLPACAQTAALQVGREVHGYIVTSGLACHGALDSFACNALVDMYAKSGALDEARRIFDRMQQRDVASWNIMIDGYASHGHGKEALELFCQMTEVERLLPDEITLLGALSACSHSGLVEEGKNFLKRMKEEFSLEPQLEHYACVTDMLGRAGRLEEARKVVEDAGDVGVGAWRTYLAACRMHGDKERAQEAARMLMTTEQSESGGWVLLANTYGWEGNFDELEEVRGEMKRRGVQKAAPGCSWVEVGGDDRGSGAVMHAFVSGDRGHPEADMIYEMLHALISWMRDCSHLSNTTPLYSVEHS >ORGLA01G0290000.1 pep chromosome:AGI1.1:1:25354544:25355969:1 gene:ORGLA01G0290000 transcript:ORGLA01G0290000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISVEFCIISARGLGRRSALLKPQWFSVAWIDPNSKYCTKIDASGNSDVNWGTKFSLSVDEHDMSMQQMELTVEVYRREPVFLREHLQGTAVIQMKEYFDKFSQGKDPSGVTEETSSFQLRRKKSDKPHGFVDISIRICKEENNRATFSGSQEGLKYPDQVGITLAIEDGPVYNYPPMPSSHFKGYTQDVDHYSNSMPVTAATRPGQSPPGSNYSYQPTMVPPILPHPTSNPSFFTPQYPTRGPVPQTYINVPPRMGGQNSTRNFGMGLGAGALAAGTMIFGENLLSGQSLNTGLDGASLSISKDAPF >ORGLA01G0289900.1 pep chromosome:AGI1.1:1:25347725:25350491:1 gene:ORGLA01G0289900 transcript:ORGLA01G0289900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLSLLF >ORGLA01G0289800.1 pep chromosome:AGI1.1:1:25329795:25333268:-1 gene:ORGLA01G0289800 transcript:ORGLA01G0289800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRVSANPRPSCVRRVLARKRRRPEAAANSARKLQRREISALPCRAFSASTTRERFRNIQLQEEFDTHDPKEMGSLLPYLMKRSEIIEIVGASDIIFALSQSGVCAAFSRVSNQRICFLNGRPDEVIRSLFYNKNNDSLITVSVYGSENFSALRCRTTRIEYIRRGKPDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYTISDKNVQEIKISPGIMLLIYSRKKGCIPLDILSIEDGKRLKSFKHLLHRNKKVDFIEQFNEKLLIKQEGENLQILDVRNFQSIEVSRSEFVTPSAFIFLYEMQLFLTFRSRSVSVWNFRGELVTSFEDHMLWHPDCNTNSIYITSNQDLIISYCKADPNDPSSEENACSINISEILTGKCLAKIKAGNLNKQRVSKFQSTPSEALGDITALYYDEEREEIYTGNRLGLVHVWSN >ORGLA01G0289700.1 pep chromosome:AGI1.1:1:25326024:25329069:1 gene:ORGLA01G0289700 transcript:ORGLA01G0289700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFSWTLPDHPKLPKGKTVALVVLDGWGEANADKYNCIHVAQTPVMDSLKNGAPERWRLVKAHGTAVGLPSEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYDGEGFNYIKECFDKGTLHLIGLLSDGGVHSRLDQVQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLESDLSQLRDKGIDARIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFQNAVEAVKTLRAETKASDQYLPPFVIVDESGKSVGPVVDGDAVVTFNFRADRMVMLAKALEYADFDKFDRVRVPKIQYAGMLQYDGELKLPSHYLVSPPEIERTSGEYLVKNGVRTFACSETVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDIGITFNVKPNMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAIEQVGGIYLVTADHGNAEDMVKRNKSGQPLLDKNGGIQILTSHTLQPVPVAIGGPGLHPGVKFRSDIQTPGLANVAATVMNFHGFEAPADYEPTLIEVVDN >ORGLA01G0289600.1 pep chromosome:AGI1.1:1:25324850:25325353:-1 gene:ORGLA01G0289600 transcript:ORGLA01G0289600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTWERRDSIVTVQSGPSPIPSLGLDIPSRYSQRRRDFSPGFSRFSNGKSPPRRTNAPLRSCVGRAATGPGVLGAKPLELECAIRPKALSLSSRTSTSVYFLLALHKTGTPVFITGGTVAVGQIWRLGSGQPTLLLLWAGRYILGLVGSNVGHAAHVWLAGMK >ORGLA01G0289500.1 pep chromosome:AGI1.1:1:25322191:25323242:1 gene:ORGLA01G0289500 transcript:ORGLA01G0289500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNVVPVAAAAGPAGGERRGEEEEEAAALTVWRKSLLFNCKGFTVFDAKGNLAYRVDSYDTESGDEVVLMDAAGAPAFTVRRKRQLSLQGEQWLVFAGEADGRRPPVYAVRRTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGVGVSLAMAVVVALDQMFGRPSLLRSWSS >ORGLA01G0289400.1 pep chromosome:AGI1.1:1:25321266:25321713:1 gene:ORGLA01G0289400 transcript:ORGLA01G0289400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTKIPKSSFSARARHWPPPPHRAPWLRAAAGRAAVGERMGACGKRRRHGDVGILLPRRPSPALQHDGIENGDGGVEDDDEDDARALTAAAETEVAWAAVGGAEEEVAIYKIMDLNDIRAQL >ORGLA01G0289300.1 pep chromosome:AGI1.1:1:25309277:25312148:1 gene:ORGLA01G0289300 transcript:ORGLA01G0289300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVPALIADDLPTNVTSQITDAARPKTTSSVVCYSPMMITTNGIWQGVNPLEFSLPLFILQVAVIVVTTRLLVVLLKPFRQPRVIAEILAGVVLGPSVMGQVEVWATMVFPQRSLLTLETVAHLGLLYFLFLVGLEMDLDVIRRSGKKALFVAVAGMALPFCIGIATSFIFRHQVSRNVHQTSFLLFLGVALSVTAFPVLARILAEIKLLNTELGRIAMSAAIVNDMCAWILLALAIAISEVNSTALSSLWVLLAGVLFVLFCFYVVRPGMWWLIRRIPEGEVVSDMQVSLILTGVMLAGVCTDAIGIHSVFGAFVYGLVIPGGQLGVALIEKLEDFVTGLLLPLFFAISGLRTNISKIRDPITVGLLVLVFTMASFAKIMGTIIIAALYTMPFREGIALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTTLVTPVVTGVYRPSRRLVGYKRRNLQRIRHDSELRMLICVHTTRNVPSMLSLLELSNPTKRSPIFIYALHLVELTGRASNMLAAAAASASKQNRSSSSSTLPPVTEHIFNAFENYERHTGGISIQTLAAVSPYQTMHDDVSVLAEDKHVSLIVVPFHKQQTVDGAMEPINPSIRGFNESLLSTSPCSVAILVDRGLSAAAARMAALHRVALFFFGGPDDREALAYAWRMVEHPGVALTVVRFVPPDYRVRSYSNTNYRSVASDADPRSIGMDTEGKTELQMDEEYLGDFRTRNIGNDAISYSDKVVANSEETVSAIRNMDDSLHELYIVGRRPGEAGSPMTASLEDWMECPELGPIGDMLVSSDFSMSVSVLVVQQYVVAAAAPAPATTAPAGNADPVRQYVSNANQRPSAAYRTSAASTANSRWSGGGTVGF >ORGLA01G0289200.1 pep chromosome:AGI1.1:1:25307527:25307808:-1 gene:ORGLA01G0289200 transcript:ORGLA01G0289200.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGLSEKQQEKYYFAPISINRQTLPHGERALQLARTTISGIAALRDMTRERRNSSNGHGDLSSLFAGSNDNGPVPPMLASSALGTIRHARPQEG >ORGLA01G0289100.1 pep chromosome:AGI1.1:1:25300104:25303342:-1 gene:ORGLA01G0289100 transcript:ORGLA01G0289100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM, LAG1 and CLN8 (TLC) lipid-sensing domain containing protein [Source:Projected from Arabidopsis thaliana (AT4G10360) TAIR;Acc:AT4G10360] MDGNFGSEERILWPVSVLAGIAMCAAVYDITQKVSSHCFKGYDNLSPMKKVEWNNRGFSTFHALVAAVVSIYLVVISDLFHSNIIIDRNSWLSDAMFGVSIGYFLTDLVMILWYFPSLGGKEYLLHHGLSMYAICLALLSGKAHMYILMVLFTEATTPFVNLRWYLEVAGKKTHNLYLYNGLALFVGWLVARVILFIYFFTHMYFHFDQVKSIFPLGFYSILTVPPALAVMNLFWFWKIFKGMLKTLSKRRQQSENGKAE >ORGLA01G0289000.1 pep chromosome:AGI1.1:1:25293546:25296014:-1 gene:ORGLA01G0289000 transcript:ORGLA01G0289000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWRFSRSLLRAAATTTTATAACASASTAGKHAASRRWVTPRELQRCWYASLPAAAAAVPGKDGEAEVTAEEARRLMRLANVEALKRKLGDGEVIPYAELLRACEEAGAARTRAEAAALAGALDEAGVVLLFRDKVYLQPDKIVDLVRKAMPLALTPEDDPRKEELKKLQTQLEDINKLAHKQVRRILWSGLGFLITQVGLFFRLTFWEFSWDVMEPITFFTTTTGLVVGYAYFLITSRDPTYRDFMERLFESRQKKLIQRQNFNLDRYLELQRRCKGPLEKMCGTNQTPNPDMAHLHELSVNK >ORGLA01G0288900.1 pep chromosome:AGI1.1:1:25286047:25287912:1 gene:ORGLA01G0288900 transcript:ORGLA01G0288900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMRASAALLLVAAAVLAAVRAEDPYHFFDWKVTYGTRTIMDVAQKVMLINDMFPGPTINCSSNNNIVVNVFNQLDHPLLFNWHGIQQRKNSWMDGMPGTNCPIQPGTNWTYKWQPKDQIGTFFYFPSMGMQRAAGGYGIITVHSRLLIPVPFDEPAGDYPVLVGDWYTKDHTVLAKNLDAGKSIGRPAGLVINGKNEKDASNPPMYTMEAGKVYRFRVCNVGIKTSLNVRIQGHSLKLVEMEGSHTVQNSYDSLDVHVAQCVSFLVTADQKPGDYLLVASTRFLKEYSAITAIVRYNGSNTPASPKLPEGPSGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLCTSKGKVDGKERFALNGVSHVDDAQTPLKLAEYFNASSGVFEYNLIGDVPPATTVPQKLAPNVISAEFRTFIEVVFENPEKSIDSFHINGYAFFAAGMGPGIWTPECRKTYNLLDTVSRHTIQVYPRSWTAVMLTFDNAGMWNIRSNMWERYYLGAQLYVSVVSPARSLRDEYNMPEIALRCGKVVGLPMPPSYLPA >ORGLA01G0288800.1 pep chromosome:AGI1.1:1:25284640:25284759:1 gene:ORGLA01G0288800 transcript:ORGLA01G0288800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQSSQKSELYSFGSVMIELLSRRLPLAKGRFIDQGWQ >ORGLA01G0288700.1 pep chromosome:AGI1.1:1:25278552:25282844:1 gene:ORGLA01G0288700 transcript:ORGLA01G0288700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNWQNEPQSWMGSTDPCTSWDGISCSNGRVTEMRLSGINLQGTLSNAIDQLSSLTYLDLSNNLNLGGPLPPSIVNLKQLTTLILLGCSFTGDIPEQIGALRQLTFLALNSNKFTGGIPPTLGLLSKLFWLDLSDNQLSGKIPVSSGSNPGLDQLVNAEHFHFSENQLTGPIDEKLFSEKMNLIHVIFDNNNFTGPIPGSLGRVSSIQIIRLDHNQFSGPVPGSIANLSRLMELSLASNQLNGTVPDLTSANALTYVDLSNNNFMSSPAPRWFSTLTSLTTLFMDSDHLTGTIPSALFSFPQLQQISLAKNSFSGELNMSSNISSLLRVVNLTNNQIFNAEVDPSYTGSLILSGNLICFNNISFCTLKQKQQVPYSTNLGPCGAIPCPTDQSANPVASQNCACASPFQGLMIFRAPAFSDVTSPKSFQPLEFTLVQNLSLAPGSVAISNVEFSPGEPLTFTVKVFPESGTSFNHSEVIRISSSLVNQTYKAPAYFGPYSFIASTYFASPSGKRSSMGKGAIIGIAVAGFLLLVGLILVAMYALRQKKIAKEAVERTTNPFASWGQGGKDNGDVPQLKGARYFAFEELKRCTNNFSETQEIGSGGYGKVYKGMLANGQMAAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPNGTLRENLKGKGGTHLDWKKRLQIAVGSAKGLAYLHELADPPIIHRDIKSTNILLDESLNAKVADFGLSKLVSDTRKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELITSRQPIEKGTYIVREIRTAIDQYDQEYYGLKSLIDPTIRDSAKMVGFRRFVQLAMECVEESAADRPTMNDVVKELEIIIQNEGAQLLNSASLSAQQFGYAEGRDPDPYGDHVPINDDSSSGAFDYNSVYSYSVVEPK >ORGLA01G0288600.1 pep chromosome:AGI1.1:1:25270315:25272540:-1 gene:ORGLA01G0288600 transcript:ORGLA01G0288600.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAILFEKIRDMMIKELEAELANNSAIAKPAHKIRFIRCYDCTWTLIDEYDLVDPVHTLTPPEESGFCDGVKATKWSERRDATELTKLSSTKRIATGDFEDICPTPKKNDGCDFLKWYEPKTTPTPYLKQELLNDLYAVVHGLKEDNTEIKASLISARAQIDELMTAHNAVTDRRRKLMEKDCSACKLSARVVELEEEIQFLLSIIVGFVVLIVALCLGG >ORGLA01G0288500.1 pep chromosome:AGI1.1:1:25244190:25260646:-1 gene:ORGLA01G0288500 transcript:ORGLA01G0288500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G35630) TAIR;Acc:AT2G35630] XXTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEVFLESMEKAVKNKVAKAVVPAIDVMFQALSEFGAKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKAILFEKMRDTMKKELEAELANVSGIAKPTRKIRSEQEKELEEEVVPEAAGTNNSEEAVPEAPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASTKKIAPGDFHEICRTLKKLITDVNLAVSVEATQAIGNLAKGLRTHFSGNSRVLLPVLLEKLKEKKPTMTEALSQTLQAMHKSGCITLLDVIEDVRVAVKNKVPLVRSLTLNWVAFCIETSNKATVLKLHKEYVPICMECLNDGTPEVRDASFSVLTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSASDTTSGTVAASNTGVGTSAREVMDSSSMRRSAASMLSGKKPVQAVPATKKSGPAKSATAKKTDGGPQSKASGAPVIEDVEPSEMSLEEIEEKLSSVVKTETISQLKSTVWKERLEAISMLKQEVESLTELDKSAELLVRLLCAVPGWSEKNVQVQQQVIEVITYIASTVNRFPKRCVVLCLLGISERVADIKTRGHAMKCLTAFCEAVGPGFVFERLYKIMKEHKNPKVLSEGILWMVSAVEDFGISNLKLKDTIDFCKDIGLQSSAAATRNATIKLIGVLHKFVGPDIKGFLSDVKPALLSTLDAEYEKNPFEGTASAPKRTVRAADAVSSASSGTSDGLPREDISAKITPTLLKNLGSPDWKLRLESIDAVSKIVEEAHKRIQPTGTVELFTALRARLYDSNKNLVMATLSTIGGLASAMGPAVEKSSKGILADVLKCLGDNKKHMRECTLTALDLWVAAAQLDKMVPYITVTLGDQKTGSEGRKDLFDWLSKHVSNMSDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEILKICGQDVVAKNLKDLPSPTLAIVAERLKLSTVHEGFSDSVKMVTTSMSLPSKAGSKNNKHGPNDRGSNVSKAVSQRGIPARSSVTMISSQDSIQSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIDELKIELFRHFREDVSLRLWNSDFKRQIDGIELLQKALPSSRKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDVLKDQSYMLTEAEAAIFLPCLMEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYILEGLRSKNNRTRIECVDIIGYFMDHHGTEVSGLLKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDIAEQSGEAVSRSMAGSMISRENFGYSDAHMVPRQMATATPGPADWREALDIVALGLPEQSVEGMKVICHELTQAVDPESSVLDDLIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPTPPESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGTMGQTHWGDAGSNNPNPSTHSTDAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAPIPSPKFAPSPVHTKSINNKTDCNEDDAGGDTHPFRGQGEIDNRLQTTNLQTDRYQSSAGTLDALRERMKSIQAAAVGANFDGVQARPLPSMNGNTLHGGTRLDADPQTQNIIPPMDERALSGLQARMERLKSGSMEPL >ORGLA01G0288400.1 pep chromosome:AGI1.1:1:25231487:25232641:-1 gene:ORGLA01G0288400 transcript:ORGLA01G0288400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAAVGGSGRRDAEAELNLPPGFRFHPTDEELVVHYLCRKVARQPLPVPIIAEVDLYKLDPWDLPEKALFGRKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPVAPKGSARTVGIKKALVFYSGKAPRGVKTDWIMHEYRLADADRAPGGKKGSQKLDEWVLCRLYNKKNNWEKVKLEQQDVASVAAAAPRNHHHQNGEVMDAAAADTMSDSFQTHDSDIDNASAGLRHGGCGGGGFGDVAPPRNGFVTVKEDNDWFTGLNFDELQPPYMMNLQHMQMQMVNPAAPGHDGGYLQSISSPQMKMWQTILPPF >ORGLA01G0288300.1 pep chromosome:AGI1.1:1:25228297:25229889:1 gene:ORGLA01G0288300 transcript:ORGLA01G0288300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15010) TAIR;Acc:AT5G15010] MLRSLLARAIPRSSSAAAAATTRGFTDPRSFPLLFREGSRLSTSQGGIGNGGGGGGNGGDGEEDDPFSFADLQKLPPDVARDVEAVVGAAEGFHADAARARGLLERCGATASEPVVVAVLARLRNSCAAAHAAFRWASAQPGYAPGRHACHSMLAILAKHRRFDDARALLDQMRRSSLASPAAVMLLIRRYCAARDVAGAVAAFRALPSLGFRPGVAEFHGLLTALCRYKNVQDAEHLLLSSEKEFPFETKSFNVVLNGWCNMVRSVREAKRFWNAMEIKGIKRDVVSYGSMISCFSKAGSLDTVMKLFNRMKEAGVIPDRKIYNAVVYALAKGRCVNEAKALVRSMEEKGVAPDTATFNSLIRPLCKARQVQEARKMLDDMLGRGLSPSVRTFHALLDVARSPIEVFDLLDKMKELQCDPEMDTFIMLIRKFCRWRQHDSVEKLWSAMPANGLSPDRSAYIVLIHGLFLNGRLEESAKYYEEMKAKGFPPEKKTEEMIQAWLSGRELAKASASVGSRGGSVSLRSNPRK >ORGLA01G0288200.1 pep chromosome:AGI1.1:1:25224324:25227930:-1 gene:ORGLA01G0288200 transcript:ORGLA01G0288200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSPRFLGRTSPPVDAIAARRLVALLLEHQDRRRQLLQIHSQLIAHQVFDRRPTPWHALLKAYSHGPHPQDALQLFRHARWHAADDTYAFTFALKACAGLGWPRCCMQLHGLVVRKGFEFQTYVHTALVNVYILCGCLADARMAFEEMPVKNAVSWNVVITGFAGWGEVEYARLLFERMPCRNVVSWSGMIDGYTRACCPVEAVALFRRMMAEGISPSEITVLAVVPALSNVGKILIGEALHGYCEKEGLVWDVRVGNSLIDLYAKIGSIQNSLRVFDEMLDRRNLVSWTSIISGFAMHGLSVKAVELFADMRRAGIRPNRITFLSVLHACSHGGLVEQGVAFFKSMIYEYNVNPDVKHFGCIIDMLGRAGRLCEAEQIIRDFPVEVNATVWRTLLGCCSKYGEVEMGERTMKKILALEREFGGDFVVLSNMLTELRRFSDAEIVRKLVDQRNSVKSFFNIVRMEAIKRLHAYYIVSGLYNCHYAMSKVLRSYAILQPDLVFAHKVFDQIEAPTTFLWNILIRGLAQSDAPADAIAFYKKAQGGGMVPDNLTFPFILNACARINALNEGEQMHNHITKLGLLSDIFVSNSLIHLYAACGNLCYARSVFDEMVVKDVVSWNSLICGYSQCNRFKDILALFKLMQNEGVKADKVTMVKVVSACTRLGDYSMADYMVRYIEDYCIEVDVYLGNTLVDYFGRRGQLQSAEKVFFNMKVRNIVTMNAMIAAYAKGQDIVSARKIFDQIPKKDLISWSSMISGYSQANHFSDALEIFRQMQRAKVKPDAIVIASVVSSCAHLGALDLGKWVHEYVRRNNIKADTIMENSLIDMYMKCGSAKEALQVFKEMKEKDTLSWNSIIIGLANNGFEKESLNLFQAMLTEGFRPNGVTFLGVLIACANAKLVEEGLDHFESMKRLYSLEPQMKHYGCVVDLLGRAGQLEKALRFITEMPIDPDPVVWRILLGSCNTHGDVAIAEIVTKKLNELEPSNSGNYTLLSNAYASAHRWSEAMNVRQCMADTDVRKSPGCSAVEAA >ORGLA01G0288100.1 pep chromosome:AGI1.1:1:25221959:25223482:1 gene:ORGLA01G0288100 transcript:ORGLA01G0288100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKTQSKGGATQRGAKGPKIGGGGGKR >ORGLA01G0288000.1 pep chromosome:AGI1.1:1:25216484:25220331:1 gene:ORGLA01G0288000 transcript:ORGLA01G0288000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (Ran-binding) family protein [Source:Projected from Arabidopsis thaliana (AT5G17790) TAIR;Acc:AT5G17790] MGGASKLLSSLLLTSSPLRLRPSAGAFALFLSPPASRRHLLLSSPAPLRTLSTASASAAAGGASSDSYSSGSCHSPFPEWSRLVDRLSAAGYGARAPSPADELDLDPECGLSSDAEAAVSSFLAFARDRPDLLRSLPRKDVEVLVANAAPALFKDGEASELRLRQYLAGEGSDVTQSERAETIDIVRYLLSYAYGSPVSYLKDKELTDSAVRNILAEFVSFSGFPQTSSYAESTARQNTLGSRPPGQNIEMKRGDWICTRCSFMNFARNARCLECNEHRPKKMLTGGEWECPQCVYYNYGRNMSCLRCSCKRPGTIPPNPAGAGLDGVAQFLNTSIVGKSEIERKLAENDQKAERWLNKVSQLDDSADLSSLAADEDFPEIMPMRKGVNKFVVSTRKTPLERRLANAQYSSNNSPQDGSSDSKISKTLDRILGRSTSTSVQNNQSGDGDVNTSSNKTTSNLGGIDPVPFVPLSADQFAKPQNSFGDGQSDTQISTEADSMAKSQMDSMERRDDKRSFDTTEEWSKKVAELSNVKDFPSAISDQDFPEIMPMRKGENRFVISKKKDRSLTSPQYKRRSVLEHADNSNFIPFVPFPPDYFAKKNKPVENSSDAGIVPEGPPSAEKLPETKYSSGNLGNIQNSSQVMGSQAANNMNNENRNGNYPHQNLSTSGYGYGESITYQHQPQSQGMVGRSGGASETGTRNANNNQGSFSESRDRSTYNRGSHSTQPPYKSGYGNNNNAWSSNNNGSNNAWSSTRDYDNGGRSDNNPYYNSSTWSSNSTYSNNAAWSSNSSYNSNGAQSSNSSYNSNSAWSNNSNNSWSGSYSDNGGTGSGSSTSRPNQTAGYSSYGESANRGYTGKSLEGSAVKDPDPLDMSEEAKAERWFRRAAQIKDISELANIPDEDFPEIMPMRKGVNRFVVSKRKTPLERRLTSPQYRRNLPIVSSEPNEDAN >ORGLA01G0287900.1 pep chromosome:AGI1.1:1:25213222:25214382:-1 gene:ORGLA01G0287900 transcript:ORGLA01G0287900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEQVSQRKAPLLVLVILCGLLLLPLVSSVPLSRSVSLRNHQASVSALEAPVQVVAAAAEERDLDEVAARMVIEVNDYPGSGANNRHDPKSPGRA >ORGLA01G0287800.1 pep chromosome:AGI1.1:1:25211260:25211529:-1 gene:ORGLA01G0287800 transcript:ORGLA01G0287800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSSPRSSSPAVVIAMPRRIQPVRHVVEDAAISLLPSCLSPAPIGRPASTRARPERRRRMAMGRRRRHLLQAEQSGFGEKGGEMRKKKGL >ORGLA01G0287700.1 pep chromosome:AGI1.1:1:25202401:25203057:-1 gene:ORGLA01G0287700 transcript:ORGLA01G0287700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCRSLIEFLRAFEHHRRAADSAAAAGCSSSSSRSRRGGSSLTALCDHSPMAAVDAVVLLAVVAALGFLVVPYAKMALLEMGALLHPAASCLSAAAFAGAAVAVAAAVLAWELVGHHARKCGKPRCRGLKKAVEFDIQLETEECVRGHPAPAARSALLAAAGAHPVELGDAHRELEAELRKMAPPNGRTVLIFRSPCGCPKGRMEVWGAKKVRRIKK >ORGLA01G0287600.1 pep chromosome:AGI1.1:1:25191565:25194468:-1 gene:ORGLA01G0287600 transcript:ORGLA01G0287600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:cytochrome B5 reductase 1 [Source:Projected from Arabidopsis thaliana (AT5G17770) TAIR;Acc:AT5G17770] MDLLHGESVQTTVAIAVAVVAVAAGGAFLLLRSRKPKGCLDPENFKKFKLVEKKQISHNVARFKFALPTPTSVLGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDLGHFELVIKMYPQGRMSHHFREMKVGDYMSVKGPKGRFRYQVGQVRAFGMLAGGSGITPMFQVARAILENPNDITKVHLVYANVTHDDILLKEELDNMAKTYPDRFKIYYVLNQPPEVWNGGVGFVSQDMIKAHLPAPAEDIQILRCGPPPMNKAMAAHLDELGYTKEMQFQF >ORGLA01G0287500.1 pep chromosome:AGI1.1:1:25187129:25190259:-1 gene:ORGLA01G0287500 transcript:ORGLA01G0287500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:I1NSN5] MAMAMASPPACPFLLSLSAGATAISTVSAAYGSVSAPRAPRFLLASPRPVPPRRPPAAGSSSPKAAAPAAVEIPEEYVDDVDAVNIAQDVTQLIGKTPMVYLNNVVDGCVANIAAKLEYMGPCRSVKDRIGLSMINDAEEKGLIFPNKTILVEPTTGNTGIGLASVAAARGYKLIATVPSSIDVERRVLLRAFGAEIVLTDPNKGLKGALDKAEEIVSKTPNAYMFQQFNNSANSEIHFQTTGPEIWEDTLGTVDILVASIGTGGTITGTGRYLKMMNKDIKVIGVEPAETSVISGDNAGYIPSILDVQLLDEVVKVTTTEAVDVARELALKEGLLVGISSGAATVAAINVAKRPENAGKLIAVIFPSFGERYISSILFRPIYDSVRRMRKR >ORGLA01G0287400.1 pep chromosome:AGI1.1:1:25183703:25185782:-1 gene:ORGLA01G0287400 transcript:ORGLA01G0287400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G08710) TAIR;Acc:AT1G08710] MSASDLPDELWARVLELGAGAASSALGFRDLCALAIASRRLRRLSLHPYLWSALLSRDFPTQSSQPSSASSSSSQQQLHPKSVYKTKFERHKVRMAEARRRVVFEAEGRVLACRRRLTQLEESLQEEGEKMKAAAQELDNLERVRRASVALNVWQPQVVRGRQKQLVQQCTVPVDSRLSDVNMELKVCEQQIATYKNIYNKEKEKLNEYEEALKRAIYHPLQSSHTSSIANEPQAKRKKRK >ORGLA01G0287300.1 pep chromosome:AGI1.1:1:25179706:25181233:-1 gene:ORGLA01G0287300 transcript:ORGLA01G0287300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLVYSSAEGVSSSLCLCHSTSEKAGFEHSSCASSGDGNCEAGIDFGQDDLVVNEIGMAIAEVMHVCSDHDDDEGTDSGEDFDENEGPFSLESDSTDDVVDIDNELVISPTFSSCNASESSINKSDSGNSSINGTPPLVSAMKGSRAKLGIVTSLSVSWAPDVYDPPVTSGSHTVKGHQRSSRKSHYKYKPAKSSSSRSSSGSKKDRKHSRHSSSSSSSSNHKRDRKPSYRNTVGGVSVGSSSSSRNTDASAAQYRDLYSSSGGNRIDIAVPQYSKLSPLVPSESATYRNVYNSTSGSRTDPTVPHCSKLSPLVTSESASLAGTVPVLKTLEPIKRSSSCCKEQPLSFLSRQFVAAKYKGMFSLWSHNQLAS >ORGLA01G0287200.1 pep chromosome:AGI1.1:1:25175990:25178272:1 gene:ORGLA01G0287200 transcript:ORGLA01G0287200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHQLKPGEAASLPRHLLEAHVVALVRRCCPGLLALRAAHARLIRLRLPRLTAAFALSKLLASSSSSSCGAAPSYARNLFDQIPEPTAFCYNSLIRALSSAAGAAPAADTVLVYRRMLRAGSPLPNSFTLAFALKACSVVPALGEGRQLHSQAFRRGLEPSPYVQTGLLNLYAKCEEVALARTVFDGMVGDRNLAAWSAMISGYSRVGMVNEALGLFREMQAADVNPDEVTMVSVISACAKAGALDLGRWVHAFIDRKGITVDLELSTALIDMYAKCGLIERAKGVFDAMAERDTKAWSAMIVGLAIHGLVEDALKLFSRMLELKVRPNNVTFIGVLSACAHSGLVNEGRRYWSTMQELGIKPSMENYGCMVDLFCRSSLLDEAYSFVTGMAIPPNSVIWRTLLVASKNSNRFDIVQSASKKLLVLEPCNPENYVLLSNLYASNSQWDRVSYMRKKMKENNVNVVAGCSSIEINGYLHKFVVSDDSHPEIKEIRLLLREIADRVVRAGHKPWTAAVLHDVGEEEKEVALCEHSERLAIAYGLLKTKAPHVIRVVKNLRFCPDCHEVAKIVSKSYDREIIVRDRVRFHRFVEGSCSCKDFW >ORGLA01G0287100.1 pep chromosome:AGI1.1:1:25171844:25173056:-1 gene:ORGLA01G0287100 transcript:ORGLA01G0287100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSHFLAQTPNNTTTEPVRIFVATWNVGGKAPTTELNLDDFLPPDDHSDIYVLGFQEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPAETNANVFQNEPSPSVDSSVSRASSSLDTSFSDLAKTSSSSTIFQKSNLKSIRKSFMPVHRKRLKACNCPVEMAKSSYRDACFGCPKAYAYEIDSSEEDEREEKKGQSRDSNGSVRSEVISPPTARDELKYNLIACKQMVGIFVMVWVKKELVQHIGHLRTSCIGRGILGCLGNKVRSKS >ORGLA01G0287000.1 pep chromosome:AGI1.1:1:25168455:25168950:1 gene:ORGLA01G0287000 transcript:ORGLA01G0287000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGIQNMSTPPTVRRDALDRCGHMCRCQLLLDHVRPAHAPARSCLGFVRLFVGTRSFDADAEAAASSALDSAGAPKRRPRLHHPVADAGRLTADGGNSTCSHAAEAAHRQDSHRTNYSLNLNIQLLHIRKLKTI >ORGLA01G0286900.1 pep chromosome:AGI1.1:1:25165951:25167749:1 gene:ORGLA01G0286900 transcript:ORGLA01G0286900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVRLHTAVAAVAVAVVVAMGMAAAQMSPAGAPAPAGGISPACMDAVLNMSDCLTYVMNGSTARKPDEPCCPELAGLLESKPVCLCQLLAGGASSYDISVDYKRAMALPGICGLAAPPVTACALLGVPVPMAPSASPMAGLGPSTEPQMPEKSPSASPSESSNHAPGRFTALAAVVLAVAAAGMV >ORGLA01G0286800.1 pep chromosome:AGI1.1:1:25159538:25162931:1 gene:ORGLA01G0286800 transcript:ORGLA01G0286800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFHPPRPNAGQPHRPRPGDPAPPPLPMHPGFNPPPVPNLAAANPMAAAAAANPFLAMQLFGQAQQLQSLGFLAAAALQQHHHQPQPQAPFFPGGGFPPNPNQFGAFPVQHAGFNGGGAFRPGGVGVGVAGPRTPRPMMGAPGNGYNSGGGSGHGTGAGAPRPMLNGGGNDRNSSAGKGGEVNHTKIKPDGIPRFTSENGERKNTTDQKARFNSGRDCRDSRQFGPSGGRGRGRGRGRGRSFNQGRGGGNNNWRDAKSNFRSSDSPSPASGQCRNDSPASGGHRKRPRIIYDANEVKQWLEARKKNYPTSVNINKKLSESRPDGEKKDEEAQMRRQELKEVLAKQKELGFELPELPPGYLSEHEDQGNGRRSNWKTQRRDCRFGNRADNKRSRYDHNDFQSKRPKVRNQTHCDDGAVLKSREPTLLQKLLSSDVKRDRHRLLHTFKFMVLNNFFSDYPDKPLEFPSVKVNQIELESNIAEEDLDDLMTSETAKDSILDLKENGDQKDSSSIDGESDLDDDNDDEDEEDDDDGNGQC >ORGLA01G0286700.1 pep chromosome:AGI1.1:1:25152171:25157716:1 gene:ORGLA01G0286700 transcript:ORGLA01G0286700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMAKFTTQEVRSLEQGGNQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRAVYVDKKYAGGKSTDKPVNDSESVKSSENDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGSFLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDIRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRHPNATSQIDFNGVRRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVNSAVNRQSVAPPMAHSAQLYASQSNINSSVSQTAPTRESVQHGRVHMVSVAKPPVSTQPTTSTNQDFFDQSMQHPVNSAAPIDLFAGFNQQAPSVPHRAVDVGSHSVPKETLHDVVVQKAVASSPPVQAEAPSTSHPVHHDLLSLSPLQEPSISSTPPSIDLFAGFDQQLPPTTSVQQSQPAEPSISSTPQSIDLFAGFDQQLPPTTSVQQSQQAAPLVADEGWAFFLDTPQHVSPTSISNVQAQVATAIAAFPPSESLAKGTNQSMLPTSPPNALIPQSYPLMMDQWSLNAEEVKTPVSKETSQPWNAFGASTGNTPNDSFTFNTISQVAPNHFNVPSVPHAEARGTQDLPSSEPERLTPGDITPGFNVSPGDMAGPSFRGPLQQQLDIVPSQPAKSTNPFDMAFESDVEASNMFMDLTSLQETLPNPHVNTDYSNLTESWIPHNSNMPYISSGPQGGLSYMVTQVQDSLMLNSAQGSFPPRNPFE >ORGLA01G0286600.1 pep chromosome:AGI1.1:1:25144067:25150203:-1 gene:ORGLA01G0286600 transcript:ORGLA01G0286600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAFFCALLFISVQHGVLGGYTRNDFPADFVFGAATSAYQYEGAAAEDGRGASIWDTFTHAGKMKDKSTGDVASDGYHKYKGDVKLMTETGLEAYRFSISWSRLIPSGRGAVNQQGLKYYNNIIDELTKRGFQVHVMLYHLDLPQALEDEYAGWLSPRIVEDFTAYADVCFREFGDRVSHWTILAEPNVAALGGYDTGEFAPGRCSDPFGVTKCTVGNSSVEPYVAAHNMILTHATVVRLYREKYQTLQKGIVGINVLSLWSYPLTDSTADLQAAQRYKDFTYGWILHPLVFGDYPQVMKKTIGSRLPSFSKVQTELVKGTLDFIGVNHYFSLYVSDLPLAKGVRDFIADRSVSCRGLLQGVRFIAQTMQAPTQSMGDPHGLQLMLQHLKESYGDLPIYVQENGKLKLDLLPVFSGKASSNDSLDDTDRVDYIKGYIEGVLNATRNGVNARGYFAWSFVDMFELLSGYQTRYGLYRVDFNDAALPRRAKRSARWYRDFLKSKRQPLQIAQQ >ORGLA01G0286500.1 pep chromosome:AGI1.1:1:25133839:25140585:-1 gene:ORGLA01G0286500 transcript:ORGLA01G0286500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAAGFFFVLLFLSVQGGAVGYTRSDFPRDFVFGAATSAYQYEGAAAEDGRSPTIWDTFAHEGKTKDKGIGDVAADGYHKYKGDVKLMAETGLEAYKFSISWSRLIPNGRGAVNQEGLKYYNNVIDELAKRGIQPHIMLCHLDLPQALEDEYDGWLSPRIVDDFTAYADVCFREFGDRVLHWTTLAEPNIAALGGYDTGVLSPGHCSDPFGLTECTVGNSTVEPYIAAHNMILTHAAVVRLYREKYQALQKGIVGINMFSLWSYPLTNSIADLQAAQRYKDFSYGWILHPLVFGDYPQVMKKTIGSRLPSFSQVQTELIKGAIDFIGINHYYSAYVNYRPLVEGVRDYVADRSVSARVYKTDPPTEKYEPTEYPNDPKGLQLALEYLRESYGDFPFYIEENGKGSTNDSLDDPDRVDYIKGYIGGVLDAIRNGVDVRGYFVWSFVDVFELLEGYQSRFGLYRVDFDDGARPRRARRSARWYSDFLKGKKDPVLIAPQ >ORGLA01G0286400.1 pep chromosome:AGI1.1:1:25129229:25132047:-1 gene:ORGLA01G0286400 transcript:ORGLA01G0286400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EAP30/Vps36 family protein [Source:Projected from Arabidopsis thaliana (AT5G04920) TAIR;Acc:AT5G04920] MSAAAADWLPAADVTAAGRPVLTAGEVERHLVAQGDVEPEESPRLGPLRARLLVLTSHRLIFLHEPSRSARALPLAAVVHAYPPHRKHGHNPLRSIFSSSSSSSSSHHPRVRLQISLPPSRSEVVAVVVSKGEADVFYGRLLEAVRARAWEVVAAGAAAPAGGSSAAQGAPRGGGSRHQDARGWGVRDPADGAGGVGERGAESAGCLPGSQRPHEQSXGNDGVSGENEAKAIDELISPIQFQXXRDGIXARHAGLAIECWNRVPCDERNRWCSVPPAAVTPGTPKLADYIRTPLEKAGGMMALVDVYCLYNRARGTELISPEDLLQACSLWEKFDVPVMLRKFDSGVKVIQTKTHSDDEVFARISSLAQKEDALQKGISPSDAAFTLGIAPALAKEHLLNAESIGILCRDVSPDGLRFYINLFNEIDPQNMYMPKTHGVYHTWISVTAASQ >ORGLA01G0286300.1 pep chromosome:AGI1.1:1:25125992:25128235:1 gene:ORGLA01G0286300 transcript:ORGLA01G0286300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >ORGLA01G0286200.1 pep chromosome:AGI1.1:1:25109635:25112421:1 gene:ORGLA01G0286200 transcript:ORGLA01G0286200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMRKKKKAFAVAAATTLLSPPRSSSSSSSTASSCIVPPRTESGKKKSKHRKRAKDGTGGDDDAAAVAAAPRKGSSIYKGVARHRGSGKYEAHLWDKQGWNPNQTRKRGRQGAYDTEEAAARTYDLAALKIWGSDHVLNFPIDTYRKELERMQRMTREEYLATLRRKSSGFSRGVSKYRGVAKHHHNGRWEARIGRAVGKKYLYLGTFDTQEEAATAYDLAAIQLRGRSAVTNFDASCYTYTDHLPPPPPPPPPPQPPSVCKTEPELEPPQPAAPPGSESLLRPKMEPCDDWEPPAICPSLRDADDADHAIAEILPALCMDRADFEARYPARRARDAAADGWSTSSDDVAAASVDDDVLRSLPDDVGFVDDVESLFLDAPGPAAAAAAAAAMPDDVERAVQRAPSAASRRANAAAVSYAISSLASGRWWY >ORGLA01G0286100.1 pep chromosome:AGI1.1:1:25099632:25102208:-1 gene:ORGLA01G0286100 transcript:ORGLA01G0286100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAGGGGVARRGGGRMRSLGRQGSMYSLTLDEVQSQLGEPLHSMNLDELLRSVFPDGLAIADGAGAGAGATTSSQQHQPGSGLLRQGSITMPPELSKKTVDEVWKGIQAAPKRNAETGGGGGGGRRRRERQPTLGEVTLEDFLVKAGVVTQGSLKELSDVGNVDPVGRGVTATGTVDLAPGSHWIEQYKQQIASTDAHHHGQQGVQGAYFPNRLVPQPLNVGPGAILEPSYSDGQTSSGMIGGMSDSQTPGRKRGMSGDVADKLMERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENVRLKRQKESDYLELDELICAVPVPEPKYQLRRTSSADF >ORGLA01G0286000.1 pep chromosome:AGI1.1:1:25094031:25097997:-1 gene:ORGLA01G0286000 transcript:ORGLA01G0286000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASASRPPPPPPPPPASGSGGRLAVLASHLSQSSRTAGEKEAALAAGPSDGPTIFDKILRKEIPSQVVYEDEKTLAFRDISPQAPVHIIIIPKVKDGLSRLSKAEERHVEVMGHLLYAAKTIAKQENLDDGFRIVINDGPNGCQSVYHLHIHLLGGRQMNWPPG >ORGLA01G0285900.1 pep chromosome:AGI1.1:1:25091836:25092879:-1 gene:ORGLA01G0285900 transcript:ORGLA01G0285900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAFTAAKFLPATAPTHLDSSPRLSPPRAGSLSFSPLSSSSSALLLRLRSPSPSGPSGPGGRLPPPPRSYGGGGGSGDAADSGGSSGGILGIFLAGWAARVAADPQFPFKVLMEELVGVSACVLGDMASRPNFGLNELDFVFSTLVVGSILNFVLMYLLAPTAGASAAASAAASGLPSHMFEAGAYSLGSRVATLLSKGATFAAVGFAAGLAGTAISNGLISLRKRMDPAFETPNKAPPTLLNAATWAIHMGVSSNLRYQTLNGVEYLLANAAPPSVFKVSVVALRCINNVLGGMSFVLLARLTGSQKSDAPAASATAEEKERLIAVGNDIAADAVGAGRDGEGK >ORGLA01G0285800.1 pep chromosome:AGI1.1:1:25083733:25085526:-1 gene:ORGLA01G0285800 transcript:ORGLA01G0285800.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKNAEGINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLVIVANNCPPLRKSEIEYYAMLGKVSVYHFNGNNVDLGTACGKYYRVCCLSVVDPGDSDITKQLPESH >ORGLA01G0285700.1 pep chromosome:AGI1.1:1:25080688:25081967:-1 gene:ORGLA01G0285700 transcript:ORGLA01G0285700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSSSSRRRAAGSQPSSSSWVKALDVENDDEEAAARADADADAHALEAKGDKLMSQARRELHGVWSYVSRPFIVAARARFYFHKAAETFVLANSWRKPAAAHHEHAVCCMKIGRSGRLRAAFALFEAGKCYMKVLEPDDEEMTSRTVSDLEKSLRMFVLENELVMAAEVCVELANSYAMLKQWEKAREYREKAAEFHAKTSDALFDTSTV >ORGLA01G0285600.1 pep chromosome:AGI1.1:1:25077378:25077914:1 gene:ORGLA01G0285600 transcript:ORGLA01G0285600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLQFRIRRRGYEPITRRGGEEEEEAAPAYEPAMFEEEGLEPDELEARGDRLMARGHRRASSWWFTSFKHDTAFAIAEDFRMASLSYVLAKNWRKAAAAFGNEAIQRLKRRSPPAELVAAVALLASARCYRKIQDNAGQ >ORGLA01G0285500.1 pep chromosome:AGI1.1:1:25067448:25068021:1 gene:ORGLA01G0285500 transcript:ORGLA01G0285500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEINDLHALLAKLTVHPTSDVDLSFLNLLGADTTLRTLLVHSLDPEFDYIHLKLAFLPYGELPLVAIEGPNAVMVLQDWTNAHQALKDNDGWFKSQGMHLRVVSAKHLDTAHSVVLKELKKPTGQQEARDVTNQLELFNELKSTLFSMSLPVKKWLIKELLAGMPHDVVK >ORGLA01G0285400.1 pep chromosome:AGI1.1:1:25065079:25066140:1 gene:ORGLA01G0285400 transcript:ORGLA01G0285400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRARIHADPAPEFDQFDCLPDPVVLLILNKLEDVRSLGRCAAVSKRFSGLVPLVSDVYVKIDRVVATDGDADDALNLSSAKPKNIFSHFFKLMLFTIVKPFHSMRNLNGTGRPLFPLAQHSPVHVLRNFSDVWNLRVELPSGDVGTEEGFLLKWRAEYGSTLRNCVILGGTLVDRKPIGAEHESSVEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKDHPTLRSLVLADADGQGTLYMGMEQLREFRENKLSASACSNRTQVPACNMKLKYAPYLELPGGMTLQGATLVVIKPSNDGGIGGHSSRKETEAFVSSAFDEPFRFAVKALMKRRTYLLEMNGF >ORGLA01G0285300.1 pep chromosome:AGI1.1:1:25057979:25058914:1 gene:ORGLA01G0285300 transcript:ORGLA01G0285300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAARPRPPGGGGEQLRLLRRDEPVRRLLRPLLQPPYSFHFEKPLPPTAAPPPPADARRYQQLLPAPQPGTYVVQMPKDKVFRVPPPENARLFQHYTRRARRRARCSCARVCSWLLLALVLLAAALAASAAVVYLVFKPRQPDYTLLSLAVSGLGGILGNASSTAAPAPVAFSPEFDATVRADNPNGKIGVHYEGGGSHVAVSYGGVRLADGAWPAFYQGPRNVTVLVATAKGLGIRFSERLLGDIAAAGRLRSVPFDVDVKVPVRLQVGGVRTWAVPVRVRCAVVVDRLAADAKVVSKSCRVKARFLFWKD >ORGLA01G0285200.1 pep chromosome:AGI1.1:1:25048913:25053222:1 gene:ORGLA01G0285200 transcript:ORGLA01G0285200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCWRLKECMPWPLIQNKLHDVXRKFXSPPLKMAIDVFLNIQSFXHWVFCSIYCIDLHQGYLLSYFLLLLXLYVLLFFLESYXVMGKQTCLRLMKITRSLQRFHPXRLEILPVIFTLKRARDYQRLNXGKIQQASKRGKLNRRFLLERGLVSILSWKIMSPFXEGLSMNTIDLIGMKYLQRXRHFPLWSIFIKVLELETTXALIRTSTPKVRCPLRIRLMGTLTSLKVFGVVWIRKRHPLAFFRPVRMLTDVVNWRKIXIKKQYLQIQQLAEXEIFLKRNQRKGKLELANQLVPFPRIRVKHLMNLGLILARVLRTIYSIPLLVHHGQELVVRTELVVMMVHLVLTLIRLRVLLLMLPXLTLHLFLMRLTRSWVQALLVLIQFQRMIRTLIHMFPHRIIKLMMIVMMKLTIMMLKRMVRKRIKNKERKLHLFGQQMMKKIXWILDILRWKETAGWSFXWPDEDPGRTXGLKSTMTXXVLIIMMVGGVLTTCLASMYKYHIFQFQGGIRLIFLMIQKKRQFLAQHPQFCIQGKTHSIFLLISPMMVMFLQIIMXILENXXKLLIVTCSSEGTIASTLEGQMLPRRDFLDSSHILSPRQWKGAXVISKDSLVIIADQEDHKDLDEKDLPKEHGSPALQRQDSDLADVGSECSDGINSVDVELDNSDIDDREIALQHFVFERSQEREAYLSSTKGKGPEDDYLLSSVGNSKTLHPVADLLSWEDGNGESSLGVNSSHNMSVEFSDWVSSPKPIAEHDSGPENLQEFLNTEVASSSKTIVLGARNPSENNGNVDSISYSNNEMPSDNLGHGSMEFPSEFCNESLPVISRDLHPIPEERVVENFNVQEKHEAVIFTHSDAALTGFHVIEEHFEVGCDVSPSSEVVPLCLQASDSIQSRLVENKEVSNPFISIASETNKVDMIDLKEETAAGYPLDSDDDADKIYPEPMEDNVIDESFLSELDAVGDFRVEATRSDQQMPDVDSHIDNNTSNGVAESSLISPQISSNIFSNMKYSSMLEHEENSPLVDDLNGTGPEFGWSLGASYDDPEQTVYNPRRRILGASPFEETNTEMKPLFDETEASFVNAPIEANLVVGPSKVDVGNESELTKTDTNMVVLDANSLEDIETAFKQASNGVVESTVDNETPQVSGVDIDPESIESSEQLDVIDEKSVDDIYAALKEHTTAAMNSSFEENEDKNGCGGTVKFTMHDELPEGTHIEGNTVGDGKEPEPMGTTSSMDVIDAKSVDDIYAALKKQSSAAANSSFEQNEGKNGCGDTVTFTTHDELPEGTHIEDRDNTVEDGKEPEPIGTTSSMDTIEVKTIDDIDAVFKKLSDGGTKSAAQAVDCENTCEASDESEQH >ORGLA01G0285100.1 pep chromosome:AGI1.1:1:25043536:25045197:1 gene:ORGLA01G0285100 transcript:ORGLA01G0285100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRKLRLPGRTDNEIKNYWNTRIKRCQRAGLPIYPTSVCNQSSNEDQQCSSDFDCGENLSNDLLNANGLYLPDFTCDNFIANSEALPYAPHLSAVSISNLLGQSFASKSCSFMDQVNQTGMLKQSDGVLPGLSDTINGVISSVDQFSNDSEKLKQAVGFDYLHEANSTSKIIAPFGGALNGSHAFLNGNFSASRPTSGPLKMELPSLQDTESDPNSWLKYTVAPALQPTELVDPYLQSPAATPSVKSECASPRNSGLLEELIHEAQTLRSGKNQQTSVISSSSSVGTPCNTTVLSPEFDMCQEYWEEQHPGPFLNDCAPFSGNSFTESTPPVSAASPDIFQLSKVSPAQSTSMGSGEQVMGPKYEPGDTSPHPENFRPDALFSGNTADPSVFNNAIAMLLGNDLSIDCRPVLGDGIMFNSSSWSNMPHACEMSEFK >ORGLA01G0285000.1 pep chromosome:AGI1.1:1:25025268:25027212:-1 gene:ORGLA01G0285000 transcript:ORGLA01G0285000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSESAWPQQSQQLQISSTMPAGSAWPEEENLENLEQPLPLLMPSSEDHREQQLVPVPWLQQDQDQEWHEQEQFLLLKNQNQEQLQDQQPLQDQEETRRYLGVPGIRFVPSDIELILDFLRPKLRGEQLPSYSYMHVCDVYSDHPKELTSKLGPSREGNWYMFSPRNRKYNKGKRPSRSTGQLGFWKSTTKNEAVLDALSDNMLIGYKACLTYHEYDESMPTPKLKKENAIKTPWKMWEFVCSNSNRPFDAEEKPMRLNDWVLCKVTNKDNKVTTKKFKPQRSKKPKKPKKLQQEEQPQNQGIVIRQPSESSSASSSHQEIPGSSLPGAGGDAAAAATAAAAAVDPMPLHMIPPSSWNYFSTGVTADGIVMDDSTGVDSYGCVDGAGALNFQRNIFYHR >ORGLA01G0284900.1 pep chromosome:AGI1.1:1:25020443:25024442:-1 gene:ORGLA01G0284900 transcript:ORGLA01G0284900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT5G16890) TAIR;Acc:AT5G16890] MAGKQLTSSSLARARAASPRGLLAATALLLLLLLAASYSLLLSPSSPGGLASPSSGPGSAADTAFLASLDRFLASPRRSAPSSAAPGDLDAAIRAEEEARLHGGGAWPAAPAPLRVYVYEMPSRFTYDLLRLFRDSYRETSNLTSNGSPVHRLVEQHSIDYWLWADLIAPESQRLLKNVIRVRRQEEADIFYVPFFTTISYFLLEKQECKALYREALKWVTDQPAWQRSEGRDHVIPVHHPWSFKSVRRFVKKAIWLLPDMDSTGNWYKPGQVYLEKDVILPYVPNVDLCDSKCVSETQSRRSTLLFFRGRLRRNAGGKIRSKLVTELKDAEGIIIEEGTAGADGKAAAQNGMRKSLFCLNPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYRKIALFVSSSDAVQPGWLVKYLRSIDAKRIREMQSNLLKLQYSRHFLYSSPARPLGPEDLTWRMIAGKLVNIKLHIRRSQRVVRESRSVCTCDCRVGNNTRMF >ORGLA01G0284800.1 pep chromosome:AGI1.1:1:25015813:25018002:1 gene:ORGLA01G0284800 transcript:ORGLA01G0284800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPDQDVLEVKPLKTLAPMFPAPLGVDVLNRSTAPPLVFVAPAGQFPWVFGSLNIPAVRSFAAFGGQDASGGKTAGGGDQDASGGKTAAGGDQDAGRGETAAFGGQETGRGESVANGTPNVGASATGPIDATPISACKSTQPSVISLDDDDNDDDEPYGGNQTSASGRKIKRPSHLKGYNISDGLGTDSSNGTKKRPKTSNRKAATDNEISLMPPSSDPREVVEVLLMTFEALRRRHLQLDETQETSKRADLKAGAIMLASNLRANIGKRIGAVPGVEVGDIFYFRMELCIIGLHAPSMGGIDYMNKFGDEDDSVAICIVAAGVYENDDDDTDTLVYSGSGGISRNSEEKQDQKLERGNLALERSLSRKNVIRVVRGYKDPACLTGKVYIYDGLYKIHESWKERTKTGINCFKYKLQREPGQPDAVAIWKMCQRWIENPAARGKVLHPDLSSGAENLPVCLINDVNSEKGPGHFNYITQVKYLKPLRSMKPFQGCRCTSVCLPGDTSCDCAQHNGGDLPYSSSGLLVCRKLMVYECGESCRCSINCRNRVAQKGVRIHLEVFRTTNRGWGLRSWDPIRAGSFICEYVGEVVDDTKVNLDGEDDYLFRTVCPGEKTLKWNYGPELIGEHSINISADTFEPLPIKISAMKMGNVARFMNHSCNPNTFWQPVQFDHGEDGYPHIMFFALKHIPPMTELTYDYGDVGYESRGVGSRAKNCLCGSSNCRGFFS >ORGLA01G0284700.1 pep chromosome:AGI1.1:1:25010933:25011328:1 gene:ORGLA01G0284700 transcript:ORGLA01G0284700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSYTPTARLRLLVLFLASLSLLFAQTLASSSAAASEAEVSDPCAAPVSDAGSEAPLCPVRCFRPDPVCGADGVTYWCGCPEAACAGARVARRGYCEVGAGSAPVSGQALLLVHIVWLFVLGAAVLLGFL >ORGLA01G0284600.1 pep chromosome:AGI1.1:1:25006389:25009767:1 gene:ORGLA01G0284600 transcript:ORGLA01G0284600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:I1NSK6] MSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMMLEGSNRRIHSVHWHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPISVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESNRQHQKVPADLLEQAKVAAQAALEEMDAD >ORGLA01G0284500.1 pep chromosome:AGI1.1:1:24978020:24980703:-1 gene:ORGLA01G0284500 transcript:ORGLA01G0284500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKGPAVDLKSFLVKAAAKKANQRQTPGEGNLDPGEDPSCNESQMQLVIYEGHHHENESGSPASSSTIPIDPEMGEIPGANDESQSSDEDNDGGMYDIEHDPGLRTPISKYDVNDQDSVRRSYIALGPCRPKMKNTDFPQHSCGGMRRFLPKWFDEFKWLEYSVHRDAAYCFFCYLFKESIHGGDAFVNGGFRSWNMKGRFHKHCGAVNSAHCEAEEKYNLFMKPKSSIHESFASNSAQVKADYEARLLWSLKCIRYLLRQGLAFRGHDESRNSENKGNFRELLQWLAGNFEEVNKVVLGNAPSKCQMIDHKIQKQLIGSCAHETTKFIIEELGDECFAILADESSDAYQQEQLALCLRFVNKAGQPVERFLGLVHVEDTTSLTLKEAIKSLLMDYQLPLSKIMDDSPSAYYVHCFAHQLQLTLVAVAKENTDCAWFFAQLAYLLNVLGMSCKKICMLRVAQAEYMIEALKLGEIETGQGLNQEMGLARPGDTRWGSHYRTVMHVMSLYPSIKKVLFRIGKECTGAEAIGAQTMLEVFQSFEFVFLLHLMNDMFGYTTDFCQALQKREQDVVNAMDLLAFTKVELDVLREDDGWREFLGKVTSFCVKHKVKVVDMDGKYKPIQRSRKFYKDAINYHRFHADMFLGVIDRQLQELNNRFDEVNTELLRCMASFNPSKEFSAFNVESLVKLAGFYPHDFDFEEMNQLPFQLNRYISDVTKDEKFTNLKGLAELSMMLVKTERVCRYELVYRLLKLVLVLPVATAGVERVFSSMNYIKNKLRNKMGQKYLNDCLVTFIEREFFLQAKDKDIIDYFQNIKRRKVNI >ORGLA01G0284400.1 pep chromosome:AGI1.1:1:24962203:24963071:-1 gene:ORGLA01G0284400 transcript:ORGLA01G0284400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYNVINVAHFDAGYDSLNWEERLHIALDSAQGLEYLHESCTPSIVHRDVKTPNILLDKNLVAKISDFGLSRAFNAAHTHISTVAAGTLGYLDPEYHATFQLTVTTDVYSFGIVLLEIVTGQPPVFMDPQTIHLPNWVRQKIANGSVHDVVDKKLLDQYDATHLQTVIDLAMNCLENASIDRPSMTEVVSVLKVCLPISSERQSATSTPRKKNVMDAEIPRQFQLMISGASTTSYEGSSFQSGYTGGVSEISHISGR >ORGLA01G0284300.1 pep chromosome:AGI1.1:1:24957064:24960485:-1 gene:ORGLA01G0284300 transcript:ORGLA01G0284300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHAQPPAGFLSIDCGYTDSAGYVDKNTTLTYVSDKGYVEGGKNFSILAQYMKDATNKQEETLRSFPDGQLRGADNLLGSGDLELLPIFHFAEIASTTRLFDIYSDGEELFTSFSPSPFQVDSMYQNGRFLRRVNSTFTLRKQPTSQLPPPLINAFE >ORGLA01G0284200.1 pep chromosome:AGI1.1:1:24951088:24954137:-1 gene:ORGLA01G0284200 transcript:ORGLA01G0284200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVLSRAFLSVYAGFTNIDCGFVDGESYTDSTTNLTYVPDHEFVEGGTHHVVVPKLISGSTDEQEKTLRSFPDGQRNCYTIPSTSGKKYLIRATFTYGNYDGLRSSENGSLFLFGLHVGVNFWTTVNLTKQNSSDTIWKEVLTVAPDEFISVCLLNFGSGTPFISALELRQLDDPMYPFLNLSVSVRYFTRQRFGAVDDFITRYPTDLFDRFWEAAQRYSPPWLNLTTNQTVNKLPGNDSFQVPTLILQKASTINSSFSWLNISVRAGDNLNGQSLELLPIFHFAEIEKNSPNRTFQIYSDGDQLHQAFSPSYLQVDSMYPRDRYLHESGTTFTLRKTNSSELPPLINAFEVYSLVRMENLTTDTIDVSSIKQVKTQYNVQRRSWNGDPCSPKEYTWEGVKCNYYDGKQNPRIILVNLSASRLSGWINPSFRNMSLEILDLSHNNLSGTIPYNQVNSLKSLNLSYNQLSGSIPDYLFERYKADKAKKNTATLLIAVIVPVVAIILVLILWMLCCKGETKQ >ORGLA01G0284100.1 pep chromosome:AGI1.1:1:24939007:24941169:1 gene:ORGLA01G0284100 transcript:ORGLA01G0284100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRAIALQIHTQTTPLAAAPAAAPSPSLPSSLVHFLKRPASFPFLLSLFVLLTWISLRFHHPSPSASVLRAPVVHDPQANLVRYPAALYPTPIAADGRGWLLDPVAAARDAGLPGGALVCLSLHVGQIQPGGLRGNHRHHTCNETFVIWGAKTKFRVMIF >ORGLA01G0284000.1 pep chromosome:AGI1.1:1:24935105:24938679:-1 gene:ORGLA01G0284000 transcript:ORGLA01G0284000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAMAFQALALGPLPLPLPAARRRRRVRVLAVAADHTPPPPPSPSSPPEPANSPSRLLRELAERKKAVSPKKKHPPRRFILKPPLDDERLTQRFLSSPQLSLKALPLLSSCLPSAPLSAADRTWMDEYLLEAKQALGYPLAPSETLGDGDDDGCPARHFDVLLYLAFQHLDTSCERTRTRHVRSGHSRLWFLGQYVLELAFCEFFLQRYPRESPGPMRERVFALIGKRAIPKWIKAASLHNLVFPYDDLDKMIRKDREPPAKAVFWALFGAIYLCFGMPEVYRVLFEAFGMDPEDESCQPKLRRQLEDVDYVSVEFEKRQLTWQDVAAYRPPPDALFAHPRLFRACVPPGMHRFRGNIWDFDNRPKVMNTLGYPLPMNDRIPEITEARNIELGLGLQLCFLHPSKHKFEHPRFCLERLEYVGQKIQDLVMAERLLMKHLDAPGRWLAEKHRRLLMNKYCGRYLRDKHLHHYIIYGESVQDRFEHNRRLRNPSTTAVQQAIHGLAYCVYGKPDVRRLMFEVFDFEQVQPKAV >ORGLA01G0283900.1 pep chromosome:AGI1.1:1:24931782:24934201:-1 gene:ORGLA01G0283900 transcript:ORGLA01G0283900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo sac development arrest 14 [Source:Projected from Arabidopsis thaliana (AT3G60360) TAIR;Acc:AT3G60360] MSSLRNAIQRRAHKERAQPESRKKFGLLEKHKDYIVRAKAFHQKEETIRKLKEKASFRNPDEFYFKMINSKTVDGIHRPKPEANKYTEEELMLLKTKDMGYILQGIQSEKKKIEKLSSMLHELDNKRPNKHVYFAEDREEVKEIQSRIEQKSSSLGLDNIPSRIKRKTASSYRELEERKQRVQKLEKLYADMALQKELKKPGRKRKLREDEIENQTSRPVYKWRAQRKR >ORGLA01G0283800.1 pep chromosome:AGI1.1:1:24926015:24928793:1 gene:ORGLA01G0283800 transcript:ORGLA01G0283800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G56840) TAIR;Acc:AT3G56840] MLPLRRFAGACRRRGLSGIAPGAPREAADAVVVGAGVVGLAVARALAMAGREVVVVEAAPSFGTGTSSRNSEVIHAGIYYPPGSLKASLCVRGREMLYKYCAEREIPHKQLGKLIVATGVAETAKLDMLLKNAKENGVDDLQMMEGSEAMEMEPELRCLKALLSPRTGIVDSHSLMLSLLADAENLGTAISYNTTVTNGYIGDEGLELHISESKALENHSVGSPVSPQLILFPKLLINSAGLSAAPLAKRFHGLNQVFVPPAYYARGCYFTLSQTKSPFSHLIYPLPEDGGIGVHVTLDLNGVVRFGPDVEWIDGGKDVTSCFLSRFDYSVNPTRCSKFYPVIRKYFPNLKDDSLEPGYSGIRPKLSGPGQPPSDFVIQGEDIHGVPGLVNLFGIESPGLTSSLAIAEYIVSRYLR >ORGLA01G0283700.1 pep chromosome:AGI1.1:1:24920696:24922316:1 gene:ORGLA01G0283700 transcript:ORGLA01G0283700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHALLESARCYKKIPDRGEKEAASAALALEKATELSMGRKKLESAATCCRLLAELYEEQKEWSKAMIHFQDAAYSYGG >ORGLA01G0283600.1 pep chromosome:AGI1.1:1:24916108:24916434:1 gene:ORGLA01G0283600 transcript:ORGLA01G0283600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGHGRRRQRRSRRTQTRAASRQQRSWHMISEIYLFLQLYVQMSTLRLSVAYRECLLPVMPSIFGS >ORGLA01G0283500.1 pep chromosome:AGI1.1:1:24905656:24908266:-1 gene:ORGLA01G0283500 transcript:ORGLA01G0283500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLLISTLPAFTLMLVAPAATHKHCKLVRELGLVALLLVRELLHHSASAACAWGGEGGGSSNKERERERGVRIMPSKAKPEPALAAREEGETEAELNAAALPLLDLPELALERVLEELEPPSLAAMACVCVALRDRCSADTLWGRHVNRKWGRVLGAAARKEWEAELAARRSSGALPRPARRRSLADSLACAWPFSWITCRWLKGNAVAAEPAAATPSPLPSPATDTVAAWYRAVECGEFSFPAQVYNREDGHVGFVLSCYDAHLRYDRRTDTFTARYPPHGRKPAKEESGVQWSRVRSPPVSTPAHDLHASGCLGDLRPGDHFEIQWRKNKDFPYGWWYGVVGHQETCNANEHLCRCHEDDMVVLEFKHYAAGSRWRQTTVSRKDHREKGDETDGFYGGIRKLQTSDEISTWRKFWPVDVLN >ORGLA01G0283400.1 pep chromosome:AGI1.1:1:24899882:24903372:-1 gene:ORGLA01G0283400 transcript:ORGLA01G0283400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLPGFHNAPVSRAVVVAAALFSVAFGFRGRFLDLGLSYENVYGKLRIWKLITSLFAFSSTPELIFGAALLYYFRVFERQIGSNKYSVFIVFSTAVSVLLQILSLAYLKGPSLNPLTSGPYGLIFASYIPFFFDIPITTKFRIFGLRLSDKSFIYLAGLQLLFSSGWRSIIPGLSGILAGLLYRLNIFGIRRLKLPDSMTSLLSRFSCPFLNNSYQRLPVTENDGNIPSNQTRQTEGVHPTTEDPMESSIATLVSMGFDRGSAIRALALTNYDVNLASNILLEAQSM >ORGLA01G0283300.1 pep chromosome:AGI1.1:1:24892171:24894631:1 gene:ORGLA01G0283300 transcript:ORGLA01G0283300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:I1NSJ3] MREILHIQGGQCGNQIGAKFWEVVCDEHGIDPTGRYTGNSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEQQEADDM >ORGLA01G0283200.1 pep chromosome:AGI1.1:1:24887220:24889530:-1 gene:ORGLA01G0283200 transcript:ORGLA01G0283200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLVTEEVMVGRLVRIRRTVMQMLRDRGYLVVEHELAMGRRDFLRKYGESFHREDLLINKYKKNDPSDQIYVFFPNDDKVGMKHIKKYVEMMKAENVSRAVLVLQQNLTPFARSFLQELEPKIHLEIFQEAELLINIKEHVLVPEHQVLNNEEKKTLLERYTLKETQLPRIQITDPIARYYGLRRGQVVKIIRPSETAGRYVTYRYVV >ORGLA01G0283100.1 pep chromosome:AGI1.1:1:24877863:24883150:-1 gene:ORGLA01G0283100 transcript:ORGLA01G0283100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVIHDSGEGMQKEALAMVSSDVNFPKGHFPDYKIGPNNQIIDPEEIHEAVPLKEIVAKETAQLLEQRRRLSVRDLKEKFEKGLSGASKLSEEAKRREAASLDRQVLLKKLRDVLDTLKGRVAGRNRDDADEAISLVEALAVQLTQREGELIYEKAEVKKLASFLKQATEDARKVAEEERALALAEIEKARTAIAIVEKGLQEHDAASSSREKEEIEGLRKEVREARRIKMLHQPSKVMDMEFELKALRTLIAEKNQLCNQLMKELAMIKRLEEDSSDLYDLEGSDILGSQFCIIPRFDDAPDISSCPTQWYRVISGGNRNLILGATKPTYAPEPFDVGRLLQAEIVLSAEKVTIQTMGPINPAAGLERYVESLMKRADVEFNVVVTQMNGNDYSSNSVHAFHIGKMRIKLRKGWSTKARESYSTTMKLCGSRGGGNAAATAVFWQARKGLSYTLAFETDRDRNAAIMLARKFASNCNITLTGPGDEVHAGS >ORGLA01G0283000.1 pep chromosome:AGI1.1:1:24873825:24876212:-1 gene:ORGLA01G0283000 transcript:ORGLA01G0283000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSQNVAAAPQPPQNRGNVAALGKQKAVVAGRPDAKNRRALGEIGNVMNVRLPERTANFGAQLLKNAQANAAANKQSAVAPAAVARPAQRQARKAPVKPAPPPPEHVIEISSDSDQSMRQQSEGSASSVRKCSRKKVINTLTSVLTARSKVACGITDKPREVIKDIDKLDCDNELAVVDYIEDIYKFYKVAENECRPCDYIDTQVEINSKMRAILADWIIEVHHKFELMPETLYLSMYVIDRYLSMQQVQRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYTREQILAMEKGILNKLQWNLTVPTAYVFIMRYLKAGASADNKSDKEMEHMAFFFAELALMQYGLVASLPSKVAASAVYAARLTLKKSPLWTDTLKHHTGFTESQLLDSAKLLVTSHSTAPESKLRVVYKKYSSEQLGGVALRSPAVELCK >ORGLA01G0282900.1 pep chromosome:AGI1.1:1:24870321:24873191:1 gene:ORGLA01G0282900 transcript:ORGLA01G0282900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1R6L7] MAASTSAAAAGDEPCRCHVVAVPFPGRGHVNAMMNLSRLLAARGAATVTFVVTEEWLGLLSSSSAPPGVRLRAIPNVIPSENGRAADHAGFLDAVGARMEAPFERLLDRLRLEEKEETAVPVAAFVADFYVPWVVDVGNRRGVPVCSLFPMAAVFFSAYYHFDSLPSWLAKPPHQPVAGATTDNPDQRLEHYISSLASSSIMLSDLKPLIHSERTVEYILACISSIRKAQCLLFTTIYELEASVIDSLESLVTCPVYPIGPCIPYMTLENEHTKSNGEAPGRIDYFAWLDCQPENSVLYVSLGSFVSVSSSQLDEIALGLATSEVRFLWILREQSTRVRELVGNTNKGMILPWCDQLKVLCHPSVGGFLTHCGMNSTLEAVFAGVPMLTLPLFFDQPIDGRLIVEEWKIGVNLRDSTDKDRLIRREEIARAVKRLMASEEAEMKAIRRHALEWKEISHRAVDKGGSSHCNLASLMEMICPSR >ORGLA01G0282800.1 pep chromosome:AGI1.1:1:24865784:24869149:1 gene:ORGLA01G0282800 transcript:ORGLA01G0282800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NSI8] MASTAVSRHVVAVPYPGRGHINPMLAACRLLAAADGELTVTVVVTEEWHGLLASAGVPATLPPAGRVRLATIPNVIPSEHGRGADPAGFFEAVDAKMGVAVEQLLDRLERRPDAIVADTYLAWGVPAGAARGIPVCSLWTMAATFFWALYNIHLWPPVDDREGEQDLSRKSLEQYVPGCSSVRLSDVKIFRSWERSMKLTTEAFVNVRKAQCVLFTSFYELEPCAMDRITQAVPFPVYPVGPSISDMPLDGGASKIDDEEHRAWLDAQPERSVLYVSFGSVVSMWPSQLEEVAVALRDSAVRFFWVARDSASAGDLRRIAGGNGLVVPWCDQLGVLCHRSVGGFLSHCGWNSLLEAVFAGVPLLALPVVWDQVVDARVVADEWRIGVNLSEQRREEDDGGGVVVGRDAIRAAAARLMDPDDGESREMRRRAALLREACRGAVQDGGSSRRSLNGFVKDLADGRLNFQ >ORGLA01G0282700.1 pep chromosome:AGI1.1:1:24863772:24864843:1 gene:ORGLA01G0282700 transcript:ORGLA01G0282700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVFSPLLRALRPSTSCSGRSSATHDNSAAAVVAAGGAAPRPAPLAAVVSHRRELLLGAALGAAFLKAPLPAEAREVEVGAVLPPAASNPGFVFFRATSKDTPALRAGNVQPYEFILPPTWKQTRVANILSGNYCQPKCAEPWVEVKFEDDKQGKVQVVASPLIRLTNRPNATIEDIGSPERLIASLGPFVTGNTFDSDELVDTSVEKIDGQTYYSYVLETPLALTGSHNLAKATAKGNTVVLFVASASDKQWQSSEKVLKTIVDSFKV >ORGLA01G0282600.1 pep chromosome:AGI1.1:1:24862502:24863528:-1 gene:ORGLA01G0282600 transcript:ORGLA01G0282600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALAAPIASISPSSAGRVTAAAAGLRSARMASSPVAQPIGEAVVLRPNQMFRSVLRQRRRLVVRSSSSDETNSTTEEKTPFGYTRKDVLLIGVGVTLLGYGLKYGLELVGVDPLQAGNAVQLIIVLGMTVGWISTYMFRVANKDMTYAQQLRDYEKQVMEKRLESLTEAELQVLLEQVEEEKQRLTPVRDQGVTFTRKTEDQANAS >ORGLA01G0282500.1 pep chromosome:AGI1.1:1:24859813:24861177:1 gene:ORGLA01G0282500 transcript:ORGLA01G0282500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRPLLGRGALITSSAHAAAALLLVAFLFLTLRNLPISLSPPTAALTPTTSHLEQQDQASCDTTSTLDCADPQLFHLMMRRAIDAFPDVHFNRFGRPVPGDPPSSSCDMAWRARSTASANYKDYRRFSVARDPVTCAYSVTSIGEYHSGPLARKPRRGGTNATAPPPPPALSRSQFAAGKYLSYLGGGDRCKPMPHYLRSLLCSIAEARYLNRTLVLDLSVCLAAAYAGGMPEEGKRLAFYIDIEHLQSVVGIVEHKRFWEDWDKWGAQGQLGVRIIEDSRVAPTKFSKSRDPLIVRKFGDVEPGNYWYNVCEGEAEHVLRPPQGAIRTAPSLMDIVDGIISRMQVDFDSVHVGGNDGNLRRRIEESLNGGGRQVYVAGEGINVVLLDALKAKYSSVHYLDAFEELWARDSKWFLEMKRLNGGVPVEFDGYMRELVDREVFLKGKKKVEVLV >ORGLA01G0282400.1 pep chromosome:AGI1.1:1:24857940:24859555:-1 gene:ORGLA01G0282400 transcript:ORGLA01G0282400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALVSPMAALSLHSGRISAAAIGGGLRSRRASPMGAAATPFLRSSFVSSSSTSSASASPAALSAAVSASLTFTSASSFAGSSLGIEFSYNRVTTGRSRILQIRAGKAALCMTKRNRSRKSLARTHGFRRRMRTTAGRKVLKRRRAKGRRVLCTKTNSPTGKKRMF >ORGLA01G0282300.1 pep chromosome:AGI1.1:1:24855825:24857328:1 gene:ORGLA01G0282300 transcript:ORGLA01G0282300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLFPLLCFLCLRHGSTRKQPRADGLKAYPIVGILPHFVRNQHRLLEWSAGVVARCPTHTMSFNFKGFGLIAGAITGNPANVEHIVKTNFQNYSKGEYVVSVMEDFLGHGIFNSDGDQWLWQRKAASYEFNKRSLRNFVVDTVRWRAKRLLDLEPERRMREALATIHGYADRIVRERRERGAAGLARKDDFLSRFAATGEHSDESLRDVVTNFVLAGRDTTSSALTWFFWLVSGQPDVEDRIAREIRAVRASSGSTDAAAFSFDELREMHYLHAAITEAMRLYPPVAMDSHCCQNDDVLPDGTFVGKGWQVTYSAYAMARLEELWGADCEEFRPERWLDEDGVFRPESPFKYPVFHGGPRMCLGKEMAYIQMKSIAACVFERFSFRFVGGEGRPGLVFSVTLRMEGGLPMRVKKRRDSVC >ORGLA01G0282200.1 pep chromosome:AGI1.1:1:24836237:24837772:1 gene:ORGLA01G0282200 transcript:ORGLA01G0282200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSTSASLLLILLLTLVYFLYLHQDPKKKPRTHGLKSYPVVGTLPHFINNKDRFLEWSTGVMKRSPTHTMSFKELGLTGGVITANPANVEHILKANFGNYPKGELAVSLLEDFLGHGIFNSDGEQWLWQRKAASYEFNKRSLRNFVVDTVRFEVVERLLPLLEYAGRHGRTLDVQDVLERFAFDNICRVAFDEDPACLTEESMAAPQSAEFMRAFNDAQNAILDRFNSPAKSLWRIKKLFNMEPERRMRDSLATIHGYAERIVRERRERREARLERRDDFLSRFAASGEHSDESLRDVVTNFILAGRDTTSSALTWFFWLLSGRPDVEDKIVREIRAVRQSSAGSEGTRGATFSLDELRDMQYLHAAITESMRLYPPVPFDTHSCKEEEFLPDGTFAGKGWLVTYCAYAMGRVEDIWGADCEEFRPERWLDEAGAFRSESTFKYPVFHAGPRMCLGKEMAYIQMKSIVACVLEQFSLRYAGDAKGHPGLVVALTLRMEGGLPMKVTIRE >ORGLA01G0282100.1 pep chromosome:AGI1.1:1:24833985:24835301:1 gene:ORGLA01G0282100 transcript:ORGLA01G0282100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFRCAQSAAAVSGPAGPNRLPPAGRRCPSPWCTTPWPPRGSAAVAGRSKLSSGLPEAPLEREHCGAVVDDGGVVGTSSGTVHGEDLLLRRASGHLADEQPHPLAVIILRISGRGRTAMMVCHDVEMPFLRGINVNRPAPATETTTARGATVARRKRSPARPPPTARSPAGSDDENCGGGGGSRVPWPGQGRKQQQ >ORGLA01G0282000.1 pep chromosome:AGI1.1:1:24822355:24823899:1 gene:ORGLA01G0282000 transcript:ORGLA01G0282000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSISASLLILPLLPLLYFLYMHQDPKKQPRAHGLKSYPVVGTLPHFVKHKNHILEWSAGVLKRSPTHTMSFKALGLTGGVFTANPANVEHMLKTNFGNYVKGEAIITMLEDFLGRGIFNSDGEKWLWQRKAASYEFSKRTLRNFVVDTVQFEVIERLLPLLERAGRDGRTLDVQSVLERFAFDNICRVVFDEDPACLAKDSVASPHIAEFMGACNDAQNAILARFNSPIKSLWRVKRLFNIESERRLREALATIHAYTDRIIRERRERGEARGDDFLSRFAAGDKHSDESLHDVITNLVLAGRETTASALTWFFWLVSGRPDVEDNIVREIRAVRRASSSNGVTSGAAFSPHELRDMHYLHAAITESMRLYPPVSLDTYVCKEENFLPDGTFVGKGWQVTYCAYAMARVEDIWGTDCEEFRPERWLDEAGVFRPESSFKYPVFHGGPRMCLGKEIAYIQMKSIVSCVFDRFTLRYTGGEGHPGLVTSLALRMEGGLPMQVLLTNRGQAVSC >ORGLA01G0281900.1 pep chromosome:AGI1.1:1:24817930:24819465:1 gene:ORGLA01G0281900 transcript:ORGLA01G0281900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPISAYLLLILILLAFLPLLYLLYMHQDPKKKPRIHGLKSYPVVGTLPHIIKNKHRFLKWSTSIMKCSPTNTMSYKALGLTGGVITANPANVEHILKTNFDNYPKGKLTVSMLEDFLGHGIFNSDGEQWLWQRKAASYEFNKRSLRNFVVDTVRFEIVKRLLPLLEQAGLDGRTLDLQDVLERFAFDNICRVAFGEDPACLTKERMAAPQSAEFMRAFNDAQNAILARFNSPAKSLWRVKKLFNMEPERRMREALATIHGFAERIVRERRERGEAGLARGDDFLSRFAASGEHSDESLRDVVTNFVLAGRDTTSSALTWFFWIVSGRPDVEDRVVREIRAVRASSGSTDATFSFDELREMHYLHAAITESMRLYPPVAIDTHSCKEDDFLPDGMFVGKGWLVMYSAYAMGRMEDIWGADCEEYRPERWLDEAGAFRPVSTFKYPVFNAGPRICIGKEMAYIQMKSIVACVLEKFSLRYASDANERPRSVLSLTLRMKWGLPMKVTIRK >ORGLA01G0281800.1 pep chromosome:AGI1.1:1:24812495:24814072:1 gene:ORGLA01G0281800 transcript:ORGLA01G0281800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAPLTHSLSRAMKFSSTSTPLFIILLPFLPLLYFLYLYQDTKKQPAGSNGLKSYPVVGTLPHFAKNRHRFLEWSTDVMKRSPTHTMTFKALGLTGGVITANVANVEHILKTNFSNYPKGELSVSLLEDLLGHGIFNSDGEQWLWQRKAASYEFNQRSLRSFVVDTVRFEVVERLLPLLEWARRDGRTLDVQDVLERFAFDNICHVVFDEDPACLAEDSMVSSQSAEFIRACSDAQNAIIARFMSPVKSLWRVKRLFNLDPERRMRDALTTIHGYADRIVRERRARGEAGLARSDDFLSRFAAGGEHSDESLRDVVTNFLIAGRDSTSSVLTWFFWLVSSRPDVEDKIVHEIRAVRSASSSGGTSSATFSFDELRDMHYLHAAITESMRLYPPVHLDTHSCKEDDFLPDGTFVGKGWLVTYCAYAMGRVEDIWGADCEEFRPERWLDEAGAFRPDSPFKYPIFHAGPRMCLGKEMAYIQMKSIVACVLEQFSLRYAGGDGHPGFVLWSTLRMEGGLPMQVTTRE >ORGLA01G0281700.1 pep chromosome:AGI1.1:1:24801734:24809283:1 gene:ORGLA01G0281700 transcript:ORGLA01G0281700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSSSTSLFLLLSILPLRYFLRQRNDPKKQPHAHGLKSYPVVGIVPHFTKNKDRFLEFTTEIMKRSPTQTMSFKALGLTGGGVITANPANVEYTLKTNFGNYPKGELAVSMVVDFLGHGIFNSDGEQWQWQRKAASYEFNKRSLRNFVVDTVRSEVVERLLPLLERAERDGRTLDVQDVLERFAFDNICQVAFDEDPACLAEDSMASPQSVEFMRAFNDAQIAVRDRFMSPVKSLWGFKRLFNMEPERRMREALATIHGFAERIVRERRERGKAGLARSDDFLSRFAASGEHSDESLRDVVTNFLLAGRDTTSSALTWFFWVLSGRPDVEDKIVREIHAVRRASGSTSDATFSFDELRDMQYLHAAITESMRLYPPVAMDTHSCKVDDFLPDGTFVGKGWLRLTLVTTPRASPLLERAERDGRTLDVQDVLERFAFDNICHVAFDEDPACLAEDSMASPQSAKFMRAFSDAQNAVMDRFMSPVKSRWRFKRLFNMEPERQMREALATIHGFAERIVRERRERGEAGLARSDDFLSRFAASGDHSDESLRDVVTNFLIAGRDTTSTALTWFFWLLSGRPDVEDKIVREIHAVRRASGGTGDPTFNLDELRDMQYLHAAITESMRLYPPVAMDSHSCKEDDFLPDGTFVGKGWFVSYSAYAMARVEDIWGADCEEFRPERWLDEAGAFRPESPFKYPVFHAGPRMCLGKEMAYIQMKSIVASVLERFSLRYAGGEGHPGFVLWLTLRMKGGLPMQVTTRG >ORGLA01G0281600.1 pep chromosome:AGI1.1:1:24799632:24799889:1 gene:ORGLA01G0281600 transcript:ORGLA01G0281600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHPHPWVPAGNQAALALAGGHSSSRSGQTKPTGHQRQFAAVHARSGDDALIGGPRVNDPTRQGLIRRDANEWGMDRREEEAGD >ORGLA01G0281500.1 pep chromosome:AGI1.1:1:24791265:24793076:-1 gene:ORGLA01G0281500 transcript:ORGLA01G0281500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1NSH5] MASSPCGGFLEKAKPYFAMICLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALLLERKVRPKMTWSVFLQIFVLALLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKVDLKKVRCQAKVAGTLVTVAGAMMMTLYKGPLMQMAWTSHVQAPHGHGAEAPAAAAAVDPSGREWFLGSLFVIIATLAWASLFILQAHTLKKYSAPLSLTTLICFVGTLQAIVVTFAMEHRPSVWAIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAGMGSFILAEKIYLGGVLGAVLIVVGLYSVLWGKHKETQEQDAAMMELPVASKGNDAEFTAATVVGDDDDDDDDDDDDDAADCKKANGVKKSSSSNEQGASAV >ORGLA01G0281400.1 pep chromosome:AGI1.1:1:24781179:24781956:1 gene:ORGLA01G0281400 transcript:ORGLA01G0281400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:I1NSH4] MRKYRVAGLVAALLVLHSLATPSAQAEAHRAGGEGEEKMSSDGGPVLGGVEPVGNENDLHLVDLARFAVTEHNKKANSLLEFEKLVSVKQQVVAGTLYYFTIEVKEGDAKKLYEAKVWEKPWMDFKELQEFKPVDASANA >ORGLA01G0281300.1 pep chromosome:AGI1.1:1:24765773:24766858:-1 gene:ORGLA01G0281300 transcript:ORGLA01G0281300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKEGGGGAGGEGGMSDSVIRKVLVSYMYVAVWIFLSFTVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRLLRVVELPSSPAMTPQLYTSSVLPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKENFKSSAMLNMLSISFGVAIAAYGEARFDARGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLAFLVIPWAFVELPRLRAVGTFQPDFFIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVGYYNHVKLQALKAKEAQKKAAQADEEAGSLLQERDSHGERKSDNQA >ORGLA01G0281200.1 pep chromosome:AGI1.1:1:24755292:24757604:-1 gene:ORGLA01G0281200 transcript:ORGLA01G0281200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:I1NSH2] MITGSEVYQVVEAMAPLYTAAALGYGSVRWLKAFSNEQCAGINHFVALYAVPVLIFDMVSTNNVYKMNGRLIAADTLQKAVLLLGLMAWALWERSRARRAGAKAKAAVSSPLQWVITCFSVASLPNTIIMGVPLLNGMYGPVSKDLMKQIVVMQFCIWYNVIIFLYEYMAARRSASAPPPASSEGSAKISPSSPVKAAAAAADTNGNAVAADRPQEVAVNIEITEMAASTARDGVSGETTAAAKEVSSGEVAPVEEEEASAPAPSMKHVIWMAVKKLLQIPNTYASFLGLIWSLIAFKCGFSMPKIVEDSLFTIRTTAVGLSMFSSGTFIARQSRFVPCGYKIASFSMVIKFLIGPVVMLFASLVIGMHGTLLHIAVVQAALPLAVTSFVYAEEYKVHADIMSTGVILGIFISLPVTIVYYILLGL >ORGLA01G0281100.1 pep chromosome:AGI1.1:1:24747414:24748657:1 gene:ORGLA01G0281100 transcript:ORGLA01G0281100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTRNTRQFFESSSSGGGGRTSIDEGRGVRDGGGGRVAAARGSGVNTGILDEHVLSLVFRSINWDPQAVCTAACVSRRMRSRRERMGPIVDHLLCLRQ >ORGLA01G0281000.1 pep chromosome:AGI1.1:1:24741867:24742295:-1 gene:ORGLA01G0281000 transcript:ORGLA01G0281000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEARAESPAAPVVVPAAASPEKRVLSGDAGREEERPEPKRRRACVAALDSVPCAAPPLVDGDGSSFSFQHARGGFVVLETTPKFGSFNPPAAAAVGPKPAPPAGAGQGSPEEEGGPAREEAEAKDGNSQLVGPGVQGQKT >ORGLA01G0280900.1 pep chromosome:AGI1.1:1:24736421:24741258:1 gene:ORGLA01G0280900 transcript:ORGLA01G0280900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-(cytidine 5'-phospho)-2-C-methyl-D-erithritol kinase [Source:Projected from Arabidopsis thaliana (AT2G26930) TAIR;Acc:AT2G26930] MACSTHLLSQSLYPLNRSNPAAARGHLRFQASPSVRLGSGTSRRRALGLRVAASAEQGRRQVEVEYDLQAKFNKLADQIDQNAGITRLNLFSPCKINVFLRITGKRPDGFHDLASLFHVISLGDTIKFSLSPSKSKDRLSTNVAGVPVDESNLIIKALNLYRKKTGTDNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGCIASEKELQEWSGEIGSDIPFFFSQGAAYCTGRGEIVEDIRNPLPANLPMVLVKPPEACSTAEVYKRLRLEHTSQTDPLVLLKEITENGISQDACVNDLEPPAFEVLPSLKRLKKRIIAANRGDYDAVFMSGSGSTIVGIGSPDPPAFVYDDDDYKDTFVSEACFLTRNENEWYREPISSKITSEEDLPPEVASVSD >ORGLA01G0280800.1 pep chromosome:AGI1.1:1:24734664:24735653:1 gene:ORGLA01G0280800 transcript:ORGLA01G0280800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRATHWCYACRRPIRVSGQDITCPNCNDGFIQEISEIGGSLNTYGIFDPSFDERRDRSFGMVEAMSDLMRQRMAEMGRNRVLDFHGTRGASSHQGRRPTVRPMLIFGSNAPDRVSSSSEEADILLRQGRRIGADRPNFSRFLVGPSLEALFEQLLLHNNRQGPPPAPQSAIDSMPVVKINLRHLRDDPHCPVCTDKFEVGTEAREMPCKHLYHAECIIPWLVQHNSCPVCRHPLPSSSHRSGSTCSSSTHSNEAVSHGVARSDADPVPVARSDDSRNHEMHGSFSFLWPFDSPTPDSSSYTHEGGVGEPTVHDDAGQMTYSEWHYDY >ORGLA01G0280700.1 pep chromosome:AGI1.1:1:24729253:24729744:1 gene:ORGLA01G0280700 transcript:ORGLA01G0280700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGENNVEEELRKTRKPLGNREAVRKYREKKKAHAAFLEEEVKKLRTTNQQLLRRLQGHISLEAEVVRLRALLFDIRGKIDAEIGTFPFQKQCSFGSVTCTDHSPCFNTSTEVAVREESSRPTIVDCGIDGTGIISHELDIPKMVNSVDVIPSFVNSASLTE >ORGLA01G0280600.1 pep chromosome:AGI1.1:1:24723847:24726704:-1 gene:ORGLA01G0280600 transcript:ORGLA01G0280600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: mucin-related (TAIR:AT2G02880.1); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (sou /.../CBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G62270) TAIR;Acc:AT5G62270] MLALRKTLLHGRLPAAPPAAAAAAIASRIPALLRRLSSSPGDGQGGDEWGSSWSTGITKEHFDGSDAAVGRPVTSPSKPVSPELAAVRAMDEEDEIFRAMERDNREAKAYVDSWGDRMRETCELLKQVREPGSRGSYLKDSEKQEMYRLHKEDPETYTVERLAKDFRVMRQRVHAILWLKEMEEEEERKLGKPLDDSVEVLLDSCPEFFNSHDREFHVASLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDQMLYEEFVQRLQFNKKKVAGEVKCHKYSRRRPDDGWTYMVEKLGAQSKRGSGGGWKFASLPDGSSRPLNDMEKMYVKRETPKRRRRIMAPFK >ORGLA01G0280500.1 pep chromosome:AGI1.1:1:24719051:24722208:-1 gene:ORGLA01G0280500 transcript:ORGLA01G0280500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:I1NSG5] MGGAEDAPRAAAANGHGNGATVEEKLDELRRLLGKADGDPLRIVGVGAGAWGSVFCALMQDAYGHLRDKVQVRIWRRPGRAVDRATAEHLFEVINAREDVLRRLIRRCAYLKYVEGRLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTDTREVFGEIGRYWKERITAPIILSLAKGIEASLDPLPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVDAFYELLSQDSLSVMHPEANRSVAPVEMCPILKALYKILIKRELPPDSILQAIRDETMYDPRERIEMAQGHSLYRPSLLGQPKGDAKA >ORGLA01G0280400.1 pep chromosome:AGI1.1:1:24716212:24718181:-1 gene:ORGLA01G0280400 transcript:ORGLA01G0280400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLVTAAIFGLLLCGCSVSGVEGIGVNYGMIGNNLPSPDKVIALYRANNITDIRLFHPDTTVLAALRGSGLGVVLGTLNEDLARLATDASFAASWVQSYVQPFAGAVRFRYINAGNEVIPGDEAASVLPAMRNLQSALRAAGLGVPVTTVVATSVLGSSYPPSQGAFSEAALPTVAPIVSFLASSGTPLLVNVYPYFAYSADPSSVRLDYALLSPSTSAAVTDGGVTYTNMFDAILDAVYAALEKAGGQGLEVVVSETGWPSGGGGAGASVENAAAYSNNLVRHVGRGTPRRPGKAVETYIFAMFNENQKPEGVEQNFGLFHPDMSAVYHVDFSA >ORGLA01G0280300.1 pep chromosome:AGI1.1:1:24693503:24695621:-1 gene:ORGLA01G0280300 transcript:ORGLA01G0280300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRSGYRDRGGGEQGRYWRPPRSHGNGNFSVPLWEKKFCTDACAIPWGKLCETKKLMSLYKNVVDWDDSAALETFNDAKARFCAVYHGQPCDIPLPDPNMYIDMVNQDEHVDPELVADLEKSRRSYPKRDNTAPDGWDSFIFTDKPVPATGWGDGETSNTPGQQYPTNWNNHVKQPTEANCVQSSVNWDNYVSQPPQATVQQSSGNWDMYVKQQDQANNWEAPTMPGTWDMKGDSLDAWKRDSDWGSAAIDSWDNHRENCYVPDSQGWSYGHWKRRNNESSRRNSRGRDRVGPISSKAMKPKYHSEERNGANNGWRHCRVRNNMQYSYENPGCNQSLAM >ORGLA01G0280200.1 pep chromosome:AGI1.1:1:24690391:24692544:1 gene:ORGLA01G0280200 transcript:ORGLA01G0280200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:UniProtKB/TrEMBL;Acc:I1NSG2] MSSMAGLGASQHGYPPRSHEPWTKLVHRERLPEWFAYNPKTMRPPPLSHDTKCMKILSWNINGLHDVVTTKGFSARDLAQRENFDVLCLQETHLEEKDVEKFKNLIADYDSYWSCSVSRLGYSGTAVISRVKPISVQYGIGIREHDHEGRVITLEFDGFYLLNAYVPNSGRFLRRLNYRVNNWDPCFSNYVKILEKSKPVIVAGDLNCARQSIDIHNPPAKTKSAGFTIEERESFETNFSSKGLVDTFRKQHPNAVGYTFWGENQRITNKGWRLDYFLASESITDKVHDSYILPDVSFSDHSPIGLVLKL >ORGLA01G0280100.1 pep chromosome:AGI1.1:1:24682569:24687323:1 gene:ORGLA01G0280100 transcript:ORGLA01G0280100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:UniProtKB/TrEMBL;Acc:I1NSG1] MPLLLHGGSLFRLYGCGCGLPSANFSPSKLALIRLSLMMAETRATYSRRAASKNTDIKKDDEHVLEKEDVAESKLEIEQLRNDPDRLQSMTVKELREITRMMGIPVKGNKKDLVSALMDSLGKVGTSSVEKIGVSEVPSKRKGASVVVEQNIESSEVISETPSKRSRAKNKGTAEESSGANVKQSKTSVQKKKLVVQGASVDHEEPWTVLVHKKPQPAWIPYNPKVMRSPSLSKDTKALKILSWNVNGLKALLKSRGFSIHQLAQREDFDILCLQETKMQEKDVEVIKEGLLEGYTHSFWTCSVSKLGYSGTAIISRVKPLSIKYGLGVPDHDTEGRVVTVEFNDFYLLTAYVPNSGDGLKRLTYRVTEWDPSLGNYMKDLEKSKPVILTGDLNCAHQEIDIHDPAGNRRSAGFTIEERESFETNFLSKGFVDTFRKQHPNVVGYSYWGYRHNARKTNKGWRLDYFLVSESIAERVHDSYIIPDISASDHSPLGLVLKL >ORGLA01G0280000.1 pep chromosome:AGI1.1:1:24677194:24677496:1 gene:ORGLA01G0280000 transcript:ORGLA01G0280000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTAVRAGAGLAPTAFVMVYAENKEMPAPLTIGIRLRGVLPIPGCSARGTSILVAEVEIMVSIEERFVREVNFWVMQPDYRPRFVSIDDGLRYLQQFLL >ORGLA01G0279900.1 pep chromosome:AGI1.1:1:24674257:24674687:1 gene:ORGLA01G0279900 transcript:ORGLA01G0279900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLYGLFWVMALVLAMVAGTKSDEGCSRDLQDLIMECQKYVMNPANPKIEPSNACCSVIQKANVPCLCSKVTKEIEKIVCMEKVVYVADYCKKPLQPGSKCGSYTIPSLQQ >ORGLA01G0279800.1 pep chromosome:AGI1.1:1:24661874:24666774:1 gene:ORGLA01G0279800 transcript:ORGLA01G0279800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1NSF8] MARLLLLLVLIGGICAAAAASSPLLPAAETMRVSFAGKSEFRTVNRKPLGTCLDPSPYLEISVSTGGAPLPDEAFLNVTVSGVRRPDRSHWLAMITPSNSSVLGCPLNGVNYIETGDLASLPLLCHYPVKAQYLTSDPGYLGCKASACQKRRASGTCKVRTCAATLAFHVINFRTDVEFVLFSGGFATPCVLKRSGALPFANPAKPLHGHLSSVDSKATSMRLTWVSGDARPQQVQYGTGKTATSVATTFTHKDMCSVAVLPSPAKDFGWHDPGYIHSALMTGLQPSQSYNYRYGSDSVSWSNTTKFRTPPAAGSGELSFVVFGDMGKAPLDPSVEHYIQPGSTSVAKAVAAEMQTGKVDSIFHIGDISYATGFLVEWDFFLHLITPLASQVSYMTAIGNHERDYAGSGSVYPTPDSGGECGVPYESYFPMPASGRDKPWYSIEQGSVHFVVMSTEHEWSEKSDQYNWMEMDLSSVDRSRTPWVIFIGHRPMYSSSSGIPPSVDPNFVSSVEPLLLNHKVDLVFFGHVHNYERTCAVYQGSCKGMPKKDAKGVETYDNSNYAAPVHAVVGAGGFNLDGFPKIGLHSWSLSRISEFGYARVHATKTDMLVQLLEKNITCLNSQFCLLSKL >ORGLA01G0279700.1 pep chromosome:AGI1.1:1:24658231:24659553:1 gene:ORGLA01G0279700 transcript:ORGLA01G0279700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLQHLAAGELVTALRGASCPSSALRLYSLIRIHARPSDPALFAWRPAVLALKPLSAAASLPLLSHFHAHLIRSNLLAYPHVASSLLRGYSLLSPEHAHHLFDQIPPSTCNLVVVNVMLGSLCRSSDLASARAFFDGIPDKDVVSWSTMLACYFSHSRVAEGLAFFRTMTFTTQLAADYVMLVTVLTGCASAGLLPVSCRAIHGYIVRRGITFTMHLGTALIDCYAKAGRLDYASRVFCRVPLRNVMHWTAMICGSAAHLGSEKAIQLFEEMCRSGVQPNEMTFTAVLSACGQAGLVDQGRRFFKLMVDTYGFEPTIHHYGCIVDLYAKAGKLEDAYEVIKTMRMEPNIIIWTSLLAACKKFKNFYIAVEGIEKVLSMEISEENGGLYALISDLYAMGGQWEDVLRVRSLMEERNVWKIRGSSSIKVGEPQDFTFPAVS >ORGLA01G0279600.1 pep chromosome:AGI1.1:1:24654789:24655778:-1 gene:ORGLA01G0279600 transcript:ORGLA01G0279600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATHDLAALGAADLVRVSASIPRAAPRTFALLTAGLVFPLSAAVLVHSLFTHPVILRLQGANGDYSSSDSAQWLKLFAYQFLYLILLFTLSLLSTAAAVFTVASLYAAKPASIASSLAALPPILPRLLRTFLWVSLLMLAYHLVFALTVLLLLLLFAPSSSPPSLPFVLILVVVVFVFLAIHVYISALWHLASVISVLEPLCGLAAMTKSKQLLQGRTRTAGVLVVSYFAACGVTAVLFRAAVVKGRGEEGSLGLSLPGRVLAGAVLVSVLVCVNLLGLLVQSVFYYACKAFHNQQIDRSALYEHLGGYLGEYVPLKSNIQMENLDA >ORGLA01G0279500.1 pep chromosome:AGI1.1:1:24649440:24651933:1 gene:ORGLA01G0279500 transcript:ORGLA01G0279500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:I1NSF5] MARPAARGIVAGAAASTVPLPRAGVASPCPTARSLGFAARGTDPRLAIHVSSRRRAASASAGSRLARAVATMAKKSVGDLAAADLEGKRVLLRADLNVPLDASQNITDDTRVRAAIPTIKHLIGNGAKVILCSHLGRPKGITPKFSLAPLVPRLSELLGIQVQKADDVIGPEVEKSVSVLPNGSVLLLENVRFYKEEEKNDPEFAKKLASLADLYELDYLVGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGFPVGASLVEDDKLELATSLLAKAKEKGVSLMLPTDVIVADKFAPEANCQAIAKKLAELSGKGVTTIIGGKDSVAAVEKVGVANVMSHISTGGGASLELLEGKELPGVVALDEA >ORGLA01G0279400.1 pep chromosome:AGI1.1:1:24646605:24646814:-1 gene:ORGLA01G0279400 transcript:ORGLA01G0279400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGHLRVFAVFLVVQVYLLAMMAAPWTVQAGPVVSLLSNVCCSMHIAGCCPAIAGGGGGSGDAAKAKP >ORGLA01G0279300.1 pep chromosome:AGI1.1:1:24642499:24642693:-1 gene:ORGLA01G0279300 transcript:ORGLA01G0279300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSLHAFVVFFVVQVCLLVVMASSSSWTVQGRPVALESVPACCFYHPDCCQAAGADPAIADP >ORGLA01G0279200.1 pep chromosome:AGI1.1:1:24638204:24640673:1 gene:ORGLA01G0279200 transcript:ORGLA01G0279200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRALLVGINYPGTKAELKGCHNDVDRMHRCLVDRFGFDEDDIRVLLDRDSSGTQPTGANIRRALAQLVGDARPGDFLFFHYSGHGTRLPAETGQNDDTGYDECIVPSDMNLITDQDFRELVQKVPNGCLFTIVSDSCHSGGLLDSAKEQIGNSTKQNQTQSREREEPSDSGSGFRSFLKETVRDVFESEGIHLPRSRHSQSHYRGEDQDESYAQPTDGHTKNRSLPLSTLIEMLKEKTGKDDIDVGSIRMTLFNIFGDDASPKVKKFMKVMLGKFQQGQSGEQGGLMGMVGSLAQEFLKVKLEGNEEEAFKPAIEQEVHSVDEVYAGTKPWAPNNGILISGCQSNQTSADATTPQGSSYGALSNAIQTILADKRGNVSNKDLVMKARSLLAKQGYTQQPGLYCSDDHVHVSFIC >ORGLA01G0279100.1 pep chromosome:AGI1.1:1:24630075:24632514:1 gene:ORGLA01G0279100 transcript:ORGLA01G0279100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSNVKSAGVAQIDGRPVLQPAGNRVAAPEAARPLKKSLQKSLSMPASLDNAAAATTCAASPENTRAADFARAAAASLLPPPTPASVSAKATRVSGAKVAAARTAAAAAAMGGLDRSRKPAKKGGAAVLPVVMFAGLEAYEPAGSIAAAQREHVAMAQAQRKMRIAHYGRTASFSRVEGKVSATATGAAELVAGAVTGHDEKRCSFITPYSDPLYVAYHDEEWGVPVRDDELLFEMLTLSGVQVGADWTSILKRRHVYREAFSGFNVDAVAKYTEKQMASLSAEFGLDLGTIRGAVNNACRISEVRRDFGSFSKYVWAFVNNKPLSPSYKYSRKIPVKTSKSESISKDMVRRGFRFVGPTVIHSFMQAVGLTNDHLVSCPRHRVCSSSA >ORGLA01G0279000.1 pep chromosome:AGI1.1:1:24608327:24610207:-1 gene:ORGLA01G0279000 transcript:ORGLA01G0279000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQERALDEVVSPFLMQLSKARHVFLKLDEDSSFLDIKDLFQNIEKEAHEVENILKRVSSWENDIINDFGGIARHLDDILEEETHLNSICSKLQIVNAEMSNLKDRMKLPLHVPVIKPSVPALLSASLPAKLVPANVSGQWKRLEIERKILENSTMSNLQLSYDNLDLQLKLCLLCFSIFPENSIISKKAMIHWWIGEGLVAATRNQTAEHVGKDCFDKLIVKEMIEPVHVKRSCSVSQCKLHPWIRRMLITVARKAQFFEFDSEGNATWDISGTHRACLVEEHKREIETAKVKNQSTDGLLTIFNVNERYLQFDKSWFLDLRKIVVLQLGRWHNLYRHHIEVDNTEFLEGLQLSKQLKYLCLRGISRITALPASIGKLSNLMILDLHACHNLESLTESITSLQMLTHLDVSECYLLEGIPRGIGLLTELQVLKGFVIGGSTSNYNCRVAELVRLEKLNKLSIYIGSKVAVTGDELNELENIKGLRSLTITWAVSVLTKVKDQQASVATAMLTSLSLPPNLQKLDLRCFPGRKMPSWLSPSKLLGLKKLYFTGGMLNTFGDGSMPEMWKVEILRLKFLNDLEVEWTQLHETFPNLTFLQVFRCSKLESFPCDKDGVWMNCDKQEVR >ORGLA01G0278900.1 pep chromosome:AGI1.1:1:24606884:24608077:1 gene:ORGLA01G0278900 transcript:ORGLA01G0278900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVQFLVRKYVDSLAEEEAAAELPFRAHFYDVKAELEKAAISSTNADELRQCLYELNDLLAECRMLASRTNTRPGCFSPSEAWRSNRVKKRVIAVKRRVLRCVESDSSGNAAALEEEDSAAAGFSRWTSSWLEEGTVHGFDQQLAELESMAFGAECGAGDLAGVGIVGMGGVGKTALAQLVFNSPRARRRFFPRIWVCLSRTACAGADVRKEVLQSMLMALGLEEEVILSMDGGNNLGEMVFAVHEQLKGKRYLVVFDDVWNVDGWYADVVGRRNASPTGDEWGERLAFGLPKERGGVVVVTSRLEQAAETMVGKSSLHRVQPLVDGESCWAIFMDAFSKERRPADLTTVNNMKDEIIDTCGGLPSAAKALGDIFARSLSSPASTSSQELSKNR >ORGLA01G0278800.1 pep chromosome:AGI1.1:1:24604412:24606565:-1 gene:ORGLA01G0278800 transcript:ORGLA01G0278800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTMAGMVALWNEWEIRVLVLSSLALQVFLLFSAVIRKRNVSAVLGLLLWLAYLLADSIAIYALGYLSQTRVPRGVDVRSFRNTHRIQAFWAPFLLLHLGGQDTITAFSIEDNELWKRHLLSLLSQVALAMYVFAKSRPGADILAPAVFMFLSGILKYGERTWALKCASMDNLRSGMVTTPDPGPNYAKFMEEYRFTREAGLQAEIVIEPERRGGGVTAAAIAEESVPYTTIITDARRFFVTFKRLFVNLILSFQDRTRSQATFLRLTPEQAYKIIEIELSLMYDTLHSKAAVIHTWYGRLFRCVTLLSTSAACLLFNLLDKDRYESHDTRVDIFITNLLFGGALCLEVYAIGMMLISYWTYAALQGCNCRTLSHLLFKSIKYFRPESRPKWSNLMAQHNLISYCLHDRATLLTKVITMVGLKGHWDSWMHIQHIDVLPELKTLVFRELKDKAVSIVDNAESYRKFSNHRGQWALQCKGYYKELGWSVEVEFDESILLWHIATDLCFYYDIDGSDGDAKLTEYVGISRAVSNYMLFLLVARPFMLTAGIGQIRFGDTCAEAKIFFEREMALPDERAAAAMVLEVNAEIAPRDVKGDRSKSVLFDACRLAKSLLELQPGKRWRLIRVVWVEILCYAASKCRSNFHAKQLSNGGELLTVVWFLMAHLGMGEQYRIEAGHARAKLIVEKN >ORGLA01G0278700.1 pep chromosome:AGI1.1:1:24603413:24603991:-1 gene:ORGLA01G0278700 transcript:ORGLA01G0278700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTPDADPGRDTFTCGTLFMCLNLRGLFKKKPEEVGKSRRQSQEQDQDQAAAVDAETEQEPQYVPAPAPIRAASFEKLERSPPYSGSNIAFDLLVEPELGEDRGARQVLAYCPSPCFDLPAGLMMRAGERCDAPGTAGFVLDGCPTKGALKKVASCLPPDVAGGDGEARPPHLVRFLSTSGSTAPANGGLP >ORGLA01G0278600.1 pep chromosome:AGI1.1:1:24601748:24603073:1 gene:ORGLA01G0278600 transcript:ORGLA01G0278600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANRRAHMSSLRLLPEKPTRSALSSTPQRRAGNGGGEAGADGVKCTALCLYLPRLLKKKRAAVQPATMSAAPTSAQKAKAKAKKEVPSAPRVSSRWPSSLPRAVSAGAAGRTSSALSQLREASASASASFSFSHWSRSQASRVRPHGAAPGPFSFPSSPASASSGTSTPKLAHGGILTELLGLLYSSSHEGLHYLQRYLQLYPPKDAITIRRKNILYYRRYASTGSSKSSRS >ORGLA01G0278500.1 pep chromosome:AGI1.1:1:24596295:24598146:1 gene:ORGLA01G0278500 transcript:ORGLA01G0278500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a chloroplast protein that induces tolerance to multiple environmental stresses and reduces photooxidative damage. [Source:Projected from Arabidopsis thaliana (AT5G44650) TAIR;Acc:AT5G44650] MALLSPPSPPPPLPPLRRRPASPTLLAVATRPSSLLSLPHCHCGLPLPSTANARAYSRSSRRRRRVAASLGQDEPGVSDTAVAPEGEGDSEPPASSDGAAGDIAASAEQPEASPEDLEDIRQVKRVLELLQKNRDMTFGEVKLTIMIEDPRDIERKRLLGIEDPDEITRDDLADALVEVNEGRIPENRVALQLLAKEMTEWPDLEMEAPKKKSKPGKSVYAKATDTGIDPETAAKRLNIDWDSAADLDDEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA01G0278400.1 pep chromosome:AGI1.1:1:24586659:24587971:1 gene:ORGLA01G0278400 transcript:ORGLA01G0278400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTDICFSGHLWMLILFVSHWYIFQDSSFYNNLSFKFYFIQIGKPSIFQIDRGGGDIEKICTSSFFVQLKWCLMTAHKNLTLALCQALLLLSNLHINIVPSFGDMGALGIDLCRRSRLRWPHGDGLHASGLADGRDVQPPCWPHAVVLLRHRPRERLHHAAVGVAHSPWASSPPAPDLATGGPDLPHTTPAACSRVRRAPLGLLRAPSRRANLC >ORGLA01G0278300.1 pep chromosome:AGI1.1:1:24579239:24579520:1 gene:ORGLA01G0278300 transcript:ORGLA01G0278300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKVLLVSLLNCLYPALPSYHIQVNCSFSLHMVHPKLPEYMPCGTSYFLRARVDDIAICMTVPFGYMPRMASVCTPSLRSNTICLEGLHYSR >ORGLA01G0278200.1 pep chromosome:AGI1.1:1:24572920:24573492:1 gene:ORGLA01G0278200 transcript:ORGLA01G0278200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGRSSRAPRRGGGCSSPAARRGEERAADRGRVQRRGRRVGAAPGHGDGAGRGQGALRRRCVRRRRRRWRRSSLGQPRRRRLRRARLAPRCRLHHVRRRRWRRLQRALHGIRPAAATRRMMTTTRRSNPARGTSSRPGRGARRSLSPPPTARASSTSASATSRSAPCTPCAVAPEREKRERGEEGKKV >ORGLA01G0278100.1 pep chromosome:AGI1.1:1:24561308:24562015:1 gene:ORGLA01G0278100 transcript:ORGLA01G0278100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAATVEKVAVAPPTGLGLGVGGGVGAGGPHYRGVRKRPWGRYAAEIRDPAKKSRVWLGTYDTAEEAARAYDAAAREFRGAKAKTNFPFASQSMVDCGGSPSSNSTVDTGGGGVQTPMRAMPLPPTLDLDLFHRAAAVTAVAGTGVRFPFRGYPVARPATHPYFFYEQAAAAAAAAAGYRMMKLAPPVTVAAVAQSDSDSSSVVDLAPSPPAVTANKAAAFDLDLNRPPPVEN >ORGLA01G0278000.1 pep chromosome:AGI1.1:1:24544357:24546277:-1 gene:ORGLA01G0278000 transcript:ORGLA01G0278000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPLQPADSDDSDGHVPVGRAASSRGGGGGVSHESDGEDAARRAREPPRDQRDGDPDEGDGGGGDGSGGGSDSESSLNGAGDKDELTRSTEIGLFLFVDVSCKIVWTDLPSGPAAG >ORGLA01G0277900.1 pep chromosome:AGI1.1:1:24538889:24543502:-1 gene:ORGLA01G0277900 transcript:ORGLA01G0277900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDDWCFEGRRRQRRRRRRRKRRGSSAAAEGRFRRRAAAKRAADPQPQREGDSDAEFGGGVDGDSESSQSDGDMDELKLIWTYFSCRFIIVKLAEIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFSEEERSRNKKIQATIEETIRRQSEAVGKKRSTAKATATVFARKYRRNMRTRGRGKTIAPDIAPTGSDNEDREEGNAIDTTKESSSADDRSSDLMPKRGRKRPASRASPARTIGSSDHGFEENDELIGGKESFTTSPLRGEMLAWGKNGTRSQTRHGSVGGSNGRTAKGGRVAKLVDHLRTTDDMDKEFNLYLVLLPLDEQSMPNLEKPYISCRPTLSIRHLVQFIALQLSRQVEELDIFMRIDHCNGSVTTQDCTTGVAKMRLSDGLERIREDKLLSELHPSFTSHHGDLELLYALKTQG >ORGLA01G0277800.1 pep chromosome:AGI1.1:1:24531046:24533014:-1 gene:ORGLA01G0277800 transcript:ORGLA01G0277800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58830) TAIR;Acc:AT3G58830] MGAAAWWRRSLGQRFNPAGVAAVAAVAASEPRLALPHVSVQDIRWLDWGELRRAGFRGVVFDKDNTLTAPYAPGLWPPLAAAFDQCRAAFPPGSLAVYSNSAGLKQYDPDGLEATAIEAVIQGVHVIRHDIKKPGGEAKEIESYFGCSASNLVLVGDRYFTDVVYGNRNGFLTVLTEPLNFANESYIVRQVRKFEAYLISYWYRKGHRPIKHPLLPDARRIVKFDPYNDPMAPAA >ORGLA01G0277700.1 pep chromosome:AGI1.1:1:24528057:24530243:1 gene:ORGLA01G0277700 transcript:ORGLA01G0277700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxyacyl-CoA dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G15290) TAIR;Acc:AT3G15290] MATQATAREIAVVGVIGAGQMGSGIAQLAAAAGCGVLLLDSDTAALSRAVDSISSSLRRLVAKGQLSQASCEHSIEQIKCVSSVQELRDADLVIEAIVESEDIKKKLFVELDKITKPSAILASNTSSISITRLASATNRPCQVIGMHFFNPPPIMKLIEIIRGADTSEEVFTKVKSFSERLGKTVICSQDYPGFIVNRILMPMINEAFWALYTGVATKEDIDTGMKLGTNHPMGPLQLADFIGLDVCLSVLRVLHNGLGDSKYSPCPLLVQYVDAGRLGKKRGQGVYSYRTRSSSIKPRSSL >ORGLA01G0277600.1 pep chromosome:AGI1.1:1:24525885:24526127:1 gene:ORGLA01G0277600 transcript:ORGLA01G0277600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRSMFLPVCALVLVMLCVCVASHMDVDERRGGARAYMGGHGRPVGIRPRTSGSPRGLSAGTWAACAGSSLLAAAAMLM >ORGLA01G0277500.1 pep chromosome:AGI1.1:1:24508279:24509302:1 gene:ORGLA01G0277500 transcript:ORGLA01G0277500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLPFCALCLFVLLCAASLMDVTEARRGGGGHSSGGGGRGGGSGSGSPRGLSGDVQCVWEVVILRSRDSINLTVQLILEHSIEQQSPCFLRGTHLP >ORGLA01G0277400.1 pep chromosome:AGI1.1:1:24506889:24507128:1 gene:ORGLA01G0277400 transcript:ORGLA01G0277400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSSLLPVCTLVLAMLCVASLMGVTEERRGGGRTYIGGGGGARGSATRTSGSPRGLSGGTWAACAGSSLLAAAAMLL >ORGLA01G0277300.1 pep chromosome:AGI1.1:1:24503506:24503796:-1 gene:ORGLA01G0277300 transcript:ORGLA01G0277300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAEAVRSYGRSRLIPTWKKIRQPNPNPKIISPIPFLFLTKSNGGGGDSGGVMVAAMIPAKRQRFQQAATVRSPFLSLVKSGSGDDSDERRWRVA >ORGLA01G0277200.1 pep chromosome:AGI1.1:1:24501308:24501769:1 gene:ORGLA01G0277200 transcript:ORGLA01G0277200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRLLPFTVLCVLVLLCVASLVDVTEGQRGGGGSGGLAGAAGEDGIPFGAGVGPRGSCAACTTEPMCSTGFGLVFGVATSLGASQIYYCSVFDREING >ORGLA01G0277100.1 pep chromosome:AGI1.1:1:24497128:24497373:1 gene:ORGLA01G0277100 transcript:ORGLA01G0277100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSSLLPVCALVLAMLCVASLMDVTEGRRGGGGRAYIGGGGVGARGSATRTNGSPRGLSGGTWAACAGSSLLAAAAMLL >ORGLA01G0277000.1 pep chromosome:AGI1.1:1:24489622:24494071:1 gene:ORGLA01G0277000 transcript:ORGLA01G0277000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHSPFQRFPAFLLFCVWLFMIRGIYGSRKLYIAYLGEKKYDDPTLVTASHHDMLTSVLGSKEEALASIAYSYKHGFSGFAAMLTEEQAENLADLPEVISVTPNKQHELLTTRSWDFLGLNYQPPNKLLQRSKYGEDVIIGMIDTGIWPESRSFSDHGYGPIPSRWKGVCQLGQAWGPTNCSRKIIGARYYAAGIEKADFKKNYMSARDMIGHGTHTASIAAGAVVDGVSVHGLATGVARGGAPRARLAVYKVIWNTGNSLQLASAGVLAALDDAIHDGVDILSLSIHADEDSFGALHAVQKGITIVYAGGNDGPRPQVIFNTAPWVITAAASKIDRSFPTTITLGNKQTLVGQSLYYKLNNESKSGFQPLVNGGDCSKGALNGTTINGSIVLCIEITYGPILNFVNTVFENVFSGGASGLIFGLYTTDMLLRTEDCQGIPCVLVDIDIGSQVATYIGSQSMPVAKIEPAHSITGKEVLAPKVAIFSSRGPSTRYPTVLKPDIAAPGVNILAAKEDGYAFNSGTSMAAPHVAGVIALLKALHPDWSHAALKSAIVTSASTKDEYGMPILAEALPRKVADPFDYGGGNINPNGAADPGLMYDIDPMDYNKFFACKIKKHEICNITTLPAYHLNLPSISIPELRYPIKVRRAVTNVGEVDAVYQSAIQSPLGVKIDVEPPTLVFNATKKVNTFKVSMRPLWKVQGEYTFGSLTWYNEHHTVRIPIAVRITIRDFYADVA >ORGLA01G0276900.1 pep chromosome:AGI1.1:1:24482999:24486005:1 gene:ORGLA01G0276900 transcript:ORGLA01G0276900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEEAKASITYSYKHGFSGFAAMLTEDQAEDLAELPEVISITPNQKHELMTTRSWDFLGLKNEPPSELLQRSNYGEDIIIGIIDTGIWPESKSFHDHGYDAIPSRWKGVCQLGEAWGLSNCSRKIIGARYYAAGLDKANFKKNYMSALDNNGHGTHTASTAAGVVVEGVNLHGLGAGVARGGATRARLAVYKVGWEEGGAGGSYLATAAVLAALDDAIHDGVDILSLSLGVDENSFGALHAVQNGITVVYAGGNRGPRPQVLYNTAPWVITVAASKIDRSFPTAITLGNKQTLVGQSLYYKLKNNTESRFESLVNGGNCSREALNGTSINEKVVLCIELTFGLIGRIFEDVFAGVIQGGASGLIFAFYTTDVLLSTEDCKGIACVFVDNEIGYQVATYIGSERLPTVKIEPASSITGNQVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAKEDAYVFNSGTSMAAPHVAGVVALLKALHPHWSHAALKSAIVTTASTKDEYDTPILAEALPRKVADPFDYGGGNINPIGAADPGLIYDIDPKDYNKFFACQIKKYEICNITTLPAYHLNLPSISIPDLRHPINVRRAVTNVGEVDAVYQSSIESPLGVKMTIEPPVLVFNASKKVHAFKICITPLWKVQGGYTFGSLTWYNEHHTARIPIAVRITIQDFYADVA >ORGLA01G0276800.1 pep chromosome:AGI1.1:1:24459315:24462520:1 gene:ORGLA01G0276800 transcript:ORGLA01G0276800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHYCQQRLASVLLLCFWMLFIRAHGSRKLYITYLGDRKHAHTDDVVASHHDTLSSVLGSKEESLSSIIYNYKHGFSGFAAMLTEEQAEQLAELPEVISVQRSRRYKTTTTRSWDFLGLNYQNPSELLRRSNYGEDIIIGVVDTGIWPESRSFSDEGYGPVPARWKGVCQVGEGWGSNNCSRKIIGARFYHAGVDEDDLKIDYLSPRDVNGHGTHTASTAAGSVVEAVSFHGLAAGTARGGAPWARIAVYKSVWGRGGAGSGNSATVLAAIDDAIHDGVDVLSLSLGTLENSFGALHAVQKGITVVYAATNFGPAPQVVRNTAPWVITVAASKIDRSFPTVITLGDKRQIVGQSMYYYEGNNSSGSSFRLLAYGGLCTKDDLNGTDVKGRIVLCISIEISPLVLFPLALKTVLGAGASGLIFAQYTTDLLGITTACNGTACVLVDLESANLIGSYISEASSPMAKIEPARTITGEGVLAPKVAAFSSRGPSVDYPDIIKPDIAAPGSNILAAMKDHYQLGTGTSMATPHVAGVVALLKALHPDWSPAAIKSAIVTTASVTDERGMPILAEGVPRKIADPFDYGGGNINPNRAADPGLIYDIDPSDYNKFFGCIIKTSVSCNATMLPGYHLNLPSIALPDLRNPTTVSRTVTNVGEVNAIYHAEIQSPPGVKMVVEPSVLVFDAANKVHTFKVSFSPLWKLQGDYTFGSLTWHNEKKSVRIPIAVRVTIQDFYADVA >ORGLA01G0276700.1 pep chromosome:AGI1.1:1:24453451:24454181:-1 gene:ORGLA01G0276700 transcript:ORGLA01G0276700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQLPSAFDPFAEANAEDSSVGAGSKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQVIYMIPTLIFIFVQIAFI >ORGLA01G0276600.1 pep chromosome:AGI1.1:1:24450536:24452705:1 gene:ORGLA01G0276600 transcript:ORGLA01G0276600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREPEMEEARENGGVGGSVLPLASLISPTGNEVQISELEGKIIGLYFAANWYPKCEAFTPALTAAYHQLKEHGAGFEVIFVSCDENRPSFERFHRAMPWPAVPFGDIGCKKRLSERFQVEGIPRLVVLAPNGEVVQPDAVELVHRYGDRAFPFTSARVAELEADEQRKFASQTLEKIFSVNGKDYVNGSQEQVPISSLVGKTVGLYFSAHRCAPCIKFTAKLAAIYSNLKGKAEDFEIIYIPMDKEEDGYLRSCSDMPWLALPYDDGASSGALARYFDVREIPTLVVVGPDGKTVTREGRNLVNLYFDMAFPFTDEQIRLLQEMEDEDAKGYPPSLRHTGHRHELSIVSDKSGGGPYICCECDEQGLGWAYQCIACGYEIHLRCGRDMEGRAE >ORGLA01G0276500.1 pep chromosome:AGI1.1:1:24438310:24439514:-1 gene:ORGLA01G0276500 transcript:ORGLA01G0276500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMNMNATDTEACPVPLELELLAHPFPEYQVSTTEENSCGGSQLHQVMGAATDPGYLETIKRLPPFRIGHTKIISNTYSDTGSKAAQQQQRNQPRKRKEDHLDHLCAYMGNCAVTQHAVSWADDGEWELPESGEEGTAPPRSGAHMTEVTIRITKRQLQELVDKRAAAAGGGHGYHVYRKSRRSAAELLADIMNAGEVYHQHYRVAHWKPALQSIPEAAMES >ORGLA01G0276400.1 pep chromosome:AGI1.1:1:24434065:24434385:-1 gene:ORGLA01G0276400 transcript:ORGLA01G0276400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFKSQRAAASWADDGEWEDEEEQQQQQHLHEMAAVEKMERVEVKIRVTRRQLQELLEKAAGEGKGRPVEKVLAEMISSGKVCYEQEAAGWRPSLQSIPEADES >ORGLA01G0276300.1 pep chromosome:AGI1.1:1:24430856:24431194:-1 gene:ORGLA01G0276300 transcript:ORGLA01G0276300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGASQRAVESWADGDEWEDEAAASSSSEDDGHRERMEHVAEVTIRITKRQLHELMERKGAGNGHGKISRRSTQQLLADIMNSGEVHHHDQHREAHWKPALQSIPEAVES >ORGLA01G0276200.1 pep chromosome:AGI1.1:1:24421840:24428455:-1 gene:ORGLA01G0276200 transcript:ORGLA01G0276200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNTLIAAALLLLVAASLAAALLYSAGPRDVDGLVGALPRATLPFSPMDVLPLLPRTAAMAALRALRGVSDIFPVFVGAASDRPGAVGSRLEWKGACFYENEAWLVLHNDSGSKYGGGTLHIKTNKAHSWTCIDLYVFATPYRVTWDYYFVGREHTLDFKEWESEAEYEYVKRKGVSIFLMPSGTIGTLRALWDVFPLFTNTQWGENSNLAFLKKHMGATFEERPKPWVSELNVDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEQGEDIIAILPWEEWWDFEVKKDDSNPQIALLPLHPDLRAKFNETAAWEYAKSMDGKPYGYHNMIFSWIDTISDNYPPPLDAHVVASVMTMWNKLQPEYAANMWKEALNKRLGTKGLDLPEIIVESEKRGMTFDKLLTVPEQDKWVYTDGQSASCVAYVLMMYKEAGLFDPIASSIEVTEFTIKDAYSLNFFENNTTRLPVWCNKDDSVKLPFCQIKGRYRMELPGYNTMQPYPHMNERCPSLPPNYNRPRNC >ORGLA01G0276100.1 pep chromosome:AGI1.1:1:24411825:24415077:-1 gene:ORGLA01G0276100 transcript:ORGLA01G0276100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANERCRVALFVLIVCTFAYAAVYTSLPAVSVNPCAQTLSRALLAVTGLDPYVVSCAADDGVSTPLLSDGGHDKINAGRVGGPIVTDLLQYRKPEGPDFPEDLQCCPPMPTSEPIDFTLPDPSEPLRTRRPAHVAGAEYMAKYKRAIALMKALPRSDPRSFYQQANIHCAYCTGAYVPAGRPPGAGRPGALLLALLPVPPRLHLLLRAHRREAARXPRVRGAVLELGRAGGDADAAAVCQRVVAAVRPNEEPVARAAQARGPGLHHGRRREQLHRRXTNKAQPLDHVQADD >ORGLA01G0276000.1 pep chromosome:AGI1.1:1:24404037:24405209:1 gene:ORGLA01G0276000 transcript:ORGLA01G0276000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRLLLRRRLSTATAPPPTPGSILNPSSPSTPLTSRQKSRLAISLLKSTPSPPPDQILSICRAAALSPDSHLDRVALSLAASKLSSAPDSVRDLASSLLTPHHAPHAIALFGQAGLLPDAVSTFKSSPSTRSLNALLFACLVSGNHAEAARVFQTFPDAYSVKPNTDTFNAIIKSFAESGTTRSFYSVLDEMCKKGVKPNATTFTTAIAGFYKEERFDDVGKVIELMKKHGCGESLPVFNVRVQGLCKLGRSGDAKALLNEMVKKGTKPSWLTYNHLIHGFCKEGDLEEAKRLYKEMAKKGLVGDSSFYYMLIYYLCKGGDFDTAVGVYNEIAARNWVPCFSTMKMLVNGLAGSSRVDEAKGIIEKMKEKFPDKAEGWKEVEDALPQ >ORGLA01G0275900.1 pep chromosome:AGI1.1:1:24398866:24400766:-1 gene:ORGLA01G0275900 transcript:ORGLA01G0275900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSQLITRPATFSLSSPSPSSPGASFCLKPRRGAVGWVSCTSSPAEPDASRLDRRDVLLGLGAAGASATAAGILLSFPRRAGADPVATPDISSCGPPDQLPPSANVLTCCPPPSSASPVDFAPPADASSSPLRTRPAAHSAGADYVAKFNRAIAAMKALPADDPRSFAAQASVHCAYCDGSYSPDGFPGLDLQVHNSWLFMLFHRCYLYFFERILGSLIGDPTFAIPFWNWDAPDGMSMPAMYTDQSSPLFDPRRNGRHVPPKLIDLDYNGREPRFTDNQQVDHNLRVMYRQPAGEDMGNFYSAGRDPLFYAHHANIDRMWAVWKGLDPRRHTDLTDPDWLDASFLFYDEDPKLVRIRVRDVLDMDRLRYRYQDVPTPWTSARPVVTTQRVRSATSSLLTPTARAAGAKEAARFPVTLDSPTRVTVKRPVSARRSRAESKLAKEEVLIIDGIQVDMDVAVKFDVFVNAGEDHAAVGPGGRELAGSFVNVPHRHKHDKRGRAIKTTLRLALNEQLEDLGAEGDDSVVVTLVPRQGKGKVKIGSVKIEIMD >ORGLA01G0275800.1 pep chromosome:AGI1.1:1:24395543:24397305:1 gene:ORGLA01G0275800 transcript:ORGLA01G0275800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLTSAMKRCCNGKTLLRGARTCRCSSASALGSSGVRGKEEASTSATDSEPDKKRWRKKRFWRKKKKKVKKDHYGDAAATEHGSERASCRRYENDAVADLVNDISSKSDVCNVYAAEGILRITHQNIPSMVLTYRQLCNATDSFSPNNLLGEGGFGRVYRGHLEEINEIVAVKQLDKDGFQGNREFLVEVLMLSLLHHPNLVKLLGYCTDMDQRILVYECMRNGSLEDHLLDLPPKAKPLPWQTRMKIAVGAAKGIEYLHEVANPPVIYRDLKTSNILLDEDFNSKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGKLTKTSDIYSFGVVLLEIITGRRAIDTSRPTHEQVLVQWAAPLVKDKKRFVRLADPLLEEKFPLKGLYQALAIASMCLQEDASNRPMISDVVAALSFLAEQKYHPQDGPDQAARKSRDRDCSNPPRKTDMVSEIKADDEIKHR >ORGLA01G0275700.1 pep chromosome:AGI1.1:1:24390638:24393556:-1 gene:ORGLA01G0275700 transcript:ORGLA01G0275700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cardiolipin synthase [Source:Projected from Arabidopsis thaliana (AT4G04870) TAIR;Acc:AT4G04870] MAFLKTLNPLLRRSPTPIPNPRSLLSLDAFLTASSPAAASHAIAPAPFAAAAHHHVPICSGGPLFLSSPPWMLSQSATPLTAAAAALRARLRRARALAGGGAQAVADAIGWEPRQISRGESEVAEVVTGGERFLNLPNLVSIGRMASGPVIGWMIVNEWYLPAFGTLALSGASDWLDGFLARKMGINSVFGSYLDPLADKVLIGCVAIAMVEKDLLHPGLVGLVVVRDLLLVGGAVYKRASSLGWKWNSWSDFVNLDAIHREKVKPLFISKVNTVFQLMLVAAALLQPEFGTEETQNYITVLSWLVASTTIASTVGYGIKYRQIRPRR >ORGLA01G0275600.1 pep chromosome:AGI1.1:1:24380997:24383024:-1 gene:ORGLA01G0275600 transcript:ORGLA01G0275600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDEHAMESDTDLISVLPGEVLQHILSFSRIRAIVRMRRLSRRWRRVIECLQFICLDCRDFKHWKVEKFARFVDNLLLIRSKVDLHTFQLYWFHYLPLNCNDLRKWILYAVKHNVKVLDVELDMYDKTTLPSRIFTCRSVEELSLQMGEAPDEDLEHVGLVLPDIIQLPSLKKLTLSDVEVDQLSLNQFIGRSPNLEDLHLINSATYLELIASKVLKRLTLDGFMHGPKRFTISAPHLVHFECQGCALQDVSWGEQPSLESAHIDTWGKKYDGESEFIGVLLSAKTPALFGSDVKVMLEKELPACPVFERLTTLEIGNWCLTEDFYAVLRFLQLSPRLGELTLMQKELPHAAGKGAETDAMPIDGMTFQCPLLETVIIQCSKGDDGIDKLVNVLAANGINPKKIQVTFYEDIEEMERPENRRIIEEREKELCNFEKMAKKNPEWVDESRYADSNPETDSDEYDNDYDDF >ORGLA01G0275500.1 pep chromosome:AGI1.1:1:24378440:24380020:-1 gene:ORGLA01G0275500 transcript:ORGLA01G0275500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDRAASAAAAGESSSAGAMAVEKPRFEALMPSEMSGGRPQFRKVPVPQHRFAPLKKAWMDIYTPVYEHMKIDIRMNLKARRVELKTRQDTPDVSNLQKCSDFVHAFMLGFDITDAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY >ORGLA01G0275400.1 pep chromosome:AGI1.1:1:24375403:24377415:-1 gene:ORGLA01G0275400 transcript:ORGLA01G0275400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLRLRRRLPLPINTRAFSPSSPSPAPHETPTVYSFLQPSVFAPRPKPQPPPPPPPTPPAHKTLPVGDAVALEDELLAAVSEDRSDDAWLAFRSLASASLSPSPPAAAALVSHLAAAHHHRLGLKRAFAAAVFLLEKSPHADPVPEAALQAVFTSLAAAGSAAPALALVRALLRCGRRLPGFPAWGSPLIELTRADTGAFVAFLKVFDEACKQMVAEEKSPSAAAAMRPDLAACNAVLGGCCRLLGSVTEAERVLEIMSVIGVSPDVDSFGCLAFLYAWRDIPSRVDELDKLLDALGFSKKIFFKNLISGYLKSCSFESVSSVILRVVEERRVGDSNAFDLESYTEVAQCFVDNGRIRELAQLIIKAQETESLQQSLAVEDSVGFGIVNACVELGLLNKAHSILDEMTAQGASVGLGVYSSILKAYCKEQRTAEAAQLVSEISAAGLQLDAGSYDALIDASMTAHDFLSAFSLFKEMREARLPDLRTSYLTIMTGLTENNRPELMASFLDTVVDDPRIEIATHDWNSIIHAFCKVGRLEDARRTYRRMVFLRYEPNNQTYLSLINGYVSAEKYFSVLILWTEVRRKGADFNHELIDAFLYALVKGGFFDMAMQVIEKAQELKIFLDKWRHKQAFMETHKKLKVAKLRKRNFRKMEALIAFKNWAGLNA >ORGLA01G0275300.1 pep chromosome:AGI1.1:1:24367907:24373547:1 gene:ORGLA01G0275300 transcript:ORGLA01G0275300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNQQHNEGNESFVALMNGVAGDGTATLPNDGEQSMSIPARELFAAIEADSGLLPVNSSNTNEKRKRRLQRLTGKQSEVLEGFFSICGHPDDGQKRHLSETTGLGLDQVKFWFQNKRTQVKTMCWKEENYKLSVENEILRDENRRVKIAHCTAICLTCRNSSVQNQLAVEMERLMGQSERLQQEIARSNGTPPAANLAFQLNSSADYVFSGQHDQQMIAELAKNAMHALIILAESHVALWFPVPGCSYEVLNKMAYDQAYPGDNSANAIGFKTEATRAVSMVMMDYKSVVDFLMDPYNYRTFFPEVISGAVTNRIYTWPTSDGYNGVIQLMTVEMMFPSPLVPARKCTFLRYCNVLNEGLVVVIDVSLDDGSIFSKCRKMPSGFLIQSIRPNSCKVTAIEHVLADDTGVHELYQPCMNGLVFGARRWVATMARQSARMRDVHHNKTAPQVSTKGRKNLMKLADDLLASFAGGITATGGGTWTVVIGAGTEKDIRVAYRRTTEGSSSYNAILSVSASLRLPLPMRKTFDLLRNLTHRCKWDVLVHGSVVKEEVTIARGVGNDDTVTVLHCKRAGREDRGRTMILQNNGYDASGSFMVYSQIDSELMNTMVLSPSDLPPGRGGPSLYPTGFSLLPDVEAAQDSSGIALGEVGGTLMTMGFQIPVKLASGDRMYSRSAASAIRLMTDTIALVKKTLMNEHSGIYGVSPFHP >ORGLA01G0275200.1 pep chromosome:AGI1.1:1:24364410:24365807:1 gene:ORGLA01G0275200 transcript:ORGLA01G0275200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRVQRMQCWVVVACVVASVSTTASAFVFKAGGTGEWRVPDQQANANVSAYNQWAEHTRFRVGDAIAFSYQPGNDSVLLVDKSSYDACNTNTPIDTFADGNTVFTFTRSGPYYFISGNKDNCNRNEKLIVVVMGERAANGTAPAPALAPSAGTTSPNSPPSPPPPPSGIEISPTPEQSINAAARPRAAGIAGAAGLAIGTLFYALV >ORGLA01G0275100.1 pep chromosome:AGI1.1:1:24356515:24359244:-1 gene:ORGLA01G0275100 transcript:ORGLA01G0275100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVASIVDAVFRPLKDYFARTFGYVMSCGDYIDLLGHEMDELKSKRDDVKRLVDVAERRGMEATSQVKWWLECVSRLEDAAARIEEEYQARLRLPPEQAPGLRATYHLSQRADEMFAEAANLKEKGAFHKVADELVQVRFEEMPSAAVVGMDAVLQRLHACVRHGDVGIVGIYGMAGVGKTALLNKYNNDFLINSPDINVAINIEVGKEFSLDDIQKIIGDRLGVSWENRTPRERAGMLYRVLTKMNFVLLLDDLWEPLNFQMIGIPVPKHNSKSKIVLTTRIEDVCDRMDVRRKLKMECLPWEPAWELFREKVGEHLMFSSMEIQEQAKALAMKCGGLPLALITVGRAMASKRTEKEWKHAITVLKVAPWQLLGMEMDVLMPLKNSYDSLPSDKLRLCLLYCSLFPEEFSISKEWIIGYCIGEGFIDDLYTDMDEIYNKGHDLLGVLKIACLLEKGDDEDHISMHPMVRAMALWIASDFGTKETKWLVRAGVGLKEAPGAEKWSDAERISFMRNNILELYERPNCPLLKTLMLQVNPALDKICDGFFQFMPSLRVLDLSHTSIHELPSGISSLVELQYLDLYNTNIKSLPRELGALVTLRFLLLSHMPLDLIPGGVISSLTMLQVLYMDLSYGDWKVDATGNGVEFLELESLRRLKILDITVQSLEALERLSLSNRLASSTRNLLIKTCASLTKVELPSSRLWKNMTGLKRVWIASCNNLAEVIIDGNTETDHMYRQPDVISQSRGDHYSNDEQPILPNLQNIILQALHKVKIIYKSGCVQNITSLYIWYCHGLEELITLSDDEQGTAANSSEQAARICRDITPFPNLKELYLHGLANCRAWCSTTCFLRFPLLGNLKIVDCPKLKKLELSAGNLNAVECTREWWDALEWDDAEVKASYVPLFRPLH >ORGLA01G0275000.1 pep chromosome:AGI1.1:1:24348921:24355726:1 gene:ORGLA01G0275000 transcript:ORGLA01G0275000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1NSB0] MRRQRDEPLLSSPSHRNAYPCRLLSFTLLSLATVLCLCAGAAFLLLSPTATNLCASSPDPASCQAIVADAVLASPHAQPSRPAHVLRAILATSLDRHDAAAEAVAGMRRRASDPRHRAALEDCVQLMGLARDRLADAAGAPDDGDGDDARTWLSAVLTDHVTCLDGLDDGPLRDSVGAHPEPLKSLASASLAVLSAAGRGARGVLAEAVDRFPSWLPARDRTLLDAGAGAVQADVVVAKDGSGKYTTIKEAVDAAPDGGKSRYVIYVKKGVYKENLEVGKKKRELMIVGDGMDQTVITGSRNVVDGSTTFNSATLALSGDGIILQDLKVENTAGAEKQQAVALRVSADRAVINRCRLDGYQDTLYAHQLRQFYRDCAVSGTVDFVFGNAAAVLQGCVLTARRPAQAQKNAVTAQGRTDPNQNTGTSIHRCRVVPAPDLAPAAKQFPTFLGRPWKEYSRTVYMLSYLDSHVDPRGWLEWNGADFALKTLFYGEYQNQGPGASTAGRVNWPGYHVITDQSVAMQFTVGQFIQGGNWLKATGVNYNEGL >ORGLA01G0274900.1 pep chromosome:AGI1.1:1:24343885:24344581:1 gene:ORGLA01G0274900 transcript:ORGLA01G0274900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAAATAPAAAAAAAGAISATWWPGPSWSTTTRRSTPTAPRSPRSTARRPCSPSRGTWWPAPRRSAGSCWGCPSSNAGTPSAPSTANPRRPSREASSSSSVATSSSPARSTSSGSARCFSWCPTSREASSCRMTYSGSTTASSRLRVAAERNRVLGCFGPMWMRDCEKLLRAVSTFSFSSSVLLPSFV >ORGLA01G0274800.1 pep chromosome:AGI1.1:1:24307873:24315985:1 gene:ORGLA01G0274800 transcript:ORGLA01G0274800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKVACKHLVLVHGACIGGWTYFKVATRLRSAGYRVTALDLGASGVDPRPLRVVPTFRDYTAPLLGLLGSLPPGEKVVLVGHSLGGINVALAAELFPDKIAAAVFLCAFMPDHTSRPSHVLEKFIEGKWLDWMDTEFKPQDAEGKLPTSMLFGPQIAQERLMQLCSPEDVTLAGSLLRVSSMFVEDLQKQQPFTEGRYGSVWKVYVVVNQDLAIPEGFQRWMIGNSPVDEVKEIDAADHLVMLSRPDELARCLADIAESYA >ORGLA01G0274700.1 pep chromosome:AGI1.1:1:24295796:24296453:1 gene:ORGLA01G0274700 transcript:ORGLA01G0274700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKERKKNYRWXRQPEGVAQSQEADGSDWKVELGAEYPCIASGGARTVAWTPPNRVLSQLARFKNEIHTRPQDEELIVIK >ORGLA01G0274600.1 pep chromosome:AGI1.1:1:24291760:24294433:1 gene:ORGLA01G0274600 transcript:ORGLA01G0274600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLVRREADRGAAPEFVAVDIGGEAETAGAEAEPKMMESFAGKGLERERSGDANPCTTGVLAVYEKQVVPVHVDGSPKEQFHPSTPTAGGAKRRRTGRRVTGWRDPRKILFAFAALSSVGTLILLYFTLSMGRMTGGQADGQ >ORGLA01G0274500.1 pep chromosome:AGI1.1:1:24288980:24289483:1 gene:ORGLA01G0274500 transcript:ORGLA01G0274500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQPAADGERWRGAHPSPGLRAVVRRRRVGAAERATGAVARVRALARDSERNRRRFVSVGTGRMLAAAFESLAAAALVCMMQLDKEAARVLASSSSMGSRSRRNCQARELAQKAERCTGCDHQGSDLPAGHQGRHGRHHPPGELRQARRDEGSVHGAHPNTHRGPRRR >ORGLA01G0274400.1 pep chromosome:AGI1.1:1:24281785:24283215:-1 gene:ORGLA01G0274400 transcript:ORGLA01G0274400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NSA4] MALLGVLVRAVIIVVAAAAAVSGSGLPVPGFDGLAIGFYHETCPQAEDLVLAEMREIVQEDRTLAPALLRFMLHDCFVRGCDASIMLKSREKIGERDANSSYSLRGYEQIERIKAKLEDECPMTVSCADIIVMAARDAVFLSNGPRYQVETGRRDGKVSCTIDADNDLPPPGSNIVDLKIYFSVKNLGWKDLVVLSGSHTIGRAQCGSFARDRLYNYSGEGRQDPSLNTAYAPELRKACVAGDPFDKTYVDMDPGSPYTFDLSYYRDVYSNRGLFVSDQALLNDRWTRQYVERMASADSTDEYFRDYAEAMTNMGRIEVLTGDNGEIRKVCGAYVD >ORGLA01G0274300.1 pep chromosome:AGI1.1:1:24268669:24273238:-1 gene:ORGLA01G0274300 transcript:ORGLA01G0274300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of yeast autophagy 18 (ATG18) F [Source:Projected from Arabidopsis thaliana (AT5G54730) TAIR;Acc:AT5G54730] MRSGAQAPRGGGGGGAFSKRTLSGYMRIMSSGASTAASSLLSVGASLVNSIASHDEDGSRDQVQWAGFDKLECGGDMLRQVLLLAYRSGFQVWDVEHANDVRQLESRHDGTVSFMQLLKKPIASTNSEDKFADERPLLALACDGTSTGSLISNDGNGPVPNGANGTLHNIGHENHPTVLRFYSLRVHDYVHTIKFRSTVYSIRCSPRVVAVSQANQIHCFDAATLEREYTILTSHIVPPISSYGPLALGPRWIAYSGNPVPVPDTGRVTPQLLNLSPLVPQPGSNGSVVAYYAKESSKQLASGIITLGDVGYKKLSKYCSDLIPSSNNVINQRNSGFKANGATINGHTDSEYAGMVIVRDIVSKSMVVQFRAHTSPISALCFDPSGTLLVTASIHGRNINVFRILPSSHGSSSEAGPNGTCVHLYKLQRGITNAVIKDISFSDDSEWIMISSSRGTSHFFAISPYCGSTSFHYNENNLAENSYAVDSSVNHTAHWSQNSAPSLSLNQKILSVTGPPVTLSVVSRIRNGGNLLKGAVHGAAAFATGVSSPISGAIASTFHNCKGAVKNSDGSSPCMKYHLLVFSPSGSIIQYVLHRSAEQDPGIDFPLSAIPYGSQRETDTRFIIEALQKWDVCHKRNRRDAAESFVYSDFDIGENSKLFQKVAKKGTSVYPSNGTAVEKQKLGDENHNFYISESELQTHVVPTPLWSRSGMHFQVMGDGALEADNTGIISGELEIEKLQTRNIESRSKNLIPVFESLHTSRFQQTRVSTPDSNKYGLLQRQKSGFSEDGRLSCRSSSSSLDCMSEGPISTDDNGFGQCLVEDSGGAVNKNPNVNPRSELVNNTQSLNTEAQLGFVNNKEDCEDREQLPDL >ORGLA01G0274200.1 pep chromosome:AGI1.1:1:24263554:24266463:-1 gene:ORGLA01G0274200 transcript:ORGLA01G0274200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNTKLFPLVAAICISFLSVACASNSTSSHPSSSSSLLTKPPQWREHLLLADSSSHVGLSLNTVAAWLLSFLAASVSSAGGVGGGSLFLPILNLVAGLSLKRATAYSSFMVTGGAASNVLYNLLCTGCGRRAAAVIDYDIALLFQPCLLLGVSIGVVCNVMFPEWLITALFALFLAFCTTKTLRAGLRIWSSESRGATLAVAAATAHGREEPLLLPHGTDAGNGGGARGDAGFPWKDVSVLVMVWLCFFVLHVFIGDKHGKGMIRIKPCGVAYWLITLSQVPFAVAFTAYIIYAKRKKQVLHNQEDGKANPESTKMDTLPTLLFPLAAFVTGALSGLFGIGGGLLLNPVLLQIGIPPQSVVFCRVHDSCSSITILDLQTAAATSSFMVLFCASMSMVQFILLGMQGIGEASVYAGICFVASVVGAVVIERAIRKSGRVSLIVFLVTGIMAVSTVIITFFGALDVWAQYTSGAYMGFKLPC >ORGLA01G0274100.1 pep chromosome:AGI1.1:1:24258791:24260595:-1 gene:ORGLA01G0274100 transcript:ORGLA01G0274100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGTVLACILSFLAAAFSSAGGVGGGSLYVPILNIVAGLSLKTATAFSTFMVTGGTLSNVLYTLIVLRGHEKGGHQPLIDYDIAVVSQPCLLLGVSVGVICNVMFPEWLITALFAVFLASATFKTYGTGMKRWRAETAAARRMLEGGSSLGDGAGEALLGQKDGDGHRRQCVDLMVLVTIWLCFFVIHLFIGGEGAKGVFDIEPCGVTYWLITIAQIPIAVAFTACIVHQKRKSHAQNSQEFDQAISVKSKLESLPVYVFPVAALLTGVMSGLFGIGGGLLLNPVLLQIGVPPKTASSTTMFMVLFCASMSMVQFIILGVDGIVTALVYAITCFVASIVGLVVIQGAIRKSGRVSLIVFMVAAILALSVVVIACSGAVRVWVQYASGQYMGFKMPC >ORGLA01G0274000.1 pep chromosome:AGI1.1:1:24254565:24255446:-1 gene:ORGLA01G0274000 transcript:ORGLA01G0274000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMKAAICIAAAVSLIHVVSAADYTIGSAAGGWGGEYKAWVASQTFSPGDTLTFKYSSYHNVVEVTKDDYEACSATSPVFADSSGSTTIVLTTPGKRYFICGAPGHCQSGMKLVVDVADRPAPATPSPPPLLPPSPRHKRRTAPAPMPLPPAQAPVWSPAPAPAATQRRHSGHKKHRSKHLPPKPAPAMAPTVQSVEADFPAAAFAPMSSPPPPPPMSSDASAVVRQKWRDVIVGLVALGLVVLGV >ORGLA01G0273900.1 pep chromosome:AGI1.1:1:24252236:24252598:1 gene:ORGLA01G0273900 transcript:ORGLA01G0273900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERGRHSGAAAEAGDRRAKCGTVEDEQHASDGRPVKLTIRLVLLWSRKRPPPPDLREKAAMARKRERCGRAAAEAGDCGVEGGAVEGKGAAAAAAMAGKTGRHGGAPVELLYLTLRVL >ORGLA01G0273800.1 pep chromosome:AGI1.1:1:24240046:24240452:1 gene:ORGLA01G0273800 transcript:ORGLA01G0273800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRMHGWVYAHKLVDPMDPDGAGGKAKRRVVHEITKQTANGGFVRVSRKPTNHSKYTGRDPYEAYTKRKTCKGRNKFKHDEIKMYYLDVEGLDDDDEYEEPYTIVFPRKQRL >ORGLA01G0273700.1 pep chromosome:AGI1.1:1:24229433:24231505:-1 gene:ORGLA01G0273700 transcript:ORGLA01G0273700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRRRASAVAGGRAEDEKAASAPAAAAPAPTVWFALKRSLHCRSEPSEVHVPRPKAVAAAAAGGGGHLSAIVTKRAAPRSGCSRSIANLRDVIHGSKRHPERPPSCSPRSIGSSEFLNPIAHEVVLSTNSRCELKITGFGGCGGLAAAAAGGGVLASPHEADGDGAVVSSFVGTLRPGTPGPGWSHGLQYSGSCRGSMRCTPPRSPNVLLDSRDAAAAGVTAHRASCEDAVKSFGKGGGGGGGLSCHRCGEQFSKWEALEAHHLSKHAVTELVEGDSSRKIVEIICRTSLLKSESSCVRIERVFKVHNTQRTLARFEEYREAVKLKASKLPKKHPRCLADGNELLRFHGATLSCALGGAAGSSSLCASDKCAVCRIIRHGFSAKKEGKAGVGVFTTSTSGRAYESIEASAGAVVGGDDPAATRKALLVCRVIAGRVHKPLENLKEFAGQTGFDSLAGKVGPYSNIEELYLLNPRALLPCFVVICKA >ORGLA01G0273600.1 pep chromosome:AGI1.1:1:24217010:24217888:1 gene:ORGLA01G0273600 transcript:ORGLA01G0273600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAASRAQRLSRIFSSSSPSVRPPKPGQVKEAPKPAPTKKAPAPGAEANPNLRRNAIDDIIKGLLRERDPDKLVSGFIAASSTHPRFRARHRVYDVAVSRLATFGRLDGVEAIIDAQKPFLETSKEGFAARLIRLYGHASMASHAAATFHDLPPQLKSTMTFNSLLAAYVEAGEFEALAAAFKEIPVSNPSVVPSVYSYNILLQALCKVPDLSAALDTMTLMEKSGISPDLITFNTLLNGFYNHGDMDGAEKVWEMITERNMVPDAKSYNAKLRGLVAQGRIEDAVASG >ORGLA01G0273500.1 pep chromosome:AGI1.1:1:24216053:24216805:-1 gene:ORGLA01G0273500 transcript:ORGLA01G0273500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHVRRLLNRVSIALAAVATAALLQLFRHSSSSCFVGSPAYSSLSLAPFPRTSCDAASRRVVDPNLRLAKLRSSPRWRRRSAALSTSVFPRLRRLSLLRRSSRVLCVAAGAGQAVDALHVAGVGDATGVDLVDFPPLVRRADPHNLPFFDGAFDVVLSDEPMALTGALFPSRFAAEAERTVRWGGAIALAIERHIDLSTVASLFKKSRVAAAWNATLDGSAATMVILRKNATIQNSIDPQSFTLVYIAS >ORGLA01G0273400.1 pep chromosome:AGI1.1:1:24210921:24211181:1 gene:ORGLA01G0273400 transcript:ORGLA01G0273400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKILPFSSTLGWHSLNVNGEVQKRKGLRWIPRYPETRKGVASDEMLRGVENKHRSGDSQIGQPFELPAESMSRQETTWRTETS >ORGLA01G0273300.1 pep chromosome:AGI1.1:1:24207686:24209922:1 gene:ORGLA01G0273300 transcript:ORGLA01G0273300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPTAKTAPAYGYAPGAHAEALEFIEHVTANAGQVQRRVLGEILAQNAPAEYLRRYGIPGSPDVVDAFRRLVPLVTYEGLQPDILRIANGDTSPIFSGKPISEFLTSSGTSGGERKLMPTIADEMNRRSLLYSLLMPVMSQSVSGLDKGKAMYLLFVKAESRTPGGLAARPGCIVIAWATC >ORGLA01G0273200.1 pep chromosome:AGI1.1:1:24181051:24181716:1 gene:ORGLA01G0273200 transcript:ORGLA01G0273200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSNCLACSSIFSHMCLLSFCKVPETLHEEVLGKMGAPPKPDVPTITPQELTEADGILFGFPTRFGMMAAQMKAFFDATGGLWSEQSLAGKPAGIFFSTGTQGGGQETTPLTAITQLTHHGMVFVPVGYTFGAKMFNMDEVQGGSPYGAGTFAADGSRWPTEMELEHAFHQGKYFAGIAKKLKGSA >ORGLA01G0273100.1 pep chromosome:AGI1.1:1:24175991:24177731:-1 gene:ORGLA01G0273100 transcript:ORGLA01G0273100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSLCCYLLLFVVVVVLTGSCRARDTVVPGRPLAANETLVSGGDANFVLGFFTPPGANSTYVGVWYNKVSVRTVVWVANREDPLPGDVADNPDATLSVSPTGTLAIVAGNSTVVWSVTPAAKLASPTARIMDSGNLVIADGSGGAVAWQGFDYPTDTLLPEMRLGVDYVKGRNRTLTAWKSPSDPSPGSVVMAMDTSGDPQVFIWNGAEKVWRSGPWDGVQFTGVPDTVTYSGFTFSFINNAKEVTYSFQVHNVSIISRLGLNSTVNYGLLQRSTWVEAAGTWNLYWYAPKDQCDEVSPCGANGMCDTNNLPVCSCLRGFTPKSPEAWALRDGRAGCVRSTPLDCQNGTDGFVAVKHAKVPDTERSVVDLGLSLEQCRKACLMNCSCTAYASANVSGGGRGHGAGTGCVMWTTGLTDLRVYPEFGQDLFVRLAAADLGTPSHSTRTVYYALLHTIFSMCLVFVLVPSKSDSTKKIRKR >ORGLA01G0273000.1 pep chromosome:AGI1.1:1:24169307:24170218:-1 gene:ORGLA01G0273000 transcript:ORGLA01G0273000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHRATAKVRVNGAPAEATLFCTDPPGAANGGGGDGGELRWRCAGGAAAERVLSLDADVLGVEARGKEVVVKAFVLPADAAARSVSCAAGAGKGGGGRRRRRRDYVFEMAAGEDAAAAWCDRMRGSLDSLGRPKRLFILVNPFGGKKCGKKIYEAEIKPLFEAAGVNVTMQETRYQGHAREVASSLDLARYDGIVCVSGDGVLVE >ORGLA01G0272900.1 pep chromosome:AGI1.1:1:24162871:24167041:1 gene:ORGLA01G0272900 transcript:ORGLA01G0272900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFGTRSYSVFGDILDAFLILLVLSTCCLSSTITTDSLLPNKQISDGQTIVSANETFTLGFFSPGTSTYRYVGIWYSYVPNRTVVWVANRNNPVLDTSGILMFDTSGNLVILDGRGSSFTVAYGSGAKDTEATILDSGNLVLRRVSNRSRLRWQSFDYPTDTWLQGMNLGFVGAQNQLLTSWRSSDDPAIGDYSFGMDPNEKGDFFIWERGNVYWKSGLWNGQSYNFTESESMSFLYVSNDARTTLSYSSIPASGMVSGLCLGAGQREAAKHIVHVELLASVPEIKTGKTVANAQKDLIQEMGLDGLVEIPGEDDKCSLWYGNIMNLREGESGDAVGTFYLRLAASELESRGTPVVLIAATVSSVAFLIFASLIFLWMWRQKSKAKGVDTDSAIKLWESEETGSHFTSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHGNYGKKEGGPSSLIHPYITHVQSISNRPTMTEIISALDNESTTLPEPKQPAFVSAGIWTEAGVHGGTHSINGMTISDTQDGPGNKCRDGVKTPLAGSCNLQTRVTKPEIKGLFAHGQALWQPNLGENNPTIVGEIPGKRPECDRCFRRVDHRAHNDKDAATLEIADGLPSNISSS >ORGLA01G0272800.1 pep chromosome:AGI1.1:1:24156086:24160865:1 gene:ORGLA01G0272800 transcript:ORGLA01G0272800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NS88] MMRTKSSCRKVITLLMIALWLAGCLGRDSISVNESLSDGRTIVSMKNVFVLGFFSPGASNHRYIGIWHNSLGNKTIIWVGNRNEPLSDTSGVLMFDSNGNLVIVHGGRSLIVAYGQGAKDMKATILDSGNLVLSSTANSSGYIWQSFDSPTDTWLPEMKIGLKTTNQTLISWRSNDYPAMGDYKLGMDPAGLSQFIIWWRDNTFWTSGHWNGDMFSLIPELKFFTTVPISFKCSSNSTNDISCTYSANPSDMLTRIVLNSTGSLSIMQFDSLAQSWILLWRQPSTCEIPNLCGAFGVCNNNAVPKCNCTGGFVPQNIIAYSNGYTREGCIRQTKLQCSSDKFLEIPNVRIPDNRKKMPAVVGPSDCKLACLRNCSCTAYAYSQLEGCSLWYGDLMNLQDGYDIDGAGTLCLRLAASEVESGGNSGSGHKMLWMAGVIPFVALLSFCSLSCLLWRRRRQNKGKEDLHAHCSLTTLDMDSAVKLWESEEAGSQFVLFSFSQIAKSTNNFSAPNKLGEGGFGPVYKGNLPDGQDIAVKRLATNSGQGLIEFKNEVLLIAKLQHVNLVRLIGCCIQGEEKILIYEYMPNKSLDFFLFEKSRRTALDWAKRIHIIEGIAHGLLYLHKHSRLRIIHRDLKASNILLDIDMNPKISDFGMARIFGSKETQANTNRVVGTYGYMAPEYAMQGIFSVKSDVFSFGVLLLEIVSGTRNAGSNRRGRSLNLLGHAWELWREDRWFDLVDPTTRDSCPEHRVLRCVHVGLMCVQENAADRPTMNDVISMLTSESITLPDPKQPAFLSIVLPTEMDAHDESVSQNAITITDLEGR >ORGLA01G0272700.1 pep chromosome:AGI1.1:1:24151992:24152327:-1 gene:ORGLA01G0272700 transcript:ORGLA01G0272700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADKGTGVQFEDFLPSMARKLGVEGLIEELCKGFELLMDPGAGKITFRSLKRNAAMLGLGELRDDELSEMMREGDLDGDGALDQMEFCVLMVRLSPELMQDESHRAFQC >ORGLA01G0272600.1 pep chromosome:AGI1.1:1:24149745:24151598:1 gene:ORGLA01G0272600 transcript:ORGLA01G0272600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:frataxin homolog [Source:Projected from Arabidopsis thaliana (AT4G03240) TAIR;Acc:AT4G03240] MASRKLVLGLTAARRLRSRAPVLVSSSPLLEASTSTSAATAATAAAAATRGSGLGPWGLFLSSRALSSTRPVYLSAGDAPGSSAADHRSILPEDEYHKLADETIHDLLEKLEEYGDSLQMDGFDIDYGNQVLTLRLGELGTYVVNKQAPNRQIWLSSPVSGPSRFDWDASTNCWIYRRTGANLVELLEKEIGELCGTPVELS >ORGLA01G0272500.1 pep chromosome:AGI1.1:1:24144981:24147566:-1 gene:ORGLA01G0272500 transcript:ORGLA01G0272500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSSPSAGGGGGGDVSASSPTLPPVRLAAAQAASAAAIHPTSPRYFFSSLAGTNASPHRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVSDDADGEVAPAASAEELQKKREEDFDAFTSTKAEDLAQPLVDAQIPFKIHVVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRKGGKGRLGSVSDYCVHHCVCPVVVVRYPDDAAGADGEAAGPTDELHTVPEDEPVYHDAPDVQKEN >ORGLA01G0272400.1 pep chromosome:AGI1.1:1:24143241:24144006:-1 gene:ORGLA01G0272400 transcript:ORGLA01G0272400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPSSPKGQNSNRRRARELRREGVGPAMDEGLVSVDKFSGGSQAYFLTHLHQDHTRGLGAAGGWRHGPLYCSPVTARLLPTRFPGVDASLLRALAPGASASLSLSSPSTGRAVSVVVTAIPALHCPGSLMYLFRGDLGCMLYTGDFRWELRCKRARAAKKALLDALAGDTVDVLYLDNTYCHPSLSFPPRPIVAEQVSFAASVSNVWRPCQFLPF >ORGLA01G0272300.1 pep chromosome:AGI1.1:1:24139046:24139364:1 gene:ORGLA01G0272300 transcript:ORGLA01G0272300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLASFGLRAADPQMPHRHIVSTRRRYMLVAVEVRIYARNAPDWLMATKSLGISENVVGLFGAVGFAQPAR >ORGLA01G0272200.1 pep chromosome:AGI1.1:1:24131759:24136207:-1 gene:ORGLA01G0272200 transcript:ORGLA01G0272200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:I1NS82] MKNNLVKNNMLKEFYIPTYIFVPESPVEKVSQIPSCPVIVFINTKSGGQLGHDLIVTYRKLLNTSQVFDLLEEAPDKVLHKLYGNMERLMRDGDTVAAEIHRRLRLIVAGGDGTAGWLLGVVSDLKLVHPPPVATVPLGTGNNLPYSFGWGKRNPGTDEKSVLSFLQSVRQAKEMKIDSWHIVMKMESPKSSTCDPIAPLDLPHSLHAFHRVPNNPQDKEYSCTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLSNQKTYLKLACTQGWFCASLCHPMSRNIAHLSKVKIMKKSGKWETLEIPQSIRSIVCLNLPSFSGGLNPWGTPSERKQRKRDLVMPPLVDDGLLEIVGFKDAWHGLVLLSPKGHGTRLAQAHRVQFKFHKGATDHAYMRLDGEPWNQPLPKDDGKVLVEISHAGQVKMLATKNCIAKGIHEALAMSTVHPESSSSSDDTDDDDDFAEERKNFGAALSFRYMDDVTKE >ORGLA01G0272100.1 pep chromosome:AGI1.1:1:24127632:24129686:1 gene:ORGLA01G0272100 transcript:ORGLA01G0272100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVTSFPHAIPKPHHHLHAAHHAHLAAAATRPEAPSASSPNPANARLRRLIARDDLAEAARLVDRSTSRGDAPDVYLCTKLIRNLCRRGRTSDAARVLRAAERSGTAVDVFAYNTLVAGYCRYGQLDAARRLIASMPVAPDAYTYTPIIRGLCDRGRVGEALSLLDDMLHRGCQPSVVTYTVLLEAVCKSTGFGQAMEVLDEMRAKGCTPNIVTYNVIINGMCREGRVDDAREFLNRLSSYGFQPDTVSYTTVLKGLCAAKRWEDVEELFAEMMENNCMPNEVTFDMLVRFFCRGGMVERAIQVLEQMSGHGCAANTTLCNIVINTICKQGRVDDAFQFLNNMGSYGCSPDTISYTTVLKGLCRAERWEDAKELLKEMVRKNCPPNEVTFNTFICILCQKGLIEQATMLIEQMSEHGCEVNIVTYNALVNGFCVQGRVDSALELFYSMPCKPNTITYTTLLTGLCNAERLDAAAELLAEMLQKDCAPNVVTFNVLVSFFCQKGLMDEAIELVEQMMEHGCTPNLITYNTLLDGITKDCNSEEALELLHGLVSNGVSPDIVTYSSIIGVLSREDRVEEAIKMFHIVQDLGMRPKAVIYNKILLALCKRCDTDGAIDFFAYMVSNGCMPNELTYITLIEGLVNEDFLKETRDLLHELCSRGVLNKNLLEEWRPKFSNQTVHLP >ORGLA01G0272000.1 pep chromosome:AGI1.1:1:24125551:24125936:-1 gene:ORGLA01G0272000 transcript:ORGLA01G0272000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGAAALAIIASLLVAVTLADARLTAHPGYVVVEDVKAPVPALTCNKVHGVQASETCFSVTQSAGLTQDQFLAFNPNINCAKVFVGQWVCLDAAAA >ORGLA01G0271900.1 pep chromosome:AGI1.1:1:24122653:24123155:-1 gene:ORGLA01G0271900 transcript:ORGLA01G0271900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTATGLLVAFLFVAAVAIADGRSLSVAHEKFHGDGGDHHYVVPENAMTPLPLICTGVHGVEAGETCDSISRRFHAGLGRAPFFRLVSLNPNINCRELFVGQWVCIQGLLPV >ORGLA01G0271800.1 pep chromosome:AGI1.1:1:24119392:24119892:-1 gene:ORGLA01G0271800 transcript:ORGLA01G0271800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGHKRAVTANADIGFMDYVSSKCLSAAELSSDGPCKTPLLKIMKTCAFTSALRSKPRVNKSASVKCAADMVENSSEFSNGSGLRCLLLEKQEVFMYFDNNACSKKLHGXTGALIDNCRGRNIKLDMGVLCN >ORGLA01G0271700.1 pep chromosome:AGI1.1:1:24113551:24117747:-1 gene:ORGLA01G0271700 transcript:ORGLA01G0271700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATASSSAAASSAHGVGVVQRLWLEEQERKPPPKRGGGKRRWAWAPLEPRRAGWWAREWDRTYLLACAGGLMVDPLFLYAVSVSGPLMCVFLDGWFAAAVTVLRCTVDAMHAWNLLMRLRAAVRPPEEDDGADEEVAAERGAGGNGGGPAPAQVARPVSRKGLMLDMFVILPVMQVIVWVAAPAMIRAGSTTAVMTVLLVSFLFEYLPKIYHAVRLLRRMQNTYVFGTIWWGIALNLMAYFVAAHAVGACWYLLGAQRATKCLKEQCAQGGSGCAPGALACAAPLYYGGAVGGVGADRLAWALDASARGTCLDSGDNYQYGAYKWTVMLVANPSRLEKILLPIFWGLMTLSTFGNLASTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNAATSKKQAMQTRLRGVEWWMKRKKLPQSFRHRVRQHERQRWAATRGVDECRIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLVFPKGEIIVREGDPVQRMLFIVRGHLQSSQVLRTGATSCCTLGPGNFSGDELLSWCMRRPFLERLPASSSTLVTMESTEAFGLEAADVKYVTQHFRYTFTNDRVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNPNQDDLV >ORGLA01G0271600.1 pep chromosome:AGI1.1:1:24101026:24103187:-1 gene:ORGLA01G0271600 transcript:ORGLA01G0271600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSGSQGHNVNGGQKKVQHASPLTLNNGSKHRPLTPMRRCRGVACVVIILSTAFTLIVFIAPITTFLVRLVSVHYSRKATSVLFGMWLSLWPFLFEKINKTNVVFSGESVLPKKRVLLFANHRTEVDWMYLWDLALRKGYLGYIKYILKSSLMKLPVFSWAFHIFEFIPVERKWEIDEAIIQNKLSAFKDPRDSLWLAVFPEGTDYTEKKCIKSQEYASEHGLPILKNVLLPKTKGFLCCLQELKSSLDAVYDVTIAYKHRLPDFLDIIYGTDPSEVHIHIRTVKLCDIPTSEDEVTDWMIERFRQKDQLLSDFFMQGHFPDEGTEGDVSTLECLANFIAIVSSTGFFLYLSLFSSVWFKVYVLLSCAYLTFVTYFSIQPPQLICSSEGGTHAKKVL >ORGLA01G0271500.1 pep chromosome:AGI1.1:1:24094694:24098616:-1 gene:ORGLA01G0271500 transcript:ORGLA01G0271500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXYFIVVNGILQRMDWEEAMKIPIGVVPAGTGNGMAKSLLHSASETYSVPNAVFAIIRGHSQSLDVCTIVQGRKKFFSVLNMTWGLVADIDIESEKYRWMGSARFDFYALVRIMNLRKYYGSIQYVPAPGYEAYGDVVKQVENCTVECQEQIGKSLCSYQGPSVEFQGSEWRSLDGPFVSIWINNVQWAAESIMAAPGAKFSDGYMDAVIVRDCPKADLLALLMKMGDGSHVKSPYVTYLKVRCLRLSPGQLVENPKRGGIIDVDGEAIARGEGTYGKNQKQDVMGYGPSIQMTVHRALATMYCPK >ORGLA01G0271400.1 pep chromosome:AGI1.1:1:24089237:24093106:1 gene:ORGLA01G0271400 transcript:ORGLA01G0271400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLAGLHLAARPIFEKLLAEASTYLGVDMMCEFHELETTIMPQFELVIEAAEKGNHRAKLDKWLKELKEAFYNAEDLLEEHEYNILKHKAKSNGSLGKDSTQAHASSISNILKQPLHAVSSRLSNLRPENRNLLRQLNELKTILAKAKEFRELLCLPAVNSVPDSIVPIPVVPVATSLLPPRVFGRDMDRDRIIHLLTEPTAAVSSSAGYSGLAIVAHGGAGKSTLAQYVYNDKRVQEHFDVRMWVCISRKLDVHRHTREIIESATSGECPRVDNLDTLQCRLRDILQNSGKFLLVLDDVWFDKSNNEREWDLLLDPLLISQKSGSRVLATSRRDILPAALRCKDVVRLEYMEDTEFLALFKHHAFSGTENIDAQLRARLEKIAEKIAKRLGQSPLAARTMGSQLSRNKVITLWRSALNIENLSEPMKALTWSYLKLDSRVQRCFLYCSLFPKGHKYKIDEMVDLWVAEGLVDSRNQGGKRIEDIGRDYFYEMVSGSFFQPVSEKYIGTWYIMHDLLHDLAESLTKEDCFRLEDDGVEEIPGTVRHLSVRVESMEYHKQKICKLRYLRTVICIDPLMDDGDDVFNQILKNLKKLRVLYLSFYNSSRLPECIGELKHLRYLNIIKTLISELPRSLCTLYHLQLLQLNKKVKCLPDKLCNLSKLQRLEAFHDRIDKLINASLPQIPYIGKLTLLQHIDAFLVQKQKGYELRQLGDMNELSGNLRVVNLENVSGKDEASESKLHKKTRLAGLHLSWNDVDGMDVSYLEILEGLSPPSQLEDLTIEGYKSAMYPSWLLDGSYFENLEHFMLVNCCGLESLPPNTEIFRHCVRLTLKNVPNMKTLSFLPEGLTSLSIVGCPLLVFTTNNDELEHHDYRESITRANNLETQLVLIWEADSYSDIRSTLSSEQSSMKKLTELMDTDISGNLQTIESALEIERDEALVKEDIIKVWLCCHEERMRFIYSRKAGLPLVLPSGLCVLSLSSCSITDGALAICLGGLTSLRNLFLTEVMTLTTLPPEEVFQHLGNLRYLVIRSCWCLRSFGGLRSATSLSEIRLFSCPSLQLARGAEFMPMSLEKLCVYSCVLSADFFCGDWPHLDDIHLSGCRSSASLYVGDLTSLESFSLYHLPDLCVLEGLSSLQLHHVHLIDVPKLTTESISQFRVQRSLYISSSVMLNHMLSAEGFVVPGFLSLESCKESSVSLEESANFTSVKCLRLCECEMSSLPGNMKCLSSLKKLDIYYCPNISSLPDLPSSLQHICIWGCELLKESCRAPDGESWPKIAHIRWKEFR >ORGLA01G0271300.1 pep chromosome:AGI1.1:1:24071983:24072624:1 gene:ORGLA01G0271300 transcript:ORGLA01G0271300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 28 homolog [Source:UniProtKB/TrEMBL;Acc:I1NS73] MEVKLWNDKRERELLESLADLYAIIKATEKLERAYVRDLVSAADYEAECLKLISQFNSLSSSLAGVVTIPRFVEAYRLDCPAALNRLVQSGVPATVELRAAASSSAPASSAASAAAIAQCVQSFITAMDAVKLNMLANDQVRPLLHDLSTSMGKLGPVLPPDFEGKVKVSEWLAKLNKMGAGDELTEQQARQLNFDLDSAYSAFMASLPSTGL >ORGLA01G0271200.1 pep chromosome:AGI1.1:1:24059226:24063508:-1 gene:ORGLA01G0271200 transcript:ORGLA01G0271200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANGGGAALFSEEELRDVSGVRRCEDFVEVTCGCTSHRYGDAVGRLRVYASGDLEVSCECTPGCREDKLTPSAFEKHSGRETAGKWRNTVWVMVQGEKVPLSKTALLKYYSLSHKSANGSNKGRNGRLSHRDEFIHCTECGKERRFRLRSKEECRIYHDALAKPNWTCADLTTDRVTCGDEEERASRKVLRGCSRSTSCTGCVKCVCFGCEICRFTDCGCQTCVDFYHNSKE >ORGLA01G0271100.1 pep chromosome:AGI1.1:1:24050261:24053030:-1 gene:ORGLA01G0271100 transcript:ORGLA01G0271100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKLGSKPEIFVLEGLTWRCMTELESDVVVEVGEMSFYLHKFPLLSRSGVLQRMISEYQAPQEDGGGGGGMCTLQLDDIPGGAKAFELAARFCYDVKIELNAHNVVCLRCAAEYLRMTDDYAEGNLITQAESFLADVLANWKDSIKALETCEGVLPTAEDLHIVSRCITALASKACASDAAAAASASAVAVAAKNASYDALWNGIGSGGTPRGGGGGGAAGAAAGCSGMDWWYEDVSFLSLPMFKRLIQAMEGKGMRPESIAGAIMFYAGRFLPGLKRNTSFSNASFGGDCGAGSRSITPRAANVSAPSEGDQRYFLEEIVALLPAKKGVASTRFLLGMLRTAMLLHASPLCRENLERRIGAQLEDACLDDLLVPNLGYTVETLYDIDCVQRILDYFMSSTDGLGTGYTSPAVVEEGSQLGAPHAGSPSSLSPITMVAKLMDGYLAEVAPDTNLKLPKFQALAAVVPDYARPVDDGIYRAMDIYLKSHPWLSESEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTSIAGWFFVSGNAAAGGDGAPQPHPGGSAIVPKGAAAAGQSDADAEADEGKGKELPAEAITDVKARVSELEKECMSMKQEIRRLGKPRRSWSLLTRKCGFGTKVQQAQPTMSGK >ORGLA01G0271000.1 pep chromosome:AGI1.1:1:24044678:24049698:1 gene:ORGLA01G0271000 transcript:ORGLA01G0271000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:I1NS70] MAGRYDRNPFDEDDVNPFAGGSVPPASNSRMPPLPHEPGFYNDRGATVDIPLDSTKDMKKKEKELQAKEAELNKRESELRRREEAASRAGIVIEEKNWPPFFPIIHHDISNEIPIHLQRMQYLAFSSLLGLAACLFWNIIATTAAWIKGAGVMIWLLAIIYFISGVPGAYVLWYRPLYNAMRTESALKFGWFFLFYLIHILFCIWSAVAPPFPFKGKSLAGILPAIDVIGNNAIVGIFYFIGFGLFCLESLLSVVVIQQVYMYFRGSGKAAEMKREAARGAMRSAF >ORGLA01G0270900.1 pep chromosome:AGI1.1:1:24036070:24043520:1 gene:ORGLA01G0270900 transcript:ORGLA01G0270900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:I1NS69] MDPEKRGYRLQEFVAHDAEVRSLSIGKKSSRVFITGGSDRKVNLWAIGKQTPLLSLSGHTGSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTSVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIRTIRFTPDGRWVVTGGEDNIVKVWDLTAGKLLHDFKFHSGHIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPEATGVRSTVFHPDGKTLFCGLDQSLKVFSWEPVRCHDVVDMGWSNLADLSIYEGKLLGCSYHECRVGVWVADISLIGPYALGVLPKANFFAELVHSLDDNPSKTIDTTAKSIPALATTHPKNLYKVKETGTAESGIRGSNLTPASMDKTKRDKSGATPRRPDSSFKSSIQSSTPMRRMKAADSPYTNRKTVERNFAQRDASLASRTGTANNSSTVKKGHLAESVSVKDIYTTPQTVSVPVVMPRDILEDKTAGSISGGIRGRAAVADDFHAPVHSRKLSVNSFVGDSVNSTKSMLTDPDVCSEGFSGLKFSFGLTPYYKKEEYDNVDKVDSMDKGDNTQMTEKLDRTVSLEHQLQSNDTSESPCSTTETTKVKYVRGVAVPLGKTKSLVERWEKREATNVECSPPTGSCGDRAVRSDGPSSFSAEPSQAYEKDLSTIDEAMIPINLMQNHDEFINAVKSRLTKLEMMRHVFDQNGIKGAIAAVAKLPDNAVQADVVSTLKGKLDLFNLDIFLSFLPVLAGLLTSKAERHAIVSLELLLDLIKIFGPVIRSTLSAHSAVGVDIQAEQRLQRCSRCFNHLQKIQQVLHPLIMRGGQSAQLAQELNLSLQDLVVI >ORGLA01G0270800.1 pep chromosome:AGI1.1:1:24015439:24016322:-1 gene:ORGLA01G0270800 transcript:ORGLA01G0270800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSKKRRKQLASAAVFSRRCKPPAEARDPPPPPPLPEEEKVKEVLSETPSAKVRAEAKPVANVAVLEEPEAEKQAPKPSADADVTVSDLGSCMSLATDDRSEAASESSVATSSVTGPERSPGKPARRKRPVSVSGELAHAISRRDRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPPPQPEEDAMTADGEPSIADAASAGGDGEGKESLDNPLVSLECFIFL >ORGLA01G0270700.1 pep chromosome:AGI1.1:1:24012925:24013323:1 gene:ORGLA01G0270700 transcript:ORGLA01G0270700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAASHELVVSVAEIPKMRTLDVGSSDVPTSRVSDSTVAGDGRHSEATRHQVWREHDLQHYHILSEERMEGNLLDGEGIHEAGNLVVVEGKPVVPDDDAVHCHRGREDDGEALVKSNGNLGGHTHQVETCW >ORGLA01G0270600.1 pep chromosome:AGI1.1:1:23998682:24008209:-1 gene:ORGLA01G0270600 transcript:ORGLA01G0270600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAAAADFDGGFGGAGEDNLSMPLGDFMAFLDNEDWKEQQHEGNQGLEMPVDSTSSENAFQNHEEIFENKENWSNYSHTDPSHSQMDVMVELNNGGESFDHSEDTSYRLLSNDFLENSRNGNPEMHLPMDALNHAKTVDEEIVPPYEDYTNGLYYDSGCDMFAEQSGLSEVKCEGTGPMLGNSEQEGNHFTSVPMFDHSAVIPDIPYTELNIGDVPGSMQNGNGSCLTVQGEYLQGEYQEYPQPDYGSFDMANEIVLHDLPQNNQSYELEQLPQNICESSSMQVGSPDQYCDDTSLSDYYMDDVSSIESMSSEQNRSEDICFRSESSTDSSPVPSSRNSTTEDADKYFGDAPKHLQNSMFPVSTQHQHSFMNSSDPMHPTFHKKYDIPRNGSSSILGNSSRNCFSLDSNRDSDLCILEGSRSLASGHVLPPQGLQHNFQQSVCANPNLPRFGGRYRPHEERMTLRLALQDISQPKSEANPPDGVLAVPLLRHQKIALSWMVQKERNGSSCSGGILADDQGLGKTVSTISLILTERSPVPSSAVKQEPCEAVNLDDDDEDDDVEPHLKKPALAHLADTCKPEATSSTIKTENPIANVKARPAAGTLVVCPTSVLRQWADELRNKVTSKANLTFLVYHGSNRTKDPNDLTKYDVVLTTYSIVSMEVPKQSSPDSDDEEKGKPDRYGAPVGSSGSKKRKTSSSKKNKSGSTPESKLPEKPLAKVAWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVEDLYSYFRFLRYDPYAEYKKFCFMIKTPISRNPITGYKKLQVVLKTVMLRRTKATMLDGKPIISLPPKTVSLKTVDFTSEERAFYNTLEAESREQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPHLVRGHESTSSWISSLEMAKKLPVERQQSLLVCLQSCSAICALCNDAPEDAVVTICGHVFCNQCILEQLTGDDSVCPVSNCRVRLNSTSLFSRGTLECALSRSTCEFLSDDSCEDMVQGKQPRFDSSYASSKVRAALDILLSLPKLDLTHMSDDKNKIVHPDKINGNSTPSEYADTKITEKAIVFSQWTRMLDLVEVHLKSSHLSYRRLDGTMSVAARDRAVKDFNTNPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKREMVASAFGEDKSGAHQTRLTVEDLNYLFMV >ORGLA01G0270500.1 pep chromosome:AGI1.1:1:23995052:23997142:1 gene:ORGLA01G0270500 transcript:ORGLA01G0270500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAAAAAAPFLLLVALLLLLPSPAAAFSFTYNFTSADTAPSGIAFQGDAFFNKFIRLTRDERIGPITSSAGRAFFSRPVPLCDPVSRRRASFSTAFSFSIAAPDPSAASGDGLAFFLSPFPSVLPNSSAGGLLGLFNSSSCGGAAAAHPRPLVAVEFDTYKNEWDPSDDHVGVDLGGIVSAATVDWPTSMKDGRRAHARVAYDGQAKNLTVALSYGDAAAAAALTDPVLWYAVDLMEYLPDAVAVGFSAATGEAAELHQVLYWEFTSSIDTKEETVILWVVLGLCGLLLVLVAAGVLWFVSQWRKAGELADGDIDDEMGYDELADEEFFVESGPRRFRYSDLAAATKNFSDERKLGQGGFGAVYRGFLKELGLAVAIKRVSKGSTQGRKEYAAEVRIISQLRHRHLVRLVGWCHEHRGDFLLVYELMPNGSVDRHLYGGGGGSKKAGGAAPPLSWPTRYNVALGLASALLYLHEECPQCVVHRDIKPSNVMLDATFSAKLGDFGLAKLVEHGSQPHTTVLAGTLGYLAPECVITGRASRESDVYSFGVVALEIACGRRPAELDEEDPSKARLVPWVWELYGKRAILEAADQRLNGKFDLEQMERLMVVGLWCAHPDHAHRPSIRQALNVLKFEAPLPSLPPKMPVPSYFPPPDLVAPVSVEGTSSTDGPGVSECGSSGSNAGGGSGINDRLLDP >ORGLA01G0270400.1 pep chromosome:AGI1.1:1:23982752:23992560:1 gene:ORGLA01G0270400 transcript:ORGLA01G0270400.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQAAMSAAAAASNVEFIRSRSDKREYRRVVLPNALECLLISDSDTDKAAACMEVGVGSFSDPEGLEGLAHFLEHMLFYASEKYPGENDYSKYMIEHGGYCDAYTYSETTTFFFYVNAANFEEALDRFAQFFIKPLMLQDAVLREIKAVDSEHKKNLLSDSWRMYQIKKKVKNSMHSTFHSFAMXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDMKFAVQLVIKAIPISEGDYLNISWPVTPNIHFYKEGPSHYLSHLIEHEGEGSIFHIIKELGWAMNLSAGEGSDSAQYSFFSISMRLTDAGHEHMEDIIGLVFKYILLLKENGIHEWIYDELVAINETEFHYQDKVHPISYVTDIVTTMQSFPPEEWLVGASLPSKYAPNRINMILDELSAERVRILWESKKFEGTTDSVEPWYCTAYSVENVTPSMIQQWIQKAPTEKLCIPKPNIFIPKDFSLKEAHEKVKFPAILRKTPLSRLWYMPDMLFSTPKVHIVIDFHCPLTSHSPEAVISTSLFVDLLADYLNAYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFCALKETAVKDYQNFKFSQPYYQASNYLSLILEDQNWPWVEKLEALSKLEPDSLAKFIPHLLSKTFLECYIQGNIEPNDATSIVQEIEDTIFNTPKSVFKSMSPSQYLIRRVITLENELKCYHQIEGLNQKNENSSVVQHIQVHLDDALSNIKLQLFALIARQPAANQLRTIEQLGYIADLYVRSDRGVRALEIVIQSTVKDPSYLDARVDEFFKMFENKIHELSDKDFKRYVKSLIDSKLEKSKNLWEESDFYWAEIEAGTLQFDRGRSEVSLLRELKKEEFIEFFDQYIRIGAPQRKTLSVQVFGGKHLAEFKKAIAEADAPKTYRITDIFGFKRSRPLYRSLKGGPGRMTMD >ORGLA01G0270300.1 pep chromosome:AGI1.1:1:23954260:23959517:1 gene:ORGLA01G0270300 transcript:ORGLA01G0270300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKVAAAAAATPPRGSSKAGGGVISEIREKHKLELENLTLTKHPFRTLRFFMLAMLQYLKRLATYILSKGALFVVLIVLVLAPGILLAVTDGLHKKHVQEFLNYARFVLWWVSLGVASSIGLGSGLHTFVLYLGPHIALFTIKAVQCGRIDLKTAPYDTIQLKQGPSWLDKKCSDFGPPVYQASAHSVRIPVFELLPQVQLEAVLWGIGTALGELPPYFISRAARLSGSEPEAVKELDAAASDEHGPIASTLNRTKRWLLSHSQHLNFITILILASVPNPLFDLAGIMCGQFGIPFWEFFFATLIGKAIIKTHIQTLFIISLCNNQLLYLMEKELIWIFGHIPGFSASLPSVIAKLHSAKDKYLSTPTSATSSSKMEDTQWNFSFTLVWNTVVWLVLVNFFIKIVTSTAQEYLKKQQDIEMELITDSSPQSQSKTN >ORGLA01G0270200.1 pep chromosome:AGI1.1:1:23944827:23945161:-1 gene:ORGLA01G0270200 transcript:ORGLA01G0270200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLRSLLSPLRRLWCHMNAVQRKKRGIYILYDDVKSCPCEDVHVLWSILVESHGLPPPTPMTTPAPAPALRPTR >ORGLA01G0270100.1 pep chromosome:AGI1.1:1:23936572:23938698:1 gene:ORGLA01G0270100 transcript:ORGLA01G0270100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGGSQKGGGGGGGEARRINVVYFLSRGGRTDHPHLFRVNHHRAGVRLRDVKRWLSELRGQDMADNFSWSYKRKYKAGYIWQDLMMDDDLITPISDNEYVLKGCDVRRATESPKEKSSSLAEEPKKLDSQEVKVACDQKQVEEVTTTTAPDSDERSPKTLPPVDEDSPGEPASRSTAPLKKDLPRGLREERKKQQREVVKVVSKAVVVPAPAPEQKVKGAASGRISSHTPPAVGSARRMHLAQLLHNLLTCGAADADDTALRPVLRHGADDDGGDWPPTPVCPGIDGCGLRVGKKVKVRRGRKDKAKPKRDGGDSHKPASLPRCSQCGKEFKPQELHSHMQSCRVFREKMRSSTSSRVSVDRGRTSAAARPEHRRTRSKGAAAAAPGDTSDRPSAVLLLRDS >ORGLA01G0270000.1 pep chromosome:AGI1.1:1:23930042:23930450:1 gene:ORGLA01G0270000 transcript:ORGLA01G0270000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRRYGYSYPPPQGYYNGPPVMAPPQYAAPPPRRPEPSFLEGCLAALCCCCLIDECCCDPSVIFVT >ORGLA01G0269900.1 pep chromosome:AGI1.1:1:23924948:23928539:-1 gene:ORGLA01G0269900 transcript:ORGLA01G0269900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAEEEEEEAVWAWSWGAGTDGQLGNGGFQDHHLPQPLLLPPRCRGLVSFVAGGGAHAIALTSDGEVFTWGRGTHGQLGHGDVENIPHPKLVKFFENYMITCVSAGWNHSGFATDSGQLFMCGDGSFGQLGAGDNHPRNLPFEVAYFTTKHVVRLALGMRHSLVLLKDNSVYGFGSTRRGQIGRCTRNQKFYDVPRIIDGFPDCKIGNIYANGDHSAALDESGQLYIWGRALIGEHDDDQPRPVFPSLGISQVALGWHHALVLSGELYTIGAYRHRKLDPHVLPSAEPVQRLNPATTSHSHDESSSSSTLVKVPCVDGEQVVHIAAGTEHSASVTDKGTVFTWGWGEHGQLGLGDTCDQVTPQRVNIGDKRPCSSASVNVYCGSGFTIAVNSGLESD >ORGLA01G0269800.1 pep chromosome:AGI1.1:1:23905860:23920949:-1 gene:ORGLA01G0269800 transcript:ORGLA01G0269800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNDGCDGGGKIKIGVCVMEKKVWCSPMEQILERLRAFGEFEIIIFGDKIILEDPIESWPLCDCLIAFYSAGYPLEKAEKYAALRRPFLVNELDPQYLLHDRSKVYEHLKLFGVPVPTYAVVRREYPNQELKYFVEQDDFIEIHGKRFYKPFVEKPIDGDDHNIMIYYPSSAGGGMKELFRKVGNRSSEFYPDVRKVRRGGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNSDGKEVRYPVLLTPTEKQIARNICQAFGQAVCGFDLLRCDLGEARSYVCDVNGWSFVKSSHKYYDDAACILRKMFLDDKAPHISSTIPANLPWKVSEPVQPFDAVRDRERGTVGISRQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLKLMLKYNGGKAHAEAKLKSALQLQDLLDATRILVPRARSGRESDSDAEIEHAEKLRQVRAVLEEGGHFSGIYRKVQLKPSNWVHIPKSNGNGKEEYPIEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGSIEIDEAKARLHNIILSSKIANGETMEFPWMVDGAGVPPNAANLLTNLKLAHLKQPNVKIITSIMVTNKLPLSQLSNQAQLTKEITAQVKLLSDNEDEEAVTDSDSPSHPYDQAKALGKTAIDMDRIASGLPCGSESFLLMFARWKKLERDLYNERKKRFDTTQIPDIYDSCNILDRYDLLHNSHLKLNGLSDLFRVSQSLADGVIPNEYGINAKQKLKIGSKIARRLLGKILIDLHNTRREVAAAGGESNACHDPTIVPSSKRKDRGYYGDVKNEGFDRPNSNKKSIDLDDSHKETKYCLDPKYANVMEPERRVRTRLYFTSESHIHSLMNVLRYCNFDESMDGEESLVCKNALDNLFKTRELDYMSYIVLRMFENTEVSLEDPKRFRIEMTYSRGADISSLQFLKNVSIQSEHGKDSLLPDDHTMKIMEPERLQEVGSYLTLDKFDKMVRPFAMPAEDFPPAAPSQSLAVRFCKDNGLQGARLVPYPVLYHKMILPFYFRENSI >ORGLA01G0269700.1 pep chromosome:AGI1.1:1:23902846:23904340:1 gene:ORGLA01G0269700 transcript:ORGLA01G0269700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGPPELEADELEAPPAPVQAPSAAAAAASEPLLVMVAGLPPGCGVMELKSRLGAYGPIARTRIDAASATGYVTFRSAAAAMAAIAASLDPDGGVTVGSKKTYFALYFSRNASALWLCSRHKINRTKFLTDSGEAIACYTCFEPFGTLALRYSDCWFFHGLQVLVVQASEAPNDLRSTAQANPVGENTHDATKRNASESSAFSSTKAAPEGTYKAREIVAYDDLF >ORGLA01G0269600.1 pep chromosome:AGI1.1:1:23895219:23901006:1 gene:ORGLA01G0269600 transcript:ORGLA01G0269600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G29630) TAIR;Acc:AT1G29630] XXXXXXXXQLKSIMAPIGVEALKGQTVAVDTYSWLHKGALSCGDRLCKGLPTTRHIEYCMHRVNMLRHHGVKPILVFDGGHLPMKGDQETKRERSRKENLERAKEHESAGNSRAAFECYQKAVDITPRIAFELIQVLKQEKVDYIVAPYEADAQMTFLSVNKLVDAVITEDSDLIPFGCSRIIFKMDKFGQGVEFHITRLQRCRELDLNGFTMQMLLEMCILSGCDYLPSLPGMGVKRAHALIQKLKGHEKVIKHLRYSAVSVPPQYEENFRKAIWAFQFQRVYDPVTEDIVHLSGIPHGSSEDLDFLGPWLPQTVAKGIAQGNIDPITKEPFEGKTESSALAFDKVHLNRESSAPSNGKKKLDLPVQRNVLTNYFCLASLEAKRKFRAPKVTPKQQVLNGSLPSPRIEDSGTPDSIEDTSLPSNNIQVYQCSSEHFSSGTPLDDSINTASQCSSERVRCDIPRDDSASVSPQCSHDIGSDPAEDPDIEGNKVKVNFCNRSTIPTGSFLEGTLPGISDPFLDSHNTEPSRAAPRYAVKSNVVSANRNITVRSSYFKTVNKRVCTNQGEDECHDEDNCETGNYTLPGDQQRSSGGILKRRKFSDPQNFEDGMFQPTSPHESPPVADKGCDSDSHDGINTNSEGKFGCNVAHVNKYSGIAEKSMDKFAALISSFRYAGSRASGLRAPLKDVKNTLPVRSVLRPPEQRFGCTAKKTTRVPLQSRFSSDATNSTDVPDLSTFAYRPTTASAHSDQGKITSKATDAAAGPPDLRTFAYAPTRSTTSRFDQSENTRKAMCTADSPPDISTFEYKPMKSAVRRSDGSKFSGAALKAARRTSRS >ORGLA01G0269500.1 pep chromosome:AGI1.1:1:23888451:23893591:1 gene:ORGLA01G0269500 transcript:ORGLA01G0269500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPEFAAVAAVAVVSALVLAAGAGAAPLPAALRLERALPHKGVAVEHLRERDRARHGRRGLLGGGGGGVAGVVDFPVEGSANPFMVGLYFTRVKLGSPPKEYFVQIDTGSDILWVACSPCTGCPSSSGLNIQLEFFNPDTSSTSSKIPCSDDRCTAALQTGEAVCQTSDNSPCGYTFTYGDGSGTSGYYVSDTMYFDTVMGNEQTANSSASIVFGCSNSQSGDLTKTDRAVDGIFGFGQHQLSVVSQLNSLGVSPKVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQPHYNLNLESIVVNGQKLPIDSSLFTTSNTQGTIVDSGTTLAYLADGAYDPFVNAITAAVSPSVRSLVSKGNQCFVTSSSVDSSFPTVSLYFMGGVAMTVKPENYLLQQASIDNNVLWCIGWQRNQGQQITILGDLVLKDKIFVYDLANMRMGWTDYDCSTSVNVTTSSGKNQYVNTGQFDVNGASPRPPYSGLVPAVAVAVALIFGGFLRR >ORGLA01G0269400.1 pep chromosome:AGI1.1:1:23870294:23878596:1 gene:ORGLA01G0269400 transcript:ORGLA01G0269400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps51/Vps67 family (components of vesicular transport) protein [Source:Projected from Arabidopsis thaliana (AT5G16300) TAIR;Acc:AT5G16300] MPAAAVSGGGAADAEELFRTRRIPEIRAAEGATRREISAKEEELRQLVGRSYRDLLDSADSILLIKQSSDAVSDNISRISDSLTSLAPPPEAPPAASPSPSGGRVRLYASAARAKYLVDTPEHIWGRLDEGLLLEAAGRYVRAQVVHGVLSRDAAAAARFPLLAHQAQLVEAFRPQIAQRARERLADRRLSVAAHADALAAAASIDAPSLTPTQALQLFLSSRRAWISQALTTLASDLTSYSSVLCDVAKIVRVTLGHVGQLFVLALNDLPLFFKTVLDLPPPSQLFGGIPDPVEETRLWKEHWDQLEATMVLLEPDAVARTCTDWLKGCCDEIFGVIAGGQRLVDAIESGEGLGSVQRLVREALDGREGLEGTLEQWLKSVFGSEIESPWDQIRGLILKEGKDIFEDWMEEAFVQRMKDIVHLEFGSLDDSVNIKKSIDGIGANADPKDAGDFMVYLRKVSTGGGVWFSESKIKKGGILAHLKPIADENDFHSCLTSYFGPEVSRIRNAIDSKCKTILEDLLSFVDSHNSAPRLKELVPYLQEKCYKAISGILNGLEAELGKLSASLRTKKGESNMLAASVIVERSLFIGRLMFALRYHSSHVPLILGSPRQWVKEAGGAAFMRLSSPSPRHSRASFDTAMPFTPRRHTQSSPRSPGRQFSDNPRRQTIAAAASLFGADDSSNPRLDELNKTLQALCIAAHGLWITWLSTELSQLLSYDLNKDDSLSLSTPLRGWEVTVIKQEESTEGPLEMQIALPSMPSLYIISFLYQACLEIHKIGGHILDKSILQNFAWDLLQKVIDIYESFLVSIESGKSLVSEKGVLQILLDLRFIGDVLSGGKSSSTKTTETQRTHDSSPSAIAKTSFRRKQSQLQADSATIEPINKLINKFSQRLDPIDWATYEPYLWENEKQSYKRYVVLFGFLVQLNHMYTGTVQKLPTKSNTDSNIMRCSQVPRFKYLPISAPALSSRAHKSSLQSTSDDSTSRSPWKSYSNGERSTASEFDDNVSLGGAAPLLKSFVTQVGSKFGENTSRWGSIISDGQVGKLSDILPGPAAGFFSSFTSGARYDP >ORGLA01G0269300.1 pep chromosome:AGI1.1:1:23863261:23864724:1 gene:ORGLA01G0269300 transcript:ORGLA01G0269300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAAPTVPYRTTAPTLPESDGHEDGSSGGMVLLDRWCLIADLPNASTGVGTTSTGLRIQATFHPARPPLLSRFCVHCPGLDFRRVAPKIIASDADLVLLCVPVNPDSIANARGLDYFVYRPRAHRLDLLPNPHPVYLHDSMTALLSRQDGAWYAVAALGLGPPVYKGGAPISWDFYLHLYRSTSSSKGWTSKRLSVTEFIRDKFIPIPASVDRLYHETGKTITVGGEGGTVAWVDLWRGIFLCDVLDEFPALRDIPLPCPARSNRDLFLIQYDPSYFRDVTISRNRDSIKYIEMEMWSPKEPMNTTSTPVSYVDCVLNNTRKSQVIRDDWKATTWSMPMPVIGSSCEHWHRDCEVGVKDITLGASNPCRSNLSFSEIVEMFKELPMVNPTISMDDDVVYLLSRTSPSPMDKLHVVFAIDVRKRTLQGLTKLDVQPQNSVCMVTLCTSEICRYLRNITGNFSTLAIHHLVFLHVTICMNAMLAIFKI >ORGLA01G0269200.1 pep chromosome:AGI1.1:1:23857552:23859685:-1 gene:ORGLA01G0269200 transcript:ORGLA01G0269200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPVPRIHHAPVLRVAQDDYSAYALAVMKHPDPIGEGLAMEAMVEAAGPECIVPGQQAPLRLLGLKVWPLDIEMKFLEPFGRELHSMKKFMDKSCSVMDSSMSNK >ORGLA01G0269100.1 pep chromosome:AGI1.1:1:23851177:23854555:-1 gene:ORGLA01G0269100 transcript:ORGLA01G0269100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:I1NS51] MVDRIGAAWWCACAVGMLVVGACLAGETSEYRRQLGSAVDMPLDADVFRAPPGRNAPQQVHITQGNHDGTAMIISWVTTIEPGSSTVLYGTSEDNLNFSADGKHTQYTFYNYTSGYIHHCTIKKLEFDTKYYYAVGIGQTVRKFWFRTPPKSGPDVPYTFGLIGDLGQSYDSNITLAHYESNSKAQAVLFVGDLCYADNYPYHDNVRWDTWARFVERNVAYQPWIWTAGNHEIDFAPELGETKPFKPYSYRYPTPYKASGSTAPFWYSVKRASAYIIVLASYSSYGKYTPQYKWLEAEFPKVNRSETPWLIVLLHAPWYNSYNYHYMEGESMRVMYEPWFVKYKVDLVFAGHVHAYERTHRISNVAYNIVNGQCTPVHDQSAPVYITIGDGGNQEGLATNMTAPQPGYSAFRESSFGHAILDIKNRTHAYYTWHRNQDGNAVAADSMWFTNRYWQPTDESLDDSQ >ORGLA01G0269000.1 pep chromosome:AGI1.1:1:23846684:23850224:1 gene:ORGLA01G0269000 transcript:ORGLA01G0269000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFFLMGRSLFLPISIIIERLEYFRTSYRRRKFFALATKLKAIRVGGRLSIEMPKSLLEDVCDEDQFECLDSMGYPMPSINGMILVNTFEETFGDLYDEGVWSELSKNVSSRISRNVVSLASFNGETRYFACTGFFIEWNGCTSILTSASLVRKSGDRSKIVENLRIEVLLPNKKRTKGTLEHCNLHYNVAIVSVKDFRALCPANLHHEQESVCEDVLAVGRCFESDIVMAASGHLVGWSGTLDCRMLRYANFKITKAGIGGPLIDFDGRYVGINFFDDIVGTPFLSCTVILHVLSRFDEERTINKVGNGDTSSGVLDWTMTGDRSVRPNSWPVPKPFWCHPDDLPRNETRTRHKYGYYNGQNFKYMC >ORGLA01G0268900.1 pep chromosome:AGI1.1:1:23840652:23844057:-1 gene:ORGLA01G0268900 transcript:ORGLA01G0268900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16405) TAIR;Acc:AT2G16405] RRKPPSALQHRMEWRCNGKGYVAYRNFLLRRIDGGAASSCASTPSNSGRWAPSPAYAAFSEADSWSSSKDLRRNSGPLLRNLSISSKQSDPERHVRFAEPAYSFVGMHCIFDDCKASVTILKFGRASSDLLTYGASDGSLTVCQVSDPPTVLQKLIGHSKDITDFDFSSNNQYIASCSMDKTMRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNANREINAINFSTGRIVSKLTFDDAVTALDVDHTGQLIFAGDAQGCIYTVSVNSHTGSLSRTHKNKSNKSKSPVTTIQYRTFSLVARCPVLLSCVQNGNLFFFSIATDSKGYLTLICSLKLASPVQSIRASFCPLLSLEKGEFIVTGSEDANVYFYDLTRPKNSCVNKLQGHGSPVIGVAWSHGENLLASSDSDGTVIVWKRA >ORGLA01G0268800.1 pep chromosome:AGI1.1:1:23838544:23839392:1 gene:ORGLA01G0268800 transcript:ORGLA01G0268800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKLSCLLLVCVTSTATGARYFPQIDCSPAPTSNSSNGTAFRANLLALPTDDLPAQAAATRFASTQAGGGDRALALSVCLGDSTPALYRESLAAAVADVVAGCGARAGAWLDGCYRSYLAAYAADTNTTTSPSPAGGEFHRWVVTRDVLPFSDNLYATFLDMSNGVAARMLAIDVGAATTRTGLAGRVVAQCAAGVAPADCVQCLEGAAREMPRCFREARREEQGEGVGVVVSDDCVLRFDMTSSPAPRTSDTCDGTCKLLALAFGVALGIILSFTFNLQ >ORGLA01G0268700.1 pep chromosome:AGI1.1:1:23832314:23833237:1 gene:ORGLA01G0268700 transcript:ORGLA01G0268700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKLSSLLLVAQLLLVVVGGASAIVARGTQLYTTGYFPQIDCSPAPMATSTGSNGTAFRANLLTLLASLPDQAAPTGFASMQAGAGGRAPGGDDDDRAFARGACLGDSTPSQCRDCLAAAVIDVAEGCGADTRRAGAWLSGCYLAYADTDATSPRESAFHRCFFDGDILPFSDNLDPTFLDLANGAVSALAAAAANRSGSGRTMLAATQEFDDGANTGLAGSVLAQCAAGRAPADCVQCLQDSARAMPRCCWNAWGLGESVAVALSYDCVLQFTMHLASPAASLGGGALRPFALAVGAAALAIIM >ORGLA01G0268600.1 pep chromosome:AGI1.1:1:23814942:23818748:-1 gene:ORGLA01G0268600 transcript:ORGLA01G0268600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH and RanBPM domains containing protein [Source:Projected from Arabidopsis thaliana (AT1G06060) TAIR;Acc:AT1G06060] MMDLDPRLYENVSVSDNDVRNIVLSYLMHNCFKETAETFLSSTGLELPVDYTVDVDKRKAIFSFVLEGNALKAIDLTEELAPNLLENDMDLHFDLLSLHFIELVRSRKCTEALEFGQKKLTPFGKVPKYVEKLEDFMALLAYEEPEKSPMFHLLSPEYRQNVADSLNRAVLAHANRPAYSSLERVIQQATVVRQYLQQEVGKDSYPPFSLKAFLNK >ORGLA01G0268500.1 pep chromosome:AGI1.1:1:23810516:23812501:-1 gene:ORGLA01G0268500 transcript:ORGLA01G0268500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSITRPHDKNGNRYADSNAGYDMVLAVRDRGDSYSVLTSNNPKEQYPNQSQDATLWNKMVSLPSFYSDNGTMTISTPVRFGPWGGNGGTIFDDGIYTGVRQINLTRGLGISSMKVLYDRNGQAIWGDKRGSSGAARAEKVVFDFPSEILTHITGYFSSTMIMGSTVIKSLTFHTTKKSHGPFGDETGTFFSSCLTEGRIVGFHGRDGWYIDSIGVHVLEGKVLSQRADRALTETSPSRHADMLAVAQREIGDEVTYGVVKEPIPVGPGPWGGEGGKPWDDGVYTGVKQIYIMRADFIGSVQIEYDRSGQSIWSTRHGNGGQITHRIKLDYPHEVLNCIYGYYNTCQDEGPRVLRSITLVSNRGKYGPFGEEVGTYFSSATTEGKVVGFHGRSGLYLDAIGVHMQHWLGDRNRTAAPNSNKYYISKGLE >ORGLA01G0268400.1 pep chromosome:AGI1.1:1:23788250:23792182:-1 gene:ORGLA01G0268400 transcript:ORGLA01G0268400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytokinin oxidase 5 [Source:Projected from Arabidopsis thaliana (AT1G75450) TAIR;Acc:AT1G75450] MAWCLVFMVFLIYCLISTVGLPVAPADEAAMQLGGVGGGRLSVEPSDVMEASLDFGRLTSAEPLAVFHPRGAGDVAALVKAAYGSASGIRVSARGHGHSISGQAQAAGGVVVDMSHGWRAEAAERTLPVYSPALGGHYIDVWGGELWIDVLNWTLAHGGLAPRSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVYELDVVTGKGEVVTCSESNNPDLFFGALGGLGQLGIITRARIALEPAPHRVRWIRALYSNFTEFTADQERLISLQHGGRRFDYVEGFVVAAEGLINNWRSSFFSPQNPVKLSSLKHHSGVLYCLEVTKNYDDSTAVTVDQDVEALLGELNFIPGTVFTTDLPYVDFLDRVHKAELKLRGKGMWEVPHPWLNLFVPASRIADFDRGVFRGVLGSRTAGGPILIYPMNRHKWDPRSSVVTPEEDVFYLVAFLRSAVPGSTDPAQSLEALERQNREILEFCDEAGIGAKQYLPNHKAQREWEAHFGARWARFARLKAEFDPRAMLATGQGIFDSPPLLAES >ORGLA01G0268300.1 pep chromosome:AGI1.1:1:23773310:23782485:-1 gene:ORGLA01G0268300 transcript:ORGLA01G0268300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLAHISLFLYTDPKSGSVRFYDYLMEHDMTVEDFIRTNGLGASGLIETNNQGVSTSSVSDCRSCEHVENGSPSTAPPFWDSDGEDDDPGPRPSDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNLDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELLRVYMTNIEQIYRRFVEERRSKLCKLIEDKMRWSSFRAFWLAIDQSTRHRMSTEKSDVILKIIVKHFFVEKEVTSTLVMDSLYTGLKALECQSKSKSKKGIIDSVDFVELPVPMVHVDVDMFVLAGDVIALLERAALEPLPCQPVSPKDDKCSQSRTKDGCSGEVNKVSIEREERRLTELGQKIIETFALSHIFSGIEVAYQEAVALKRQEELIREEEEAWLLENEMKGKRGSTTEKDKRAKKKQAKQKKNNRKVKDKDREEKCDSNFPERSQDENTIHDREDSKQAGQISMKVDTSEEGASDVSDNLDGSIEIQKKHSTMEIKSLSCSSESATMNNAQGKRNNLLESKDQISRNRGKARSRSTSNMNITEDVDDLPSSTTSSDRNTSGCGPAPKLDQETVLLTLKDRLRKLGQRLHEKEIEGRKLLQAHLEKKAAAESATGSSSSLSSNSLEETPEVLKSPDQSSVTISDADINASPSKFGASKEVTPVTPTTILSTEPVPTVASTLSKDEPVLCEDHVSCSTPQIDTPITSNPPQVDKTVTLPSGMLLVGHAIQAPSRSPAPQVDRVSKAIAAPTKSPAPQVDKVSIAVPTPSKSPATQGEKVAKAILVPPKSLAPQVGKVAKTILTPKQPAPLVDKVTSLDPVSKQMSSTSNSEAREAILPKKAAVLSVSQTPAISRPSSAPLFQVPRSTLPPTPAVQVPPMLSRSMTLAGQSRNEPSPSVPSYTAQTYRNAIIGKSNLDTASASLDHSTSFGQNVALSQPLSSYASAASAMVPPVGRNGQLPGKQGFMFGQGKSEAIDNWNPWKGDSNANKYMWKDDSPYHQMTKGDAHTRSWRDNSYQQAGCSGTGEQGEFGGLQYRQFQREIPTNLVSYQLPGPVGEEFPHLDIINDLLEEEQSSGSMAESTLHGYHTLGLPYSSRGNLVDSEVTSISSSGRLNLADHYYDEGYPMAYDRLNALYRLREGQNSTLDAYSNGRMDSITSKPWLHNFSNPAVNLGVNPNGFSQQMGNYTNLGSGRVNGEHLYRHANGQW >ORGLA01G0268200.1 pep chromosome:AGI1.1:1:23770474:23772535:1 gene:ORGLA01G0268200 transcript:ORGLA01G0268200.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEESGLTDVNVSQVPVASSVESPQNLGYNEACHGASESTIDPCSSQSTTLEQNIAVSEHNSTIDDLEGHRSEITVTLVDDDPVNFRDDHVSQVNSSSEAVDEAEDYAKEAEQTCDMVTHEVALKDPSNTNSKDPTAKGFVEEAPISPQAIRPNSEVVQGLNVIEEHPQTSATIGERRPSLSTQISMNEAYKLAIGAKSSLPSPTLTDVILGKDSSSSVNEELRLLLSQLSASRGLEAPWVDPGPSPRAYGRGDDLIVQNITNRISIERNASGLESLEGSTVSEMEGESATERLRRQIDLDRKSIHLLCKELEEERNASAIAASQALAMITRLQDDKAAMQMEALHYQRMMEEQAEYDSDALAKANELLAQREQQIEELEAELENYRMQFAGGPTEKQSYQVSFNEENIAETLLDETGLEAPAITTPSGINSLVSFEEERAYIADCLTKLEQKLQSYSNNSTNIHLSNSDVIEDYLSNKMHVVDDGSLQCQESTREAQEPVFLAKEAHSSTVSRKTDLSTLQEEISNLNKRLKTLEGDRNFIEHSINSLRNGKEGVMFIQEIASNLRELRAIAGSK >ORGLA01G0268100.1 pep chromosome:AGI1.1:1:23768432:23769525:1 gene:ORGLA01G0268100 transcript:ORGLA01G0268100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFSVSASAVLAGLSLSFCPLLLPAWLPRSQIGISAQRAAATPLQLAEILVQHQPPFTNLKNLSTAIVKN >ORGLA01G0268000.1 pep chromosome:AGI1.1:1:23759128:23763174:-1 gene:ORGLA01G0268000 transcript:ORGLA01G0268000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVSELVWSPDEGLSIKIAASSLSTRKASLRWNADTLSIVISSPQQSGAGESGHIIDATVEDAEKMPSQLRTRSDSSARVFMSSPSRIRNTDAQQSTSIRSHGQDSKYCGGMDVMNEGKETSDNFCVDKLEKEDEVGSCPTRYCNDTSHSLGSASRKEVMPIIAEKQAFCATTVHDERSWAANAWRARLVKAISQKDSVLPKNADNIHSTSAFGSIGNTENMPGKLTSMLGNRNDSSQDQAMQEKHKDGLIVARCESVSAVNPVARCELASGVNPLSRHESTSGCNPRKLEKGKEKLIYDMSNCVSNTNEGDDSNESIESCPSTKAPKRKHGQFSAAEMTSGNKRCRREDNESSCSGLFHKNDSSFFNWMSTLTNGVKVFDETTAVPLNQKFSAATGEEFPTNPVPLQNNCGVPLQSVGFNSLFQSLYSQNVMITSRNTCHQSESSYTANRLTLGFKSSKPVSMGRETLNVATETLAAGRIQMDSYGDRGAFQNQMGIFPLRAERNQNGFHGSSSNAASGHKDDFSESLWVSRLLPKTPMKVMDTTRCDEETDFCSANPKGLGDSSSPQDFNVEKELNNSQYFTSKGSDNETTSSKCAAPQDENKPSETMASIFAKRLDALRHANTSAVHVAITCDHGTPKGRNHKTSSFVVSYNSHDEQESGQKTHKSSGGEGRIVLWTGDKGKEQLSPGNDKELGEKVLSKHENQNCEGSSDGKVVPPKCNLETNTYIEEIDRKRLQNKEGAPNSMENQPDNKQMVPYGIVPNDVYDEASIVFGALQRLRLSRSDIIRWMRSPVMHTTLDGFFLRLRFGKWEEALGGTGYHVARINGVLDKNRLSVTIRNSTCQVDSRFVSNHDFHQDELKAWWSAAMKSGWKLPSQEELNTKLRERELLRF >ORGLA01G0267900.1 pep chromosome:AGI1.1:1:23751369:23757267:-1 gene:ORGLA01G0267900 transcript:ORGLA01G0267900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAASSTSSSSRDRLTISRPTEKDDVVEEKRDGGDVTAAVPRLAVYGAGRVHEIERFSHYVAKQIGIKDVSECPHLCALAYGYLKKTEGYEQNLLAFFHNKINSDALLVLLIEELDKCILGYFSFHWKFATEVITQVLTAEQPRRKLKTMVLEATRKMRFERVSRKLKVTRLFSTLVEELKVIGVTCNDGELRDADADVMVPAAHRDRSPVLLLMGGGMGAGKSTVLKQIMKGLFWSGAAAHAVVVEADAFKESDVIYQAISSRGHHNDMLQTAELVHQSSIDAAASLLVTALNEGRDVIMDGTLSWEPFVLQTIAMARSVHRQRYRMGVGYKVAADGNATEQYWEPVEGAPVPSGRRPYRIELVGIICDAYLAVVRGIRRAIISGRAVRVSSQLKSHKRFAGSFRKYCDLVDSASLYSTNTIAGAKLIGWKDVGSRLLVDVEEIGLLDRVSRINEEANGVHELYPDGHPTDGAGSVWEDLVSSPARAAIQRELREAILDSEACFPSP >ORGLA01G0267800.1 pep chromosome:AGI1.1:1:23748316:23749608:1 gene:ORGLA01G0267800 transcript:ORGLA01G0267800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFPPWADLTDAVVREIANRLPCVYDRVHLAGVCRPWREHLERLPPLLPPPKLPYLILPLAEQLAFSCVLSDGATHPFFVPEWIRLACYFGSYDGGWAFVSTAHPRAQGYRDYFLTNLHQTPNTFVLPVWIQLDREEPVLRPRQKRLRPRDPLFINAATLSSDPFMDGCVVAGFVNNCAPVPGHHRQKIAFWRIDDKVVIGCFFMEDACWDAVDVVRHNGAFHFLTNGQHIVVGNPGFDDEANAPPQVQWEYRCFSSQGRGYDGQHVVARYLVESSGELLMVVRCSPRPGESTSAFKVFRMAQPEEDDDGDGDAPLLDGRTMLFVRDPCSRSDGDVVRYIWRELPSLEGRMLFVGRGCSRSYNTDQYPGFEGGVYFFDHRIPGQGGGAPALYPCRDCGKWTGKPALQVELCFPEQDPSNYSSQVWLLNG >ORGLA01G0267700.1 pep chromosome:AGI1.1:1:23743433:23747137:1 gene:ORGLA01G0267700 transcript:ORGLA01G0267700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFPPWADLADAVVREIANRLPCVYDRVHLAGVCRPWRESLERLPPLHLPPKLPYLILPLAEQPAFSCVLSGGATHPFFVPERIRHACYFGSYDGGWAFLSTLHPQANRVYFLANLAGTSGTFNLPNRIQLEREALELAPEEKPLLHRLVIYTATLSSDPFMDGCVVAGLINDQAPIPGYRRQKIAFWQIEDRVVVGYFYMGEACWDAVDVVRHNGAFHFLTKGEDIIVGNPVFAEAGAPPQVQWEYRCFSSQGRGYDGQHVVARYLVESSGELLMVVRCSPRPGEFTSAFKVFRMAQPEEDDDAPSLDGRTMLFVGRPCSRSDSDVVRYIWRELPSLEGRMLFVGRGCSRSYDADQYPGFEGGIYFFDHRIAGQLGDAPAQYPCSDCGKWTGKPALQVDRCFPEQDPSNYTSQVWLLKGSVRAFLEKPLNELRLGVRRVALENFQELLDVTQAGKAIYDGE >ORGLA01G0267600.1 pep chromosome:AGI1.1:1:23741599:23742968:1 gene:ORGLA01G0267600 transcript:ORGLA01G0267600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALLACLIPFYVPGGAIPTSPSISIGVKTLKLELSGTAYHNLDNWLQVAVTPGIEELTLMRFSCLRVHGCVRLKLIESKVPNLSTLDLSGKVELLLGETLQMKNLSMRHPNVICYARSELPSSMPNIDTLALSSYDKVVNTPMLPTKFLYLKHLTICLSSGTFSPSYDYFSLVSFLDASPSLETLNLDVTNDPMKHESILGHSSKSHLRQMAEDHHCHLKNVEITGFSSAKSLVELTCYILKNSVSLECLTLDTLYPYDFRCSDERFERCRTMRKVF >ORGLA01G0267500.1 pep chromosome:AGI1.1:1:23728894:23729778:-1 gene:ORGLA01G0267500 transcript:ORGLA01G0267500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRHCHRTHLGVVQSIAHGAHQAFLPPRRRFPRRRDVDGHRSQVLPTERHGVSRENILVLPTDLPAQAAATRFASTQAGDGDDRALALSVCLGDSAPAQYRESLAAAVADVVAGCGAASRRAGAWLDGCYCYLAADTNTTTSPSGGEFHRWLVTGDVLPFSDNLYATFLDMSNGVAARMLATTATQAIDVGAATTTGLAGRVVAQCAAGVAPADCVQCLEGAAREMPRCFREARREEQGEGVGIVVSDDCVLRFDMTSSPAPRTSNTCDGTCKLLAFAFGAALGMIVSVTLIRTPS >ORGLA01G0267400.1 pep chromosome:AGI1.1:1:23725393:23726571:1 gene:ORGLA01G0267400 transcript:ORGLA01G0267400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPWANLIGALVREIATRLPCKFDRVHFAAVCRSWRESLEQLAPLPRSPALPFLILPLAEGPAVSCILSDYATHPAVVPDWVRYARYIGAYDDGWVFLSTAPPQDVDGRCVFAGIINVGPVPQGRPMIAFWRIFDPVVPGLFSGPLNPRREWDAVDVVHHHGAFHFLTQGEHIIVAKPEFHYWCPVPAMPQVDWEFRCFPSNRRGYNQQHVKARYLVKSREDLLMVVRCSPHPGQPTSAFKVFRMVPSQRARCRQYIWQRVPSLGGRMLFVGRGCSRSYEADHYPAGIAGGIYFFDDGFIQDPVMLQQQLQQLREMDGITWPHGGALLPGKGASNYSPQGWRLP >ORGLA01G0267300.1 pep chromosome:AGI1.1:1:23724136:23724471:1 gene:ORGLA01G0267300 transcript:ORGLA01G0267300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELDCGEHQLNGTTSVEIHLEDGPRVCACTALASALRLPALHPLPLHPAQVPIEVGGVEGGDGGKVEVGAIEDVAKEVKGEEEHEEVADGDKESVVDPFLDAEVGGDYRY >ORGLA01G0267200.1 pep chromosome:AGI1.1:1:23717556:23718563:1 gene:ORGLA01G0267200 transcript:ORGLA01G0267200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDDVEHPFYYFVHDDAAAGVDDHEELLASLGFLLPPPPPPPPPPQPDQGRSAFAAYQSTAAMASSSSSSESLSRRYHSSASNVHRRMHRFLRSIYDDAGDDAGATAEMQPAEGEQQQAAPSGGSARFRHIMRERLRRERLSQGYADLQAILPTGASSSKVLVKSLIRNYMSGGKNTIVAAAANYIRELEGRKGWLCAQNELLERTTPKPGAGMVVKVRAESELGSTVDVFEAVLRRLKAMDELQVTAIQSWFGAGGMWMDVAVESKKLVS >ORGLA01G0267100.1 pep chromosome:AGI1.1:1:23711249:23711638:-1 gene:ORGLA01G0267100 transcript:ORGLA01G0267100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPFCTGRRRTSLCLSMVMSVFCMCAGLPQMRARRSERVRCNYSKEAATPAAAVKGAGASLLAMAATAAPAMALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTIYTSDLEEDEESGGLSL >ORGLA01G0267000.1 pep chromosome:AGI1.1:1:23701581:23710660:1 gene:ORGLA01G0267000 transcript:ORGLA01G0267000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:I1NS30] MPTSGPDAMQPALRRRRRRQGFLLPFACLSAALIAAALLPGAAFADGVTPSEARRLRDEVKDMFYHAFDGYMQHAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKERFDAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGMRIQSYDDQLLHLAADLAQRLLPAFDTPTGIPFGSVNLVYGVDENESKITSTAGGGTLTLEFGVLSRLTNDSVYERVTKNSVRGIWARRSKLDLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLYIFQEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATSTVQQNGQRSYPLRPELIESTYWLFKATRDYRYLDVGRDILASLQYGAKCPCGYCHISDVETHQQDDHMESFFLAETVKYLWLLFDLAAGPDNIVENGPYKYIFSTEGHLLPATPQIALLDEHCLYAGAFCNGTAGHGYGTGDSSNKHQNANSVPFDDSRTPSNQSLSNILSTTRGYIKGVCPGLTHAQKLGISYSAEEDNSIQQNSGSSENLDESYVIKSSVQAQSSSVILISHPVASQTEEPTSGRSQYNANIVATAESVGTDTASASSHPEDLVKETESVSEQKEINDKEIASQGSQPTEGRYLT >ORGLA01G0266900.1 pep chromosome:AGI1.1:1:23700372:23700641:-1 gene:ORGLA01G0266900 transcript:ORGLA01G0266900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRLCDCLPPPRHVSLSASLPAPRGRPRSATAGPALLLLRAAAANPASIRTASALFLGIMCIGGTTAVVAGIATVVIPGLYPHQHDE >ORGLA01G0266800.1 pep chromosome:AGI1.1:1:23696234:23698960:1 gene:ORGLA01G0266800 transcript:ORGLA01G0266800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNNNVTGQQVSEETAQGFDRTIEHLDGSPSLNSTNDKGKDGTKDLDKSKLLKDPVITNGGNEQMVSIKGDSFIKNKDLDDKNRGSLQDHSLTQDNDQSCHLPSTQDDEPLSNKEIETVTNLPETTASVSITIEDTTSNKDSSTLVEKIKLVHKTTERSEEINIGNSQSLLKENMEGPLEDEESGMRDDLIGEDKAEKLDQGQTAVSIIENLLMPMQGGSTSSTETITTDYLDADDSDIKEVVIENEPTGKGNSLYVRPADDTNLKTFKNDRARIPDEKEDISEISQRATVETGIGSCEVIDEGKKTHGLEDQNKDTCGALDIGEVVSKFRSSLTDTSATDAIELEKHELNKRGDDVAGEISDSLTRTEEHNAIERTHTEQERGAKDAAVKDPADNSDEEKKSDCTHDIVSLVEVNGKKFTGLDSFLSYQLSTVNEEKVQTEVREGLFRPSSPLQLIEDFHKRDLKVDSPHNNEETIISTYEVETTDIHDTLAVSQFDKPQQMLLEEPEVVKFENGSILSCMQLVEKSSKTDTFFPHGSKQEKDSASTTAIGLTSESNLEKVMVKVDFPAESNQKKIIADTDKASQEGYLLQIPASRRDASEETPLLKMVENTSSFSFSNEQHSKVVECIPMTSISMMQVKDDADEEYEKSPLLSPREQEGENFMVPNHSVRNKKPLQSLTTGESVCMQSPLKEQEVPNNSTMVSSPRSTRKQKPRSSIFASCMCCATAKN >ORGLA01G0266700.1 pep chromosome:AGI1.1:1:23685228:23685632:1 gene:ORGLA01G0266700 transcript:ORGLA01G0266700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKGGVSHEMARATVQAHSFSEKKKGSRRKHTCAAARVPYVFSGSAGKARAKASCRMPIKLNQASVRTSMHIDLPAPRGQDTRKDTRSTLHAFDQPGNDARRDERLRTARDEAPTYAARRRVSLPRPPALDQP >ORGLA01G0266600.1 pep chromosome:AGI1.1:1:23678749:23683607:-1 gene:ORGLA01G0266600 transcript:ORGLA01G0266600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19840) TAIR;Acc:AT5G19840] MRAEDDDAGGGEFVGARLDAGLRAARFASPPSADEFAAAVEPRNAPAVFRGVVKDWTASSRWDPRRGGLDYLREKVGPDVDVEAMMSSTGHVFYGDLRSHERVLVPFSKFMNSCKSYLRCPNASSDSPKAQEILKEPASSEEGCSSSLESCDQVYLAQVSILNTENKERCSLEVLKEDIQEPTFLRGKPFSSINIWMNRAHLRSSTHYDPHHNLLCVVAGCKKVTLWPPSSSPYLYPMPVYGEASNHSSVSIEEPDYSRYTRARYIKEYSERVILNCGDALFIPEGWYHQVDSDDLTIAINFWWKSRIMNEMLEHMDAYYLRRILSSKDWWIRRWQNKMVQENSVSCSKGTTVCQPTDEESGGPEQLKQKQVNSDSSDKKDSPLQTLEPSTLQALYELISLVHNSAEMVSQNEITEPTSQDAYCKQSNGSKKIAADDSSLLEKDPIAKIILPLKPLELQSMLLAMARTFPRTLEALVLNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYSVFDDQYAAMDALLNGKEMFSFQVFQSVLRQYIGVHADRPK >ORGLA01G0266500.1 pep chromosome:AGI1.1:1:23671940:23677080:1 gene:ORGLA01G0266500 transcript:ORGLA01G0266500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPSTPRLGAVAGAAASPGAAEQMFAALVGGKAYPISSEFWRQLLELPLTQQWPRDRVLQACHAFAQNNYHTKHLAKILIHLVWCLQECMAESSHAAYRKAINAAYISSIFLKFIIENAKTDNWQELSLEIDKDEKGLENFPSEQSVEYFLMRGVLNYIGSVDVSLESCYLHHELLNLMLVLMSTQLCSGPSPEPKDVHPFIDAAMLQDSSIVSSVVQKLLLNFVKRPRIPLNSSHPAFSDDGGPGVLQRVGSVAANFVLLPYYTFNYLVSSNAEGASSQLADNSLLVLLILIHYRKCITMSESFPSSNVYTSDLNTNVKDAPAFHDNPYYKALSNAKDSQYDRADVEGNAQNGLVVRLSFASLFDALGTCLKDESSVLLLYSLVHGNCDFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIVLLILSQDSTFNASVHKLVLPSVPWYHERLMHQTSLGSLMVVILIRTIKYNLSKLRDVYLHTNCLAILANMAPHVHRLSAYASQRLVSLFDMLSRKYTKLAELKNDKAIKVISDQIEADNISDDTSTELHIYTDFLRIVLEIINAILTYALPRNPEVVYAILHRQEVFQPFKNHPRFNELLENIYTVLDFFNSRMDMQQLDGEWSVDKVLEVINKNCRSWRGEGMKMFTQLRFTYEQESHPEEFFYPICMARCPITRAKINPTVRQGG >ORGLA01G0266400.1 pep chromosome:AGI1.1:1:23663444:23669676:-1 gene:ORGLA01G0266400 transcript:ORGLA01G0266400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHLLSPLLSPLAYPKPPSSRLAPRRVASHRTVAPPPAARRAPLSEPDVGISRFASALPGFRGALKKRYSDFVVHEVALDGSLVRLTSFDLPDGECVDAKEGGMDEAQALESFRLLCGDADCEALRGFLERVSEGGDSDVSPIILSADADKTHRSEVHDFFKRNFEALLTDTVEHSDGIQRCIRVRLKPGRRERRDVDGRGRNRKGTGSSGWRDDKPFDSRGSIIWPDHLGKFIRFHLYKENKDTQEALGKIGKMLGLQPRSFGFAGTKDKRAVTTQQVTVFKVQASRLVALNSKLIGIKVGDFSYVKEGLALGQLMGNRFTITLRSVVTESEDVIKAALDGLITNGFINYYGLQRFGSGSVPNHQVGAALLRGEWRNAVQLILGPREGECDNINRVREHFKEYGDIGTALRNFPRYLITERAILQRLKKYPGNYLQALMAIPRTLRLMYVHSYQSYLWNHAASMRVQKYGISRVVEGDLVYKKESPFEQGALKATSEDDGQTMSSEMNACCETLPEEMIQSVKIADSEDLSKSLYTFEDVVLPLPGSETLFPGNEVAGIYHEIAMKDGINLRESVHGVEDLSITRMKGGYRRVIQRPIDFEWDLITYTDEKIPLVETDLDVLSKTKPLEVNELLSDGISSCTSHDSGLEASLDASESINGASLVVAEAKSIGSSDMLEKLAIKLAFTLPASSYATMAIRELMKTSTSVAHQKTLNI >ORGLA01G0266300.1 pep chromosome:AGI1.1:1:23659308:23660754:-1 gene:ORGLA01G0266300 transcript:ORGLA01G0266300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGDVDGAAGALRRFVREAGGCAVVDGGLATELEAHGADLHDELWSASCLVSAPHLIRKVHLDYLDTGANIITSASYQATIQGFQARGLSRERSEALLRRSVHIAQEARAIFAEGWSKGPYANHRSSPRRPVLVAASIGSYGAYLADGSEYTGDYGISVTKETLKSFHRRRLQVLADAGPDLIAFETIPNKLEAQAAASGDPITECAAVADACARVGAVGVNCTAPRLVHGLILSIRKVTSKPVVVYPNSGETYVAENKEWVESEGGASETDFVSCVGKWRQAGAALVGGCCRTSPATVRAISWALRESDDAVGGDGDRDDFPAVAVL >ORGLA01G0266200.1 pep chromosome:AGI1.1:1:23655189:23658697:1 gene:ORGLA01G0266200 transcript:ORGLA01G0266200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 54 kDa protein [Source:UniProtKB/TrEMBL;Acc:I1QE70] MVLAQLGGSISRALAQMSNATVIDEKVLSDCLNEISRALLQSDVQFKMVRDMQSNIKRIVNLETLAAGTNKRRIIQQAVFTELCNMLDPGKPSFTPKKGKPCVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVSVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGTFTLRLMYEQFQNILKMGPIGQVFSMLPGFSSELMPKGHEKESQAKIKRYMTMMDSMTDGELDSTNPKLMTESRILRIARGSGRPVRDVVDMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNVQHMSKVLPPQMLKQIGGMSGLQSLMKQMGSKEMGGMFGGMGGDK >ORGLA01G0266100.1 pep chromosome:AGI1.1:1:23625804:23648481:1 gene:ORGLA01G0266100 transcript:ORGLA01G0266100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKELMGSCSSQVQCTCLECFCKKPKLRSLSSPMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVAMPMVAISLVNMSQALDICLHLLESNRSSDSVRKSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQVLRHQICSLLMTSLRTNVELEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEVFLNMLVKVTRLDLPLWHQILVLEILRGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGELESPKCELGSTECCGQLALLCAAMVNSSWLTILDSLSLILMRSIFKTTFPIIACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQPFTGVEPIWDQIAAHHLEGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGTVKEEALSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMLERVSHLASTSSRDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLVLGGIARLLRSFFPFLQQLSKFSSGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSIPALQRGILEIIPMLRPTTMLSSMWSPLLLELLCYLNSHDTPLQKQGKEMHEQKSDAANGATHGFLERSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERFNSSPEVIRCLGRCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLVPLHCSRFSLSCLQMMFSLCCCTSGTSLCATVSETSKVSISVLTKRCEIILGQFLADENDLGEGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >ORGLA01G0266000.1 pep chromosome:AGI1.1:1:23618170:23623824:1 gene:ORGLA01G0266000 transcript:ORGLA01G0266000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRQARLKAKKVEDLAAQDPAENLVAVAPTVAGRRGRGRGGRGGGRATGRGRAGGRGRGVPVIDLDPDQPCEVLPGAAIGGVAAGGPHHIEELANKVVKMDGGSAEKIGGGEDDGNASPVPDKVQVGHSPQYKVERKLGKGGFGQVYVGRRVSGGTDRTGADAIEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGIPWVHYKGRQGDYYVLVMDILGPSLWDVWNSVGQTMTPSMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASKWKETPNGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKSFLVCKKKMATSPDLLCCFCPPPFKLFLESVTNMRFDEEPNYAKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNDDGLFISSVASSANLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENNYYISAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGFSEQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTVS >ORGLA01G0265900.1 pep chromosome:AGI1.1:1:23612969:23614356:-1 gene:ORGLA01G0265900 transcript:ORGLA01G0265900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGSWLLQTCSPSAALASLAVVTTSLLIIGYASSSFFLGAPAYEYDDVVEAAAAVPRRGPGYPPVLAYYISGGHGDSVRMTRLLKAVYHPRNRYLLHLDAGAGAYERARLAGYARSERAFLEYGNVHVVGKGDPVDGRGPSAVAAVLRGAAVLLRVGAEWDWLVTLGASDYPLVTPDDLLYAFSSVRRGLSFIDHRMDSGGAEAVVVDQNLLQSTNAEISFSSGQRAKPDAFELFRGSPRPILSRDFVEYCVVAPDNLPRTLLLYFSNSLSPMEFYFQTVMANSAQFRNSTVNHNLRHTVAQDGGAPTSQGADGQQASRYDAMVGSGAAFAGAFGDDDDALLQRIDEEVLGRPLDGVTPGEWCVADGEEGTDNECSVGGDIDVVRHGAKGRKLATLVVDLVGA >ORGLA01G0265800.1 pep chromosome:AGI1.1:1:23610143:23612303:1 gene:ORGLA01G0265800 transcript:ORGLA01G0265800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSFLGCGDGDEGSVLSKVYEERRVMGYSPEQMFAVVAAVDLYEDFVPWCQRSRIIRRHENGSFDAELEIGFKFLVESYVSHVEMEKPKYIKTTASESGLFDHLINVWEFKPGPVPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSRLVSSLSDRCYRIYGPPIPVLENTYGQGR >ORGLA01G0265700.1 pep chromosome:AGI1.1:1:23601266:23603797:-1 gene:ORGLA01G0265700 transcript:ORGLA01G0265700.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSNLEFKMEMAQTGNGNTPKSYSLNMFKDFVPMCVFSESNQGKLSCEGKVGHKFDMEPHSDNLVNYGKLCRERTQKSMIKNRKLMVLANDNGMSMRPLPGLVGLMSSGPKQKEKKPLPVKPSDMKRTRRDRRELENILFKLFERQPNWSLKNLMQETDQPEQFLKEILNDLCFYNKRGPNQGTHELKPEYKKSTEDADATAT >ORGLA01G0265600.1 pep chromosome:AGI1.1:1:23597679:23600438:-1 gene:ORGLA01G0265600 transcript:ORGLA01G0265600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGARGCPCADPEAEAVGLGSEAGMGSLRECGDGCACGPSCGNRRTQLGVTVRLRVVRHREKGWGLHAAEVLRRGQFVCEYAGELLTTEEARRRQGLYDELASVEISSKEKSSPSVMVMLDLGRMACRAFALGMHFPLQESGGDEDEPFDKEDSWREWRTQQKNNLVPLQSFIQSTLPFPLSLAFVSSVQQPQLMPMPPLPMPHVPFALALQVLSE >ORGLA01G0265500.1 pep chromosome:AGI1.1:1:23591070:23595533:-1 gene:ORGLA01G0265500 transcript:ORGLA01G0265500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSRLQELCQQRRWAPPEYTHRCAGLAHAPLFGATVSVNGVEFRTPEDAARSAKEAHNIAAKAAFDHLSSLPLPPPPPPSENQSSYKSQLQIYAQKKGKLLPSYQTIREGPGHASRFKSVVTVDGKAFESPEYFHTVKEAESAAAKLALMSLPQEASSSEQVPVQPLSYKNLLQELAQKHGFSLPVYSTTSDGSVQVPMFKSTVVFQDGSFQGEPANTKKQAEMNAARVAFQHFEDRRKNALSSTVLRGPHLGQGTVHISAGQVKIAEPVFSVPQASTATSHSATGATDRDYHSLGSTNPLPIAKSTNCADVHIQPCEFKDEKPAFPEPKTELEVMDSSPELTPLEDAYSAPVASTSTVSSSGCGSDPLASASTVNSTGCGSVPLASASTVSSTGCGCSLLTNRVQVYPRRPDLVLPEGATVLPFSDDVWVAVSLPTLNH >ORGLA01G0265400.1 pep chromosome:AGI1.1:1:23584819:23588876:-1 gene:ORGLA01G0265400 transcript:ORGLA01G0265400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICPKISLACVLLLLWFTSTGDAAYMKYLDPKQPTNTRIKDLISRMTLAEKIGQMTQIERGVASADVMKNYFIGSVLSGGGSVPAPQATPAVWVNMVNEFQKGALSTRLGIPMIYGIDAVHGNNNVYNATLFPHNIGLGATRDPDLVRRIGEATALEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHRVVQQMTDIILGLQGDIPINHTKGVPYIAGKDKVAACAKHFVGDGGTHNGINENNTITDEHGLLGIHMPPYYDSIIKGVATVMVSYSSLNGVKMHANHDLVTGYLKSKLHFRGFVISDWLGIDRITSPPDANYTYSVQAGINAGIDMVMVPFNYTQYIDDVTSLVKKGIINMSRIDDAVRRILRVKFIMGLFENPLADLSFADQLGKKEHRDLAREAVRKSLVLLKNGNSPNQQFLPLPKKARSILVAGSHASNLGYQCGGWSIEWIGGSGDITVGTTILEAIKSTVADSTHVVYSENPDESFMKNNDFSFAIVVVGERTYAETTGDDPELTILDPGTDTIRTVCSTAKCAVVIISGRPVVIEPYLPMMEALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDLHYDPLFPFGFGLTINSSQPGFSGADRLRDRNGGMVYVVLSLVLSVILMHASGIGRTK >ORGLA01G0265300.1 pep chromosome:AGI1.1:1:23577363:23580130:-1 gene:ORGLA01G0265300 transcript:ORGLA01G0265300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGYLHGFTPNSGLWLVKRHGTRNSGRLVERKPGSIVERANCMLIMAHGEDLDLEAAAAAAPYHALQGDLREHDEASGRRCSQACLLWTLGLLGLTMFLSTFAFFSTTTRPAATPTLGGRYYHDHDAFSVSIAGYEGIDPGSAGAAVSPAFRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDVEVVARGQGVGLSERLRGRMASEWRSSGALVLDIDVKAFDEVTSPAYAARHVPDRLIICKVTLDEQGSDSSACPCSNRIMALITFVHRSSCPLRTTTMITMNKQINVLDLLFAITNVRVPIKSQVWYATSSIDFDLYLPASPVRRGLIYLPPMGAGLVEDEDRRRDCLDGHPHIQCAVTVILMAICLALPVYGCWASIYGYKTPDFWVKVPGIEGLERGPSALAAPVFNVTLRVDNEATRRPFCTSRASAAVSYAGVQLGHVDLPGGFCVPGQVVSSVPIVATSDGLRIPSELYERMESQRRRHERVSLEVQVRLDDCCGQLPVMLWCTAVVHGQPQGPFLCKVAPMLKDGEPRPPRLYY >ORGLA01G0265200.1 pep chromosome:AGI1.1:1:23556616:23573152:-1 gene:ORGLA01G0265200 transcript:ORGLA01G0265200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVTPRAPELLQQDQDEEMLVPDQDVVVEGPQPMEDSGSTVENEQVPETSTSRFTWTIEDFSNHRKLYSDVFVVGGHKWRVLVFPTGNSVQSLSMYLDIADANEQPHGWSKYAQFSLAVINQLDSKYSLRKEAAHHFSTRESDWGFTSFMHLGDLYDPTKGYIVNDKCIIEAEVAVRKIVDFWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDTPCGSIPLALQSLFCKLQHSDNSVSTKELTKSFGWDTVDSFLQHDVQELNRVLCEKLENKMKGTTVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLALDVKGCSDVYASFDKYVEVERLEGDNKYQSEKHGLQDAKKGMLFIDFPPVLQLQLKRFEYDFVRDTMVKINDRYEFPLQLDLDKDDGKYLSPEADRRVRNLYTLHSVLVHSGGGHGGHYYAFIRPALSDIWYKFDDERVTKEDMKRALEEQYGGEEELPHTNPGLNTTPLRFTKHSNAYMLVYIRESDREKVICNLDENDIPEHLKIRLRKENEEREYKKKEKAEAHMFTALKVARDSDFAEQIGKHIYFDLVDYDNIQSLRAPKHLTINQAKVDLSKEFGIPVQSQRFWLWAKRQNHTFRPVRPLTLQEEASSIGHLTDPSNKSLNSELKLFLEVALGQENHPISVPPKTKDDILIFFKLYDPEKEELRYVGKLLVKASGKPADIVQKLQEMAGFQSDEDIELYEEVMFEPSVMCEPININDSFLSSQLEDGDIICYQKRCSPEKLDHYRCADVPSFFEYIQNRQVVHFRLLENPKDDYFTLELSKRFTYDDVVEKVANQLGLDDPSKLRLTQHLPYSQMPKSHYIKYRGLDHLSDMLRNGNQMSDILYYEILDIPLPVLQDLITLRVAFYHATNNEVSSHFIRLPKGSTMSDLIEDMKSKVELSYSDAEFRLFEVYKNKIRKVYQPSEKISVNEFNGLLCVEEVPEEEKNAGVRDRLVHVCHFIIEKQHIDYYGEPFFFLIRDDETLSDIKVRIQKKLLVSDEQFAKWKFAYIAHNRLAGEYFQDSDIVLSRFQKDVYGPWEQCLGLEHSDVTPKRSCLSNQNRNSFDKAVKIFN >ORGLA01G0265100.1 pep chromosome:AGI1.1:1:23550438:23550719:-1 gene:ORGLA01G0265100 transcript:ORGLA01G0265100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSCGGYTRVQQRRRQHVVGTLAATAHGGSESGMWELQQRVGAATTTRRCGRNGNTVSVLTAARSSCGRLSSLDSRHIWLASWRLCFSWNI >ORGLA01G0265000.1 pep chromosome:AGI1.1:1:23546880:23548400:1 gene:ORGLA01G0265000 transcript:ORGLA01G0265000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRWHDDGDDGGRGLGDIPDLAGGGGGGDGERCATRRVVQSRCHTEEVEPGRFVRKCEKTEQLLRDCVGRPSELVESKTENTEEDVTDEMKSGSLSLGFPTNEPFAFPGLRSDIEALEKGLFGSIGSFLDDAERMTNDFLKSFGVPSINERESSPFDGQPTGRHIGGQPAGRHIEEGTAKDTKQNDYAEFSSKITDV >ORGLA01G0264900.1 pep chromosome:AGI1.1:1:23543082:23545029:-1 gene:ORGLA01G0264900 transcript:ORGLA01G0264900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT4G32605) TAIR;Acc:AT4G32605] MFRRAAASTFFRHALSTSPYSSAAAAAAAAAASSSAVNSIILRSLKEHFLEVSKMTPPPKISPPKPYTIVKGALDQASGPVLRRGYGDAGEEISISVARLANIMPPGADYDSDDDDGGGGGVSESISQLFLHVDISRPGSSKSLQFLCGLYPDAVGIHSVCLRPKTAESGTAGLAGKGGDGYQGRIFQELDENVRDAFHHYIEARGINEKLFPFLQAWLYVKDHRNLIRWFKSVGTLISEPKSE >ORGLA01G0264800.1 pep chromosome:AGI1.1:1:23538684:23539367:-1 gene:ORGLA01G0264800 transcript:ORGLA01G0264800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGEEYCSAEERVLTVRKTSHFSPGDGFAAYDHRTGGLAFRADTYGRGHGGGAAYAGELALLGPAGEALITVRRRRPSLHQRWEGYLGARADGQKPLFSARRSSILGGAAAGAVVELLAPLPASFSSTHAAAAELLRVDGSFPRRCCRVVAPKAESGGEAAVVAEIRRKVDEGARVVMGRDVFVLRVGAGFDAAFAMAIVLVLDQIAGDEADGNAGEETNRAMIW >ORGLA01G0264700.1 pep chromosome:AGI1.1:1:23533180:23533632:1 gene:ORGLA01G0264700 transcript:ORGLA01G0264700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGRHGMAMAMAPAAAGGAGRRKRYMHMTFYWGKNSEILFTGWPGASGGMYALALAAVFALAVLLEFLGSPRVQESSSLGSRRRRATAAAVHAVRVGLAYLLMLALMSFNVGVLLAAVAGHAAGFLAFRAGLCGGGYKKGELAPAACC >ORGLA01G0264600.1 pep chromosome:AGI1.1:1:23525015:23528166:-1 gene:ORGLA01G0264600 transcript:ORGLA01G0264600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family protein [Source:Projected from Arabidopsis thaliana (AT4G33460) TAIR;Acc:AT4G33460] MAHAFAGGTSAICRCPHDPGRAALVSRPRHRLRVLASSLPTPAIEGQGVELSVTTRRGRVLPVLKDCSLRVPPGQLWMLLGPNGCGKSTLLKVLAGFLNPSSGTVYINRPCSYVFQNPDHQVVMPTVESDVAFGLGKLNLSLDEVRSRVSQSLDAVGMLSYSQRPIQTLSGGQKQRVAIAGALAEASKVLLLDELTTFLDEYDQMGVIKAVRKSVGAGGEVSALWVTHRLEELRYADGAIYMEDGQTIIQGDVSTISKFIKKKQARYFGHFEL >ORGLA01G0264500.1 pep chromosome:AGI1.1:1:23521699:23522889:-1 gene:ORGLA01G0264500 transcript:ORGLA01G0264500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHEGGEEEGLGDQFERLPDEVLLDVFGRIGDVKALGRCALVSRRFHALVPLVDSVFVRVDCVISDDPPPSSAGSAQAAAAAEGGPPAARGRGALAHLARLVLGGIVRPIQALGQILSPAAATVSRRSAAPPAAPAPAAADVSHHSPSEVLRSFKELRRLHIELPTGELGIEDGVLLKWKADFGSTLGSCVILGASSVSSKPPPPPAAPPPTAADSSAASPDSSREPDELGNIPESLYTNGGLKLRVVWTISSLIAASARHYLLQPIIADHSTLESLDLTDADGQGVLTMDKRQLQELRVRPVSASGNSHRTLMPALSMRLWYAPHIELPGGQLLKGATLVAIRPSEDVLREGGGIEVAGPTGASWILDAFEEPYRTAAQVLLKRRTYSLEMNSF >ORGLA01G0264400.1 pep chromosome:AGI1.1:1:23512367:23513968:-1 gene:ORGLA01G0264400 transcript:ORGLA01G0264400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSHCHTINGGAPRNGAIPAVETTTSTPAASDTALLLDADEFRRLGHQVVDFIADYYAGLGDYPVHPSVTPGFLRRHLPADAPSRPEPEAFAAALRDVRDLILPGVTHWQSPRHFAHFPASSSTVGALGEALAAGINVVPFTWAASPAATELEMVVVDWLGRALHLPESLLFAGGGGGTILGTSCEAILCALVAARDRKLAEIGARRIGDLVVYCSDQTHFAFRKAARIAGIPREHCREIPTCRDDVFALSPTALHAAMQADVDAGLVPLFLCATVGTTQTTAVDPVRELCAVAARHGGMWVHVDAAYAGSALVCPEFRDVIAGAEAVDSLSMNAHKWLLANNDCCAVWVAAPSALVAALGTEQEYILRDAAAEGHDVVDYKDWGTTLTRRFRALKVWLVLRCYGVEGLRSHVRSHVAMAAAFEAMVRGDARFEVVAPRRFALVCFRLRSPPERLGVGVGVGGEKAANELNRRLLEEVNAASSGPYMSSAMVGGVYMLRCAIGSTLTEERHVREAWKVVQERATSILRKRG >ORGLA01G0264300.1 pep chromosome:AGI1.1:1:23497126:23500862:1 gene:ORGLA01G0264300 transcript:ORGLA01G0264300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 12 [Source:Projected from Arabidopsis thaliana (AT2G34640) TAIR;Acc:AT2G34640] MASCSRTWLLPGMAPQATAQTVPRLLQSLKVFAGLPHRRRVLFSGVSSRTRRGRIRSVKDDSLHFDPSKIEAPPYSSYFDSTSGQLEPASGARASIPGQEYWPEGTASRVRAARAPAPVGESAGTPSFGKKPGSRRKGYKEQVASATAGRGTETSGDEGESVVAIEASSDETLEETKDSLDEYVVYEMPKEENLSEYEMDKMMGRPHPFVDPQKAMSVGEPKSSEELWWNWRRKSEENEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKARRHLFKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAVQKHFEETGEDENTQLITMFQHQTAGEFRIMMGTDVRIQRDPLAMRMREDQIKQIWGGDPVYPTINYVHDPDEVADYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEEMEDINQDITYLPEVRDPMATAVDIGEHS >ORGLA01G0264200.1 pep chromosome:AGI1.1:1:23492081:23495093:1 gene:ORGLA01G0264200 transcript:ORGLA01G0264200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WEAPDSCSCSSSSSPWRLASRGARRRNSSSXXXXXXXXXXXXXXXXXXXXXDCGATGKGNDTDGRVWSGDAGSKYAPASLGSASAAGQDPSVPQVPYLTARVSAAPFTYSFPLGAGRKFLRLHFYPANYSSRDAADARFSVSVPAANVTLLSNFSAYQTATALNFAYIVREFSVNVTTPTMELTFTPEKGHPNAYAFVNGIEVVSSPDLFDISTPNLVTGDGNNQPFPIDAGTALQTMYRLNVGGQAISPSKDTGGYRSWDDDSPYVFGAAFGVSYPKDDNVTIAYPSNLPEYVAPVDVYATARSMGPDKNVNLAYNLTWIMQVDAGFTYLVRLHFCEIQYPITMINQRVFNIYINNQTAFQGADVIAWTNNNGIGSPVYQDFVVTTVGSGAMDLWVALYPDVQAKPQYYDAILNGLEVFKLPLSNGSLAGLNPVPTVEPSLDGGAVKKSSVGPIVGGVIGGLVVLALGYCCFMICKRRSRVGKDTGMSDGHSGWLPLSLYGNSHSSGSAKSHTTGSYASSLPSNLCRHFSFAEIKAATNNFDESLLLGVGGFGKVYRGEIDGGVTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTKNAPLTWRQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPHLKGKIAPQCFKKFAETAEKCVSDEGIDRPSMGDVLWNLEFALQMQESAEDSGSIGCGMSDEGTPLVMPGKKDPNDPSIESSTTTTTTTSISMGDQSVASIDSDGLTPSAVFSQIMNPKGR >ORGLA01G0264100.1 pep chromosome:AGI1.1:1:23481209:23486253:1 gene:ORGLA01G0264100 transcript:ORGLA01G0264100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAWRREQWAVAWLSVAVLGAALVGAAGAFEEGTAVYIVTMKQAPVFHKRLDLERFGSSRVAGGGGGGGGDTPSTSILMKPRFLMCRHGPAQPMNYGLYLVRLQNSLLKRTLRGERYIKLYSYRYLINGFAVVITPQQAERLSMTKEVANVMLDFSVRTATTHTPEFLGLPQGAWVQEGGPQCAGQGVVVGLIDTGIDPTHPSFADDLITDSYPVPAHYSGICEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDHASPSDSDGHGTHTASIAAGNHGIPVVVAGHHFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASAHDREYNNYVVLGNNLTITGVGLAPGTDGDSMFTLVAAPHALKNNVASPTEMSLGECQDSSHLDEDLIRGKILVCSYSIRFVLGLSSVKQALDTAKNVSAAGVIFYLDPFVIGFQLNPTPMDMPGLIIPSSDDSKVFLNYYNESLVRDETSNKIVSFGAIAKILGGQNPNYGISAPKVMFYSARGPDPEDNSLANADILKPNLIAPGSSIWGAWSSLGLDSAEFAGESFAIISGTSMAAPHVAGLAALVKQKFPYFSPAAIGSALSTTTSLSDREGNPIMAQRTYGNPNSTQSPATPFDMGNGFVNATAALDPGLIFDSSYDDFFSFLCGINGSAPVVMNYTGNSCSSSAMTGADLNLPSITIAVLNQSRTITRTVTNVASDERYTVSYSAPYGVAVSASPAQFFIPSGQRQQVTFVVNATMNGTSASFGSVGFYGDKGHRVMIPFSVISKVVHGS >ORGLA01G0264000.1 pep chromosome:AGI1.1:1:23470973:23473827:1 gene:ORGLA01G0264000 transcript:ORGLA01G0264000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:I1NS00] MMQSSLARPLPRPPIRPACGNPVCRSRPGSVSVARCRAEAAPPAPAPAARRAAGPYTGRDPEVKKPAWLRQRAAQGEKYARLRESIGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSNKPPPPDPLEPLNTALAVASWGVDYVVLTSVDRDDLPDGGSSHFAQTVRALKELKPGILVECLTSDFRGDLEAVSALANSGLDVFAHNIETVRSLQRIVRDPRAGYDQSLAVLKHAKSCKEGMITKSSIMLGLGETDEEVKQAMIDLRAIGVDILTLGQYLQPTERHLTVREYVTPEKFQFWKEYGESVGFRYVASGPLVRSSYRAGELFVQNLVRNNKPKLPASS >ORGLA01G0263900.1 pep chromosome:AGI1.1:1:23464096:23469579:1 gene:ORGLA01G0263900 transcript:ORGLA01G0263900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVESGGGGGGASSSSPAAAGNFDAGQYAFFGKEPLEGLELSCLEDGGGDGNGGGFSGPEDGLYRLSSVGEEIDNLSNLSEIDDLASTFAKLNRSISGTRNPGVIGDRRSISRGSSLTVDWAEDAEFPNWVDQDILEGEELQESKRWWSQSRSLGQQGDAKPLSRTSSYPQQPLQHRASEPIVAPQSPPFTSFPPPGARSPYTAQGLTRHGSIPSVGAGLQMGSPSMSLPSSSYHMAGLSHGLPYGGSVSFGSPNLPGSNPIQNDWPNQANPYAVDQFNLLPNMLQKQISLPNSPMSSLLFSQQQQRLAQVQVQPSHQNYLNLPPHLFYQHHSPELTGRFDSISNVPSLRDKRSRSGRGKHSTRFSQPLTDTGSQNGDSGGLKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKKGASSRQKANFAPTSMKDLPSKSRSSSDHHAYLQVDALGRVSFSSIRRPRSLLEVDLPSSGDGSHDQKSSLRPLEKEPMLAARVTVEDAICLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLATSLQLVDPLGPSKSSHSSGLAPKDDLVFLRIVSLPKGRKLLSRYLRLLTPGSELTRIICMAIFRHLRYLFGGLPSDSSAAETTVALAKTVSSCVLHMELGALSACLAAVVCSSEQPPLRPLASSAGDGASLIIKSVLDRATELLTDHHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIKQMFVMQSPCSVTGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGINPTGANGGYITSESVPG >ORGLA01G0263800.1 pep chromosome:AGI1.1:1:23455422:23457238:1 gene:ORGLA01G0263800 transcript:ORGLA01G0263800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVLEVSYKVLLMGKSNLSWTLLAIHIIGSMCGDKFLSKSSNFLMTTIRLVVLLLEAKNNSLCLLSSYVQSNRPAVFPTCAHCLFDVVDSVSVDGFISFLLDELHLCSQQWNSCSNTNKIIARCSPHLGSSGLEVNCGEPCYISKQVKLSEDGHNHTAGRDLCYFAEITSLLELFGNYMSCEWTYNNVVVRLLKILESCTCEEYSAALLILLSQLGRFFVDDVGYEQRAVSDLRNHLSVLMRTKVSNSRNMPVQLSAIGALLSLLPLAFDKIVAHSGQLPDLYVLQGRQISEWFCQLSKEHQSIACSFFS >ORGLA01G0263700.1 pep chromosome:AGI1.1:1:23439673:23440038:1 gene:ORGLA01G0263700 transcript:ORGLA01G0263700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSQFSSPKHTLPGSITRAAAGHSSGVVAEMKAGYVPVLVGKSGGGGEAATRFLVPVGLLNDPCMEALLELAADEMGYGQEGVLSIPCDADFFRRVVTAIPSANKANLICSPNSQTDC >ORGLA01G0263600.1 pep chromosome:AGI1.1:1:23436052:23437961:-1 gene:ORGLA01G0263600 transcript:ORGLA01G0263600.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYEQLEVARKITAVAVAARASRLELEAARLRQKLADKDRLAAELADRAASLEQALRDSDARLRAALDDNAKLAKERDSLAHTSKKLARDLAKLETFKRHLMQSLGDDNPPIQETVDIRTCEQSVAKASSWKDGVAHSRHHHPVSSLADGSTEIESVNQEVARPFEQKLSVTHISPRLTSDPAAKTRTAATSPRRYSTAVSPKLAASATSPRLEGHMAMQPWLPSSKMSSAANSPPRAHSISGRTTRVDGKEFFRQARNRLSYEQFAAFLANIKELNAHRQSREETLQKADEIFGSENKDLFMSFQSLLSRSLS >ORGLA01G0263500.1 pep chromosome:AGI1.1:1:23431119:23433733:-1 gene:ORGLA01G0263500 transcript:ORGLA01G0263500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLSTTSVVAAKAYKYRAESLVKDYLLADCYVSYTAVLGGILMCKMVYDITHLISSLYYKGYGSLTKIQKLEWNNRGMSTVHAMFITLMSVYLVFFSNLFSDELDGPVTVRSSNLSNFTLGVSLGYFIADLAMLSWAYPSLGGMEYVLHHLLSIISLVYAIYSEEGQLYTYMVLISETTTPGINLRWFLDTVGMKRSKAYLVNGVTMFVAWLVARIILFIYLFYHIYFHIDQVKQMRTFSCILIFAVPTILLVMNTVWFVKILRGLKKTLAKRQ >ORGLA01G0263400.1 pep chromosome:AGI1.1:1:23429637:23430122:-1 gene:ORGLA01G0263400 transcript:ORGLA01G0263400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLAAVPESAAADLSAAANTLPCISYRDSIRSVAAVVSGGRGPLFQSVDSASTWSSTSYASDCSGHSSGVSAAAAAGFRALTAHELREVARRMVADGYAQRMVQAFDDAATVEVLEGEVVLRARRRLGPPNPRGAGVTAAGEVGRLLFVIVAAARSGRE >ORGLA01G0263300.1 pep chromosome:AGI1.1:1:23422816:23424288:-1 gene:ORGLA01G0263300 transcript:ORGLA01G0263300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHEMDAVERNRTWVLADLPAGHRAITLKWVYKLKKDEAGAVIKHKARLVARGFVQQEGVDFDDAFTPVARMESVRLLLVLAVQEGWRVHHMDVKSAFLNGDLKEEVYVHQPPGFVIPSKENKVLRLRKALYGLRQAPRAWNAKLDSTLKQMGFQQSSHEAAVYRRGKDGNALLVGIYVDDLVITGTKKAEVEAFKGEMKATFQMSDLGLLSFYLGIEVHQDSSGISLRQTAYAKRIVELDGLTGCNPAYTPMEERLKLSRNSTAEEVDATQYQRIVGSLRYLVHMRPDLAFAVGYVSRFMQRPTTEHQQAVKRILRYVEGTTDYSLHYPRCPGAQHFIGYSDNDLAGDSDTSKSTSGTLFFLGKCLISWQSVKQQVVALSSCEAEYIATTTASTQALWLARLLGDLLGRNAEAVELRVDSKSALALAKNPVFHERSKHIRIKYHFIRSCLEEGSIKASYINTQDQLADLLTKSLGRVKFQEFRARIGMV >ORGLA01G0263200.1 pep chromosome:AGI1.1:1:23421560:23422306:-1 gene:ORGLA01G0263200 transcript:ORGLA01G0263200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPTKPPRPSRSTPWRSNALFRKATELHGAISSTMEETKALVEAAADDDSTEIARGSGDDVHTSARVMVDCVVSSSRSPTYGGFVASGGYIDGYFSASWAPVLSCLSSKSGLSPWSNKSSPLRKFELAFHETYKAQKLWKVPSPELRGRLRKTITERVVSGYREYLLEHPELKRLVSRGNSNTPADLEEMLAELFEG >ORGLA01G0263100.1 pep chromosome:AGI1.1:1:23417628:23420932:1 gene:ORGLA01G0263100 transcript:ORGLA01G0263100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARSAVVVVAMEPSSSITIASSSSYLSNGSSPCSVSLAPPGAGAVAAQAAPVAAXXXXXXXXXXXGGGGSSSVEVVSLNRLSANLERLLLDSDLDCSDADVDVADGGPPVPVHRCILAARSTFFYNLFAARGRGGDGAAGGGGGGGGGGERTGGRPRYKMEELVPGGRVGRDAFLSLLGYLYTGKLRPAPDDVVSCADPMCPHDSCPPAIRFNVEQMYAAWAFKITELISLFQRRLLNFVDKTLVEDVLPILQVAFHSELTPVLEKCIRRIARSNLDNVSLDKELPPEVAVQIKEIRQKSQPNEGDTVISDPVHEKRVRRIHRALDSDDVELVKLLLNESEITLDDANALHYAAAYCDSKVVSELLDLRLANLNLKNSRGYTALHLAAMRREPAIIMCLLNKGAAVSQLTADGQSAMSICRRLTRLKDYNTKMEQGQESNKDRLCIDILDREMIRKPMAVEDSVTSPLLADDLHMKLLYLENRVAFARLFFPAEAKVAMQIAQADTTPEFGIVPAASTSGKLKEVDLNETPVTQNKRLRSRVDALMKTVELGRRYFPNCSQVLDKFLEDDLPDSPDALDLQNGTSDEQNVKRMRFCELKEDVRKAFSKDRADNSMFSILSSSSSSSPPPKVAKK >ORGLA01G0263000.1 pep chromosome:AGI1.1:1:23400128:23409394:1 gene:ORGLA01G0263000 transcript:ORGLA01G0263000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGRKGKDAAAGAGGTGGRGGGAGRGGGRGGSGGGGGGGGGGGGVREATLVRVSKVLEDFQASDAQVYKFEPGISKQERAAIHEMCRKMGMISKSSGNGERRCLSVYKRKQNQGLETEEGPSHLGFSVEARNVLQDLFMHYPPDDAELNGHTVRNSSDKAVKIQWKPDGAFCRPALRKQDILKKVEMLASKVNKSEQLRKIVQDRSKLPISSYKDAISSTLENHQVVLISGETGCGKTTQVPQYILDHMWGKGESCKIVCTQPRRISAISVAERISAERGESVGDTVGYKIRLESKGGKNSSIMFCTNGVLLRLLIGRVTNISKEQNQKRSFDDAVTGITHIIVDEIHERDRFSDFMLAILRDLLPLYPHLRLVLMSATIDAERFSNYFSGCPFIQVPGFTHPVKTFYLEDVLSILQSVGDNHLDPTTDDLKQSSLLTDDYKSSMDEAINLALDNDEFDPLLELISGEQNQEIFNYQHSETGVTPLMVLAGKGQVGDICMLLSFGVDCSTRDHDGKSALDWAEQGNQQEVCEVIKKHMECGSAKLTEENELLNKYLATINPEHIDTVLIERLLRKICVDSNEGAILVFLPGWEDINQTRERLLASPFFQDSSKFLVLSLHSMIPSSEQKKVFKRPPAGSRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHSSWVSKANARQRQGRAGRCQPGTCYHLYSRFRAASLLEYQIPEIKRMPIEELCLQVKLLDPNCRIADFLRKTLDPPVPETVRNAITVLQDLGALTQDEQLTELGEKLGSLPVHPSTSKMLLFGILMNCLDPALTLACAADYRDPFLLPMAPDERKRAAAAKVELASLYGGYSDQLAVVAAMDCWRRAKDRGQEAQFCSKYFVSSNTMNMLSNMRKQLQNELAQRGFVPVDASACSLNARDPGIIRAVLMAGAYPMVGRLLPPRKNTRRAVIETASGAKVRLHPHSCNFNLSFRKTSGNPLVIYDEITRGDGGMYIKNSSVVGSYPLIILATEMVVAPPEDDDSDEEDGDSSEDETEKVTLGQHKEIMSSPDNSVSVVIDRWLRFDATALDVAQIYCLRERLASAILFKVKHPQDVLPPDLGATMYAIACILSYDGLPAMITSDDVATSQGSNQSSAESSRFSQGRRVGYIPPGGFLMSLLSDKPLNAPHFQKSFNHPDGASGHIRSSRTSVGRFDQSRHPQRNNSGPGSSAARTFKRQRNGAQ >ORGLA01G0262900.1 pep chromosome:AGI1.1:1:23395580:23399328:1 gene:ORGLA01G0262900 transcript:ORGLA01G0262900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARWRILALPLALLLAVGSSPGLVRQASSSAAAKPPVPKAISDLREAIVKGLGFQSEELKVSGFDVRDALVGQAVAYEFDIEVGRKVVPVRLLEDVNRWDFVDLPIFRSQADADDTALAEIRRGKSGKRAFDPTLPPFQLAGPMELWIQDGDDVRLALPHDVEAGTLKKVVLSDGAVVTVKGAKAVSLRLPLELPLPLNRTTYKGRLSSLISIAQTLRGAARSNQKPLLSLRIEGPTSLSSTPSMSPNDRLKLKRLAPGQVELSSRAIPAVTDDDGDGSHAAGLWPLLSLNGSDGSLQGFEELLASVLGKKAGEKGTFKLLKARASAQTYVKMGFAVEKRIADGEVNWSNFPEWKTKPKKLRAHYEVLARVEGGQAIPERIAQVQPFEADEAMSESVLTGNVSMSKTEVVHPPPVYFTL >ORGLA01G0262800.1 pep chromosome:AGI1.1:1:23390183:23390929:1 gene:ORGLA01G0262800 transcript:ORGLA01G0262800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGGRDDGDDTGAASTSPNSVANQSSSSEPEPVPFPVHRLARYSKSIDKAIRESVLINLLSWSLEQRMLSPEAAAHATADGLVGVVRCHAVDSGVTFYGMEGIGRSFEEELLKPFDRQLIAFRGGLPSRGEKVPVSAGEAARIRALHAAFVERDAELWTLAHVTLAEDLAASRGGDASAASISRMVERLRQVRRLFEAVLVPLRSLKSIFDDLVSSSRSPPADADVLGGATCDTPVSNAGSCVPNV >ORGLA01G0262700.1 pep chromosome:AGI1.1:1:23386787:23389827:-1 gene:ORGLA01G0262700 transcript:ORGLA01G0262700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase S28 family protein [Source:Projected from Arabidopsis thaliana (AT5G65760) TAIR;Acc:AT5G65760] MRPLPLLLLILAAAASALARAPPRFPVPHARPRRGVVGAEEAVRGYDYETRYFRQRLDHFSFLEEEGEEGDGFFQQRYLVGRGGGWAGAGGPIFFYCGNEGDIAWFAANSGLVWEAATRFAALVVFAEHRYYGESMPFGSKDKAYNNPKSLAYLTAEQALADYAVLLTDLKKNLSSEGSPVVLFGGSYGGMLAAWMRLKYPHIAVGALASSAPILQFEDVVPSTIFYDLVSNDFKRESLSCFQTIKDSWKALDAQGNGQDGLLKLSKTFHLCKTIKNTGELSDWLSSAYSYLAMVDYPMPADFMMPLPGNPIKELCTKIDNQPDGTSILERIYAGVNVYYNYTGTVDCFDLNDDPHGMDGWDWQACTEMVMPMSYSEDSMFPADKFNYTSYEKDCINSFGVEPRPQWITTEFGGHNISLVLERFGSNIIFFNGLLDPWSGGGVLKNISESVVAIIAPLGAHHIDLRPASKDDPDWLVRLRESELGIISGWLSDYYGARRGALLQRAAPIPWTLLHHS >ORGLA01G0262600.1 pep chromosome:AGI1.1:1:23380049:23384639:-1 gene:ORGLA01G0262600 transcript:ORGLA01G0262600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSAGGVGGGGGGGGGSGGAAAGDDAIWSKLREAGFDEESLKRRDKAALIAYISRLESEIYQYQHNLGLVLMERKELTSKHEQLRAASESAEIMHKRERAAQQSALAEARKKEENLKKSLGIQKECVANLEKALHDMRGETAETKVSYESKLAEALQLMEAAHKKFDEAEEKLLLAKSLEAESIRTHNAALRSLHDIDDREDQLRRDRISCELENEAKEKEISLQRKSLNDMKKILHEKEEVLLKEQALLNQRDENILERLAYVTHSEKRVEEEKNILEAERKVLLEEKYKLELKMEAIASREEALIQKESLLDKRESELLILQETIASKEQAEIERLNQEQAIALERRKHDFESEMANKQMSFDAAMEATRNALHQRECALSEQESVVVQRSQNLDLQLAELASKEKALAGRSDELKEEEEKLLLHREAIHNELQKEREEIQRIKSDLEKEKAFFEEEKREAIQAQQDLAITQADRDELLTLQMKLKEEIDSLRAQKRELMADADRLQAEKERFEIEWELIDEKKEELQKEAIRIAEERRAITEYLKNESDIIKQEKDNLRVQFKSNSETLSREHKEFMSKMQQEHASWLSKIQQERQDLKRDIDIQRVELLNSAKSRQMEIDSYLREREEEFEQKKAKELEHINSQKEMINTKLEHVAVELQKLEDERKEATLERERREQELSEIKGTIEALNNQREKLQEQRKLLHSDREAITVQIQQLNVLEELKIDSENKQLSLLQHDKSKLGSEINVKDNHHDNSHSSPKQRFGRKLDLSPVSTPISWVRKCAQVIFKRSPEKSTSHDQFVQNGVPKKVGDSVDVEDVNLDFAKVGQKRLNHLVSCDQTEVLEPKRKHRRSTIQKVNGGEITSNCPSALEEKCSKNEHDEAPLGLSNTCKEHEYGDKGPENLTKPGEPASSVDVPYVNGIVDNSDSVQEEPSVEATVSATETSNVDGPEDNNDSDEEDEEEEEEKTSSAKKLWRFLIT >ORGLA01G0262500.1 pep chromosome:AGI1.1:1:23370762:23374471:-1 gene:ORGLA01G0262500 transcript:ORGLA01G0262500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWWGGEGRGGSGTPVVVKMESPEWAISEVEAGAAAPGSPAAGGKAGRGKNARQITWVLLLKAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVAAGRTDSDDAAAAPPGESPALRARFHGFLRAFLLLSVLLLAVDVAAHAQGWHAVVPDLLAVEGLFAAAYASWLRVRLEYLAPGLQFLANACVVLFLIQSADRLILCLGCLWIKLKGIKPVPKASGGGGGGKGSDDVEAGADEFPMVLVQIPMCNEKEVYQQSIGAVCNLDWPRSNFLVQVLDDSDDAATSALIKEEVEKWQREGVRILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQADFLKRTVPHFKGNEDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLYINDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGVWKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWVVCYIPATMSLLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLVEKQPKQQRVGSAPNLDSLAKESHPKKDSKKKKHNRIYQKELALSFLLLTAAARSLLSVQGIHFYFLLFQGVSFLVVGLDLIGEQVE >ORGLA01G0262400.1 pep chromosome:AGI1.1:1:23363090:23364958:1 gene:ORGLA01G0262400 transcript:ORGLA01G0262400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFGFIXFASEESTARALKKDRHFLCGQWVEVVVPTSVLLSPRGKDIGPKPPTFASEESAARALKKDRHFLYGQWVEVSLAMPKQQNATSGTSKLLVQAHPFYPVTSSNFTAAANYPSVVNIVHVVTPMNCVVGNTFNPHIGFEVPGMKLSDGVTNVVTANYSYQYPYHGGGEVPPQNSAMYLQAAHYYSGAMM >ORGLA01G0262300.1 pep chromosome:AGI1.1:1:23350432:23353225:1 gene:ORGLA01G0262300 transcript:ORGLA01G0262300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAETTVAVTTGGEGKIVRGRKLLSHGKVFVGGVPLGTSESELRAHFSRFGTVAFVGAPKNKQTGAARGFAFVQFVNPDDAAAAIAAGPDRNVIRGTTMDVKLAQPKPSAGGPQLSPVDQKRKIFVGGLPVSATEKKLKEYFNTFGEVNRAIVVTDLNMKMPRGFGFIQFASEESTARALKKDRHFLCGQWVEVSLAMPKQQNAASGTSKLSVQARPFYPTTSSNFATAANYPDVVNIVPVVTPMNCVVSNAFNLISALRYPG >ORGLA01G0262200.1 pep chromosome:AGI1.1:1:23345711:23349434:1 gene:ORGLA01G0262200 transcript:ORGLA01G0262200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAGTLSSSHSDEDGDDLEASSGDDHRDEQPDTPSGGGGGVKGDLSELTDSLTRGLWGVASFLAPPPAPAAEAAETATGAVGEGEGEDGARSPRIAGIRSDLAEIGGRVRSGISLLSNANAVAEISKIASSLLPFGPGEDDDDDDDAEAVGVTEEVVEFVRHISTHPETWLDFPLFVNDRHADDFELSDTQYGHALAIERVVPSLSYLRTELCSTNMSEACFWKIYFVLLHSKLSKQDAELLSTPQILKAREVLLQSSPTKKRLGPDDGSSQNWNVASTKGDNSGMSEAPSLEEATSVPMNVVEADKHPISVAEVEIIDKSVVEEELVVKNETKSIPSDSEKANLHITSDDDDKEVEDWLKDVAPVSSKTGNVNSAGQEEDISFSDLEDEEDD >ORGLA01G0262100.1 pep chromosome:AGI1.1:1:23341507:23342393:1 gene:ORGLA01G0262100 transcript:ORGLA01G0262100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPRGRRPRHHAAVNEVLSTLAAANSTLADVQRRLDADFRAAYPDHANPVKLVARLKRIQEEVAAVKGLCRDLLTQKQELIDAMRTSLAVQRSAVQRLLASSGLPPMSEEDAATDANLNQIIDEWTAHVGPDTGDDKDEDTNQIFFAAVV >ORGLA01G0262000.1 pep chromosome:AGI1.1:1:23336506:23337054:-1 gene:ORGLA01G0262000 transcript:ORGLA01G0262000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVDDLVRAASRQRRVAAEPAAGAAKKVGHYREAPAGKKGPFEAAAAAVPAPRKKGAAMGTIAEDAPCEFVADTTLKATPPMRRGASADGLAARAGGFGAIKVGTEAFAR >ORGLA01G0261900.1 pep chromosome:AGI1.1:1:23327508:23328182:-1 gene:ORGLA01G0261900 transcript:ORGLA01G0261900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVGESSATAVDGGGGAKDSGSFECNICLELAQDPVVTLCGHLFCWPCLYEWLHVHAHSRECPVCKAGLEEEKLVPLYGRGKASTDPRSRSVAGVQIPSRPAGQRPATAPQPDHHHDHLPHHDPWFMGGAGAPVAGGRWGNYTFSAAIGGLFPLLSFQVHGFPQAAAYGPAAGFPYGYGHSFHGWQGHGFPHQAPQGQHVDVFLKVLLVLVGVLVIASLIVF >ORGLA01G0261800.1 pep chromosome:AGI1.1:1:23320592:23322685:-1 gene:ORGLA01G0261800 transcript:ORGLA01G0261800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1NRX8] MAPPAGTEAAGAGHRKNWRGESGNLWRIAGPVILTEIFQFLIGFVTAAFVGHIGKVELAAVSVVNGVVEGLAFGLLVKNHARTVSMGSALETLCGQAVGAGQPRMLGVYLQRSWVICLATSLALLPLYLLASPALRLLRQSAAISSVAGRYARWCAPQLFAYAVNFPMQKFYQAQSRVWAVTAISAAALAAHALLNWLVVARLGHGVVGAALVGDVSWWLLNAAQFAYLVGGSFPEAWSGFSRKAFTSLGGFVKLSLSSAVMLCLEMWYYTAVLILVGCLKNPEIQVGAISICMNYQLWTLMVAVGFNAAVSVRVANELGANHPKAAKFSVIVAVVTSAAVGLVFTLVALVARKQLPRLFTDDDVLVRETAKLGYLLAATIFLNSIQPVLSGVAIGAGWQSSVAFVNIGCYYLVGLPIAAVFGFRLSLNATGIWVGMLIGTILQTVILLVILYRTKWQKEAMLAEERIKVWGGGVELPTIQEAS >ORGLA01G0261700.1 pep chromosome:AGI1.1:1:23317533:23319940:1 gene:ORGLA01G0261700 transcript:ORGLA01G0261700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQVQHKSRINVGEATHMSKAEMGANTMFATSRLNSNNKVGPELAYSSGVTSSASDSSTAAPSPCYLCHKPAALHVFGLAGRYVFGSVKREAYLSQEGPRSGRTPNRIAESLPVRVVNDFGLRLRVVTNQGPIKPRPPRPIDAIVFASIETRNRLRGFDRSLCCSAPPETYVFLPRARETIVLRANIIKMSSEQQASAGQPVLCASGCGFYGNPATLDMCSVCYRQHCLLNGATMATGPSSSVAAASAATVATGAVTSDSCSVPSAEVNGAAFSSKNNPEPATTVVEKKAPANRCASCKKKVGLLGFACRCGATYCGTHRYPEKHACGFDFKGASRDAIARANPLIKGEKLTNKI >ORGLA01G0261600.1 pep chromosome:AGI1.1:1:23309288:23310221:-1 gene:ORGLA01G0261600 transcript:ORGLA01G0261600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEVSKPSRRLSPKGSFKLSLPSLLACGQCKATAVSPPESPTGVGARSFSSSASSSAGTSRGRERDRLAELREIFRHFDRDMDGRISGDELREFFASMGDDSXXXXXXXXXXXXDGAAGGGESGGGGGGLMLAFEDFVRIVERKGGEEEEREDLRRAFGAFEAVKGSGRITPRGLQRVLSQLGDEASVAECEAMISAYDDDGDGELDFHDFHRMMSQD >ORGLA01G0261500.1 pep chromosome:AGI1.1:1:23302407:23304841:-1 gene:ORGLA01G0261500 transcript:ORGLA01G0261500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKRVLPHGSPRGSPMATRRRDAEADENVRAWSENPGRLGAVAEWTASLERKKVLGERNGGGDGSGEAASPPPFFSQAKPATSPPSLSCRGQGPYDPKTNYTTPRPEFLRYNPEKRREILLRLEREAEDESSSATSATPTPTPSECVSSGSSVRGGEAELDRADAKEEEIEIPSPRGLDRADAEEEEIEIPSPRGGWARRLLLLLVAAACSCCYIYCMSSSPFPTSQMGLDFAGTTGSVHDASAHQVGSLELRATEMMGSHHVFEEATDQTVPNGSENAAQLYGPMGGSRKNFMAIAAMGLADSCPNVPFGEFTCQIGDRAVEDVQNSKEDFQLSELMVATSENAEQLGEVVSLNENVTADSIGSTYTADMVEGESGLVHQEEAGEDHSQHSQQLASMEKTIEQENNEVGYDGEGLENDRLDQATELLEYENPAAAAKAIVAMVKSLWPSIKLHLMEILACFSVAAFAIAAAMLKCFQRSPKGASVSTRRLEQSPLAPNPRLPVLPSPQSVLQPVQLTVPKVEPPVNLKIPTLSPLHKPDLFASFREQVPLPEPIPVSSVNLNNAVQFPLPKQIDSGNRPQKVHQDDAGSARIPDSYSVGRRDIDSSRPPVVALLGEFSLVDASSSRGSSRKGSNEHAGDVAVQEPSVTLRKDVVKMQKETTAIKSPSARKTKKEENAAKVEKKDVTTPTPLRRSNRLLNRVTSP >ORGLA01G0261400.1 pep chromosome:AGI1.1:1:23300183:23301547:-1 gene:ORGLA01G0261400 transcript:ORGLA01G0261400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEAVRDETAMAMRLLGHLARAPRGGGGDKNLAVSPLSLHAALALLGAGARGETLDQIVAFLGPAGGPAHAALASHVALCSLADDSGPGDDRGGPKVRFANGVWVDAALRLKAAYARVVADKYRAEARPVSFRDKLEEARREINEWFESATAGRIKDFLPKDAVDRATPAVLGNALYFKGDWESKFDARSTSDDVFYLPDGGHVSAPFMSSGKWQYIACRAGYKVLRLPYARGGRGRGRDTGRLFSMYIYLPDERHGLPDMLRKLCSDPAALIESSAALTEKVPVGAFMVPRFTLSYKTNAAETLRQLGLRLPFEYPGADLSEMVESSPEAEKIVVSAVYHESFVEVNEEGTEAAAATAVVMTLGCAAPSAPVHVVDFVADHPFMFLIKEDLTGVVVFAGQVTNPSSST >ORGLA01G0261300.1 pep chromosome:AGI1.1:1:23291242:23299858:1 gene:ORGLA01G0261300 transcript:ORGLA01G0261300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT1G27750) TAIR;Acc:AT1G27750] MASSAEPPPKKRRLVEVHVPSPAPSPSSSSAPAPASPRSPVPPPPGVPPPPPPPPQTLAAAAASPRPEEAVRKRRNREELRGLFECYRRIRLCVERKDARLLPELEQVYLSLIASSRGCTSVQRILADLIPQYASYCPTALEAATKVSINMYKWNLAIVTRGEDVDGVAYQTARACILGLTDICSTASCEAPKSSVLTGICSAVYITVLTFFISTFDGKDIYHIGSRKLLNLQDPVELLDILKQDSGGDNQQADDCLVELRALSLLCIFLLFPENILDACFALIASAEDVNREGLYFLKQLTCHLNSGIITDALDVQGDGVSQCTGMDVDHPSTKESVDSNLSSHSVGVSGSSMLQPNECYMTMAISRHPSLKGWILLRYKKLCDSCRTDVVSEVSSCLSVLGSLTQLEDNKSHMGSESSVLDKIDENSGGYLQPNKLACSYEQRMLLKTDIADRYGGKTVQNKNAQMVHTDEVKTEKLADVKLDVCKQGNVISDAGHQAARLDMHTPKSTHDSAGGAATLTSPGQNFGKAKHSYSEPSDLYGAHFSRDVISVSKELWIGSLGNSAAESLVRSKFEEFGPLANFLFYPPKNFALVEYGNIMHAVHAYGCMQGSSVWCGGLQIRYLDRLIGSKGFASGIAVGESRHIYVAKVKNKKDKDEVFDELKAAGLKRPCSFTDISSENALLLEFETATDAAAAKAHIRRQLHPDVCSQDKNTSGHELFVRNIDNSVPDMEFINAFSRFGEVIRWQFNKLDGNCLIDYRSPNAAATAKSHLHGARFGLKSISVESRSVHDKTLSPSVPMLAPSVSDSSHHEIRNPRVSGYNAGFTVPTERPIYGPSAPRAPQGILPCPPVSAHRGPVIPPPPIQTSFVRPVYPGPGSPWENTTPNPSFSHASPRMMPGSSFRMNPPAPLPFIPSSVTPLTQFPGGSAQQSEKRPPPPPPPPNVAPPPFTRQDIPPPPPSPPPLPITQPPSVPPPPNSPPPLQPATDPSDSQKQRSHPRWQGSLSKSGLHYCSIYASRIELDACRYEHAVSEPTGWPSRLDVTKRTDYQHVKTTFSNTPPSKREVCRLLPCSNGDQKGFRDFISYLKQRECAGVIKIPSVKPLWSRLLFILPPTPEACGMLELPPHPTECLIALILPKETTAEAT >ORGLA01G0261200.1 pep chromosome:AGI1.1:1:23290049:23290636:-1 gene:ORGLA01G0261200 transcript:ORGLA01G0261200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPLIILLFLPLLSLLSHRAAHRGGEVGGGVVMGSGLEEVRCWHLRAVVLAVEGAAGRRLHAAEAELGLAANEGIDEAELRLVEDRVVDNDPTVAGAKAVAPFREERGQPLVERGGDPRGQQPCVVAIPPAARGCLALDLKSMRKTTNNISYMIEKGDLRSKSIKKATTSNSYMMENGYLRSKPMKKLQPVILT >ORGLA01G0261100.1 pep chromosome:AGI1.1:1:23283314:23288871:-1 gene:ORGLA01G0261100 transcript:ORGLA01G0261100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein [Source:Projected from Arabidopsis thaliana (AT3G48540) TAIR;Acc:AT3G48540] MASARDLAVASISAAVGAAAAAAALRFLSSYGASSAKQRSPPTPCAEHLAVNGCAAERPPVQSPFDPAKREGYISWDDYFMAIAFLSAKRSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDNKLPWAKKSAKGDPLETKYPYVVHAEVNAILNTNHASAAGQSCVIFSLQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRIDNSDYVYVASHKLLSMAGVKVRKHQPQMSQIPIKFQEPRNGEPSMNAASIFS >ORGLA01G0261000.1 pep chromosome:AGI1.1:1:23281038:23282486:-1 gene:ORGLA01G0261000 transcript:ORGLA01G0261000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGLPPPLRPSTAPPPPSVTRALHAINTCTTAAALAPIRGAILGDRALLRSTAVVSAFFLACGRLRHLDPALSLFACHPRPHVFVFNSLLRSLGRAPARSPLPLFRHFLRCRGVRPNRFTFPLLLTSLSSLADLRAVHCQVVKSGFGPDLHVRNALLARYADCDPDLAHAEQMFDEMPRPEVVAWTTMITSYRNRGRTFHALATFRRMLAAHVAPNRVTMVAALGACTAHCAVDTGIWIHEYVQKQGWEMDVVLGTALVDMYGKCGKVSDGMHVFSKMAKRNVYTWNSIIGALALAQDGKTALQWFSRMQNDGVQPDEVTLICVLCACAHAGFVDIGRKIFNLAIQGEYGFQPGIKHFGCMVDLLSRSGHLDDAFRVVETMPSQPNAVIWGLLLRGCRARGDSWLSEHVTMRLVELEPENASHYVLLSNLYAETGRWQEAQGILHWMKKKGLRKDAGWSLRMLEDKSKKYTTDGCLLEYVE >ORGLA01G0260900.1 pep chromosome:AGI1.1:1:23276294:23279545:-1 gene:ORGLA01G0260900 transcript:ORGLA01G0260900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPILVVPIDVSKKPWEQKVPLHNRWHPDIPPVADVTEGELFRVEMVDWTGGRVSDDNSADDIKFLDLTITHYLSGPLRIVDAEGVPAAPGDLLAVEICNLGPLPGDEWGYTAIFERENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSAELLNIWNEREKILAETNHESIKICEVLHQRPLANLPTPENCLLGKIQEGTADWQKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVDGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPIGPTPLHVNPIFDIGPVEPRFSDWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQDVKPKRLSGKQGPKLRRLPDVLRCSSDGHLPVTQDPSGTKAP >ORGLA01G0260800.1 pep chromosome:AGI1.1:1:23271392:23274608:1 gene:ORGLA01G0260800 transcript:ORGLA01G0260800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVAAAEAGSKAAAVAGKAVAACERDAEKLEFIEEMTRGFDAVQERVLAAILARNNGAEYLRRHGMEGRTDREAFKARVPVVTYEDLRPEIERIANGDRSNIISSHPITEFLTSSGTSAGERKLMPTIEDELDRRQMLYSLLMPVMNLYVPGLDKGKGLYFLFIKSETKTPGGLPARPVLTSYYKSDHFKHRPFDPYNVYTSPTAAILCTDAFQSMYAQMLCGLVARAEVLRVGAVFASGLLRAIRFLQLHWRELAHDIRTGTLSAKVTEPSIRDAVAEVLAAPDAELAAFVEAECGKDKWEGIITRMWPNTKYLDVIVTGAMAQYIPTLKFYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFELMPHDPDAPPLPRDAPPPRLVDLADAEVGREYELVITTYAGLCRYRVGDILQVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQAAVERASALLSPYGASIVEYTSQADATTIPGHYVVYWELMVREGGAWPPPAEEEGRGVFERCCLEMEEALNAVYRQGRNGEAIGPLEIRVVRAGTFEEVMDYAISRGASINQYKAPRCVSFGPIIELLNSRVISKHFSPACPKYSPHKK >ORGLA01G0260700.1 pep chromosome:AGI1.1:1:23252013:23254327:1 gene:ORGLA01G0260700 transcript:ORGLA01G0260700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52905) TAIR;Acc:AT3G52905] MRLLKADQLFRKVIDGGSRKQSRLLGLDVGSKYVGLAVSDDKNRIALPLSVLSRTKTNISLMADDFVTLVSKYSLAGFVVGYPFNLQGQSSPNALQVRLLVGELCKTGKLDDMSYTYWDENFTSKCVEALLHPLKLHDPVETKTMTDKFAAVCILQRYLDNMNRELRSADNSGKQGDT >ORGLA01G0260600.1 pep chromosome:AGI1.1:1:23247135:23251210:-1 gene:ORGLA01G0260600 transcript:ORGLA01G0260600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G26640) TAIR;Acc:AT1G26640] MAEEAAQEQQTDPAASRPVRCIVKLGGAAITNKGELESIDAASLRSACAQLRQAMSHGGAAGKVVGMDWSRRPGDPTGPVVDVEGLSEMGGLGLDSNFVVVHGAGSFGHFQASRSGVHKGGLHSTLVKAGFVATRISVTSLNQEIVRALAREGIPSVGMSPFACGWSTKQRNLESVDASQIMQSLHVGFVPVLHGDAVLDELLDCTILSGDVIIRHLAQLLSPKYVVFLTDVHGVYDRPPSDPNAVLLREIAVDENGSWSIVKPALKGNKKGVEISVAAHDTTGGMETKILEAAAIARLGVDVYITKVGTEHSLRALKGDTSSEDWLGTVIRSSR >ORGLA01G0260500.1 pep chromosome:AGI1.1:1:23239201:23241639:-1 gene:ORGLA01G0260500 transcript:ORGLA01G0260500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIFACGSISSPHGSCFRPACLAVDDLRLFYKINSITCGAYSWRWCVKKLHMRTNRRQMGTTVRTNAKWLFGGDDRSSSNARLERSESANEDILIFYFQLDLQTRIQYALNIEQFDVAKQLREKLTEIETEIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAALRDEIAKLETESLAVSAKALAYQNVEYAFRLGQKVRHKVHGYRAVICGMDPVCCESKSWMETANVENLSKGPNQPFYQVLVDVYADPELLVAYVAEENLAEAEISEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKYDQPRYEASGDEDDDDGSTDS >ORGLA01G0260400.1 pep chromosome:AGI1.1:1:23233379:23236106:-1 gene:ORGLA01G0260400 transcript:ORGLA01G0260400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase [Source:UniProtKB/TrEMBL;Acc:I1NRW4] MEFNKVVSSYRAASPAPVGMARGGGGPASRVEFGPSSRRARLAATNNSVTPVTKEEKQRIDQSEILTLDNIRTSLVRQEDSIIFSLLERAQFCYNADIYDKNAFHVDGFDGSLVEFMVRETEKLHQQVGRYKSPDEHPFFPEDLPEPLLPPLQYPKVLHPIADSININKEIWKMYFDELLPRLVKEGSDGNYGSSALCDTICLQALSKRIHYGKFVAEAKFQESPEAYMPAIIAQDRDQLMHLLTYETVERAIEHRVEAKAKIFGQEVDLGAEDNGAPPVYKIRPSLVAELYSYRIMPLTKEVQVAYLLRRLD >ORGLA01G0260300.1 pep chromosome:AGI1.1:1:23229174:23232160:1 gene:ORGLA01G0260300 transcript:ORGLA01G0260300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF155) [Source:Projected from Arabidopsis thaliana (AT1G69380) TAIR;Acc:AT1G69380] MGRLRACTRLRRLLATRPPHPAPPPPLPRTTTTGQTLPRFSARAFSSASAVAVAVPHEARDSGLGSSAYWAWIRAAAESAPAPPPQQEEEDEGLARCIPVKAYFLSTSIDLKSLQADHGSDVVPPSTRSLNYIALRYSEFPQEIMDIGVKDNRFCYRYVVVFQYGSAVLFNIADHEAEHYLDIIRKHASGWLPEMRKDDYAVVEKPSLTTWMKGGLDYIVLKSLDTDGIRIIASVLGQSIALDHYIRQVDDMVEEFTEINRVMEKTGNFTMQRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNSNYAQILEYLREEYELNQRFGSLDFKLKFVEHNIHFLQEVLQNRRSDLLEWGVIILLIIEIVISLYEIIKDSSMMS >ORGLA01G0260200.1 pep chromosome:AGI1.1:1:23227025:23227381:1 gene:ORGLA01G0260200 transcript:ORGLA01G0260200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSSSVGVCTLLVAVASLQLLLVVAVAASAETAPAMPDEEFLGRLCDQQQGATRRRLPWCQQLHARRRHRGGGGGVGVGKRRRVPMPPPSRAGEEIDARYVVSKRVVPSGPNPLHN >ORGLA01G0260100.1 pep chromosome:AGI1.1:1:23206258:23210195:-1 gene:ORGLA01G0260100 transcript:ORGLA01G0260100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGSTLSWNVTRCVAVLEEAGAEYEIVPLDFSKGEHKAPDHLARNPFGQVPALQDGDLFLWESRAICKYVCRKNKPELLKDGDLKESAMVDVWLEVESNQYTPALNPILFQCLIRPMLFGAPPDEKVVEENLEKLKKVLEVYEARLTKCKYLAGDYISVADLSHVAGTVCLGATPHASVLDAYPHVKAWWTDLMARPSSQKVASLMKPPA >ORGLA01G0260000.1 pep chromosome:AGI1.1:1:23203935:23204852:-1 gene:ORGLA01G0260000 transcript:ORGLA01G0260000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLLLLLLLLGMSLKGSEGAWCVCRRDVAEAALQKALDYACGHGADCAPVTPSGSCYSPNNVAAHCSYAANSYFQRNSQAKGATCDFGGAATLSSTDPSSGTCKYPATASAAGTSTGTGTAGAGTGTGTSTSTSTSTSSPGSATAATGTPIMGGTFATPIGGGASGPTTSAMNPDSSEAPSPSLGRHLLLTCIASMLLSNFLLA >ORGLA01G0259900.1 pep chromosome:AGI1.1:1:23201807:23203148:-1 gene:ORGLA01G0259900 transcript:ORGLA01G0259900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLDRDDSLDTVLEVPIPDEMLINAPGTDKRRGAGGANMRAWLKNQAFDRATVGGPANATAELQLFLNVVGSPLIPCPVPHDRAFSRSIRDSSIQASTAKYIMQQYIAATGGQAALQGLQSMYAVGKVRMCASEFHLGDQNVTAAQGRAEVGGFVLWQKCPEVWYFELIMAGHKMSAGSDGKVAWRQSAAENSHASRGPPRPLRRSLQGLDPRSIANLFSDAVCIGEKIITGEECFILKLEASAATLRARSAAAFDIIHHTVWGYFSQRTGLLIQLEDSHLLRMKSGKGARRSENIFWETTMESTISDYRHIDGINIAHGGHTAVTLFRYGEGSVNHKRKLEETWTVEEADFNLYGLTTDYFLPPSDLKKDIDEKRAG >ORGLA01G0259800.1 pep chromosome:AGI1.1:1:23199368:23201176:1 gene:ORGLA01G0259800 transcript:ORGLA01G0259800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAELIKQFSNITLGEEQELCDIEHALKALRKKILTLDFENSMRVHDPQNSFQYLEVLYKIRQLTERLGSLHPGGEAKEHNELIVYAGDLFDMAMARLEEEFVYLLTHYKQPIEQGLVSFRSTEDGSVDDFSSSSFNEEQCDGKTTQTETTGGSEYFATDLIQHGALSAVKSIANFMVLSEYDKECSQAYISTRQSAVDENLGSLRIDKLSMEELLSTNWTKLSSLIKRWNRAMKVFVQVYLTSEKRLSNHVFGELSESTADLCFYEISLSSVMQLLTFYESVAIGPPKPEKLFRLLDMYEVLNDLLPEVEFLFQEGCDDIVLTEYNEVLLQLGESVRKTITEFKYAVQSYTSSNAMARGEVHPLTKYVMNYIKALTAYSKTLDLLLKDTDRRCQHFSTDIQSMANQCPHFTVSALHLQSVTAILEENLEAGSRLYRDDRLRNIFMMNNIYYMVQKVKNSELKIFLGDDWIRVHNRKFQQQAMSYERASWSQVLSFLSDDGLCAAGDGASRKIIKEKFKNFNLSFEDAYRTQTGWSIPDDQLREDVRISISLKIIQAYRTFTGRYYSRLDGIRHLERYIKYKPEDLEKLLLDLFEGAQKTL >ORGLA01G0259700.1 pep chromosome:AGI1.1:1:23196186:23196748:1 gene:ORGLA01G0259700 transcript:ORGLA01G0259700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chlororespiratory reduction 7 [Source:Projected from Arabidopsis thaliana (AT5G39210) TAIR;Acc:AT5G39210] SLFLPIQAKVQRLYPTRGDRETLRSAAARIASRKSQHLQALVVVVCAARRRRADIQSETYVLMEPGEEEEFVSKEELEGRLRGWLERWPGGELPPDLARFDTVDDAVSYLVRSVCELEIDGEVGSVQWYQVQLE >ORGLA01G0259600.1 pep chromosome:AGI1.1:1:23188136:23194086:1 gene:ORGLA01G0259600 transcript:ORGLA01G0259600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLRTIYFLLLLLPHVVFSRPLFPLPSKSNGIEKRPLQTFRPYNIAHRGSNGEIPEETAAAYLRAIEEGADFIETDILASKDGALICFHDVTLDATTDVASRKEFSNRRRTYEVEWFNITGWFVVDFTLEELKTLKVNQRYPFRDQQYNGKFSIITFEEFISIALDASRTVGIYPEMKDPVFINKHVKWDGGKKFEDKFVDTLLKYGYKGQYMSENWLKQPLFIQSFAPTSLVHASKLTDSPKIFLIDDFSVRTQDTNQSYWDITSDDYLAYISNYVVGLGPWKDTVVPAAKNYTMAPTDLVARAHAHNLQVHPYTYRNENQFLHLNFHQDPYAEYDFWINSMGVDGLFTDFTGSLHRYQELVAPHAKDETANSLLVKIAQMISQYEGF >ORGLA01G0259500.1 pep chromosome:AGI1.1:1:23184860:23185273:-1 gene:ORGLA01G0259500 transcript:ORGLA01G0259500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAAGAGAGKSLFQTFRKFFKKPWEITGPCASPEYRSALPGALEYRHRCPATLTKDTMAVVPTSEPETVHDIKYYTRDRRRDRPPVRRTLLRKPDLERYMAAKQFDPAKDFPVPYVNTAVEEDYDAVGGGYQK >ORGLA01G0259400.1 pep chromosome:AGI1.1:1:23165082:23166005:-1 gene:ORGLA01G0259400 transcript:ORGLA01G0259400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGSNQAAAAAAAAAAAEEEAAELARKHTAAVATSRQWSAQTESRIVRVSRVFGGKDRHSKVKTVKGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAARHEIDKLPPLQFPPQDHLCMGHHHHLPSAMPLMHHHGHHHHADDDKYHVAAAAAALAAEKEAAAAGGGGGGGGDDVDGGGGGGAAHIVGRFPAGGYHRFMGLNNPLGMVNSAAGAAMPFHYAGESWNNGSVQDSGAGSPQVAAAAAHHSSPFPSLLSLAPGPHHQLVFYSSEAEQFTVDNLGSQGLSLSSARAFHDQTGS >ORGLA01G0259300.1 pep chromosome:AGI1.1:1:23152120:23156335:1 gene:ORGLA01G0259300 transcript:ORGLA01G0259300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRRLLQFQSLLAQQALRLRAAPRPKPQPNPPHRFLHAPSSPAAASPSRLPLWCSTGSLLPVSAAAAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAKWLPSADGAVLMLVGANVGVFMLWHLADPSFMRRHFMISLDNFKSGRLHTLLTNAFSHAESGHLISNMIGLYFFGSSISNMFGPAFLLKLYVAGALAGSAFFLLEKAFLAPRRQFYGGWDNSRTPALGASAAANAIILLDIFLYPKKLVYLYFFIPIPAAIMGAILIGADLLRVKRQGQVSGTSHLGGALIAALAWARIRKGWI >ORGLA01G0259200.1 pep chromosome:AGI1.1:1:23149569:23151739:-1 gene:ORGLA01G0259200 transcript:ORGLA01G0259200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQGPPKHQNRYAWKPNLGQKINETEPGGRFRPFSEITGVCQRCKDQIDWKRRYGKYKPIVEPAKCQKCGKRNVRQAYHNVCTACSKDLGICAKCCTCVKALVGRDLSEQESERKELEEAIRGARERERRTLLRLMNKGGGESGPSVPKIADRSREGDIFPAASLDEYAEQARQQDDSDEEEARDFVED >ORGLA01G0259100.1 pep chromosome:AGI1.1:1:23146515:23146850:-1 gene:ORGLA01G0259100 transcript:ORGLA01G0259100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGNKLGEVMWEHRLQAAAVVALVAATVVSISAIGPRLGAVVSFFWPLLVSTGFFLVAVAVLLRISPPPSSTIDESGKELIDFVAGCRPEHHPPEAAEAAVDVPPEPEI >ORGLA01G0259000.1 pep chromosome:AGI1.1:1:23137431:23140376:-1 gene:ORGLA01G0259000 transcript:ORGLA01G0259000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVARSRLLVPLLPRISARSFSAASPASEPAAAAAAVAASDAAAATDYSSSAGDPSSAPPPPARKPLGLLKGGVLAVVAAAFGATGYVSYAYSLDEIDQRTREFRKNSKQPIRDDLSGFEKFQAMAYSAAMKVPVAAIEFYLDTRSQIEDQIRGFSEPSSDKLLPDLLPQEQHVFTLVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLGKFYEIVVYSDQLSMYVDPVIERLDPKGCVRHRLSRVATKYENGKHYR >ORGLA01G0258900.1 pep chromosome:AGI1.1:1:23134792:23136566:1 gene:ORGLA01G0258900 transcript:ORGLA01G0258900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINRPIVFFTVCLFLLCNGSLAQQLLGQSTSQWQSSRRGSPRECRFDRLQAFEPIRSVRSQAGTTEFFDVSNEQFQCTGVSVVRRVIEPRGLLLPHYTNGASLVYIIQGRGITGPTFPGCPESYQQQFQQSGQAQLTESQSQSHKFKDEHQKIHRFRQGDVIALPAGVAHWCYNDGEVPVVAIYVTDLNNGANQLDPRQRDFLLAGNKRNPQAYRREVEERSQNIFSGFSTELLSEALGVSSQVARQLQCQNDQRGEIVRVEHGLSLLQPYASLQEQEQGQVQSRERYQEGQYQQSQYGSGCSNGLDETFCTMRVRQNIDNPNRADTYNPRAGRVTNLNTQNFPILNLVQMSAVKVNLYQNALLSPFWNINAHSVVYITQGRARVQVVNNNGKTVFNGELRRGQLLIIPQHYAVVKKAQREGCAYIAFKTNPNSMVSHIVGKSSIFRALPNDVLANAYRISREEAQRLKHNRGDEFGAFTPIQYKSYQDVYNAAESS >ORGLA01G0258800.1 pep chromosome:AGI1.1:1:23132379:23132842:-1 gene:ORGLA01G0258800 transcript:ORGLA01G0258800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLSKLNRNPAQVIYISGHALESCLQPENCVEIKPWKLENDDTQLLDLIPFLEYVAMARPSDIRAVLASYQGRDIPAEFIERSKEHQR >ORGLA01G0258700.1 pep chromosome:AGI1.1:1:23129755:23130881:-1 gene:ORGLA01G0258700 transcript:ORGLA01G0258700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRAFAPPCLPGSGPLPGLAVPGRGDLFPAAGSVVGRRAAPAPAGRGAVARAVDGPSAAAAVAAAADVPPPQITWQIVVGAVAGVTPFVVAGVEFGKRIIAQKKCEICGGSGLVMKKDYYVRCQGCGGFLPWQSWRRFFTG >ORGLA01G0258600.1 pep chromosome:AGI1.1:1:23124093:23128334:-1 gene:ORGLA01G0258600 transcript:ORGLA01G0258600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISSEAPVGVFAIGPSTALGRALALRVLLCGSLGRLRHRLAAALRAALPVAAGWLHPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQSAYRRKFWRNMMRAALTYEEWAHAARMLDRETPRRATDADLYDEELVRNKLRELRHRRQEGSLRDIVFCMRADLLRNLGNMCNSELHKGRLQVPKLIKEYIEEVSTQLKMVCNSDSDDLPLEEKLAFMHETRHAFGRTALLLSGGASLGCFHVGVVKTLVEHKLLPRIISGSSVGSIMCSIVATRSWPELESFFEEWHSLKFFDQMGGIFPVVKRILTHGAVHDIRHLQMLLRNLTSNLTFQEAYDMTGRILVVTVCSPRKHEPPRCLNYLTSPHVLIWSAVTASCAFPGLFEAQELMAKDRFGETVPFHAPFLLGLEERVGATTRRWRDGSLESDLPMKQLKELFNVNHFIVSQANPHIAPLLRLKEIIRAYGGSFAAKLAELAEMEVKHRCNQILELGFPLGGIAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSYAELQKAANQGRRCTWEKLSAIRANCAIELVLDECVALLNHMRRLKRSAERAAASQGHGPTIRLCPSRRIPSWNLIARENSSGSLEEEFLISPRTNHHADGGIAGPSNKNHHVQQNVHDGSDSESESIDLNSWTRSGGPLMRTASANKFVSFVQNLEIDTEFRTISSREDRTDVVTPNANFLVSQAIGRESVDNSAMPITPDRSLGNSGYDPHDSNVPRSPFGCSTSIMVSEGDLLQPEKIENGILFNVVRRDTLLTPTGGVELQGTSQEPDVETIQTECLDDASDDDDVGLNAGDEAAIDPRANMSSQNTQHQGSSLENINLSSSVDCEAETITSKSEGSSLFDIGMEIRPTILSTESSVYERSSAKIGLRTVHAEFISNPGAGKGEVDSGAANKEFSYFSQTADTVIFSESCETGQHHEVNVEALTSYPVSVLEDDRSGGFNLSEIMATTFIAEADAPISRRDEVEQHNGSPVETFLDTETVQAGPCSSTLEGNNTNNSEEMQVVCTSGTN >ORGLA01G0258500.1 pep chromosome:AGI1.1:1:23122743:23123718:1 gene:ORGLA01G0258500 transcript:ORGLA01G0258500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:I1NRU5] MEQSAGQRSSVATIATVDLEVLDGTVCCHPLKPPVLQCGVGHVICSSCHGKLPDKNRCHVCAMDTAYNRCFAVEQILRSILVPCRNAGYGCDAKTAYHDSDSHEDGCPHAPCFCPEPGSGFAGATSSLPAHFTGGHGWPPATEFRRARAFDLQVQEGKRVLRDVDGGHLFLVDVAPAGPAGLAGAVLLLDPHAGAKAKPKFECHVAFHCRATGWRSSSEFPVRSTALAGGSLPADCYAFVVPRVAHPPATASIIVSVYDVSKKRPRNGDIRQHLKSRVN >ORGLA01G0258400.1 pep chromosome:AGI1.1:1:23117878:23119645:1 gene:ORGLA01G0258400 transcript:ORGLA01G0258400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IATTKFPVVFSAICLFLLCHGSLAQFLSQSTSQWQSSHRGNPRECRFDHLQAFKPIRTVRSQADTTEVYDISNKLFQCTGVFVVRRVIEPRGLLLPNYSNGATLVYIIQGRGVTGPTFPGCHEAYQQXFQQSGQNXSFKGQSQNYKFIDEHQQIHRFRQGDVVALPAGVAHWCYNDGDVPIVAIYVTDIYNSTNQLDPRKKDFFLAGNNKIGQQLYRSEARESSKNIFGGFSVELLSEALGISSGVARQLQCQNDQRGEIVLVEHGLAFLKPYASVQEQQQEQVQPSEYRQTQYQQKQFQGGHSNGLDETFCTMRNTLLSPFWNINAHSIVYITQGRAGFKLSTTMERQCLMESQIAGKNSIFRALPNNVLANAYRISREEARRLKHNRGVESGVFTPSHAYRSFQDIMTASL >ORGLA01G0258300.1 pep chromosome:AGI1.1:1:23103046:23105060:-1 gene:ORGLA01G0258300 transcript:ORGLA01G0258300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAMEEALSKKTTSKGGLRTIPFIISNEVFEKVATFGLHANMILYLTERYHMTAATGTVVLYFWNALSNFLPIFGAVLSDSCLGRFRVIALGSVVSLAGMCLLWLTAILPADRRTPECEARRDDCQLVPWQLPLLFASFVLMSVGSGGIRPCALAFGADQLDRPDNSARNVRTLQTFFNWYYTVLGLSIVLASTVIVYIQQAKGWVIGFAVPVVLMLTALTLLLLGSPFYLKAEADRSVLVGLVQVLVASYRKRRGPLPPETADASRFHNRAGYKPRTPSNRLRWLNRACALGDNPDKEVNPDDGSARDPWTLCTVQQVEDVKAAVRVLPIWSTGFMPGVIIGQQMFPVLQAKTMERRVGGLEIPAASFGVFSILTLTVWVAVYDRALVRPLSRLTGHARGVTLRQRMGIGLALFAVAMAVAARTEAARRAEALAEGLRDYGPQSGRAVRMSAMRLVPQHCITGLAEALNLIGQIEFYYSEFPKTMSSIGVSLLALGMGFGSVAGSAIVGAINAGTRSGGGRDSWLSSNLNRGHYDYYYLVLAALCVANLAYFVWCGWAYGEEGQNRVTAEEEAVEDTKTKEEQQQKL >ORGLA01G0258200.1 pep chromosome:AGI1.1:1:23097562:23099737:-1 gene:ORGLA01G0258200 transcript:ORGLA01G0258200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSLVAEAEAQVFFKAKAKGGFRALPFIISNEILEKVAGFGLNINFITYLNMQYHLSHANAGSLLFVWGAVSNFAPIPGAVIADMYLGRFIVVAIGSIACFVGMVFLWLSAMIPGARPPPCDMRASPELCAPPEARHMAWLLAGFVFLSVGAGGVRPCSMAFGADQFSRHPKERRSRILQVYFNAYYGSIGVAFMVAVTVVVYVQDNLGWKVGLAVPTCLMLLSAASFLVGSGLYIKDRGSKRMFAGIGAAVAAAVRNRRAWLPAKTEDGVYHHLKDCKLTVPTDRLRFLNKACMISNTKEDKSGSGGADGISDRGRLCTVDQVEQLKSAIRVMPIWSSTIFLAQAMNQYFAVPQADAMDRRVGAGGFRVPSGTFAVFNMLTMSLWSGCYDRWTAPALRRLTGNPRGLTMKQRIGGGLVFGTAAMAAAAVVEAARRRQALGGGGMSAFWLVPQYALAGLAEAFGVIGVIEFFYTELPKSMASFSMALLYMALGAGSLVGSLIIKLVHEVSGRGGRTSWLAEDLSAGRYDYYYWLLAGLGAVNFVYFLWCGWAYGEEGQNVEWEDEGEGETTIA >ORGLA01G0258100.1 pep chromosome:AGI1.1:1:23091987:23096669:1 gene:ORGLA01G0258100 transcript:ORGLA01G0258100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT3G16170) TAIR;Acc:AT3G16170] MEVVQEVLKHGSTQGVRAAIRSDQKSYNLVQLIASALDVYNILRNKNMTQNGSTGSSVKGINGTGFLGGARIGIVAKPSPEFVAGIFGTWLSGGVAVPLALSYPEAELLHVMNDSDISLILSTKEHQDIMENISTKCSAHCSLLPSVTSIPVNIDCQEPSSTEVTSSISSLIAEIDSSKEIRGDDPALILYTSGTTGKPKGVVHTHKGIVSQVQILSEAWGYRSEDQFLHCLPLLHVHGLFNALFAPLYSGSVVEFMPKFSVRGIWQRWRESYPNNGSKNDEAITVFTGVPTMYTRLLQGYDGMDPEQQSASSFAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGARKEGTVGKPLPRVEAKIIMEDGAETTSEVGELCIRSPSLFKEYWRKPEVTAESFIDGGFFKTGDTVTVDDEGYFIILGRTNADIMKVGGYKLSALEIESVLLQHEIVLECAVLGLPDEAYGEIICAIIVPKEDSKKRAELDSKPALTLEALTSWSKDKLAPYKV >ORGLA01G0258000.1 pep chromosome:AGI1.1:1:23081621:23086817:-1 gene:ORGLA01G0258000 transcript:ORGLA01G0258000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRGRSNRGGGGPPPPPRAPVPAASSQPPIKKLVRQLDFTSAALAGNPAMAAAAAAVSRALQPRAVPVGFPQPQQLRAHLPVGVPQQLHPRGLPVMRSHHVVHVPLPRPAVAVAVPVPQVRPAQPQPVPRPPVAVPLKPESPKPPRARLYEGKDGTPTKKKCCNCKHSKCLKLYCECFASGVYCDGCNCSNCFNNVKNETARREAIDATLERNPDAFRPKIGSSPHANRNNMEAAGDLPLVGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERKALFQGDHKNSIHMQQAANAAVNGAIGAAAFSSPSTSRKRKHIDPSLDHSAKENGAHRTNHVPQKNGMPSDGPLPINQPVHPPTLGPFKVTYRPLLADIVQPGDVKELCKLLVVVSGNAAKAYAGKKSQEDKVVEKEDEMGGKREGEIGGSLASTNHDREENNKDPNNQKASADDPSTGGTRAGKASLEESKHDCNDDQKSNRPMSPGTLALMCDEQDTMFMTSQNVVPQQPAPVNQNQSELFAEQERCVLTEFRDCLHKLVTFGRMKEENFSMAIKSEVPAHPMQANGVSRIPYSKEVDVPVVKTFLQSSSRHPAAGNSATGHLDKKPKHENT >ORGLA01G0257900.1 pep chromosome:AGI1.1:1:23079306:23080781:1 gene:ORGLA01G0257900 transcript:ORGLA01G0257900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast thylakoid membrane, chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; BEST Arabidopsis thaliana protein matc /.../photosystem I P subunit (TAIR:AT2G46820.2); Has 291 Blast hits to 291 proteins in 50 species: Archae - 0; Bacteria - 90; Metazoa - 0; Fungi - 0; Plants - 200; Viruses - 0; Other Eukaryotes - 1 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G52220) TAIR;Acc:AT1G52220] MASALAVARPAALVPRGGSESITGNLPMLPAVPSTRFVSGRMRSRNVVAAKAAQDSSEPSSGSVVKYVQSSFSTPEDLFALAGIGFAGIAALWASINLVEVIDKLPVLPLLFELIGILVAWLFIYQNLLFKPDREKFLNNIKSSVSRVLGQ >ORGLA01G0257800.1 pep chromosome:AGI1.1:1:23075553:23077866:-1 gene:ORGLA01G0257800 transcript:ORGLA01G0257800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEAGVDGVAGRRQQEEASGAAPFGRSSSLIGAAGFDGALRELKDLRSQLHQAADCCEKAFLDTEKKKLSVILESTKGYICDAIVAVIDHLGTVSSKLEQQLQEKIEITQTEKKLNFLKQRLLTCEQYAITLKLLTVRGDNDAIQYHRRYLSQSTGGTKEENGANSRKDDVKFVEYNSPTIPGAIRTFKPYDIQSTIGRERSVATTDSESPTTDAKSSFSFRAEDVPIVLAEHRKKANHGSNILSFLRKGRRHA >ORGLA01G0257700.1 pep chromosome:AGI1.1:1:23071106:23074517:-1 gene:ORGLA01G0257700 transcript:ORGLA01G0257700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP IV family of homeobox-leucine zipper protein with lipid-binding START domain [Source:Projected from Arabidopsis thaliana (AT1G79840) TAIR;Acc:AT1G79840] MGTNRPRPRTKDFFAAPALSLTLAGVFGRKNGPAASGGDGVEEGDEEVQAAGEAAVEISSENAGPGCSQSQSGGGSGEDGGHDDDDGEGSNKKRRRKNYHRHTAEQIRIMEALFKESPHPDERQRQQVSKQLGLSARQVKFWFQNRRTQIKAVQERHENSLLKSELEKLQDEHRAMRELAKKPSRCLNCGVVATSSDAAAAATAADTREQRLRLENAKLKAEVCMPPPRSRARPFQCATLQDTDSGELAMLNLFQIERLRGTPGKSAADGVASPPCSASAGAMQTNSRSPPLHDHDGGFLRHDDDKPRILELATRALDELVGMCSSGEPVWVRGVETGRDILNYDEYVRLFRRDHVGSGDQMAGWTVEASRECGLVYLDTMQLVHTFMDVDKWKDLFPTMISKAATLEMISNREDDGRDGVLQLMYAELQTLTPMVPTRELYFARYCKKLAAERWAIVDVSFDESETGVHASSAVRCWKNPSGCLIEEQNNGRCKMTWVEHTRCRRCTVAPLYRAVTASGVAFGARRWVAALQLQCERMVFAVATNVPTRDSTGVSTLAGRRSVLKLAHRMTSSLCRTTGGSRDMAWRRAPKGGSGGGGDDDIWLTSRENAGDDPGEPQGLIACAAASTWLPVNPTALLDLLRDESRRPEWDVMLPGKSVQSRVNLAKGKDRTNCVTAYAARPEEEEERGGKWVLQDVCTNPCESTIAYAAIDAAALQPVIAGHESSGVHLLPCGFISVMPDGLESKPAVITASRRGGEASGPGSLVTVAFQVPASPSAAAATLSPDSVEAVTVLVSSTLRNIRKALGCDSCEEEF >ORGLA01G0257600.1 pep chromosome:AGI1.1:1:23063827:23068131:-1 gene:ORGLA01G0257600 transcript:ORGLA01G0257600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:I1NRT6] XXXXXVRGAQPSADRRLSTLVRHLLPSSARTATTTSTSSSAADADSSLQAFPTMASSSVFAGLAQAPEDPILGVTVAYNKDPSPVKVNLGVGAYRTEEGKPLVLNVVRRAEQMLINNPSRVKEYLPITGLADFNKLSAKLIFGADSPAIQENRVATVQCLSGTGSLRVGGEFLARHYHERTIYIPQPTWGNHPKVFTLAGLTVRSYRYYDPATRGLDFQGLLEDLGSAPSGAIVLLHACAHNPTGVDPTLDQWEQIRQLMRSKALLPFFDSAYQGFASGSLDQDAQSVRMFVADGGELLMAQSYAKNMGLYGERVGALSIVCGSADVAVRVESQLKLVIRPMYSNPPIHGASIVATILKDSAMFNEWTVELKGMADRIISMRQQLFDALKTRETPGDWSHIIKQIGMFTFTGLNSDQVAFMRQEYHIYMTSDGRISMAGLSGRTIPHLADAIHAAVTKLK >ORGLA01G0257500.1 pep chromosome:AGI1.1:1:23056926:23059934:-1 gene:ORGLA01G0257500 transcript:ORGLA01G0257500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS class) [Source:Projected from Arabidopsis thaliana (AT4G23440) TAIR;Acc:AT4G23440] MELQQESSDAGTLFSAPSRNLSSSSSAFVSANQSPFFTPRCLSARVSDHAHPENNSSLSGTVLKISDILSSDTLLKREQLPSATVGLLPSDASPPPSICTSSNFDTPAIVYNNPSFISTFSDPCQGSSSATSTGVRSTRKEKHKRQWGLYRKSSSSQPTTSATSVNRLRSFDVYIGFHGRKASLLRFTNWLRAELEIHGISCFASDRSRCRSSHSHDTIERIMNASTYGVVILTRKSFGNPYTIEELRNFFGKKNLIPIFFDLGAADCLARDIIEKRGELWERHGGELWMLYGGMEQEWRESVDALSRVSDVQLEANDGNWRHCILQTIIVLATKLGRRSVVDRVNRWRGRVEKEEFPFPRNADFVGRKKELSELELILFGDVSGDGEREYFEIKTKQRRKGLVIGRSVNNYEQVNTDDGKGKEPVLWKETKENIEMQRLGSPPRHGRPSRTKNDGRYGRKRRCRKILYGKGIACISGESGIGKTDLVLEYAYRFSQRYKMVLWVRGESRYIRQNYLALRTFLEVDLSVDSHLHEKGSDRCFEEQEEEAIAKIRQELMRDIPFLVIIDNLESEKDWWDKRVITDLLPHFGGETHFIITTRLPRVMNLEPMKLSYLSGAEAMSLMKGGVKDYPLVEIDALKAIEEKLGRLTLGLGIVGSILSELPITPSRLLDTLSRTLPIRDCSWNERDAISLKNHEILVRLLDVCLSIFDHADGPRSLATRMVQVCGWFAPSAVPIHMLALAAHKVPKKHRRGPRWRKWWRTLTCGLATSRMKRSEAEAAAMLMRFGIARCSTKPEYVQFHDLIRLYARKRGGTRTAQAVVQSIYLRGSIKHSSEHLWAACFMFFGFGSDPFLVEPRPSELIFFVKQIVVPLAINTFITYSRCNAALELLRLCTEALERAADSMLSHAGKWRETPLSCFRPTQSEAQYTYLWQELALLKASVLETRAKLMLRGGQYDTGDDLIRKAIFILTSICGEHHPNTVSARETLSKLTRLLTNVQLS >ORGLA01G0257400.1 pep chromosome:AGI1.1:1:23051688:23053840:1 gene:ORGLA01G0257400 transcript:ORGLA01G0257400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGGGSLLERRSSVRRSQSMVSEEGRGTPADEDLGGGGTLKIGAVLDKDSAAPKSRLAKDTGEHGGGGPSEMELMKEKFAKLLLGEDMSGSGKGVPSALAVSNAITNLAASVFGEQRKLEPMAPDRKGRWKKEVGWLLSVADHIVEFVAKKQVLDNGVEMEVMGTQQRRDLQANIPALRKIDTMLLDYLDNFKDRNEFWYVKRDSCSDSDEQRSDEKWWIPIVKVPPGGLSPASRGWLQHQKELVNQVLKAAMAINANCLMEMAIPESYLESLPKNGRASLGDALYRIITDVEFDPDVFLSTVDLTSEHKILDLKDRIEASVIIWNRKVHNKDGKSAWGSAVSQEKREQFEERAQTLLLIIKHRYPGIPQSTLDIAKIQENRDVGFAILESYSRVLESLAFNVMSRIEDVLNADDHAREKAKKEAPPAPAMANDAAEHHHQQAGEVDAPCKMTGSPNGRTLLDFMDDWNGDADRPSPTAPEPAAQEDGRLMKLPNIMTNLKQTYMDNLFGAHRSPPGRH >ORGLA01G0257300.1 pep chromosome:AGI1.1:1:23047356:23047821:1 gene:ORGLA01G0257300 transcript:ORGLA01G0257300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAMVEDTDMPVKMQLQAMSAAYKALDRFDVLDCRSIAAHIKKEFDMIHGPGWQCVVGASFGCYFTHSKGSFIYFKLGALRFLVFKGAAS >ORGLA01G0257200.1 pep chromosome:AGI1.1:1:23041725:23045240:1 gene:ORGLA01G0257200 transcript:ORGLA01G0257200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEEISHPPMEQLQGFEYCIDSNPPWGEAIILGFQHYILALGTAVMIPAVLVPMMGGSDGDRVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVVPIMAIIQDSSLAAIPDDHERFLQTMRAIQGALIVSSSIQIILGYSQLWGIFSRFFSPLGMAPVVALLGFGLFERGFPVVGRCVEVGLPMLILFVVLSQYLKNVQIRDIPILERFSLFICIALVWAYAQILTAGGAYKHSPEVTQINCRTDRANLISSAPWIKIPFPLQWGAPTFSAGQSFGMVSAVLVSLVESTASYKAAARLASATPPPAHILSRGIGWQGIGILLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAVYCVLFGLVAAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYSMAAQRGPAHTKAGWFNDYINTIFSSPPTVGLIVAVFLDNTLEVKNAAKDRGMPWWVPFRSFKGDARSEEFYSLPFNLNRFFPPS >ORGLA01G0257100.1 pep chromosome:AGI1.1:1:23038356:23039567:1 gene:ORGLA01G0257100 transcript:ORGLA01G0257100.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKINKFLAYKRAGKSFNSEVRNRKDYRNPDFLQHAVRYQEIDQIGTCFSKDVFDPYGYDRSDYYDEIEADMKREVERKELEKKKSGKVDFITGGVQAPISAAIPKIAAAVSAAAAAGAPLVSISGEGVQKEARPNKKSKWDKVDGDIKNPVAPSGLDNLSAAALLTSANVGAGYAAFA >ORGLA01G0257000.1 pep chromosome:AGI1.1:1:23017784:23019340:-1 gene:ORGLA01G0257000 transcript:ORGLA01G0257000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NRT0] MATVSPARREPTPQAVRASPMPSAAAALVRRGGGGSGGTVLGKYELGRVLGQGSFAKVYQARHLETDECVAIKVLDKEKAVKGGMVHLVKREINVLRRVRHPNIVQLFEVMASKTKIYFVMEYVRGGELFSRVSKGRLREDTARRYFQQLVSAVDFCHARGVFHRDLKPENLLVDENGDLKVSDFGLAAGPDQFDPDGLLHTFCGTPAYVAPEVLRRRGYDGAKADIWSCGVILFALMAGYLPFHDHNIMVLYRKIYKGEFRCPRWFSKDFTRLITRLLDANPKTRITVPEIIESDWFKKGYKPVKFYIEDDKLYNLSDDVLNLEPADPVPPPLGLAPPVPPPPQGDDPDGSGSESDSSVVSCPATLSTGESQRVRGSLPRPASLNAFDIISFSKGFNLSGLFEERGNEIRFVSGEPMSDIVKKLEEIAKVKSFTVRRKDWRVSIEGTREGVKGPLTIGAEIFELTPSLVVVEVKRKAGDNEEYEDFCNMELKPGMQHLVHQMLPAPNGTPVIEKVER >ORGLA01G0256900.1 pep chromosome:AGI1.1:1:23015880:23017298:-1 gene:ORGLA01G0256900 transcript:ORGLA01G0256900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGTRDAPMQCFIKRNKKNSTFFLYLGLTQELTDDGKFLLAARRCRRGLHKEYAITINSDGLFHGSQSCVGNLKSNFTGTKFTIRDWQPPYEGAKAFSSRSGRWFGNKHRCPLVSTGDVEVGEVSYKYSLLRPRGPRRMSCSVQCPVLKGTAVDPQDGKRLSNSIPSSLVLNSKVPSWHEHLQCWCLNFHGRVMVASVKNFQLIAPVEPGEPSDETVVLQFGKIDDDVFTMDYRQPLSAFQAFAICLSNFGTKLA >ORGLA01G0256800.1 pep chromosome:AGI1.1:1:23004770:23006551:1 gene:ORGLA01G0256800 transcript:ORGLA01G0256800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADRLMAEGGKRIDLGAPLRSVRHADALPYYKADLNSGPVRHPGAVPFVWEQRPGQPKSVRTRRAPPSPMTASHPQPLEHGVEDEIDGSPYHDALGEHYVGILHGVDASPACSRTGAPAPAPARDEKRAQVAEAAVLQAKKEVTEKQVVSVAAVLRKGDDDDDDEERFSDALDTLSRTESFTVNCSVSGLSGMPEPTSRAAAGAEAGVRGIMMDRFLPAAQAVAIGSPQYTFRKAGAASATSNSGRELARAAGSNASGSSGDDPGRRTPVQLPYQHLPPNYLSCSYPRREEQEDEDDDDDYDVHSTRGFASKGCGLLPSLCVKSSLLLLNPMPAMKRGKPRGNGRVREFASKGRGRGAPSPLARSSQNKHLGCASNGSWEDVYKHKLEQKYVRPGEDGRSKLTSESNQLTFWSDSQAGNGSSPFHHSAGGGMSPYYRDVVLSSSSKADESFGTGVKEDKMSSSNGSSSLGRDHDRGSLLGSDRSSLKGSSSISSGLDRPVHVESMDHRGDIDSETSHSVLLLDSRTSLDAGGCGSQLGEQIVGKTLLGKVKIMIH >ORGLA01G0256700.1 pep chromosome:AGI1.1:1:23001605:23003355:-1 gene:ORGLA01G0256700 transcript:ORGLA01G0256700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVAEADEVGGDSCNGQGRRQRHTEATSTVDKDEGSGGRSGQRRXRRRTQTCATMVARPWTWTLRRRQRRVARSLALDAHGDIFYDSGDELPRQCEEEKVLTWTVRRIRKMAVMALFLTYNGLTIRWSLQSKTPFIDSME >ORGLA01G0256600.1 pep chromosome:AGI1.1:1:22995718:22996125:1 gene:ORGLA01G0256600 transcript:ORGLA01G0256600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPEASSKVQTLCISPAAVPDFTLKDGVLYFKNRMWIGNNVQVQQKILANLHTAPVGGHSGIHVTYQRVKQLFAWPHLRSTVMQFVNSCSICQQAKSEHVKYPGMLQPLPVPEHAGFWPKLEKWLINWLCRPLV >ORGLA01G0256500.1 pep chromosome:AGI1.1:1:22976551:22979262:-1 gene:ORGLA01G0256500 transcript:ORGLA01G0256500.1 gene_biotype:protein_coding transcript_biotype:protein_coding IISAFVLANVMGRFQWLTCPRKDLSTGWLRCDPGPMFKPEHYSLGEWVPKGMVMAVFAYIYHQSFISPQNYSVELILEQILRNLTFEEQKFLYQQLGEIYHERQLMQS >ORGLA01G0256400.1 pep chromosome:AGI1.1:1:22969085:22969655:-1 gene:ORGLA01G0256400 transcript:ORGLA01G0256400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G06330) TAIR;Acc:AT1G06330] GKIVEMSVHMDCAGCEKKIRKAIQRMEGVDDVEIDMERQKVTVNGNVEQKKVLKAVRRTGRRAVLWPHPYTGGGVVAGGAVHVLAQQQNYHQYHPGAGVQAHAAHAARPTSSYNYYKHGYDDSRLYGGYYHHGANSAVVGTRATDYFSDENPQSCSVM >ORGLA01G0256300.1 pep chromosome:AGI1.1:1:22965061:22968201:-1 gene:ORGLA01G0256300 transcript:ORGLA01G0256300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPRVSAVIFDLDGTLLDTERATRDVLNEFLAAYGKVPDKEKEERRLGQMYRESTTGIIADYGLPLTVEEYAVAIYPLYLKRWQKAKPLPGVERLVKHLHRNGVPLALASNSVRRNIDHKLLKLKDWKDCFSVILGGDQVPRGKPSPDIFLEAAKGLGVNPSSCLVIEDSLVGVQGARASGAKVVAVPSLQSQRQHYSIADSILYSLLDFHPELWGLPPFEDRIQGALPIEQLFLNAQIGHAISKSLHMVIAGDCTYEYVPDQISGIFFGWAKPEAGGVSRSVISIGWDFSLRTVERVMENISQALAITDEDQAIARDALDLPVFSEHAKL >ORGLA01G0256200.1 pep chromosome:AGI1.1:1:22958970:22964961:1 gene:ORGLA01G0256200 transcript:ORGLA01G0256200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y-family DNA polymerase H [Source:Projected from Arabidopsis thaliana (AT5G44740) TAIR;Acc:AT5G44740] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNVYRSAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELLELIFEEATKSNILGLPSDVSNREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASVKTWLDRLCEELSERIQSDLNQNKRIAQTLTLYARACKKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGSQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLNCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDCGNNSNLAKQCQIKEEKKVSKKLTEVKGTCSILKFLSQSPVLSEKRKFDSLICSHPGPESSSEPNKAEEHKAAQYVDRNKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKSYSLQAIPILL >ORGLA01G0256100.1 pep chromosome:AGI1.1:1:22955480:22958425:-1 gene:ORGLA01G0256100 transcript:ORGLA01G0256100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21190) TAIR;Acc:AT4G21190] MFSVRCGPPAFAFGLERAAITHPSKFSTLVVCGARGPRPRYPRVWKTRKRIGTVSKSQKLVECVKGLSNVKEEVYGALDSFVAWELEFPLIAVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRVEEAEELYGKIFSRYLEGLPRTFFMRMISLYYRLESYQKMFEICQIFADMEELGVRPDGSIIRMLGEVFQKLGMLDKYVKLKKKYPPPKWEYRHIKGKRIRVKVYPKDEIEEPMRNPGTDEVEEEENMDVDSELEEAASAGLDRNVLDEAACGDLEFV >ORGLA01G0256000.1 pep chromosome:AGI1.1:1:22943841:22945674:-1 gene:ORGLA01G0256000 transcript:ORGLA01G0256000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVGVAHNPGDGAGSAHRLPLGPRRPTALPGRDRRTRREDRDQGRPGGRTEQPAPAAGLASSRRSMPRSRGSELPQRASPRAPLHLKTTACSDANGAHHRTVVDRSSPKLADRHSPRSPLHEKKRAGTRVAELETKLGKVQDELKKLREQLATAEAAKKDAQVALEEAKKRVGTKGSPASAAAASPRSPSPVAVETPKKTEQQLKVAEPPAEEESSINSPATDVFEVVRTESGDKENQSAAGAEDGEEVSCGIKAALAEKELEEEETKKMIEEESIDATAIDSGEKENPEVAELKAKLMAKDMEVAILTADNAELKKQVGEAAEAAKKAEEEAAAKASLVEQDLKERAAREARMGEQLRASEAARETLEAEMRRLRVQTEQWRKAAEAAAAVIGGDAHFVGHNGNGWGSPATMPDDCDDEGFGGKRKGAGIRMLGDLWKKKGSK >ORGLA01G0255900.1 pep chromosome:AGI1.1:1:22935448:22938406:-1 gene:ORGLA01G0255900 transcript:ORGLA01G0255900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGS domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G30070) TAIR;Acc:AT1G30070] MSADELRLDLEELRRLEGLAKRPRVLSALANEIRAVDAKLAKATEPQAPQAVAAGSPPVVAAAAAPAPAAAAGVSYVTLGSFSWDQDAEKIKIYVFLEGVEQDKVETTFKPMSVDIKFHDVKGKNYRCAIPKLHKEIVPEKCKVLVKPTKIIVTLYKASKGNWLDLHFKEDKFKPSMAKEKDPMSGIMDLMKNMYEEGDEDMKRTIAKAWSDARSGKTADSVRGLP >ORGLA01G0255800.1 pep chromosome:AGI1.1:1:22931196:22934937:1 gene:ORGLA01G0255800 transcript:ORGLA01G0255800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFKGFWESRFGGKKEQEPEQNGHANGVANGSVRKRTSDLAVYEQFEQQQARQTEVRAAAIRDGNADAIQKPLLPSFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARHAMFEELLPSTTSKLEVPYDTLVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLEARDELVPEEELPEVGPLKPEDIEVALRNTRPSAHLHAHRYEKFNQDYGSQILSQEQA >ORGLA01G0255700.1 pep chromosome:AGI1.1:1:22923655:22924466:1 gene:ORGLA01G0255700 transcript:ORGLA01G0255700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAAVLPTLTQVAKREGRYLARQQKRYMAEEERPLKTTVPPAXAAAIXLGYHSRSKGGASGLYDPQSSLRGPRGIKEARAKAVSNRVDCVPAVRWAATLKLSRSLAWLTA >ORGLA01G0255600.1 pep chromosome:AGI1.1:1:22919071:22920843:1 gene:ORGLA01G0255600 transcript:ORGLA01G0255600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAGPPAVDHIPLLRSPDPGDVFSGVPVVDLGSPGAARAVVDACERYGFFKVVNHGVATDTMDKAESEAVRFFSQTQPDKDRSGPAYPFGYGSKRIGFNGDMGWLEYLLLALDDASLADACTVPSCAVFRAALNEYISGVRKLAVRVMEAMSEGLGIAQADALSALVTAEGSDQVFRVNHYPPCRALQGLGCSVTGFGEHTDPQLVSVLRSNGTSGLQIALRDGQWVSVPSDRDSFFVNVGDSLQVLTNGRFKSVKHRVVANSLKSRVSFIYFGGPPLAQRIAPLPQLLGEGEQSLYKEFTWDEYKKAAYKSRLGDNRLAQFEKK >ORGLA01G0255500.1 pep chromosome:AGI1.1:1:22898136:22898348:1 gene:ORGLA01G0255500 transcript:ORGLA01G0255500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTKALALLFAVLVALVAATAAVRVLEEEAVELGGLAPAPAPANAAGAVAPGAWAVAAVVSLLAFLAH >ORGLA01G0255400.1 pep chromosome:AGI1.1:1:22895769:22896311:-1 gene:ORGLA01G0255400 transcript:ORGLA01G0255400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEFRRRGDDPDDVYGTVARVLSYIHYTLPSPPVSATTRLCALTPHDVVDRISTLPDELLSKVVSHLPVKDVARTTAGARYGALCRSRRMSSPPRRTAAGSPPIHRPLRRRPAPWPAWPVPPSSLTSGPVDGCPIAWCSLPEPPHHLLGPSSSTSYALSRCKQKRRHRGCGIGRLRHQP >ORGLA01G0255300.1 pep chromosome:AGI1.1:1:22892509:22894817:1 gene:ORGLA01G0255300 transcript:ORGLA01G0255300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRMNLWPHCFPCFDDGDRSGNRFSTVCNFPDDLLPSLGATAHQPPKLRKYLVSPYDPRYKVWETFLIILVVYSAWICPLEFAFLRYLPSAPFVVDDVVNGFFAVDIMLTFFVPFVDKKSYLLVNDPKKIAVRYLSSWFVFDVCSTVPFHSISLLFNEHGHDLGFKFLNVLRLWRLRRVSSMFARLEKDIRFNYAVIRCTKLISVTLFAIHCAGCINYLIADRYPDPRRTWIGAVMPNFREDGLWIRYVTAMYWSITTLTTTGYGDLHAENAREMLFGICYMLFNLWLTAYLIGNMTNLVVHSTSRTRDFRDVVQAASEFAARNQLPQQIEEQMLNHICLRYKTDGLKQQETLDVLPKAMRSSISHYLFFRVVQGAYLFKGVSSRFIQQLVTEMQAEYFAPKEDIILQNDSPSDLYLLVSGAVDILVFLDGTEQVYGRAAEGELLGEIGVLCNKPQSFTFRTTKLSQILRISRTKLLGIIQENREDGDIIRSNLQQVNV >ORGLA01G0255200.1 pep chromosome:AGI1.1:1:22880833:22884484:1 gene:ORGLA01G0255200 transcript:ORGLA01G0255200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSARRLALLGLCLGLLLLAPSHLASAAAVEDGLLSNGDFETAPAGGFVKSASVAEGASSIPGWTINGTVELISAGQHQGGMILIVPQGDHAVRLGNDASIGQVVQVEKGSEYAITFSAARTCAQLESLNVSVLGGASQTVDLQTLYNIEGWDAYALAFQATDEQASLEFRNPGMEDDPTCGPILDNVAIKKLFTPDKPKGTVVSNGDFEEGPWMFPNTSFGVLLPTNLDEQTSALPGWMIESNRAVRFVDSDQYTVPQGKRAIELLSGKEGIISQMVETTPQKEYSLTFTLGSAGDSCQPPMAVMAFAGDQAQNFHYSPMGNATSQAANVTFTARAERTRVAFYSVYYNTRSDDHSSLCGPVIDDVRVWGLNGAAGLKASIGLLLGIVSIVSLMLF >ORGLA01G0255100.1 pep chromosome:AGI1.1:1:22870066:22874387:-1 gene:ORGLA01G0255100 transcript:ORGLA01G0255100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein [Source:Projected from Arabidopsis thaliana (AT5G46250) TAIR;Acc:AT5G46250] MDLQDPAADAVAAEPLTVAETDDQPPPPAPPLEAEGVVVAEEDPLPHPPLEVVEEDVAPVVAEAGAAAVPMEPSEAGAGGVVLTDELCDRIVKQVEYYFSDENLPTDEFLIKFVKKNKQGFVPIGVIASFRRMKKLSQDLSIIEAALRTSSKLVVSPDGKRVRRLHPLPNNELNDVKKRIVVAENLPSDFSMESIHAKFETVGKIAKITIHDQHSVGESATIKNHDIMLSNKVHALVEYETAEAAEKAAITLNDGSNWRTGMKVRLAKRTVGSGKHNQSSKENQAIQGQPSKVEQQMVSEKNGGTDSVESAFDNENVNSNISHEDMHQHQKVNAKGGRKGRYKSQGRGQIQQNTSGQGHVSSPATSGSDHVNKPIPGPRMPDGRRGFTMGRGKSPTFQKGDNAEE >ORGLA01G0255000.1 pep chromosome:AGI1.1:1:22863549:22864100:-1 gene:ORGLA01G0255000 transcript:ORGLA01G0255000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIHEEQRPRRRQQQQQEGGGEETVVEVPEMDGELLVELLEASLAAEEDEEAVAQRKQQLGFFTADVGDGWDGQELMNSIHPHQEEEGCEDCGLDDILSDFDGGGYPPASSPPYLSEFWMEEMDHATAGPFAVAGECPGEEWYMDGMAMEWEDGRSYYSFHYPSYGADASCTDQLYSSPLWE >ORGLA01G0254900.1 pep chromosome:AGI1.1:1:22859351:22861376:1 gene:ORGLA01G0254900 transcript:ORGLA01G0254900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLPPKIPVAAPGHHQHWASAGGAGDAAWADEFAEFAASRRGAHRRSLSDSVAFVEVAPAGCGAGGEFDRLDDDQLMSMFPDEGGSSAPGSDNGGSDSDGGGDKHAAAQSDDGQHAAGEPTQEQAAATSPTELIRDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQNEVSVLSPRVAFLDQQRTILTVGNSHLKQRIAALAQDKIFKDAHQEALRKEIERLRQVYQQQNTKLSGGLAADHAHVHGGPPPVRAEKELMS >ORGLA01G0254800.1 pep chromosome:AGI1.1:1:22842932:22844698:-1 gene:ORGLA01G0254800 transcript:ORGLA01G0254800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAALGPEVDASVFRSEEAIAAVRGFLRDRVLLGGGGGGGRELPGEAAVALRLVEEGKAYAVDWCRLFWELLKMDLVSGKPRRYAPHLLRLIEYQRPELFAEVDGSSPLGKRRKSAAFSRQCQWEDEKETDLIDAECGDSRTQAAEAEVERSSQSIGDLEEMPVFGERKQFNAVDLVGYKSSIVGLGEWIHAVNEGNAELGSQKSLPSEIEVSGCEMGGNAAAGLTAKDQSSDDSSLLSLLRTMDEQDDSSSHQNVISGAKPQPGPNQQSIIEIEDEEDDDDDQVGVGHVPPNIQNGHFGLNNYFVQQRATEGFQNDQTLPSFLACTQQIKACMDDNFLDKMKALMDARAANQRMINMITQKDYMIAATKRDILEDLGARHVMISQFEHDIELMRLTIQQYRKLFENTSAAYLEYRNRMSREEGDGSSLEVIGIADETEQFVRMQQLDIYQRLNKFQKLWLTKYSDLVGHLTRVAECMTYLSNGLQRLKDSTTIPDLNNGKPQL >ORGLA01G0254700.1 pep chromosome:AGI1.1:1:22835713:22836429:1 gene:ORGLA01G0254700 transcript:ORGLA01G0254700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSAVPGRVSDPGSAWFGGGERSSSAGPGRNVRLIATAVAAFVSVLGLALFLHLYVCHVRRRNRRRAAEAAALATANAGAPPKQVGLDPSAIAALPTAAYGKVAGGDAAGGTTECAICLGAMQEADAVRVLPACRHVFHVACIDKWLASSSSCPVCRAGVEPPPPPPSTAAARCVHEKQDAEKEEAAAGSSAPVRVLGASLMKMLSRERPSPRRQPGVHAVEMEDLESQLPRPQQQ >ORGLA01G0254600.1 pep chromosome:AGI1.1:1:22807258:22814598:-1 gene:ORGLA01G0254600 transcript:ORGLA01G0254600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESRKRAASTANAKSSSLGEDFGNDFLSSWKLPKSGNDTIDFDVESVPKNSKKFSFDNLDDFGLDGAFDKLSSFKMGMSDLDFSGPLKKKVKPNNSNGNDLSEGIKGTEKDNFSFSFDFNELGKFNLDANLGIEENGMSKFVEKVNPVSSEGDKDPRKGLSVKGSDVLGGNISKEQKQTHDACTLRPTHLGSFSPARMDQNKVDLLSTDTHEEKSNETHPSRAAVNKPSQNLPCSSTPGEDPTHVTTTAVAENCREAPLVELSKVHISRGNNDSGQSVSSQFMNTSTTCPSISRKLTAQSDSQNDQNEIVGESACLNAQSPDNQRFRGTSMKLLKKTSCETKKAEKGTSGPKSLSSSMQRDMRNVKPASLNEAGSLSLLPQSSIVKASRPPQLTSETTLNQLSSANNMVKKMNTHSTELKRESTQANARSERPKIASSKTFCKPALHELLTTSMNAKDHKNSKLRLESPSTGNVSTLNAPSSPAHSNGHKTVASRSLLGSTNVFDAGKGTPKADNRPLSQLKAAKITKAGTISSKSDLLVEKDMMETSGRKGSPVTTSNNPKSYGEGKYVLPSPSMMQKTPKESASDPKAPAMLKHIMKSPAVRTSPETVSELGNKTIPGSGTPKARMDNAIASAISCEMGEISELELPALLENDVNVQKAEACRKELEDLCISLKRKHEEAKELAVRAIVNNNTMLMLNHPMFEDKICALQKFASNLRSKKVLFEDISAIDAH >ORGLA01G0254500.1 pep chromosome:AGI1.1:1:22795138:22795425:-1 gene:ORGLA01G0254500 transcript:ORGLA01G0254500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTYLAVFAFCAILMLSAVARSASARALRERAPEEAAVVAGRAGVAGMMKVPAAAAGEAAGRRSGGGAVVVGGGAAAHESKRLSPGGPDPQHH >ORGLA01G0254400.1 pep chromosome:AGI1.1:1:22787771:22789759:-1 gene:ORGLA01G0254400 transcript:ORGLA01G0254400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58590) TAIR;Acc:AT3G58590] MPSPSPSAPPSASLFNSLIASRARAGRAAEGFALLARMLAAGVAPTAFTFAPILSSPSADARCAAQLHPHILKSGLLHSDPYSGTSLVGFFGRNGRFDDALRLFGEMPVRSVVTWNCLISSFAQHGRACDAVIWFRALVRSGNSVSDGSLVAVLPAFGTPEQVHGLVKKIAIDSFSTVANSLLNSYCTHSSTSLAENLFSEFMFRDVVSWNTMIIAFARRSLPQRALEFFWMMEGWSVLPNEITFSSVIYACTSIKGHEHGKSIHAKVIKHNLNTRPFVNTSLFDFYSKCGCTKDAHKVLEASEDSTTRWNGLISCHSDTDVPTSFFILREMLRSGVMPNEVSLSSLLKDPSVFDLLQIHSLVTRLGYDGYDYVSSAIISSYASHEMVSDALAYGGMLDPDSCAVSMNVLAGVYNRVRMYDEAKKLLLHQGCNDTVSWSILITACARNGDYAEALKFFELMRILGHHFDSYVSVSLLSICTKSNSLVLGRLLHGLIIKTNSGCLDTYVHNMLLDMYAKCGRIEECLKAFKEMEDRNIISWTAIISGLALNGFSRKALAWFKAMEEDGFKPDKVAITAVLSACRHGGLVHEGMNIFRHMKSEYSIEPEMEHYICVVDMLCKCGHLKEAEVVIRGMPFQPSAVVWRTFLQGCQTYGMIDTQVLS >ORGLA01G0254300.1 pep chromosome:AGI1.1:1:22785201:22785470:-1 gene:ORGLA01G0254300 transcript:ORGLA01G0254300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSRVCIVLCLVLVVVVGLAATQAEAARALAEYAAPPGGDYDDDVAGGGGGGGFGIRGRRRPGRWNVRSLQGGKREVPGGPDPQHHY >ORGLA01G0254200.1 pep chromosome:AGI1.1:1:22777555:22782025:-1 gene:ORGLA01G0254200 transcript:ORGLA01G0254200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGVPAGRGSRSFDFGADDVLCSYDDFAAPSEPKRPDPADKQDFHDSRLGRPFGKAYEQESYGKEDVLFAVEKCMKKYADNLLRSLEGITNRLSQLEIYCYKLERSMGELRSDVLRDETDQRLKSLEKHLHEVHRSIQILRDKQELAETQKELAKFQLTHDTSKKKEDMPTPSFPEQKTLEEKADAPGQQLAIVLPHQVNSSLAPRASQPVQQYKDQTVQQPPSSSVPQQDRYVLSQAIVYYPQRQAPGIQDTQGQQVQPEVQYLPVRSSATQDVPVHASSQQSQAANQTQPQSFPPYQQQWPQQSSQPAPAPVAQPQPTFSQPFPPPVQQPQLSNTQQFPPQPMQQPQLSNTQQFAPQPVQQPNAQQFPPPPVQPQQSNPQLPPQAMQPQHPPVQNQMRPQTPPNYPHYQPHQSLNPPPETLPGSMAMQGPYNTVAPAAGSRSEVPYSYGGPGMPPPQHNMQRQQLPPPSQGSFGPPSKGGYAGPPQYAPQGSSHGYNTAYGYPPSGPSAAQAPQMPPAPGNVGMSHPGSHQMMRGHPYGEMIEKAITMGYPREQVMNVIQRMTESGQPMDFNTLLDRLNEAGSGAPPRAW >ORGLA01G0254100.1 pep chromosome:AGI1.1:1:22773293:22773607:-1 gene:ORGLA01G0254100 transcript:ORGLA01G0254100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKSASYVKILNLSLIATTDELPSRKNMSRHVVSESCRLIAGHTCPVMNGDTSIEATAQKKTEVSLILCIKLSTYIIGSQVLCRYLTRSTWLMLHLHKKKIHD >ORGLA01G0254000.1 pep chromosome:AGI1.1:1:22759913:22763079:-1 gene:ORGLA01G0254000 transcript:ORGLA01G0254000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQAPSAPVTPAAPPPQTPPVTPPPVTAPPPVSPPPVTPPPVTPPPVSPPPVSPPPVTPPPVSPPPVTPPPVSPPPVTPPTPVAPPPVPPSPPPPTPTPTPVTPSPPPPVTPSPPPPVASPPPPDVPTAPPPSNNPPSPPPSPSNVPASPPPPRISLSPPPPPSTPTQSGASSGSKSSNNGTVVAVGVAVAAVVVLGLAAGLIYFFVSKRRRRRQHPPAPHHPGYPPFPAEFYDPHRPPSQQQSHALSPSPSSTPPLLLQPHSFVSSGGASEAASAVPGIAMMGGAFGYDELAAAADGFSESNLLGQGGFGQVYKGTVRGQEVAIKKLRSGSGQGEREFQAEVEIISRVHHKNLVSLVGYCIYGEQRLLVYEYVPNKTLEFHLHGSGRPALDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYTFEPKVADFGLAKYQATEQTAVSTRVMGTFGYLAPEYAATGKVNDRSDVFSFGVMLLELITGKKPIMVSHGDQPDTLVSWARPLLVRAVEEENFEELVDPRLENNYDAYDMGRLIACAAAAVRHTARSRPRMSQIVRYLEGELAAEDLNAGVTPGQSAMQRTSGGTTDQMKRLRKMAFGSATGTGTVSEYTSSEFSEPTSEYGLNPSTEYTTSAAGGDTGEVTVDVQMTAGASGEAAGTERLSRRTTARRGGRV >ORGLA01G0253900.1 pep chromosome:AGI1.1:1:22750258:22754219:-1 gene:ORGLA01G0253900 transcript:ORGLA01G0253900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYYKARPDAGDYTIYMGADKNENEELIKYGLPEDVWFHVDKVSSAHVYLRLKKGESIDSICDGLLEDCAQLVKAHSIQGNKMNNVEVVYTPWSNLKKSPSMDVGQVGFHNTRMVRVVIVEKRVNEIINRLNKTRVERRPDLKAEKDASNAAEKAERKMQLKEKRRREEMERLEKERRAEIRSYKGLMVAEKMTSNRQIASAGNSMQEMEDDFV >ORGLA01G0253800.1 pep chromosome:AGI1.1:1:22729675:22734895:1 gene:ORGLA01G0253800 transcript:ORGLA01G0253800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G18780) TAIR;Acc:AT4G18780] MMESGVPPCAACGDDAHAACRACSYALCKACLDEDAAEGRTTCARCGGEYGAPDPAHGQGAVVEEEVEESHEPAAGGVRERVTMASQLSDHQDEGVHARTMSTHARTISSVSGVGSELNDESGKPIWKNRVESWKEKKKEKKASAKKAAAKAQAPPVEEQIMDEKDLTDAYEPLSRIIPISKNKLTPYRAVIIMRLVVLGLFFHYRITNPVYSAFGLWMTSVICEIWFGFSWILDQFPKWCPINRETYVDRLIARYGDGEDSGLAPVDFFVSTVDPLKEPPLITANTVLSILAVDYPVEKISCYVSDDGSAMLTFESLAETAEFARRWVPFCKKYSIEPRAPEFYFSQKIDYLKDKIHPSFVKERRAMKRDYEEYKVRINALVAKAQKTPEEGWIMQDGTPWPGNNPRDHPGMIQVFLGETGARDFDGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPSVGRDVCYVQFPQRFDGIDRSDRYANRNVVFFDVNMKGLDGLQGPVYVGTGCCFYRQALYGYGPPSLPALPKSSVCSWCCCCCPKKKAEKSEKEMHRDSRREDLESAIFNLREIDNYDEYERSMLISQMSFEKSFGLSSVFIESTLMENGGVPESANPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPIRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGRLKWLQRLSYINTIVYPFTSLPLIAYCCLPAICLLTGKFIIPTLSNAATIWFLGLFISIIVTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGILKMIAGLDTNFTVTAKATDDTEFGELYVFKWTTVLIPPTSILVLNLVGVVAGFSDALNSGYESWGPLFGKVFFAMWVIMHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLLWVKIDPFIGSSETTTTNSCANFDC >ORGLA01G0253700.1 pep chromosome:AGI1.1:1:22714638:22715832:-1 gene:ORGLA01G0253700 transcript:ORGLA01G0253700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEVMDRSTSAEDGYCSAGTDSPRAESVDEQGAAEESSPRGGQKRELPSPSASPSSPLPPAAKRSRRSVEKRVVSVPIAECGDRPKGAGEGPPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRADPTVLLVTYSFEHNHPWPQPKSSSCHASKSSPRSTAPKPEPAADGQQPEPAENESSASAELEVPEPEPEQESEPVVKQEEEQKEEQKAVVEPAAVTTTVAPAPAVEEEDENFDFGWIDQYHPTWHRSYAPLLPPEEWERELQGDDALFAGLGELPECAVVFGRRRELGLAATAPCS >ORGLA01G0253600.1 pep chromosome:AGI1.1:1:22709271:22712357:1 gene:ORGLA01G0253600 transcript:ORGLA01G0253600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGYRAEDDYDYLFKTVLIGDSGVGKSNLLSRFTKNEFCLESKSTIGVEFATRSIQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVARWLKELRDHTDPSIVVMLVGNKSDLRHLVAVSTEDGKEYAEAESLYFMETSALDATNVENAFAEVLTQIYQTVSKKTVEASDDGSNAPIKGEKINVKDDVSALKRIGCCSN >ORGLA01G0253500.1 pep chromosome:AGI1.1:1:22699501:22703764:1 gene:ORGLA01G0253500 transcript:ORGLA01G0253500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCVVVENGHPIPKEEDAPVVAVERLPEGAGKPREEGSRGQRKQGGIRREPSFSRWCKDPSAMSNAPPGGASPASAASDDDSEEFELPLLPSDSGGGGGSHLPMDIEAGAAARSDDLPISPWLIAKVIALIVSWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRVIVWFQHRGLEGAASAMTWRDYFLRVVPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFLFRLEKPSFNLLGIILIVSFGVLLTVAKETEFNLWGFVFIMLAAVMSGFRWCMTQILLQKEEYGLRNPFTLMSYVTPVMAITTAILSIAMDPWHDVRASHFFDNSTHIIRSSLLMLLGGALAFFMVLTEYVLVSVTSAVTVTVAGIVKEAVTILVAVLFFNDTFTWLKGLGLGIIIFGVSLFNLYKYHRFKKGHRNKNCDKNPQTSSGASKYVILDDDDMENQGNSG >ORGLA01G0253400.1 pep chromosome:AGI1.1:1:22695050:22696663:1 gene:ORGLA01G0253400 transcript:ORGLA01G0253400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGFRSSRHNQREKQQRTQEEEHKHERQEDNGNKSRAAFLSFSPLAWLSKLTAKNNAAAAKPKPAAPADKSAVAATGGFPSCFFKGASSSTSTSVSLSSSAASQSSLADSSPADHQALRRLSVGNDGDTAEAAAARQLYHRRRHYSVGGDRDLQTLRNLIPFSRAASPIPVPAPFVPALKKTPPLLPSDTDEEKRPRSRRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASAAAAAVSELERFAVVRRTSDPQREFRASMVEMIASKRIGRPEELETLLACYLSLNADEHHDCIVKVFRQVWFELNPARVAAVAPPRS >ORGLA01G0253300.1 pep chromosome:AGI1.1:1:22677509:22680570:-1 gene:ORGLA01G0253300 transcript:ORGLA01G0253300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRCSSSVPSAPKSPSPTRKRSPRRCSIASDVLRCSSPTPSTNGFTTASASATYGLCSTTCSLSPPPHPRRPSSSSSSSSSSSPSSSSGSGRFDRGAWEAYVLANKFFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEILKALLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGVHMGQLKTVLSLPDREWRVSELQQQFEGKTVLLGVDDMDIFKGINLKLLAFENMLRTHPKWQGRAVLVQIANPARGKGKDLEAIQAEIHESCKRINGEFGQSGYSPVVFIDRDVSSVEKIAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGSDSTSEVNGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEALNEAISMSEQEKQLRHEKHYRYVSTHDVAYWSKSFIQDLERACKDHFRRTCWGIGLGFGFRVVALDPHFTKLNMDSIVMAYERSESRAIFLDYDGTLVPQTSISRTPSAEVLRIINTLCSDRRNKVFLVSGRRRDKLGEWFSSCPDLGIAAEHGYFLRWTRDEEWQTCTQTSDFGWMEMAKPVMNLYTEATDGSYIDPKESALVWHHQDADPGFGSSQAKELLDHLESVLANEPVSVKSGQFIVEVKPQGVSKGVVAEKILVSMKERGKQADFVLCIGDDRSDEDMFENIADTIKKGMVATNTSLFACTVGQKPSKAKFYLDDTFEVVTMLSALADATEPEPETDLTDEFDELAVSVSSVDIDDEQTPSDKLIGG >ORGLA01G0253200.1 pep chromosome:AGI1.1:1:22674211:22676113:1 gene:ORGLA01G0253200 transcript:ORGLA01G0253200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGSLPPFLSKTYEMVDDPSTDAVVGWTPAGTSFVVANQPEFCRDLLPKYFKHNNFSSFVRQLNTYGFRKVDPEQWEFANEDFIKGQRHRLKNIHRRKPIFSHSSHSQGAGPLTDNERKDYEEEIERLKSDNAALSSELQNNTLKKLNMEKRMQALEEKLFVVEDQQRSLISYVREIVKAPGFLSSFVQQQDHHRKKRRLPIPISFHEDANTQENQIMPCDLTNSPAQTFYRESFDKMESSLNSLENFLQEASEEFGNDISYDDGVPGPSSTVVLTELHSPGESDPRVSSPPTRMRTSSAGAGDSHSSRDVAESTSCAESPPIPQMHSRVDTRAKVSEIDVNSEPAVTETGPSRDQPAEEPPAVTPGANDGFWQQFLTEQPGSSDAHQEAQSERRDGGNKVDEMKSGDRQHLWWGKRNVEQITEKLGHLTSTEKT >ORGLA01G0253100.1 pep chromosome:AGI1.1:1:22670810:22672807:1 gene:ORGLA01G0253100 transcript:ORGLA01G0253100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 family protein [Source:Projected from Arabidopsis thaliana (AT1G78630) TAIR;Acc:AT1G78630] ASAFLSSAFARDRPLPRQRRAARPATRRAAAGGLSVRCEQSEKQKRQPLSALVPREQRFMFEGDELCGPDIWNTTWYPKAADHVTTEKTWYVVDATDKILGRLASTIAVHIRGKNEATYTPSVDMGAFVVVVNAEKVAVSGKKRSQKLYRRHSGRPGGMKEETFDQLQKRIPERIIEHAVRGMLPKGRLGRRLFTHLKVYKGAEHPHEAQKPVPLPIKDKRIQKSEK >ORGLA01G0253000.1 pep chromosome:AGI1.1:1:22666050:22669088:1 gene:ORGLA01G0253000 transcript:ORGLA01G0253000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLRGASPDGPSPHVSSSSLGRLDPTTRLVHGVREPCLNFLSPRSIEDLVFPGGTKLDSVVKRIIYKSDDNDYDTYHSEANSTYLLQHAEATRFNLFTGFQTLPEREESFKVNETVNVHCGFYSDNGGFKISDIDMRYMRSCKVVVSTCAFGGGDDLYQPIGMVNSSIGKVCYVAFWDEVTLSTQESEGKVVDGNGIIGRWRIIVVRSLPFVDQRLNGKIPKMLTHRLFPEARYSIWVDSKYQFRRDPIGVLEALLWRTNSTFAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLTQYRKDGMPDEKRLHGLKALSEASVIVRELAPVTNHFMCAWFNEVVRFTSRDQLSFPYVLWRLNMPGINMFTVCTRRDLVNSLGHTRKVKPLLTQTNSESSAM >ORGLA01G0252900.1 pep chromosome:AGI1.1:1:22662196:22663046:1 gene:ORGLA01G0252900 transcript:ORGLA01G0252900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNERPLPTPISAAAGGSGGNPPPGRPTTVDSMLLDKGAAMLQALRPVKHIKQHVCTFALYAHDPRRQVETHHFVSRLNQDVLQCAVYDADDKHARLIGVEYIVSRKIFDSLPAEEQRLWHSHAHEIKAGLWVSPHVPGMLEKAELEKMAGTFGKFWCTWQVDRGDRLPLGAPALMVSPQDDPAADVRPDLVRNRDDKYRYSTTELRAARADVAVPAEPRPGQADYWLRHRKGFAVDVVPHEMKCHAPFP >ORGLA01G0252800.1 pep chromosome:AGI1.1:1:22659785:22661675:1 gene:ORGLA01G0252800 transcript:ORGLA01G0252800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMHIGLTDGSNLLTNYMGTSYMVAVLISVFADTFIGRYKTVIISSVIELVGLLILTLQAHSNKLKPPYCVFPFDPKCETVSGDGRTHLYVGLYLVAIGSAGIKAALPAHCADQFDEKHPTEKLQMSSFFNWLLLSLCTGGAISVTVFVWIQNYKGWDKGFGAATGVMGLALLVFIAGLPGYRISVVQGSTALLEILQVDRNVHVEHRNDCPLRCFQVYVAAIRNRNMKLPENPDELYEISKSKAPPDTDFMAHRDKPFRFLDKAAIVQAPTDEAPSPWRQCRVTQVEHAKTVLAMVPIFCSAIIMSTCLAQLQTFSIQQGVTMDRTIGTFKMPPASLPIIPLIVLVFAVPIYERGFVPFARRITGHPNGIPHLQRVGVGLVLSIVSMAIAAVVEVRRKRVAARHGMLDANPMLGKQLPISCFWLAPQFTVFGVADMFTFIGLLEFFYSQAPPALKSMSSSFLWCPMSLGYFLSTIIVKAVNAATKGATASGGWLAGNNINRNHLDLFFWLLAVLSFLNFLNYLFWASWYKYKPQQSAHVPAEHKV >ORGLA01G0252700.1 pep chromosome:AGI1.1:1:22652788:22655722:1 gene:ORGLA01G0252700 transcript:ORGLA01G0252700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G29690) TAIR;Acc:AT1G29690] MSLAGSALEAALQAVGRGLDAAGDHRLLYCKGTGRLLMLDESRARDLTINGGVLRGVPPDVVVEEGHGILERIRQVPGPPTDEPVVCSFPKMAECFNRKAGLLETTVPLGSFNSLFSFTGSWKNDEAATKSLAIDGYSVPLFKVKITSGELFLHESVKRAIPHSWDPSALASFIENYGTHIITSVTVGGKDEVYIKQHSSSQLSELEFRNYVKEIGSERFSDGDSKLNATPINYSEKDMTVIFRRRGGCDLVQNFNDWIKTVQSAPDVIGMTFLPIVSLVGDMPGKKHLARAIELYLKYKPQIEELQYFLDFQVQLVWAPVPPGIAGQHRKEPVCPSLQFSLMGPKLFVSTEQISVGRRPVTGLKLCLEGAKQNRLAIHLQHLGSLPKIFVPHWDSHITIGPPKWQGPEEQDSRWFEPIKWRNFAHVSTAPIEYTETSITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHSPSSSLVHRTDEASSSSSDNAKLVKIVDMTETLKGPQDAPGHWLVTGAKLGVEKGKIVVRAKYSLLNY >ORGLA01G0252600.1 pep chromosome:AGI1.1:1:22646284:22648446:1 gene:ORGLA01G0252600 transcript:ORGLA01G0252600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVPTVEPLVLAHVIHDVLDPFRPTMPLRITYNDRLLLAGAELKPSATVHKPRVDIGGTDLRVFYTLVLVDPDAPSPSNPSLGEYLHWMVIDIPGTTGVNFGQDLMLYERPELRYGIHRMVFVLFRQLGRGTVFAPEMRHNFHCRSFAQQYHLDIVAATYFNCQREAGSGGRRFRPESS >ORGLA01G0252500.1 pep chromosome:AGI1.1:1:22636251:22639667:-1 gene:ORGLA01G0252500 transcript:ORGLA01G0252500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPSTPTAAATPRFKLGKQSSMAPDRGGAGESSEEGSSEAVGVMGFQLMYMAHEGDVEGIQELLDAGADPNFRDSDGRTAMHIAACEGQAEVVELLLQRGADAVAEDQWGSTPLADALHYQNHDVIKILEKHGSKLKIAPMHVKNVREVPEYEISPNELDFTNGNGISKGTFRKATWRGILVAVKKLDDDLIMDENKVQAFRDELDVLQLIRHPNVVQFLGAVTQSSPMMIVMEFMPKGDLRKHLSRKGALEPSYAVKLALDIARGMNYLHEHKPQAIIHRDLEPSNILRDDTGHLKVADFDLCKMLKWRRKVREEKAVTSPGNACRYVAPEVLRNEEYDTKVDVFSFALILQEMIEGCLPFYDKKNNEIEKAHNSKERPPFRAPPKHYAYGLRELIEQCWSENPASRPDFRTIIEQLSYIQNEISQRNRWKVKPLKCFLSFEGMWKKDRNEGSTTRSSRSSRSYF >ORGLA01G0252400.1 pep chromosome:AGI1.1:1:22634107:22634757:1 gene:ORGLA01G0252400 transcript:ORGLA01G0252400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGVSCRPVGVSFRRRPRILHCPSQRLRAGRSPSKTGQRARLVQRLGMERVSCVPLLRRLLLLAAAGLAGAEPQVPCYFVFGDSLVDNGNNNNIASMARANYPPYGVDFPGGATGRFSNGLTTADAISRLLGFDDYIPPYAGATSEQLLTGVNFASAAAGIRDDTGQQLVRTYAQLYTRDTH >ORGLA01G0252300.1 pep chromosome:AGI1.1:1:22630480:22630800:-1 gene:ORGLA01G0252300 transcript:ORGLA01G0252300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEADILWPDTAAVSSQEGAPSSGMRYELQAASCCSASSSGASLFGPCSRSEEFLSGASSTAGASNDDDEEELMEADVLWPDTAPQPDEQPRWGASGYHGWSRG >ORGLA01G0252200.1 pep chromosome:AGI1.1:1:22626605:22627033:-1 gene:ORGLA01G0252200 transcript:ORGLA01G0252200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQEADVLWPAEHHRDYERCRHHHHAAQPAVCPVAPLRPSSSSAPVRIPAPTTTTFAGARRGYYEDDGTRTDYSAGAGASSRIVPPHVYVAAARRGSEGRTVASSVCVGHGRTLKGRDLRAVRNAVLHMTGFLGGGPDEY >ORGLA01G0252100.1 pep chromosome:AGI1.1:1:22622931:22624070:-1 gene:ORGLA01G0252100 transcript:ORGLA01G0252100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVLLRDASPSRLQRTRFSWKQMRGAVHPPSRTSSSPPRRSALITSVPAVLALAFLLILAAGVFLYSGTTTTPSRAVTSAGRVNEARGGGHALLSPTVGSIGGARAIWELPAAPARAVLFVAHGCHCRPENFWPPSPRCPGCVGLPEDVAITERALRRRFAVLALASARECWSMGKEVSAAKRGIRSWATENGLADLPVAALGASSGGYFVSRLAAEMKLAAVVLMIAEGSFGPGGAPAGYPPAMFLHMPKDQRRAALVERNSKMLRSNGVEVNELRSLELPLTPTMLSDRIPGLNRRLSERIWRVFTEDGFVDERGFMRKDGRATPWKDAVVKRGFWEEVAGWADHIQEELNLAYGYHEMTSLHTDEMFDWIEEHLS >ORGLA01G0252000.1 pep chromosome:AGI1.1:1:22620515:22621331:-1 gene:ORGLA01G0252000 transcript:ORGLA01G0252000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRRWPYAAWFMVVLGLVAVFSSSEAYVFYAGGRDGWVVDPAESFNHWAERNRFQVNDTIVFLHDDEVGGSVLQVTEGDFDTCSTGNPVQRLEDVAAGRSVFRFDRSGPFFFISGDEDRCQKGQKLYIIVMAVRPTKPSEAPEPAGAAGPVSSKSWSWQAFPPAGATTPPPLPPSWASAPEHAQAPGKSSLGGSGGGEMSRSSSLGAPPPTSGAAGLAGVVASVVVGVLGALLMF >ORGLA01G0251900.1 pep chromosome:AGI1.1:1:22617702:22619727:-1 gene:ORGLA01G0251900 transcript:ORGLA01G0251900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYSSVPCSVPPSPSSPHGSGSGRAVAPDEASTVAAVRHAAPDRGGGNRRLMEKHHLIQVMMKSGSTHQKGNLEDSVLNHLSMENDSLEEHQLGTRIMNIPH >ORGLA01G0251800.1 pep chromosome:AGI1.1:1:22610114:22614516:-1 gene:ORGLA01G0251800 transcript:ORGLA01G0251800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin related protein 5A [Source:Projected from Arabidopsis thaliana (AT1G53140) TAIR;Acc:AT1G53140] WRPTRSRRRRRGGHRTLRWRRPLPPDGPQTPPRRRPPPPPRRRTQRRGSRRTTGCRRRRWRSARSSRSRRLWPSAASRTGRAPSWRPSSASASTSGRSRWGTRRPLVLQMVHDPTALDPRCRFQEEDSEEYGSPMVLATAIADLIKQRTEAHLRKIQAAVSPKPIVMRAEYAYCPNLTIIDTPGFVLKAKKGEPESTPEEILSMVKSLASPPHRLLLFLQQSSVEWCSSLWLDAIRDIDPTFRRTMIVISKFDNRLKEFTESWEVDSYLSASGYLGDNIHPFFVALPKDRGTISNEEFRRQICQVDIDVLRHLRDNVKGGFNEEKYEPYIGFSCLKKYLESELQKRYKEAAPATLALLEQRCSEVSMDLSRLDSKLQATSDVSQLRRSAMLHAANICTHLRSLLDGAADPAPELRGKTTEEEQMHSGIGSWPGINVPVKPPNSSLKLYGGAAFERVMHEFRCATYSMECPQVSREKVANILLAHAGRGGSSGLTEAAAEIARAAARSWLAPLIDTACDRLAFVLQSLFDLAMERCRYQDSKYHQNVEDMDGYVGFLAALRCSYYKFVKELSKQCKQIVRHHLDSVTSPYSHICYENDFLSGVGSVANSMHRFNHFPGVTSFDLSDSGSALEEAQENVPPKDRQHMTPPAKGNESKEVLRESQLTVPETPSPDLPVDMNGGKKKDNGNLNDGGARKRHARMAAYANRNHHNNVIGGDDLGSKSGSSYSSICSISAQYFAKMREVLIERNVPSALNSGFLTPCRERLFLALGFELFAVNDDRFMDMFVAPGAVDAIQNERQSLLKRQKILLSCLNEFKNISRTL >ORGLA01G0251700.1 pep chromosome:AGI1.1:1:22604052:22604331:1 gene:ORGLA01G0251700 transcript:ORGLA01G0251700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKSLGKNPVPRFNGHGRPALLTRSSISSEPHRLLIMRRVAEWDYRGQGLCFVLEQGLCEKLVRIVSIHFGRS >ORGLA01G0251600.1 pep chromosome:AGI1.1:1:22600844:22601539:-1 gene:ORGLA01G0251600 transcript:ORGLA01G0251600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTKQLLPMPQQDPNSPSSSTSSSSSSSTSPSHPHHRAPLPPSPRPVPRTIETTPFPTTFVQADTASFKQVVQMLTGAEQPSKNTATAATAAAGNSSAAGIGGGQGANGPCRPKKPAFKLYERRSSLKNLKMIAPLAMGALPSPTGRKVGTPEILSPSVLDFPSLKLSPVTPLTGEPFNRSPASSSEDAERAAISERGFFLHPSPRGAEPPRLLPLFPVTSPRMAAPSE >ORGLA01G0251500.1 pep chromosome:AGI1.1:1:22589655:22593486:1 gene:ORGLA01G0251500 transcript:ORGLA01G0251500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding S4 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G53120) TAIR;Acc:AT1G53120] MAAAVSLASPLRRVLHVPFARRAIPTSYHFFPSGRCHAALSFAAAAAGDSAVKASLDRNAAEELRSILDMAQRASQRRDVFHTNFLTPPIVKECMLAIEKLADIKAVAQGGYPQAERCRVSVGHPDCMTSNPDVVAALSISGNFRLESCSHGDFLGAILGTGITRDKVGDILLQGERGAQVLVDPELVDYLTSTLEKVGKVGVSCTQIPLLALEYEPPRTKSFKTVESSLRVDALGSAGFKISRTKLASLISAGDVRVNWSPVSKNGVTLKAGDVVSVSGMGRLKIGEIVTTRKGKYAVELIRYL >ORGLA01G0251400.1 pep chromosome:AGI1.1:1:22588261:22589424:-1 gene:ORGLA01G0251400 transcript:ORGLA01G0251400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLRRLLLGATPADALLTGRLPFSTSSRRTPHRFRRSRRSPNPAPPSPEAVSAAIATLPSRLTPPLLSYSLSSFSDARLLLPVLTHSLRLPTFRPDPGPFLVAIKRLAAADLYADFDRTCALAFSLLPSLPSPGPFLRTALYFYCQFRKIGKAFHVYTLMRASADPAARPSTDTYHALFTALLSRGGGDTMVHYMYMDTVSALFRQMLEEGVPPDTRALNVLVKGYAQSLHLNDALRVFHQMRPVYGCEPDALTYSYLVHGLSEQGRTRNAREVFDEMLAKGLAPTEPACNAFVSALAMAGEAGEAERVMWDMARAGRVVDDVTRRAVVEELWRSGRRDDAERVVREMEERGIVGGAERRALLRSLHDEFGDDDLDVDDRWRNTR >ORGLA01G0251300.1 pep chromosome:AGI1.1:1:22585304:22587786:1 gene:ORGLA01G0251300 transcript:ORGLA01G0251300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidin 4 [Source:Projected from Arabidopsis thaliana (AT4G22930) TAIR;Acc:AT4G22930] MQTAATSTFFANPHVKHLPGPFLRPSPHYGALVHLPSFRNKTPISIAMAASPSPPPPLQELTITRPDDWHLHLREGDVLAAVLPHSAMHFGRAIVMPNLKPPVTTTARALEYREEILRALPPGSNFVPLMTLYLTDNTSPEEIKLAKKSGVVFAVKLYPSGATTNSQDGVTDIFGKCLPVLEEMARQEMPLLVHGEVTDQHVDTFDREKVFIEKILAPLVQRLPQLKIVMEHITTMDAVNFVESCKEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKQYFLGTDSAPHDKRRKECSCGCAGIYSAPVALSLYAKVFEQAGALDKLEAFTSFNGPDFYGLPRNTSKIVLRKSAWKVPDTYSYSSGEIVPMFTGNTLEWLPSDQLEE >ORGLA01G0251200.1 pep chromosome:AGI1.1:1:22582872:22584618:-1 gene:ORGLA01G0251200 transcript:ORGLA01G0251200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRDEKGGSKILALTVDAKGSVTFNAVVGVREADAARDEILHLEHKFRQLHTTKMEELLRQVATAVASSPQGIGGKKV >ORGLA01G0251100.1 pep chromosome:AGI1.1:1:22577829:22579899:-1 gene:ORGLA01G0251100 transcript:ORGLA01G0251100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDYSWVRRTRFSHSVVRSKSGREQFGAFVEQFNRGAARRQRGPGSGFMLHGLNLEPRARLPATTSANSSIPRTTGSSNAQPSSETKPASSSSDAKPEQHEKSLNLEPRTRLSATTSANSSVPWTTGSSNAQPLSVTKTASSSSDAKPEQQEKPRDHQPSQEVSTKQDDKAENDTKATATSGPLEFSFHPDEQTLRLQRACSSPVPFPSNKNMLVADAAARSSSLKVAGEGPKPKPKQRARSPPLRRDVPELFKEAKSSSKRFSTPPPRRKPSSPPAPSRSPPHAFATARAHGKPKHKKDSSANGRAKVAALEVLEKWTVDRSQLLIGHRFASGAHSRLFHGIYKEQPVAVKFIRQPEDEEDAELAAQLEKQFNTEVTTLSRLNHPNVIKLIGACSSPPVFCVITEFLSGGSLRTFLHKQEHKSLPLEKIISIGLDIANGIGYIHSQGVVHRDVKPENIIFDSEFCAKIVDFGISCEEAECDPLANDTGTFRWMAPEMMKHKPYGRKVDVYSFGLILW >ORGLA01G0251000.1 pep chromosome:AGI1.1:1:22572441:22574176:1 gene:ORGLA01G0251000 transcript:ORGLA01G0251000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEDGEMSLSNLVLGFFEEAEREQRWPENGDDDDEGSSGSGAAESKAFWQNQHSQLHEALAKTSQVESRIREDTEEAIRKMRAAGAVCSCARRAAAGDCRSCTLRHVDERLRDAGYNSAICKSKWTRSPDIPSGEHSYVDVVVQTRSGKAVRVVVELSFRAEFEVARASAEYRALVTALPEVFVGRADRLRAVVKAMCAAAKQCMKENNMHMGPWRKHKYMQSKWLGTPERVAAAAAAPVAVWSPEKQTKFRASMLSFDFARAAVEVA >ORGLA01G0250900.1 pep chromosome:AGI1.1:1:22562533:22562736:1 gene:ORGLA01G0250900 transcript:ORGLA01G0250900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGIPGIVPMKARPDTAPGWHFRRGAGMESAWWHVEAEPKSGALAALLFGWSRGAARRGCGDTTT >ORGLA01G0250800.1 pep chromosome:AGI1.1:1:22555283:22555573:-1 gene:ORGLA01G0250800 transcript:ORGLA01G0250800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPEWSNMELEPVNVGYYDGRDGTLAGVDKRGARMTKPSGVAWTVEDLLTIATGDELCCGVWTVEDLLNVEDVAAGDELRHEAWTLEDASTDEDL >ORGLA01G0250700.1 pep chromosome:AGI1.1:1:22552118:22554248:-1 gene:ORGLA01G0250700 transcript:ORGLA01G0250700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGNGLILYHILGLASCIALVYFSLGEVDLRDALPSLPFSGGASRAAAASLPFVERRGKRLFLDGRPFYINGWNSYWLMDLAVEPNTRPRVSSMFRTAVSMGLTVCRTWAFNDGSYNALQLSPGHFDERVFKALDRVVAEASEHGVRLILSLANNLDAYGGKRQYVRWAWEEGVGLTASNDSFFFDPAIRDYFKVYLKTLLTRKNHLTGLEYRDDPTILAWELMNEPRCTSDPSGDTLQRWMEEMSAYVKSIDKKHLLTVGTEGFYGPTSSQEKLNINPGEWFPNNYGADFIRNSKIQDIDFASVHVYPDNWLQHASLDEKLKFMTRWITAHVEDGDGELEKPVLVTEFGLSHQVEGFEDAHRDVLYRAVYDIVHGSAGRGGAAGGALVWQLAAEGMEEYHDGFSIVPSERPSMMRLIKEQSCRLAAVRYGEEGARKVLKTKRRRKIQRRNAQEKISAKSHEIECNQCACTSAWQGSAQIGADVCRSADTAQWFFFFFFFFFLPSVFCVCCTCP >ORGLA01G0250600.1 pep chromosome:AGI1.1:1:22533584:22536737:-1 gene:ORGLA01G0250600 transcript:ORGLA01G0250600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRGGGGGGGELVAWKSVRQERWEGALEVDGELPLWLDGTYLRNGPGLWNLGDYGFRHLFDGYATLVRVSFRGGRAVGAHRQIESEAYKAARAHGKVCYREFSEVPKPDNFLSYVGQLATLFSGSSLTDNSNTGVVMLGDGRVLCLTETIKGSIQVDPDTLDTVGKFQYTDKLGGLIHSAHPIVTDTEFWTLIPDLIRPGYVVARMDAGSNERQFVGRVDCRGGPAPGWVHSFPVTEHYVVVPEMPLRYCAKNLLRAEPTPLYKFEWHLESGSYMHVMCKASGKIVTSVEVPPFVTFHFINAYEETDEEGRVTAIIADCCEHNANTAILDKLRLHNLRSSSGQDVLPDARVGRFRIPLDGSQFGELETALDPEEHGRGMDMCSINPAHVGREYRYAYACGARRPCNFPNTLTKVDLVERTAKNWHEEGSVPSEPFFVPRPGATEEDDGVAISMVSAKDGSGYALVLDGKTFEEVARAKFPYGLPYGLHCCWVPRNRNSK >ORGLA01G0250500.1 pep chromosome:AGI1.1:1:22526820:22527125:1 gene:ORGLA01G0250500 transcript:ORGLA01G0250500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QMADGLLLAAANPMETTATEGDDGRRGWRNGGAPVHDDGQSPVGFGAKEPAAGIELNFAEPREVVELIGDGRGDGARRLERLPAAEREGARGEGDSDDGGR >ORGLA01G0250400.1 pep chromosome:AGI1.1:1:22506586:22514153:1 gene:ORGLA01G0250400 transcript:ORGLA01G0250400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nuclear pore complex protein, Nucleoporin Nup85-like (InterPro:IPR011502); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Ot /.../karyotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G32910) TAIR;Acc:AT4G32910] MPGMLMDGGGAIVPFSGEPGHAVAAAPPVRNIRHGVAPPISRVYVSFSSGNLLQVACLRPPNPEGGGDRGAEEVSGGRVVEVNLGGGGSGPGGADAEEIDEAEMRRIEYGSVPAFALLQSRKNALVDGSGMSRLPSVSEHAEWWQYVLEYSKTIGSLLGNPDSLSAYMIDDPKMILKVKEKPTSLKAAWELLEIFFVDKQLQTWLPERLVDWLADYDSLLTKTENTIYRTLINFQKKLINLQVVEDDPDYWSGLSAALSVGWLDIVVNMLRFHGSYQLDQMDSRETENGLVEAVAVLVSTMPRMRPDLPSGKLGQCCKTRPDFIKALEKWRGQVSKLECSAFWIQCGHQKTRDGLKSLLHIMLGNIKNLTASTSHWLELFASHLLYXXXXXXGFEGMHQLAQKCIQLKPSADNSGLTGLLTGILSENTEVVLAECTKNFGPWMVTHAMELLTADNDYADMMLHEEKPNFGGISIEELHRLVYAQVLCSHALTWQIAPTYLSSCLNQGLGLLEILLLKQPIQDNRVVLKTLEICRLYELENVSTNIMKIAGIYHWKHGRKGTGVYWFQQANDKVRLDRIAQQLFEHIGKSVTDDSFKQWEGLLELLGSDIGSAGGLEFLHRYRDFKRSLQMAQEGRTGESVRQTVEFLIQLMRNPSTPQRFWLPLLHDSVRLLNCKPCPLLNVAETTLLLNKLQELSLAKLRPDFSNIHLPIHALNSVRLALASNLARAILEEP >ORGLA01G0250300.1 pep chromosome:AGI1.1:1:22502041:22502833:1 gene:ORGLA01G0250300 transcript:ORGLA01G0250300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVXGAQRNQVLVARTADAAHRRRARLGVLAAALKEEAQLDAAAALRQLGLVDGAVAGLVDHLPMESEARTTAAAITAMMMAATAEEVRGDSRCRQQGPPPAAAISWVFAWTQTRLVLPAWLGVGRGLQDACDKGHTDELRAMYKEWPFFQSTVDLIEMVEVLRHHDNRKLRDALLITINGIAAGIRNTG >ORGLA01G0250200.1 pep chromosome:AGI1.1:1:22493523:22495196:-1 gene:ORGLA01G0250200 transcript:ORGLA01G0250200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:I1NRL2] MEVTAEFGGAYYGGAAGREKKALQQGCGDHFAVDDLLVLPYDEEDETTREGEATGGKEEAAGFGNASADSSTITALDSCSNSFGLADGDFPGELCEPYDQLAELEWLSNYMNEGDDAFATEDLQKLQLISGIPSGGFSTASIPSAQAQAASAAASMAVQPGGFLPEAPVPAKARSKRSRAAPGNWSSRLLVLPPPPASPPSPASMAISPAESGVSAHAFPIKKPSKPAKKKDAPAPPAQAQLSSVPVNSGGSAPAAAAGEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFMVSKHSNSHRKVLELRRQKEMHQQTPHHHQPQVAAAGGVGSLMHMQSSMLFDGVSPVVSGDDFLIHHHLRTDFRPPI >ORGLA01G0250100.1 pep chromosome:AGI1.1:1:22481846:22482862:1 gene:ORGLA01G0250100 transcript:ORGLA01G0250100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRAALLRLIQKLGEEGPGQDLRASYLSMKIGGMFQEVIAMFVPVLNQSSSAPFQAIIGTTSDILLAAKPGSHFSLSLRLACFLFLS >ORGLA01G0250000.1 pep chromosome:AGI1.1:1:22474464:22475257:-1 gene:ORGLA01G0250000 transcript:ORGLA01G0250000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQYTRYVQSCTDARVIRQRYEGDGPILSDDALLPADPGAAAGAAAEQQQQQDEARSVRCECCGVAEDCTPTYIGRVRERFDGRWVCGICAEAVSELRRRDPALAVREAVASHAALCAEFNATVRVNPALCLVRGMRDIVRISCRGRSGDSASPSAAPGGGAGARIGRTRSCALPYV >ORGLA01G0249900.1 pep chromosome:AGI1.1:1:22464742:22467871:-1 gene:ORGLA01G0249900 transcript:ORGLA01G0249900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYTARLVDEEVELEAHTEVDEQQQQEEARSVRCECCGMAEDCTPGYVRRVRARFEGRLVCGLCAEAVSERRRREPALTVGEAVESHASLCDRFNRTVRLNPTLSLARSMRDIARTNCLSRHRSARADACAAGGASKQIGRVKEISLIILVIVMVIILALYIVLVTICFKGMTVWPGSYWNNHLNHALVLTDPLRAMPVLRDIYIEKFAMNPATYRLEIC >ORGLA01G0249800.1 pep chromosome:AGI1.1:1:22451223:22462902:-1 gene:ORGLA01G0249800 transcript:ORGLA01G0249800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgin candidate 1 [Source:Projected from Arabidopsis thaliana (AT2G19950) TAIR;Acc:AT2G19950] MASWLKVAEDLLEVVDRRAKIVATELSDEQSSPQPSGSSSQEGQAKKGKLREKGPLKLATGDAGSRTAAQKERKSRQPPRERIKIEKIRPSPPVDSSSVDASASKPDVSSSDVKGLDDDGGAEKEEKVVVDRKNDIGAEVVDTEVEVQSTERSAEDAAIVVDGAADSGNSEGAAESSAPSVPDERCEPSISNQDAEIVSAVNLEEKDSAMEVIHEKNIKEVPDTQVSGKSQDSKREGLSDSPESTENQQEHKLDSGSVKDQDQLEEARGLLKNVVKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVQEREKCSSYEAHMKQLQQELSMSRVEGSRAESNMVDALTAKNAEIESLVKSLDSWKKKAAASEEKLAALQEDMDGLKRNRELTETRVIQALREELATVERRAEEERIAHNATKMAAVEREVELEHRAVEASNALARIQRAADQSSSRAMELEHKVAVLEVECASLQQELQEMEARNRRAQKKPSEEANQVIQMQAWQEEVERARQSQREAETKISSLEAELQKMRVEMAGMKRDAEHYSRQEHVELEKRYRELTDLLYHKQTQLESMASEKAALEFQLEKSIKQFHEVQMEAERSRVARRSATAWEEDADIKALEPLPLHHRHMATANQQLQKAAKLLDSGAVRATRFLWRHPVARVSLLFYLVFVHLFLMYLMHRLQDFASREGPTAMGGLANSDLP >ORGLA01G0249700.1 pep chromosome:AGI1.1:1:22445813:22445980:-1 gene:ORGLA01G0249700 transcript:ORGLA01G0249700.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSLPVELTQYSAPRLAAGDVSTTTICFPLPPSLPSPPPFHRIRIQTPTLAVSVLGP >ORGLA01G0249600.1 pep chromosome:AGI1.1:1:22441490:22445663:-1 gene:ORGLA01G0249600 transcript:ORGLA01G0249600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSAARRAREAQENPAAAPAPPPARRRRAARRKEPEVAVEAAPEAEEGREEEIEVADLGREGGGEKKMEGPDSGARSADKQAVEDEGNTTPVPDTVQVGSSPKYRVDKKLGKGGFGQVYVGRRMLANGPGASEVALKFEHRTSKGCNHGPPYEWQVYNAIGGIHGVPRVHYKGRQGEYYVMVMDMLGPSLWDVWNNNSHTMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPIGTPEEKRLFLVDLGLATKWRDASTGLHVDYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFREFVEYVVNLKFDEEPNYAKCISLFDTVVGPNPDIRPINTDGAQKLIHLVGQKRGRLLMEETDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADSRLVQHIDKGNEDGLFISCITSCSNLWALIMDAGTGFTSQVYELSPHFLHKEWIMDQWERNYYITALAGANNGSSLVVMSKGTMYTQQSYKVSDTFPFKWINKKWRDGFYVTSMATAGSRWAVVMSRNAGFSDQVVELDFLYPSEGIHQRWDNGYRITATAATWDQAAFVLSVPRRKPTDETQETLRTSAFPSQHVKEKWSKNLYLASVCYGRTVS >ORGLA01G0249500.1 pep chromosome:AGI1.1:1:22438196:22439883:1 gene:ORGLA01G0249500 transcript:ORGLA01G0249500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAMATASVVTYLQRPRELPVLEFRAPPPSPVTGVLTSSSSGSPAYGECPDSNEDDEIGRFLRRSARVPVLRLPERAVPRKKKAAWAPPVIDVRLLALPEAGGPVAEALRSAAIAFGCFQVVGHGVDRSLVSAALRHVAAATARAATPEPEEVEVNGDNEDGEEMWWSPGDGGQEMAGNWALQSGASHFRNTADALFIQLEQTATKIMDVLQRGGAVATQSIAGADTNGSLLCIRKHRRRQDDRSGGASGPISHDDILRMLVRSSRCSRALALHLCPGASAFHIFSRRGWSRFRPMDGAVVVTVGDQLQACNGGLYKSVAGKPAYSNDDLRGNGGDTGVASAELFYCFPSAGTAAGKASEVLSADAGKIIPLNLQFMVAACLVLGYHFLLSSLHSTWLL >ORGLA01G0249400.1 pep chromosome:AGI1.1:1:22429462:22436537:1 gene:ORGLA01G0249400 transcript:ORGLA01G0249400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVNGEYEDFDAANRRAEVIDWLGGLLPEFDLPLDSSDEELRDYLIDGEALCYVADKLMPGVLEGTWGGYASDQRSNVKKFLSVVAEMGLPGFGVKDLEEGSMSSIVECLLALKDNVATQLGRHISNSTAKTPIRRKLELRETDGPVLSVATPGKRYPKSQQRSPLLSGQKINEVVQFKHGTYTDLPAAKISEMLHSNSLDNAPTQSLLRVVNGILDESIERKRGEIPHRVVHLLRNVIQEIEHRIGIQADHIRNQNSIIKTREDKYRSKIKALETLVNGTNEENEMAINRLEVVKVEKSKIDEKRKLGEQDMIRLIREKENAENIIASLHQEMQVMNRMHEQFREQMETKARQMEEHLTLRAKEAEFCLMQSKKKVEEVEATSQLKSQLWSKKANIFQSFMNNQKLSIKDIKISSQSIKQEMYALQMTWRDEISNIGHDLKGLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKLTAIDYIGENGEILIANPSKQGKEGYRMFKFNKVFGTHSSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPGTSREDWGVNYRALNDLFDISLSRKNAFSYEVGVQMVEIYNEQVRDLLSNDIAQKRLGIWSTSQPNGLVVPDASLHPVKSTSDVLDLMEIGQSNRAVGSTALNERSSRSHSILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFSLAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNREGKDIKELLEQVASLKDTITRKDMEIEQLQLLKSKSPNSMTDRNGSNLLRQSTSSTGLSSLPVASQQNQQLSVVTLTPLADTGLAGSVEAEAEDNASDDGCSVGETEYSPAGASETSAERAHKAPSRITRFFLTKNGQPSTSRPKPREVVPKTQGSMRPGTAQATGGSLAKPSKRR >ORGLA01G0249300.1 pep chromosome:AGI1.1:1:22426730:22427050:-1 gene:ORGLA01G0249300 transcript:ORGLA01G0249300.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVRRTKKNMLGASMLDVVPALGGGGPDNLAGWPPPLKTSDGGAAAVWTCTHQRELRPAVSRRRPVPPGAAVMQLHACTRHVAATSLGRMMQQCPTDGGSVQRRRTA >ORGLA01G0249200.1 pep chromosome:AGI1.1:1:22421937:22423903:1 gene:ORGLA01G0249200 transcript:ORGLA01G0249200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNLRRQAASMKKSLFDQGYLDEQFCQVEDLQDEASPNFVEEVVTLFFKDSGRLMSNIEQALEKYPRDFNRWDTYMQQLKGSCSSIGASRMKNECMSFRDSCGQGNVEGCMRSFQKVKREHAVLRQKLESYFQLLRQAGPAGAATRPVM >ORGLA01G0249100.1 pep chromosome:AGI1.1:1:22412290:22416972:-1 gene:ORGLA01G0249100 transcript:ORGLA01G0249100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADWILERERRQVEQILELDMEELQVEEVDDAGSSSSSDVDTFLRNTHGDGGSRTSEALAFNTSVVSLPTCDGEVHDAPGRFAFLDGGVVLCLPMFYLQGVVLFPEAILPIRVVQPRSLTAVDKAVNHVDAPCMIGVVHVYQHTNDGHHAIASVGTTAEIHHIKQLDDGSSNVVTRGQNRFRLRRRWIDADDVQWGEVQIIEEDTPQRTPRDAFGQLATNYIFNQCGTSLLSLGTSCFRQDDHVNSDQDWDSLSSTSTSSEHSVTDARTYCSSNEDEDLMLEQSWQKYDSVKRNAELENPVKHSNTRGKGEPCFQSPKSLPTKNKGAEQRRRFCAAYSSKLALQAPLSFWPRWAYEMYDSYSLARRVADLWRQIVVNPSMDDYVRKPDILSYHIGSKLPMSCSVRQELLEIDGISYRLQKEIQLLKAFNIIRCRNCLALISRRSDGPVGAYVKQFSCGQEMMTVYNATGLALRGAPSKAHSLFPGYTWTIALCAACESNIGWLFRAEKTNLLPKSFWGLRSSQVSDDTQSGHN >ORGLA01G0249000.1 pep chromosome:AGI1.1:1:22405654:22410036:1 gene:ORGLA01G0249000 transcript:ORGLA01G0249000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:I1NRK0] MAGRGEGNGAATMAGVATGGVEDAYGEDRATEDQPITPWAVCVASGHSLLRDPRHNKGLSFTEKERDAHYLRGLLPPVVLSQELQEKRLLQNVRQFQVPLQRYMALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGRILELLRNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEDLLKDEFYIGLRQKRATGQEYSDLLDEFMAAIKQNYGQKVLVQFEDFANYNAFTLLEKYRANNLVFNDDIQGTAAVVLAGLIAAQKFVSGTLADHTFLFFGAGEAGTGIAELVALEISNQSKVPVEDARKKIWLLDSKGLIVSSRKDSLQPFKKRYAHEHEPVKDLLDAVKVIKPTALIGSAGVGQSFTKEVIEAMSSINERPIILALSNPTSQSECTAEQAYSWSKGRAIFGSGSPFDPVKYNDKLFVPAQANNAYIFPGFGLGVVISGAIRVKDEMILAAAEGLADQVTPEHVDKGLIYPPFSCIRKISANIAARVAAKAYDLGLASHLPRPKDLVKYAESCMYSPIYRSYR >ORGLA01G0248900.1 pep chromosome:AGI1.1:1:22399435:22405147:-1 gene:ORGLA01G0248900 transcript:ORGLA01G0248900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) TAIR;Acc:AT2G25840] MSRTLLSHILHRPPPLLASRGGGRGGALPSRLRTLRLNCSVAEATASGDEAPAPPARKKRVVSGVQPTGLVHLGNYLGAIKNWVSLQDLYETLFFIVDLHAITLPYEAPQLSKATRSTAAIYLACGIDSSKASIFVQSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKMGGRGGSLFKVPEALIPPAGARVMSLTNGLSKMSKSAPSDQSRINLLDPKDVIMNKIKRCKTDSFPGLEFDNPERPECNNLLSIYQIITGKTKEEVIRECENMNWGSFKTTLTDALIDHLQPIQVRYEEIMSDPGYLDGVLLEGSGKAAEIADITLNNVYQAMGFLRR >ORGLA01G0248800.1 pep chromosome:AGI1.1:1:22394208:22398718:1 gene:ORGLA01G0248800 transcript:ORGLA01G0248800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPEDDGSSSARTPLIPPTPPPPGRAVARLHPLPLLVAAAFAASYHLLVAPAPSYYRSLFLSLGSNDTAAAHLHALTLRPHLAGTEANARAAEHVVSALSSLSFPTRVVPYSVLLAYPVHRSLSLSAPGHATTPFALVQDTYPGDPYAAVSAEAVPTFLAYAASGSVAAEAVYANYGRPEDFAYLAARGVDVTGKVVLARYGKVYRGDIVINARKAGAAAAVIYTDAKDYAAGEAFPDGPWMPPTGVQVGSTFKGVGDPTTPMWTSSEGCERVSIPEAMATDDMPGIPALPVSGRDGEAILQLIGGDVAPKDWQGGDGSPVYRLGPGPAVLNLTYIGNETMATIQNVISVIEGKEEPERYIILGNHRDAWTFGAVDPNSGTAALLELAQRFSELQKKGWRPRRTIILCNWDAEEYGLVGSTEWVEENRAMLTSRTVAYLNVDSAVYGAGFYASATPQLDELLKEASKQVQNPDNETQSLYDLWMASDSSSMIKIGRLGGGGSDYSAFVQHVGIPSIDISMGSEYAVYHSLYDDFVWMEKFGDPLFRRHVAVASMWGLVALRLSDEEILPFNYSTYAVELEKGAIDINKRLLGVPVSSSPLQKSIAEFKRAALQMDSEMKALQTRKVWNPWRNNPLKVRDLNERLMMTERAFTDREGLSGRPWYKHLIYAPSLHDDYGAQVYPGVDDAIQMAERTNTSESWRSVQHEIYRIARVINQASLVLSGGLT >ORGLA01G0248700.1 pep chromosome:AGI1.1:1:22391875:22392129:1 gene:ORGLA01G0248700 transcript:ORGLA01G0248700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGCRGGASRVAACWRLGGACGAESANELCEIPVLPFHLFDEGEVPRGTKSSDRL >ORGLA01G0248600.1 pep chromosome:AGI1.1:1:22391274:22391754:-1 gene:ORGLA01G0248600 transcript:ORGLA01G0248600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAPQTQRCSLLPDASTAEAAVGRRRRLQGNLLTALPIPSQRRRSCFLPPPFSLLLIQSQPIRNKTEVSNRCKSSFISIHQQQSRDQQQLKANRGDHDQGLDEIEIEGFCSSAGLQPQSGPGGAAAVARG >ORGLA01G0248500.1 pep chromosome:AGI1.1:1:22384711:22387369:-1 gene:ORGLA01G0248500 transcript:ORGLA01G0248500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1NRJ5] MAVAPVRLVACIVALAAVAPGGVAGHTRGVRPGRAAGKQQPSFPENATRVEAIERQFMEWVRYMGGLEHSTVHHALARAFPSYSLVVDKNPAFGDFTTIQAAVDSLPIINLVRVVIKVNAGTYTEKVNISPMRAFITLEGAGADKTIVQWGDTADSPSGRAGRPLGTYSSASFAVNAQYFLARNITFKNTSPVPKPGASGKQAVALRVSADNAAFVGCRFLGAQDTLYDHSGRHYYKECYIEGSVDFIFGNALSLFEDCHVHAIARDYGALTAQNRQSMLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDDIIIPRGWYNWGDPNRELTVFYGQYKCTGPGASFSGRVSWSRELTDEEAKPFISLTFIDGTEWVRL >ORGLA01G0248400.1 pep chromosome:AGI1.1:1:22376266:22378143:1 gene:ORGLA01G0248400 transcript:ORGLA01G0248400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIIRWLKKLLTGRKEAHKGLKENHAVSDGAEKEKSRWSFAKHRRSGVDSGRRPSEAALAAVAAVAVEPSEVRRPCHCGEVENAIARREKAAMVIQKAYRGYLARKALRALRSLVKLQALVRGYLVRKQAATTLHRLQALMRLQASSRAATRASYRKSMEQERISVEETRLKTTTPGHRRRLSDSADSNYERSPRIVEMDTCHRRSRSSRIAIRHSRDHSSDCLTPAPMPPAPLSCSSPISIKQPPRLSIHRSQHHERDTRHAKTAHNTPRLGAPPYGSSPANKSVDGMARARLSHRDALGSPRYMAGTASSAARTRCQSAPRQRQAAEAPARSRRSCSRTTQDSGFCFECSEDSRPGYSEELSDEAARDYYLDRMW >ORGLA01G0248300.1 pep chromosome:AGI1.1:1:22360294:22360917:-1 gene:ORGLA01G0248300 transcript:ORGLA01G0248300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLSSLLLILYSFLTYLCFSSVREAGSGQRARRPRRSRHDAKRRPGQMVAQKWGEEAGVPADDADWGREAGGTAGATGGVEGGVERGRGGGRTSGQRGLGERGSQDGGWRGEGGRRRARREAQRGGERRSGRRERRARAASGAMSESGSGVASEAGGGRPVRVAALIMLQLFTASVVIMLVDLHERSRWSIGWRRAAERGTGRQG >ORGLA01G0248200.1 pep chromosome:AGI1.1:1:22356419:22358015:-1 gene:ORGLA01G0248200 transcript:ORGLA01G0248200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGSELGSPPAAAAPPPKRRKIEPSRRDRPSQVALDRDKVAASSSSLVSGTPPLRVDLNKVREAKRYAVFQAQHEGCLGSYKSFDSSFGNYLVPVIPSNDFFVQITNK >ORGLA01G0248100.1 pep chromosome:AGI1.1:1:22350588:22354281:-1 gene:ORGLA01G0248100 transcript:ORGLA01G0248100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:I1NRJ1] MGKGTVVGTAVVVCAAAAAAVGVAVVVSRRRRSKREAEEERRRRAAAVIEEVEQRFSTPTALLRGIADAMVEEMERGLRADPHAPLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVIRVQLGGREKRVVSQQYEEVAIPPHLMVGTSMELFDFIAAELESFVKTEGEDFHLPEGRQRELGFTFSFPVHQTSISSGTLIKWTKGFSINGTVGEDVVAELSRAMERQGLDMKVTALVNDTVGTLAGGRYVDNDVAAAVILGTGTNAAYVEHANAIPKWTGLLPRSGNMVINMEWGNFKSERLPRSDYDNALDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPTKLEQRFILRTPDMSAMHHDTSHDLKHLGAKLKDILGVADTSLEARYITLHVCDLVAERGARLAAAGIYGILKKLGRDRVPSDGSQKQRTVIALDGGLYEHYKKFRTCLEATLADLLGEEAASSVVVKLANDGSGIGAALLAASHSQYASVE >ORGLA01G0248000.1 pep chromosome:AGI1.1:1:22346635:22349944:1 gene:ORGLA01G0248000 transcript:ORGLA01G0248000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMAKAPGPVYLLFLLLALPCCLIPHRGAAQPAANEARLLLQIKRAWGDPAVLAGWNDTAAPAAHCSWPYVTCDTAGRVTNLSLANTNVSGPVSDAVGGLSSLVHLDLYNNNINGTFPTSVYRCVSLRYLNLSQNYLGGELPADIGVGLGENLTTLVLSGNYFTGTIPKSLSRLQKLEWLMLDNNNLTGTIPGELGDLTSLTTLTISTNKLGPGQLPESFKNLTKLTTLWASKCQLVGDMPAYVADMPDLVTLDLAVNNLTGSIPPGIWSLKKLQCLYLFANKLTGDIVVADGAFAAVNLVYIDLSANPKLGGPIPQDFGLLQKLEVIHLYFNNFSGEIPASIGRLPALTEIRLFNNRLTGVLPPELGQKSPDLWDLEVDFNEFTGPIPEGLCDSGKFQTFTAANNLLNGSIPERLAGCTTLEILYLHNNNLSGEVPEALWTATKLQYVELQNNRLTGTLPSTMYSNLSSLTVENNQFRGSIPAAAATLQKFIAGNNNFSGEIPESLGNGMPVLQALNLSGNQLSGGIPKSVSKLKVLTQLDLSKNQFSGEIPAELGAMPVLNALDLSSNRLSGGIPSSLASLNLNSLNLSSNQLSGQVPAKFAIGAYARSFLDNPTLCTSGLGSSYLAGVRSCNAGSPGSASSGGVSPGLRAGLLVAGAALLLVIVALAFFAVRDIRRRRKRVAQREDWKITPFQTDLGFSEAAILRGLTEENLVGRGGSGSVYRVAYTNRYTGGDGAVAVKKIRTGAAKVEEKLEREFESEARILGNVRHNNIVRLLCCVSGDEAKLLVYDYMDNGSLDGWLHGRPVVAAVARARSARGGAPALDWPTRLRVAVGAAQGLYYMHHECTPPIVHRDVKTSNILLDSEFRAKVADFGLARMLAQAGTPDTVSAVAGSFGYMAPECGYTRKVDEKVDVYSFGVVLLELTTGKAANDGGEHGSLADWARHHYQSGESIPDATDQCIRYAGYSDEIEVVFRLGVMCTGATPASRPTMKDVLQILVKCSEQTHQKCKAESGQEEYEVAPLLLPQRGSRRKQPAKAKGADDDADERSDFDSIV >ORGLA01G0247900.1 pep chromosome:AGI1.1:1:22338665:22339615:-1 gene:ORGLA01G0247900 transcript:ORGLA01G0247900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT4G29120) TAIR;Acc:AT4G29120] MSSSATGVNVSDRPISPDTTRVAWVGTGVMGQSMAGHLLAAGYALTVYNRTASKAEGLVSRGATLAESPRAAAAAADVIFLMVGFPSDVRSTSLDPSTGALAGLAPGGLLVDMTTSDPTLAAEIAEAAAAKSCAAVDAPVSGGDRGARSATLSIFAGGDAAVVAHLAPLFKLMGNALYMGGPGAGQRAKLGNQIAIASTMVGLVEGMVYAHKAGLDVAKWLEAISTGAAGSKSLDLYGKRMLERDMAAGFYVRHFVKDLGICLSECQAMGLALPGLALAHQLYVSLLAHGEGGLGTQALILAIERLNNTCLDKKPE >ORGLA01G0247800.1 pep chromosome:AGI1.1:1:22334508:22337533:1 gene:ORGLA01G0247800 transcript:ORGLA01G0247800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEEMRRIMDKKHNIRNMSVVAHVDHGKSTLTDSLVAAAGIIAQDVAGDVRMTDSRADEAERGITIKSTGISLYYEMSDESLKSYKGDRDGNEYLIDLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVGGEEAYQTFSRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLSNFAKMYASKFGVDESKMMERLWGENYFDPTTKKWTIKHTGSDTCKRGFVQFCYEPIRQIINTCMNDQKDKLLPMLQKLGVTMKDLTGKALMKRVMQTWLPASNALLEMMIYHLPSPAKAQRYRVENLYEGPLDDIYASAIRNCDPEGPLMLYVSKMIPASDKGRFYAFGRVFSGRVATGMKVRIMGPNYAPGQKKDLYVKNVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIKAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTVEESGEHIIAGAGELHLEICLKDLQEDFMGGAEITVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPMEESLPEAIDEGRIGPRDDPKVRSKILSEEFGWDKELAKKIWCFGPETTGPNIVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGRGICFEVCDVILHSDAIHRGGGQIIPTARRVIYAAQLTAKPRLLEPVYLVEIQAPENALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFTSTLRAATSGQAFPLFVFDHWEMLSVDPLEPGTQTANLVLDIRKRKGL >ORGLA01G0247700.1 pep chromosome:AGI1.1:1:22325768:22327321:-1 gene:ORGLA01G0247700 transcript:ORGLA01G0247700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSGLTKSQPLPLLAAASSPRRTLLAGLLRAACFSRPTASSPALHPVTTITNRASARAAVSPGGPSQLGLGGRVSFSTAPDGTASPDGGSALPWLAAASRDGGAAPAARASAGRSSSWETSAEKFFSRGDQSPRGEVLTDRGSGREIIREEEDNGSIDNPKWGRIKDKYRRMVPRDRGSRGERFRGERFDKPEVRQWSRQENWGRKTWKEAGESTVPKMVGQGVYGVGPVLAALMAERREFYALYIQEGMDLSVSNKKKKDKKGIEKVLQLAERIGLKVIEASKHDLNMVVDNRPHQGLVLDASPLEMVNTKELEPVRVDDGKAPVWIALDEVMDPQNLGAIIRSAYFFGAEGVVLCAKNSAPLSGVVSKASAGSLELIELLSCRNMMQFLSSSAENGWRVLGGTVAPKAIPLSEVVPGEPTILVLGSEGTGLRPLVERSCTHLVRIPGNVDGSFVGADTDTDGGEEGDNYSGNQDMKSFLAVESLNVSVAAGVLLYHLAGTNASPVSDKPSIPLM >ORGLA01G0247600.1 pep chromosome:AGI1.1:1:22316976:22319343:1 gene:ORGLA01G0247600 transcript:ORGLA01G0247600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1NRI6] MEEGSNKREGLPPQLLDLIPDEKEWKLREALGLGRSRNAGFDGEEDKKLDLKLGLPGFIEDDEAETLRDYRLQQESPSLSLGFFPKHSKTTSSTTTTTGAKRGFIDTVEDKTEGYNDQKQQARAGCGKELAVEEMIAAVSERKKGCCPPPPPPHGAPATPARNRPQTQGRGAAAPVVGWPPIRSFRRNLASSSSSKHSPEPQNDNANAKVTLTCKKNPLVKINMDGIPIGRKIDLAAYNSYDGLSSAVKQLFHGFLQAQKDQTNAQIAQQGADDKIFYQLLDGSGEYTLVYEDSEGDRMLVGDVPWKVFVSTAKRLRVLRSSELSHTLIGATARV >ORGLA01G0247500.1 pep chromosome:AGI1.1:1:22308924:22312352:1 gene:ORGLA01G0247500 transcript:ORGLA01G0247500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESTSSLSTRNGEKKEQKRGVEQITLMNESIVYSFTSLSVSDLGPYQKHISRSHPPDRQRNHSGLGTTTLHALPCVLYFTLVAASRDPHALPLAVSHAAAVTGRLIRTKRFTGSDRFNLISNAKRQFYPSTSISFGRYHLQTEWYLETLWSMEKPNGEEEEEEDRSRRKGLATTARVPSKVRRTVFTEASSNRTNGAPIDQAQARPEDKPVLDVAEARSYKRHPRLAMLGATAPWFIARKVLSRSTRGQSRLQLSIVVKGKDMAPRLLITKTGLDGSREGPRQRTSAAGSPGVLAEVQVLSGNATIYQLIRSWVKFLRENELLIGATRL >ORGLA01G0247400.1 pep chromosome:AGI1.1:1:22296805:22301973:-1 gene:ORGLA01G0247400 transcript:ORGLA01G0247400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRRPPAPGLLILLIFLALASPGEVAGDDAAPGNVTASAPLPCSELSRVCTAFVAFPAAGEAANASVLESMFDAAPGDITADAAASPGYAFVRKNCSCLASRTYLANTTYTVPSAAAGATANATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTNLAQTRSSWTTISRDVSFLSYSCASTCTKYILRYGDVSEHHSTKFPYGWVIGSMGVALALIAIALLALVLCKLSQYNPQAPNNQGKSPDQSISYKFQLLKSGSFCYGSGRYLCCQFGNVKQSRTDGSDHHMNTPKGVVVDVFDREKPIVFTYQEILASTDSFSDANLLGHGTYGSVYYGVLRDQEVAIKRMTATKTKEFIVEMKVLCKVHHASLVELIGYAASKDELYLIYEYSQKGSLKNHLHDPQSKGYTSLSWIYRVQIALDAARGLEYIHEHTKDHYVHRDIKSSNILLDESFRAKISDFGLAKLVVKSTDAEASVTKVVGTFGYLAPEYLRDGLATTKNDVYAFGVVLFELISGKEAITRTDGLNEGSNSERRSLASVMLSALKNCRNSMYMGSLKACIDPNLMDLYPHDCVYKMAMLAKQCVEEDPVLRPDMKQAVITLSQILLSSIEWEATLAGNSQVFSGLVAGR >ORGLA01G0247300.1 pep chromosome:AGI1.1:1:22289748:22290242:1 gene:ORGLA01G0247300 transcript:ORGLA01G0247300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAAAVMEDGGNWIEWAEEEKEKARAREPAPVTEMHPTSDERSRQARGEGGGTVTVARSTVWSPSTKTTGSMALGGGGNGGRHMDAPVQTFASEDARTQAAVTSSADAGAWRRRRSGLHGASSSGGGIEEGVGGARGPRAQAEDAAAPVSVFSAGTPNSPPG >ORGLA01G0247200.1 pep chromosome:AGI1.1:1:22286538:22288264:1 gene:ORGLA01G0247200 transcript:ORGLA01G0247200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRNLLRATRPIALAPPPAPPETFAGPGPWDRAAVEIQLDRATLHQWLAEGGEATGQEEEVDEKLILFSGNDYMGLSSHPAIRKAAVKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSVSSLLSVGRKPAEGERIAVFSDALNHASIIDGIRLVERQQEVVAFVYKHCDMHHLDFLLSSCSIEKKVVVTDSLFSMDGDFAPLPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAPELFGCENDIDISVGTLSKAAGCQGGFIVCR >ORGLA01G0247100.1 pep chromosome:AGI1.1:1:22284789:22286204:-1 gene:ORGLA01G0247100 transcript:ORGLA01G0247100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NRI1] MESKPSRNVVLYAAMGAGHLLPMVELAKLFLTRGFDVTIAVPATPGSGTTGSPTIAGIAASNPSITFHHLPPPPSCADPDPNPLLLMLDVLRRSVPSLASLLRSIPSVAALVLDIFCAEAVDAAAALHVPAYIYFTSAAGAFAASLGLMHHYSTTTTNLRDMGKALLRFPGVPPIPASDMPSLVQDREGRFYKARVKLYARAMEASGVLLNTYEWLEARAMGALREGACSPDRPTPPVYCVGPLVASGEEEGGGVRHACLAWLDAQPARSVVFLCFGSMGSFSAAQLKEIARGLESSGHRFLWVVRSPRQDPANLLEHLPEPDLAALLPEGFLERTADKGMVVKSWAPQAKVLRHAATAAFVTHCGWNSTLEGITAGVPLLCWPLYAEQRMNKVFIVEEMKVGVVIDGYDEEMVSAEEVEAKVRLVMESEEGGKLLERLAVARAKAVEALAEEGPSRVAFDEFIDRLVTSE >ORGLA01G0247000.1 pep chromosome:AGI1.1:1:22279461:22279862:1 gene:ORGLA01G0247000 transcript:ORGLA01G0247000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAEFVGGCRCPSPSRCIASHQGPDPPEPEPEPSLSLLLLREFVPTDEPDITKHEKPTTMCTSTNPMSTTVMPPSLTAIGTDTSDHHATATATVFNGLGRTSSSPSNVADGCCHGLGLHQARRSVGRSVEEGGR >ORGLA01G0246900.1 pep chromosome:AGI1.1:1:22266911:22268335:-1 gene:ORGLA01G0246900 transcript:ORGLA01G0246900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NRH9] MAMVEKTVLLYPCPAVGHLNPMVQLAEALVRRGVSVTLAVADPPDKGAVLAGAIARIAAVCPSIGVRLLPIPSCEGKTYSHPVMWIVDALRLANPVLRELLRSFPAAVDALVVDMFCIDALDVAAELAVPAYMFYPSAASDLAIYLQVPHVARSAPSSFKDMADTVLSFSGVPTIRALDMPDTMQDRESDVGTTRIHHCSRMAEARGILVNSFDWLETRALKAIRGGLCLPSGRSVPAIYCVGPLVDGGKLKENDARHECLEWLDRQPKQSVVFLCFGSRGTFSVSQLSEMARGIENSGHRFLWAVRSNLGEVDLEALFPEGFLERTQGRGFVVKNWAPQSAVLQHGAVGAFVTHCGWNSSLEAIMSGVPMICWPLYAEQRLNKAHLVEEMKLGVLVEGYDGELVKADELETKVRLVMESEEGKRLRERSAMAKEMAADAVKDGGSSDMAFAEFLNNLGTNNVKSGPRDTPVHD >ORGLA01G0246800.1 pep chromosome:AGI1.1:1:22261706:22263142:-1 gene:ORGLA01G0246800 transcript:ORGLA01G0246800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NRH8] MRSRVVLYTWMVRGHLHPMTQLADRIANHGVPVTVAVADVPSSGESRKTVARLSAYYPSVSFQLLPPAAPARSGADTADPDADPFITLLADLRATNAALTAFVRSLPSVEALVIDFFCAYGLDAAAELGVPAYLFFVSCASALASYLHIPVMRSAVSFGQMGRSLLRIPGVHPIPASDLPEVLLLDRDKDQYKATIAFFEQLAKAKSVLVNTFEWLEPRAVKAIRDGIPRPGEPAPRLFCVGPLVGEERGGEEEKQECLRWLDAQPPRSVVFLCFGSASSVPAEQLKEIAVGLERSKHSFLWAVRAPVAADADSTKRLEGRGEAALESLLPEGFLDRTRGRGLVLPSWAPQVEVLRHPATGAFVTHCGWNSTLEAVTAGVPMVCWPMYAEQRMNKVFVVEEMKLGVVMDGYDDDGVVKAEEVETKVRLVMESEQGKQIRERMALAKQMATRAMEIGGSSTASFTDFLGGLKIAMDKDN >ORGLA01G0246700.1 pep chromosome:AGI1.1:1:22245356:22245715:1 gene:ORGLA01G0246700 transcript:ORGLA01G0246700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGARRGGRVREHCGWNSVLEAVTAGVPMLAWPLYAEQRMNKVFLVEEMRLAVGVEGYDKGIVTAEEIQEKARWLMDSDGGRELRERTLAAMREVKEAPSDKGESKMTLLELVSQWKSD >ORGLA01G0246600.1 pep chromosome:AGI1.1:1:22240834:22241295:1 gene:ORGLA01G0246600 transcript:ORGLA01G0246600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEKTPFPEGFLRRTKGRGLVVMSWAPQRKVLEHGAVGGFVTHCGWNSVLEALTAGVPMLTWPLYAEQRMNKVFLVEEMRLAVAVEGYDKGVVTAEEIQEKARWIMDSNSGRELRERNLAAMWEVKEALSDKGEFKIALLQLTSQWKNYNNS >ORGLA01G0246500.1 pep chromosome:AGI1.1:1:22236237:22237712:1 gene:ORGLA01G0246500 transcript:ORGLA01G0246500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NRH5] MSASDCSLSSQSRSPIPCSEMSPKKLAVIYPPPGMISHLVSTVELGKLLAAQGLDITIVLGGHDEKEAAATATTSFLAEAAAANPELSFHRLPQSTLQCDVPADDYVSRIFEFARSSGPDLRDFLRSTSPAVLIIDFFCYSALNIGAELGIPTYFFLTTCIASVAFMLYLPVVQGENTLSFSDLGGDLVHAPGIPPIPADHLPRSQFDRDSMSSNHFLALSEQLCKAHGVMVNSCRSLERRAADAVVAGLCTFPGRRTPPLHCIGPLIKPREDDSAERHECLAWLDAQPKDSVLFLCFGSMGVFSVEQIKQVAVGLETSGHRFLWVVRRPPGFEHVTGPDLEALIFPEGFLRRTKGRGLVVMSWAPQREVLEHGAVGGFVTHCGWNSVLEAVTAGVPMLAWPLYAEQRMNKVFLVEEMRLAVAVEGYDKGVVTAEEIQEKARWIMDSDGGRELRERTLAAMREVKEALSDKGEFKIALLQLTSQWKNYNNS >ORGLA01G0246400.1 pep chromosome:AGI1.1:1:22222174:22222626:-1 gene:ORGLA01G0246400 transcript:ORGLA01G0246400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRGPAVERNRREVVVVAGAGGVEPHPSGGDVQIGSREATPTVNPGTVKRRAMRSRASASVGPHKPSAPPQREPRRAARSLARRRATGGVPDPDGVGEAGAEDNGSLGLCVAGGPGWRGNADEEPEQAGGWPWGAAGLDEVLVAAAT >ORGLA01G0246300.1 pep chromosome:AGI1.1:1:22220160:22221596:1 gene:ORGLA01G0246300 transcript:ORGLA01G0246300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NRH3] MKQTVVLYPGGGVGHVVPMLELAKVFVKHGHDVTMVLLEPPFKSSDSGALAVERLVASNPSVSFHVLPPLPAPDFASFGKHPFLLVIQLLRQYNERLESFLLSIPRQRLHSLVIDMFCVDAIDVCAKLGVPVYTFFASGVSVLSVLTQLPPFLAGRETGLKELGDTPLDFLGVSPMPASHLVKELLEHPEDELCKAMVNRWERNTETIGVLVNSFESLESRAAQALRDDPLCVPGKVLPPIYCVGPLVGGGAEEAAERHECLVWLDAQPEHSVVFLCFGSKGVFSAEQLKEIAVGLENSRQRFMWVVRTPPTTTEGLKKYFEQRAAPDLDALFPDGFVERTKDRGFIVTTWAPQVDVLRHRATGAFVTHCGWNSALEGITAGVPMLCWPQYAEQKMNKVFMTAEMGVGVELDGYNSDFVKAEELEAKVRLVMESEEGKQLRARSAARKKEAEAALEEGGSSHAAFVQFLSDVENLVQN >ORGLA01G0246200.1 pep chromosome:AGI1.1:1:22214408:22214737:-1 gene:ORGLA01G0246200 transcript:ORGLA01G0246200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLLLLPACRFHRGGGGGGGWEEGSRFSLAFLAPSSSAIGSPLLLPARRCRCRRKEAEVGEAAADVRKAATPCRRWGAPSSSSPRVTVAATGFPSTEHNTERGGEKE >ORGLA01G0246100.1 pep chromosome:AGI1.1:1:22212124:22213563:1 gene:ORGLA01G0246100 transcript:ORGLA01G0246100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NRH1] MERTVVLYPGLGVGHLVPMVELAKVFLRHGLAVTVAAVKPPLDSPDFSAAVARFAECNPAINFHVLPPPPPPPAPVGSGSDGKSASPIVGMLGFLNAMNAPLRDFLCSLPSVDALIVDMFCTDALDVASELRLPVYVFFTSAASDLAVFLHLTSMRDSINTSFGELGDSMIHIPGCPPFKASELPSDILSDNEASRLILLMFRRHPESRGILVNTFESLETRALRALEDGLCVPGRATPTVYSIGPIVSGGGGSDKDHDCLRWLDAQPDNSVVFLSFGSLGRFCKKQLEEIAIGLQKSEKRFLWVVRSPRIDEKNVFEPLAEPDLDALLPAGFMEATRGRGLVVKLWAPQVEVLRHRATGAFVTHCGWNSTLEGITAGMPLLCWPLYAEQRLNKVFVVEEMKLGVEMRGYDEEVVVAEEVEAKVRWVMESEGGQALRQRAAAVKDAAAQAIKEGGSSHAAFFKFLEDLPLQVSRGMVSA >ORGLA01G0246000.1 pep chromosome:AGI1.1:1:22211261:22211623:1 gene:ORGLA01G0246000 transcript:ORGLA01G0246000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLTLLEPDLAARPPLLEPPLLELEVDPWKVDGRGGDRIWLPSRRCLSRPSARVRSAATSARTTVLPPVVLEEDEVSWRPPRPLPPELHVYRHRSSGHVRRQRGAPVGVAVWGWIWKG >ORGLA01G0245900.1 pep chromosome:AGI1.1:1:22190418:22202755:1 gene:ORGLA01G0245900 transcript:ORGLA01G0245900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase homolog protein F [Source:Projected from Arabidopsis thaliana (AT1G64060) UniProtKB/Swiss-Prot;Acc:O48538] MRGGASSGPQRWGSAGTTPRSLSTGSSPRGSDDRSSDDGEELVEVTLDLQDDDTIVLRSVEPAAAAAAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVGXGELTGGPSSSSSRSRSPSIRRSSSHRLLQFSQELKAEAMARARQFSQDLTKRFGRSHSRSEAQAPSGLESALAARAARRQRAQLDRTRSGAHKALRGLRFISSNKANNAWMEVQANFDRLARDGYLSRSDFAECIGMTESKEFALELFDTLSRRRQMKVDTINKDELREIWQQITDNSFDSRLQIFFEMVDKNADGRITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYMNYSQALSYTSQALSQNLAGLRKKSSIRKISTSLSYYFEDNWKRLWVLALWIGIMAGLFTWKFMQYRNRYVFDVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRSTRAARALPFDDNINFHKTIAAAIVVGIILHAGNHLVCDFPRLIKSSDEKYAPLGQYFGEIKPTYFTLVKGVEGITGVIMVVCMIIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIALIVHGECLYLIHVWYRRTTWMYLSVPVCLYVGERILRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFAAACEPPAGGKSGLLRADETTKKTLPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEDASTDLYPPIGRNKPHVDLGTLMTITSRPKKILKTTNAYFYWVTREQGSFDWFKGVMNEIADLDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNWRKVLSKISSKHPYAKIGVFYCGAPVLAQELSKLCHEFNGKCTTKFEFHKEHF >ORGLA01G0245800.1 pep chromosome:AGI1.1:1:22177797:22178554:1 gene:ORGLA01G0245800 transcript:ORGLA01G0245800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L20 [Source:UniProtKB/TrEMBL;Acc:I1NRG8] MNKGKIFKLAKGFRGRAKNCIRIAREXXXXXLQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRSAFPGNRPPVKKEGLAAIL >ORGLA01G0245700.1 pep chromosome:AGI1.1:1:22172523:22175927:1 gene:ORGLA01G0245700 transcript:ORGLA01G0245700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQLQGDDHDDEALPHFPYFAVPSPPPLAVAPAASATTSDGRQHGPLEVLEQPPCSNNLHPDGLVDGPQLAATTAVPMMLPAMTSLDWQSLLQTCLQVPPPVLEQQQPAAAAQADQYSGENDHGDLQAAESSGAGNKEKQVMAKGGAGRPSGTKKKASRPRFAFQTRSDNDILDDGYRWRKYGQKAVKNSKHPRSYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALTPILKQLQFLSQF >ORGLA01G0245600.1 pep chromosome:AGI1.1:1:22169390:22169722:-1 gene:ORGLA01G0245600 transcript:ORGLA01G0245600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSPVVRVCPLLVAFAGESPVLMWSATATVGGGGSARSEALWSIRRMKMAPCGGAGCVAVLGREVTALLLAMWSTTATVGSGRGARSEALWSFRRREVAPRGGASCDAFFV >ORGLA01G0245500.1 pep chromosome:AGI1.1:1:22160211:22164645:-1 gene:ORGLA01G0245500 transcript:ORGLA01G0245500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:UniProtKB/TrEMBL;Acc:I1NRG5] MAATPAADSRLLVIYASETGNAMDAAERVGRQAERGGCPAVDVLPMDSFDPSCLPSERFVVFVVSTTGQGDPPDSMKGFWRYLLKKNLGARWLEGVRYAVFGLGDSGYQKYNFAAKKLDKRLLHLGAEPIIQVGLGDDQHPSGYEGALDPWLLSLWESLNQTTPSLLPRMYDIINPDLSVLGDAKVEVIYHSSDEAQQDSNLLDFKNLIQRARSMSPSLQFYNNDKEPHYMLQMVSNRCLTKENSDRDVRHFELENPSSGITYQVGDALEILPSQSPSAVDSFIERCKLDPDCYITVWGSVLWFPVRAKDRVKISKGSLLKDRIKLKTFVALTMDVASASPRRYFFEVMSFFATAEHEKEKLQYFASPEGRDDLYQYNQKEGRTILEVLDDFPSVQMPFEWLVQLTPPLKKRAFSISSSPLAKPNQIHLTVSIVSWRTPFKRMRRGLCSSWLAGLNPNEENLIPCWVHHGSLPPPHPSTPLILIGPGTGCAPFCAFVAERAAQSTSEATAPILFFFGCRNQENDFLYKDFWYAHAHDQGVLSSKNGGGFFVAFSRDQPQKVYVQHRIREQSARVWNLLKSGAAIYIAGSSTKMPADVTAALEEVICQETGCSEEEASIWLRKLERNGKFHTETWS >ORGLA01G0245400.1 pep chromosome:AGI1.1:1:22156127:22158460:1 gene:ORGLA01G0245400 transcript:ORGLA01G0245400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLACLLGFLLIASVGSHAARTPEQYWKSALPNAPIPSSLSQLLSTAGGGTSVNVGGGGVHVDAGHGKPGGTTVDVGKGGVGVNVKPGYGKPGGTTVGVGKGGVGVNVKPGYGKPGGTSVGVGKGGVGVNVQPGYGKPGGTTVGVGKGGVGVNVQPGYGKPGGTTVGVGKGGVGVNVKPRGKPVHVNVAPFIYNYAATETQLHDDPNVALFFLEKDLHPGKTMAVHFTATTAGEKFLPRSEADAMPFSSEKVPEILSRFSVKPGSVEAAEMAQTLRDCEAPPAQGERKACATSLESMVDFATSSLGTSHVRAASTVVGKEGSPEQEYTVTAVKRAAAGGDQDQLVACHAEPYAYAVFACHLTRATRAYAVSMAGRDGTGVEAVAVCHADTAGWNPKHVAFQVLKVKPGTVPVCHFLPQDHVVWTRSG >ORGLA01G0245300.1 pep chromosome:AGI1.1:1:22151025:22151348:-1 gene:ORGLA01G0245300 transcript:ORGLA01G0245300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMVLVRAVSLHTVAMAASACGSDCSPVPRSMPTPSTGSSSSPRDTLKLRVCANVLGLCCSLLLAARRPSPASQPPPATRRLLLLRLAPAASSPAAGRLAQRREE >ORGLA01G0245200.1 pep chromosome:AGI1.1:1:22148557:22149418:1 gene:ORGLA01G0245200 transcript:ORGLA01G0245200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGECKVHQLQAAGDGGPGAVAPFVAKTFHMVSDPSTNAVVRWGGAGNTFLVLDPAAFSDFLLPSYFKHRNFASFVRQLNTYGFRKVDPDRWEFAHESFLRGQAQLLPRIVRKKKKSGAAPGCRELCEEGEEVRGTIEAVQRLREEQKGMEEELQAMDQRLRAAESRPGQMMAFLAKLADEPGVVLRAMLAKKEELAAAGNNGSDPCKRRRIGADTGRGGVATGGDAAEMAQSRGTVPFPFSVLGQVFY >ORGLA01G0245100.1 pep chromosome:AGI1.1:1:22143183:22143527:-1 gene:ORGLA01G0245100 transcript:ORGLA01G0245100.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGRGERGEGRGSRAAGEDGADRRRRRRLPTRSHSSPEPVAYQGRADFLERAEAEGGRGRHGGRTPLAAREDAVPTELPRRRRPSEPSMLIVGWEMRGPEEGREGERRRGFGRQR >ORGLA01G0245000.1 pep chromosome:AGI1.1:1:22130667:22133317:1 gene:ORGLA01G0245000 transcript:ORGLA01G0245000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1NRG0] MDPWSEIEGKRAHDPIFQNYFSQNCRQSVDGFCKKRSADAAVARAERCIRVLGPIIVGAGPSGLAVAACLKEKGVDSLVLERSNCIASLWQLKTYDRLSLHLPRQFCELPLMPFPAYYPIYPSKQQFVAYLESYAARFGICPTYNRTVVCAEYDEQLQLWRVRTRATGIMGEEVEYVSRWLVVATGENAEVVLPEIDGLDDFKGTVMHTSSYKSGGAFAGKRVLVVGSGNSGMEVCLDLCNHNANPHIVVRDAVHILPREMLGQSTFGLSMWLLKWLPVHVVDRILLLIARTMLGDTAQLGLKRPTIGPLELKSLSGKTPVLDVGTFAKIKSGDIKVRPAIKQISGRQVEFMDTRLEEFDVIVLATGYKSNVPFWLKDRELFSEKDGLPRKAFPNGWKGENGLYSVGFTRRGLMGTSVDARRIAHDIEQQWKARGKHPGVLL >ORGLA01G0244900.1 pep chromosome:AGI1.1:1:22112300:22113256:1 gene:ORGLA01G0244900 transcript:ORGLA01G0244900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGSGAGRKKLKHRLAAILSVFTRRAGGRKRRDEEGAAPPPPPPLAFPSYSRLGGAGGKKAAAGKHERRLSLSVPPLVHITIDCAGRRSVDAADPSLLAPLDLDARKMERRLTETGLPYETGEWEGRKCPPSTPFAAAPPLARWKERASVSSRRLSTHSSRRLMSSSSSDDEYDEDSRNLFSSRSFSSDSSDFYNCPRKNTRARASVSGPCRAPPPSSRRGTSQSCRYSFELPRGSTASAATDGGFAVVKRSSDPYEDFRKSMEEMIAEGAACGGGDGDGELSAERLLETYLVLNSPRHYPAILAAFADVRETLFP >ORGLA01G0244800.1 pep chromosome:AGI1.1:1:22106619:22110409:1 gene:ORGLA01G0244800 transcript:ORGLA01G0244800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11480) TAIR;Acc:AT5G11480] MLLHHRLLPRLLLSPSTPVTTTLLVHRTSLFPTRRILSPCCSALSQVAAAPETVDHSDGDEPQGEVQVQLPLDRLFVPPGASVSAGDAEAVTARVLKGSNIVLGPYARGDANVIAADFVKSSVRPDDCPRDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFKVNDSWYLVDLPGYGYASAPQEARTNWDEFTRNYFLSRENLVSVFLLVDASIPAKKIDLDYASWLGQNKVPMTLVFTKCDKRKKKKNGGKRPEENVENFQSLIREYFEAAPPWIMTSSVTNQGRDEILLHMSQLRNYWLKH >ORGLA01G0244700.1 pep chromosome:AGI1.1:1:22103001:22103681:1 gene:ORGLA01G0244700 transcript:ORGLA01G0244700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARSGPELFAVYICELGGLLQNDIILFAHLIVAKTKKPIMWGVYLRNSQTKANSYWRRHKQIRRSFGLCTHRRQAGEREEEKMGGGMEVHKNRWIEEWNAGRENLEFNFRWTRRSLAVVGLFGLAVPILVYKGIVREFVRDLPVPYSLPLSKRFEV >ORGLA01G0244600.1 pep chromosome:AGI1.1:1:22102740:22102952:-1 gene:ORGLA01G0244600 transcript:ORGLA01G0244600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEKGKAPADTEQTAAATAARLAAEWTTWVMKNAKVVAHYGFIPLVILIGMNSEPKPRLAQLLSPI >ORGLA01G0244500.1 pep chromosome:AGI1.1:1:22096862:22098204:-1 gene:ORGLA01G0244500 transcript:ORGLA01G0244500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAAAVPTAAVASPPISVEAVVIDVEGEPAVPAGAACRICHLVPEGGVGPGSEVIRIGCGCKDELGAAHRHCAEAWFRIKGERRCEICGSDAKNIIGLEVKKFMEEWHGPRLANTRTTTQRESTCWRTQPFCNFLLACLLIAFMLPWFLRVNMF >ORGLA01G0244400.1 pep chromosome:AGI1.1:1:22090010:22090975:-1 gene:ORGLA01G0244400 transcript:ORGLA01G0244400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSSGGDKYRSHLAGDGEKNTVWRHGAPPTFDTVNSLFEAERTQEWPAGSLEETVQNAIKTWEMELSHKARLQDFKSVSPGLFRLSVNGGRPLTGEETLAVGSYNALLASPILPGAGAYDAAAETFESSHDLFRAAFPRGFAWEVIRVYSGPPVITFKFRHWGHMDGPYKGHAPTGDKVEFYGVAVLKVDEQLRAEDVEVYYDPGELLGDLLKGPLLVPSVEKDAARQLGERLGEVATLSASGADSQAQSCPFLASGKREV >ORGLA01G0244300.1 pep chromosome:AGI1.1:1:22086279:22086795:1 gene:ORGLA01G0244300 transcript:ORGLA01G0244300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLFFAGRCLDDDHSTLADYGVRHDSVVFLSLRLATDAYQKEMWLMQPETTTTKKEMHQQQLLHVHVAAADEEKVIKRKPVSRRALRKILSRLRVDAWTSQHDAGRAPAVARWPTGTHDAGVGERKREGREREETCGSHMYVFNFNAT >ORGLA01G0244200.1 pep chromosome:AGI1.1:1:22081845:22082864:-1 gene:ORGLA01G0244200 transcript:ORGLA01G0244200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAADSSGGDRYRSHLTGDGEKNTVWRHGAPPTYDAVNSLFEAERTQEWPAGSLEETVQNAIKTWEMELSHKAKLEDFKSVSPGRFRLSVNGGRPLTGEETLAVGSYNALLTSPILPGAGAYDAAAETFESSHDLFRAAFPRGFAWEVIRVYSGPPVITFKFRHWGHMDGPYKGHAPTGDKVEFYGVAVLKVDEQLRAEDVEVYYDPGELLGGLLKGPLLVPSAEEEDARQLGERLGEAAIVSASGAAPQSQACPFLASAKREE >ORGLA01G0244100.1 pep chromosome:AGI1.1:1:22071267:22073411:-1 gene:ORGLA01G0244100 transcript:ORGLA01G0244100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLFRKKIFNNSKKLKKPKPWKHNQAITTTQLKQMRDEFWDTAPHYGGQKEIWDALRAAAEAELSLAQTIVDSAGIIVSNSDMTICYDERGAKYELPKYVLSEPTNLIREG >ORGLA01G0244000.1 pep chromosome:AGI1.1:1:22061251:22066966:-1 gene:ORGLA01G0244000 transcript:ORGLA01G0244000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPDRAGAVGATARGGGGGGGHLRGHAHLTNCIHLRHHHAHGGGGGGGGASSSGRRRSPTSVASAALMRDLLALQRSRSLRDPSTRRSVESSSKVAADPDADTDEDDADLPPKSRLSASTTGALKTLLDQLAENPQPKQVRRPRRRFKRGAGRRAGAASKAPDRSAAAAAALSVNSSSQEAVCGNKYLFRDGEDGLDELQQHVPPQDSRNVCGIPWNWSRLHHRGKSILDMAGRSLACGLSDPKSAAGRKSEAGAGASRGHLNGSHSLFPVKSERLASSTSSDSDALPLLVEAAATGARNGGIGGSYSGELGIFSNQTSEMESDLLSEGRSGHKSRGSQHGRGRHRSLTQKFAPKTFKDVVGQSLVVQALSNAVLRRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCTSCIAHNLGKSRSVMEIGPVGNIDMDSIVDVLDNVMLSPAPSHYRVFIFDDCDTLPADTWSLISKVVDRAPRRVVFILVSPNLDLPHIILSRCQKFFFPKLKECDIVNTLQWISTSESLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISLSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRVITETGVDPLALMSQLATIITDILAGSYTFTRERLRRKFFKRPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKTTWLTAALLQLAPDKQYVLPSSSSTSLNHGVLAGSFPDRDMAIHSALEHNGNMASTSYREWRPVEHTSNSHLLSTSAPRANEQSRNSKIENELIWHAVLESIQSDTLRKIMAKEGRLSSVSLGTAPTVQLIFSSRVNKSKAENYRGQILQAFESVLRSAIILEIRYESKNDVRASHAPAVFSHGENESSNTTLRRSFTKHSPLSSGGENLRRRLKKDRASSSKTTWMQSDPHILTEGEIIEVGPSHMHWHDETNNDVHNVNQRRKDNVWEEESLASPNSKANQGRNGNKQRRQNSIVKGKVSLAHVIGKAEACSQRGGWSRQKALSIAEKLEQENLRLEPRSRSILCWRTSRTRRKLSSLRVRSRRSRAVSRLILCGRCISTKSPR >ORGLA01G0243900.1 pep chromosome:AGI1.1:1:22058521:22060115:1 gene:ORGLA01G0243900 transcript:ORGLA01G0243900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein [Source:Projected from Arabidopsis thaliana (AT2G42770) TAIR;Acc:AT2G42770] MKAIGSGGEWWWNLPSLRRKPDRRRRGRRNTDPRGRRRGPPPEPLSSSSSESIGQSRGWPIDFPFTQAVTAASLTLTGDTIAQVRQRIVDRRLRGPEPDSKGLVPDLLMSHDWLRALRMASYGFLLYGPGSHAWYQFLDQCMPKPTFANLSTKVILNQIALGPCVIGVIFAWNNLWTGKLSELPSKYRNDALPTLLFGFRFWIPVSIINFWMVPLSARVAFMSSCAIFWNFYLSTTMSK >ORGLA01G0243800.1 pep chromosome:AGI1.1:1:22055066:22055393:-1 gene:ORGLA01G0243800 transcript:ORGLA01G0243800.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGAAYIYETHVRRYFKIGQYVSPNYNERQRKALQMMSLDARKSVERFIESHGPDALDKIIRAAEEEAKRA >ORGLA01G0243700.1 pep chromosome:AGI1.1:1:22052727:22054453:1 gene:ORGLA01G0243700 transcript:ORGLA01G0243700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRLVTVVMAAAVSSALVMVARCDPQVPCYFIFGDSLVDNGNNNYIVSLARANYPPYGIDFAGGPSGRFTNGLTTVDVIAQLLGFDNFIPPYAATSGDQILNGANFASAAAGIRAETGQQLGGRIPFAGQVQNYQTAVQTLISILGDQDTASDRLSKCIFSVGMGSNDYLNNYFMPAFYNTGSQYTPEQFADSLIADYRRYVQVLYNYGARKVVMIGVGQVGCSPNELARYSADGATCVARIDSAIQIFNRRLVGLVDEMNTLPGAHFTFINAYNIFSDILANAASYGFTETTAGCCGVGRNNGQVTCLPYEAPCSNRDQHIFWDAFHPSEAANIIVGRRSYRAESPNDAYPMDIATLASV >ORGLA01G0243600.1 pep chromosome:AGI1.1:1:22043406:22044161:1 gene:ORGLA01G0243600 transcript:ORGLA01G0243600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSDTDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >ORGLA01G0243500.1 pep chromosome:AGI1.1:1:22035861:22040460:-1 gene:ORGLA01G0243500 transcript:ORGLA01G0243500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSMATSSLLFLLVLSSFSTASAAFTKPRQVYVVYLGEHAGAKVEEEILAGHHGLLLSVKGSEEEARASLLYSYKHSLNGFAALLSEEEATALSARTEVVSAFPSNGRWSPHTTRSWEFVGLEEGVRGPDDTGRLPPGDKAGGEDVIVGVLDSGIWPESRSFGDEGLGPMPARWKGVCQGGDSFSPSSCNRKIIGARYYVKAYEARYGAVNTTNAYRSPRDHDGHGTHTASTVAGRTVPGVAALGGFAPGTASGGAPLARVAVYKVCWPIPGPNPNIENTCFEADMLAAIDDAVGDGVDVMSVSIGSTGKPLPFAEDGIAVGALHAAMRGVVLVCSGGNSGPKPATVSNLAPWMLTVAASSIDRAFISPIKLGNGMVIMGQTVTPYQLPGNKPYPLVYAADAVVPGTPANVSNQCLPKSLAPEKVRGKIVVCLRGTGLRVEKGLEVKQAGGAAIILGNPPAFGGEVPVDAHVLPGTAVSSVDVNAIIRYINSSSSPTAVLDPSRTVVDVKPSPVMAQFSSRGPNVNEPNILKPDVTAPGLNILAAWSEASSPTKLDGDNRVVKYNIMSGTSMSCPHVSATAVLLKSAHPGWSSAAIRSAIMTTATTSNAEGGPMMDADGTVAGPMDYGSGHIRPKHALDPGLVYDASYQDYLLFACASGGAQLDHSLPCPATPPPPYQLNHPSLAIHGLNGSVTVQRTVTNVGQGSARYSVAVVEPMGVSVKVSPRSLSFARTGEKKSFRIKIEATKGRGGWRVNGQFVAGSYTWSDGVHVVRSPLVVLVA >ORGLA01G0243400.1 pep chromosome:AGI1.1:1:22031858:22033141:-1 gene:ORGLA01G0243400 transcript:ORGLA01G0243400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQRSVAVSAKCAAASWAEERRPFTDPIEIPAPSGAATARREDTEYGEGDYGGSGSGCGGEVVPPHVLLARRRAAFSMCSGQGRTLKGRDLRRFRNDAFRQPRYLWALGHYTPSKKMLRIEQQQLDKEEFQEADILWPDAAQDLDFPQMYYSLVDADEDDDEHLSVKQHGNRQKASSPIDIPARKVSSAGAKGARAPAGFSKFGQTLAGAGGGSFFVGSHVFVPPHVIVDHRRAKREKAMMMLVVPKGRARKMVMCE >ORGLA01G0243300.1 pep chromosome:AGI1.1:1:22015356:22015742:-1 gene:ORGLA01G0243300 transcript:ORGLA01G0243300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGEELYESDVLWPDHQSPHDVVPATATATAPTPARRGQQQITRHCSTASSRPVDIPRAAQRWSGGDQGDDCGGGTMVPPHVMVSRRRSTEEGDQAFSLRTGTGRARRDLSHLRNSVLRMTGFIEG >ORGLA01G0243200.1 pep chromosome:AGI1.1:1:22011811:22014491:1 gene:ORGLA01G0243200 transcript:ORGLA01G0243200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRALWQASVNATRRAIVWNSEDLIPPSEKYIFNFNSKDELKRWHLYSDSEYGGLSSASLEITDGGAGGDTSSTGCILEGLFSGNLSLDMSEGSTWKIRRSGFCGMRSKKFNGFIDLDAYDTIAMKLRGDGRCYISTIYTENWVNSPGQQEDNSWQAFVYLPQDRWQIMKIPLDLYLPTWRGNVIEAKMEMNPARVVGMSLSVNAEGGVPGAKTGPGDFRLEVDWIKALRTL >ORGLA01G0243100.1 pep chromosome:AGI1.1:1:22003577:22007396:1 gene:ORGLA01G0243100 transcript:ORGLA01G0243100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase 15 [Source:Projected from Arabidopsis thaliana (AT3G58790) TAIR;Acc:AT3G58790] MKVYITSAAPLAGEATKAMASPPSPPPHQHQQAATRRGCRSAVVTGLLAGVLLFRAALLTIEAGASLCPSTTAGCLDWRAGLGDWLYGGSGDAMEQEFMKEWRRGRREASLLDPVVVEAAPDSLDGLMAEMDTMLASYDRLDMEAVVLKIMAMLLKMDRKVKSSRIRALFNRHLASLGIPKSMHCLTLRLAEEFSVNSAARSPVPLPEHAPRLADASYLHVAIVTDNVLAAAVAVASAVRSSAEPARLVFHVVTDKKSYVPMHSWFALHPVSPAVVEVKGLHQFDWRDGGAIASVMRTIEEVQRSSMEYHQCDASVVREYRRLEASKPSTFSLLNYLKIHLPEFFPELGRVILLDDDVVVRKDLTGLWEQHLGENIIGAVGGHNPGEDGVVCIEKTLGDHLNFTDPEVSNVLESARCAWSWGVNVVNLDAWRRTNVTDTYQLWLEKNRESGFRLWKMGSLPPALIAFDGRVQAVEPRWHLRGLGWHTPDGEQLQRSAVLHFSGPRKPWLEVAFPELRELWLGHLNRSDSFLQGCGVVE >ORGLA01G0243000.1 pep chromosome:AGI1.1:1:21996558:21996782:-1 gene:ORGLA01G0243000 transcript:ORGLA01G0243000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KISMVIILAVYIVLVIICFKGMSVWAAVGTTIFITPSYILMLKTIPYLRDVSMERFASHPAAGRDGSNLQSGNV >ORGLA01G0242900.1 pep chromosome:AGI1.1:1:21972881:21974967:-1 gene:ORGLA01G0242900 transcript:ORGLA01G0242900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRSEIKRIENPTQRQSTFYKRRDGLFKKARELAVLCDADLLLLLFSASGKLYHFLSPTVPSVREFVERYEATTHTKVWADIRQERRAELEKVGSMCDLLEKQLRFMTVDDGEEYTVPSLEALEHNLEAAMRKVRSEKDRKIGGEICYLQNIIRGRQEERYGLCDKIAHAQTLKDVECGSTSLSNGLDLKLGFN >ORGLA01G0242800.1 pep chromosome:AGI1.1:1:21971011:21971571:-1 gene:ORGLA01G0242800 transcript:ORGLA01G0242800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIRVRVPGWEDAHHGGGTHRAAATAVTSAPRCRPTVATDRRLAGAAPFTSLHRGDGAEAAEAYGGASEVEAAPPLSGAAAAEGAGTARPSHRAIWRWRWLPCPRERWRLRGQASYAAALEIPGQREMGDGSWRSGALSVPTARICRCSPSTPAPRGAEDAARPPPCSHHRLRLSSDALPEPPL >ORGLA01G0242700.1 pep chromosome:AGI1.1:1:21967584:21968325:-1 gene:ORGLA01G0242700 transcript:ORGLA01G0242700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHTAVVCSALLVLAAALLLPAHHAMAEDVVSSRDNTARVPSSSPSAATATAPAPSPYTDTAMAPAPSSSNDTAVEPVAPPPLPFIIVEGVVYCKTCKSRGYSSDMDASPLPGATAQLVCYGKKVVNVTGTVTDANGYFLVMFYDLRNFNPRTCKVFLGSSPTSLCDKPVYPPNKWIGLSLLKETRTVPPVGLQAIYCPTSVLFYGPANAGQCPSG >ORGLA01G0242600.1 pep chromosome:AGI1.1:1:21965270:21965659:1 gene:ORGLA01G0242600 transcript:ORGLA01G0242600.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSCPLLFLIRRSASERVNRRRCCDGGPARRRARAAASAAAAPPRLAGGRTQGRRQAVCYGVGGPARRRTSDGKRLCGRTSSTGVRSPKAAAKPTLPVLGEGRWRDELQGGARTAVNRRGPEETGELRQA >ORGLA01G0242500.1 pep chromosome:AGI1.1:1:21957634:21958239:-1 gene:ORGLA01G0242500 transcript:ORGLA01G0242500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAGSLQLYCLSVLLVILTQLGGGSAMGLPRPPPNVNFTIGVEGAVWCKTCRYAGYVKSKDASPLPNAAALLRCRRGKWALSVWGATDARGYFLIQTGTQVAAFTSKDCRVYVPRSPSRAACGVALQPGRKTGSPLKFRRFVALPDGLQGRYSAGNFVFGPRDPKKC >ORGLA01G0242400.1 pep chromosome:AGI1.1:1:21952216:21956939:1 gene:ORGLA01G0242400 transcript:ORGLA01G0242400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRVDAVAGGRRWGEGEEGGRRGGGGGGGGGGGTGGGGGGGRRGGEDGGEVSLREWLDRPGRAVEAPECLHVFRQVAESVAIAHAQGVAVGSARPSCFVVSPPFARVAFIESASGSDVSGSCSGSDGSEEADPESSPPRRRRDGASGGEDRGGKTFPLRSVLAMELTWYTSPEEADDRGGATFASDVYRLGVLLFELFCTFETMEEKMRAMANLRHRVLPPQLLLKWPKEASFCQLLMHPVPETRPKMSDVLQSEFLNRSRNSLEEREAALRLREEIEEQELLLDFLLQLQRRKQDIADNLQDTVAFLSSDINEAHQQSALGQCGNFSFELDKEVCSETVEDQSDCGSRKRFRPELPAVDMEEQNRSLEECSGTVPSSVLIQESVLSKSSRLMKNFKKLETAYFLTRSKLARQVGNPVSSCHQVVKRTTGSPVVTEGSSIDDFALEGHYGTKQRGWMNSFLEGLCSYLSFSKLKVKAELKQCDLLNSSNLVCSVGFDRDKEFFATAGVNKKIKVFEYNMIVNEHRDIHYPVVEMSNRSKLSCICWNSYMKSHIASSDFEGIVQVWDVTRSQVFVEMREHERRVWSVDFSLADPTKLVSGSDDGSVKLWNMNQAGSVGTIRTRANVCSVQFQPDSARSIAIGSADHKIYCYDLRNIRAPYCTLIGHTKTVSYVKYVDASTIVSASTDNSLKLWDLSMSQARIIDSPLQTFTGHTNTKNFVGLSISDGYIATGSETNEVFVYHKAFPMPVLAYKFSVTDPISGQEIDDPSQFISCVCWRGQSSTLLSANSSGNIKILEMD >ORGLA01G0242300.1 pep chromosome:AGI1.1:1:21945185:21948398:1 gene:ORGLA01G0242300 transcript:ORGLA01G0242300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G11580) TAIR;Acc:AT5G11580] MPPKVVAVAAGEAHTLALTGDGEVYSWGRGMFGRLGTGREADEHVPTVVAAGGRPRPRFVAVASGAYHSLALDDEGSLWSWGYNIYGQLGYGEENSLFPCLVERFQDLGSPETLQSEAQNSSTQSSLKLSSVKAGGMMSFAIDTLGAMWMWGNCPQQTDAGEFCIAPSCVPLPVWDFHGHTVVKVACGNEHVVAAVSAGETYTGGDLVCYAWGNNNHGQLGLGDKESRSRPVLISAFSEGSSWEVYEIACGAWHTAVLTNKKSFDVDLESRCWTFGLGDNGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDSGDALRPIRVQSPETNGFKFLGAVQVTCGAAHTVLVAGDGYRMWAWGRGRSGVLGRNQTADSYIPCVVMWPPLDENFQEIHEDRAQASTSGVNDRNSAELEQKLSAASEELEFLRSKLTLMERYANILHISIFRKPLDERTLPRSLQESPVFDIRKEFENILDTAGTDELNRLEIFYRSMLSGVKDKLLKRRVQEMVQECIVSLSSGRQTP >ORGLA01G0242200.1 pep chromosome:AGI1.1:1:21935983:21944546:1 gene:ORGLA01G0242200 transcript:ORGLA01G0242200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1NRD2] MGSIGNGRNGSEVGIQIPAMGNKEVLERPAIPRWPRLGVVMVATRAVALVMAVLSMALMISAKQRGSLKIFGIEIPLYANWSFSDSLEYLVGMSAVSAAYCLAQLLLTAHKAVKNAPVVQSRNYAWLLFTGDQVQIFAYAMMSAGSAAAAVANLNRTGIRHTALPNFCKPLPRFCDLSAASIACAFLSCIFLAASAVIDVIWLSTM >ORGLA01G0242100.1 pep chromosome:AGI1.1:1:21931941:21934673:1 gene:ORGLA01G0242100 transcript:ORGLA01G0242100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPDRYAPTPSRLPLALKAAAQAETPTFADNYTPPPSRVDEAHALMEQRMLMEANVVIWGTLLGACERHENVRVGHN >ORGLA01G0242000.1 pep chromosome:AGI1.1:1:21920593:21921261:1 gene:ORGLA01G0242000 transcript:ORGLA01G0242000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDTRVTYGFTLNTRSRSSIKQEQSEVSNVQSPFSTGTMQQAAAAAEAHGSGHPAASMADDGKEEAVSAAWHGSVRAAVEGPTPDQAWALLGDFCSLHRWVPSVQTCRRVEGAEGQPGCVRYCAGPVNKAAEAVAGWSKERLVEFDPVARRYSYEVVETNKGFGRYAATLRVEPDPAGCAVAWSFEADPVRGWTLEGFVGFLDELARGVARRLEEEIMSRN >ORGLA01G0241900.1 pep chromosome:AGI1.1:1:21919677:21920399:-1 gene:ORGLA01G0241900 transcript:ORGLA01G0241900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFSVSVVPLQTPPXXXXXXXXXXXXXXXXXXAKRGFPLPPLSPLLLGDTDGAPRRAQSRSPATGERCGSDGGGEREREAWREKERERREAATTWDGAGMPNPRRGRRICSEVGGSRLPCRRSFVRSPRARRVRRLKLTIRRLEREGLPSAASSSPRAHRPPTRCGAADAAPSAGGPDPATAAPDLPPPGVAAATFHGRERERRERVVGERAATATRCALACVRVRKTVREGGGGREG >ORGLA01G0241800.1 pep chromosome:AGI1.1:1:21908692:21917815:1 gene:ORGLA01G0241800 transcript:ORGLA01G0241800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQHVQEEAAGAEAVHAHAARHDGAVVMEILSRSLQSMPASPDVSAYFSGASSRRPSAADEVDDEEALRWAALERLPSFDRLRTGLMRADADSSGVGVGAVGRGRRWYAHREVDVRTLELAQRQAFVERVFHVAEEDNERFLKKLRARIDRAGIQMPTVEVRFRNVNVQAECHVGTRALPTLANVSRDVGESLLGLVGLNFAKRKALHILKDVSGIVRPSRMTLLLGPPSSGKTTLLLALAGKLDPTLETSGEVTYNGYGLDEFVPQKTAAYISQHDVHAGEMTVKETLDFSAKCQGVGQRYELLKELAKKERQLGIYPDPEVDLFMKATSVEGSTLQTDYILRVQILGLDMCADVIVGDELRRGISGGQKKRLTTAEMLVGPTKVLFMDEISTGLDSSTTFQIIRCIQQIVHMGEATVLVSLLQPAPEIFELFDDVMLLSEGQIVYQGPREHVLEFFERCGFRCPERKGVADFLQEVTSKKDQEQYWIQSEKPYRYVSVPEFVAKFKKFHMGKSLKKQLSVPFNKGKIHKSALVFSKQSVSTLELLKTSCSKEWLLMKRNSFVYIFKTVQGILVALIASTVFLRTQLNTRDEGDGQIYIGALIFVMITNMFSGFADLSLTLARLPVFYKHRDFLFYRPWTFALPNVLVRIPSSLFESIIWVAITYYTMGFAPEASRFFKHLLVVFMLQQMAAGLFRVTAGLCRTVVVTNTAGSLAVLIMFVLGGFILPKDAIPKWWVWAYWCSPLTYAYIAFSSNEMHSPRWMDKFVPDGKRLGVAVLENSGVFTNKEWYWIATGALLGFTILFNVLFSLSLMYLNPVGKPQSILPEETDSQENIQEGKNKAHIKQIITVETPEPVSPNSIITLDKVIQQLRGYSANTSDRSHSYINAAGRTAPGRGMVLPFEPLYMSFNEINYYVDMPLEMKSQGVTADKLQLLSGISGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTGGYIEGEIYISGYPKNQATFARISGYCEQNDIHSPQITVRESLLFSAFLRLPKEVNDQEKKIFVDEVMELVELTGLKDAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGPLGTNSHKVVEYFEAIPGVPKIEENRNPATWMLDVSSAASEVRLEIDFAEYYRSSTMHQRTKALVKELSNPPPGSDDLYFPSQYSQSTFNQFKLCLWKQWWTYWRSPDYNLVRIFFALFTALMLGTIFWRVGHKMESSKDLLVIIGSMYAAVLFVGFENSVTVQPVVAVERTVFYRERAAGMYSAIPYALAQVVVEIPYVFVETVIYTLIVYPMMSFQWTPAKFFWFFYVSFFTFLYFTYYGMMNVSVSPNLQVASILGAAFYTLFNLFSGFFIPRPKIPKWWVWYYWLCPVAWTVYGLIVSQYGDVEDFITVPGQSDQQVRPFIKDYFGYDPDFMGVVAAVLAGFTVFFAFTYAYSIRTLNFQQR >ORGLA01G0241700.1 pep chromosome:AGI1.1:1:21880655:21886308:-1 gene:ORGLA01G0241700 transcript:ORGLA01G0241700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATTGGGGERRSLRGMFKFADRVDVLLMALGTLGAIGDGCSTNLLLIFASDVMNSLGYARAGAHGGAAAATGVDFMREVEKSCLNFVYLAFAVLAVAFMEGYCWSRTSERQVLRIRYLYLQAILRQEVGFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFLMHSTVFISGLAFSTYFSWRLALVSFPLVLLLIIPGLIYGKYLLYLSRQSRHEYTNANSLVEQALGSIKTVYSFTAEKRIIQRYTAVLDKTIKLGIRQGIAKGLAVGFTGLSFAIWAFLAWYGSRLVMYHHESGGRIYAAGISFVLGGLSLGMALPELKHFTEASVAATRILDRINRVPEINADDPKGLILDQVRGELQFESVRFVYPSRPNMTVLKDFNLQIPAGQTVALVGSSGSGKSTAIALVQRFYDATEGTVKVDGVNIKELQLKWIRSKMGLVSQDHALFGTSIKENILFGKPDATMDELYAAAMTANAHNFIRGLPEEYETKIGERGALLSGGQKQRIAIARAVIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVVAHKLSTVKNADQIAVVDGGTIAEIGTHDELINKGGPYSRLVKLQKMVSYIDQEGGDQFRASSVARTSTSRLSMSRASPMPLTPGISKETDSSVSPPAPSFSRLLAMNAPEWRQAVIGSLSALVYGSLQPIYAITIGGMIAAFFVQDLNEMNAIISRYALIFCSLSVISIVVNLLQHYNFAYMGEHLVRRIRVQVLEKILTFEAAWFDEETNSSGSLCSRLSNEASLVKTLVADRISLLLQTASGIIIAVTMGLIVAWKLALVMIAVQPTTMICYYAKKIVLSNVSRDLAKAQHQSTQIAIEAVYNHRMVTSFGCSSKVLQLFEHTQEEPLKRARKKSWVAGITTGLSPCLSFLSWALDFWYGGKLAQSGEISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASVFEVLDRKSISPQNSQVEKDNQKNKIQGRIEFKRVDFAYPTRPQCLILQDFSLDVKAGTSIGLVGRSGCGKSTIIGLIQRFYDVDRGAVKVDGMDVREMDILWYRGFTALVSQEPAIFSGSVRDNIAFGKPEADEDEIVEAAKAANAHEFISSLKDGYHTDCGEHGLQLSGGQKQRIAIARAIIRNPAILLLDEATSALDAQSEQVVQEALDRIMSGRTTIVVAHRLNTIKNVDSIAFLGEGKVVERGTYPHLMSKKGAFYNLAALQK >ORGLA01G0241600.1 pep chromosome:AGI1.1:1:21876587:21880264:1 gene:ORGLA01G0241600 transcript:ORGLA01G0241600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRRHHHFIKVMVGEFARRLEIPQGFLIHIPEVDHSTFDASLPSSAKGTLQNSEGKTWPVELEKLDGHVFLTTGWAKFVEDNSLREYEFLLFRYDDNMHFMVLPFGLNACEKVIRSSGSPQGKLPCDIFCCTKRGRDGDRLTEAANSLTPSHSQVLQRTTQGHELISPQSFPDQHEVCGSKDGLDEHLSLNGPMEDDKANAIAEVMSILDVDKVTVELFCAMLVFYKWNVDAVAEDFDICRGKPQIQNLFLKHKLHFQFDIVKRKLRKFFPPDDYCSSPILESRKCSLEEPKLSNQPLQCDLTTKKCRLVDEHDLCNFSQKKRRKRGSFCSPETPRRSPRLARQNNSHDSAENTLKERSEERQPSPASMIYQAESRSEQACLCHDKTDSGSLFQDSKKVKPAHGEVDLCEEPQHNQGENEGNLDQVNNKETDEEQIERNAVETSESFTRRGCIKSSPASCEVPACLRINELSLTWKPAEHVNPLEKVLLDIQRDNFMKTISHVQGIIRNHPSDLLTADVITVVVQKEIFKWNCCLKDRDAQRIVNALLEHARKIKEMHNFNSEMRKEEFSAKLKVHLKWQLKEVETTYTSLELDYKKATSDDNIAFSMLHDKKKKLHNLQDEITGLQQSLEMKKDEMQKLAHQVAEHESVFQKSLMERLRIKEVMKGYEQTLAEVKVQLTSTEVGSIDIEALVKVEMDNMTKEIELSKESLLNITFH >ORGLA01G0241500.1 pep chromosome:AGI1.1:1:21871440:21874905:1 gene:ORGLA01G0241500 transcript:ORGLA01G0241500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoribosyl pyrophosphate (PRPP) synthase 3 [Source:Projected from Arabidopsis thaliana (AT1G10700) TAIR;Acc:AT1G10700] MATAASASPAAAFGAKTRRPGPSPSPSPASAFARPSPRASAAGRLHASLHLGGASATGSSIVSNASGIHLAAPVLAPLAVPKMTGAVGAHKNVLLFHCEEMRELAEQVVARNDDIELRSISWRTFADGFPNLFISNAHTIRGRHVAFLASFSSPSVIFEQLSIIYALPKLFISSFTLILPFFPTGTSERMEDEGDVATAFTLARILSNIPISRGGPSSLVIFDIHALQERFYFGDSVLPCFESGIPLLKSRLQELPDSDNITIAFPDDGAWKRFYKQLQHFPMVVCNKVREGEQRIVRIKEGDPRGRHVVIVDDLVQSGGTLIECQKVLAEHGAAKVSAYVTHGIFPNKSWEKFQPDNGEGPGHGLSHFWITDSCPLTVNAVKDRQPFEILSLAGPIASALQI >ORGLA01G0241400.1 pep chromosome:AGI1.1:1:21863611:21869464:1 gene:ORGLA01G0241400 transcript:ORGLA01G0241400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGGKKKSPWASGERRPHFFKVLVGDFKQRLKIPPNFCKHIPWEESRKAKGLKEASMAATLEGPSGRTWLVVIRRTAEGTFFTSGWPKFVQDQALRELEFVVFRYDGNTRFTAMVFDRTACEREDLMGGGGGGGGDRPRKKRGRPRTAAASRDAARPKKDSVGKEMVTYRASPSGGQPLQIVDSSWTPEPGSTAVKNEEDADELPVCELPASSASPPRHVPEGALDADGGAARRGAAKTRSLQDDLALASIPPSIRRYKGYVSRRRAVATAERQRATEIAHAFRSPLPYCVIRMSTMHVYYSFMMRFPTGFSRQHLPRERTDVVLRDPGGKVWSVLYIPNTRDRLSRGWCAFARGNCLEEGDYCVFELVAAAEFRVHIFRVVEPAVPAVRLRRVTVTCGRGPT >ORGLA01G0241300.1 pep chromosome:AGI1.1:1:21856327:21861671:1 gene:ORGLA01G0241300 transcript:ORGLA01G0241300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:I1NRC3] MESTMKGIRGDNAPCVLDLDDAATVGGGVGDTYGEDCATEEQLVTPWTVSVASGYNLLRDPRYNKGLAFNERERETHYLRGLLPPAIVSQELQERKIMHNIRQYQLPLQKYMAMMDLQEGNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITLDVGTNNEALLNDEFYIGLRQKRATAQEYADFLHEFMTAVKQNYGEKVLIQFEDFANHNAFELLAKYGTTHLVFNDDIQGTASVVLSGLVAALKLVGGSLSEHSYLFLGAGXAGTGIAELIALEISRQTKAPIEECRKKIWLVDSKGLIVSSRKETLQHFKKPWAHEHEPVGNLLDAVKTIKPTVLIGTSGKGQTFTQEVVEAISSFNERPVIFALSNPTSQSECTAEQAYTWSKGRAVFASGSPFDPVEYDGKIYVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVTQENFDKGLTYPPFSNIRKISAHIAANVAAKAYELVWQAGALGQRTWLSMQRAACTAHFTATTGESGHPVSGSIPVMYIYELFPAKSYYLFSTARCNSDESTGVTSSPFFPVSFMPVL >ORGLA01G0241200.1 pep chromosome:AGI1.1:1:21847673:21849148:-1 gene:ORGLA01G0241200 transcript:ORGLA01G0241200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24 [Source:UniProtKB/TrEMBL;Acc:I1NRC2] MSDSKAAAAVTLRTRKFMTNRLLSRKQFVLEVIHPGRPNVSKAELKEKLAKLYEVKDANCIFVFKFRTHFGGGKSTGFGLIYDNLDAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >ORGLA01G0241100.1 pep chromosome:AGI1.1:1:21845183:21846837:1 gene:ORGLA01G0241100 transcript:ORGLA01G0241100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGGMSSTSRAPRGIREETLLRVPGASVHLLDGAEGPVELARGDLAVVRIAKDGVAVATVARVGRGLGWPITRDEPVVRLDRLHYLFTLPDSTGGGGGGGALFLNYGVSFAAPDDALLASLDAFLKANACFSTPSSPAPSRSSATTTTRPAPTTTATADGYWNDFAPRMDSYNNVLAKAIAAGTGQLVRGIFMCSEAYATQVQRGADLIRPQAAGSVTKRSGGAGGGGASRTTGQPDAKPGGVNKSLKRVRKLSEMTEKMSQSLLDTVIAVTGSMAAPLLRSKQGKAFLATVPGEVILASLDAINKVMDAVEAAERRSLAATSNVVSGAVSRRYGESAGEATEDAFATAGHAVGTAWNLFKIRKAVTPSSSLPGNMVKSAVRNRK >ORGLA01G0241000.1 pep chromosome:AGI1.1:1:21837829:21840602:-1 gene:ORGLA01G0241000 transcript:ORGLA01G0241000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFTAEELRASMDKKDNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQDVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDAALRSFEGKRDGNSYLINLIDSPGHIDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQSLAERIKPVLTVNKMDRCFLELQQSGEEAYQAFSRVIESVNVTMAPYEDKNLGDCMVAPEKGTVAFSAGLHGWAFTLSNFAKMYKAKFKVDEAKMMERLWGENYFDHTTKKWTTTAPSTSSKTCQRGFVQFCYEPIRRIISACMNDDKENLWDMLTKLKITLKAEEKELTGKKLMKRVMQAWLPASDALLEMIVFHLPSPAKAQQYRVDTLYDGPLDDPYATAIRNCDPKGPLMVYVSKMIPASDKGRFFAFGRVFSGTVATGNKVRIMGPNFVPGEKKDLYVKTVQRTVIWMGKKQESVDDVPCGNTVAMVGLDQFITKNATLTDEKAVDAHPIKAMKFSVSPVVRKSVACKNASELPKLVEGLKRLAKSDPLVVCTIEESGEHVIAGVGQLHLEICIKDLQEDFMGGAEIIVGPPIITYRETVTKNSCRTVMSKSPNKHNRLYMEARPLDKEDLQQDEPSLCKAIDDERIGPKDDIKERGKILSEEFGWDKDLAKKIWAFGPETKGPNLLVDMCKGVQYLSEIKDSVVAGFQWASKEGALAEENMRGVCFELCDVTLHSDSIHRGGGQLIPTARRAMYAAQLTASPRLMEPMYQVDIQVPKTAVGNVYGVLNSRNGELVEESERTGTPLSNLRFYLPVAKSFDFTEKLRAETSGQAFPQCIFHHWQTMRSDPFQEGSEAAKVITDIRKRKGLKDIITPLSDYEDKL >ORGLA01G0240900.1 pep chromosome:AGI1.1:1:21825827:21829669:1 gene:ORGLA01G0240900 transcript:ORGLA01G0240900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bacterial transferase hexapeptide repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT4G29540) TAIR;Acc:AT4G29540] MAAAATRAVRRLLIASRSLHAISSEGAAREAATSFVHPAAVVHPDAVVGQGVSIGPFCTVGASARIGDACQLHAGSHVMGDTELGERCVVLTGAILGSDIPGQTIIGENNVIGHHAVVGVKCQDLKYKSGDECFLQIGNNNEIREYCSIHRSSKSCDCTVIGDNNLIMGSCHIAHDCRIGNNNIFANNTLFAGHVVVEDCTHTAGAVVVHQFCHIGSFSFLGGGSVIAQDVPRYMMVAGDRAELRGLNLEGLKRNGFSDQEVRMLRKAYQQVFMPSINSQSSFDERLAELEREIELSETHVSYMVESIRMSFGQGRRGICKFRSWNR >ORGLA01G0240800.1 pep chromosome:AGI1.1:1:21818478:21821381:-1 gene:ORGLA01G0240800 transcript:ORGLA01G0240800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNMFDILGADDNDDPSQLLAAAAAAAQKAEAKKAAAAAAAPAGKAAQAASAAAKFPTKPAPPTQAAREARGGGAPSRGGFGRGERGRGRGGRGYGQNRDFGGDNANGYQGGYGGGYGDGAVAAGGEGDRERGPRAPFHGGRRGGYRNGEYGDDSERPPRRNYERHSGTGRGYEMKRDGAGRGNWGTATDEALAQETEEALKVEESAPIAEKQGEQDDAPTGEKDNKEGAANEEEEKEEDKEMTLEEFEKLREEKRKALLAFKAEERKVEVDKDLQSMQPLSTKKGNDEIFIKLGSDKDKKKENAERDERAKKSVSINEFLKPAEGERYYGGRGRGRGRGDRGGRGYGGGYQRGPYSTSAPSIEDQAQFPTLGGKPRA >ORGLA01G0240700.1 pep chromosome:AGI1.1:1:21813944:21815467:1 gene:ORGLA01G0240700 transcript:ORGLA01G0240700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFSAVLGDLLSRSISFMVDSYYQKHQGVEENLQCLHRLLLRIQAIVEEADSRHITNQAMLLQLRMLSNMMYRGYYFLDNFRCRIVQAHAQDEVRDHSLALSSFNPLKQFCFSTTTRKMVSEVSERKELHKMLGHLESIVSDMQEFVVFVSSYPRMSRQPYCSYLLLENCMFGRQEEQERVINFLLARHPPGGEEVIDVLPIIGPGRVGKSTLVEHVCHDERVRKYFSTIVFYGLGSIENNGDMAFLPDTGAVKYRNPVSGKQSLAIIELVDEMDDETWKKILHSLRGDHIAPVSKIIIMSRSNKIELFGTTKALQLDFLPKEVFWYFFKTIAFGSTSPVEEPKLASICMDIAASVNRSFIGLNVHGSILRSNICAQFWYSYLKRLKYYTDKHVRLFGEHPRDTNKNNGGLTYVWMHKNKHGCSGLATYKLFQASSISQNNLPTIRSIDMVSRNVKPRAKYEVLEWQSSIPPYYSYIAQYEILAQPKLMLPPKRKRSGALSEELV >ORGLA01G0240600.1 pep chromosome:AGI1.1:1:21803901:21805391:1 gene:ORGLA01G0240600 transcript:ORGLA01G0240600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFSAVLGDLLGRSISFIVDSYYQQHQGVEENLQKLHHVLLRIQAIVEEASSRHITNQAMLLQLTMLSNMMYRGYYFLDNFRYRIVRPHAQDELGDRSLGMSPFSPFKRLCFSTRTRKIVSEVLERKELQKMLGHLETIISDMQEFVVFLSSYPRMGRQPYCSYLLLENCMFGRQAEQERVINFLLKPCRPGAEGCDVLPIIGPGRVGKSTLVEHVCRDERVRKYFSTIVFYSPDGIGGEYHALLTDTVVIKHQNPSSTEQSLLVIELSNDMDDETWRRILHRLRNHITPVSKIIITSRSKNIATFGTTEALQLDFLPKEAFWYFFKTIAFGSSNPEEEPKLAAVCMEIAVLMNGSFIGAHVIGGILRSNLSAQFWYTFLEYYRYFTGWYIHLQGEHQSDMFKKRSGLTYIWSYKNWSAVTATFHLYQISSANLNDLPVIRTSELLTRDIKLEGKFDALEWRSSVPPYYSYMAHHEVLARPPIIFPKRKRSRPI >ORGLA01G0240500.1 pep chromosome:AGI1.1:1:21799670:21801193:1 gene:ORGLA01G0240500 transcript:ORGLA01G0240500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFSAVLGDLLSRSVSFLINRYHQQQKDAEENQQRLHRVLLRIEATVEEADRRCITNQAMLLQLRMLRDVMYRGYYFLDSFRYRNVQAHAQDEVGDHSLGLSHFCPLKRFCISTRTWKILSQVLEKKELQEMLDHLQSVVSDMQEFVVLMSSYPRMSRQPYGSYLLLENCMFGRQIEKERIINFLLETHRPDCSKGIDVLPIIGPCRVGKTTLVEHVCHDEMVRKCFSKILMYGADSIECGELVPLTEIGVIKHRNPASTGQSLLIIELVNDMDNETWTRILHRLRGDHSTPVGKIIITSRSNKVATFGTTEALQLDFLPEEEFWYFFKTMVFGSANPEEEPELAAICMEIAALMNRSFMGTYIIGDILRSNLNPQFWYKFLECFKYYTDIHIRELGEHPTETYKRISGHTCVWTPENRCVTEATYALTYTLYQASSEDLNDQPMVLASDVLVGNVQLQGKVDVLQWRSKIPPYYCYMAHFEVLARPLHMPPKRKRSRSLSGQLV >ORGLA01G0240400.1 pep chromosome:AGI1.1:1:21795511:21797013:1 gene:ORGLA01G0240400 transcript:ORGLA01G0240400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFSAVLGDILSRSISFTIDRYYRQHQGVEENLRKLHRMLLRIQAIVEEAHGRQITNQAMLLQLRMVRAVMYRGYYFLDNFRYRTVQAHAQDEVGDRSLGLSPFSQFKRFCFSTRTRKITSEVLDQKELQKMLGHLENIVSDMQEFVAFVSCFPRMSRQPYCSYLLLENCMFGRQIEQERIINFLLEPNHPSAKGINVLPIIGPGRVGKSTLVEHVCHDERVRKYFSMIVLCSADSIGGGSLTDTGLIKHRNPTSTGQSLVIIELADDDMDDRTWARILHNLRGEHITPVSKIILTSRSDKIRAFGTTEALHLDFLPKEAFWYFFKTIAFGSRNPEEEPKLASICMEIATLVKGSFMATHVIGGILRSNLSAQFWCRFLKCFRHYTDMHISVLGEHPSDAYTKTSGLTYIWTSRNMSVVAATYSLHQASSAQLADLSPILSNDVLTGDVEPPEKFDALEWRSSIPPYYNYISHYEILAQPPDMLPKRKRSRSLSEGLV >ORGLA01G0240300.1 pep chromosome:AGI1.1:1:21788467:21789993:1 gene:ORGLA01G0240300 transcript:ORGLA01G0240300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFSAVLGDLLSRSISFMIDRYKQQQQSVEEESRLQQLQRVLLRIEAIVEEADGRLITNRAMLQQLGMLREMMYRGYYFLDGFRYRIAQPHAQDEVGDLSPFSPLKRFCISTRDRKTTISEILEKKELQEMLGRLKTVVSDMQEFVVLVSGYPRMKRQPYCSYLLLENCMFGRQIEKERIINFLLAPHPLGNEEDIDVLPIIGPGRVGKSTLVEHVCRDERVREYFSTTVFYGPDSIGDGDLAPLTDTGAIKHRNPASSKQSLAIIELVDEMDDETWRRILQSLRSGDHVAPVSKIIITSRSNKIATFGTTKALHLGFLPKEDFWYFFKTVAFGSTNPEEEPKLASICMEIAAVLNGSFMGLNIVASILNSNLSAQFWYSLLKRLKFFTYRHIHLLGEHPRDLYNANSGRTYIWMHENYCGDSDLVTYNYYQVNSARLNGLQTVLTSRDILTGTVKPQAAKYEVLEWQSSIPPYISYITQYEILAQQKLMLPPKRKRSGALSEELV >ORGLA01G0240200.1 pep chromosome:AGI1.1:1:21784710:21785828:1 gene:ORGLA01G0240200 transcript:ORGLA01G0240200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLETIVSDTQEFVVLASSYPRITRQPYCSYVLLENRMFGRHAELERVIKFLLEQHPLCGAKGIDVLPIIGPGRVGKSTLVEHVCRDERVRKCFSTIVFYSPDSIDNGDMVLLPDTRAIKYRNPASGEQSLAIIELVDEMDDETWRRILHSLRGDHITSVSKIIITSRSRKIATFGTTKALQLDFLPKEAFWYLFKTVAFGSTNPEEEPKLASICMEIADLVNGSFMESNVVGSILRSNLSAQFWYNFLKRLKYFTDRHFRLLGEHPRDSYKSNRGRTYIWMHKNYYGDGDAATYNLYHANSAGLNNLPMIRMSDMLIGNVKPQKKCEALEWQSSIPPYYSYIVQYEILARQPLMLPKRKRSRALLEELV >ORGLA01G0240100.1 pep chromosome:AGI1.1:1:21778910:21780376:1 gene:ORGLA01G0240100 transcript:ORGLA01G0240100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLPAILSDLLGRSISYLVQRYRQQSTVQDDLEKLRLALVRVHVTVEEAEARHITNKAMLRQLDVLREAMYSGYHMLDALTYRAHADGASFSFAPSRLNAAKRLRLLAADEGAAELRRTVDSLGRTIADMREFVVFLKGYPRISTQPYSMHLLLDKLMFGRQKEVEQVVGFLLQPDVCGAGAGAGAGVLHIVGVARVGKSTLVEHVCHDERVRGRFSSIVCLSREDLEDMGDHRALTVKHGSHASHGSSLVVVDLAEDEEPVGDGAWRRLRSSAMCRARGSRIIVTSRSPETVRGIPAARAIELKFLRDDVYWYFFKVLAFGSANPDDHPRLASIAMDISAEQKGGFIGATIASSLMRANPDAHYWTLILKNMREYTRKHRAMFGKHPHDLLRNNHPVYLWRLAESSKIFLCHGFYTACPAKQEIPRVTFQEVLSGRVTPHGRFEVLAWTSQIPPCRSYLMSCSLDTPPGPHRVLDRKKRLRQLVT >ORGLA01G0240000.1 pep chromosome:AGI1.1:1:21775199:21776671:1 gene:ORGLA01G0240000 transcript:ORGLA01G0240000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLSAVLGDLVSRSISFLVDKYYQQKMGMGVDLQCLRHLLLRIEATVLEAEGRHITNRVMLQQLQMLREGMYKGYYLVDTIKNGVLQHEMVNDEVGDHSFSFPKLRPTKRLCFSTRTFTMAFQGEDRKEVGEMLCSLLSITDDMKEFLVFLKGYPHIHRQPYSQHLILEKCMFGRQAEIERITNFLLRESLGAESLGVLPIIGPARVGKSTLVEHICYDERVRSFFSSIVFCSGSDIGSKSFADLRDSGIVKHQSCVAHERSLIIIEFIDDGDVDEENWRRLYSSRSCIPHGSKIIITSRSERFRNVGTTQPLELSLLPQEAHWYFFKVLAFGSTNPVEHPFLESAAMEMAAEYRCFVAANFVASLFRANFCTQFWHLFLRCHRNIVEKHVILFGEHPYTLVQKNHGIYLVENFRDPKFILVNGYKTCLRNDDPKVMLHEVHTGTSKAHGKFEVLVWRSRIPPYHEFVMSCEAQAQQHIIFKRKRFLA >ORGLA01G0239900.1 pep chromosome:AGI1.1:1:21767794:21774769:1 gene:ORGLA01G0239900 transcript:ORGLA01G0239900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLVPAAVSDIAYRLTTFAIKKYQQLPDAEVALETLNRLLLRAQTIIEEAEGKCIANEGMLHQLQMLIEGMYRGHYLLDRYKYPALQEDRKDEEVSHVYSFSKFNPAKRLRFSSHRRTLCFGSNSIKELQGMIATIEKGISDMMNLVVFLRNYRVVHHQPRDTYSVLENCMFGRQMEHEQVLSFLLQTDGLGDEDFPVLPIIGPRKCGKSTLVEHACRDYRVRNHYSLILFLRGNNLKDARVANLRENGVVKHQNYSSCKRLLIIIELACDISEQAWQSLKSSMSCWARGSKIIITSRSDKIENLGTTAAIRLDLLHPEAYWYFFKKLAFGSRDPDEHPKLASVAMEIATEYSGSFLAAYTIGGLLRDNFNAQFWCSTLKYLRAYIRNQLRLHGDHPNNLLLKGQWVHCLRFAEASNPPWMSDYYETDSCPDQAPNISDIMLGSATPRGRFEALGWKSRMAPYYSYMICCSTEAPGHAVGRKKRSYDRFLVFLFPVSPLRDQRLPRGADAAAMLGRVAVADAAAGGRAGGRTRTSRVGFSCGALDITPRRTAAAPTAVACARALGAAHCSAAPRGRVRTL >ORGLA01G0239800.1 pep chromosome:AGI1.1:1:21762169:21763080:1 gene:ORGLA01G0239800 transcript:ORGLA01G0239800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGQPLRDDPQPRRHSPPALHPAVVPAYPPPESDADESWVWSQIKAEARRDADAEPALASFLYATVLSHPSLDRSLAFHLANKLCSSTLLSTLLYDLFVASLAAHPTLRAAVVADLLAARSRDPACVGFSHCLLNYKGFLAIQAQRVAHVLWAQDRRALALALQSRVAEVFAVDIHPAAAIGKGVLLDHATGVVIGETAVIGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVRIGAGAKIGAGSLVLIDVPPRTTAVGNPARLLGGKKGDDMPGESMDHTSFIQQWSDYSI >ORGLA01G0239700.1 pep chromosome:AGI1.1:1:21752449:21760765:-1 gene:ORGLA01G0239700 transcript:ORGLA01G0239700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:starch synthase 4 [Source:Projected from Arabidopsis thaliana (AT4G18240) TAIR;Acc:AT4G18240] MAACGAAAAEYSALLSLSCGPITRRRFAVSCRARPPGNLSAQQKKKRGKNIAPKQRSSNAKLLLTTEENGQLPSTSLRTSMERPQKSTSSEDDTNGAISQIDEKITAIGNEQQEVLPYWGGHILISAYQDILLLNQARLQAIEDVDKILTEKEALQKKVDTLEMNLSKALATKGNINTDIPGDHLEKFTKEILIESALSGGNPAHLCESPLFMELTVLKEENMLLKADAQFLKAKIVEFAETEEFLFKLEKERSLLDATVRELEARFLVAQTDIWKVVPLQYDVWMEKVENLQHMLGCLKNHVEKYAALLDQHDDLHDKIDELEASLKEGKTSEFSPYVVELLQQKLKAAKSRHQAGHQETNTHIQVYQQLTEEFQDNLGRLTEESGRLEHSANSMPSEFWSHILLMIDGWFLERKIPNTDARMLREMAWKRDDRICEAYFACKGAKESDVMETFLKLMLSGNSQCSSGLHIVHIAAEMAPVAKVGGLADVVAGLGKALQTKGHLVEIVLPKYDCMQLDQITNLKVLDVVIQSYFDGNLFSNNVWTGTVEGLPVYFIEPQHPSKFFWRAQYYGEHDDFKRYSYFSRAALELLYQSGKKIDIIHCHDWQTAFVAPLYWDIYATRGFSSARICFTCHNFEYQGTAPAPDLSYCGLDVEQLDRPDRMQDNAHGRINVAKGGIVYSNIVTTVSPTYALEVRSEGGRGLQDTLKMHSRKFVGILNGIDTGTWNPSTDRFLAVQYSATDLQGKAANKAFLRKQLGLYSEDASQPLVACITRLVPQKGLHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGVADQFQKNNNIRLILKYDEALSHCIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRQTGGLCDSVFDFDDETIPVELRNGFTFARTDEQDLSSCLERAFSYYSRKPMVWKQLVQKDMQIDFSWDSPASQYENLYQSAVAQARGAAQT >ORGLA01G0239600.1 pep chromosome:AGI1.1:1:21750685:21751482:1 gene:ORGLA01G0239600 transcript:ORGLA01G0239600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:I1NRA6] MAASTMALSSPAALAGKAVANAKVFGEGRVTMRKSAAKPKPAAASGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWGCQVVLMGAVEGYRVAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >ORGLA01G0239500.1 pep chromosome:AGI1.1:1:21744770:21745594:-1 gene:ORGLA01G0239500 transcript:ORGLA01G0239500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVVFDFDKTIIDVDSDNWVVDGLGATEEFERLLPTMPWNTLMDTMMGELHARGKSLADVAGVLRSAPLDPRVVAAIKACYGLGCDLRILSDANRFFIDTILDHHGLTDYFSEINTNPSAVDAATGRLRIAPYHDFHAGPHGCGLGICPPNMCKGQVLDRIRASAGAAGKRVIYLGDGRGDYCPSLRLGRDDFMMPRRGFPVWELICEDPSLLQAEVHPWADGAEMEETLLRLVGRVLLEERNLPPLDCKLESLPAVAVQDGMPMTLRIKN >ORGLA01G0239400.1 pep chromosome:AGI1.1:1:21740476:21742945:-1 gene:ORGLA01G0239400 transcript:ORGLA01G0239400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRTARLALLSAPRAYSAAATGAGAAPARYAGAPPPAPQSKAAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWTK >ORGLA01G0239300.1 pep chromosome:AGI1.1:1:21736995:21739214:-1 gene:ORGLA01G0239300 transcript:ORGLA01G0239300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSKLATLGSAAREAARVAARQGCAYNKAVVEGSRQLQSRACEATRSAAKHGRAFHEELMERNKRYVVDPPTIQTCQELSKQLFYTRLASIPGRYESFWKEVDGAKLLWKNRKNLNLKTEDIGVATLFGIELIAWFAGGEVVGRGFTFTGYHV >ORGLA01G0239200.1 pep chromosome:AGI1.1:1:21735147:21736487:-1 gene:ORGLA01G0239200 transcript:ORGLA01G0239200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKTLLLFLVVLLPVANACQPPRTLHVPVFHRDALFPPPPGAKRGSLLRQRLAADAARYASLVDATGRLHSPVFSGIPFESGEYFALVGVGTPSTKAMLVIDTGSDLVWLQCSPCRRCYAQRGQVFDPRRSSTYRRVPCSSPQCRALRFPGCDSGGAAGGGCRYMVAYGDGSSSTGDLATDKLAFANDTYVNNVTLGCGRDNEGLFDSAAGLLGVGRGKISISTQVAPAYGSVFEYCLGDRTSRSTRSSYLVFGRTPEPPSTAFTALLSNPRRPSLYYVDMAGFSVGGERVTGFSNASLALDTATGRGGVVVDSGTAISRFARDAYAALRDAFDARARAAGMRRLAGEHSVFDACYDLRGRPAASAPLIVLHFAGGADMALPPENYFLPVDGGRRRAASYRRCLGFEAADDGLSVIGNVQQQGFRVVFDVEKERIGFALKGCTS >ORGLA01G0239100.1 pep chromosome:AGI1.1:1:21733397:21734353:1 gene:ORGLA01G0239100 transcript:ORGLA01G0239100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVQGVYVMERDRQWNRHGHDARAPAWWRFFHFEVREVLVDAADSSIFGAVYAFQPPWHLLDPAAAAAASAPHYVVAFRGTITKKASASRDLALDLQLVRNGLDRTSRFHAAMRTVHAVVAAAGHQHHHRVWLAGHSLGSAISTLAAKSMARAGVALPTFLFNAPFPSAPVERIGDRRVRQGVRIANSFVTAAAATLLHHGSGGGGYDAFAALARWVPNVFVNPGDPISAEYVGYFDHRKKMEDIGAGAVGRVATRNSVKDLLLGIGTAGGCEPLHLFPSAVLTVNRGASPDFKAAHGIHQWWRPDLALECAAHYY >ORGLA01G0239000.1 pep chromosome:AGI1.1:1:21727617:21730983:1 gene:ORGLA01G0239000 transcript:ORGLA01G0239000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding [Source:Projected from Arabidopsis thaliana (AT3G24430) TAIR;Acc:AT3G24430] MRNLRAAAPASFLAPPAPPLLLPPSTPTPRGAFTAKASPAAAAAQAHGWCPSPRRVGRLRRRAGAASSSVASVEDAKKDVLVALSQIIDPDFGTDIVSCGFVKDLEISEALEEVSFRLELTTPACPIKDMFEEKANEVVAALPWVKKVNVTMSAQPAQPAYAGELPEGLQKISNIIAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPENRLLVMNPESRSILPTEYLGVKMVSFGFAGQGRAIMRGPMVSGVINQLLTTTDWGELDYLVIDMPPGTGDIHLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCYFDADGKRFYPFGQGSGAQVVQQFGIPHLFDLPIRPTLSASGDTGIPEVVADPQGDVAKTFQNLGVCVVQQCAKIRQQVSTAVSYDRSIRAIRVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKVQYGDIPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLEMLERLVDVPRATTAAVSS >ORGLA01G0238900.1 pep chromosome:AGI1.1:1:21722567:21724483:1 gene:ORGLA01G0238900 transcript:ORGLA01G0238900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLGFEKVLDCFSLALCTNACVCIHSVEDDEEEAIEREALVSSQLEELVKLKDLVGGAKTLAFHLEPKIVELRVSMHCYGCAKKVQKHISKMDGVTSFEVDLESKKVVVIGDITPYEVLASVSKVMKFAELWVAPNSQGC >ORGLA01G0238800.1 pep chromosome:AGI1.1:1:21719517:21720172:-1 gene:ORGLA01G0238800 transcript:ORGLA01G0238800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYGDCEMRLQRIDIRVHVQDDDVNMFGGWGNSGRITDVGARGPEKGEAGARGFLSPLPVSSNPDSNLSMVQPDEHLGPCSRVVERLG >ORGLA01G0238700.1 pep chromosome:AGI1.1:1:21713123:21715092:1 gene:ORGLA01G0238700 transcript:ORGLA01G0238700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEEAEEEVEDEEEYESDLDDAPLPAVRRRAAASDDEEGGGASGSSAPWSVAGSDLDSYSDSDGQGAAEMYDDEEEGSEERDELEAGGGGGGGVGGGEALEDEGKCADEEALEDEGRYGDEEADGVVAALGDEGKCDGEEAEVEAAVEGAEVVNKEGEAQAVPTIGAFYMHDDRFRDPENGRHGSQRKNFGGQKLWYPKDDNVWAHDRFYEMNSHHDRLYETNSHNSPNDSGRGPRGSFRAWGGDRTHRYDHGYLERTLSQSYYHDDREEYKYVPKEPRTFFATTRDHISFLKESNNMYGSANNYKRVPSKFHTYYDHGDTKNFAYVQRESHTYYGNAKDFTSAHDGYRGGVSNPYVSHWRSDPEIYSGQYIRSQNEEASSNAEGGKHPSQTLGFQT >ORGLA01G0238600.1 pep chromosome:AGI1.1:1:21705756:21711404:1 gene:ORGLA01G0238600 transcript:ORGLA01G0238600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAGDVDGRNHRPGRGSSASSRHLHGVGGASAAEVNLSGRRPFAEKLWSDLAETFFPDDPFRGFGALPPARRAWCAVKYFVPALDWVPRYGLDKFKFDLLAGITIASLAIPQGISYARLANLPPIIGLYSSFVPPLMYAVFGSSNNLAVGTVAAASLLLASIIETEVAADENPQLYLQLFYTAAFFTGLFQTALGVFRLGLIVDFLSRSTITGFMGGTAMIIILQQFKGLLGMKHFTTKTDIISVLHSTYHYRHEWKWQSAVLGICFLLFLLSSKHLRKKLPKLFWVSAIAPFMVVVIGGIFAFLVKGDEHGIPIVGNLNKGINPLSIAQLTFQSRHMKTAVKAGLLSGILALAEGIAVGRSLAMVKNEQIDGNKEMIAFGIMNIAGSFTSCYLTTGPFSKSAVNFHAGCKTPMSNVVMSVCIMLVLLFLAPLFKYTPLVALSSIIVVAMIGLVKVKEFCHLYKVDKFDFCICMVAFLGVVFFTMVAGLSASVGLSVVRALLYVARPATCKLGNIAGSETFRDVKQYPQAKSIPGILVLQLGSPIYFVNAGYLRERILRWVEDEDNLCKSVGHDLQYLVLDLGGVTSVDNSGVGMLLEVHKSLERRGITIALTNPRLEVTEKLVLSGYVRDILGDEWVFLTVKDAITACRYALQISRNKGEDEV >ORGLA01G0238500.1 pep chromosome:AGI1.1:1:21693922:21695129:-1 gene:ORGLA01G0238500 transcript:ORGLA01G0238500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAMEWCSSKGNIPYFETSAKEDRNVDSAFLSVAKLALEHERDQDIYFQTVVPDPVPEAEQRSGCAC >ORGLA01G0238400.1 pep chromosome:AGI1.1:1:21684870:21686548:-1 gene:ORGLA01G0238400 transcript:ORGLA01G0238400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAAAAAGASTPFNFCRHGSHAEYDAVFSGSWMARRPSAAPHGGGASGSGYGAASYVAPTFGAAFRQQHLDLLDYLSDDQGVPAPPPAAVPSASYVTPAPAMAPAEPVVPDAVAAAGGYPRSVAAAAAAVAGEGRDRTTTDKIAFRTRSDDEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKNDPRYVVTMYEGIHNHVCPGTVYYAAQDAASGRFFVAGISHPDLN >ORGLA01G0238300.1 pep chromosome:AGI1.1:1:21681355:21681922:1 gene:ORGLA01G0238300 transcript:ORGLA01G0238300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQAAEDLAASALAARGLVTPPGDLGFDALAVVDDGHVXQNANASALAAARTLLPNAPLPAAMEFNLPVLQVQSWQLQQVQERLAAYQPSQASQCSSHGQAPAGQPSQAASSQPGDQLAAWEHVN >ORGLA01G0238200.1 pep chromosome:AGI1.1:1:21673759:21674265:1 gene:ORGLA01G0238200 transcript:ORGLA01G0238200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRREAPPISDYEALDGSGKCTDEPSCSSDPSKDSSSCTSAFAFTILAINCGAAIYHSRRDPWSVAFVLAAFLMLISLFCALRLFESLPRSSPRRSHVKAGVWVLSTVLTILFTYRVAALMPFPVAVVVWAMSVFTILAGFYMFFVCSDEVKAAPEERPAKVSDMA >ORGLA01G0238100.1 pep chromosome:AGI1.1:1:21654545:21658098:-1 gene:ORGLA01G0238100 transcript:ORGLA01G0238100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRYLVPPPGAADRAGGDAGDAALARDIVTLHKALSLDHSASSRRRRSLPLPAPSVADQARHKPRLKPSSSTRKLLPSASSSSSSSAAAAAAASTSSSSSFWKKSLTAISHLGRRRLDCAFALHVHSVDGLPAALDGSPISVHFRRMSLCASTRPVAAALGAASFEEVLTQRSPVYFSRGAKAAVKYEPRPFVVVAATSALELGKHEVDLTRLLPLSFDDLEEGGGSGFGKWSTSFRLSGPARGARLNVTFSCTLVGAAGEQQKGGEVAGLRRGSMARQVSVQTPTPVPARSRDVRVLHEVLPSGRTVKALPFFGDAGLDVRKEEVPTVESEENESPQSKHCTSVEVRNVDLAHPEGNCDAAEFSVIEQGVEIALEDPEQLKSVGTDNVADGNEDFRDEVGENEGEAKAVSVGDACAEESVGGKPEEVFSDVCFESEDAGEKKDSMVKAVSLPTVELDGEDQLDAELEDLGCLINSLSVVEPEQFDSPIVEGKRSRRLSCVGVTEGCNSASRMIRSRSMDASSDFVASEFLNMLGIEHSPLGATSGSDSESPRERLWKQFEKEALASGNGILGLDFEDEAEELSYEDDAEEPRCEDFAHDFDLSTIIREAELELQNAIQPIDNIFRAKSLEDEETEALMRQFGLNEKSFQSSPPGSRSGFGSPIDLPPESPIELPPLADGLGPFVQTKDGGFLRSMNPVLFKNAKNNCSLVMQASSPIVLPAEMGSGIMEILHGLASVGIEKLSMQANKLMPLEDVNGKMMQQIAWEASPALESSERYDLLDNHTMDALAGGIGNATFGKSKKGRCTNLSSSLGRESTSEYVSLEDLAPLAMEKIEALSIEGLRIQSGMSEEDAPSNISAQPIGEFSSLQGKCAGNTLSLGLEGTAGLQLLDVKQSGGDVDGLMGLSITLDEWMRLDSGIVDEDEQFTDRTSKILAAHHAKSMELVAENQNVDKKNRRSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYSTVTDKGNSEHDDEEPKTEEVLEKALVKEEKIEEEEDSVPQFKVAEVHVAGFKSEPEKTKSWGNQTQQQSGSRWLLAAGMGKGNKHPLMKSKAIAKPTKEAAGQSGDTLWSISSRVHGAGTRWGELAGPKRNPNILLQKEKRFR >ORGLA01G0238000.1 pep chromosome:AGI1.1:1:21626836:21648884:-1 gene:ORGLA01G0238000 transcript:ORGLA01G0238000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQASMLNIVIGSHVWVEDKNSAWVDGEVFRIDGKNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAYLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEDIKRYKLGDPSSFHYLNQSSCIRVDGINDAEEYLVTRNAMDTVGIIEQEQEAIFRVVAAVLHLGNINFAKGSEVDSSVIKDDKSRFHLNTAAELLMCDCKKLENALIKREINTPEGVITTTVGPSSATVSRDGLAKQIYSRLFDWLVNRINASIGQDPNSDKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASRCSFVSALFPPASEENTKSSKSSIATRFKVQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEIVKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQGQFRTHVAREQFLILRNASVCLQSFVRARLACKLHECLRREAAAIKIQKNIRCYFAWRTYSQLRLSAITLQTGLRTMAALKEFMFRKQNKATTHIQTQWRCHRDNSNYLKLKRAALTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERVEELTNRLGLEKKLRTDLEKSKVAEVSKLQAALNEMEQRMQDVTAMQERESAKKAVEEALEQEREKISSLTSEIEGLKALLVAEQEENDLTKKAHANAQERNEELSKEVEDADGKIKQLSDTVQRFEEAITKLQSSVTIEKQQHEETVVQLAEAQAKIDELLREAGDTDEKSTQLETTMQRLEESLTEKDALLTTERQETEATKKLLSEAQYKNEELLKKIEDADKSIAHYHDTTQRLEENVTAVENSLKAERQHNGAIMKQLADAQVEIGELQRNLEDADRRNNQLQDSLQRLVEDATTSEALLVAERQENEVTTKTLTEALDQIEELVKEVECAKNSVYQLQDNIQRLEQNASAREADLLTERQEKETTSKALAEAQAKIEGLLEEISSANKKTDLLQKTIERLEEGATTTDALYLTERQEHDQTKKAFSEAQEINQQLYRKIEEAEKNIEQLRENVERLEKDATARDSLLLMTKQSHDDTIKELLEVQERNLELMNGVEDSNKKIMLLEDSVKRLEERIAYIDSLLAIERRENNETKKELADAQKEIEELLDEMQDNVASIAEHEDTIRRLEENVGAKESLLLTEREQNASTLKLLAEAHLEIDELIRKLEDSDRKSDSLQSTIKRLEEDGIAKEALLLTEKQAHEATRMTLTEALEKNEELLKKIHDDDKHILELQFTIQRLEENTAAKENLLLREREQNDATTKAQIESQERNEQLLKRFVDVDRKIDLLQDTIERIGENSTTKDALLLSERQEKDAIKKELVEAGERNEELLMKIEDTDKKIEHLQNAIIKLEGDIEAKDISLEAAREENDTIRKSLAEAQEKNEELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDAAKRALTEARERNEDLLKRNEDLLKRNDDLIKKIEESSKTITQLQENLQRLEGKSTNLEAENQVLRQQATATPPSTAKSSASRSKITRIHRSPENGHILNGDTRQAEIKPSTGTSETIPSIGNPPDLNNEKHVEQGEKLQKVLNQKYQSPQSQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLSHWRSFEAMKTGVFDSILQAINSATEAQNDTRALAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHASQTSNAGLAYLSGQPVVGAAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSPAKGHANGLGQKNQLGHWLAIVKVLTNYLDVLRANHVPSILVHKLFTQIFSLIDVQLFNRLLLRRECCSFSNGEYVKVGLAELKHWSDNATREFAGSAWDALKHIRQAVDFLVISLKPMRTLKEIRTDVCPALSIQQLERIVGMYWDDINGSNTISAEFTSSLKSAVREESNTVTTFSILLDDDSCIPFSLDDIAKTMPIIEVAEDDLLPFVRENPSFAFLLQRGNS >ORGLA01G0237900.1 pep chromosome:AGI1.1:1:21619949:21625281:1 gene:ORGLA01G0237900 transcript:ORGLA01G0237900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEGDASAPVAAAEGRKPRHKGKHDKPKPWDDDPNIDHWKIEEFDPSWNEGGMLEVTSFSTLFPQYRGKSPHPTHPSALSWFLPRSAIDWFCLVVKKYLQEAWPIVKGALKEFGVACELNLVVEGSMTVSTTRKTKDPYIIIKANELIKLLSRSVPAPQEIKILNGEMSCAIIKIGSIIRNKERFVKRRERLLGPNLSTLRAIEILTGCYILVQGNTAAAMGYWKGLKQVVRVVEDCIKNVKHPVYHIKELLIKRELAKNPALAHESWDKFLPKFKKKNVKQKKPLTKEKKQYTPFPPPQQPSKIDLELESGEYFMSDKKKSAKEWQEKLEKQSQKAEENKRKREAAFVHPNEDIATPYESAKSIINNGEIADMAKSLKKKAKEFRKNEEQENGSAHVQEQEAVERCMHVSAIDQENSVRRGDDILIFVMIPTVISYDACQVPGTDEDSAVISDDTLRIRD >ORGLA01G0237800.1 pep chromosome:AGI1.1:1:21612270:21616746:1 gene:ORGLA01G0237800 transcript:ORGLA01G0237800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTSKRRSSSASTSSSSGDGAAVSDRPRGVTRKRRSGGRCPRPAASLRPAAPRPSSHHTAGLRVILQKELRYSDVSQLGRIVLPKKEAEAYLPILTSKDGKKSLCMHDLQNAQLWTFKYRYWPNNKSRMYVLENTGDYVRTHDLQLGDSIVIYKDDENNRFVIGAKKAGDQQAATVPQVDEHISTLFPIFPIAQVDDYLSPMAPQVDISAFVPHADENHEIFDGILNSLPEIPVANVRYSDFFDPFDDGMDMANTLNANANQSASLHVTDDKSGHSLIPNPKSGPHM >ORGLA01G0237700.1 pep chromosome:AGI1.1:1:21601186:21601806:-1 gene:ORGLA01G0237700 transcript:ORGLA01G0237700.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGWLCVCGCRVESFIEHQDACNSGRVSGEVMPVVTTLPVIRPAALRHHHHHPPPPPELQLLPASTTAPLAAAFSSNSTTTGSSSHEQHATTMTTTKLQLFIGPAVVVVAASDSGAAAAAVGGEEEEQREEVRRALEKADAARERAREEAAAAERALEDARRRLASLEQRELPLLCRTPPPSSPPLASSAVPRHRSPPPPPPPRLARA >ORGLA01G0237600.1 pep chromosome:AGI1.1:1:21548963:21549541:-1 gene:ORGLA01G0237600 transcript:ORGLA01G0237600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKAIDDDDDAPNRPSYGTYGNDPVARRASQKTTDRDGVRDGNGSGTMHGAGLSDGEKVTTITMDLASFDAADGLDTWTRKRLSGTRFRPRLTSRCASRTHALLPAVVRQQRGGGGLLLRXSGVALAWGKSAGVLRAEEVGSGVHGSAVVQGRRGVGLPEDVRAPAPHVKNGTAQVLAEMKLYRYVRTRSI >ORGLA01G0237500.1 pep chromosome:AGI1.1:1:21547505:21548702:1 gene:ORGLA01G0237500 transcript:ORGLA01G0237500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMGFAPMLSVAVLLGTLAAFPAAVHSIGVCYGVVANNLPGPSEVVQLYRSKGIDSMRIYFADAAALNALSGSNIGLIMDVGNGNLSSLASSPSAAAGWVRDNIQAYPGVSFRYIAVGNEVQGSDTANILPAMRNVNSALVAAGLGNIKVSTSVRFDAFADTFPPSSGRFRDDYMTPIARFLATTGAPLLANVYPYFAYKDDQESGQKNIMLNYATFQPGTTVVDNGNRLTYTCLFDAMVDSIYAALEKAGTPSVSVVVSESGWPSAGGKVGASVNNAQTYNQGLINHVRGGTPKKRRALETYIFAMFDENGKPGDEIEKHFGLFNPNKSPSYSISF >ORGLA01G0237400.1 pep chromosome:AGI1.1:1:21532058:21532501:1 gene:ORGLA01G0237400 transcript:ORGLA01G0237400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGSTNGGGVASRRMQAERWLDIAGKLLAAWDLVGCKRFIEQTVETSSSPSPMSSSPPSRSSTRATLTRSPSSASPDTNHADHAAVSCAYRRLALLSSGRAATHPGTDVALSLIHDAYAILSDPNHHPWPPSAALVPHPHVTSS >ORGLA01G0237300.1 pep chromosome:AGI1.1:1:21526398:21529062:1 gene:ORGLA01G0237300 transcript:ORGLA01G0237300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NR83] MRRPDPATGLRFAAVSLILCTANICAVARRSSYALLLDDEEDGGGGGDGSASFSFFPQTQPRGLVYGFYDESCPDAEGIVSSTMRELYLANPNVAAALVRLFFHDCFIHGCDASVLLDRINGDKSEREAAPNQSLRGFGAVDKIKARLEAACPRTVSCADILVLAARDSLVLAGGPSYPVLTGRSDSARAFYDEVGARIPSPNATYTVTLDAFARRGFTERETVALLGAHSIGKVHCRFFKDRIDNFAGTGEPDDTIDADMVEEMRAVCDGDGAAPMEMGYYRQGREVGFGAHYYAKLLGGRGILRSDQQLTAGSTVRWVRVYAAGERGEEVFREDFAHAMSYSYSNWENQFCKASTVHGAVSGGCASSILSPQDIHVGLGAEPPPRPNSFTSTSSCMAPSPLYGRAVTKLLGKANAVSPRQERHLSDPFPRHAEARRAAGRDGEARCGSISPCRAGCWRAV >ORGLA01G0237200.1 pep chromosome:AGI1.1:1:21520802:21521740:-1 gene:ORGLA01G0237200 transcript:ORGLA01G0237200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine deaminase [Source:UniProtKB/TrEMBL;Acc:I1NR82] MGEEKVAPKSDAAPAVELLGFVMSAEEAERAAAAAGVETVEDLLPLLVPSAMRRARAPISRFPVGAVGLGASGRVYAGVNLEFRGLPLSHSVHAEQFLVVNAAAAGESELRAVAVSHMPCGHCRQFLQEIRGAGGIRIIVTSDTEDGCAPEWRTVASLLPRPFGPHDLLPKHVPLVLEPHDNPLGEPAAVANGFAHGDLEARLREAAEAAARAAHAPYSECPSGFAVADGEGKVYAGGCLESAAYNPTLGPVQAAIIGMVAAGGGAAGDVVAAALVEKEAALVSQEATARIFLAAVAPQATFHVYNYTPSDA >ORGLA01G0237100.1 pep chromosome:AGI1.1:1:21514517:21516537:-1 gene:ORGLA01G0237100 transcript:ORGLA01G0237100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G29590) TAIR;Acc:AT4G29590] MATLAPRPHELLALRRPRLRTPRARASAHRARGAPVAPQAARPRRVFLGLGAAFVDQLARMASGGAPSRSFVASARPRQGVSPVEQILKNVEWPDEFPFKAEDFSRFDESSDALFYSAPRYVTHIDDQAIEALTKYYSEVLPPSNTPGVAILDMCSSWVSHYPPGYKQEKIVGMGMNEDELKRNPVLTEYVVQDLNVNPKLPFEDNTFDVITNVVSVDYLTKPIDVFKEMRRILKPSGLAIMSFSNRCFWTKAISIWTSTGDADHAWIVGAYFHYAGDFEPPEAVDISPNPGRTDPMYVVRSRKRIA >ORGLA01G0237000.1 pep chromosome:AGI1.1:1:21513340:21513846:-1 gene:ORGLA01G0237000 transcript:ORGLA01G0237000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFPSNYFLLSFWFFLGFWIIYQAFGAFGAVVNIDKPIESSIELVGVSGLVPVLALAPGPGVASRPAFNLLVRIDNDHILDRHREGGSVKVSYAGVPLAYGSIPSFRLGAREALTVAVNATSEAAGVPEDLLRLMAAEQRMGVAQLEIGMQLGGPGRESYSWSVDL >ORGLA01G0236900.1 pep chromosome:AGI1.1:1:21506114:21506473:-1 gene:ORGLA01G0236900 transcript:ORGLA01G0236900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPGSSTAPAHSACRSPPTSAAPTAWLSSTARRNITRHAPIRSRRGSCSCRREPSLPSPARPTARRRCARRRPSASPSASRVVDQAGAQAAPWPAAAPNADADPSYGTPSPYHSPARN >ORGLA01G0236800.1 pep chromosome:AGI1.1:1:21504358:21504957:1 gene:ORGLA01G0236800 transcript:ORGLA01G0236800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTALYGSKEVDPLLVLQTKVMILFYGTVTIVLVLGIPAAALLSNAAEKVKYTLDLAAVEGMDVAAATTAGGGGSTVISPAFNLTLRVENPRTFRPWCLDRGDVVVSYSGVALAWGRVPGFCVQKRSTAKLTVVPWGKNVHLSQELRERFVSELQEGTAKVYVEMKLHYYANFCMTAFSPSTGMVGISQELTLGGRDE >ORGLA01G0236700.1 pep chromosome:AGI1.1:1:21503335:21503964:-1 gene:ORGLA01G0236700 transcript:ORGLA01G0236700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQPPPIQGDGVAKKSRAAKASRREMLKVLYVLLALASTPFLYYIFFDLPPKFSLQINSAEGLDAAAAAAAQPLSTIVNMTLHASNRRAPGRCYRHGEAAVRYAGFTVAASRTRVFCVGARDALDVPVVAWADGVTLPNEVHDRMAAEQRAGFVELEVDVKLFDRESEMPTWMWCKVTTQGAEPSDVTPCRVFATQNWVSDIAPRWMQ >ORGLA01G0236600.1 pep chromosome:AGI1.1:1:21497409:21497903:-1 gene:ORGLA01G0236600 transcript:ORGLA01G0236600.1 gene_biotype:protein_coding transcript_biotype:protein_coding THSRLQASHGAFAFLVMRITYKASQAIDTAVIFNKPISSSVELVGVRGLEPSLAPGAAASPAFDLLLRLDNGDACGDQYREGGSVKVSYAGVPLAHGSTPGFRLGARSSATVAVNATSDGVGVPEELFRLMSAERRLGVAQLDIALQLGWPGWESYYWIVDLDG >ORGLA01G0236500.1 pep chromosome:AGI1.1:1:21493776:21494390:1 gene:ORGLA01G0236500 transcript:ORGLA01G0236500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEDPLLRLMNKVSFLFWMTLLPVFLLGIFVGALLSKECEKAKYTMDLAAVEGMDVAAVSTVVSPAFNLKLRAENPRAFRPWCLDRGDVVVSYSGVALAWGRVPGFCVRRRAMAELTVVPWGKDVRLSEDLRDLLVSELQHGTAKVSVEMKLHYYANFGMAAFAPSSGTTSISQELLLDSWEDNMNSSLLKTKAGLPGRQDE >ORGLA01G0236400.1 pep chromosome:AGI1.1:1:21490642:21493073:-1 gene:ORGLA01G0236400 transcript:ORGLA01G0236400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIELSSEEKASLVETLKNKLQALAEQHVDVLESLAPVVRKRVDVLIEIQSQHDELEAKFLEEKSALEAKYHKLYGPLYSKRFEIVSGVLEVEGETEEREEKGVPDFWLKAMKNNEILAEEIHESDEEALKYLKDIKWCRIDDPKGFKFEFFFDTNPFFKNQVLTKTYHMIDEDDEPILEKAIGTEIEWHPGNCLTQEVLTKESSESTKPITKTEEYESFFNFFSPPQVPEDDAKIDENTAEELQNQMERDYDIASTLRDKIIPHAVSWFTGEAVQDEDYGVSWVDDKEDDDDEYSDEEA >ORGLA01G0236300.1 pep chromosome:AGI1.1:1:21482192:21483688:1 gene:ORGLA01G0236300 transcript:ORGLA01G0236300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKISSMDAGAAFDDEDASSSNSLQELWPVGEIDPKRARFPCCIVWTPLPIVSWLAPYIGHAGICREDGTVLDFAGSNLVSMDNFAYGSIARYLQLDRKKCCFPVNLATHVCERSYKHAEAGTAISWDDALQLGMRSFEHKFYNLFTCNCYSFVANCLNRLAYNGSVKWNVLNVAALVWLRGQWVDKMSVVRSFFPFLTVTCVGILMAGWPFLIGMAAFSSLLIGWFVFAVYCMKDLVC >ORGLA01G0236200.1 pep chromosome:AGI1.1:1:21477108:21480074:1 gene:ORGLA01G0236200 transcript:ORGLA01G0236200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcineurin B-like protein 10 [Source:Projected from Arabidopsis thaliana (AT4G33000) TAIR;Acc:AT4G33000] MDSSRSSNSLDSRSSLTLGELACAALIPVLALVDAVVFAAAQCFQKRPPGLLPATLAARARRRAGGRLTFRELAVLADESRCFSVNEVEALYELYKKISCSIVDDGLIHKEELQLALFRTPAGKNLFLDRVFDLFDEKKNSVIEFEEFIHAISVFHPNAPLEDKIDFSFRLYDLRQTGFIEREEVKQMVVATLLESEVQLSDDLVEAILDKTFEDADTDKDNRISKEEWKAFVLKHPSVIKKMTLPTLKDTTAAFPSFVFNTQVED >ORGLA01G0236100.1 pep chromosome:AGI1.1:1:21474748:21475610:1 gene:ORGLA01G0236100 transcript:ORGLA01G0236100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGRLYQTELVESFRLTAALASPRRWDAAVVRCADDGENDAAVERVGDDDKVLKRRGIVLPVGRYGSGGDAARVRRATAPAPAPTQDAASSKNGALLIVADDDTPVSQNRSVVTGVDKPATAAASTPLVTIPKLPTPDSPVILPSVDRPQPEFVIPDAAYLGAPAPPLSSPRRWPRVASTGAQQWQGCHAAWLSPVAPLSSFLSAAVALLLVGGRWARSSCGVYFIGFF >ORGLA01G0236000.1 pep chromosome:AGI1.1:1:21467445:21473363:1 gene:ORGLA01G0236000 transcript:ORGLA01G0236000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system P protein [Source:UniProtKB/TrEMBL;Acc:I1NR70] MERARRLANRALLRRLLAAAASTTSPAPSRGISTLAKAPGAGSRPRAPRPAPHQYTTGRPVSASALQPSDTFPRRHNSATPAEQAAMASECGFNTLDALIDATVPAAIRAPTMHFSGKFDAGFTESQMIDHMQRLAAMNKAYKSFIGMGYYNTHVPAVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMVADLTGLPMSNASLLDEATAAAEAMAMCNGILKSKKKTFLIASNCHPQTIDVCQTRAAGFDLNVIVADAKDFDYSSGDVCGVLVQYPGTEGEVLDYAEFVKDAHAHGVKVVMATDLLALTSLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIADRVHGLAGTFAHGLKKLGTVTVQELPFFDTVKVKVADANAIAQEACKNEMNLRVVDATTITVAFDETTTLEDVDKLFKVFNGGKPVNFTAESLASEVSSSIPSSLVRKSPYLTHPIFNMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPSFANMHPFAPTEQAAGYHEMFDDLGDLLCKITGFDSFSLQPNAGASGEYAGLMVIRAYHRARGDYHRDVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICRIIHENGGQVYMDGANMNAQPSQDILHSTWWGGPGMGPIGVKKHLAPFLPSHPVITTGGFPLPEKTDPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKTTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIESGKADVNNNVLKQSAPHPPQLLMSDSWTKPYSREYAAFPAAWLRGAKFWPTTCRVDNVYGDRNLICTLQQGSQVAEEAAAATA >ORGLA01G0235900.1 pep chromosome:AGI1.1:1:21463710:21465372:-1 gene:ORGLA01G0235900 transcript:ORGLA01G0235900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTPPPRCRHSAASSPELCRSSAELSLGAAAGAGLGPSSTSLPRPTSRLPDHHLLQHHIVALDFCLIVVTETFINPRWPWYHDAAVTADTIHVMSCLMLNHEGFSKSWKLSNSTRQSSCRNGEKYGEAAVKLLAATLRDQWVLADKRMHLNNNWWRQKRRLVKSASCNYVTGKNMLERSIPPSLLTKGM >ORGLA01G0235800.1 pep chromosome:AGI1.1:1:21455457:21457526:1 gene:ORGLA01G0235800 transcript:ORGLA01G0235800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVLFLMLSAFPASCLAVAAPISPDAVPLLAFKSACADPAAALVSWTEASDPCSDRWRGITCRKPSPPTSPSPSSSPPRVRRVVLEGLRLGGDAGAVAALAGLPMLSFLSLKNNSFTGSLGDVDFSTLAPHLKLLYLSGNGFSGRFPESVLRLRHLRRLDLSGNRLTGTIPPEIGHRLPSLLTLHLARNSLVGPLPASLGAMSRLAKLNVSGNHLQGRIPKRLAAVFPASSFAGNPELCGAPLRRRCNGQHHMVYGGGGGGGGADTSHEPKRGRTRSNDRWMVAMIMAAVGAAVASLVAAALCGVLWLKDKKPERPRASSRTSSMAREETVRFDGCCVEFDVCTLMRGAAEMLGKGATATTYRVAMGGDDVIVDDAGVVEEGKAGEVVVVKRMRRREGATREDERRKRELAREMGTWRHANVVSLRAFYASADELLLVFDYVPNGSLHSLLHENRGPARVPLEWQTRLKLAQDAAQGLAYLHGVSGGKLAHRHLTSSNILVDAGGNTRVSDFALLQLLVPAPAADEAAQKQDVNAFGVVLLEILTGRSPEDGNVDLALWARTVVREEWTSEVFDVELLPSRGGAEDEMVALLHVALLCVADDPGERPRMAVVAKMIEDIRDRGSKRSRYSASPSQVGHSYESSPSISEDTTRSTNASSS >ORGLA01G0235700.1 pep chromosome:AGI1.1:1:21448987:21452519:1 gene:ORGLA01G0235700 transcript:ORGLA01G0235700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSVVRSKRRLALPYLHRLLHSGPATPSPNRFLRHASPVPRDPDHSPFLRLPDARVSTLPTGLRVVTQAYPAATRMASVGVWVDAGSRFELPGTNGTAHFLEHMAFKGTTRRPTANALEVEIENMGARLNAYTSREQTTYFADVQGRDVPIALDVLSDILQYPCFPANALQRERGVILREMEEVQGMMDEVIFDHLHAAAFQGHPLGDTILGPVENIKSISKKDLEQYITTHYTCPRMVVSAAGAVNHDEVVDQVREFFTGFSTDPTTVDQLVEANPAIFTGSEVRVEQPEMPLTHFAIAFKGSSWANPSSIPLMVIQSILGTWNRSVGVGNCSGSALARGISNGNLAESMIAFNTNYRDTGLFGICTIAQPDSLYDLSQLIMQEFRRLAFEVSETEVARARNQLKSALLLHIDGSTAVSENNGRQMLTYGRVMPFLELFARIDAVDRDTVMETAKDFIIDKDIALAAVGPLTNLPELSWFRSHTYSDDEFSSRTFLQDAQNN >ORGLA01G0235600.1 pep chromosome:AGI1.1:1:21441879:21446102:1 gene:ORGLA01G0235600 transcript:ORGLA01G0235600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVANGNVDTEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRVFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKCLEKSDNILESSEDENFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWSLLRIFPRELLHRIPAKTLDQYYSRDASH >ORGLA01G0235500.1 pep chromosome:AGI1.1:1:21436769:21439215:-1 gene:ORGLA01G0235500 transcript:ORGLA01G0235500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42690) TAIR;Acc:AT2G42690] MDKSQGVLLSSNVGAGSRPWPELLGSAHWDGLLDPLDLTLRRLILLCGDLCQVTYDSFNSDSHSRYCGSCRFSRATLLDRTQFPAAGDLSVAAYLYATSDATAFPGSMVYSMSREAWSKESNWIGYVAVSNDSAAAASGQRVIYVAWRGTIRSLEWVDVLKPDLVDHDDILPEGHPGRGRSRVMKGWYLIYSSTDERSPFSKYSARDQMLAAVRELVARYRNESLSVVCTGHSLGASLATLCAFDIVVNGVSKVGDGAHIPVTAVVFGSPQIGNPEFKKQFEEQPNLRALHVRNMPDLIPLYPSGLLGYANVGKTLQVDSKKSPYVKRDTSPGDYHNLQGILHTVAGWDGKDGEFKLQVKRSVALVNKSSGFLKDSNLVPESWWVERNKGMVLGQNGEWQLEGPAEENLPVPPVVTGKIIDDDVAAVATSSNAKEGKKTGKGSKLLSGLIDQLLCVPDTCKAGAA >ORGLA01G0235400.1 pep chromosome:AGI1.1:1:21413606:21414576:-1 gene:ORGLA01G0235400 transcript:ORGLA01G0235400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKPTKVRILGGLRYAPPDGLVVNNALLAALIRVVYTLYMATTVLLYIFGIVTALKEYELLVALSIIVVMQPFFILMWIATPFLRTVAIVKYAMGLPDESNNVNRIPTGRMSALA >ORGLA01G0235300.1 pep chromosome:AGI1.1:1:21399774:21401309:-1 gene:ORGLA01G0235300 transcript:ORGLA01G0235300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKPRVVVVGAGISGLAAAHRLCGAGGDRFEVAVVEAGDRVGGRILTSEFAGHRVEMGATWVQGVVGSPVYALARDAGALGEEGGGLPYERMDGFPDRVLTVAEGGEVVDADTVAGPIEELYRGMMEVARAGEAGGGGGVEEYLRRGLRAYQAARSAAGGGGGGKELEEVDEALLAMHINRERTDTSADDLGDLDLTAEGEYRDFPGEHVTIPGGYSRVVERLAAALPPGTVRLGLRLRRLKWGGTPVRLHFADGAPPLTADHVILTVSLGVLKASLGNKDTAGVGAAAIAFDPPLPPFKREAVARLGFGVVNKLFMEVEAVAPSEPEDVAGVQPAAAGFPFLHMAFRGHVSKIPWWMRGTESICPVHAGSTVALAWFAGREAAHLESLPDDDVIRGAHATLDSFLPAAPRWRVRRIKRSGWATDPLFLGSYSYVAVGSSGDDLDRMAEPLPRGPDAAADERPPSPRLLFAGEATHRTHYSTTHAAYLSGVREANRLLQHYRGXANHTT >ORGLA01G0235200.1 pep chromosome:AGI1.1:1:21394738:21395541:1 gene:ORGLA01G0235200 transcript:ORGLA01G0235200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAADATAWPPGWSLVRGYFSPATLFLLLNVVIGTIALTSRASHRRRQHHHDEHYKAQHHHDHHDEPQRCHDQYAPPPPAPLERTSSVMERLRSFGLYRFRSGDFPPEYNLSAAGANAICDESEKQQAQYTRSRSEPAARPAPPPVEKRGKAENAAAAGAARAKVAVKKSSSSEVRKLERAPAQAQRQVLQVQRAQPPPPRAPAPAPARAVMSAAREEVETAQVGLATASSVDARADDFINKFREQLQLQRLNSLLNYNEMLNRGT >ORGLA01G0235100.1 pep chromosome:AGI1.1:1:21387673:21393023:1 gene:ORGLA01G0235100 transcript:ORGLA01G0235100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRWGPQFEKATYKNRQRLYLSEQTDGTVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVEAQPNRQAQLAQMESRPDLVPPDSRPDLILRGHKDIAEFALAMCPAEPYVLSGGKDKSVVWWSIQDHISALGDSSKTESSPGASGSKGKTANDKDSPKVDPRGIFLGHDSTVEDVQFCPSSAQEFCSVGDDSCLILWDARSGTGPAVKVEKAHGGDVHCVDWNLHDVNYILTGSADNSVRMWDRRNLGSGGAGIPVHKFEGHKAAVLCVQWSPDKASVFGSSAEDGFLNVWDHEKVGNKKNPNAPAGLFFQHAGHRDKIVDFHWNSSDPWTIVSVSDDGESTGGGGTLQIWRMSDLIYRPEDEVLAELENFKTHLASCAPRS >ORGLA01G0235000.1 pep chromosome:AGI1.1:1:21383504:21384802:-1 gene:ORGLA01G0235000 transcript:ORGLA01G0235000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKGSSQMTMTNQRNLSDRRSQQPLSQVYVDVDSEHGTAERQEIGTAKRARWSHQMKMFLIELLTDHDVPGFRTQNAWSKEAWTNIVCRLNTKFGTSFTTNQVKQKEQDLKKDYRSVKDLLDQSGFGWDSDRMMVSAPQSVWDTFADRKNKDAIHWRDKSFPYFDDLAPLYDGRYAEGRTHHGMDHYARKTKNAPAHSTQEANAVDTYQSPSPNSNAPSESGLQFPFGEEVETANLDFSQHSPTPVHLTKVPPSSAQTPSEVPESRPGKKQKIKSVSPDDGFHERYLKLKKEEIDRFAAIEEKKLEDPYSINKCITVLEGLHGLQIGDILVAADIFKGKDNREVFLSFSSDALRLAWIRKEIAALE >ORGLA01G0234900.1 pep chromosome:AGI1.1:1:21365810:21374157:-1 gene:ORGLA01G0234900 transcript:ORGLA01G0234900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGGRAGGAWIGGCVLALAATLVVCVLVIRGAGGLKQYHAPAFARKILLSITSGHPQDNLNIVLHPSQLQTPRLQSLGLNVKPPAATSRRVNIQQELYAPSPTISHRGLAIPPLPTTSPPVFPPPIRSYPPLPANKPYPNRPAVSPASIIHPTNHGKAHGVPIAAHSKERHHHSMLVNNTHGNTHAGPVVAPPKGRHHHSLPVNNTRVKGPAYSPSNSPSIHRKHGIPVAAPPKQHSSNLPPSHHRPHKGSFPVISPTPHKADNASATKHGRSGLHHSPAPAPVGLPPSEGNAQGNPAYAPRHPHEYHSPSNSPEPGLPPVNPPDSHAFKKPKSLAPAPQSFPPPPPSSYCMALNCQDPLTNSLPGTTCLCVWPIKVELRLGIALYTFFALVSELAQDIASGVLMKQSQVRVMGANAATEDPEKTVVLIDLVPLGEKFDKATALLVFERFWHKQVNINSMHFGNYDVLYVTYQGLPPSPPTAPGMNNGLSNVNDPRLHPLAVDVGNHRETKSRGIIVIIVLSSVFAFILCSGAALVICFKIRNRNHLTEESPMPPKPAGPGSAVVGSRLGSRPISASPSFSSSIVTYKGTAKTFSLIEMERATQRFDNSRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEEHIRCLVYELVPNGSVESHLHGSDKGTAPLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAIGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDILRPPGQENLVAWACPFLTSRDGLETIIDPSLGNSILFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCDEGSEFNESRSFSQDLHIQDSGIISRASLDVDVEPVVSAELFNASAHYDTLDASGSFRRYSSSGPLRVGRTGHNRERGLSTGSSSEHCGTQRFRIDSE >ORGLA01G0234800.1 pep chromosome:AGI1.1:1:21361437:21364447:1 gene:ORGLA01G0234800 transcript:ORGLA01G0234800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Survival protein SurE-like phosphatase/nucleotidase [Source:Projected from Arabidopsis thaliana (AT1G72880) TAIR;Acc:AT1G72880] MAASSGDDAPRPNPLPSALVSNLQSVLAARRPPPPAAEEAGAEAPAPEAAESSGAAPVADEGPAKPAVLLTCAGGIRAPGLAALVDALVAGGRCDVHVCAPESDKPACGYSITIRETITATSVDFKGAKAFEISGTPVDCVSLALSGRLFSWSAPALVISGINAGANCGYEMFHSSAIAAAREALLYDVPSIAISLNWKKDESKDSDFKDAAEVCLPLIHAALEGVEKGTFLRGCLLNIGVPSSPTTNKGFKLTKQSIYRPAQSWEGVSTSRPTPATHFMGMHQSLGIQLAQLGKDASAAGAARRINAQRKIVEVESVASTGKAEAREVVKKLFRAEFTEKQHECLDEDIDLRALENGFISVTPLNIHGNVAPETGAPASDWLSVAVGLDKAKEDSVVTAEEQDAPAVAEEKEAPSAT >ORGLA01G0234700.1 pep chromosome:AGI1.1:1:21357483:21357776:-1 gene:ORGLA01G0234700 transcript:ORGLA01G0234700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAASVALACAVVVAAMVLPEAEAGQNCICECVKLCMRTRIPSIEAQCSGKCRETACVRSCEEACARKGFPALPGEGIGACEMEPLTPDEAHMLH >ORGLA01G0234600.1 pep chromosome:AGI1.1:1:21351503:21353028:1 gene:ORGLA01G0234600 transcript:ORGLA01G0234600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNKQKVRRGLWSPEEDEKLVKYISTHGHGCWSSVPRLAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEESLIIELHRVLGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKLISQAVASLHPSSADLYYNILDGAGQSIAAASLNAVENAAHGVTQSPPSSVHNSAAWASFSSHQPIFLPGHGVHGCGGGDLQYAAAVDGEFIRLCRAAEAYQLENGAAGIVGGQCKPSDHLLAPEGVVARSCLPAFVEQKGGGGGAFLADPAMGPVMDFMDAILGSSTTSAASASSVDSFSVNTAMQPHWIP >ORGLA01G0234500.1 pep chromosome:AGI1.1:1:21340106:21343750:1 gene:ORGLA01G0234500 transcript:ORGLA01G0234500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSQGSAAVAAAGVDLCVLDLVPVFAKEMIAGGVAGAFSKTAIAPLERLKILLQTRTNEFGSLGVLKSLKKLKQHDGILGFYKGNGASVLRIVPYAALHYMAYERYRCWILNNCPSLGTGPLVDLLAGSASGGTAVLCTYPLDLARTKLAFQVNSSDQISSGLKRTNFQPKYGGIKDVFRGVYSEGGVRALYRGVGPTLMGILPYAGLKFYIYEGLKAHVPEDYKNSVTLKLSCGAAAGLFGQTLTYPLDVVRRQMQVQSQQYHDKFGGPQIRGTFQGLMIIKQTQGWRQLFAGLSLNYIKVVPSVAIGFTAYDTMKSLLKIPPREKKMSGHGSN >ORGLA01G0234400.1 pep chromosome:AGI1.1:1:21335775:21336047:1 gene:ORGLA01G0234400 transcript:ORGLA01G0234400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGRAAMTEAAVPTPSMATCVKAFDDSSGPRERIRRWRRPLRLDSTVVAVLAAGFSGGVGGVTTTATRGDDSAMVAVLLNAGRYAAAAT >ORGLA01G0234300.1 pep chromosome:AGI1.1:1:21329688:21331789:-1 gene:ORGLA01G0234300 transcript:ORGLA01G0234300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWIRTLVGLRPAAEREKERGGGGGKGRKWSRLWRSSSSQRGGGNASASEVYSETSSSADALSSVVAAVVRAPPRDFRLIRQEWAAVRIQTAFRAFLARRALRALRGIVRLQALVRGRRVRKQLAVTLKCMQALVRVQARARDRRARISADGLDSQDMLDERGGRVDPVKEAEAGWCDSQGTADDVRSKIHMRHEGAIKRERALTYAQSHQRCSNHGGRPSSPAVSLKHHGNGATRSNHSWSYLEGWMATKPWESRLMEQTHTENSTNSRCSESVEEVSVGGPKLSDASSVKIRRNNVTTRVAAKPPSMISATSSDFVCDESSPSTSSVTPLSANNSLATERRSDCGQVGGPSYMSLTKSAKARLSGYGSHKPPLQRQRSGDLLHHNNRMAFSSIDVQSTAGSEVSVTSKRLNSLALKGRATRSLDKENERRPSSLL >ORGLA01G0234200.1 pep chromosome:AGI1.1:1:21324192:21328402:1 gene:ORGLA01G0234200 transcript:ORGLA01G0234200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein particle (TRAPP) component [Source:Projected from Arabidopsis thaliana (AT5G58030) TAIR;Acc:AT5G58030] MIGVGKAKQYANVLDKPLSRGRQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVRGVLENAGFPAVVTAHFVPIEGQQRPRTTILIKFAEEVLQREARFG >ORGLA01G0234100.1 pep chromosome:AGI1.1:1:21319050:21321163:-1 gene:ORGLA01G0234100 transcript:ORGLA01G0234100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:I1NR51] MGDNSAAAAAVAAPRGRFGRICVFCGSNAGNRAVFGDAALQLGQELVSRGIELVYGGGSVGLMGLIAQTVLDGGCGVLGVIPKALMPTEISGASVGEVKIVSDMHERKAEMARQSDAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNVDGYYDPLLALFDKGAAEGFIKADCRQIIVSAPTAHELLRKMEQYTRSHQEVAPRTSWEMSELGYGKTPEES >ORGLA01G0234000.1 pep chromosome:AGI1.1:1:21313407:21317499:1 gene:ORGLA01G0234000 transcript:ORGLA01G0234000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPTEFASYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIASAPPRAVGHGAGPSGLAPPALQNDRQSGVDEGRTSGWSSMDRRRAPPPIASVGTLAKQKAPVGNDASFSKEPMISASNFLGRSSGSSRRPAVSSSRDVMPTDTSEPSRTRATDASPGAFRRTSGPQKSSPVNSAEPKHSSSARHSSNVKNYESALKGIEGLNFDGDERVQY >ORGLA01G0233900.1 pep chromosome:AGI1.1:1:21305173:21309077:1 gene:ORGLA01G0233900 transcript:ORGLA01G0233900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF803) [Source:Projected from Arabidopsis thaliana (AT5G11960) TAIR;Acc:AT5G11960] MWESVALTLAGAAGNNVGKVLQKKGTHILPPLSFKLKVIRAYALNRLWISGFLMDMCGAALMLTALSQAPVSVVQPIAGCGLAILCVFSHFYLKESMNGLDWVAITLAGLGTIGVGVGGEEQKVDKIPLFNIPWLVLSIVILFVLLNTWLHIYKRQRREQELTGPEVIEEIIYGLESGILFGISSVISKTGFVMSEMGFPKIVVPAAISCSVGCSAVGFVYQTRGLKHGRAIVVSTCTSVASIVSGVVAGMIALDEHLPTAPTGRFFLLLGWFFIITGVILLVSSTRIIARLPRSVQKFLKSNVERTHSIRRPSSARGKDPIPSTTIHASTLHLLTSPSKEKA >ORGLA01G0233800.1 pep chromosome:AGI1.1:1:21301778:21303091:1 gene:ORGLA01G0233800 transcript:ORGLA01G0233800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NR48] MAAPNNNDAAAGASASATTSEPAPEDTSIEALARRVQEHMTLASNPTARRHKFWETQPVGQFRDAADSSLPDGAIEPPTPLSEVRADPYPLPAAFEWYTCDLDDDALLTDLYALLAHNYVEDDENMFRFNYSPAFLRWALRPPSFFRAWHIGVRARESKKLVAFISGVPARIRARDDVVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHQENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPEAPLTPGFRQMELRDVAAVTRLLRAYLAKFVVAPDFDEMDVEHWLLPREDVVDSYLVESPETHEVTDFCSFYTLPSSVLNNANYATLKAAYSYYNVSTKTPLQQLMNDALIVAKQKNYDVFNALDVMENESFLKELKFGPGDGQLHYYLYNYRIRNGIKPSELGLVLL >ORGLA01G0233700.1 pep chromosome:AGI1.1:1:21295201:21300567:1 gene:ORGLA01G0233700 transcript:ORGLA01G0233700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEEALRRGVLKHGPGKWRTIQKDPEFSPVLSSRSNIDLKDKWRNLSFSASGLGSRDKLKVPRIKGPSSSTSPSSQTPLLVLPPNKVAEASPSADPEKSSQDVKIPKYSSMVIEALCEIGDPNGSDVDAICHYIEQRHEVQANFRRLLTAKLRRLIAAKKIEKIDRSYRITESYAAKVSQANKSPSPKKDPAKPLKASQNLGSFAGTSPALEAAAAAAMKVADAEAKSHLANEHMTEAERIFKLAEETESLVTLATEIYERCSRGEILTIMQVAQSNFEFQSVSGNGSGTGSTVLA >ORGLA01G0233600.1 pep chromosome:AGI1.1:1:21273072:21274265:-1 gene:ORGLA01G0233600 transcript:ORGLA01G0233600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFGWAGGGQLMHHDDIYLPRSVGCGRPFELDDAFLGACFGAQLQCDGGVGGGDGGGCLQGTSGFGAVAGDPLGLLCSGDVFASVAEGAGGAHDDGLLDAALAFSRNQLGGAACDGSDGGAVSNGAMLSSYSGTTGGNISSGESNNYSGGGGGYDAEVVSPTSTMSAATQSLHPKRKLYDDHHHPAGIAAAAAAPPLAPCPRPTTGAVAAKRRASTSATSITFGHQPHHHHAGATTAGYEPDMEAMAQVKEMIYRAAAMRPVHLGTEAAADKPRRKNVRISSDPQTVAARLRRERVSDRLRVLQKLVPGGNKMDTASMLDEAASYLKFLKSQVQKLETLGTTTTTSKLPQQYYSGNINSSNNHHGFLGFAANNNTISAGYANSNAGNATKLLEHCG >ORGLA01G0233500.1 pep chromosome:AGI1.1:1:21262512:21265372:1 gene:ORGLA01G0233500 transcript:ORGLA01G0233500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCEVSASLFRKCTTASALDGEKKKQKLSEIQSGVEEAESLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNLKSELKRISAPNARQATREELLESGLADTLAASTDQRGRLMMTTERLNQSNDKIKESRRTILETEELGVSILQDLHQQRQSLLHAHTTLHGVDDNVGKSKKILAAMSKRMDRNKWIIGGIIAALVLAILLILYFKLAY >ORGLA01G0233400.1 pep chromosome:AGI1.1:1:21258256:21258773:1 gene:ORGLA01G0233400 transcript:ORGLA01G0233400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVRPGGRANGDEHRVARTSSAGGATPSRRFSSLARYGGGVLQDSARTGNLTRLRGGEGKPLKPMSGFAFGSSPLLNAFSPFCL >ORGLA01G0233300.1 pep chromosome:AGI1.1:1:21241933:21248729:-1 gene:ORGLA01G0233300 transcript:ORGLA01G0233300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARASAMGAAPPPHLRSHDLVVVVAVAAAALCLACRGASAGGGGGVDVLDRARRPEFAAWMAGVRWAIHERPELAFEEIETSRLVRAELDAMGVAYRHPVAGTGVVATVGTGRPPFVALRADMDALPMQEEVQWEHKSKVAMKMHACGHDAHTTMLLGAARILQERRHELQGTVVLLFQPGEEVGTGARRMVEAGAMDNVEAIFGFHVSVELPTGVVGSRPGPLLAGCGFFEAVITGKGGHAAHPHASVDPILAASTVVLALQGLVSREADPLEAQVVTVTRFLAGDALNVIPESITIGGTFRVFSNEGFLRLKRRIEEVIVAQSAVHRCAAAVDFHAGGRPLLPPTINSAALHAHFQAVAAETLGASAAVLGAMEPCMGSEDFAVFSEAVPASHFYFVGVRNEAEGLVHLAHSPHFRVDDAALPYGAALHASLAMRYLDERRREGGSHPHEEL >ORGLA01G0233200.1 pep chromosome:AGI1.1:1:21240919:21241110:1 gene:ORGLA01G0233200 transcript:ORGLA01G0233200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKMFRAAAVVVAAAVSCLAGVALAADGPAPSPTSGAAAVSSSVVAAVLCPAVALLFANLRY >ORGLA01G0233100.1 pep chromosome:AGI1.1:1:21237251:21238088:-1 gene:ORGLA01G0233100 transcript:ORGLA01G0233100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGHYQQRRMVASSKAIKVGPWGGTAGSPWDDGAHRGVRSIALTYGRFLESMRVEYDRNGRPVHGEKHGGGGDGRTSRTAEVKLDYPYEFLTGVGGRCGPVAHGGSTVVRSLTFRTSTGAVHGPFGDASGDGVPFEYPMEGGVVVGFSGRSGWWHLDAVGLHVAALRPETLCDVVQERGAMAYRSFVYGNGGSSSGAHQLQQKRKPFEWCYK >ORGLA01G0233000.1 pep chromosome:AGI1.1:1:21232478:21236412:-1 gene:ORGLA01G0233000 transcript:ORGLA01G0233000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGAGEGQGAAVDEATGFEVGIVVPKLYRGAAAGCGEVENCVARLVRELEDVGLIVERVRGVPAEFIKLSAPMGTLGRVAAEMNMKKLTYIGMELQFEWDQVGAFVRQPDGSLFSWRERFACFRHLIYSIVNKTDSDITLSFDDKEFHWTQNESLLTRLEDEGIVKLVFPLHDEIKRKQLLRSWALKWFDFTWQPIDEIYSYFGTKIAIYFSFLGMYTRWLFFPAVFGLATQLIDFGSLQWLVLPAFFFFVISWAVFFLQFWKRKNSAVLARWGINYSFSEYKTMGNELDPLSFSMADDNVQQRKFGAPKEKSIVQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYAITKTEALRYVLTAVYLAAIQYYTRIGGKVSVTLIKYENNQGEQSSADSLVYKVFGLYFMQSYIGLFYHASLHRNIMALRQVLIKRLIVSQVLENLIENSIPYLNYSYKKYRAVHKKKHEKESPAGKSVRLSTRVEKEYLKPSYTASIGEELEDGLFDDFLELTLQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPAPRDAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGKWKIEPGLAAILIMEHALFLIKFGFSHFVPEEPAWVKANRGRYVAQAQNVCSKELLRSIAKLDAKLE >ORGLA01G0232900.1 pep chromosome:AGI1.1:1:21231545:21231802:1 gene:ORGLA01G0232900 transcript:ORGLA01G0232900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGEKAAGDGQPKPPSRSARFDRLLSGLGGGPLVDIEPDKVKGELRRWARAVATMVRQLSFGAWPEKGGGSSEQQEAGDGGSPG >ORGLA01G0232800.1 pep chromosome:AGI1.1:1:21229362:21230599:1 gene:ORGLA01G0232800 transcript:ORGLA01G0232800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPDSLVWELVKKNNSFLVKQFGNGNAKVQFSKEPNNLYNVHSYKHSGLANKKTVTVQPVSGKETAVVLSTTKTKKQNKPASLYHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSAVYRSLQVAKSGVKKKNRQAN >ORGLA01G0232700.1 pep chromosome:AGI1.1:1:21220724:21226237:1 gene:ORGLA01G0232700 transcript:ORGLA01G0232700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSKAKLSGVLHKGFKPDKCKTALRMALARIKLLRNRKEVQVRQMRREVAQLLEADQDQTARIRVEHVIREEKFMQAYDLIEVYCELMVARMSIIDSQKTCPIDLKEAIASVIFASMRCSDVTELADVRKHFTSKYGKEFAAAALEVRPDSGVSRLVIEKLSAGAPDTQTKIKTLTSIAEEHNIKWEPKAFEEKLPKQNDDLLHVTSTYPGGNIPTRGSSASNSGMPTSQPAAPSHSYMESSNSHMSTAHSYSSAEVPDNRAPYVTANSNAFPQENRRGSDAPMSPRSQHGPNSFSHDTAGGSNVSRPYSQYGATVPDTDTASRREEFNHSRERKSSVSGSNWNIEFKDATSAAQAAAESAEMASIAARAAAQLASRGNLSAEQNTGAFESPAYTHETTPRKQQAEHLMKDGQRSSNEQSSGAYDPRLISSNDARKDGGRTETSRVGSQNMPTNSLGQSPPYSPHIHSDEYDMPTDPHRSHSPDPQYSDDSSEKGSNFGRPEDHQFNLPGERLSEAQLGGHNIKDTESKHATFDQENTDNYYSNYSSSHGTFTHGSSTIWDSQNDKAGPDSSAVVFDQYDSDVGEENLLDPFSSKHTEEPTVEDHKGFSSADWGQQHRNESPVNHSSSTLFSGTEANRKDVSSPHSYDNVPPTFDSDGVSSDEEMTTGMHARSLRSHSRGSDFSESRENIISGKVVHDVNENIEDHVSMSRKNYLNSSGSNAFNKERYSESSPRFDYSWVDGELDRTKIRDLEEERVPHKLEGASSLTTGENKNQLFSLGRQTSTSSADYDEGDPGLNFGRLTPGLRNKLRQPPPYIKDSGNTSLHKVPTAIEKSVHSEEDTSFGNTRNTSTGMARSSFGADYNSELHDQNQSVGASVEARSTMRRNYYGSEGDRGKLSEQSYNAPSSVMNKSSGGVNSSQDLYHEKPGAGARRGTTTKTAKSYFDSDDSEDELAQRKEVQTKWSREQIQSRRTQMTSDTKKETGVRVGVQHADETEAKSPAGSFSRFTEQRRDSPVYSRVPVPRTSPKPVRVEPPLGKGKSQEADLNTVSVTGKEENTESPAETPKESTSKTAPSHVHPKLPTDYDSFAAHFMSLRTNRR >ORGLA01G0232600.1 pep chromosome:AGI1.1:1:21206761:21206970:-1 gene:ORGLA01G0232600 transcript:ORGLA01G0232600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WVAGGVVCGGGGGENGGAEDGAREERRRDGGGEVARSRLAEDGGQRWRAQPLDAPLRRRLSRSLSLSPL >ORGLA01G0232500.1 pep chromosome:AGI1.1:1:21200439:21202294:1 gene:ORGLA01G0232500 transcript:ORGLA01G0232500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAIRPNRSRLTWLRENKNTHPISVTNAVSRVLAAHPGPFHRVDLSCSIMEAHLPELDRWLQLLAAKAVEELVFVNRPWPINLRLPATLFSCTSLRRLYIGVWRFPNTAGLPQGSTAFPHLLELGLCCVLMEDRDLECIISRSPVLEILTVVLSQNVVRLRFVSHSLRCVQLGFSCVADLAAVDAPCLDRLLLSDIWATEGRKFSTRIKIGLAQGLRSLGYLEPGRHLLQIGTTTIKARTRATPSTMVPSVRILALKVYFGTLNEAQMLCSFLQCFPNVETLHLKSDKADELNNATGEHKAMFWKGAGEIECIKSHVKKMVFDEFQGKQSELAFIKFVMERAQVLQKIDIISTNASCTTLEKNKLVLKALDSVKPASKNCQVVYSTHALLEEGGSLIYLVVILLSFDHRGLKL >ORGLA01G0232400.1 pep chromosome:AGI1.1:1:21191960:21197075:1 gene:ORGLA01G0232400 transcript:ORGLA01G0232400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEDGWRRLAAGFEKLLRILDGEEMLSFSGAEYSELLQYGTLFFSTSFCFFLFSLGFNLTHIDMGRLPRRRITYKLCYESPAGHAAEMYDRWDKTIRHHIVYQVLPSLQDMQGEPLLKNFVHHWENHKVLMKWLKSVCMYLRLAFTNQRSLPPIMDIALNLFKNVVIKSIQEEEERIQNYLKPWTEARLSKTVLLELVSRQAEWLLDDDKSGFRGILAAENDLLDDGKGNELNLMFRVFSRISGGLLSMAIAFQQHIRDILQQVVGAAHMEKGKEPSNSIVEVFVLRIMKVLQKYEAHVINNFDNHILFRKALDENFRMICNRNIADFSDGKFFIIFLERLIEQRTCGKLDDDSVEDTLAKEQALGRLSIGCNIEVETSFITKLKLVLDVSILEDMLEDHSISKELQKFFKDYMSMNPESNTLVDMDTMVLKQGHFPSQQKQHLSLPPDMLNCAEAFEKFYQEFHGQATGNRRGRTLTWIYSLGNCNIVGNFEGKSVEMIVSPMQAALLLLFNEDDRLSYNDIVAKLEIMDNDAKVMLYSLSCGKYSILKKEPSNKTIAPDDIFEFNNNFSVKTGKIKVPLHHVDRGDFRASETMEDVRRYRKQNVDCAIVRIMKDRKTLDHEKLVEECKKLCDPYFKVDDDLIQMRIDHLVAENYLARKEGCTYEYLP >ORGLA01G0232300.1 pep chromosome:AGI1.1:1:21187238:21188930:1 gene:ORGLA01G0232300 transcript:ORGLA01G0232300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQLEELISCLPPPPATTITHRKMLARRKTMEMAVVSDPAPAAVTNLNCAGATRSSPVAANIRDTPVMRDVLSHLPVKMVRELGPLFASEFWLSTVMEIDDEQLLPQNGEQVDLIERVGSVLSTQDGPFPTVRLTNLCFQPFGVQELHCIDDDESAFIILMSWFNLFNSKKVTKFIFLNRAQPTAKLLFVPENILKCWRLETLYLCQIRFKDPSGTIDFHLPNLAELGIVNCEFHHDTLMKMVAQCPKLESLKRMVLWNYSARDSVRIVAPKLCRLILHNVGTSEPQPHDEHPDPGDHRTMVLSMNSEIDMDLEVLGYIDLNSHVPLLSEGQSCKSVRTLGVQLGFGHDNEFHTMRRLLKYFPSVENLYIQSTKLDNVTIDLTEDRIIDLFEPIEDRKIMMVVYEAFKGSDHELCLASVLLQRLPSLQKMTIFYDREISDFVINKSHSTLQAAMLGATVELNFCACPESSWTLQEALNADHLASKP >ORGLA01G0232200.1 pep chromosome:AGI1.1:1:21182479:21184668:-1 gene:ORGLA01G0232200 transcript:ORGLA01G0232200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcriptional coactivator p15 (PC4) family protein (KELP) [Source:Projected from Arabidopsis thaliana (AT4G10920) TAIR;Acc:AT4G10920] MDEETQKKVESTVLEILRGSDMESLTEFKVRTAAADRLGIDLSIPDRKRFVRRVVEGYLESLSQEDEQEQQQEQAGGAGEEGKDEQAEEEEEEEEEEEEEERGGGTKREYDDEGDLILCRLSARRRVTLQEFKGKTLLSIREYYFKDGKELPAKGISLTVEQWEAFRDSVPAIEDAIKKLGESSD >ORGLA01G0232100.1 pep chromosome:AGI1.1:1:21173299:21174720:1 gene:ORGLA01G0232100 transcript:ORGLA01G0232100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSETDAALFAAVLGHDAAHHLATTPPHLDAPEGSPSSAELQARLHDLVERQGGAWTYGIFWQESRGAGAASGRAARAVLGWGDGHCRDGAGHGEVGAAERSVARKRVLLRLHALYGGGDEDGADYALRLDRVTGAEMYFLASMYFSFPEGSGGPGRALASGRHAWADVDPHPSGSGSAPGWYVRSSLAQSAGLRTVVFLPCKGGVLELGSVVAIRETPEVLRAIQSAMRAVPAPPEDFMRIFGKDLSPGRPSQPMGCDAPWTPRLVVQTTPVRPAKKEVVKAKPAEPPKSLDFSKANVQEQAGGEERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPKISKMDKASLLSDAIAYIQELEARLRGDAPVPARADGPAVEVKAMQDEVVLRVTTPLDEHPISRVFHAMRESQISVVASDVAVSDDAVTHTLMVRSAGPERLTAETVLAAMSRGVSVTTPSP >ORGLA01G0232000.1 pep chromosome:AGI1.1:1:21161017:21161451:-1 gene:ORGLA01G0232000 transcript:ORGLA01G0232000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLRHPRAVADDAAADHPLVSSLKALRRLVFSPAAVLRPFLDAVRSEDAGATVTSASLAALHEVMALMGLSLTSAALREWACRQLLVRGRGRGRRRGGRADADAAVAARLPARTRCHRPREPARLHCRQHVHPCRPPGRCQG >ORGLA01G0231900.1 pep chromosome:AGI1.1:1:21158973:21159476:-1 gene:ORGLA01G0231900 transcript:ORGLA01G0231900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAGCSGKEGGGDGSDEYERRRRLGGEPQRLARRPAFPPLSFRSGGLMLRLDEEKAQEHGAWSRRASSASWSEPRWLVRRPAFLTWESSRKEASGSNRPGEMGWQKREGGDPGGWRRARPHLGDAGAEVGEEAAHRGVASEAGRFWGISPAPTRRRHRGKYVISQLP >ORGLA01G0231800.1 pep chromosome:AGI1.1:1:21154535:21156494:1 gene:ORGLA01G0231800 transcript:ORGLA01G0231800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMGKLRVFVVQEPVVAASCLIAGFGLFLPAVVRPILDSFETAKQAPPTNSQ >ORGLA01G0231700.1 pep chromosome:AGI1.1:1:21151614:21154278:-1 gene:ORGLA01G0231700 transcript:ORGLA01G0231700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active7 [Source:Projected from Arabidopsis thaliana (AT5G24314) TAIR;Acc:AT5G24314] MAMAMAASLAAHHHLAGGGLLPTPRASGRNGRSGITISMRAQRKQSGDSGSGKGDGGDGRVSGRRRVWRRRKLTKEDDMLRYKLERVPFLEEKVRKVRENGKIICLDINQLMLSQENRFAFTIEVAEEANSYLEKNRHEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGPYFIKEEGH >ORGLA01G0231600.1 pep chromosome:AGI1.1:1:21145527:21151055:1 gene:ORGLA01G0231600 transcript:ORGLA01G0231600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSADHWAVSSCFVILLLHPYQWRPGRSARDGQTIVMKNDIAATPKLIKGHSRKILTDIQDYDYGGANSKHDPRRRPGIGGRSR >ORGLA01G0231500.1 pep chromosome:AGI1.1:1:21140858:21141716:-1 gene:ORGLA01G0231500 transcript:ORGLA01G0231500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQERASYHAGETKARAEEKTGRIMGTAQEKAREAKDTASDAAGRAMGRGHGAKEATKEKAYETKDATKEKAYEAKDAASDATGRAMDKGRGAAGATRDKAYDAKDRAADTAQSAADRARDGAGQTGSYIGQTAEAAKQKAAGAAQYAKETAIAGKDKTGAVLQQAGEQVKSVAVGAKDAVMYTLGMSGDNKNNAAAGKDTSTYKPGTGSDYQ >ORGLA01G0231400.1 pep chromosome:AGI1.1:1:21139490:21140164:1 gene:ORGLA01G0231400 transcript:ORGLA01G0231400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSVVLRVLVAVAVVAAAGAPRLAVADSPPLQDICVADLRAATAVDGFPCKPTASVVSDDFFCDAIVQAPSTSNPFGVNSTRATVSAFPGLNTLGLSITRTDLAPGGLNPPHSHPRASELVLVLSGEVMVGFTTAANRLFSKVVREKELFVVPRGLQHFQLNVGAGNASFVAMFDSQSPGLVTPTFALFATQPAMPMEVLAKTFLMDEDEVGAIKSKFAGF >ORGLA01G0231300.1 pep chromosome:AGI1.1:1:21131044:21131355:-1 gene:ORGLA01G0231300 transcript:ORGLA01G0231300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETFRRSGSSGMVWEDKLQQSGELGGKAEAPAPAARAAAQRSGSSGHGGYKAGHVQPALDPPSPRVAACGFCNLFGKDKHHQARPRGGASAKSRRR >ORGLA01G0231200.1 pep chromosome:AGI1.1:1:21124935:21129249:1 gene:ORGLA01G0231200 transcript:ORGLA01G0231200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloride channel E [Source:Projected from Arabidopsis thaliana (AT4G35440) TAIR;Acc:AT4G35440] MAPPSVAPCPARVLLVSTPSPPSLSRRRPAGRRCGGGDGGARLRTIRCGAATEEEDGDRRGERVEAAWEEEVAAPGRDLVTLAACLVGLLSGVSVVLFNLSVHEIRDLFWDGIPLRGASWLREESIGEIWQRVILVPVSGGIIVGVLNTLRSSIATNSNDTMSKIKGAFRPFLKAMAASFTLGTGNSLGPEGPSVEIGSSIAKGFGNVFKLEGGKKLSLVAAGSAAGIASGFNAAVAGCFFAVESVLWPTSVDSSSLSNSTPMVILSAVIASVVSEIGLGSDPAFTVPEYDFRSPTELPLYLLLGIFCGLVSTTLSRCTALSMEIVESLQTATGLPKAASPALGGLIVGLLALVYPEVLYWGFENVDILLESRPFTSGLSAAVLVQLIGVKVLATSLCRAFGLVGGYYAPSLFIGAATGMAYGKVMRFTFTGPDSLFQIPFLDVASPQAYGLVGMAATLAGVCKVPLTSVLLLFELTQDYRIVLPLLGAVGVSSWIASPQRFSRSNRSKPDSSEVKSSNFKQEKSVPSQTQGASVDTDKPITDLCKLESSLCVYDAKHENFQENLTVAEAMKTKYISVSKTTPVVEALNLMLVEKQPFVMIIESNRSLIGLVTLKDIQDFCRTAKTTRVQTEMMDKNLASISSSGYTQPLCTLFYSSFLNKRSSAAPSFICFLCCXLEHIKITYSLVCXPLGIYIPWPVSISEVSVVHACFKDFQRKIQLVSYLFSEVQSXFRTCXLYLTGIYFCRLVVLSVCYSFLVMLQEPVQTYVCGAVKCKMWPVTPQTSLTTVEKIMDSYGVDQLPVVSEHVDRQDRGLLVGFVDKEGIAIARRAVATKDLLSFTSEIKGDR >ORGLA01G0231100.1 pep chromosome:AGI1.1:1:21121543:21121811:-1 gene:ORGLA01G0231100 transcript:ORGLA01G0231100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAERRHDRTRGSSLQFMRLVLICLHVVGFNCSLXTISAAGLCAAAEAGGARQRPRRGGGSGGLNA >ORGLA01G0231000.1 pep chromosome:AGI1.1:1:21115787:21117880:-1 gene:ORGLA01G0231000 transcript:ORGLA01G0231000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRGPGWLSTAPSQIQPSLIPDSPIPTAPSQRRGGVVAREMTGGESEEGEADGNPPSVTLALQSPFTVEPCVVAFPRRCHHIKAPHAWSSFPEAGRNGRSLAKAPSRSGQLPQQQRVHGLLHCSVRGSGLFCQRGHCLIHHRRGFFPFPKCTTYLPLRKFFFSNEIDRIPMAVATRLMLALMYHVVMGDDLDVRTGKPSLDMFLAEMRRFEVLPSAVKNCWNVTSLLLLARSLLLAPVYCHRAAASSPEPLSSPSAAGAEVHLTVXFLTECLLSLPINHTIPSECXSIQLISWTGNISPIVGNQVSFVQITQVIKLDSPFHFRSXFSCFLGYISCHHILHLQVGTKXWPTTFLITAMCPFASRICINPRXFSPSKSLSRYFPXEXKIPNPYSPIYSEHXDHFIEKDIEVIXKLLYVRSAASLXXICVFLNISSLFGFSQSGTLLLFXVDIVATGDFGKILSCFTMAFHCLAHGI >ORGLA01G0230900.1 pep chromosome:AGI1.1:1:21110900:21112180:-1 gene:ORGLA01G0230900 transcript:ORGLA01G0230900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALEMCAGVGVRGHELKHGRQRHEEVGSEVAGDGVASLAEMDLYGDVLESVVERVPAADLAASARVSREWLRAVRAALRRRPRRLPWLVVHLHGRRRRTAAYDPHSGAWVTVPAARHDTPSHVRLVRGAGGDRVCALSLSGLAVSGDPLGKDVCVALKAPGVWRVDPVFAAVGDRVVALGGACQLALGEGEDASVVEVHESGSWTACGPMPAELRESAAATWLSVAATDQRVYLTDRSTGWASWFDPAKQQWGPTCRLRPDATVSTWGLAPGRGGAERLVLFGAKRCGRAEQAKSRVVIQAWEVDGDGLALSRGAAHDTMPGEMSERLFPRDEDGDEEDEEEMSPSIGVCGNAAGGYVYNAADPAIGAVLYELRDGVGSALERWEWVPCAPSVEAEPLGRFILACSPVGLDELARGQPSACTAAQ >ORGLA01G0230800.1 pep chromosome:AGI1.1:1:21099962:21102526:1 gene:ORGLA01G0230800 transcript:ORGLA01G0230800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASPALRLRPRPRTAAPSPPRCRLSSSAYSYSKFARSLPIQRVNGGRMQHLDALKGHALRRYGNHDGRFHIKRITSFAAMDKQESITSPTTDALPVEETDNSTEDSPASGSSSYFTERGNGKSGFISFQGSSYQMKSVESVPHPGKEASRLVWFVGPTILVAFLVLPSLYLRRVLSAVFEDSLLTDFLILFFTEALFYGGVAIFVLLIDKVWRPLQQVAPKSYIWSKSRFFRISSVTTMVLSLMIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKIYRLHQLNRAAQLVTALTFSVRGTEATNQTLAIMNSLGALLTVLQILGVICVWSLSSFLMRFLPSSDIPDP >ORGLA01G0230700.1 pep chromosome:AGI1.1:1:21096959:21098509:1 gene:ORGLA01G0230700 transcript:ORGLA01G0230700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrate transporter2.5 [Source:Projected from Arabidopsis thaliana (AT1G12940) TAIR;Acc:AT1G12940] MEAKPAAMEVEGVEAAGGKPRFRMPVDSDLKATEFWLFSFARPHMASFHMAWFSFFCCFVSTFAAPPLLPLIRDTLGLTATDIGNAGIASVSGAVFARLAMGTACDLVGPRLASASLILLTTPAVYCSSIIQSPSGYLLVRFFTGISLASFVSAQFWMSSMFSAPKVGLANGVAGGWGNLGGGAVQLLMPLVYEAIHKIGSTPFTAWRIAFFIPGLMQTFSAIAVLAFGQDMPGGNYGKLHKTGDMHKDSFGNVLRHALTNYRGWILALTYGYSFGVELTIDNVVHQYFYDRFDVNLQTAGLIAASFGMANIISRPGGGLLSDWLSSRYGMRGRLWGLWTVQTIGGVLCVVLGIVDFSFAASVAVMVLFSFFVQAACGLTFGIVPFVSRRSLGLISGMTGGGGNVGAVLTQYIFFHGTKYKTETGIKYMGLMIIACTLPIMLIYFPQWGGMLVGPRKGATAEEYYSREWSDHEREKGFNAASVRFAENSVREGGRSSANGGQPRHTVPVDASPAGV >ORGLA01G0230600.1 pep chromosome:AGI1.1:1:21092007:21092746:-1 gene:ORGLA01G0230600 transcript:ORGLA01G0230600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHLAALILAAVVLASTSSGVVDARPVHTGPYHVVIRTAAKPSRRLIGLGGNAAICQEVHYKALCGTLTTLPGVMTPQQLLDAALRVAESKAMMAEKRLADVMKSRAVKAEGTSMSSTLDTCKGAYSSLADALQKARDTIKSGGSHDDLMTELSSASTFSTDCGEAFDEFPDLTSPIPGAQRHVNRLVSNCLDLAATIKEN >ORGLA01G0230500.1 pep chromosome:AGI1.1:1:21088007:21088619:1 gene:ORGLA01G0230500 transcript:ORGLA01G0230500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VITLSLPSSSLSLTFLLSAGRPTGGRRSLGRGGRGGGPTAGDAAVGDEVAAATREQAAAPGSDVRGEDGRQLEETGGARRGRWPTDARRHRRDHWTLTLTWKRSPRSPAWTPATTRSRGVLVEAVNDGGAGAVGGGGAPVASFCGGC >ORGLA01G0230400.1 pep chromosome:AGI1.1:1:21083450:21086786:1 gene:ORGLA01G0230400 transcript:ORGLA01G0230400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKEGDSEAHSPVVYDDAGVTYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQIEKHSRSRIELMVKARSQFKERSTATNVEIEVPVPSDATNPNIRTSMGSAAYAPERDAMVWKVKSFPGGKDYMCRAEFSLPSITAEEAAPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >ORGLA01G0230300.1 pep chromosome:AGI1.1:1:21075141:21079109:1 gene:ORGLA01G0230300 transcript:ORGLA01G0230300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVANGASGDSSKAAFAEIYSRLKEEMLEDPAFEFTDESLQWIDRMLDYNVLGGKCNRGISVIDSFKMLKGTDVLNKNETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNLTVHRRIVQYKTAYYSFYLPVACALLLSGENLDNFGDVKNILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERADENQKHILFENYGKPDPECVAKVKDLYKELNLEAVFHEYERESYNKLIADIEAHPNKAVQNVLKSFLHKIYKRQK >ORGLA01G0230200.1 pep chromosome:AGI1.1:1:21069461:21071042:1 gene:ORGLA01G0230200 transcript:ORGLA01G0230200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSSSATPGTGRVSAFTMRAVARMSRARWFIFLRRVYQYQNGPRSDLGSNPFNSPGWLALELGVIMAQMVVTTAVVATSPKERPAWPLRVWVAAYNVGNVLSLPLLYWRHRHSSSGARGGTLSDDPEMHGANDPLRNRSYLMNKARAFLELFFAMWFVMGNVWVFDARLGSFHRAPRLYALCIGLLAWNAVVYSLPFLLFLLLCCFVPAVGYALGYNMNSASVGRGASDEQLAALPQWRFKEPADAPRDRDHDDQETRNCHCFYILTGIKWQECCICLAQYKEKEEVRQLPCTHMFHLKCVDRWLRIISSCPLCKQELS >ORGLA01G0230100.1 pep chromosome:AGI1.1:1:21062142:21064554:-1 gene:ORGLA01G0230100 transcript:ORGLA01G0230100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRGVQVDLVYAGVCLSWCPTTWSGPQRDRSVLRGLDDLATARSLNGIRVADEILRLVPETAVFRTMLRCVKHWAKARGVYSNVAGFLGGIGWAILVARMCQLYPNTSPACCSRASSASLRGGSGPARLTNKSLSLRNKSGSVASSPQARVCPLKKTVQPGKLALKERWYGRAANLFSLKWQAKDDLIDVDRSRRQGKLRRREKYIKEMGTISVKAELKTNYPKLYGFDHCLTGNIL >ORGLA01G0230000.1 pep chromosome:AGI1.1:1:21049463:21050592:-1 gene:ORGLA01G0230000 transcript:ORGLA01G0230000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHACSAAGVQQKLRKGLWSPEEDEKLYNHIYRYGVGCWSSVPKLAGRLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDAIVGLHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPSTHQPISTAAAAAAAALDTSPQDQKPPATADGFALKQQQQVFDPFPVIDSFGSGFDATGMPLYGHLGGKDAAGFVDYSSVLDVSENLGYGESSSNSSNWNCGVGAPEVNNALESEPLHWATESKVEPFVGYGEGDAMEHKFGLPCHGQQEQGMTHFDFDVSRSMVVGDFNFEYFR >ORGLA01G0229900.1 pep chromosome:AGI1.1:1:21036813:21041438:1 gene:ORGLA01G0229900 transcript:ORGLA01G0229900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSAYYDVLGVSTDASAAEIKKAYYLKAKLVHPDKNPDNPDAERRFKELGEAYQILSDPVRKDSYDKHGKEGLPQDNMIDPTAVFGMLFGSDYFEDYVGQFALASVASVEIEEESDNTEARARIQDKIKELQTEREQKLVQSLKDRLQPYVDGMQDEFGDWAGAEAQRLSQAAFGEAMLHTIGYIYARQAARELGKSKMYMGVPFIAEWVRDKGHHVKSQVNAAAGAISLIQLQEGIKKIEGDDKEGQLMKSIEEKKDAMLNSLWKINVVDIESTLSRVCQAVLRENTVSKDVLKVRARGLKKLGTIFQPRNILRYFRAFDALECTLLLFSRNLPEIRPQRGAPGAYVPFSGHARRPYEGTRGLPAGLQIPPVASCFLVQIRVRFRFIVIQFPISSIARITHGSKRVTELETEEEATRRHQPMAEHATGVYGHPYPRVDQYGNPVPPVDQYGNPVPDEPAPRDTAAGYVAPPDPAVSTGDYGLAGAEAPHPHESAVMSGAAAAAVAPGGEAYTRDGGGVVPPAGEKTFAYEGTVSAAGVTGASGQLQPTTREEGHTTLGETLRRSGKSSSSSSSSSEDDGQGGRRKKKSIKEKIKEKLPGSHKQEEQKQAGHTAPAAGTGTGTGTGTHAAGKHEKKGIVEKIKEKLPGHGHH >ORGLA01G0229800.1 pep chromosome:AGI1.1:1:21027511:21036156:1 gene:ORGLA01G0229800 transcript:ORGLA01G0229800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49400) TAIR;Acc:AT3G49400] MASHYQAATLIASPSYPNAIAWSTENLVAVASGHLITILNPSALEGPRELVVLRPSDPFPIGVVNREVNFSCDKLKVTLDIDRSCLLAVCTVDGHVKLYRSPFCEFCDEWVEVADISRLLFKFYKGIEFGENDGPSSLPQEKENTEQNQQVMCIGKLQEPVLSMGTERRKRKPARFEGFVYHEDNGGVDAPKDADFLLDPISNLKKKTLKKRSVDAPKDVTRPGLDYAVRNGQGNTQNIQTPSYCNGEDKSLPLITAKQYSCREALLSSLVVAWSPVLPSPDRSSYFTGNWCILAVGCKSGSVSFWKIHKPEYYTIDIGMVTRDPMLIGVVQAHLSWVTAISWELFSLGSSKPLLLLATGCSDGSSKIWLGDIEGLNQCTCAKEVPLTLVAEVTTDSLAPVSSISLSAPAQHQDSVNLAIGRASGSLEAWSWNISGNKIQKIHACDAHDQVVTGLSWGFHGHCLYSCSQDNSAHCWMFNEKHLEEIPLHTDGPELKESVDLTEVSDRCYGLALAPGELMIAVVRSLDSNLLNQMYQARTQKAVVEFIWIGGQFLGIPLDNSVILSLQSAALSETNFLWWGSNIFWSLKKYENCETVLVLWDLIAALQGFKKSAPTFLETLMHKWVSEKGNDVMADLWNNLLVRSERELQERLVAFTFAAVLNRTAYLLKGAPAENSWFPVGVAQMDSWASMNDGEVRDELKFLRTRINDLGDRINSVCEYSVEEYCTYCKAPVPFESADVAMCSGSNPATPPAEAHKLSRCTASMRLCPVLQPTWHCACCGRTVDKLLPEIFFTMPTSFWDVTHGNESLDLSAPAVPFCPFCGILLQRIKPEFLLSVSPV >ORGLA01G0229700.1 pep chromosome:AGI1.1:1:21021546:21025784:1 gene:ORGLA01G0229700 transcript:ORGLA01G0229700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TYVIVFDGLPASPSGLLATVVTSFQLLYVLSPIQVIVVQIDESFVGVIKQLPGVLAVIPDVLHKVHTTRSWDFLELERNGAATGAWKDAAKYGVDAIIGNVDTGVWPESASFKDDGYSVPSRWRGKCITGNDTTFKCNNKLIGTGFFNLGFLASGLLQGKPPSQAAELYTPRDYIGHGTHTLSTAGGGFVPDASVFGHGKGTAKGGSPLARLAAYKACYAEGCSSSDILAAMVTAVEDGVNVLSLSVGGPADDYLSDPIAIGAFYAVQKGVTVVCSASNSGPQPGSVTNVAPWILTVGASTMDRDFPAYVTFGGVTSSMTIKGQSLSNSTLPQGQRYAMINAKNANAANVPSENSTLCFPGSLDSDKVRGKIVVCTRGVNARVEKGLVVKQAGGVGMVLCNGAGNGEDVIADPHLIAAAHVSYSQCINLFNYLGSTDNPVGYITASDARLGVKPAPVMAAFSSRGPNPITPQILKPDITAPGVSVIAAYSEAVSPTELSFDDRRVPYNIMSGTSMSCPHVSGIVGLIKTKYPDWTPAMIKSAIMTTAITGDNDSGKIRDETGAAATPFAYGSGHVRSVQALDPGLVYDTTSADYADFLCALRPTQNPLPLPLPVFGDDGKPRACSQGAQYGRPEDLNYPSIAVPCLSGSATVRRRVKNVGAAPCRYAVSVTEALAGVKVTVYPPELSFESYGEEREFTVRLEVQDAAAAANYVFGSIEWSEESESDPDRKHRVRSPIVAKTTCG >ORGLA01G0229600.1 pep chromosome:AGI1.1:1:21007767:21010651:-1 gene:ORGLA01G0229600 transcript:ORGLA01G0229600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIINGPVLPRYAAPATGALTSDAKISGQLLRRVHLRRRACGLQGDHYRAARRFFGFPSERHARSGWVWPVCCSYGSSSDGDGAAAADYDASGEEFVNSSVMEAVELRSVSDGFVIKMRDGKNLRCVQNNPRVLRLRDSAPHHAIVLKMEDGSDLLLPIIVMETPSIMLLAALRNIRIPRPTIYNVVKEMTERMGYAVRLVRITEMVHDAYYSRLYLAKIGNEEETISLDLKPSDAINIAFRCKVPIQVNRRIAYNNGLKVVQPTPSESYVSSDQFQYTRLDRPDDQPCFEAQEFDLVRNMLVAAVEERYKDAAQYRDQLFMFRAKKKNMI >ORGLA01G0229500.1 pep chromosome:AGI1.1:1:21002084:21006820:-1 gene:ORGLA01G0229500 transcript:ORGLA01G0229500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKQKLLFPSPPKQKHQNIPPKSKEKQSISNEPSLSILVPLLSPRIPPPETLVHRRAARLGDSITLRGIEVGPRVGKAKMAGSIDRPSGAGFEGIVHDDDKKEWKSDEDNSEGDKKAKAVSFKNRAISAGNKFRRSLRRKRRRRVGDHVASIEDIRDVKELEAVQRFHRCLHDEGLLPERHDDYHVMLRFLKARKFDIDKAKHMWSEMLRWRKEFGADNIEEFDYSELDDILECYPQFYHGVDKEGRPVYIELIGKVDPNKLVQVTTIDRYVKYHVKESEKCLQMRFPACSIAAKRHIDSCSTILDVQGVGLKNFSKDARELIMRLQKINNDNYPETLHRLYIINAGQGFKMLWGTIKSFLDPQTASKIHVLGSKYQNKLLETIDESELPDFLGGKCRCEEHGGCIKSDKGPWKDPDIIKRVLNGEANYGRQILAISSVDGKKICYINPRHLTKKCSDASAESSSEMEDVSSPTASVNPIINPHLTPVDESKLPGNTSTSGAPPRVEDIPVVDKAVDTCAGPSTSSMAFNSDSFSLRNITMELGGLRNRITAWLIVLIVSFVAVLRSVPSRVTASLSSQAISRENGTHSSVLRRLGELEEKLQELEAKQSQMPPDREELLNGAIHRVDALEAELISTKKMLYDALMRLDELLAYADQQKNIQFRKKRFCF >ORGLA01G0229400.1 pep chromosome:AGI1.1:1:20957959:20959602:1 gene:ORGLA01G0229400 transcript:ORGLA01G0229400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANVLYAALLVPTVLYLVVTRRRSRRLPPGPAGLPLVGSLPFIDPNLHTYFAGLAAKHGPILSIRLGSKVDIVVTSPELAREVLRDQDSVFANRVMPDAGNAVSFGGVQNIVGNPVGPMWRLLRRLCVHEMLSPSGLANVHDLRRHEFRSTLRYLHTKSGEPVDVGAQMFLNTMNVITSAMWGGTIGSESERAAVGSEFRGLVAEVTELLGTPNVSDFFPALAPFDLQGIRGKMERFRSRFDLMFAKIIQQRMKAQQDGGETATDFLECMLKMEKEGGDGKAPFTMDNVKALLLDMVVGGTETTSNTVEWAMAEMLQNPQVLKKAQQELDSVVGRDEVVEEWHLPQLHYLRMVIKETLRLHPALPLMVPHSPSAASTVGGYHVPEGSRVFVNVWAIQRNPLVWNEPLEFNPERFAGDEGHKWDFTGSQFDYFPFGSGRRICAGIAMAEKMTAYSVAMLLQAFDWKLPQGVQPDLSEKFGIVMKKATPLVAIPTPRLSRTELYYACEAKPTLHN >ORGLA01G0229300.1 pep chromosome:AGI1.1:1:20946909:20948378:-1 gene:ORGLA01G0229300 transcript:ORGLA01G0229300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G36470) TAIR;Acc:AT4G36470] MSSSLQCPDDPAPSMNVEAVLHMKEGVGETSYAKNSTLQKKSMDTVKSLVTESARDVYASLKPERFTLADLGCSSGTNALGMVEEIVRSVAEVCRGSSPPPEFSVLLNDLPTNDFNTIFSRLPEFTGKLKADADADAGDDPMVFLSGVPGSFYGRLFPSKNVHFVCSFSSLHWLSQVPPGLLDETNGPVNKGKMFISSTSPPAVAAAYSRQFRRDFSLFLRSRAAEVVAGGRMVVSMLGREGERHADRNTTLLWDLLSESFAALVSQGVVEQGKVDAYDAPFYAPSIGEIEEEVRRQGSFRMEVARAYEASLSGSGDARKDGRTVSMAVRAIQESMLGHHFGTEIVDALFAKYTELVTATMEREEVKSVQIGVVLTRL >ORGLA01G0229200.1 pep chromosome:AGI1.1:1:20941136:20945780:1 gene:ORGLA01G0229200 transcript:ORGLA01G0229200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDETALITLKKGTKLIKYSRRGKPKIRAFRLSSDETSLIWFSHKKEKFLRLSSVTKIIPGQRTAVFGRFLHPEKDYLSFSLIFKNGQRSLDLVCKDQAEVEVWFSALEGLISSFRKKSLINEHKDRVSFSEEVTYYQDRHSYDSTLDITSNISRSFNSAGYCGTNSFSFRKSDVGFDRLNMIRTSAADSSRVSISSALSSYSQGSGTDDIESLGDVYVWGEVWTDVTPSDGHTSSSCSKVDVLIPKPLESDVVLDVNQIACGTRHVALTTRQGEVFTWGEEFGGRLGHGTDADISRPKLVESLSLTVVDLISCGEFHTCAVTTSGDLFNWGDGSYNVGLLGCGTEVSYWLPKKVSGPLEGLQVLSVACGSWHSALTTSSGKLYTFGDGTFGVLGHGDRETLAYPKEVEALSGFKTIKVACGIWHSAAIVEVTNQTGANVMSKKLYTWGDGDKNRLGHGDKEPRLVPKCVQALLEYNFHQLACGHNMTVALATSGRVFTMGSSSNGQLGNPKSDGKQPCLVQDRLASELVEEISCGASHVTVLTSRSEVYTWGMGANGRLGHGDLKDRKKPCLVEALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCTGCRQAFGFTRKRHDCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFLKLKAAETGSNNSNRRNAVTRRSIDGREKLERPEIRPSRTTAPAESVKYTEVKAARNDMRASQISSLLQFKDLSFSALQPTAMSPAVTMSPAVPALSTPSPYTKKTKSPAPAIPQFPKTDIDNLQKSNELLNQEMLKLQSQVDSLKQKCEAQHEQLQISDKKTKTVVSMAMEEYTRCSAVVEFVKFLDNELNGIVHELPSDAAESLKALQNQVQALLREQRSHPSELLNPMDHDGIQLSSGGNALHDFSNHRSGSTRYLFMSQDASSASGSAISLTSEPPSHRGMEHHAMVPNDFVPKHDTHGEVQLIEQFEPGVYVTLIQLKDGSKVFKRVRFSKKKFAENQAEEWWRENQERVFKKYSHPTVPQTTSTKTGSSNEEEHHS >ORGLA01G0229100.1 pep chromosome:AGI1.1:1:20937229:20939306:1 gene:ORGLA01G0229100 transcript:ORGLA01G0229100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1NR01] MDSLYDISCFAAGLAGNIFALALFLSPVTTFKRILKAKSTERFDGLPYLLSLLNCLICLWYGLPWVADGRLLVATVNGTGAVFQLAYICLFVFYADSRKTRMKIIGLLVLVVCGFALVSHASVFFFDQPLRQQFVGAVSMASLISMFASPLAVMGVVIRSESVEFMPFYLSLSTFLMSTSFALYGLLLRDFFIYFPNGLGLILGAMQLALYAYYSRKWRGQDSSAPLLLA >ORGLA01G0229000.1 pep chromosome:AGI1.1:1:20932391:20933562:1 gene:ORGLA01G0229000 transcript:ORGLA01G0229000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGAKKRAKLKKKQQGDHPAGSDDGGNNNNNKNNAAENGSNDSSRRRDAASDGNHHLPSRPNVPHVDVSEDSMESSEEMVTPRAAASEADEEERKAATSEVPVEVVEAGEEVMVDALPPEAAAGAQEQQGKAEALVVVQEPEVKREELVAKVHPMHDPEPQGEEVLVVEAAAVSAVQEPEVKRDEVVVMETAAPPAVQESETKSGGVLVKDVVEVSRSLGAADTTEVARGPAVAVAAAGQRATWWNCCGVFDAFSGSER >ORGLA01G0228900.1 pep chromosome:AGI1.1:1:20916970:20917818:1 gene:ORGLA01G0228900 transcript:ORGLA01G0228900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLKPVAGLLLVLNFCMYVIVAAVGGWAINHAIHTGYFIGSGMALPANFSPIYFPMGNAATGFFVIFAVIAGVVGAAAALAGFHHVRAWSHESLPAAASSGFIAWTLTLLAMGLAVKEIDLHGRNARLKTMESFTIILSATQLFYLLAIHGGR >ORGLA01G0228800.1 pep chromosome:AGI1.1:1:20906316:20909109:-1 gene:ORGLA01G0228800 transcript:ORGLA01G0228800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVMQKQLRRLRTLGRGASGAVVWLASDDASGELLAVKSAAGEGGAEQLRREGRVMSGLCSPHIVPCLGSRTAAGGEYQLFLEFAPGGSLADEAARSGGRLAERAIRAYAADVARALAYLHGNSLVHGDVKARNIMVGADGRAKLADFGCARRTDSERPIGGTPAFMAPEVARGEEQGPAADVWALGCTIIEMATGRVPWSDMDDVFSAVHRIGYTDAVPEIPEWLSPEAKNFLSRCFTRNPSDRPTAAQLLEHPFLASASSDIDETAPKHGWVSPKSTLNAECWESDEDDEVEEGMSQSATKRISALAITCSALPDWDSEDGWIDLQSDPSEVSETPAPMVVTTADFGLWWEEALDAEIDLHFVDVDGDGYVTRTVRARGFIEYDRQLSVRVRGDMPLCPVDCHRSDTVKFGCHCNGNRVINFESAQICLLLPFILQSRAHRLHSVELPRIVSCSPTLEDCIVKCPIGFAATAGLPLGSPQPDYSHTCDTYVSYPSCVVHASMGTIGDGQREESERHREDMEDQELRICSGITTWKF >ORGLA01G0228700.1 pep chromosome:AGI1.1:1:20900049:20902005:-1 gene:ORGLA01G0228700 transcript:ORGLA01G0228700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLRRVRTLGRGASGAVVWLASDDDSGELMAVKSASAGGAAAQLRREGRVLSGLCSPHIVPCLGSRAAAGGEYQLFLEFAPGGSLADEAARNGGCLPEPAIRAYAADVARGLAYLHGNSLVHGDVKARNVVIGSDGRARLTDFGCARVMDSAGPIGGTPAFMAPEVARGEEQGPAADVWALGCTIIEMATGRAPWSDMDDILAAVHRIGYTNAVPEVPGWLSAEAKDFLDGCFERNASDRSTAAQLLEHPFVASAAALDRWPEPAKQERASPKSTLHDAFWDSDTDDEDDEMPTGAAERIGALACAASALPDWDSDEGWIEVHNEGSFAAVTPPASDADYFVWAELSDPEMEQFAVAADGVNHVPRNEAEAIESSIRQGSYLHVHLGSGKNEIFHPFDTDGTESGLDAHRLTALQKHQWNLSSRLPHSSWIDRTFGSDLDIGVQLATTC >ORGLA01G0228600.1 pep chromosome:AGI1.1:1:20894505:20895761:1 gene:ORGLA01G0228600 transcript:ORGLA01G0228600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVDGRWTRVRTLGRGASGAVVSLAADDRSGALFAVKSAAAAAAAEQLVREGRILSGLRSPHVLPCLGFRAEAGGECQLFLEFAPGGSLADVVARSGGRLDECAIRAYAADVARGLAYLHGVSLVHGDVKGRNVVVGADGRAKIADFGCARTVGSDRPIGGTPAFMAPEVARGEEQEPAADVWALGCTVIEMATGRAPWSDMEDILSAVRRIGYTDAVPEVPEWLSAEAKDFLARCFARNPRERWTSSQLLEHPFLASAGCSVKTGEAAPQWVSPKSTLDAAFWESDTDDEEDDMPASPAERIKALACPCSALPDWDSDEGWIQVLNESSEACDTAVAKVEAEGKGRVLIEALETINESSDGDAECVDPECTVRLITVASIGQQEVFCLGLINDPLVFSVNKSELTKSLFPQIVSF >ORGLA01G0228500.1 pep chromosome:AGI1.1:1:20888501:20890675:1 gene:ORGLA01G0228500 transcript:ORGLA01G0228500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPITSSVNTAVVPVPVVHNPRARKLRSAVWQDFTKERRADGSCVAVCNHCKKQLTATSRSGTTHLRNHLAICTTTSTRRAGKRRKLIVRRILHNKTSTDGQSGDGHASGEDHDNDGTHFDQELSRRDLAHMIVQHGYRFSIVDDVGFQKFVKNLQPQFRMVSYETVRADSMTIYESEKLKLQDALLKIPCRLSISVDMWRSNTQMDFLCLTCHYIDHANDEWKVRKKILNFVHVEAPFTDDQIASLILEKLREWGIDRKLAAIVLDNCASGEIVARELLVVLQPRRLLLLNGNLFQVRSCAHILNLTVQESLEQTSDIITRVREMIQNVKFSQERFEKFQDTAKLLQMDQKLLVLDSPNNWPSTYLMFDSACYYHDVLMRLAEQEAHYGAFLTAKEWADVKALTEILDALYHKMEKFPVENPTANLYFNDMCEVHVLLNTWRNSPSPVVAQVADRMLTKFEGYWDLTRPVMAFASILDPRYKMKSVEYFCRLIYAADQFRAKTTIDDIRQSFTNLCSEYEQSGNSFKNPSALFYSATSNSCMSSVYSNGDDFKTFSRITLSDARRGLDQYIQETSSGQSFKSDLDMYLEEPVYRQKEGHLDNFDILGWWKSFAAKYPVLSQMARDILAIPVSIIPLDSDARTLNEYLSTMDPSTVQGLVCAQDWLREDTEVASSDGHADDKAARGDELIVLPK >ORGLA01G0228400.1 pep chromosome:AGI1.1:1:20885962:20886993:-1 gene:ORGLA01G0228400 transcript:ORGLA01G0228400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPMARDFTATFLLLLSVASLLVISPCAAQQIKTTDTRWSYHLPLPDGVSGAESLAFDGKDGLYTGVSDGRVLKWGGSAAGWTTFAYNANYRKIPLCSSSEVPPEERESICGRPLGIRLFRKTGELYIADAYKGLMKVGPDGGEAQVVATEADGVPFHFLNGLDVDQATGDAYFTDSSSTYTRRFNGEITMNADATGRLLKYDARTRRVTVLKTDLPYPNGVAVSRDRTHLVVAHTVPCQAFRYWLRGTKAGEYELFADLPGYPDNVRRDTKGGYWVALNQERMRLGAAPAAKHLVGVRLNPDGVEVEELTAAKGVTLSEVAEQKGKLWLGSVELDYIGMFA >ORGLA01G0228300.1 pep chromosome:AGI1.1:1:20880304:20885468:1 gene:ORGLA01G0228300 transcript:ORGLA01G0228300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G55100) TAIR;Acc:AT5G55100] MDLEIVGRHALLFDDDPTAEVVNSGGSLVPWAAVGADDLLLDRHDVRHLLDRVPPRPRRSYSAALLSAPSLDGVSEAELDRERFLDLTADDFGGEGSQDAVSSGNGTDTGRADYNSVPFSYGSTAGSDDPNNLSTYYCPSFPVPDRLLNKLPPSEKVHQIIARTALFVSEHGGQSEIVLRVKQGSNPTFGFLMPDHHLHSYFRYLVDHPQLLKDGLDADSNKGCKTANSESGHDASSGGALSLLGAVYESGDEDEGMLPRSPKSTVPGKDMVVHEQGHESSVSAIHCNEEARDGQKATAAATVAAKDKSILTKKNPMITGSSLLAARLEKAKDAMMASSTSDVSDTKVVILEPPSFLKRTMEKIVEFIIRNGKEFEGKLIEQDRTTGRFPFLLPSNPYHPYYLKLLEETQESKSRGGSSEPKDRKSSSEHKDRRSSSEQKDRRSPSERKGSNHGKGESKSKTRSSTSKDASSPDRSSAEPSEKQLYDKHKQGKGKFHMIISGAKKEPPRNVTADEAAAIVMAATRGFGPANIQTNTLKDTSGIGQTRGDNGHTSSFGSFSSLQDPDVPSKPVSNSEACTSLTSSGQPKNEGTGIIDDDWIANTIAKAAAVAASKEADSSEASMTKEQKLKAERLRRAKMFTSIIKSGGSKMADLSTSADQTNEAGRASPGDLNLSESDPQPPAKEREGSSVPFEREGSNLAKQEKDSDDERNRARKYRKKHHPESDEENYDSEESYKHSRKKHRSEDSRAHTSDVHKHKLKRHSKDLEPRHHRHRDSSSEDEHEHRSSKSRHRHRDDYHYHEDDEHRSSHRHQRDHRSSSKRKKDDDRDKSKQTIVLPEVSQNQEKPPGDTAQSSQATTEVPSELRAKIRAMLLETL >ORGLA01G0228200.1 pep chromosome:AGI1.1:1:20876589:20877626:-1 gene:ORGLA01G0228200 transcript:ORGLA01G0228200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDETLAYPAAAGGGQKTAPIGCFAGDDVAATTDWHPTKSYAAVAAEKTLPNGSVEEDEVTVTAAENPAKSYAAVVAEKTSPNGSVAEDEVTVTAAENRAKSYADVAAEKTYPNGNVEEDEVSVTAAVNPAKSYATVAAEKTVPDGSVAEDEVTVTAPVNPAKSYAAVAANAEIEDLRTTNHDLEEKLAAADREKKGRATEIDGLKDTSDKAKQNSVVFQYIASSSDAKVLALREELEDLQKLLQAEKDEFKADKRDSNQLAGKVGSERAVKMRLEEEVIAMKERARARAAAAAAAAEDERVAAPTPGTLQGARVAWPVMAGAAAVGAAAATVAVVIFLRLKR >ORGLA01G0228100.1 pep chromosome:AGI1.1:1:20872930:20873448:-1 gene:ORGLA01G0228100 transcript:ORGLA01G0228100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPLLDEVVAMYFHLVDNPDYARALLDDAHFNAAENDHEIAMVGIQLRHIQHQTNELMTQPMTDAEREAQRVQLEEDYRGIKVDADFLLENRRRLRQVVKMLVFIWTYAIIRRALRRFLPAVALTFVAGTAALAVYVELRRGGTVPAFEALGRIFTWLTSFFLLGYRVRL >ORGLA01G0228000.1 pep chromosome:AGI1.1:1:20871169:20871886:-1 gene:ORGLA01G0228000 transcript:ORGLA01G0228000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENTLALLPEVAAICEQLVDDPDAAKIMLDSVLSGVAALDGHITTLENLLDFYLRAADEPIMDRPMAEVEREEQRALEELYQDLRADADVLMEHRRRLHRIVKLLVFILTYGIIKRALRRFLPAAALKFVAGAAAVVVYVEWRRGTVPEFESLGGIFTRLMCFFLLGYRVRLLCLLRLVVSPSASDLEI >ORGLA01G0227900.1 pep chromosome:AGI1.1:1:20867265:20867759:-1 gene:ORGLA01G0227900 transcript:ORGLA01G0227900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPLFDEVVAIYDHLVDNPDAARIVLDAARSDFAHNDDEIAEVEIQLGYVLQRAGELMTEPMDEVEREAQRVFLVEAYHDLRARVDFLLERRRQLDQVVNLLLFIRTYAIIKRALRRLLPAAALVFVAGTAAVVVYVEWRRGTVPTFETLGMIFTRLMCLFL >ORGLA01G0227800.1 pep chromosome:AGI1.1:1:20862591:20864753:-1 gene:ORGLA01G0227800 transcript:ORGLA01G0227800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDLVDEVLDSVGLHLFNNVDDIKILLDAARADLDENAAHIAEAQARLSHVRRLVGEVATAPMAVEQQQAVCAALEEVLDDFGASSLLLLERHLPAVLASVTAGSAAAVVYAESRRGVPAFRSLARIFAVVMCGFFGCYRMGLRYPSDLSKKHPPEQKRPEDEKNTEPRQKRKNPRTAMEVNNLADELLDSLGVYLINNVDDVKILLDAARADLDDNAAHLAKARARLRNVRRLVREVTASPPMIVDGVEQRHAARVTLERIHDDIRASSLLLRERRHQLDQVVCTLVMIRAYVFVTRAARLIPAVLLSVTPGSAAVVAYAESRRGVPVSRSLARILALAMCGFFECYRLRQGDF >ORGLA01G0227700.1 pep chromosome:AGI1.1:1:20856781:20858808:-1 gene:ORGLA01G0227700 transcript:ORGLA01G0227700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAHPMVPLVLRNLLPLLRDVDTVQFALDVAHARMRDNAARLAMLVPLLARARRLAPAGVDAEAAELMDQLEEAVVGALEARLQLVQVVTLLVVVRAVATARRRARRLPSVLLAVAALAFAVSGSGVALGPLRVFVMVSTVLLLVLSWVXXLSILSGAXVCLDFSLVFHRADCRPLKRGVMPVCAXPSYGPLKTKSPQAGLRVHSXYIVPKPQRKPAIVPALMVMVMDRKKYTEGARRERRTAVVEAACEHDGRRSGVEQRRSGGGGGGRRSSAWRPVGSSGGGGGCGGGSPLAAGMVVVGVGVPRAHYSRWDPLLLVSVVVSLVPAGSRRGAVAGVLLLLRRRIDGVLRFSLLAFFAASSMSGS >ORGLA01G0227600.1 pep chromosome:AGI1.1:1:20842500:20843954:-1 gene:ORGLA01G0227600 transcript:ORGLA01G0227600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NQY6] MDAGDAATTRARKPVVLYPSPGMGHLVSMIELGKVFAARGLAVTVVVVDPPYGNTGATGPFLAGVTAANPAMTFHRLPKVEVPPAASKHHESLTFEVTRLSNPGLRDFLAGASPVVLIIDFFCNAALDVADELGVPAYMFYTSGAEILAFFLYLPVLHAQTTANFGEMGEELVHAPGIPSFPATHSVLPLMERDDPAYAEFLKASADLCRTRGFLVNTFRSLEPRAVETIAAGSCAPPGVSTPPVYCIGPLIKSAEVGENRSEECLAWLDTQPNGSVVFLCFGSIGLFSAEQIKEVAAGLEASGQRFLWVVRSPPSDDPAKKFDKPPEPDLDALLPKGFLERTKGRGLVVKSWAPQRDVLAHAAVGGFVTHCGWNSVLESIVAGVPMLAWPLYAEQRMNRVFLEKEMRLAVAVEGYDDDVGEGTVKAEEVAAKVRWLMESDGGRALLERTLAAMRRAKAALRDGGESEVTLARLVESWREAASA >ORGLA01G0227500.1 pep chromosome:AGI1.1:1:20835756:20837117:1 gene:ORGLA01G0227500 transcript:ORGLA01G0227500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKLGALALMLPLLLLLSATRVAYGGIQPTPPPESFQAALVRIEPAGINYTRAVQRSRSRLSMLAARAVSNAGAAPGESAQTPLKKGSGDYAMSFGIGTPATGLSGEADTGSDLIWTKCGACARCSPRGSPSYYPTSSSSAAFVACGDRTCGELPRPLCSNVAGGGSGSGNCSYHYAYGNARDTHHYTEGILMTETFTFGDDAAAFPGIAFGCTLRSEGGFGTGSGLVGLGRGKLSLVTQLNVEAFGYRLSSDLSAPSPISFGSLADVTGGNGDSFMSTPLLTNPVVQDLPFYYVGLTGISVGGKLVQIPSGTFSFDRSTGAGGVIFDSGTTLTMLPDPAYTLVRDELLSQMGFQKPPPAANDDDLICFTGGSSTTTFPSMVLHFDGGADMDLSTENYLPQMQGQNGETARCWSVVKSSQALTIIGNIMQMDFHVVFDLSGNARMLFQPPTA >ORGLA01G0227400.1 pep chromosome:AGI1.1:1:20825804:20832588:1 gene:ORGLA01G0227400 transcript:ORGLA01G0227400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAANGGRDGKEKKAKNGRDGEDKKKEEDGDAGKKVSFTGLFRYADGTDLLLMAVGTVAALANGVSQPLMTVIFGQVINAFGEATNGDVLHRVNQAVLNFVYLGIATAVVSFLQVACWTMTGERQATRIRSLYLKSVLRQDIAFFDVEMTTGQIVSRMSGDTVLVQDAIGEKVGKFLQLVATFAGGFVVAFVKGWLLSLVMLACIPPVVIAGGAVSKMLAKISSKGQASYSDAANVVEQTIGAIKTVVSFNGEKQAVASYNKLINKAYKAAVEEGLTNGFGMGSVFFIFFSSYGLAIWYGGKLVVSKGYSGGDIINILFAVMTGAMSLGNATPCMAAFAEGQSAAYRLFKTIKRKPQIDPDDITGKQLEDIRGDVELKDVYFSYPARPEQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLRLNWIRGKIGLVSQEPLLFMTSIKDNITYGKEDATIEEIRRAAELANAANFIDKLPDGYDTMVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERIVQEALNRIMVDRTTLVVAHRLTTVRNADCISVVQQGKIVEQGPHDELVMNPNGAYSQLIRLQETHEEEEKKLDHHISDSRSKSRSLSFKRSISRDSAGNSSRHSLALPFGLPGSVELLEGNDSTVGEQTEQGGDGEVQQKAPIGRLARLNKPEVPILLLATLAAGVHGVLFPMFGVMISNAIKTFFEPADKLKKDASFWGLMCVVLGIISIISIPVEYFMFGIAGGKLVERVRALSFRSIIHQEVAWFDDPRNSSGALGARLSVDALNVRRLVGDNLALAVQVVSTLITGIVIAMIADWKLTLIILCVIPLVGLQGYAQVKFLKGFSEDAKMLYEDASQVATDAVSSIRTVASFCSEKRVMTMYDNKCEASKNQGVRTGMVGGLGFGFSFLMLYLTYGLCFYVGAQFVRHNKTTFGDVFKVFFALVLATIGISQTSAMASDSTKAKDSAISIFALLDRKSQIDSSSDEGRTLANVKGNIDFRHVSFKYPTRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTAIALLERFYNPESGTILLDEVEIKSLKVNWLRDQMGLVGQEPVLFNDTIRANIAYGKHGDVTEEELIKAAKASNAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDNVMVGRTTIIVAHRLSTIKGADIIAVLKDGAIAEKGRHEALMNIKDGVYASLVELRSGSS >ORGLA01G0227300.1 pep chromosome:AGI1.1:1:20807080:20808680:-1 gene:ORGLA01G0227300 transcript:ORGLA01G0227300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMEEHLLPVVHRDQVYSSRQDRRKSSDVPNRFVTSFHPSTNSKGNPNTPNHPLPTSGNGNIVTAQNFQRVHSSPSMFTSIKETPCADEFNEQSHAAQHVPSFARQAIISVILYISIGVLVYITNVEGFKGRSTLKLVDGLYFTIISLCTIGYGDIVPCTTFTKVFTCLFLLIGVRFVDIVLNELLTNVLDKQRTVLLSTMDDNKLNRVFDTYMIDAEKKRSRGRMKVLLALGVVVGTISICTIIVHEVEGLNWIDSFYLSVISVTTVGYGDYGFSTPAGRLSATVCLLVSTLAVAKAFLFLTDLRMDRRNRKTTKWILQKKMDNEPLAADLDHDASVSKSDFLIYKLKEIGKIDDKDIAMISDQFDQLGLAKCGKITLADIIGKL >ORGLA01G0227200.1 pep chromosome:AGI1.1:1:20804999:20806644:1 gene:ORGLA01G0227200 transcript:ORGLA01G0227200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVAPPVAPPPPSPAAAWGRRRARATPPRFALAESTRDGDPAPPTFERLREQLLQLHAEADLTQSKANSTRVRLVRLTEAAENLKKRAAVSIRMGKENEAVDLLVQKKKLTKALESIKQRIEVLDKLSMKISEAISVKQNMLIEHALHPGMSNGKESNDNIRVFSSKVGDETDETTSSPAKSSEKAFDLQHVVHSGMTGQLEQSELQMSDSFTFSSDLESPNSITNHSSYDAFLDHIGFQLDSLECEIEQYISSQLAEQVDIQKPINAKWQKLSDILKLVTETRERIAKIVDNTVKEAGSED >ORGLA01G0227100.1 pep chromosome:AGI1.1:1:20802655:20803575:1 gene:ORGLA01G0227100 transcript:ORGLA01G0227100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 5 [Source:Projected from Arabidopsis thaliana (AT3G13540) TAIR;Acc:AT3G13540] MKRKRPAALRGGEEAAAAALKRGPWTPEEDEVLARFVAREGCDRWRTLPRRAGLLRCGKSCRLRWMNYLRPDIKRCPIADDEEDLILRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKLIAQGIDPRTHKPLTAAADHSNAAAAVAATSYKKAVPAKPPRTASSPAAGIECSDDRARPADGGGDFAAMVSAADAEGFEGGFGDQFCAEDAVHGGFDMGSASAMVGDDDFSSFLDSLINDEQLGDLFVVEGNDHEHGNGEIGHGDVMESKQ >ORGLA01G0227000.1 pep chromosome:AGI1.1:1:20792727:20799379:-1 gene:ORGLA01G0227000 transcript:ORGLA01G0227000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGRGTGDDHGRETKDAAAAASSSSGKKVPLFSLFRYADRLDVLLMVVGTVGALGNGISQPLMTVLFGNVINSFGANTSGSVLRSVTKVVLNFIYLGIGTSVASFLQVSCWTMAGERQSARIRSLYLKAVLRQDITFFDTEMTTGEAVSRMSSDTLLIQGALGEKGGKLVELLSSFIGGFIIAFTRGWLLTLVMLTSLPLIAIAGAVSAQALTRVSSKRQTSYSDAGDTVEQTIGSIRTVVSFNGEKKAIAMYRNFIKKSYKATIEEGIITGFGMGSVMCVVFGSYGLAFWYGGKLIIEKGYTGGKIITILFAVLTGASSLGNATPAVAAVVEGQSAAYNLFKTIERKPEIDSDDNNGMVLEDMNGDIELKDVYFRYPARPEQLILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPQSGEVLIDGISIKKLRLDWIRGKIGLVSQEPLLFMASIKDNIIYGKKDATLEEIKRAAELANAAKFIDKLPNGYDTLVGQRGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRMMVERTTLVVAHRLSTVRNVDCITVVRKGKIVEQGPHDALVKDPDGAYSQLIRLQETRRDERHKLPDSRSKSTSLSFRRSRTKDFLSKSNRYSFKSPLGLPVDIHEDGMTSEQQKVDHSDSKQAVATLITGFAIAFAADWRLALIITCVIPLVGAQGYAQVKFLKGFSEESKEMYEDANQVAADAVGSIRTVASFCSEKRVVAIYNKKCEALRKQGIRSGIVGGIGLSFSNLMLYLTYGLCFYVGAKFVSQGKTTFSDVFKVFFALVLAAVGVSQSSALSTNATKARDSAISIFSIIDRKSRIDSSSDEGAIMENITGSIDFNNVSFKYPSRPTIALVGESGSGKSTIIALLERFYDPDSGNISLDGVEIRSLKVSWLRDQMGLVGQEPVLFNDTIRANITYGKHSEVTEEEITAVAKAANAHEFVSSLPQGYDTVVGEKGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTIVVAHRLSTIKGADMIAVLKEGKIAEKGKHEALLRIKDGAYASLVQLRSNSE >ORGLA01G0226900.1 pep chromosome:AGI1.1:1:20776232:20787321:1 gene:ORGLA01G0226900 transcript:ORGLA01G0226900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGRGEDDEREKKKKEGSGNDGDAGKLPFLGMFRYADGVDKALMAVGTVAAMANGMSEPLMTVVFSAVIDCFGGDDVSTVLHRVSKVVLYYIYLGVGTSMASFLEVSCWTMAGERQSARIRSLYLEAILTQDIAFFDVEMTTGEAASRISADTVLIQDALGEKVGKYIQVLTAFVGGFVIGFIRGWMLALVVMACIPPSIFSFALVSRLRAQISGKTHVSYSYAGNVVEQTIGSIRMVVSFNGEKRAITMYNTLIKKAYKATIMEGIISGFGIGSIFFVVYCSYSLAFWYGAKLVISKGYTGGQVINVVFAILTGSMAIGNASPSISAIAEGQSAAHRLFEIINRKPNIDITGTSGIILEDIKGNVELKDVCFSYPARPEQLILDGLCLQVPNGTTMAIVGQSGSGKSTIISLVERFYDPQDGEVLIDGINIKTLKLHWIRGKMSLVSQEPLLFMTSIKDNITYGKENATDEEIKRAAELANAANFIDKLPNAYDTMVGQHGAQLSGGQKQRIAIARAILKNPKVLLLDEATSALDVESERLVQEALNRVMIGRTTLIVAHRLSTIKNADCIAVVHQGKIVDQGSHDELIKDPDGAYSQLIQLQQTHTEEMHDVQYSEVSTSRLKSRSLSLEQSMINDSPRNRRKNSLAKHIGSSGSDGLHKHGLTDEPKDKECGDNKDINKAPIRRLFNLNKPEAPILLLAIITAFVHGLLFPIFSIMMSGGIRTFYYPPHQLRKDSRFWALMCILMAIISLVSIQLEYFLFGMAGGKLIERVRCLSFQSIVHQEVSWFDDPSHSSGSLGAKLYIDALNIRRLVGDNLAILVQCIVTLIAGFTIAFASDWKLTLTIMCPIPLVGLQNYVQLKFLKGFSEDAKVKTKSLVMYEDASQVVTEAIGSIRTVASFCAEKRVIKTYNQKCQASMKESIRSGMVGGLGFSFSYLMVYLTYALCFYVGAQFVHGGKSTFKDVFRVYFALVFTAFGISQTSAMASDSSKAHESAASILAIIDRKSNIDSSIDEGIILEKVNGTIELNHVNFKYPSRPDVQVLCDFTLGIPSGKTVALVGESGSGKSTVIALLERFYDPHSGTISLDRVELKNLKLSWLRDQMGLVSQEPILFNDTIHANIAYGRKGQVTEEEIIAVAKASNAHEFISSLPQGYNTTVGERGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERIVQDALDQVMVSRTTIVVAHRLSTIKGADVIAVIKDGSIAEKGQHDSLMRINGGVYASLVDLHSKTT >ORGLA01G0226800.1 pep chromosome:AGI1.1:1:20764011:20766671:-1 gene:ORGLA01G0226800 transcript:ORGLA01G0226800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal-5'-phosphate-dependent enzyme family protein [Source:Projected from Arabidopsis thaliana (AT3G26115) TAIR;Acc:AT3G26115] MRPSPALAGGGRTVANLLSATEWMLPSPATQVHTISVLPSHSPPSPPHHFAFSNLTTAPKRNGGKGEEEGRPRFEVVRDDLLHPLANGNKARKLDALLPLLRRRGATDVVRVTCGGCQSAHAAATAVHCAEWGMRPHILLRGEQPDIPTGYNLISLMFGNVAYASRSVYAHRDEMLYNHARKVAGTGGTVLWADDISKEDFVLDEDNGCEIGSRRVVIIKEGAGDVQALLGVIRLVEYLYNLSSFHEHENVHVVVDAGTGTTAVGLALGAVCLGLHWRVTAVMLADTLERYKEREKSLISDFKKLCHNNYHEMVGENDIGDSLVEWVERFSPRRFGKVLNGEIALCRQIAQQTGILLDPMYTLAGWEQAVDLCVGDSRTKVVMIHTGGTLGFCGLAQRYSSHFTSDEQT >ORGLA01G0226700.1 pep chromosome:AGI1.1:1:20759735:20762807:-1 gene:ORGLA01G0226700 transcript:ORGLA01G0226700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGASGPAAETAAATFRRVYGTLKAELLKDPSFDFNDDAIQWLESMLDYNVLGGKLNRGLAVVESYKILKAASPTEPSEEELFLACILGWGIEWLQAYFLVLDDIMDNSQTRRGKPCWFRLPKVGLIAINDGLVLRSQISRIFRRYFRGKSYYVDLLDLFNEVEFQTTSGQLLDQITTNEGRKDLNKYNVHVYRRIVEYKTAYYSFYLPVACALLLFGESLDNYAQVKHILVEMGVYFQSQDDYLDCFGEPEIIGKIGSDIEDFKCSWLFVQALERADEKQKGVLFENYGKSDPACVAKVKDLYNELHLQRVFSEYERESYEKLISAIEAQPNEAVRAVLKSFLHKIYKRSK >ORGLA01G0226600.1 pep chromosome:AGI1.1:1:20754095:20758317:1 gene:ORGLA01G0226600 transcript:ORGLA01G0226600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKRSASAGSVLALTVAGRRAARARLCLRLAAPLSFLLLLAALLRTQPLPAPPSAPPPSGGPARVAFLFLVRAGVPLDFLWDAFFRNGEEGKFSVYVHSAPGFQLDRTTTGSSYFYGRQLARSVKVAWGEPTMVEAERMLFAAALEDPANQRFILLSDSCVPLYNFSYIYTYLMASPKSFVDSFVDKTEKRYNPSMSPVILKDKWRKGSQWVALTRRHAEVVVGDKLVLQVFRRHCKMVVTKALLGQKPNYRRLGFGLRRKQISKGSTRMEHDCIPDEHYVQTLFSINGHENELERRTLTYTSWNQSSDPKDKMTWHPMTFEYESASPEQINSIKGIDHVNYQMEHRTEWCQCNTTSVPCFLFARKFSYSAAMHLLEAGTVGPLKSALLA >ORGLA01G0226500.1 pep chromosome:AGI1.1:1:20747673:20751888:1 gene:ORGLA01G0226500 transcript:ORGLA01G0226500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAATAVNGVLEVEERKAQKSYWEEHSKDLTVEAMMLDSRAADLDKEERPEIWGACMHFLVLFPTKYSSYVVILSLLPPYEGKSVLELGAGIGRFTGELVKTAGHVLAMDFIESVIKKNESINGHHKNASFMCADVTCPDLMIEDNSIDLIFSNWLLMYLSDEEVEKLVKRMVRWLKVGGYIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKECQALDQDGNSFELSVLTCKCVGAYVKSKKNQNQICWLWQKVDSTEDRGFQRFLDNVQYKASGILRYERIFGEGFVSTGGIVCLFFYLRSPETTKEFVDRLDLKPGQNVLDVGCGIGGGDFYMADKYDVHVVGIDLSINMVSFALERAIGRKCSVEFEVADCTKKTYPDNTFDVIYSRDTILHIQDKPSLFKSFFKWLKPGGKVLISDYCKCPGKPSEEFAAYIKQRGYDLHDVRAYGQMLENAGFHDVIAEDRTDQFLDVLERELAKVEKNKNEFVSDFSQEDYDAIVNGWKAKLQRSSAGEQRWGLFIATK >ORGLA01G0226400.1 pep chromosome:AGI1.1:1:20736651:20742058:1 gene:ORGLA01G0226400 transcript:ORGLA01G0226400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQSWRKAYGALKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKIAAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLRDGDPTFREEFLTFTQRVRILQLSNFKDDSTPVAWDYSSWVRTYGLFLEERLECFRVLKYDIEAERLSKQGQGPEKGHSRTRELDSPDLLEQLPALQQLLYRLIGCRPEGAANSNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGSLSDFYENCRGLELARNFQFPTLREPPQSFLSTMEEYVREAPRMVPIKEPLEFPERLLLTYKPEESEEIPEPVSAEEEKPQIEEPAVAVPSTEVVPPPPPKPEVVDTGDLLGLSDPTPSVSAIEESNALALAIIPTGGETSTSGTATLQDKGFDPTGWELALVTTPSTNTNSMAMDSNLGGGFDKLILDSLYDEGTYRQQMQQQQLYGSAAPNPFMASDPFAMSIQVAPPPSVQMASMAQQPQQMPMMMQPNPFGPPLQPQHAGIAQAPNPFLDAGFGPFPALNGMHPQANPFGTAQLL >ORGLA01G0226300.1 pep chromosome:AGI1.1:1:20734054:20734491:-1 gene:ORGLA01G0226300 transcript:ORGLA01G0226300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGCGHHAEIDSTTPPSSRCRRASAMAARGCGRRGRRRRYARTTSPSSLMSPPMKRSAPLTSSHASSSSTLATPVRPRPSEQATRSRRRGAPAADERQEAGGVAPPPEAADDDEAERRRVVDAAAPHPGRAQGRRAESGTSSA >ORGLA01G0226200.1 pep chromosome:AGI1.1:1:20709285:20723731:-1 gene:ORGLA01G0226200 transcript:ORGLA01G0226200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEADGARWPEPRGDAAGAPPLERGDAPSPRFDSSRALRLLRELGSNVTEDLVVLMPNLLSFLKHDDPAVVNQSIASGTNLFAAVLEEMTLQINKCGRVDAWLEEMWAWMKQFKDAVHNLIHESVPVATKLFAVKFIETWILCFAPQSKSDRMQPTEGRNRRLFDSSRLSQFHPSLNPAVLEADANRALILLVDILQSACAHQGSFLVGTINSLAAIAKNRPVYYERILPVLLGFDPSLEVAKRAHPASLRYSLKTAFLGFLRSPCQAMIESKDTLVRQLRVLSPGEATEQIIRQVEKMTRNIERASRASKDEPSTLDMPYGDVSRKYPAARSSDAFATADGVAKRARFDTSAALNPPFQGASDYSNMQVDNEANVDHSSDPALLNCDMSPVEKMIEMIGALLAEGERGAESLGILISTVEADVMADIVIETMKHLPETSILLATSNNGQQKKIQSSSSPLTENLPANSHSMPYSTQFTLPADGVSMSMSDVPVVSGVHDSKRDPRRDPRRLDPRRTVAPAATSSIHVKGETTGVHQTNNLSNVPYPVSGKVENSLDYSGDLSKNEDVQQTSCQPNQSLPKENSEILDDALELEPKFEVQALADVGFHSSDVDKEMVNPLSPEATSNNELDSVELEVDPFSPVLKASTPEDTTNHDLPVLPSHLELSDDEKILLHKLAIRRIIDDYKKNSVNTRFSLLAHLIAQSTADDNIMDLIQRHIIYHYHDQGHELAMHVLYQLHSVSVADSPESTAPASKNYENFFISLARSLIHSLPASDKSFSKFLCDAPYLPESMLKLLEDICVSQGNSQQTKDSDGDRVTQGLGTVWSLILARPPLRQDCLDIALKCAIHSQDEVRGKAVRLVTKKLYELTYASERVEQFAIDSLLAIANKHGVETDINFTSLKESSPEFEAGSQETSVSGSHISDAEPSESTCNKTDLVSPKQSAVSVSEAKRHTSLFFALCTKRPILLQHLFNVYGRSPKVVKQCIHWHIPNLVRNLGSSCSEMLAIIHNPPEGSEELVTLILQTLTEDSTPSAELVAAVKHLYETKLKDASILIPLLSSFPKEEVLPIFPRLVDLPPDRFQDALARILQGSAHTGPALTPAEVLIAIHDINPEKDRVALKKVTDACTACFEQRTVFTQQVLEKSLNKLVDNVPIPLLFMRTVIQALDAFPALVDFVMEILSRLVNKQIWKMPKLWVGFLKLAYQTQPRSFDVILQLPPPQLEIALNKYPNLRTPLCSFVNQRNMHSILPRQILKVLGFINEPHQAPIPFVPAAMQTADATSSLPGATLM >ORGLA01G0226100.1 pep chromosome:AGI1.1:1:20701028:20704655:-1 gene:ORGLA01G0226100 transcript:ORGLA01G0226100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPPPPPSPPRLPLTAHRLPLPPATSPPASLLLAPRPSPTRALALLFPDSSARLLPSLPPAASLPATAVPSPLAAAACFVLLLPSSHLLFLSAHPSPSSPAAHLRAYSLASGRFSPAPLSFKRQASASGLPLHGLPFGLGVRLAGGVNAVALLSLSAGQIWVLAPGMAADGRTVELHKCAVVELEPSRPVYAMEVAMGRLLLGEAGGLRVFPLQGLMKSGKERQVRKEGAGAAVRKSLHKKNGIRNGFIVPIGHGGSEGGGKREAVSTRKLATLRVKQTSGSYFSFFSSCSSEDHHNSQGAVEVKNVVKVVSIRPLSKDKFLVLDSAGLLHVFSLQNKELLSEATSKRYSGNHTHCLDNAMKVQLFAVFPSSSTKTEIFWISDGGHSIHIMSALDVEPPNSDNGGGDGERESTTIKLTAIEAIFTSEKVQDIVPISKYSVLILGQGNMFLYGTS >ORGLA01G0226000.1 pep chromosome:AGI1.1:1:20686731:20697685:1 gene:ORGLA01G0226000 transcript:ORGLA01G0226000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CHLGTCGIFVASLLMGTSSVGTLNSXLHYQIAVIXTQLACHTMHFKGVYYPMLETLAHXPTFLFFHTTACQVPSPPSLATSRGSSLFILIATNSLGGFHKSWQIFTIFRYXDCQITTXADQYHKACSITHPTXVGYNSGQIGXQEQFLVALDHCRSWRCXSXKIILSGSVPSWLATMPNLTAIYLSTNELTGKIPVELSNHTGLLALDLSENNLEGEIPPEFGQLRNLRYISFANNQITGTIPESIGNLSDLTTIDLFVNGLTGSVPMSFGNLWNLRDIYVDGNQLKGQIPEGGVFSNITVKSLMGNKALCGLPSQGIESCQSKTHSRSIQRLLKFILPAVVAFFILAFCLCMLVRRKMNKQGKMPLPSDADLLNYQLISYHELVRATRNFSDDNLLGSGSFGKVFKGQLDDESIVAIKVLNMQQEVASKSFDTECRVLRMARHRNLVRIVSTCSNLDFKALVLEYMPNGSLDNWLYSNDGLHLSFIQRLSVMLDVAMAMEYLHHHHFEVVLHFDLKPSNILLDNDMVAHVADFGISKLLFGDDNSITLTSMPGTVGYMAPELGSTGKASRRSDVYSYGIVLLEVFTRKKPTDPMFVSELTFRQWISQAFPYELSNVADCSLQQDGHTGGTEDSSKLSEDSIILNICLASIIELGLLCSRDAPDDRVPMNEVVIKLNKIKSNYYSLWKQWSSPGEIS >ORGLA01G0225900.1 pep chromosome:AGI1.1:1:20682515:20684083:1 gene:ORGLA01G0225900 transcript:ORGLA01G0225900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWGLQNIVGLDLAGNALTGSLPEVENLKATTFMNLSSNRFSGNLPASLGLFSTLTYLDLSYNSFSGTIPKSFANLSPLTTLNLSFNRLDGQIPNGGVFSNITLQSLRGNTALCGLPRLGFPHCKNDHPLQGKKSRLLKVVLIPSILATGIIAICLLFSIKFCTGKKLKGLPTTMSLESNNNHRAISYYELVRATNNFNSDHLLGAGSFGKVFKGNLDNEQIVAIKVLNMDMERATMSFEVECRALRMARHRNLVRILTTCSNLDFKALVLQYMPNGSLDEWLLYSDRHCLGLMQRVSIMLDAALAMAYLHHEHFEVVLHCDLKPSNVLLDADMTACIADFGIARLLLGEDTSIFSRSMPGTIGYMAPEYGSTGKASRKSDVFSYGVMLLEVFTGKKPTDAMFVGELSLREWVNRALSSRLADVVHPGISLYDDTVSSDDAQGESTGSRSCLAQLLDLGLQCTRDLPEDRVTMKDVTVKLQRIKEVLQA >ORGLA01G0225800.1 pep chromosome:AGI1.1:1:20674246:20676469:-1 gene:ORGLA01G0225800 transcript:ORGLA01G0225800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSGASASRIPCPLLSFARARARCLAVPASGTACRAASSSAAAAAGDGGALKPWLFVGLGNPGKVYQGTRHNVGFEMIDVIAEAEGISLSSMQFKAMVGKGRIGDAPIMLAKPQTFMNASGESVGQLVSYFKIPLNQVLVMYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQNRDFPRLRIGIGRPPGKMDPANFVLRPFNRKEQEELDFAFHRGLEAVRIMALEGFNKSATYVNTAQSSEMLNR >ORGLA01G0225700.1 pep chromosome:AGI1.1:1:20669972:20671558:-1 gene:ORGLA01G0225700 transcript:ORGLA01G0225700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAATASSLSLLFAHPHSSNPRPFAGGSHLRRPLRAAPDRARCASDAATTTTKHRRPAEENIREEAARLRGPGNDFSAWYVPFPPTPEDDPDERYSLDEVVYRSSSGGLLDVCHDMEALARFPGSYWRDLFDSRVGRTAWPYGSGVWSKKEFVLPEIDSDHIVSLFEGNSNLFWAERLGREHLGGMTDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPINGVGCASTGDTSAALSAYCAAAGIPAIVFLPADRISLQQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWQVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRFYKSGWTDFQPRVAETTFASAIQIGDPVSVDRAVVALKATDGIVEEATEEELMDAMSLADRTGMFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFTQSKIDYHDRNIKDMLCQYANPPINVKADFASVMDVLQNKLNGKI >ORGLA01G0225600.1 pep chromosome:AGI1.1:1:20667237:20668559:1 gene:ORGLA01G0225600 transcript:ORGLA01G0225600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSGVGVMKPSSMRHGSSGSELKGLLAGEPDEHRVPTHPRVTASEVASSYGSLTGGSTSRREWYNYLQSGGMSSSSSELVLEPNRRLSPVDGNKSMLLDDSLLATMEQLDRGVPRHVGLRGQPTQGAEHVQQLIPRFLALTVNIGKGRNQLSVRGLAEDSLALAQVTDIVEITASPPCVPSTEPFCERKVASDQREPDSYVV >ORGLA01G0225500.1 pep chromosome:AGI1.1:1:20649064:20649972:-1 gene:ORGLA01G0225500 transcript:ORGLA01G0225500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKCKGWLSRLVTKEVHSGRDVSFWKDVWCDNIPLKVRFPDLFEISHDQNATVKDTVVGREWSLEFRRNLDEQRVREVRELVELIGKAQLGTENDKVAYKALSFSQKWSVLLREEERVILGGWHEAGLGKLVQLKPQHLPSSI >ORGLA01G0225400.1 pep chromosome:AGI1.1:1:20641891:20642181:-1 gene:ORGLA01G0225400 transcript:ORGLA01G0225400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSDNGDGALTKCVNQEELHHDEHFSFVYKWKNKISSAGNARLYYHYGYNFEMISSKSELRKQIKRQY >ORGLA01G0225300.1 pep chromosome:AGI1.1:1:20639183:20640370:-1 gene:ORGLA01G0225300 transcript:ORGLA01G0225300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSCLVDDTNSGGSSTDKLRALAAAAAETAPLERMGSGASAVVDAAEPGAEADSGSGGRVCGGGGGGAGGAGGKLPSSKFKGVVPQPNGRWGAQIYERHQRVWLGTFAGEDDAARAYDVAAQRFRGRDAVTNFRPLAEADPDAAAELRFLATRSKTEVVDMLRKHTYFDELAQSKRAFAASTPSAATTTASLSNGHLSSPRSPFAPAAARDHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPSAGGESKGVLLNFEDAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDVVGFYRSAASAGDDGKLFIDCKLVRSTGAALASPADQPAPSPVKAVRLFGVDLLTAPAPAPVEQMAGCKRARDLAATTPPQAAAFKKQCIELALV >ORGLA01G0225200.1 pep chromosome:AGI1.1:1:20625127:20626985:1 gene:ORGLA01G0225200 transcript:ORGLA01G0225200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSASIHLGVPTPYITSHGSKIARLHMYDWIVLVLLVVVDGILNIIEPFHRFVGSDMMTDLRYPMKDNTVPFWAVPIIGIIGPMIIITGIYFKKRNVYDFHHAILGLLFSVLITAVITDAIKDGVGRPRPDFFWRCFPDGVPAYDNFTTGVLCHGKASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIKVFDRRGHVAKLCIIILPLLLAALVAVSRVDDYWHHWQDVFTGGILGLVVSSFCYLQFFPMPSDENGLWPHAYARHILNPDQLENNAQPTSVDRPNSLPNGSFRSPNGLEMGNTGQGQALDFMEAGRRYQ >ORGLA01G0225100.1 pep chromosome:AGI1.1:1:20618882:20619832:1 gene:ORGLA01G0225100 transcript:ORGLA01G0225100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGRHGGGGGGEDPLTLTLGSIYSSAPTPPPSPSLVVTPTPPPSSFLVAAPTLLSSPTPVVVPMLLPSPTQPVVFLMQPHFDLVPALPPSSPQVPQSSLSSLSAPGSTRHYRNSPRSSLLAPPSNRRRLNNPDEGQSPRGRGEEANGDNEVLVMATSFPWVTSADLPVLHCTLESMLLKGITSVEGKATCNRCSAEVPIAYDLDAKFREVRDYVAANIHIMDDRAPEHWMCPRLPDCGSCGKKACMWPQIPNEKREINWLFLFLGQMLGCCTLEGLKFFCKNTKNHCTGAKTRVLYYAYIEMCRQLDPQGPFII >ORGLA01G0225000.1 pep chromosome:AGI1.1:1:20616568:20617642:1 gene:ORGLA01G0225000 transcript:ORGLA01G0225000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVENWATFKCGFWGLFGHDPNESFQKFGSIQSSPFGNIYGQNDVYTTILPRFVIVFSTRQGVTIGGRKHTPATSVGLRQQAAQQEKGCHGGNNVDDPLSLGSIYSSVPTPPLSLSLFVDPALLPSPTPVVIPTLSHLPTQLVVSSTQPHFIIIPALSSSLSQVPQPPSPLSVLAQVLMYEYQESPHCVTGTKNHVLYYAYIEMCRQLDPQGLFNV >ORGLA01G0224900.1 pep chromosome:AGI1.1:1:20614533:20614808:-1 gene:ORGLA01G0224900 transcript:ORGLA01G0224900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEALPVLEAEDGGRGGAGTRGGGGAQGGGDLRKAKERLREAIRELHHRDRHHQHGGGGDRDGKGPVDGEEDDGGSGLDKVVGWIRFCFC >ORGLA01G0224800.1 pep chromosome:AGI1.1:1:20602724:20605840:-1 gene:ORGLA01G0224800 transcript:ORGLA01G0224800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRGRGGDAERPHRERPCLSRRVTVQEQISSSFMDSPPPPHLDVAPFFGADLLPSGRLRRMRGYRHSPVGLEEEIMMFQTRVLLGGMSMYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREDEIVRNLRKVKHPAFDSSFRYSTEMEKKCSICQEEFEANEEMGRLDCGHSYHVYCIKQWLSQKNVCPVCKTAVTKT >ORGLA01G0224700.1 pep chromosome:AGI1.1:1:20597600:20601927:1 gene:ORGLA01G0224700 transcript:ORGLA01G0224700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHAANLRVLLAGAAFAHLSSPAATACASSPSAPPPPAPPPPPRVRAFASYRASPPPPPPPQPSSPSPSRALASAAAACEQGAKPAICTADELHYVPVPGTEWRLALWRYRPPPEAPKRNHPLMLLSGVATNAIGFDLSPGASFARHMSMQGFDTWIVEVRGAGLSMRGSELAVADTTSDMSRSSALDEITTQKLNGAGPAKDDSTCLAQNFEVPVVEDKFEVPVVEDKDVIESNASEEPQLVTKLSNTLARLGDTFSGYVKDSRLRSIADGFFNQVSELVPDASLTSSLEEVSEKILGLLELPQTSAISDQISHLSQRLVNILGEGQQNISPRLFGWQERLSATIEDLQKQLELIISYDWDFDHYLEEDVPAAMNYIKQQSPTKDGKLLAIGHSMGGILLYAMVSKCGCEGAEPELVAIVTLASSVDYTTSNSSLKLLLPLADPAEMLRVPAIPLGTLLSTTYPISSRAPYILSLLRSQISSKDMMDPDLLSKLVLNNFCTVPAKVLLQLTTAFRDGGLRNRAGTFFFKQHLHKIKVPILALAGDEDLICPPEAVYETVKLIPQHLVTYKVFGKPEGPHYAHYDLVGGRKAVHEVYPCIIEFLSQHDEVSS >ORGLA01G0224600.1 pep chromosome:AGI1.1:1:20595623:20596045:-1 gene:ORGLA01G0224600 transcript:ORGLA01G0224600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XLLHELGAARGVDGGRHGRKRRAPAWPPATSSQRGMAVDGGGCNLRQRAPAHGYGRRKMQPPVTSFGVAAGDELRRGAARGQRRTWPPATSPAWRVAAEDATASNKPGVGRGSEGRGYRRRAPTWGVDDEGHGCRRRPLT >ORGLA01G0224500.1 pep chromosome:AGI1.1:1:20592706:20594750:1 gene:ORGLA01G0224500 transcript:ORGLA01G0224500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAITMDENLGRSGSFRAAMSIFGESINGRKADKNRGTVPAQENLSSEMKQLAQSGLDKLNERKAYVDKERAGAESELSRARAMAKELERQIEQTTAKATSQRSELQAMWAARTRRKGTDAPGAERDARYAEVVQELDQAKKELLRLRLEVRLRAVDEMKRRVDEANEEHVLVELARIEAERERREIEAQRGAEAERFAADIEAARARVRALQKKASRAREMEAKLAVTNSDVEVLQAEMELVRAMEKSHAKSDEAAEDAARRKKEEAQDKALLKTAEAELDAAKKELETIKAGSFQFMTSMDRTRTEIMRVAEEISLLKAKEKKADAQVQQLNTKLLKAKARLEAVTAADERSKAIVSNLAAAMKQLKAETEAARMEEDLTKLEKRCVIAEAENIEKEIATTEGRIKQSVKELDAAKALEAEAMRKLRDTVESTMQARASSAARRQGTMTISRFEYEYLTGRAALVRVVADKKVAAAQAWVQALKASEKEAAARAEAAEREVREMEARAAQVAAEAEKTAAEQKELEQELYDLNAAAERDGLQCAYPRRRSSRVSATSRRSKPRRSSVSAGAWNPRSPSFTIKRKRKVMPNLLKLIKDKRGGKKNTN >ORGLA01G0224400.1 pep chromosome:AGI1.1:1:20588446:20589236:-1 gene:ORGLA01G0224400 transcript:ORGLA01G0224400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGGELRLLGTWSSPWVIRVRVALGMKGLSYEYTEEDLSSKSDLLLRSNPVHEKVPVLIHGGRPVCESLVVLEYIDETWGATGTPQLLPADPYDRATARFWTNYVNDTFFPSWKVLFRSTAAEQRAEAFKNVVPRVEALERAFGECSKGKAFFGGDDAGLVDVALGSHLVWIKVVDEVAGANLLDEAKFPGLAAWAERFLAVDAVRQVMPDAGDVLKQYKGFLAKWTAGAGSS >ORGLA01G0224300.1 pep chromosome:AGI1.1:1:20586309:20587138:-1 gene:ORGLA01G0224300 transcript:ORGLA01G0224300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGGGELQLLGTWYSPYAMRAKIALGLKGLSYEYIEQDLSGKSELLLKSNPVHKKVPVLIHGGRPVCESRVVLEYVDEAWPRAAPPLLPADPHGRATARFWATYFDSTFFPPWRALMRATTAEERAEAFRNAVPQVEVLERAFVECSKGKAFFGGDAVGLVDVVVGGFVVWFKAVDEVAGTSLLDEAKFPGLAAWAERLLAVDAVREAMPDAGKLLEHYKGFLAKLASPAGST >ORGLA01G0224200.1 pep chromosome:AGI1.1:1:20580542:20580757:-1 gene:ORGLA01G0224200 transcript:ORGLA01G0224200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNLKNASATSEAAAAASRHPMAEWLHRFLHGRQWEVGFGSNEKKGTLQETSIIIYISLKVMVMKKKRID >ORGLA01G0224100.1 pep chromosome:AGI1.1:1:20574936:20578962:1 gene:ORGLA01G0224100 transcript:ORGLA01G0224100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:I1NQV1] MDLDLWISKVKEGQHLAEHELQSLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPETNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDVRTVDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTAEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFSETMEREVKFFTETEENNQMRGPRTAVPYFL >ORGLA01G0224000.1 pep chromosome:AGI1.1:1:20564241:20571973:1 gene:ORGLA01G0224000 transcript:ORGLA01G0224000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGDRARAPKRHKSSAPSRSIDETAELDYTDDVDDDVRDADREVKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVMSKLSKTKLPREIIDFIHASTANYGKVKLVLKKNRYFVESPFPEVLKTLLKDDIICRARISPEDSVGAPSFTISKTAGEMASGHEDLLDGMELAAATEDKETHSFEIDPSQVENVKQRCLPNALNFPMLEEYDFRNDTVNPDLEMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDEHISRFTSDNKEKFRGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYAMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTSYAMKLRKPMIYGSTSHVERTRILHQFKNSSDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPEELPNLKYYTLNDQLELLAQSLSARDDMIGIEHLEEDSDGKALMKARRSAGSMSAFSGSGGMVYMEYSTGKGKAGASKKPKDPSKRHYLFKKRYQ >ORGLA01G0223900.1 pep chromosome:AGI1.1:1:20560567:20563937:-1 gene:ORGLA01G0223900 transcript:ORGLA01G0223900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWVRAVVEAIHSSRAQAVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSMASMAQLLGKMPLQFTSKQAAEDMALAAFNRALKLSGPGLQVMGVGFTGSLASSRPKHGEHRFYVSTRTQNCLRTSHVTLSKGLRSREEEDKVSSYFLLKAIADGCKVPATIQSDVQEPELPKESVEQFDEDQELQQVIDGQVCMKVYHFSDSMDKNFNRKIILPGSFNPLHDGHLRLLEVASSMCDDGLPCFEISAINADKPPLSIAEIKRRVEQFRKDAGKNVIISNQPYFYKKAELFPGSAFVIGADTAARLVNPKYYGGDYNRMLEILLECKNTGTTFLVGGRNIEGVFKVLEDLDIPVELREMFISIPEEKFRMDISSTDIRKKQGP >ORGLA01G0223800.1 pep chromosome:AGI1.1:1:20558553:20559813:1 gene:ORGLA01G0223800 transcript:ORGLA01G0223800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:I1NQU8] MPPHFPRDSDSDQNVRMPFHGHKSIHKLLGGGQVEYNIIPLLCQIAILAMLVIFIWSNAAPLLDRAPPRIPEIIISEHAFREMALTVHYKLTYTVSVLYDIACGKDLKRFLLFWTNNQIVYDIKVVGSLLVLSAIGSSCSLTSLLYIGFLCAHTLPVLYQRYKTEVDHLVAKGSDDIKKFYKKVDSNLLNKIPRGPVKTKVK >ORGLA01G0223700.1 pep chromosome:AGI1.1:1:20557543:20557881:-1 gene:ORGLA01G0223700 transcript:ORGLA01G0223700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPSVFSTVVAAIAIAALLSSLLLLQATPAAASARASKKASCDLMQLSPCVSAFSGEGQGSPSSACCSKLKAQGSSCLCLYKDDPKVKRIVSSNRTKRVFTACKVPAPNC >ORGLA01G0223600.1 pep chromosome:AGI1.1:1:20556801:20557112:-1 gene:ORGLA01G0223600 transcript:ORGLA01G0223600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKPNVVLVLVLVMAAVTSPGTLCGASRTAPAAATKCDPLALRPCAAAILWGEAPSTACCAGLRAQRRCLCRYAKNPDLRKYINSQNSRKVAAACSVPAPRC >ORGLA01G0223500.1 pep chromosome:AGI1.1:1:20553051:20554894:1 gene:ORGLA01G0223500 transcript:ORGLA01G0223500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKLCFLASVLLSMSTVDLAMAASGGANIAVYWGQNGSEGTLGETCGTGLYAYVNLAFLSTFGAGRAPVLNLADHCDAPSGTCASLAADIASCQAAGVKVLLSIGGGALGYNLSSPSDARDLAAYLWDNFLGGEGATGAPRPLGDAVLDGVDFDIESPSRFYDDLARNLASLYTRAPRPPRWGKTTYLLTAAPQCPYPDASLAAALATGLFDHVWVQFYNNPPCQYAAAPGDASALRSAWQQWTAGLPASTVFLGLPASLEAANSGFVDADTLASQVLPMVEGAANYGGIMLWSRSYDKDSSFSVKLQAALQNRNKPTGTTLLFFLRGRICKWLTFVYSDFLIQYVVLHYYPGQLRFYN >ORGLA01G0223400.1 pep chromosome:AGI1.1:1:20547425:20551808:1 gene:ORGLA01G0223400 transcript:ORGLA01G0223400.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAMAHLPLLLLSLLLIGVHASVSHGSPLPPTYNTSICSKSYKCGGVNISYPFYLSNATGETYDYTQFSCGYTDLKIFCSRDRPGRNETPTILLGGDNYTVLNIIYDSQTIVLADTDALRGGSCPRVRHNFTFGQAYEWLQYTGSPDNLTFFFGCKLNLAPPIVPGLVSVADQYQINCKTFSNGPDGGDSFVFTSGELEAPAESELARRCSQVIVVPVNGSILNSSNQSALPSGGYGQVLNKGFDLAWNSRKDDQCNKCEQSRGYCSYSQNRIFLGCLCSDGKVGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYFPQWIYEHLDEYCISSSEIDGETTELVRKMVVVALWCIQVVPTNRPTMTRVVEMLEGSTGGLELPPKVLLSW >ORGLA01G0223300.1 pep chromosome:AGI1.1:1:20544196:20544981:1 gene:ORGLA01G0223300 transcript:ORGLA01G0223300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLLLSVVLVLFAAADASPSACRNATCGGQTVAYPFWLLNASAFDCGYPGLGLLCVDSTTLILPFRTHQYRVLDIEYGKHTISLTDADMEHSDTNSSCPHLHANLTIDDNSWLQLASSDSNITFLYKCKSNSSLLSSTSAVKLTRCGAGPEHIGTSYVFLDGWITGEAYDYECEMVVVAPVIDAHKKAMVDAHGGLPPADRSFGDVLSAGFELTYSAQSEKCGQCERTGGLCGYRHTGTSTTMDFTCFCDGSAITDDHC >ORGLA01G0223200.1 pep chromosome:AGI1.1:1:20532069:20532972:-1 gene:ORGLA01G0223200 transcript:ORGLA01G0223200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRLLLLLLPVVLVLFAEADASPSACRNATCGDVPVAYPFWLIDNSSALGCGYPGLGLRCVDNTTLILPFRTHQYRVLDIEYGKHTISLTDADMQHSDTNSSCPHLHANLTIDDNSWLQLASSDSNITFLYNCKSNSSLLSSTSAVKLTGCGAGPEHIGSSYVFLDGWITGEAYDYECETVVVAPVIDAHKKAMVDAHGGLPPADGSFGDVLSAGFELTYSAHSDKCGKCERTKGWCGYRHNETSTTMDFTCFCDEGPTKSHCELGPGISNSNY >ORGLA01G0223100.1 pep chromosome:AGI1.1:1:20512824:20514566:-1 gene:ORGLA01G0223100 transcript:ORGLA01G0223100.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKTKRSNSKIKIIAGVVGGGLFAMFALGLIAIVFFVRKRKHKKVNSSSKLLKYSGSGGTPRSMGGDMESGSVKDLQTHLFSYEELEEATDSFNENRELGDGGFGTVYKGILRDGRVVAVKRLYNNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSQSRELLLVYEFVANGTVADHLHGHRAQERALSWPLRLNIAVESAAALTYLHAIEPPIVHRDVKTTNILLDADFHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKSQLEELVDLELGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEGLKGVQDLCVMEKDGGKDKKGPDPPLSPDTVHAQWDSRQTTPNTSQ >ORGLA01G0223000.1 pep chromosome:AGI1.1:1:20511625:20512130:1 gene:ORGLA01G0223000 transcript:ORGLA01G0223000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHNNGGTELLHDTEVRGELLLPPSKRQPWYSRKSTAPLLLAKHSSRTSSSTVNGGGISSGAADSTTLARSTAARRVHLAAPSSFNSDDDSGRLAALSSFDDNDRYLVAPRHGQAERPVRLRHEEHLHAQLGDVFEVRGMREFDLRRRR >ORGLA01G0222900.1 pep chromosome:AGI1.1:1:20507803:20510745:1 gene:ORGLA01G0222900 transcript:ORGLA01G0222900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTIAARFAFFPPTPPSYTVVADAATGRLAIPEISRPPARRRRRDGGGDASASGAAPAEDEDGTEVVRLRTRRGNEIVGVHVRHERASATLLYSHGNAADLGQMYGLFVELSRRLRINLFGYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASRLPNLRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKIGLVNCPVLVIHGTSDDVVDCSHGKQLWELCKVKYSPLWLTGGGHCNLELYPDYIKHLKKFVSSLGKKSSKPDLKEITMKEGASSKDSEPASSEKPQEAPKCSQISRKSLDSRVGKSKTVDVPEKPRMSSDDVDKFRRRRCLVW >ORGLA01G0222800.1 pep chromosome:AGI1.1:1:20497084:20500219:-1 gene:ORGLA01G0222800 transcript:ORGLA01G0222800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASKDQIGRGGGTGDADGGDGAKRKEDALASSRLLDPDFKPSKLSQDRLDKFKELHKKRLQIKEKPKCKGKSRGSTKKNTKVTSDCSIVDKDESIGNVAIDVQHTASAAGTQVDLASSFPSRNKRKLHWGLDVKERWERKANM >ORGLA01G0222700.1 pep chromosome:AGI1.1:1:20493116:20493447:-1 gene:ORGLA01G0222700 transcript:ORGLA01G0222700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIVVEEHVAVSIIVPWSETMLTCLPPCSKFMDASNLALFDEYGGSELILPLPGYASLG >ORGLA01G0222600.1 pep chromosome:AGI1.1:1:20477144:20487725:-1 gene:ORGLA01G0222600 transcript:ORGLA01G0222600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPMAGEGTLAAVMPRSPSPTASAAAGSAAEAPMLIFLYFHKAIRAELEGLHVAAVRLATERAGDVGALAERCRFFVNIYKHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFSQLFALLQLDIQNDDSLRRELASCTGAIQTCLSQHMSKEEEQVFPLLTKKFSYEEQADLVWQFLCNIPVNMMAEFLPWLSSSVSSDEHEDIRSCLCKIVPEEKLLQQVVFAWIEGKTTRKVTENSTKSNSEATCDCKDASSIDHADNHISSHEDSKAGNKKYAESIDGQVERHPIDEILYWHNAIRKELIDIAEETRRMQQSGNFSDISSFNARLQFIADVCIFHSIAEDQVVFPAVDSELSFVHEHAEEERRFNNFRCLIQQIQIAGAKSTALDFYSELCSHADQIMETIEKHFCDEETKVLPQARMLFSPEKQRQLLYKSLCVMPLKLLERVLPWLVSKLSDEEASSFLENMRLAAPSSETALVTLFSGWACKARSEDKSNSGEYLCLTSGEMRCLLDEVDGLEKCRPFCPCASRSNTDASLHPQTENGSRPGKRGNDAESVPGTNGSDLSQTDDTEAHPCSKKPCCIPGLRVETGNLAISSSLASAKSFRSLSYNSSAPSLYSSLFSWETDASLSCSDGISRPIDTIFKFHKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESRETLHNVSHSYTLDHKQEEQLFGDISDALAELSQLHERLTHPHIEVSEAEKNDFNSSDEIDWTRKYNELATKLQGMCKSIRAALTNHVHREELELWPLFDEHFSVEEQDKLVGRIIGSTGAEVLQSMLPWVTSALTQEEQNMMLDTWKQATKNTMFGEWLNEWWKGAPTSSDSSEEASSAPEDSHLQDKIDQNDQMFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPEPKSEECSEGAGIPGCAPSYRDQEKQIFGCEHYKRNCKLVAACCNKLFTCRFCHDKISDHTMERKATQEMMCMVCLKVQPVGPNCQTPSCNGLSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGVDFFHCMKCNCCLGMKLTEHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYTCSHYTCPICCKSLGDMAVYFGMLDALLAAEELPEEYRDRCQDILCNDCERKGRSRFHWLYHKCGSCGSYNTRVIKTDTADCSTPN >ORGLA01G0222500.1 pep chromosome:AGI1.1:1:20473438:20473890:1 gene:ORGLA01G0222500 transcript:ORGLA01G0222500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGALRVRPPHGEGHLIPAVDTALLLATHGALCTVVATPATVARVRTHRRLGLTVRLEAVLKEKSGELAFPRIRQVFMPNNTHAIVRRAVRSNLAMFLPPGWARAREEHMEGYVKSYLDMSWAPIVSRLAAGAATAAATKPAAVSVLRRQ >ORGLA01G0222400.1 pep chromosome:AGI1.1:1:20462306:20463240:1 gene:ORGLA01G0222400 transcript:ORGLA01G0222400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKPPTAHHLKPWVPTPTPRSHRVPSLPAVSGGGGGGARPARDRRRSSSSSSHRRGGTTTDAGAVDEEEVVEAYDGGLEDLRAKLMGHIKDVADRLHLPQPKPQPRSPEPETPPAPAPLPPPPPPPPDTSVAAAARPWNLRERKRRPSARGSTAASPTTAWARRADTTRGGGERPPFAVALAAEEIEEDMYALTGGRPRRRPRKRPRVVQRQLDSLFPGLWLTEITADAYKVPDD >ORGLA01G0222300.1 pep chromosome:AGI1.1:1:20456245:20457195:-1 gene:ORGLA01G0222300 transcript:ORGLA01G0222300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGDQHGPVIGIDLGKACSCVAVWQNGRAEIVTNEHGGRATPSYAAFTDTERLVGDAAKSQASRNPTNTVFATKRLMGRRFSDASVQDGLKLWPFKVVPGRGDKPMVAASYKGKQKLLAAEEVASMLLSKMKAEAEAYIGGQSRTPWSRCPRRSTSSSAGPPNTHAPSRGSTSSASSTGPRPPPSPLASTKSRATRMCSSLILEAVIPACHSSPSRPARSPSGRPPATPTLGFQRPHGRTLRRSVQGSAQERRRSQREGYGEAQGGVRAGEEDALVGELGRH >ORGLA01G0222200.1 pep chromosome:AGI1.1:1:20444915:20445706:-1 gene:ORGLA01G0222200 transcript:ORGLA01G0222200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAAVDDHDRHRAGNSSSPSEDSPAPAPAPPQPSRTRLHSFSFPTLSWGTHRLLRCSKNPASSPPPAAPDTPSPDKEKAAHRSTDGVGGGGSPQRGPQRPWNLRTRRSATAAPRPEGSDDAADAAPERAPSPLAATKKRVFSIVLSKEEIGQDFKAIRGTRPPRRPKKRPRTVQRQLDLLYPGLCLADLTPETYKIEER >ORGLA01G0222100.1 pep chromosome:AGI1.1:1:20434017:20434532:-1 gene:ORGLA01G0222100 transcript:ORGLA01G0222100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPWEDKRNRGQYSGVLVYCYDAGRGLVHAQTRAAGEGEASPPSSAKNKATSMMAFAVSRALETSNRNNYQGGKAGWARGGSKCMHADAGAAPRHRRGPRVRQLVQVLAQLVAARTRRTQQRPAPRRPSDGESQQPSSGPRCLCILLRATPSTVQVNTRACKCTHGVGIL >ORGLA01G0222000.1 pep chromosome:AGI1.1:1:20431182:20432273:1 gene:ORGLA01G0222000 transcript:ORGLA01G0222000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAGVGRDGSFASQKRPRRVSVRMERSRVGDGCCCSCSGRGGVASTTAVRPSTGMVVIVGATGTGKTKLSIDAAQELAGEVVNADKIQLYDGLDVTTNKVSLADRRGVPHHLLGAIRAEAGELPPSSFRSLAAAAAAGIASRGRVPVVAGGSNSLIHALLADPIDAAPRDPFADADVGYRPALRFPCCLLWVDVDDDVLDEYLDRRVDDMVGEGMVEELEEYFATTSASERASHAGLGKAIGVPELGDYFAGRKSLDAAIDEIKANTRVLAARQVGKIRRMADVWGWPIRRLDATATIRARLSGAGRAAEAAAWERDVRGPGLAAMRQFVGRADFNAAAVDQLAARSRRQCLRGGMVAG >ORGLA01G0221900.1 pep chromosome:AGI1.1:1:20412468:20415469:-1 gene:ORGLA01G0221900 transcript:ORGLA01G0221900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAQCLDWAPARRRVRGAFAPVLPTLDHCLFKMAPKGIQMEENFETNSKGVEIFWKSWLPREGTATKAALFFCHGYGDTCTFFFEGIAKRIAAAGYAVYAMDYPGFGLSYGLHGYIASFDGMVEHVIEQYSRIKGRKEVRGLPHFLLGQSMGGAVALKVHLKQPKEWDGVLLVAPMCKISEDVTPPAPVLKALSILSCLLPEAKLFPQKDIGDLAFRDPSKRKVAEYNAISYTQQMRLRTAVELLKATKDIESQLEKICSPLLILHGAADMVTDPHVSEFLYEKASTKDKTLKLYEDGYHSILEGEPDDRISTAINDIISWLDSHC >ORGLA01G0221800.1 pep chromosome:AGI1.1:1:20410045:20410428:-1 gene:ORGLA01G0221800 transcript:ORGLA01G0221800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRHRHRDDPPLTLAKKGRWRGSIATAVVVALPLPTKVAGSSELASRDQIRCRCHSRRGGGEASELAGKPGATACEEGEREKPARSLWIRRGHGGDGRDPADRGGGGKQIETKGGGVSVGGGGEGDP >ORGLA01G0221700.1 pep chromosome:AGI1.1:1:20404574:20408619:-1 gene:ORGLA01G0221700 transcript:ORGLA01G0221700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATFLLPLLLLSTLLPAAVPLPPRPPVRCDGSGGGDGSGCVLSNAYGAWSSDRADCPVAAVAYPASEADVVAAVAGASAKNMPVKVVSGFAHTIPKLACPGNGSGSSGGAASSLLISTARYDGVAVDAAARTVTADAGAPLRAVIDAAEASGLSLTAAPYWEGVSVGGLVSTGSHGSSWWGRGGAVHDHVVALRLVVPAGAADGWAKAVALRRGDALFNAALVSLGLLGVISKVTLALEPRFKRSISYEYRDDSTFQDDFARHAANHEFADITWYPSQHKAVYRIDDRMPLNATGDGVNDFIGFQSTLIAVSSGIRALETALEASRNVKGKCKMAAAEIAAKRLVGNGLRGAGGRLFTGYPVVGFQGRMQTSGSCARSPPTDTLSACPWDPRYKGLFFYESTAMFSPAARFRDFVLDVKRLRDVDPDSMCGVDAYNGLLVRFVKASEAYLGQPEDTVVVDFNYYRASDGSSPRLSQDVWEEVEQLAFVKHGARPHWAKNRLAAFRGVRGKYPSWDKFAAAKRQLDPRGLFDSRWSDEVVGGEEQLPKADGCALDGRCVCSEDRHCSPSKGYYCRPGLVYSEARVCRYSVSQLV >ORGLA01G0221600.1 pep chromosome:AGI1.1:1:20402674:20402802:-1 gene:ORGLA01G0221600 transcript:ORGLA01G0221600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPRHLGKRKVLAMVTPSPPYMYPPHPNNVYPQADHQAEQR >ORGLA01G0221500.1 pep chromosome:AGI1.1:1:20396858:20399616:-1 gene:ORGLA01G0221500 transcript:ORGLA01G0221500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein [Source:Projected from Arabidopsis thaliana (AT1G25420) TAIR;Acc:AT1G25420] MSSLNSLFNRSTFGTKCKTCLNLVISRIKLLRNRRELQLINMRKEMVQYLQTGQESIARIRVEHIIREQNILAAYEIVELFCEFVLARVPIVEVQKECPLELREAIASIIFASGRCSDLPELMHLRTLFTTKYGKEFVAAAMELRPDSGVNRTIIEKLSVKAPSAESKLKVLKAIAQEYGLEWDSSNTEAELNKKYEDLLDGSGSSARQGQLPIIENSPVASISRDMPSLSISPVEDTGKYQAAPQSPSSPAGSAVMHAATKSNTVSQEHHRSPADEISCASPSSSDVLEKARAAIAAANRASAAARAAADLVKVKITS >ORGLA01G0221400.1 pep chromosome:AGI1.1:1:20393969:20396156:-1 gene:ORGLA01G0221400 transcript:ORGLA01G0221400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAAAYYDELNRKGEGARRFKQGLGFSSSDPQSTSFPSKPPSTSSSSSFLSGFVRAGATPAPAQPTKPPPHEPSRTGRHSRSPSPSRRHRTRSRSPSRSRRHRSRSRERRRRSRSREREREDRRASRRRSRSRSRSRSPSRRSGRSSYSEDQRDRRRDDGGGRRESSKGRGGREGGKVDYSRLIEGYDRMTPAERVKAKMKLQLSETASKDSTLGNATVGWERFEFNKDAPLDEDDNDVEVANDDASLVKHIGKSFRLSAVQSKHEDTVRDAHENAIFGVPAYPIVDTETTEAEPETNDESEKAKDVEAEPSSSLISDKVLATQSGSWRERAQKLRQNPNA >ORGLA01G0221300.1 pep chromosome:AGI1.1:1:20388738:20391971:1 gene:ORGLA01G0221300 transcript:ORGLA01G0221300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRLFCCAAKPASAAAGGTSKKNIVFLGSPQVAASVLETLLVASESPDSAFQVAAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPGDLIFTPERAGEEAFLSDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPVIASEKFAVDEYIKAPELLAILFNLGSKLLLHELPSILDGTAKEKAKPQDDSKVTHAPKLNSDESWLLFNQEAKLLHNKVRAFAGWPGTRAKLQLVNQNGEPDVLEIKVISTKACASCDKTGDGNEVLFSGNSLLIPCGGSSCLEVVELQLPGKKVTTARDFWNGLRGQKLLKSP >ORGLA01G0221200.1 pep chromosome:AGI1.1:1:20382619:20383503:-1 gene:ORGLA01G0221200 transcript:ORGLA01G0221200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLCCSSQFLLAVSFLAAFAAVSNAGKVAVYWGQGADNGDGTLAETCATGLYDFVNIAFLNVYGSGLTPVLNLAAHCNPDAGTCKSLSSEISSCQQSGVKVLLSLGGERGQYSLSSADDARGVADYLWNTFLGGSSDSRPLGDAVLDGIDFDIEQGDGAHYDELATAIASRCNSAGGCLLTAAPQCPYPDAHLDLRSRPGCSATCGCSSTTTHWRTASTPTATRARCRPRGRSGRAACRRPRTSSWACRRRRPLHRAEATSTRTRCCRRCYRRWRARRRTTAESCCGIAGET >ORGLA01G0221100.1 pep chromosome:AGI1.1:1:20375181:20378207:1 gene:ORGLA01G0221100 transcript:ORGLA01G0221100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSTNRFCKKVDLEVLPSRSDVGESSYHKHSLWMAHWARPSISPEPQNGQSCSPLKEIDDVGYSKDCGALPFELMKARVAERLMVGVSHGGVSAVNTRQFSTNMRGVARDVCQEVQCKNVDQMGSSFESSVMQKNVNLYAAKTVVSERYSVHKISDILVDSRKLCGTENLSSEWNHFPMFEINRKIDSILNPRRSTLVTSSEKIFVPQKSVKINMSTSNVMSFSSKEYQLHTHQVTDENRQCKSARGMLSHLDNYTGLNSDHAGKKLKGHLSIEEPCSCSKDDTDSSCSLADEHHARHYIPNSKKSPHRSCKNSSVYSASKMENQFVEGSLLEHKSEVYGACKKKQHLEGVAFHESALHREHQIKSVKTTAITNEGDMDTNGHHVDFGNLLQSDQQYLNKHTEDSAVNLTESCKTPDAIDSAMILKSKDESLAQEKRTNNKLIDNKRKGPCLFEMFTQPTKSNAKCSIDRTSSGKSCGNMTSGLLGAQKQFSTKTDTFYSEAHHASKSTAGFASASMQKDLGYPSSAKTEQLVTSSVKGVSSGSKGNEAVNASAEHRDFYPKATCANNQEWSMSKTSSMNLDLVLFQISRLKNPIPNALNESPACPDPSEKWLKRLQHDTSDSHVPCSKKPKVGDGPLAGGTCTVFGQVFDCDSDSTGMINHVKNKLICKGLTDQQSQEGSPMSAKSLNRWIGRWCRGGTPVFHGTSNLERQEAKSGMPSDDLEGQFPSIAAMAMMGRVMNKLRPCELQKRGPSVVWRTEGL >ORGLA01G0221000.1 pep chromosome:AGI1.1:1:20358157:20360324:1 gene:ORGLA01G0221000 transcript:ORGLA01G0221000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQESLVLAGVMHGHNDVVTAIATPIDNSPFIVSSSRDKSLLVWDLTNPVQNVGEGAGASEYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGVTTRRFVGHDKDVLSVAFSVDNRQIVSASRDRTIKLWNTLGECKYTIGGDLGGGEGHNGWVSCVRFSPNTFQPTIVSGSWDRTVKVWNLTNCKLRCNLEGHGGYVNAVAVSPDGSLCASGGKDGVTLLWDLAEGKRLYSLDAGSIIHSLCFSPNRYWLCAATQDSIKIWDLESKHIVQDLKPEIPVSKNQMLYCTSLNWSADGSTLYAGYTDGTIRIYKISGFSYAG >ORGLA01G0220900.1 pep chromosome:AGI1.1:1:20353447:20355438:-1 gene:ORGLA01G0220900 transcript:ORGLA01G0220900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFALMAGRLLTESTLQSAVQEALAVASVKIVHDQPDLPVHEDVQDGKAKSGVMVECRICQEEGDESYMETPCCCKGSLKARTFGVSSLMVNADNLSFPIDVLFLPAILTQQISLLSEYLLELVCHCLQYAHHACIQRWCNEKGDTVCEICLQQFTPNYTAPLKLFRHGRNLISFRRSGERSDNVDTDRSQEHFAQTSDQAAGTSSFDSQNSSPKGVFYCRVVAISLMALLVLRDAISLILGDPEVYSIALFTLLMIRTAGIVIPIYIILVSVTTLLHRYRQHQAVQEATDSEPGGGEGLRPMPPPQHVISIQ >ORGLA01G0220800.1 pep chromosome:AGI1.1:1:20347354:20348472:1 gene:ORGLA01G0220800 transcript:ORGLA01G0220800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKVAAPTKIQKSLIRPLFRCQASMNARVTFACELHAAEENLVVLTASFDHAHHIYYLVYDGIDESLTMIPSPSPHRFVAFHPRPVLRRRATGGYDLALIARYLSRKSREDGDVLLLFTKEKESSCCSDQWMEKKKGMRLPTGPLGFFCPDMIFSFKGNIFWVDLSQGFMCCDTNVLFSGDTVDFRHLSFPPPPPQYLLHDIIKSQELGPMEMYRTMGVSGGSIKFVSINTPATDAAAGKPPGRPCHDATALANTTVAVWTLDQGGLCWKKDVEFRLGNIWSQRDYKQSGLPRMVPVWPFLRPHAHGTLYFLVPKPMTGPSDPQMYHICGLDMCTKKIQLSQYSVRSNILRPAAFPTNAFQHLDESPLATK >ORGLA01G0220700.1 pep chromosome:AGI1.1:1:20339929:20344784:1 gene:ORGLA01G0220700 transcript:ORGLA01G0220700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NQR7] MAGIRLTPEEPELPQGTPPRPQLPPAFAGAGAVLAGSGSGGGGGLEMASDDERSVAADSWSVRSEYGSTLDDDQRYADAAEVLAAAAASANFPSDTLQGYIVSCSCGVAVRTFYFVHFLVATSLMTAFRIVIKYVERGSARDVFASFVVIGQVGIGSQMRIQIDCVLTKMIKILARLKAQCWGSKVIGMLLIQKILQIFRNMGMLEKYDVMDTMAVWTKKLCIDIINGGTPSGNDSINCEVDEKQLSNYPVLDVGTGNGLLLQALAKQGFSNLTGTDYSEGAIELAKNLAARDGFTSINFLVDDILETKLDRKFKIVTDKGTLDAIGLHPDGRIKRVMYWESVSNLVEPGGIVVVTSCNHTKDELVQEVEDFSKTKSGKEHLDEGEGNVPQIFRYIDHVRTYPTIMFGGVEGSQVCTVAFQRV >ORGLA01G0220600.1 pep chromosome:AGI1.1:1:20334860:20336296:1 gene:ORGLA01G0220600 transcript:ORGLA01G0220600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRAASEMSQESAAAAATGMAPAPAKAQPHVLLVSSPFQSHVNPLLRLGRRLAGKGLSVTFTTALRDGIRVFDDGDGGGGGVRVERLRGGGMWEPDDPRLRVPGDMARHVEAAGPAALEELIRREAEAGRPVACVVANAFVSWAVRVAGDVGLPCAILWIQSCAVLSVYYHYVYSLAAFPSGDEADSSGAVTIPGLPELDMDELRPLLIYTSDQEMWRQMLVGDLGSMTEKAPWVFVNTFDELEHEAVAGLRKHIPLIPVGPLVEPDDGGVDDDDVHGCTAWLDAQPRRSVVFVAFGSLVDIGHDEVVEIAEGLASTGRPFLWVLRDGNRALLPKDALIDACGGDRGKVVPWCEQRRVLAHAAVGCFVTHCGWNSTAEALAAGVLMVASPRWSDQRINTRFVVDVYRVGVRAPATPLTREALRLSVEEVTAGPEAEAMAARAAILGEKARAAVGGGGSSDRGVQAFVDRITSGGAEP >ORGLA01G0220500.1 pep chromosome:AGI1.1:1:20327609:20328916:1 gene:ORGLA01G0220500 transcript:ORGLA01G0220500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NQR5] MSQETPARRSPPHLLLVSAPLQGHVNPLLCLGGRLSSRGLLVTFTTVPHDGLKLKLQPNDDGAAMDVGSGRLRFEPLRGGRLWAPADPRYRAPGDMQRHIQDAGPAALEGLIRRQANAGRPVSFIVANAFAPWAAGVARDMGVPRAMLWTQSCAVLSLYYHHLYSLVAFPPAGAETGLPVPVPGLPALTVGELPALVYAPEPNVWRQALVADLVSLHDTLPWVLVNTFDELERVAIEALRAHLPVVPVGPLFDTGSGAGEDDDCVAWLDAQPPRSVVFVAFGSVVVIGRDETAEVAEGLASTGHPFLWVVRDDSRELHPHGESGGGGDKGKVVAWCEQRRVLAHPAVGCFVTHCGWNSTTEALAAGVPVVAYPAWSDQITNAKLLADVYGVGVRLPVPPTRDALRRCVDEVMSGPEAEAMRLRAREWSDKASAAVA >ORGLA01G0220400.1 pep chromosome:AGI1.1:1:20318038:20318753:1 gene:ORGLA01G0220400 transcript:ORGLA01G0220400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLRPPHAAMTPPSSHPRAIPRSALLASSRRRRTPPRPAPPAPGGSPFRRSPRQRRVVPLSAGALPGITRPSPSSLLPVAVHTTAACPRRRRGGSPFRRSPAWVYPTAPSNPCEGQRLQLHRLQAIDCGRYNGGDKERFAVISSLLSFAQHLLLVRLDEAISQTIAVAGCKP >ORGLA01G0220300.1 pep chromosome:AGI1.1:1:20312529:20313095:1 gene:ORGLA01G0220300 transcript:ORGLA01G0220300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHLASCTMARVPGAARGAKVVLPDGAVRAVKVPAKAAELMLEAPGHFLADARALRAGGRIAALGADEDLELGGLYAAFPMKRLGAPAAPADMARLAAAVSRDQAAARRSSATAKVAAVVVAPQHDAAMAEEEEDAARPRLDEMAVGDAAAEAEISELKQRISGGRRSRRPTLETIHEESYAPAARC >ORGLA01G0220200.1 pep chromosome:AGI1.1:1:20306324:20309962:1 gene:ORGLA01G0220200 transcript:ORGLA01G0220200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVKDQLHQMSTTCDSLLLELNVIWDEVGEPDTTRDRMLLELEQECLEVYRRKVDQANRSRAQLRKAIAEGEAELAGICSAMGEPPVHVRQSNQKLHGLREELNAIVPYLEEMKKKKVERWNQFVHVIEQIKKISSEIRPADFVPFKVPVDQSDLSLRKLDELTKDLESLQKEKSDRLKQVIEHLNSLHSLCEVLGIDFKQTVYEVHPSLDEAEGSKNLSNTTIERLAAAANRLREMKIQRMQKLQDFASSMLELWNLMDTPLEEQQMFQNITCNIAASEQEITEPNTLSTDFLNYVESEVLRLEQLKASKMKDLVLKKKAELEEHRRRAHLVGEEGYAEEFSIEAIEAGAIDPSLVLEQIEAHIATVKEEAFSRKDILEKVERWQNACEEEAWLEDYNKDDNRYNAGRGAHLTLKRAEKARTLVNKIPGMVDVLRTKIAAWKNERGKEDFTYDGVSLSSMLDEYMFVRQEKEQEKKRQRDQKKLQDQLKAEQEALYGSKPSPSKPLSTKKAPRHSMGGANRRLSLGGATMQPPKTDILHSKSVRAAKKTEEIGTLSPSSRGLDIAGLPIKKLSFNASTLRETETPRKPFAQITPGNSVSSTPVRPITNNTEDDENRTPKTFTALNPKTPMTVTAPMQMAMTPSLANKVSATPVSLVYDKPEVTLQEDIDYSFEERRLAIYLARQMV >ORGLA01G0220100.1 pep chromosome:AGI1.1:1:20300875:20304731:1 gene:ORGLA01G0220100 transcript:ORGLA01G0220100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta [Source:UniProtKB/TrEMBL;Acc:I1NQR1] XXXPGPHLRPPLRLPPPRRLPFPVPAPRAAPPHHRPSPAGFLLNRAAAAYASSAAAQAAPAPPPASGKATGGGKITDEFTGAGAVGQVCQVIGAVVDVRFDEGLPPILTALEVLDHNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVQSFQGVLDGKYDDLPEQSFYMVGGIDEVIAKAEKIAKESAS >ORGLA01G0220000.1 pep chromosome:AGI1.1:1:20283508:20295163:-1 gene:ORGLA01G0220000 transcript:ORGLA01G0220000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase [Source:UniProtKB/TrEMBL;Acc:I1NQR0] MAYLFSSPDSKSLSVATSTLFLSSLASLPSPHSEPPSASAASPLSPVPASVPPTALIPGSRFLVDAFRHAGDFTASYFLSHFHSDHYTGLGPSWRRGLVFCSPLTARLLVSVLSVPPQLVVVLDAGVRVTVDGWCVVAVDANHCPGAVQFLFRSSGPNAERYVHTGDFRFSQSMITEPNLLEFIGADAVFLDTTYCNPKFTFPPQKESLEYVVNSIKRVKEESRASGERVLCLIATYVVGKERILLEVARRCGCKIHVDSRKMEILTLLGIGGEDGVFTEDAAATDVHVTGWNILGETWPYFRPNFVKMKEIMVERGYNKAVGFVPTGWMYETKKEGFAVRTKDSLEIHLVPYSEHSSYDELRDYVKFLHPKRVIPTVGLDGGKPDSKEAFALQKHFAGLVDETANKQEFLMAFHRSSRNATLGPEDAVTGLSQQEGEVQELEEATLLPASLAFERSDSFQEKITVEMKKELSDFLPSWVSQDLILDLLIKSGGDVVQAATDFFEKERDFFEEANVYNSETPKSEIDLSSDHGSSADASSQQEVPLFSQKPMDHSSKLLNLNAMRMKSNLSKRERKRGSNSADKPKKKGRSTAFKPLTESSGRKQSTITNYFARTMLAASKSDTSDKVTVDTNQNNVRNDDQFTEVVESEKQSVSQLLQIVDGGMSRESAISLLEKAKGDVNVAVDIFYSKTDNSNVLENDMNIVTQNTENEMTDKSSSTGLLRNSSEDTPKMPNLCVQSYVAQADSVCISLPIEKYLPIEHACWTAGQPAPYLHLARTFDLVEREKGKIKTTAMLCNMFRSLLALSPDDVLPAVYLCTNKISPDHENIEALGSSRSKIHEMYKTFGDLGDVAQECRQNQMLLAPPRPLSIRDVFSTLRKLSGIAGSGSTGRRKILVLHLIRSCREMEMKFLVRTLVRNLRIGVMMKTILPALAHAVVIDGKYSNSPVLSLEGIKPQLQELSTEVAEAYNVIPNLDLLIPSLLREGTAFSASSLAMITGTPIPPMLARITNGLTQSLKLFNGRAFTCEYKYDGQRAQIHRSNDGSVQIFSRQMKESTSRFPDLVGMIKELCSIEVSSFILDAEVVGIDRKKGNKLMSFQELSSRERGSKHSSIAIQNIKVDICVFVFDIMFCNGQSLLNCSLRQRRKYIHDLFQEKPGHFELAQQLTVEADEASVDNSTTLERMNTFFKMACQSSCEGIMLKILDVDAGYSASKRCDSWLKVKRDYVGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPEYEEFQSVCRVMSGFSDEFYKEPQPAAALADCRRCAQRPAAGGLPLLDCSACRCATSCSPMLSPVVTHQLDAGSTPPIARDGESMEMMKEFYSGDRILPKKPVYYKTDELPELWFSAEQVWEIRGADLTLSPVHHAAIGLVHPSRGISVRMPRYIRSRPDRSPEDCSTATDVASLFKAQTRKMEVSSDGQDTSH >ORGLA01G0219900.1 pep chromosome:AGI1.1:1:20272221:20273363:1 gene:ORGLA01G0219900 transcript:ORGLA01G0219900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKRGPWSPEEDELLRSYVRSHGTGGNWIALPQKAGLNRCGKSCRLRWLNYLRPDIKHGGYTDQEDRIICSLYNSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMAMHHHHQPPPPQQQHYHHHHHHRVAGGGARVTLVSPPPAPQSQCASMQPSPASASSSGGDACSFGAAAMYSPSPSTQQAPQAATLAVAGYTSVATAAAAAAVAAQRSPLDELICQVPPPPTTTAADCWASGVTLDDVFLPELVGAGEFPNGDLFGGFGPLLQDRSSMELSACYFPNAAAAEMWPAATDIVKPAGLCHSLT >ORGLA01G0219800.1 pep chromosome:AGI1.1:1:20265692:20268795:-1 gene:ORGLA01G0219800 transcript:ORGLA01G0219800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDAICQKYGRYDAEKLHGSGVAGEDPFARLYASVDADLNECLEKAEAAKQEKNRATVVALNAEIRGTKAKLLEEDLPKLQRLALKKVVKGLSKEELAIRGDLVTALPDRIQSIPDGSATSSKKTGLWGSSGSRAGTGIKFDSTYDLEWKLIYVGSAEDENYDQLLESVLVGPVNVGTYRFVLQADPPDPSKIRKEDIIGVIVLLLTCSYMGQEFIRVGYYVNNDNDDEQLREEPPAKLLIDRVQRNILADKPSVTKFPINFHPETSAGAGQEQQQQQQSGSPENHPNQGSKPNPDQ >ORGLA01G0219700.1 pep chromosome:AGI1.1:1:20256463:20259496:-1 gene:ORGLA01G0219700 transcript:ORGLA01G0219700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1NQQ7] MAAAAREEQPLLLRREEGEEEGEEVGWRRRWGSEAGKLAYLALPMVAVSLTNYAVQVSSNMMVGHLPGVLPLSSAAIATSLASVTGFSLLIGMASALETLCGQAYGAKQYHTLGVHTYRAILTLLVVCIPLSLLWVFMGKILVLIGQDPLISHGAGRYIVWLIPGLFANALIQPITKFLQSQSLIMPMLVASVATLVFHIPLCWLMVFKTGLGYTGAALSISISYWLNVAMLVAYILLSSSCKETRTPPTIEAFKGLDGFLRLALPSALMICLEWWSFELLILMSGLLPNPELQTSVLSICLTSITLLFTIPYGLGAGGSTRVANELGAGNPEGARSAVYVVLSVAVTEALIVCGTLLASRRLLGRAYSSEEEVISFVAMMVPLVCITVVTDGLQGVMSGIARGCGWQHLGAYVNLGSFYLLGIPMAILLGFVLHMGAKGLWMGIVCGSISQITLLSAITFFTNWQKMAENARERVFSEKPTEYHLVE >ORGLA01G0219600.1 pep chromosome:AGI1.1:1:20222889:20255809:1 gene:ORGLA01G0219600 transcript:ORGLA01G0219600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAATALAAKQEEVAQLGEGILHHFEQILHQDSLIDEVGFLHPTQFQSLEHSQTSNWTFEVPECPQRYLWCKDHKLAISTEILPKLYRASQHAYSNSAAAKDGSFMETDLMRHSKALLILCPDMLTAWNSRKIVLSVNYDFTKLKDELQLCALILSYSPKNESTWSHRGFFRKKVDAYDQQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQEIYLLSDCLNAPADEFGEACVQTELAALYILWISKQVPAVKLKLEKRLHSVGSLEDVLARACPLLKAEQGHALQHTYRVTDETTTYGYLVL >ORGLA01G0219500.1 pep chromosome:AGI1.1:1:20213066:20213875:1 gene:ORGLA01G0219500 transcript:ORGLA01G0219500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKRVKIAVHVARISSMILAIGVMYVIYIPTSYVKPLSYKYVLVAMWFEVLMCCTWGMAIAALSTALFARQHRFCISAFKCTRFLVGFALAVSVGFLSAVKALGMLWILGSQFPRAE >ORGLA01G0219400.1 pep chromosome:AGI1.1:1:20200077:20200937:1 gene:ORGLA01G0219400 transcript:ORGLA01G0219400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEKLDFVLVPLGLAVLAVYHLWLLYAVLRHPTRTVVGLNAIARKRWVTVMMAVAEHGEERRAGRADAAEQHHGVDGACHDGHHARLRHQRLPRRHGGKVPGVPLVVVVGGAAAGVREQDRGGVRGQVPGHLALLHARLRLQRAGHPPVRARQLPPRPAARRRRRRWRGGGGGGGGGGGGAGGVRGVRGADGEQGEPLLVAGTPRLLRVAGALHVDLRAHPDAGVQRAHVRTALLPRHHQGARRRRRGRDPPRSQG >ORGLA01G0219300.1 pep chromosome:AGI1.1:1:20197069:20199030:1 gene:ORGLA01G0219300 transcript:ORGLA01G0219300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab5-interacting family protein [Source:Projected from Arabidopsis thaliana (AT5G49540) TAIR;Acc:AT5G49540] MAAPATGGGISADVPILHSENLTSNVKSIYYSRTFLSIISGVVAGIWGFTGLMGFVFYLLVMMVASLGLLVKAKFSIHTYFDSWNRILIEGVFGGLMSFVLFWTFAYDIVHIF >ORGLA01G0219200.1 pep chromosome:AGI1.1:1:20189715:20195237:-1 gene:ORGLA01G0219200 transcript:ORGLA01G0219200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGSSNDQRMQDMEIWPSDGGGVEEYDPWTAWLYKPHTVSVLLAGACLLIWASGVLHPEITSSHDKVPIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGMAVVYLVALTFLLFQKRDDARQFMKHLHPGLGVELPERSYGSDCRMYVPENPTNRFINIQETLFDEFVIAHVLGWWGKAVMIRNQLLLWVLSVGFELMELTFRHMLPNFNECWWDSIILDIMICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLCQFTPAKWDKDQWHPFMEPRRFIQVFCLCVGFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPAIREYNTYLQDRKPVKKVGAFCWLSLAICMVELLICMKFGHGLFQDPMPTWLITLWICVGISLALFLLEWSRRDHLRSIKQL >ORGLA01G0219100.1 pep chromosome:AGI1.1:1:20184886:20189045:1 gene:ORGLA01G0219100 transcript:ORGLA01G0219100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) TAIR;Acc:AT2G01120] MAAAAAAASVASQAQAVLRGRLCDQAVVHSALRSSPDTNYSKLKYLVASSVSEACNNSVLLLGPRGCGKAAVRFSFLVYSSAPLSAVFSDAGVVFDEMCQWAIYDFTLWVVSAWTEVVDMVLDDLKKDHPDAISVIRLNGMLHSDDNCATKEIARQLCLEHQLSFSKMASSDDNTEFMIDMLRECGLAHKTIIFVLEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLLFVPSSVDSLQRLMEHLLALPEDSPLPTKYVREYNARITSIFNDKKFKGILSSLTDADATTSHILRFLFRVVSYMDIDSGLLSMQSFMNALSSMQRQPKMDSLQDLSILELYILVCMNRLEDKEKSSYNFITIMKEYKSVQDAYKTSDKYSHTVCFRAFEHLLDRELISFADNKGRNQALEYRPVKLLISSRELAESLKLNTTCPAVLQKLLDRERYM >ORGLA01G0219000.1 pep chromosome:AGI1.1:1:20159219:20165591:1 gene:ORGLA01G0219000 transcript:ORGLA01G0219000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80350) TAIR;Acc:AT1G80350] MVSALAGLQDHLKLARDYALEGLYDTSIIFFDGAIAQINKHLTTLDDALIRTKWLNCKKAICDEVEIVKQLDAQLKAFKEAPGARRSSSPPIRSNNKSFVFQPLDEYPTSSPAPFDDPDVWAPPRDTPNRRPTRGQSSTRKSSQDGAWARGPSKTGTPSRGAKPNGSKGNSGARSSTASSTGGRKGKSSSSKADSVSSDAEEGKSKKGQYEGPDMDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARFYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQIDGVNNSSTTEDGQPKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKALININLKTVEVATDVDIDEVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMSKDEISKDPVAMCDFEEALAKVQKSVSPADIEKHEKWQAEFGSA >ORGLA01G0218900.1 pep chromosome:AGI1.1:1:20149340:20152753:1 gene:ORGLA01G0218900 transcript:ORGLA01G0218900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80380) TAIR;Acc:AT1G80380] MTPLHAAPHHAAAAAAVVSSPSSAPLLLRAKPYHPKAAACSFTVTATTPSRKGSWHRMDGRIKKGIAAQVTIPLAILTDRPVSFFAPAFLSCPDHGQAAAGAAPPRSAPASSPALISSVQDLYDFICSGPLVDRIGYTKEKIAGSIDRWLRCGVQVARLFRLNELHLSEAEKARIYHFYIPVFLWCEDQVTEHRAKYKDGDKIPPLVIGVSAPQGSGKTTLVFALDYLFRVAGRNAATLSIDDFYLTAAEQGKLRERNPGNALLELRGNAGSHDLPFSVETLESLLKLTKEGLKMKLPRYDKSAFGGRGDRADPSTWPEVEGPLEVVLFEGWMLGFKPLPNEVVKAVDPQLEVVNKNLEAYYDAWDRFIGSWMVIKIKEPSCVYQWRLQAEIAMRADGKPGMSDEEVMDFVSRYLPAYHAYLPTLYKEGPNGSNPDHLLVVDIDEKRNPMWGR >ORGLA01G0218800.1 pep chromosome:AGI1.1:1:20137915:20141150:-1 gene:ORGLA01G0218800 transcript:ORGLA01G0218800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component family protein / Gaa1-like family protein [Source:Projected from Arabidopsis thaliana (AT5G19130) TAIR;Acc:AT5G19130] MTNNMAAKPNGTYTNFGINTVGIIRAPRGDGKEAIVLVTPYNSQKVTPNELLSLALGFSVFSLLSRAAWLSKDIVWLSADSQFGEYSAVSSWLNQYHNPMFLSHPVNLDTKIYGANQFLYKPDGTAEKAELMAFKRAGTMAAALIFKVGETRKYGDRDSVTMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNVETFNSLLSSSWLRVIAEVFQNLGSVLRKINPDWKLDVTVPDYVEGTANLASSMYNQALGVPTGSHGAFRDYQVDAVSLEFAPAFHLKNENAKSSFLLRGGRLTEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLLAPLPIVAAALAGGSKTKGKLEDECKTKGNADDLQMEGGSWKWLKSARVLLIIQFWAVLVSLLPYYISQIPGAMPIQYAVIWAVLSITILIILYAMFGSPSRAGVEWKLLKATMITSITIGMGLMSIINFATAQLGALILIPMCLFSRPLRAQLEMNFLPRTVLLASNILLTVLGFPPAAFLIMKGLSKGSWTVDIVGDFWLWMEFLWEWSSATYLYVFLVHLPCWLLCIHVLLHPCYQPESKMKQE >ORGLA01G0218700.1 pep chromosome:AGI1.1:1:20129047:20133147:1 gene:ORGLA01G0218700 transcript:ORGLA01G0218700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIKILQTPVTPTARDVHRCTWSAVVALDPLGNFAGDTPEWGQFRKGLHPLEAAKIIEQTNKSIFSLSPDLLLPHGLDLPHLEQEIKKRLLL >ORGLA01G0218600.1 pep chromosome:AGI1.1:1:20110871:20121447:-1 gene:ORGLA01G0218600 transcript:ORGLA01G0218600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQGMAYKLRTDAAPTGAGRRARRSHSSVAAPYRAARLVQGGVSIEGGLVGGCQLTEERVAARPPRAAARDAEPVRPLSTLPESSIGLYDPSRERDSCGVGFVAELSGDYKRATVNDALEMLERMAHRGACGCEKNTGDGAGILVALPHNFFREVTKDAGFELPQPGEYAVGMVFLPIDEKRRERSKAEFQKVAESLGHVILGWRRVPTDNSDLGESALQTEPVIEQVFLTKSSSSEADFEQQLYILRRLSILSIRAALNLRRGGKRDFYMCSLSSRTIVYKGQLKPCQLKGYYYADLGHENFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLKGNKNWMKAREGLLECEKLGLTKDQFSKILPIVDATSSDSGAFDGVLELLIRGGRSLPEAVMMMIPEAWQNDVNMEPEKKALYEFLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVVMGSEVGVVDVPSKDVLRKGRLNPGMMLLVDFENHTVVDDEALKAQYSKAHPYGEWLKRQKIYLKDIVESVPETERVAPGISGSLTQKNEKKEHAGVNGIVTPLKAFGYTVEALEMLLLPMAKDGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLLETTEKQCNRLALEGPLVSIDEMEAIKKMNYRGWRSKVLDITYPKKSGRKGLEETLDRICTEARGAIKKGYTVLVLSDRGFSSDCVAVSSLLAVGAVHQHLVANLERTRVGLLVESAEPREVHHFCTLVGFGADAVCPYLAIEAIWCLQNDGKIPPNGDGKPYSKEELVKKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIRKCFDGTPSRIEGATFEMLARDALRLHELAFPSRAPPPGSADAKALPNPGDYHWRKNGEVHLNDPLAMAKLQEAARVNSRAAYKEYSRRIQELNKTCNLRGMLKFKDTADMISVDEVEPASEIVKRFVTGAMSYGSISLEAHTALAMAMNKLGGKSNTGEGGEQPSRMEPLADGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPRARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAILQTDGQLKTGKDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMSQLGFRTITEMVGRSDMLEVDPEVVKSNEKLENIDLSLILKPAAEIRPGAAQYCVEKQDHGLDMALDNKLIALSKAALEKEVRVFIETPIQNTNRAVGTMLSHEVTKRYHMKGLPAGTIHVKLTGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRDSTFIPEDNIVIGNVALYGATIGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDIDGKFSVRCNHELVDLYHVEEEDDITTLKMMIEQHRLNTGSVVARDILSNFDTLLPKFVKVFPRDYKRVLDNMKAEKAAAKLAKEPKISNGVSVTTKKVQPEQSTNRPTRVSNAKKYRGFISYERESISYRDPNERVKDWKEVAIESVPGPLLNTQSARCMDCGTPFCHQESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLQRTGKKVAIIGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKTDKIEIVQRRVNLMAEEGVTFVVNANVGSDPLYSIERLRSENDAVILACGATKPRDLGIPGRELSGVHFAMEFLHANTKSLLDSNLEDGRYISAKGKKVVVIGGGDTGTDCIGTSIRHGCTSIVNLELLTKPPSKRAADNPWPQWPRIFRVDYGHQEASSKFGNDPRTYEVLTKRFIGDENGNVKALEVVRVKWEKVDGRFQFKEIEGSNETIEADLVLLAMGFLGPEATIAEKLGLEKDNRSNFKAQFGNFATSVDGIFAAGDCRRGQSLVVWAITEGRQAAAAVDKYLSRNEQDAAEDITPSGAGFVQPVAA >ORGLA01G0218500.1 pep chromosome:AGI1.1:1:20107985:20108374:-1 gene:ORGLA01G0218500 transcript:ORGLA01G0218500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAARGMRHDDYATAAAATGGRRRKRCSKNTEAGSVPASVPGRRGAEHSAAAAATGVTVKVVLRRKDAERLIARLNEQSAMGRKARMAEIKSELMAGDGGRGAAAASASPARCRDAWTHRLAPIQEN >ORGLA01G0218400.1 pep chromosome:AGI1.1:1:20103623:20105772:-1 gene:ORGLA01G0218400 transcript:ORGLA01G0218400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAPRVRRRDEPAAKSWSKGAEAAGELLPVAKAAGGEEKAAAERAVVTVKVVMTRKEAERLAARLREQRARGRNARMAELKNALRAGDGARRGAAAARPGPRGRAQSLAPIQESCRGFGVLGSLEWHPFWRGKRKKAGDNESDGDECTWCFAHLKTAVKTLASGVLISLPLKPPLSRASQLPTCSKFIGKNIIKMHLTVDDVFLRVSVTELAGWKSAREATTF >ORGLA01G0218300.1 pep chromosome:AGI1.1:1:20099875:20102518:1 gene:ORGLA01G0218300 transcript:ORGLA01G0218300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANQYQILVRLLDGRTRCLRFSTPTVSGAALLDAVSALSRVPAASLRLVTGRLDVSPSSVLASSADGRFPSASALLRLRGGKGGFGSLLRGAASKAGQKKTSNFDACRDINGRRLRHVNAERRLEEWKAEAADRQLEKLAEDFIKKKAKEAGRGGVKAAEVDKYLEKYRKDAESCVNAVEESVRASLGKRKTVPKPRGGEDAKKLKIWLGKKKVQEDESDSDSDSEMDDDEGADTKSIILDDGNSSHGSKSEDEKVDLGSITESPSEGETSGEKSGRSESEENGNGVQESREPTIRSEGECGDFISDGVVEHEIGVVDEHTPENGVSALSEEVLKPDVKAEDNTASASATSHLNDLEAPPVEEPANGNRSPLSQEPLDLTIYSSAAELEVLGMERLKLELQNRGLKCGGTLQERAARLFLLKTTPLEKLPKKLFAKPSGGGK >ORGLA01G0218200.1 pep chromosome:AGI1.1:1:20090758:20095546:-1 gene:ORGLA01G0218200 transcript:ORGLA01G0218200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPAAAAAAVGNRAGGVDPSIPRFKCQECHRALVVVGVDSFADKLPAQATSGTHASSVHGSIMGASRMDNSYVVLSKQNKSHGHGIPPRPPSAAAPHIEPNQPTRAMEGSYIVLPPAAASIYKTSTSEGGGAQLPPPSINSSSLLPGNSFHSNVTVLKRAFEIATSQTQVEQPMCLDCMRLLSDKMDKEIEDVNADIKAYEVCLQHLEQESHTVLSDAGFQKEKLKIEEEEKKLNAAIEEAEKQYSEISSEMKDLEIKSKKFEELEERYWHEFNSFQFQLTSHQEERDAILAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFTPKFEYRIKIHPMGSYPRVTDIHKNTYELFGPVNLFWSTRFDKAMTWFLTCLQDFAEFAISLDKENNVPPEKSLKLPYKIDGDKVGSHTIFLSFNKVENWTKALKYTLCNLKWVLYWFIGNTSFAPPSGSLCAAQSSKR >ORGLA01G0218100.1 pep chromosome:AGI1.1:1:20081464:20086332:-1 gene:ORGLA01G0218100 transcript:ORGLA01G0218100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMKHLVQVGEAREAAAEDGGAPSAGPAYRCAYGGGAASPPAVPGLECCWDIFRMTVERCPDNKMLGRREFVDGKAGKYTWITYKEAYDTVIKVGASIRSLGVGKGGRCGIYGANCPEWVISMQACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFIEEKKIGEVLKTFPNATKYLKTIVSFGKVNPEQKEKVEQNGVSIYSWEEFLQLGGEEKYELPTKQKDDICTIMYTSGTTGDPKGVLISNRSIITIISAVDGFLANSKEQLREDDVYISYLPLAHIFDRVLEEVFIHHGASIGFWRGDVKLLVEDIGELKPTIFCAVPRVLDRIYGGLQDKVSTGGFLKKTLFNVAYKYKQGNMVKGSKHEEAAAMFDKLVFTKVKRGLGGRVRLILSGAAPLSNHVEEYLRVVTCSLVLQGYGLTETCAGSFVSLPNNMSMLGTVGPPVPYVEVHLESVPEMGYDALSKESPRGEICIRGDTLFSGYHKREDLTKEVLVDGWFHTGDIGEWQSDGSMKIIDRKKNIFKLSQGEYVAVENLENIFGQAPGVDSIWVYGNSFESCLVAVVNPNKQALERWAESNGVTGDFATMCEDPKAKEFILGELTKTGKEKKLKGFELIRAVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQNVIDSMYKNMK >ORGLA01G0218000.1 pep chromosome:AGI1.1:1:20074603:20079829:1 gene:ORGLA01G0218000 transcript:ORGLA01G0218000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRINGDREYAEFMHNPTVKYTDFALVRKEIADETERATGHKKHISPVPIYLSIYSPNVVDLTLIDLPGLTKVAVEGQSDSIVQDIETMVRSFIEKPNCIILAISPANQDLATFDAIKISREVDPKGERTFGVLTKIDLMDKGTNAVDMLEGRSYRLQYPWIGVVNRSQQDINKSVDMIVARHREREYFANTTEYKYLAHRMGSEHLAKMLSKHLESVIKSRIPGIQSLISRAIAELEAELHRLRKPIVADARGKLYTIMEICRMFDGIYKEHLDGMRPGGEKIYYVFDNQFPVALKRLQFDKNLSMENVRKFITQADGYQPHLIAPEQGYRHLIESCLVSIRGPAEAAVDAVHAILKELVRKAISETDELNQFPTLRVEISNAAFESLDRMRNESKKSTLKLVDMECSYLTVDFFRKLPQDVEKGGNPTNSIFDRYNDSYLRRISTNVLAYVNMVCSSLRNSIPKSIVYCQVREAKRTLLDRFFTELGAREIKHLSKLLDEDPAVMERRANLAKRLELYRSPRQKSMRLCGPDSLVNYLPFLAFYG >ORGLA01G0217900.1 pep chromosome:AGI1.1:1:20065374:20071085:-1 gene:ORGLA01G0217900 transcript:ORGLA01G0217900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLGGIRLRRPVLSIDNAEPAGETGMSETKKKAAAVAEEEEELLEEPVSPAGRLFREPHFSCYIVCTLGVAEPVDLPAVRAGIEATLARHPRFCSIQVLDELDKSAKPMWVRTKVNLDDHIIVPDLGPTDTSADPEKAVEDYVSSLSTPSMPMDRSRPLWELHVLGFPTAEAAATVALRMHHSLGDGVSLLSLLIACTRRADDPDAIPALPSSAAXXXXXXXXXXXXXXXXPLAAGALALAAWALSYLVLAWHTVVDVVCFTLTAASLMGDARTVLKGDEGAEFRPRRFVNRTISLDDVKNIKNAVGCTVNDVLVGLSSAALSRYYFRRTGESEGKKNIKVRTALMVNLRPTPGLHELAKMMESGKNNGVKWGNRFGYMILPFHLAKHDDPLEYVRKATKVTRRKKSSMEAIFTYWSADMVVKLFGIKAAASLCYGMFSNTTLSFSNLAGPSEQILFCGNPIVYISPTSYGHPHALTMHWQSYMNTIKLALAVDETQFPDAHELLDDFTESMRLIREAASRGTDKAQDGP >ORGLA01G0217800.1 pep chromosome:AGI1.1:1:20060893:20063311:-1 gene:ORGLA01G0217800 transcript:ORGLA01G0217800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGAVLVVVLAAASVLLAPWAATAQTSSCDDALPPALAGNYSGLACRPVWNNFVLRYAQGKDNVLRVVISSMYSTGWVGMGFSKDGMMVGSSAMVGWVGKTGLSHVKQFSLRGKTPSQVVADEGFLQSKDHDHTVVVQQAKIYLAFQLRFQSPLRRQQVLLAFGNAIPVNDRLTEHQGKTSFTFDFTTGSSSGSSYPDGLKRAHGALNLFAWGVLLPIGAIIARYCRRWDPLWFYLHAGIQLVGFILGLAGIVAGVSLYNKIQADVPAHRGLGIFVLVLGILQILAFFLRPHKDSKYRKYWNWYHHWVGRLALFFAAINIVLGIKVGAAGNSWKIGYGFNLAILLITIITLEVLLWTRWKNNNSSSMPTY >ORGLA01G0217700.1 pep chromosome:AGI1.1:1:20059320:20059640:-1 gene:ORGLA01G0217700 transcript:ORGLA01G0217700.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKYDFVLAYQVQLVYSSNTATAPTVAAIISEAALWGFEGGSGGPRVLARGSASFPYSSPLQCEGHTDASAPPHTVLAGEHNINHFLFHVEQGLTERMWSRHGEAAL >ORGLA01G0217600.1 pep chromosome:AGI1.1:1:20049755:20053018:-1 gene:ORGLA01G0217600 transcript:ORGLA01G0217600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLEVHAIGRHAASPCALRLKALPALDMMRYQRLSPDCLPLANGGGGGSGSVTRKPASRSCKDDDGGMAVAADSSRLSSYLPSSQLDSKPLRARAPQPSSSSAAAWSPARDHAHAHHNHHHHHHHHPSDSSDTASPSSNGAGTGGDVLLQWGHNKRSRCRRDASSSANAAPSSSQRRQTASAAGKILRRSSAPAEKLMPPPPPSTTTGSYTRGSNLRSASSFPTRSAAAAAVGDAHHHRSAVEERSGGGHKRSPDKAHKSALDAALHMDSKNNHHHHHHDSSVTANGGAGAGEKIGSERFELPRIYISLSRKEKEDDFLIMKGTKLPQRPKKRAKNVDKTLQYVFPGMWLSDLTRGRYEVREKKCVKKVYSTLHLAFSVHAFCVFLQTRRGKLPRFRASVSQIGWV >ORGLA01G0217500.1 pep chromosome:AGI1.1:1:20044557:20045462:1 gene:ORGLA01G0217500 transcript:ORGLA01G0217500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLPPPPPPRPPLGRGRLVGVGPAPAPATASQSNRPVSPLQLPRCRCHRSEGPWRTTAAANGRRRWWSDEDMEEEDDEEGYGYDDGGAPGGSAQELFGEPWFSKLFRAYGYVLPLLLASMLVATGPRAFLMAMALPLAQSAISWVVSFFTTRSRRQQEEEESYGYDYDDDPAFQRREEDDDDGDYYDAGAWQWRSRSHQQSTESGSGFGGWDDLLYDDEEKKEQESSGKKRTPPEPDTAAAAAASDLGLGLRARRGPRRSNGGMSRGRSSSSMRYNQAPLLTRLLVALFPFLGSWFRIL >ORGLA01G0217400.1 pep chromosome:AGI1.1:1:20040424:20043323:1 gene:ORGLA01G0217400 transcript:ORGLA01G0217400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQESPPESPAANEEDAAGAPEGYDGASGSRGPPLRLPPSRAAPSGSGGAAAAAARGKVVRVQKEQQEEEDDEEDHMEVDLDKLPSGTSDPDKLAKMNAILSQFTEDQMNRYESFRRSGFQKSNMKKLLASITGSQKISLPTTIVVSGIAKMFVGELVETARIVMTERKDSGPVRPCHIREAYRRLKLEGKIPRRTVPRLFR >ORGLA01G0217300.1 pep chromosome:AGI1.1:1:20027914:20029077:1 gene:ORGLA01G0217300 transcript:ORGLA01G0217300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITTTSSAPEQLLDMPPSSVAANGARAARRRRRLLLFANYAALLGGSVASSLLSRYYFAHGGHNRWVATLVQSVGFPFLLVPVYAGRSPSQPRPFAWFTRRLLAACVVIGVLMGVNNLLFSYSSSYLPVSTSSLLLSTQLAFTLVLAAIIVRHPLNFSNLNAVVLLTLSSVLIALRSSDSGEQPGGGSRARYFVGFAVTLGAAGLFAAYLPVMELVYRKAVSGGFRMAVEVQVIMQAAATALAVAGLAAAGGWKEELARWDLSPAAYWAVLAALVATWQACFMGTAGMVYLTSSLHSGVCMTAVLTANVIGGVVVFRDPFGADKAVATVLCVWGFSSYLYGEYTTQKKVDGDGKVAAASSAAAAASADKIPTGGGAAEGDPVHEAV >ORGLA01G0217200.1 pep chromosome:AGI1.1:1:20016971:20021615:1 gene:ORGLA01G0217200 transcript:ORGLA01G0217200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:evolutionarily conserved C-terminal region 8 [Source:Projected from Arabidopsis thaliana (AT1G79270) TAIR;Acc:AT1G79270] AILDFVYHLAMQEAMENLKINGSTKTSNVNLPATKGASSSDAISCISSGDAASTVKESEMNQEASVGDQGMYYYGYYYPASFGGYDENGYFVGYNGLEVHPTVVQGDNGSYLCYLPGYENGYTYSPIVPGVIAGVDGQYISKEPYYSTISMQDPSTPGIFAQPVAYGPELVPAYTWDPSFALLDGVQGRPVGVHQTNYPARPKYSSNKLPSSKASRNTKSASDTIKGSSSALDTMSTSANGYPSSKTANKASGASISKGYPLSSKFAVHTNQGKGNLYQSKDIGMKESGRSWNSTEKLKARSKLNGYGDCDISDNLTDNSKNSLSPQGGHYGLSSAGGGNDVTPSPVAMSRDAYNLPDFVTKYDQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAFKLAQERVAEKGTKCPMFLFFSVNASGQFCGVAEMVGPVDFNRNMNFWQQDKWNGFFPVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFPQGSEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPLATTLDHPMLKVEKPEETKRPSQFVSTVDLDTAKPDEVVFDKIATELDTAKLSEEQINKVEVEIGTTNSSE >ORGLA01G0217100.1 pep chromosome:AGI1.1:1:20004310:20009757:1 gene:ORGLA01G0217100 transcript:ORGLA01G0217100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQHGILLAVAIISDHFGPLVSKVCRCLLRHGALPLQEIVRRLELSPGQVKNSLLVLIQHNCVQAFNAPRGNGDKTVTHYLAIFDNIVHRQRFSKFLSIIRADIPESEALLEGLLQNGRLTFGQLVERTISKVPEGSITPAREEIRMNFNKLVFAHYVERCLKPEPFFDPLVDEQSTLSRKRAPKTVEIALSIDKKVVNTAALSDAERFSEIPYIMEDASNANDSPRSSISGAKRKHNALEGDAELDSTIAENEVLWRANFEKFTFCLKKKFCADRKKPKLKVGTHPIWEAFFEASLMERDNNSVTSPINGIMERLGQKEGGTSMTLDHITRVLEELNCSPSSEDPDSFILDLSRIVEASRNEEIESLVRKKYGQEAFTIFRLLVRERGPVETDKIIDTTILDKQIVHGTLYKLWKDDYIDTEVRYIIYREVTYVVWSMDFL >ORGLA01G0217000.1 pep chromosome:AGI1.1:1:19991654:19994893:-1 gene:ORGLA01G0217000 transcript:ORGLA01G0217000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCGDAAAAASAAPGLANLLIREGAGLPSRPERYPPFRPCTSDSFAPISREGDDIPPQKKSVSLRSGGGGNAAEREEGGANRNGKKEKAGAQRITGWGLREFSKIVSKKVEAKGRTTYNEVADEIFAELKSITQNGLEFDEKNIRRRVYDAFNVLIAIRVIAKDKKEIKWMGLTNYRYEKIQKLEEVHKELITRIKNKKKLLQEIEKQFDDLQNITLRNQASQRPAESVNGILLPFLLIKTSRKARVEIEISEDSKFARFDFNGAPFTMHDDVSILEAIRRNNKGRAGLSIHP >ORGLA01G0216900.1 pep chromosome:AGI1.1:1:19988771:19990470:1 gene:ORGLA01G0216900 transcript:ORGLA01G0216900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSTLFSLSSLSASLPSPARPAPASLSLRAVSPRARLSASYAAFPIDGLGAWAAATPASSGRWRRRGLEVVCEAAKTGTATGRRPDSVKKRERQNDRHRIRNHARKAEMRTRMKKVLKALEKLRKKADATPEDIIQIEKWISEAYKAIDKTVKVGAMHRNTGNHRKSLLARRKKAIEILRGWYVPNAEPAATS >ORGLA01G0216800.1 pep chromosome:AGI1.1:1:19973570:19987242:-1 gene:ORGLA01G0216800 transcript:ORGLA01G0216800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:two-pore channel 1 [Source:Projected from Arabidopsis thaliana (AT4G03560) TAIR;Acc:AT4G03560] MRERGEMREAKAPLIAEAAEHISHSHGSGSSGTGSHTSGGGGGWRGSRQYQRRSDALAYGDRYQKAAALVDLAEDGVGIPEDVLNDTRFERAMRFYFVYLRLDWLWSLNLFALILLNFLEKPLWCRGYSQHACDQRDLYFLGQLPYLSKTESLIYEGLTLVILVMDIFYPLSYEGLNLFWKNTINKLKVLLLFILACDILVFAFSPQPFRVAPYIRVAFLIMNIRELRMCAVTLVGMVGTYLNVLALSLLFLLFASWLAYVTFEDTPQGKTVFSSYGTTLYQMFILFTTSNNPDVWVPAYKSSRWSSLFFIVYVLLGVYFLTNLILAVIYDSFKEQLAKQVSQADCTRKSILEKAFGIIDATGQGYLNKEQCLSLLDELNKYRSLPKTSREDFELIFAELDQSGDFKVTSEEFATLCNTIAIKFQKEPPPSYLEKYPSFYHSALCEWLKSFVRSPLFEYIVIFVLLMNLVAVIIETTLDIENSSSQKVWQEVEFVFGWIYVIEMALKIFSLGFGAYWMEGQNKFDFVLTWTIFIGETLTFAFPSKLSFLSNGEWIRYLLLGRMLRLTRILLQVRRFRAFVATFFTLMSSLMPYLGIVFCTLCIYCSLGLQFCLQIFGGIVYAGNPTLEETDLFSNDYLLFNFNDYPSGMVTLFNLLVMGNWQAWMESYRQLTGSYWSLIYFVSFYLISVLLLLNLIVAFVLEAFFAEMELEKDGEADIQDPTLEGRNRRRSVRVRTKGTMVDILLHHMLSNELDGSQNRDQ >ORGLA01G0216700.1 pep chromosome:AGI1.1:1:19968894:19971691:1 gene:ORGLA01G0216700 transcript:ORGLA01G0216700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTKNRSTSACRLCFILLLVLSATTTTLSTALSGPYSSRCASPSPAADQHTGVDDASALLRSFRITSGIFSVTHYMDVALRLRIPSISSLTDPFVTGILEGADFEPFSLLTYAEGSSYRYSENTSCLPLPVPEAAAAARRAIQTTPDGNFSCDTLKARLAASYRLEYGRAHAVSFPSLHEPRLHVNQLHCTAGGAVRAYAVFSNDTANMWGFRDFFSSQEAAVVADGHWDSDTNRLCLRACLVARSSPATPSASTELEVRECGIGMSFWFPAVWRVRDRSVTAGVLWNATQLNSSDNHASVGSDVALITASSFEEWKGNLSDVNYNYSFTMLEEAKKHYLKTGPSDSKKNSKGSFPGNYSHSYRDFRFPFFLEGETGSGTAYPVAIGSAMVDGDRLAAEHSFSRHAAAQLEQGTLVNVSYGVTYYVAPKNWSSFGQLKDRYIRAEGVYDPTTGSLCMVGCGELNGSMDCQILITVQFSSFGNGTGFSHGRGRISSLRNSTDRLYFPRRDITLFGMYSHEVSKSIWRMDTETVVVVISTTLTCVFTVLQILHTKRNPSAAASTSITMLAVQALGLVTPLVVNSELLVMNKRRQLGGLDGDGWLRLNELILRVPTLIAFALQLRLLQLAWSGRTTAACSSEGETSPAPAPAAERKVLRTCLPLYLLGAAVTAVVHVVNVRAAREAGLVDRRFAPAEVTTLWADLASYAGLVLDGFLLPQVVFNAASGSRSRVRAISPWFYAGGTVIRAAPHAYDAFRAVSYAATHVYASSRDDFFGVAWDIVVPLGAALLAFVLFLQQRLGGDLLLRSRNRRRPCDYQLVSTFQR >ORGLA01G0216600.1 pep chromosome:AGI1.1:1:19954980:19957883:1 gene:ORGLA01G0216600 transcript:ORGLA01G0216600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKKSPRPCCHVSFLLLQLVLLSVIVFPATAAAASTFRCSNPSPVPNEVPEGNDARELLRSFQITTGYFSGGDRLFAPDDDSAYIPRSFALSPYKVARTTDPAILEVAATLALYGPSSDHGSGGGARRRRHRYLVSQLVASFVLHGYYSSASGELCVVGGSGSYSVDGGSVEHLRDVNLHLRVPNAPSLADPFVTGLLDGADFETISLVAYVENDRYVYSEKRPSCPPPMPAHAARGALQALEANFSCSHLRELFVSSYRLENTSSDASSPAASTFQFPLSHGGLRMLVNQMHCTANGSVRAYVVFSNYTDSERRWRRDMVINNRFLVKEEAVVADGYWDSTTSRLCLRACRVAHSSAAETELKVGEQCGLGMSFWFPAVWTIRDRSIVAGLLWNANQEESGGNKHAGASLSGVMSVSSIDGDGYNRRRSNLTDVKYNYTMVEKAKKQYLSCKFSKRKTGRFPDNSSMYSYSDFRFDFIETLGAGGQASPVTIGSVMVDGDQLAAEYMFFRHAMGEMNKSRTTVVRMDHSQLLNVSYDISYRVRSANSKARKNSSSLFSHPLSIERREISAEGVYDPKTGILFMVGCQEINGSSTDCQILVTVHFASLDAKGNGHGRGKISSTRDKADRLHFEAMDITLYGMYREQIGESIWRMDLEIIMAVVSATLSCVFAALQIRHARANPASAPSATSVAMLAVLALGHVTHLALNVDALFVSRRTHYIPISADGWLELNEVMLRVPTLIAFALHLCLLQLVWSSRRSAPRAIAEKWSAAERRSLWICLPLYLLSGLLAGAVHVINNGRAAAENSLVVRVAGDSGTLWDDLASYAGLVLDGFLLPQVILNALSRSRARAISAWFYVGVTVLRAAPHVYDALRARGYVPSVRPSSTYVYASPRDDLFGVAWDVAVPLGAASLALLLFLQQRLGGAFFVRGRRFGEYEMVPTTVSSHQEGDKKMDHERGDVIAN >ORGLA01G0216500.1 pep chromosome:AGI1.1:1:19947684:19950386:1 gene:ORGLA01G0216500 transcript:ORGLA01G0216500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKNTISYAYPLCFIFILSTTTLSVAISTSYSSRCSSPSPASDYHTDYVDTLALLRSFQISIGYFSSGGNSLFSADDDYVNPRSFSFVPHGVFRTKDPTIIHLTATLVLSGPRSSTYIGHRHHRYSITQTISFILDGYYSFTSNDLCMVGFGTNYAADGSIKLHEDSVLRLRVPRPSKLTNPLVTGHLEGTNFETISLVAYDESDNYVYSENALCPPFMLENSMLEQAQAVKENFNCDQLKTHLRRLYKLEYMVDDPLAPRGYNMWSHATRMYINHVHCTANGAVRAYVEFYNDTKMLPYKGRFMVVEEALVADGYWDPTTGQLCFNACPIVRSVSGLSHTDFVVQDCKIKMSFRFVDVWTIRDRSVIAGMLWNSSQGIVNNSRAIPGIISVSGIQEHWENISHVKYTYTVVDEAKKHYISSGLSNKKKKIKGSFPGNGTYSYHDLEFRFIANHVGSGDAYPMTIGSMMVYEDRLAANDSLSDPMVVGMKHELLNVSYDIRYYAPLENWIRPKNGSYSISLHERRISAEGIYDRKRGTLCMIGCREINSSTDCLVLITVQFSSLDAKTQGHGVGAISSLREKNDRLFFEKIDITLYGMYTEQLFEAISRMDMESIMLVLSTTLSCVFTILQILHTKKNPEVPPATSITMLITLALGYLTPLVLNFEALFLSRRKQYVPFFRNNRVELNEVMLRVPTLIAFVLHLRLLQLVWYGRKPDHQSKAETFSIAKRKALQICLSLYFLGGILAGIIHIINVHTRRESPVVVRISQEPATIWEDLVSYAGLILDGFLLPQIILNRLSGSRVQAISPWFYIGVTLIRAMPHVYDLFRAQNYIPSLRSSYIYANSHDDLFSAAWDIIIPLGAALLAMVLFLQQRLGGASLISLQGSRLGSYEMVSTI >ORGLA01G0216400.1 pep chromosome:AGI1.1:1:19941751:19944468:1 gene:ORGLA01G0216400 transcript:ORGLA01G0216400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPWCPDDAYACLSLLLLLLLPAAMATSYSSLCSFPAEAADLVVTAGEHQSIADRLNLPLPSDGYFSGGDKLLFASDDHRLPRSFSFFTRRAARTTDPAITHLVATVTLSGYRFFGGRSWRTNVSAHSVSFDLEGYYSNDSASAALCMVGSGSRARDDGLGVVIIPDVALRLRLPRPATLTRPFVTGRLEGPDFGAVTLVAYAEGDYKYGEAASCPTPPGAVRSESKVFDGNFTCDRLGALLRGSYTMEYAEGRAPSGFPLRQRHRSMHISEIYCGENGAVRAYMVFDFDDASSDAILLGIHEAPWRRGFQADGDEALVADGFWKPSQGRLCLRACRTVRSTVRESDCGIRIHFWFPAVWSIQQRSFVAGMIRNTRSDDDGDTNKMSGAISVSRTGFRGDLSDIKYHYTRVEDAKNYYHSNPELSKERNGRFPGNYSYRDFAFSLYMTTHGGYGYASPVTLGSAMVDGGTLTADDAFSRHAVAEMIKQRLLSVSYEFDIHLYRRVNSSRAWNVSRVPDRWRVSAEGVYDTKSGTLCMVGCRVINSSSDCQILVTVQLPALGGEDGTGSISSLRKKSDTLFFETLSFAAYGAQPAIEAAQAISRVDTERIMLVTSMTLSCVFLVLQLRHARKNPDALPATSITMLAVLALGYMIPLVVNYEAMFVDDGGSRNRHFIELERGGRRWLELNEFVLRLSTMVAFVLQLRLLLLALSARSTAGASGGGDDRWAAERSTLWICLPLYIAGAILIWIPHIGDGHDHQPLSQMKSAIHVPPPLSDDLLSYAGLILDGFLLPQIVSNAFSASRVNAISPWFYVGGTAIRAAPHAYDGLRARGYVQRWIPSYIDVYAGPRDGLFSVAWDVVIPCGAAALAVLLFFQQRLGGDFLCCVKRRKPGGSYEIVSTSTL >ORGLA01G0216300.1 pep chromosome:AGI1.1:1:19939744:19940263:-1 gene:ORGLA01G0216300 transcript:ORGLA01G0216300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETIFFNVLITSKAGWFLQHEEGKGWYKFDDECVRPITEDSIKTPAAYVLFYTRE >ORGLA01G0216200.1 pep chromosome:AGI1.1:1:19930635:19935068:1 gene:ORGLA01G0216200 transcript:ORGLA01G0216200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIPSPVPPSTAEGSSKNSPTKGSLSLGDLDAVEVLPASATAGWSSARQKGKWSQVSCDFYHVSRDTREVSCETCRVPDNFNHVSRDTHEVSCDTCHVLDDFYHVSRDTREVSCDTCQSGSTKDVAGPSSIALKNVDPSDGVGAFPKAMSLADYLELEGSDINLNINTYYYLVALGEGGIVVEGQTSAEKPHQHKXMKHVDPKIDEKYVEFKQFDIVGDHSDHFYSNPRERKVQVVNEPGKDWVKRIQHEWKVLEKDLPDNIYVRVYEDRLELLRAVIIGPSGTPYHDGLFFFDVYFPPQYPRNPPLVIYHSGGLRLNPNLYACGKVCLSLLNTWPGDGCEKWNPSNSTLQVLVSIQALVLNAKPYFYEPGFESYANTPRAKKKSIAYNHETFLLSCKTMLYSLRNPPKHFDDFIIGHFHKYGHSILIGCNAYMDGAQVGSIIGGVKAIDKGNKGCSTKFKGSLKKLFEELMMEFIGIGVDCHEFMIDTTLKL >ORGLA01G0216100.1 pep chromosome:AGI1.1:1:19925246:19928685:1 gene:ORGLA01G0216100 transcript:ORGLA01G0216100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVPSPLPALSTAYQPLPSLYLGFLAIWAASGFSWAFSSWRSRHFQVNNLQWILALVPLIKALQMALSFLFWYSCVHLQTCSLWMSFGVYVTGILFQTASFVSFMLISHGYCIMCERLSIRERRITAGLGCLLYLSLIGYKAAVPYFTVFLLINYFMSFYIIFRRTSQNLMVLREQLNFIEEEDIHSLHGALNTKYTMFKRFQGTMQVAVVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMYIGWNFRIPEASLHLPVVPLMKSTWEIAMPPIYSVEMDAADFKGLVSDHWHVGVRTSHTNSSCPSQPLLVLVQNPSPKVSTAATASRVHGLERRRQRRLILGKNRFDRQIPPELTNCTSLQFLDMSTNAFGGNMQEILGKFVTLRYLVLHHNNYTGRIVSFGVLRLPLFARLNLSFNQFYGELPLEVADMKSLKYPMLLANNFSGGIPATYPPFNFVYTVMTRENCHSIWDRLLPHHRRHGRVHHGQPASEVFGGPGLGPRVALL >ORGLA01G0216000.1 pep chromosome:AGI1.1:1:19917530:19918072:-1 gene:ORGLA01G0216000 transcript:ORGLA01G0216000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVQLPQYIFFDLSGSHLRCFCPPAPVMEHTGVPYDSQVVGLQALHRTRLSRAGGSSTIVSARPANQVDKAMGLCDRAAQIGDDDDDPDTVSDAGTSGVGVVDEEETAGDDEEDEVASLDELFCDERFVRKIDALAQLVGMDGAACQPAAVLGEVVRLIQETERKNGRCVCASGAVRS >ORGLA01G0215900.1 pep chromosome:AGI1.1:1:19913366:19915436:1 gene:ORGLA01G0215900 transcript:ORGLA01G0215900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLLLRAAVVYAALLAAGEADGSHDVLDIFGTRSESDYYRNAFQGRQGQAVPLPRGGGLRREQQELGAAGPGGSGLSKALPRSAPSKVALDSLKLPVDTSAGFAGGWNLVSENSGVSAMHLVVMRHGKAIMFDTCTTGRSLMRLPPGRCRPDPRSKQPGAMDCWAHAVEFDYNTGALRSLKIVTDTWCSSGAFDADGNMVQTGGFFEGDKSVRYLSACGTCDWKEFPKSLADGRWYGTQLVLPDGSFIVIGGRRAFSYEFVPAAGRANARATPLRLLRDTTDDVENNLYPFVNLLPDGTLFIFANDRSIVFNYRTGQVVRELPILPGGARNYPASAMSTLLPLDLRKGAGLSAEVIICGGATKNAFKLGETGTFPPALRDCARINPSKPGARWALDQMPSGRVMGDVLILPTGDLLMLNGAAKGCSGWGFGRQALLSPVLYSPYLRRGKRFRVLNPSNIPRMYHSTSALLPDATVLVAGSNTNSAYNFSGVDFPTEVRVERFTPPYLGPQLSPNRPAIDAASVPGDGMRYGARFTFRFTTPAQGVGQGDVKVTMYAPPFTTHGYSMNQRLLILPVTAFAAQGQRHTVTVDAPPKPELAPPGYYMVYVVAKGVPSKAAWVKTHK >ORGLA01G0215800.1 pep chromosome:AGI1.1:1:19906652:19908318:1 gene:ORGLA01G0215800 transcript:ORGLA01G0215800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNFGDSMGWGNSGRSSPAGSSRKGKRGGGSGGADKPKQPQRGLGVAQLEKIRLQSEMAEYFNPLGQPGSLIHRTGSLNLMAYGERGDVRYGEFQTPIMRSPSSSTIYGAPHYTHNPSITLPLFEPEESARLRGHHDRSRSADSTSMNSDDPQDVDLELKL >ORGLA01G0215700.1 pep chromosome:AGI1.1:1:19887752:19888477:1 gene:ORGLA01G0215700 transcript:ORGLA01G0215700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFLRPLLPPKPFLSATPKPHIPAATPSAIVRCTAAPKPATGSIAKPSQEEANNNQEQEPNAAAAATPDEAGANPHRIPDDETPPSATATTSFAVARRVPSAISPDRRRRTALTQGEPPNYEIGWKRTKKLPLEKPKGWAIADFMEKLEGLMARGRYGSGELLGTVAGVVTERAREEAEILVAEGGVEERVATELFRVLRLVEMDVAMVKAAVKEETVKERVETARARCRQAILVALSL >ORGLA01G0215600.1 pep chromosome:AGI1.1:1:19868106:19868987:-1 gene:ORGLA01G0215600 transcript:ORGLA01G0215600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRPDEADPAADFGSHPTDQELVTKYLRRHVDSGGNPWRYVHEADVYAADPDDLTGKYSPAVASDGSRAWYFFTTVRSKSTGGQRRARAVGDGGCWHSEAGAKDVVGGIRSPRPIGRRQFFSFVNKEGPRRVRSGWIMVEIGLKYAQQNASSDELVLCKVYRSPRAPPAAAAANKSMAAPPPTATKSKTEEAKPPPDDVKPVVAAAQTPDTKILRAAKEAAAAAATGCKRKADVKSSGARRGKRLCSRCRAETSESDSETAVLDRSPSIEDETADSSEIHGSSDGKFIRFL >ORGLA01G0215500.1 pep chromosome:AGI1.1:1:19864251:19867485:1 gene:ORGLA01G0215500 transcript:ORGLA01G0215500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1NQL5] MSPPLEPHDYIGLSAAAASPTPSSSSCSSSPNPGGEARGPRLTLRLGLPGSESPEREVVAAGLTLGPLPPTTTKAASKRAFPDSSPRHGASSGSVAAAAACQDKAAPAAAPPAAKAQVVGWPPVRNYRKNTLAASASKGKGEDKGTAEGGPLYVKVSMDGAPYLRKVDLKMYSSYEDLSMALEKMFSCFITGQSGLRKSSNRDRLTNGSKADALQDQEYVLTYEDKDADWMLVGDLPWDLFTTICRKLKIMRGSDAAGIAPRSIEQSGQSR >ORGLA01G0215400.1 pep chromosome:AGI1.1:1:19847020:19849640:-1 gene:ORGLA01G0215400 transcript:ORGLA01G0215400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:I1NQL4] MSRRNAGAMQREGSVKDWEEFDPSPSPKLAYSQSYVAMRGLLTSVASLDLVLMSSSLKSAWAAISSHKHARSLERSRSKGMSLKRAMLQLLVCFMVGIFIGFTPPFSVDLPGKIASENGRLPFDGDAIDRRQMVERQGTKLEPFVAEAESEASSEPQVEEGPPVPAMLDDEADFVEASPIVHSVNDSGIVVRKHLIIITTTSVRPHQAYYLNRLAHVLKDVPPPLLWIVAEWPYQSRETAEILRSSGVMYRHLICNRNTTNIRKIVVCQKNNAIFHIKKHRLDGIVHFADEERAYSADLFEEMRKIRRFGTWPVAIHVGTKYRVVLEGPLCKGNQVTGWHTNQRRGVSRRFPIGFSGFAFNSTILWDPQRWNSPTLESIIVHSGGRGGLQESRFIEKLVEDESQMEGLGDNCTRVMVWNFELEPPQVNYPIGWLLQRNLDAVVPIT >ORGLA01G0215300.1 pep chromosome:AGI1.1:1:19843318:19844433:-1 gene:ORGLA01G0215300 transcript:ORGLA01G0215300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAGYSAGMKRPLAAVAPSCDVDGRNAAAAAAAKRRERRREAKRARAAAAAATGALVPYVAPIDARPIRAVPLAAAAAARRKEADDQAAAAPPAEPAWIRKILLERLGLTYDQPVVFIARKTVTRTDLDPHQNRFRLPIFGVERRLLPMLTVDEAKEANLVENDEEKGVPRPRPRPKKKRRTEKGSVVVAATAAADGELQKPQGTTPETNVEGEDQAPPRPKPVPKKKKRRTEKGSVDVADGEQQQQQKGREKEPRKKAQGKDHGGVPVTVLHLSGAMKELRLVLWDSSHGTIIKGTGYMDFIAGTGLREHDAVQIWAFKRRGFRLFGATVPESRFYLVIVGGPWRPLEAPPPQCMLPPSHAPVEVCA >ORGLA01G0215200.1 pep chromosome:AGI1.1:1:19835807:19837582:-1 gene:ORGLA01G0215200 transcript:ORGLA01G0215200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAVGDTLPDGQLGWFDGEDKLQQVSVHGLAAGKKVVLFGVPGAFTPTCSNQHVPGFINQAEQLKAKGVDDILLVSVNDPFVMKAWAKSYPENKHVKFLADGLGTYTKALGLELDLSEKGLGIRSRRFALLADNLKVTVANIEEGGQFTISGAEEILKAL >ORGLA01G0215100.1 pep chromosome:AGI1.1:1:19832445:19834746:1 gene:ORGLA01G0215100 transcript:ORGLA01G0215100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRHLLRGHSLDRFLPIRSLIMSSSSSFSSSSRGSSSGRWCGVSVAEEDEDDAAVSATTTPPLPPLQKRVLSRSHGSKAKPLGGSVDHLPPVVPPSSKNVRDSGPPSEIDLVKEKFAKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLEPMSADRRARWNKEIDWLLSVTDHIVEFVPSQQASTDGTSMEVMGTQQRRDLLINIPALRKLDAMLLEYLDNFKDEQEFWYVKKDADEGEKGDAPRQGDKWWIPTVRVPPEGLPDASKKWILHQKDLVGQVLKAAMAINADVLTEMEIPGEYIETLPKNGRSSLGDSIYKIITDDHFDPNELLSSVDLSTEHKIVDLKDRIEASVVIWQRKISNKLSWGPGVSLEKREQFEERAQTVLLILKHQFPGVPQSSLDISKIQYNKDVGYAILESYSRTLESLAFAVLSRIEDVLHADAIARDPKRTKSRRRPSLVDIPEIIDNALEEEETVNSIDANSQVTNNSIHWQEQEHEDKGARLRKVHRMVTKKLLHIEKVDNLGGGLKSFSHR >ORGLA01G0215000.1 pep chromosome:AGI1.1:1:19830520:19830741:1 gene:ORGLA01G0215000 transcript:ORGLA01G0215000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWRHIGISTMQAARDGKGCQASASGERRDRGKEVGMVALVGIVGAFHAGEAERYIDGGDKGSGVRNKRNDM >ORGLA01G0214900.1 pep chromosome:AGI1.1:1:19823706:19829332:1 gene:ORGLA01G0214900 transcript:ORGLA01G0214900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKMVDALLRCSALVALVLHFVVNGCSAVNTEGSALLKFQSRVEEDPHGAMAGWSERDGDPCSWNGVRCVDGRVVILNLKDLSLRGTLGPELGSLSHLRALVLSNNLFDGPIPKEMSDLAMLEILDLSNNNLTGEVPQEIAEMQSIKHLLLSNNNFQWPLIQNSYRNFDQEIDFDVYDERGDVDQRSENGFESDSSSEENTKDNNNLSARLSSQFAARNPTVQLSRRRLLEDTNLAAASANAPVPAVASVPSTGTGSFSAFKEIKVPPPLSPPSSPPMSSGPPQRSKRWLYAIVISSIALLLIGIACMFLLCRNKSVATIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVASYPHYTVYKGTLSSGVEIAVVSTVIATNKDWSKHSEGRFRKKIDLLSRINHKNFINLLGYCEEENPFMRMMVLEYAPNGTLYEHLHVEGFDHIDWNGRMRVIMGVAYCIQHMHELNPSITHPDLQSSAILLSEDGAAKVADMSVWQEVISKGKMPKNDDIVDHHEPVSADPAGNVCSFGLLMLEIISGRPPYSKHKGSLANLAMECIKDDRNISCLLDPTLKTHKENELEIICELIQECIQSDPKKRPGMREVTTRLREVLAISPEAATPRLSPLWWAELEILSVEAT >ORGLA01G0214800.1 pep chromosome:AGI1.1:1:19815447:19821342:1 gene:ORGLA01G0214800 transcript:ORGLA01G0214800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G30610) TAIR;Acc:AT1G30610] MAAPPPSATVGLIPNLAGRGVLLPASAPDSSACHGFVVPTRRRRRASVAPFGWGLARRGRVSDARADGFGAMCAVAGGEAGPGSSELRHIEKELTFSPTFTDYVKIMESVKLDRSKSLESTESDGRSSRRRFTGDGDAPVVRRGDERSGDGRSTSFDRQKGSQRNRGDVNERSMANNDIQNNSIRLVEKKKPGDVEKRRGRQGKVDEYVQRRIVRGEISEDEGNVDKNERKQFTSQLKMKDTRGSMVAHQSERNMHVQSNARKGLQRQSTSMVSHISSPPNSRIILENTKSLVKRGKENFSSPARSIYENNFKYPRERKFTNYDVNADDKFQRYQQTTENSGRGVVVGRFGEGDIDYNKATVSKRYGNRQATSGHDGHPTVSLKRGKPEAIRMQRGENVQTGKFIRRDAKAIDLDDRAAFKTFEVFTDVRNRPRVLQMELEDRIQKLASRLNATDVNTPEWKFSKMIHDAKIKFSDHSILRVVQILGRYGNWKRVLQVVEWLQSRERFKSYKSRYIYTTVLDVLGKAKRPFEALNVFYTMLDQLSSYPDMAAYHCIAVTLGQSGLVKELFDVIDRMRSPPKKFKLSPIQNWDPRLEPDLIVYNAVLNACVQQKQWEGAFWVLQQLKEKNIRPTNTTYGLVMEVMLVCGKYNLVYEFFNKVEKTSIPGALNYKVLINALWREGKIDEAVMAVKGMESRGIVGSASLYYDLARCLCSGGRCKEALLQVEKICKVANKPLVVTYTGLIQTCIDNGSMENAKYIFDEMCKYCSPNNVTCNIMLKSYTEHGMFEDAKDLLENILSGRIRSKVESSQKAIADKFTFNIFMEACAEAKRWNDFEYAFRKMLSSGYHFDERRHLRMVLDAYRNGKEQLLEDVWDYMCQYGRVPPAPMIMERFCLKLRQGDTVAAMSCINTFQESKIRNVSSMSWFNLLNRNGDRLKEDIIIKLLHELNNLVSSSGHSDSLYQNIISSCTEFLSVSTSVEKASSDQQMLPCTS >ORGLA01G0214700.1 pep chromosome:AGI1.1:1:19806444:19810998:-1 gene:ORGLA01G0214700 transcript:ORGLA01G0214700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:I1NQK7] MPRETPPPPPPEGGEVHEVVEGEDGQAEDQEERWARLLPELMSEVVRRVEASGGERWPARKDVVSCACVCRRWRDAAVAVVRPPAESGKITFPSSLKQPGPREFPMQCFIKRNKKNSTFYLYLGLTNATVDKGKFLMAARRFRRGPHTEYIVSLDADDLSQGSNAYMGKLRSDFWGTNFKIYDSKPPYDGAKASSSRSSRRFGNRRISPQVSAGNYEVGQVSYKYNLLKSRGPRRMYCALECPSTQETWENCLKTKFRKPTGNTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAAADPNDPASSKDEETVLLQFGKVDDNIFTMDYRQPLSAFQAFAISLSSFGTKLACE >ORGLA01G0214600.1 pep chromosome:AGI1.1:1:19804634:19805031:1 gene:ORGLA01G0214600 transcript:ORGLA01G0214600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGRKRGGSSSAKLPPHAEDPRAAPPPPQAGLTATDGGQEVAMSQFVAQLGIRGGAEEAGQHEPEAQVAGAADGDAGGGGRESQLRRLMIT >ORGLA01G0214500.1 pep chromosome:AGI1.1:1:19793917:19795521:-1 gene:ORGLA01G0214500 transcript:ORGLA01G0214500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIRFRPLALLTRSGREWRRAPRERVLDGKSGSRHKHRTTQSASTYTGTPASLSGHLPRALLRPAVLAPARAPRPPRRAPSQSLAVALGIGDEGRHRWDTAAALPTAVPPNIYPLCRPVAALVQEAHQSYWKGSSRLGQQRLRLERLDGEEKLRVDDNGSIYSKSCHVCTRMVLWTS >ORGLA01G0214400.1 pep chromosome:AGI1.1:1:19782795:19789869:-1 gene:ORGLA01G0214400 transcript:ORGLA01G0214400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTPTSSGKSEVNSCEPSWWPPDFLAKIESVSLSRKQSVFSDKEPRSNLRSSSWKASQLLWSTGTYSGFIPNGFYSIIPDKKLKENFPTIPSLDDLQTLEADGLKADIIIVDVERDKKLFMLKQLSGALVKGLNSSPALVIKKIAGLVFDCFKSLDPDVSPARSPTEDNHFFGNKGSQLLGQIKHGSCRPRAILFKVLADAVGLESKLVVGLPDDGGVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGIEASSNLSGRSLRNVMLRSRTFSEGKLSTSCSEPNIANAFWRRSQRRGVAEEPRGASSSPEHPLMKTRARSILGGEQHSFQEYAESGVTSRSDGLGGASTSKTRRIRGRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVNEGSPSYVGEDQNNASDCPNNDDTSGGVVATNNGPRNRNGSTQKAMSLPSSPHEYRAQISETINPCDFVSKEKMVLAWNKVLQSSPFLNKPLLPFEEWNIDFSELTIGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMVPPHLSMVTEYMEMGSLYYLIHMSGQKKKLSWRRRLKIVRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRVMTDSPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWDGISPVQVVYTVANEGSRLEIPEGPLGKLIADCWAEPQDRPSCQEILTRLLDCEYAVS >ORGLA01G0214300.1 pep chromosome:AGI1.1:1:19780357:19781355:-1 gene:ORGLA01G0214300 transcript:ORGLA01G0214300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKPPPPNPNLPYREDCWSDGETAALVDAWGRRYVDLNRGSLRQPQWREVAEAVNARPGASARRRPPRTDIQCKNRVDTLKKKYKAERARGTPSSWYFYRDLDMLVGPTLSAAAAAGGGGSAKKPSPPRGLPMMRRRLESPSRSPSPPSPTPAVALPLPNYRQASNLPSAGLLFNKMAAAAAAESDSEDGYNNPNNNYEDDEDDGSQQSASRSVSSRSGGVAAAGAGGGGVSSSKRKRGGGGGGGFGELARAVETFAEMYERMEFAKQRHAEEMERQRIKFLKDLELKRMQAFVDVQLQLAKAKHRKHPDGATEMLMSLAALPFLSTPAYL >ORGLA01G0214200.1 pep chromosome:AGI1.1:1:19772091:19774741:-1 gene:ORGLA01G0214200 transcript:ORGLA01G0214200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDLVSSDSESEEEDEARVHSPDRKRAACEADPRTESESFLERERMARLLHRHPHPHPHPHPPPSQEVKKGKEKVGEGEMLERAVSAPVDPLIGSRGCTLGAGGESKPGDGGNGGSISAPQDESDSKGLQEGHGQHGLLHSGSGTPDDKWKGILGARPADPAVDKLSHSRDNGKREDEVSMHGPSSVATNEVTGAGDVSMEDGSSTWLSRIKGLNYPLPDENQLRTRQIESDEEFARRLQEQLNREQPGSQNLEEVDTTLAWTLQEEDVEHARNAVREGQSSSSQRDRSMAHLYSFGRHSPVHSINVLTPIPSRRGSQRSFNRPDREQQNMLISQLTRGCFRDENMDLETRMAILDSLEEAFGNFGEEFMSESDDDPYVLXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA01G0214100.1 pep chromosome:AGI1.1:1:19767030:19769801:-1 gene:ORGLA01G0214100 transcript:ORGLA01G0214100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase protein I -related [Source:Projected from Arabidopsis thaliana (AT2G31040) TAIR;Acc:AT2G31040] MSLPALAAGRAXXXXXXRASAASGEAAAAAAADQPAGRRPVKVILPKKKPQKWSTGMAPGEYGGGPATPKPRKYWMGKEDRDPVGNTDDFIWNKDFLPHMERVIANGGADTPPTITRLTPVDEDTESGFLSINRAMSLDSVDVDLSKELQAPTRPILKTQVEAAWRGRAIGAEAVNGVASPRWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRVQQGDPEVLAAKSREQYLELKQRLQLFTLGIGGIGLVSAYFSYSPEIAASFGAGLIGSVLYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVALVMMYNRWNEILVPDYGFMHLELIPMLVGFFTYKIATFAQAIQESIPAVGNRES >ORGLA01G0214000.1 pep chromosome:AGI1.1:1:19762134:19764730:-1 gene:ORGLA01G0214000 transcript:ORGLA01G0214000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKANEAEAVETAKEWTRLYASGA >ORGLA01G0213900.1 pep chromosome:AGI1.1:1:19755227:19759888:-1 gene:ORGLA01G0213900 transcript:ORGLA01G0213900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGADEPSITRWTFEDFEVYYEVRLGIRREPGGDEDGDGDGGGGRGYAPLGSGSAGSTRLSAAHANGGADLAVFEQFERLERKVELRNGAIEAGPPQKSLLPSFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFKGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDDLVFVLAATNLPWELDAAMLRRLEKR >ORGLA01G0213800.1 pep chromosome:AGI1.1:1:19753409:19753678:-1 gene:ORGLA01G0213800 transcript:ORGLA01G0213800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVGVVVAVIAACVLLVVGMTAPPVDAAAAAAARRLGNGRDAAVTDPALEAMMPAQTTVAPVVADGGDVDVSGSKRLSPGGPDPQHH >ORGLA01G0213700.1 pep chromosome:AGI1.1:1:19744936:19745241:-1 gene:ORGLA01G0213700 transcript:ORGLA01G0213700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRASIIVAAVIAACVLLVCMTTSSVVDAAAAAPARRLLGSGRDDDAVAAPVVDVAAVAEPIMQQPAQMVAPVVADGDDGGVVPAGSKRLSPGGPDPQHH >ORGLA01G0213600.1 pep chromosome:AGI1.1:1:19737375:19737864:-1 gene:ORGLA01G0213600 transcript:ORGLA01G0213600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPAAASVSGAFGLPADPAAARCSFDQSRRRPEDLQQEKRMVRTFVNVYGGQESYTKEAVMAAVEECMKKQAEGLLHSLEGIGGRLSQLELYCYKLERSIGELRSDVMDYHSEGTVNFRCLEKNLRQ >ORGLA01G0213500.1 pep chromosome:AGI1.1:1:19735678:19736727:-1 gene:ORGLA01G0213500 transcript:ORGLA01G0213500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQQVNAMQSPAVPVQSSNGYILQQLVPVSLSTQPDQQQPSQPAVYYMQSQNPIKCTESEPSESAVHVIQSQIQNPEARVAVDLSQKSSQVTELYPQPQDQRLHLPAQQVESQAWRTQPLVVQPQQYNIQQVPPQLVQQQTSSPQAQSAPQVAVLYPPYSSQKPASATTEPLLRNMVVHSPYSSPQQKHHEAMPSFYGQGNTVLLPSTDLNIQHQQPQPLQQHGLSSCPPQPSKPNHCNVASYAVQGSGQSYSATFKNPSNCAATVVAVLPQHPASGPMAFHHLGPQVVHNQPFGNMFETASVVGYPRDRVESVALPVVTAAQPADSVAMADKLNAGSNVTSPREWSG >ORGLA01G0213400.1 pep chromosome:AGI1.1:1:19734152:19734460:1 gene:ORGLA01G0213400 transcript:ORGLA01G0213400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYNWHVIDDEPLPRNTRSSSEDTSPSPTQKRKSSKPKQRSRKKAKADSSGNNAENGFKRGKGLAQCDRSHQSAGSSRRSKGPREYDRFTNTLPQYTQHISRW >ORGLA01G0213300.1 pep chromosome:AGI1.1:1:19729173:19733313:-1 gene:ORGLA01G0213300 transcript:ORGLA01G0213300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNSFNDVRAVENNLATAAAVAAAKKQQQQQQVSQHVNLIKTCPSCGHRAQYEQLQAAAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDARKLHPLIDEFIPTIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTGGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFYQTQPRQCGGGSTATAKDLSVDLVAGNNIKASNAAAEHHHNDGVGGGGHGGNNSSMLKEAAGIVDFYNPAAALIGYSQAAPNNRAAASAHLTMPNFEVHTGGAGFGP >ORGLA01G0213200.1 pep chromosome:AGI1.1:1:19718647:19719144:1 gene:ORGLA01G0213200 transcript:ORGLA01G0213200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRFGERQPPRRLLGDDGGPSVHGDGFLATAAAAASTAMASWRLRRPQRPRRLLLGDGGGPSVHGGGGPSVHGDGGAPNVHGDGFFDGGGPSIDGTTTTKKASKGSYRRQEGGRNPRMHEYNKNGEKFRSARGPGGGTSRTRPEAKKAVPKIGDEESPRRSRR >ORGLA01G0213100.1 pep chromosome:AGI1.1:1:19710699:19711343:1 gene:ORGLA01G0213100 transcript:ORGLA01G0213100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNLRLRPKPEPPWLMLPAAGDSPQHLAVADFYSFSDGRRRSITLPFPAIQSRMWIGSAKGWLVTADDECGLHLLNPISGTQHSLPSITTTGYFDALPRTDGDEARFLFKVASFVETYWPEGHTGFVGWCSDIEISTEEIRSSRLLKAVPLWDPSSGEYFIMMMHCPRNRVVLARGRDAKWMPLQTRHRYEDVIVYXGQFYMVTLGFSQCELWGP >ORGLA01G0213000.1 pep chromosome:AGI1.1:1:19681218:19686204:-1 gene:ORGLA01G0213000 transcript:ORGLA01G0213000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1NQJ0] MVGIDLNTVEEEEDEEEGGATGTVTAPAEARAGGAVCLELWHACAGPVAPLPRKGSAVVYLPQGHLEHLGAAPGSGPGAAVPPHVFCRVVDVSLHADAATDEVYAQVSLVADNEEVERRMREGEDGAACDGEGEDAVKRPARIPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSLQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSGFINKKKLVSGDAVLFLRGEDGELRLGVRRAAQLKNASPFPALHNQISNTSSLSEVAHAVAVKSIFHIYYNPSCTHRLSQSEFIIPYWKFMRSFSQPFSVGMRFKLRYESEDASERRRTGIIIGSREADPMWHGSKWKCLVVKWDDDVECRRPNGVSPWEIELSGSVSGSHLSTPHSKRLKSCFPQVNPDIVLPNGSVSSDFAESARFHKVLQGQELLGLKTRDGTVNTASQATEARNFQYTDERSCSINMSNNILGVPRLGVKTPSGNPGFSYHCSGFGESQRFQEVLQGQEVFRPYRGGTLSDACIRGSGFRQPDGNHAPGAAFKWLAPQGCDHHGITTSVLPQASSPSSVLMFPQTSSKMPGLEYIYGCLDRNENSRHFKIGPTQDMTRTDQTLRLWPHLISGKMLDECTRNEKLHSPVGGAEHESNNKCLNTNGCKIFGISLTEKAQAGDEVDCGNASYHSRLQSLKPQMPKSLGSSCATVHEQRPVVGRVVDISAVNTMI >ORGLA01G0212900.1 pep chromosome:AGI1.1:1:19676093:19678060:-1 gene:ORGLA01G0212900 transcript:ORGLA01G0212900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEGSMAALLESGNLVVRDSSGTILWESFTSPTDTLLPTQQLTKDTRLVSGYHSLYFDNDNSLRLVYNGPEFSSIYWPNDDYTMFRDGIKVKNNSRLAVLDDKGGFFSSDALTVQASDFGLGIKRRLTLDYDGNLRIYSLDASDGSWTVTWQAIVEMHYVHGMCGKNGICEYLPELRCSCPPGFEMVDPQNWSKGCRPTFSYNCGKERYKFIEIPQTDFYDFDLGFNQSISFEECQNICLSTCSCIAFSYRLTGTGVCYPKGLLFNGYKSPAFPGTLYLKVPYSTNLQASSTQSALTCSPGSQEIATPSDHPRWLYFYIFPGVFGALELIFILTAWWFLSIRNDIQNSAEGGYMMIRNQFRGFTYQELKEATGKFREELGRGSSGIVYRGVLKDKRVIAVKKLIDVTRGEVEFQAEMSVIGKINHMNLVRIWGFCSEGKHKLLVYEYVENESLDRYLFNTMGTERLLVWKDRFNIALGAARALAYLHHDCLEWVFHCDVKPENILLTRDFEAKIADFGLSKLYKREGSSFNFSQMRGTMGYMAPEWATNLPINAKVDVYSYGVVLLEIVAGQRISSHTTREGKVTKLKQFIENVKEALATGDTKCIVDGRLHGQFNSEQAMVMLIVAVSCLEEERSKRPTMHEVVKSLLDCEE >ORGLA01G0212800.1 pep chromosome:AGI1.1:1:19669358:19669573:1 gene:ORGLA01G0212800 transcript:ORGLA01G0212800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDTNLLSLVRSCKGSKVSVGESKLCHTTLPLESVMTRLPVSSRATRESCPSIVLLSHTTKPFSSLRTKLPS >ORGLA01G0212700.1 pep chromosome:AGI1.1:1:19667546:19669306:-1 gene:ORGLA01G0212700 transcript:ORGLA01G0212700.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTRDFMSIFDFGRTNYNSSRIGVLDNTGHFTSSDGLNIIASDSGLGINRRLTIDQDGNLKLYSLNKVEKSWIVTWEAMPQLCDIHGLCGTNSICEYSPDPRCSCLPGYEMADQENWIKGCQPMFRTNYSQVAEQVMFVEMRQVKFYGFVMGFNTSISLEDCEKLCSEKHSCLAFSYGIGEGSCYTEIQLFSGKKTPSTTMIHSTYVKLPKTANISDVKQYDLICKPNSVYEMHQDDKRWFYYYTSIAIFGGLELFFITTACLFLRSKQNIPKSVIDGYELMTEHFKKFSYRELKAATGNFREELGRGGSGVVYRGVLDKKRVVAVKRLANATEAEEEFQAEISIIGRINHVNLVRTWGFCSEGKDKLLVYDYVENESLDKHLFESIGEKRLLRWSQRFTIALGTARGLAYLHHECLEWVVHCDVKPENILLTQDFEVKIADFGLAKLSKRDFSCLQLSHMRGTVGYMAPEWALNLPINTKVDVFSYGIVLLEIVMGARISSQTSAEGEKLDLAQIVEALKQVVACGDVTRIVDAKLHGQFNHLQAMEMVKISLSCIGERTKRPTMDEITKALMACGDEDKYADCTE >ORGLA01G0212600.1 pep chromosome:AGI1.1:1:19658157:19660610:-1 gene:ORGLA01G0212600 transcript:ORGLA01G0212600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NQI6] MAGLVIGCLAVQLSLASLLLCSSASTAQHTLGTGSSLSVEDRSRPFLVSPDGTFSCGFIQAGDDGDNAFSFSVWFTAARDGAVVWTANPDAPVNGRGSTISFRHDGELALADTNGTTVWASRTGGGGRGLTVSLRDTGNLVIEDPSTGRAVWQSFDRPTDTLLPSQRFTKDTKLVAGYFSLYYDNDNVLRMLYDGPEIASIYWPLPGVSIFDFGRTNYNSSRIAILDDAGVFRSSDRLQAQASDMGVGIKRRLTIEQDGNLRIYSLNASTGGWAVTWAALSQPCQAHGLCGKNGLCVYLPSLRCSCPPGYEMIDRRDWRKGCQPMFSVGNCSQPAAPERFKSVVVPQTDFYGYDLMFNGSSITFELCRNQCLSDCQCVAFSYRFDGVGRCFTKGRLFNGYTSANFPGNIYLKVPIDFDESSPLVSARSAAGLTCNPNVSIVTVPAAVYGMAPRNSGKWTYLFVFAGVLGVLDLLFIATGWWFLSSKQSIPSSLEAGYRRVMTSQFRRFTYRELKDATANFKEELGRGGSGVVYRGVLDGGKVVAVKRLAVDVTMQGDEEFWAEMTVLGRINHMNLVRIWGFCSERKHKLLVYEYVENQSLDRHLFDTAEGGGGGGGISTTTLAWKDRYKIALGTARGLAYLHHECLEWVIHCDMKPENILLTRDFDAKIADFGLAKLSKRDGGAGMELTHMRGTSGYMAPEWALNVPINAKVDVYSFGIVLLEIVVGSRVADQRTEAGERLQLPQIAQALRHVLDSGDVRSLVDARLQGQFNPRQAMEMVRISLACMEDRNSRPTMDDIAKALTAFDDEDEHPAYRS >ORGLA01G0212500.1 pep chromosome:AGI1.1:1:19649781:19652237:-1 gene:ORGLA01G0212500 transcript:ORGLA01G0212500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NQI5] MAGLVIGYLAVQLSLMSLLLCPSSSTAQHTLGRSSMSVEDHARPFLVSTDGSFSCGFLEAGDNAFTFSVWFTADPNRTAVWSANRDAPVNGRGSRVSFSRDGELALSDTNGTTVWSSKTTGTGNRRGLTVSLLDTGNLVVGDPSTGLAVWQSFEWPTDTLLPSQRFTKQTKLVAGYFSLYFDNDNVLRMLYDGPEIASIYWPLPGLTVFENGRTNYNSSRIAILDDAGVFLSSDQTKAEATDLGLGIKRRITIEQDGNLRMYSLNASTGGWAVTWSALKQPCQAHGLCGKNGLCEYLPSLRCSCLPGYEMVDRRDWRRGCKPTFPVGNCSQGSAPPPSPAPAPPQFKFIEVAQTDFFGFDLGYTASITFKQCRDQCMNNCQCTAFSYRLDGRGRCYPKGTLFNGFTSANFPGSIYLKVPLDFNASSPRVSAQRPAGLACGANVTVVPVSADVYGMAPGSNGQWTYFFVFAGVLGVLDILFIATGWWFLSSKQSIPSSLQAGYKMVMTSQFRRFTYRELKGATANFKEELGRGGSGAVYRGVLDGGKVVAVKRLAVDVTMQGDEEFWSEMTVLGRINHINLVRIWGFCSERKHKLLVYEYVENQSLDRHLFDASDGSGGKATTLAWSDRYKIALGTARGLAYLHHECLEWVIHCDVKPENILLTREFEAKIADFGLAKLSKRDGGAGVELTHMRGTSGYMAPEWALNLPINAKVDVYSFGIVLLEMVVGSRVADQRTEAGEPLQLPQITQALRHVVDSGDVMSLVDARLQGQFNPRQAMEMVRISLACMEERSSRPTMDDIAKSLTAFDDDDEHPAYHS >ORGLA01G0212400.1 pep chromosome:AGI1.1:1:19640362:19642707:1 gene:ORGLA01G0212400 transcript:ORGLA01G0212400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NQI4] MARLLCLVILPLISVLPFSYASPLLMLSTGSSLFVEEHKQTFLTSPNADFSCGFYEVGGNAFSFSIWFTNSKNRTVVWSANPKSPVNGHGSKVTLNHEGNLVLADVNGTANWDSKTSSGKGTTAVLLDTGNLVIRDSTGTKLWQSFWAPTDTLLPLQPLTKGTRLVSGYFNLYFDNDNVLRLMYDGPEISSIYWPSPDYSVFDIGRTSYNGSRNAILDTEGHFLSTDKLDIKAADWGAGINRRLTLDYDGNLRMYSLNASDGSWKVSWQAIAKLCDVHGLCGENGICEFLPSFKCSCPPGYEMRDPTNWSRGCRPLFSKNCSKIEEYEFFKLAQTDFYGFDLIINQSISLKECKKTCLDICSCSAVTYKTGSGTCYIKYVLFNGYSSTNFPGDNYIKLPKNMVSKQSDLSCNPTKEIVLGSSSMYGMNDANKNYATYYVFAAVLGALVLIFTGTSWWFLYSKHNIPMSMEAGYRMVTSQFRMFTYRELREATGKFKEEIGRGASGIVYRGVLEDKRVIAVKRLMNISHGEEEFWAEMSIIGRINHMNLVRMWGFCSEGQQKLLVYEYVDNESLDKYLFGDVSAERLLAWSQRFKIALGTARGLAYLHHECLEWVVHCDVKPENILLTRDFEVKIADFGLAKLSKRDSTSLNFTHMRGTMGYMAPEWALNSPINAKVDVYSYGVVLLEIVTGSRISSGIKVDGREVELRDFVQVMKHILATGDVKDVIDTRLNGHFNSEQAKVMVEVAISCLEERNSRPTMDEIAKAFLACDDQDNHPAYSW >ORGLA01G0212300.1 pep chromosome:AGI1.1:1:19631728:19634121:-1 gene:ORGLA01G0212300 transcript:ORGLA01G0212300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NQI3] MAALLYLTILSSLSFHLCSRASPWRTMTTGSHIRAEDHDKIFLLSPDTTFSCGFYQLGTNAFTFSIWYTHTTEKTVVWTANPYSPANGGYSPVNLYGSRVSLGHDGNLVLTDTNCTTVWESKTSSGKHTTVTLLDTGNLIIKDSSNSTVWQSFDSPTDTLLPWQNLTKNIRLVSRYHHLYFDNDNVLRLLYDGPEITSIYWPSPDYNAEKNGRTRFNSTRIAFLDDEGNFVSSDGFKIEATDSGPRIKRRITIDYDGNFRMYSLNESTGNWTITGQAVIQMCYVHGLCGKNGICDYSGGLRCRCPPEYVMVDPTDWNKGCEPTFTIDSKRPHEDFMFVKQPHADFYGFDLGSNKSISFEACQNICLNSSSCLSFTYKGGDGLCYTKGLLYNGQVYPYFPGDNYMKVPKNSSKSTPSISKQQRLTCNLSAPEIMLGSASMYGTKKDNIKWAYFYVFAAILGGLESLVIVTGWYLFFKKHNIPKSMEDGYKMITNQFRRFTYRELKEATGKFKEELGRGGAGIVYRGVLEDKKIVAVKKLTDVRQGEEEFWAEVTLIGRINHINLVRMWGFCSEGTNRLLVYEYVENESLDKYLFGERCHERLLSWSQRYRIALGTARGLAYLHHECLEWVVHCDVKPENILLSRDFDAKIADFGLAKLAKRDSTSFNFTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLEIVTGIRVSSGIVVDERQVEFPEFVQEAKKIQATGNVTDLVDDRLHGHFDPEQAITMVKVALSCLEERSKRPTMDEILKALMLCDDEDDYHPAYSY >ORGLA01G0212200.1 pep chromosome:AGI1.1:1:19624995:19627382:-1 gene:ORGLA01G0212200 transcript:ORGLA01G0212200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NQI2] MGKFLCPLFISFISVLLCCAASASPWQTIGTGTSLQVDHGETFLVSPDTTFSCGFYPSGDDTNAFYFSIWFTHATDRTVVWTADSGLPVNGHGSKISLSHEGNLAFTDVNGTTVWESKTGWGKHTTVALLNSGNMVIKASDSEDKIVWQSFDWPTDTLLPSQRLTREKRLVSQSGNHFLYFDNDNVLRLQYNGPEITSIYWPSPDYTAVQNGRTRFNSSKIAVLDDEGRFLSSDGFKMVALDSGLGIQRRITVDYDGNLRMYSLNASDGNWTITGEGVLQMCYVHGLCGRNGICEYSPGLRCTCPPGYEMTDPENWSRGCRPTFSVSCGQQREDFTFVKIPHGDYYGFDLTSNKSISLEECMRICMDSCVCLSFTYKGGDGLCYTKGLLYNGQVYPYFPGDNYIKLPKNVASTSLISKHHGLTCKPNASKVMLVSIDAYRKNSDNIMWAYLYVFATIIGAVELVFIMTGWYFLFKMHNIPKSMEEGYKMITSQFRRFTYRELVEATGKFKEELGKGGSGTVYRGILGDKKVVAVKKLTDVRQGEEEFWAEVTLIGRINHINLVRMWGFCSEGRQRLLVYEYVENESLDRYLFDDSGTRKLLSWSQRFKIALGTARGLAYLHHECLEWVVHCDVKPENILLNRDFEAKIADFGLSKLSKRDSSTFNFTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLEIVTGTRVSSGITIDEEDIDLMQFVQVVKQMLTSGEVLDTIVDSRLKGHFNCDQAKAMVKAAISCLEERSKRPTMDQIVKDLMVYDNEDYHPAYF >ORGLA01G0212100.1 pep chromosome:AGI1.1:1:19616119:19621400:1 gene:ORGLA01G0212100 transcript:ORGLA01G0212100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNNVPHTFWSSYLPSLIQQKGIAKRIASILSGYGLIAYQLGKHDSVLNHNEQHEKFDAHRLNSTGSHPKGGLAHKLSGFRALAAGAPRSGQQHLIRCLLHGFVGHTVIHKLDLATMAQEGNGDILSGLTQILLKCLNLGRCIIYMPRIDLWAIDKFHEQEAEDHVLNVGTSKLGSTATTNIKTCSEVWNSLVDQMGSLLASVSISVLATSELKFQDLPSGVRHFFSTHVVDQCLASSEHTIPRFSVNVDSYFTWDEVIDACCLRISHDLVQQHVQLLHDRAHNNHDEQKEVFVPMEISAPGEHRSSGSKEASMLTKYPLNMDKHPSCGVSSREHPTQLGTCSAQQEPPTSNVEDKEDNTEKIDFNEKVATNRSNRIVKDSESLAIMAFGIQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLLQSITADKSLSGGNNVLKGKEKIPSVRGLVAVGLLAYRGAYASVLEVCSEVRKVLELLVGQVRTKILEKRSRYRYFHILSQVAYLDDIMSSWAYTFQRLHSENRRVKTSPKVTVGKSSTRECQGDSNTAEANILGAPAGCSEAQGTPGQHTDDLEVIPAHCPSEMQENSVQHAPGHLEIHDIVCDLDNDNVTSISSINAVEPDLIHSASLDVHTDSLTPADAVINDGQSCGVDNDGQMSRVINGEENRISNIERPESHTVSVADFNELQRKNAVASSTSTDSAGTSRNMVSTEARGSDNERNTDFPVDDVKLGHLVNPQSQDTMKSLSVLKPPCLYKCCSVCFNAVYKMVHDILSNSVRPSLHCLAVDDMHDLLSSWSVNLLATVRKWYTSQGIVGSEENSGEGHCVCSSDNGCVPRECTCHLESNEDAGTIKDDSYYLSGQPLSFFFKDGVLIPPDITAPTTLHCSYMRLCVCSIPGSISMFNRISS >ORGLA01G0212000.1 pep chromosome:AGI1.1:1:19606164:19606907:-1 gene:ORGLA01G0212000 transcript:ORGLA01G0212000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVAVVVLLAMAAVAAAQAPGPAATPAAGATGPPNVTAVLEKGGQYTTFIRLMKETQQDTQLNSQLNNSFNGNGYTVFAPTDNAFNNLKPGTLNSLTQQQQVALVQGHVLPQFYSMDSFQTASNPVRTQASGTDGPYTLNITSTTNSNVNVSTGVVEVTVTNALSAVKPLAVYSVDKVLLPFELFGVKAPAAAPTASTAKPKKGDSTEAASGPAGAEDAEPTGAASARAVGWGVAGLAAVVGCLL >ORGLA01G0211900.1 pep chromosome:AGI1.1:1:19602293:19604036:1 gene:ORGLA01G0211900 transcript:ORGLA01G0211900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58740) TAIR;Acc:AT5G58740] MAEKLGPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFHCAIQATHVEVGIRGNPPYLNHDLTLPVKTDSSFWTIEDGEMHITLQKREKGKTWSSPIQGQGSLDPYAADQEQKRLMLQRFQEENPGFDFSQAQFTGTCPDPRTFMGGIRSD >ORGLA01G0211800.1 pep chromosome:AGI1.1:1:19591447:19591890:-1 gene:ORGLA01G0211800 transcript:ORGLA01G0211800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYSSTRPWLRPEPAASVVDVVKVETTTAVAGRGGEAEVVGEEEAAEVRRAVAESPVLVVGRRGCCLIHVVKRLLQGLGVNPAVHEVAGEAALKGVVPAGGEAAALPAVFVGGKLLGGLDRLMAVHISGELVPILKKAGALWL >ORGLA01G0211700.1 pep chromosome:AGI1.1:1:19582783:19583406:-1 gene:ORGLA01G0211700 transcript:ORGLA01G0211700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTVVFANMYQRPDMITPGVDAQGQPIDPRQMQEHFEDFYEDIFEELSKFGEIENLNVCDNLADHMIGNVYVQFREEDQAAAAHTALQGRFYSGRPIIVDFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGTWEGSEEKTLWPLQKATTRQEPQPKPKPTP >ORGLA01G0211600.1 pep chromosome:AGI1.1:1:19567473:19572180:-1 gene:ORGLA01G0211600 transcript:ORGLA01G0211600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRNTVCTPQVIDLETEQGHSHIHSESFNRTGNDSSNQGAQHAVRGVGNATNIGLSDMRSYYDVGMNHPHQPVHNLPPNLGVDSGFVFPSSMYNPCMSTTSMNQYVSHTQSFGLPSNQVVLGSMDEGSRNENAGESARGFIKRKNAAVAGSYHCANGFASSSSSHASLNPTHRPWDPSFESNVLPNTASYNPSEYHSQTSWPSMEGSSIPGNGFNLMGAHPESAQHGNYAFPTSHISQCFQPTSNTWISQSANGIADGIPQWEYVXXXXXXXGRFSRSGMTETVNGSFREYQNGPSTLCRGPLPYFHQHAGMHAHNLLDHTQVQAPYQQCHNNPVLHGVNHSGNRFHLGPRIPVLFSNSERTFGPPHHPLLANPVNHRNIRILPPEHATIMDFSRLYEVSNVVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLAKSYIVEKLKTSLFVPGSSCMSNKSSESSMENDACIICQEEYQVKECIGTLDCGHRYHEDCIKQWLMVKNLCPICKTTALSTGRRSG >ORGLA01G0211500.1 pep chromosome:AGI1.1:1:19565608:19566684:1 gene:ORGLA01G0211500 transcript:ORGLA01G0211500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAGYRAEEEYDYLFKVVLIGDSGVGKSNLLSRFARDEFSLETRSTIGVEFATKTVRVDDRLVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRRITFENAERWLKELRDHTDANIVVMLVGNKADLRHLRAVPAEDARAFAEAHGTFSMETSALEATNVEGAFTEVLAQIYRVVSRNALDIGDDPAAPPRGRTIDVSAKDDAVTPVNSSGCCSS >ORGLA01G0211400.1 pep chromosome:AGI1.1:1:19563915:19564241:-1 gene:ORGLA01G0211400 transcript:ORGLA01G0211400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVGGAERSGDKQEAGLRRSGERADEAEKNEGGAKDTERGKKERWGSGGGGAVGARQPHRSAVVAATARADRHPLQPPTLMDLNSSGRGGSEKTAATSGRDHQARV >ORGLA01G0211300.1 pep chromosome:AGI1.1:1:19552041:19556157:-1 gene:ORGLA01G0211300 transcript:ORGLA01G0211300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMFRSKHATQPWQTQPDMAGSPPSLLSGSSAGSAGGGGYSLKSSPFSSVGEERVPDPKPRWNPRPEQIRILEAIFNSGMVNPPRDEIPRIRMQLQEYGQVGDANVFYWFQNRKSRSKNKLRSGGTGRAGLGLGGNRASAPAAAHREAVAPSFTPPPPILPAPQPVQPQQQLVSPVAAPTSSSSSSSDRSSGSSKPARATSTQAMSVTTAMDLLSPLAAACHQQMLYQGQPLESPPAPAPKVHGIVPHDEPVFLQWPQSPCLSAVDLGAAILGGQYMHLPVPAPQPPSSPGAAGMFWGLCNDVQAPNNTGHKSCAWSAGLGQHWCGSADQLGLGKSSAASIATVSRPEEAHDVDATKHSLLQYGFGITTPAVHVDVTSSAAGVLPPVPSSPSPPNAAVTVASVAATASLTDFAASAISAGAVANNQFQGLADFGLVAGACSGAGAAAAGAPEAGSSVAAVVCVSVAGAAPPLFYPAAHFNVRHYGDEAELLRYRGGSRTEPVPVDESGVTVEPLQQGAVYIVVM >ORGLA01G0211200.1 pep chromosome:AGI1.1:1:19535151:19538388:-1 gene:ORGLA01G0211200 transcript:ORGLA01G0211200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glyoxalase II 3 [Source:Projected from Arabidopsis thaliana (AT1G53580) TAIR;Acc:AT1G53580] MVALLRSCRRLIPHLSACAAAAPSSSSSCAPRVRPISRGLRLLPVVLAMAGYSSGSAAEGRRLLFRQLFEKESSTYTYLLADVGDPEKPAVLIDPVDRTVDRDLNLIKELGLKLVYAMNTHVHADHVTGTGLIKTKLPGVKSVIAKVSKAKADHFIEHGDKIYFGNLFLEVRSTPGHTAGCVTYVTGEGDDQPSPRMAFTGDALLIRACGRTDFQGGSSDELYESVHSQIFTLPKDTLLYPGHDYKGFTVSTVEEEVAYNARLTKDKETFKKIMDNLNLAYPKMIDVAVPANLLCGIQDPPPSKV >ORGLA01G0211100.1 pep chromosome:AGI1.1:1:19531984:19534110:-1 gene:ORGLA01G0211100 transcript:ORGLA01G0211100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEMPDADGKPRSASSGFQPSAPPQPQPQQYQYGTFGAPSSAPGEVPQPAVGFPQPAPPPGLRHYPQPPPPSYAVYPPLPPQTYPAAAPYYAQGYQAVQGYIPVVEGRPVRMRRLPFCGLGMGWFLFIIGFFLAAIPWYIGAFVLICVRVHDYREKPGYVACTIAASLAAIAILLGVTKGEEIW >ORGLA01G0211000.1 pep chromosome:AGI1.1:1:19529421:19530629:-1 gene:ORGLA01G0211000 transcript:ORGLA01G0211000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASRPRRQCRAPRRLDGGGGGSMDVHPSELLPRSRCTAPRRLDDDEMDVHPSEQELIETGFAPAVARSSGDEAWYFFSAVRGLKGGRKARTVDDGAGCWHSEAGAKPVLAASSGRRLGHRQSFSFITKDDDGQRVRSGWLMVELSLDVDEEEQLVLSKVYFSPRAPGAKKPTTAAAMSRHKRKLSTTDIASPPRRQRRHRVVPSSPPEEPNTSPSPAAAPPDQQEGGDDDPDRGSISWWLRRVFGLTATFTEEESIELNPWLKDILRPFPPPLPPTPPPPCPSPRRKLIDMPEIREFIMRGSYLGGGPAPPRYECDHPAMVMTGGDDQQQLDEQRRDDVGDDRAHYDRVDGQLQFERHYLQM >ORGLA01G0210900.1 pep chromosome:AGI1.1:1:19527128:19528637:-1 gene:ORGLA01G0210900 transcript:ORGLA01G0210900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L18a [Source:UniProtKB/TrEMBL;Acc:I1NQG9] MVAFRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHNGSIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >ORGLA01G0210800.1 pep chromosome:AGI1.1:1:19517741:19526449:1 gene:ORGLA01G0210800 transcript:ORGLA01G0210800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuoleless1 (VCL1) [Source:Projected from Arabidopsis thaliana (AT2G38020) TAIR;Acc:AT2G38020] MSSSVSVAAEWDLLSDRFYRRITVYSPLPWSPPSAAAASSSSTSGGGGGGGGSGGVLGRLDLSTHIVAAAPFGGPIAAVRDDSKIVQLHSEPSRRRLLLYSSSGHPIASSPWPPQLPRLHSLAFSSSLNLVALLSDGSLLRFRLPDLKPNPSPTPVPLLPTSSGGVADAAFWGGGVAVLTEDNRVVVTTDIDAADPHPREFADPCVGQDEQVLCMAVVEPQFVMSGSPEVLLAVGDRVLAVDEDDVQTLGLELEIGPVQKMAVSPNGKLLAAFAHDGRLLVIPTDFSKIIFEYECDSALPPDQIAWCGLDSVLLYWSEALLMVGPNGDPVLYNYDEPIKLIPECDGVRILSNSNMEFLHRVPDSTTSIFGIGSMSPAALLYDARDHYDKQSAKAYDNYQLISSSLPEAIEACIDAAGHEFDISRQHALLRAATYGLAFCSQFPHERFQEICKTLRVLNAVRDPQIGMPLTIQQYKLLTAPVLIGRLINANQHLLALRISEYLNLNPEVVIMHWACEKITASAAIPDTVLLEGLLDKLRLCKGISYAAVAAHADNSGRRKLAAMLVDHESQSSKQIPLLLSIDEQDKALSKAIESGDTDLVYLVLFHIWQKVAVEKSAPLDFFGVINARPLARDLFMAYARHSKHEALKDFFLSTGRLQDAAFLLLKESRELERNPMASKGSPLHGPQVRLIEQAHRLFAETKEHVFESKASEEHAKLLRSQHELEVSTKQAIFVGSSVSDTIKTCIAMGNERAALKVKSEFKVPDKRWYWLKSCALATVGNWDALEKFSKERRPPGGYKPFVEACIDAGQKTEALKYIPKLTDPRERSEAYARIKMAKEAAEAASQVKDSDELFGRLKLTLAQNTAAASIFDTLRDRLSFQGTY >ORGLA01G0210700.1 pep chromosome:AGI1.1:1:19508743:19509546:-1 gene:ORGLA01G0210700 transcript:ORGLA01G0210700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKVLFQIKLHALFHWSSFGFLMPVGIILARMSSKSKSGRSIRVLFYCHVISQIAAVLLATGGAALSLMNFENSFSNSHQRVGLALYGFMWLQPLIGFFRPERGVKVRSLWYFLHWLLGIAICATGITNVYIGLHTYHERTTKSVKLWTGLLTFELSLLLFFYLLIDRWSYMMKQGNAPIEQLRPTDNRKTYPTTLRKELGMVQE >ORGLA01G0210600.1 pep chromosome:AGI1.1:1:19506332:19507705:1 gene:ORGLA01G0210600 transcript:ORGLA01G0210600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFPPSSATRLQAVDAAAVRSSRVPPRAAAVSPVQRSLGAGCKAAAAARQEGATQDPAISVSSARTQLDLLEQLTTPTSDGIGVENGAPTEPRVQTTIREQLSAVIGDRDGEYTLPLGKKLKEGLKKLNSLTVSQRRNIKRQALLTKVSGRNDSVFFATVGAFVLVPPLAILAIAVLTGYVQLLP >ORGLA01G0210500.1 pep chromosome:AGI1.1:1:19503630:19505929:-1 gene:ORGLA01G0210500 transcript:ORGLA01G0210500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGESGPSASAKDTDAAQRAQEQEPGKQGGATRERRMESLGWLTESAVMPKKHKAIEGVGAASILDLKAQLYRTQEEARKPTAHDAAAAAAASGEFRRAKKRAAPGDPLGAKNSGVDARARKDKLELKAVKDGSVSYAALEKKAELYEKLSRGEIPDEEDKEKYCVDFFQKSFDHVYEPRQPESQSVIDRAEPENDNDDSMSSAKPVGLGRTGTTIDRDEHKRFVREVHEEVSEARQKASTMKSRRQEQEAARREKLKQAYLKKRLEKLLAEKRATSSTDDLPAS >ORGLA01G0210400.1 pep chromosome:AGI1.1:1:19500266:19502479:-1 gene:ORGLA01G0210400 transcript:ORGLA01G0210400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAPEQKAVTPAAAAASPFEFHVYGPRNLSSTTWRDLLRSSWKNANYRRMVIACFIQGVYLLELDRQERRDERTGALAPQWWRPFKYRLAQALVDERDGSVYGAVLEWDRQAALCDYIPFRPAGAPAAVVALRGTLLRAPTFRRDVTDDLRFLAWDSLKGSVRFAGALAALRAAAGRLGAGSVCVGGHSLGAGFALQVGKALAKEGVFVECHVFNPPSVSLAMSLRGFAETAGELWGRVRSWIPYYGGSSSSSSQAADARGGGESEEAKAMCRWLPHLYINTNDYICCYYNDAAAGTATVAAGGGGGGSASGKAVAAAAAVRGTGGGGARVARMLVASKGPTKFLEAHGLEQWWADDVELQVALNHSKLIDRQLRSLYAAPPAAAS >ORGLA01G0210300.1 pep chromosome:AGI1.1:1:19496592:19499635:1 gene:ORGLA01G0210300 transcript:ORGLA01G0210300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG-box (high mobility group) DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G34450) TAIR;Acc:AT2G34450] MKTRSQTAPKPLKTVRLPPVKPRPKPPPPQPQPPPSRKKGQPLVDRRRPKKPPTAFFYFMEDFRKTYKEENPSVKSMQEVGKACGEKWNTMTFEERVKYYDIATEKRAEYEKAVAEFDKKKESGELSEESDYD >ORGLA01G0210200.1 pep chromosome:AGI1.1:1:19486864:19490327:-1 gene:ORGLA01G0210200 transcript:ORGLA01G0210200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADARAAPPSCPFPTIRSHGAAVARSHAYDWLALLLLVAVDGLLNAIEPFHRFVGAGMMTDLRYPMKRNTVPIWAVPIVAVIGPMIVFTVVYFRRRNVYDLHHAVLGILFSVLITGVLTDAIKDAVGRPRPNFFWRCFPDGIAVFDNVTTGVICHGDASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKITVFDRRGHVAKLCVVLAPLFVAAMVAISRVDDYWHHWQDVCTGGVLGLVVASVCYLQFFPAPSDEKGFWPHAHFRYITERGSENPTQQATEPLDAMETGRGGQ >ORGLA01G0210100.1 pep chromosome:AGI1.1:1:19458974:19463523:-1 gene:ORGLA01G0210100 transcript:ORGLA01G0210100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WALPCTGGGRAIRFTWGASRARARRRPGRLRCLPRWLRWCATRLHTHEXCTVSEWKRHGAPPASLTPAAAAALHMLFPLRLRCHARTRRDAMPRRPYHHRQHQRPSARDRTARDRWQQASRWRVPPPRRXGPHVPAMEAGAVGWSKGARGRTRVGRPQRLNVXPRERERVRACVRARAKNHEHGQRRREAAVDPAMSGEYQFQDELAPLFARPGGGAGEMQMLPSSWFADYLQAGTPMQMDYDLMCRALELPVGEDVKREVGVVDVVAAGGGGAPPLTPNTTSSMSTSSSEGVGGGGGGGAGAGAGEEESPARCKKEEEDENKEEGKGEEDGHKNKKGSAAKGGKAGKGEKRARQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCPVKKRVERSYQDPAVVITTYEGKHTHPIPATLRGSTHLLAAHAQARXXXXXXXXXXXXXXXXXXXXMAPPLPLGSGAAAQFGRSSGIDVLSSFLPRAAAAHHGMTTMGGAAATTTTSHGLNSAISGGGGVSSETTSAVTVAASAQPSSPAALQMQHFMAQDLGLLQDMLLPSFIHGTNQP >ORGLA01G0210000.1 pep chromosome:AGI1.1:1:19448007:19452351:1 gene:ORGLA01G0210000 transcript:ORGLA01G0210000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAPGAPSGHAVVMLMPGGQNSIIIVGGANMEGWASGVGSDDLDLIRRAGVLLLQREIPDWVNVQVAQAAKGAGVPVILDAGGMDAPVPGELLSLVDIFSPNETELARLTGMPTETFEQISRAAGACHKMGVKEVLVKLGSQGSALFIEGGEPIRQPIIPATEVVDTTGAGDTFTSAFAVALVEGKPKEECMRFAAAAASLCVQVKGAIPSMPDRKSVMDLLESVQVE >ORGLA01G0209900.1 pep chromosome:AGI1.1:1:19440792:19444568:-1 gene:ORGLA01G0209900 transcript:ORGLA01G0209900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRMKRNFDDDVFRDEFDSKPTKSMKISHFQVSELEQSAVLNLPYKDPHDELDLTTQLAGQDIMIMEAASLDDALGGASLLKDLISEVAVSPNMENDMLVNYEDIRSQLNVVNYFDKDEDANSASYNSCTVNCHEESWGSNEGCSLLDIYNPDDAFSFLLDTPSEFLGSFTALYDEVVPIDSLVNISGRCGVFPLTESTTEASIGNEPCRSEGDMLFSNSEVLEWLNPHLAEEDLPNLVDFTELNSHAVSKEQRARKVTLVLDLDETLVHSTTEQCDDYDFTFPVFFDMKEHMVYVRKRPHLHMFLQKMAEMFEVVIFTASQSVYADQLLDILDPEKKLFSRRYFRESCVFTNTSYTKDLTVVGVDLAKVVIIDNTPQVFQLQVNNGIPIESWFSDSSDEALPQLIPFLETLASADDVRPIIAKKFGDKKDIAEIFQ >ORGLA01G0209800.1 pep chromosome:AGI1.1:1:19433578:19439551:1 gene:ORGLA01G0209800 transcript:ORGLA01G0209800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NQF8] MAMQTMQTEQQQQQGRKGSPEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSMDTVTRIRNEKARRYLSSMRKKQPVPFSERFPKADPAALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKAEREPSCQPITKMEFEFERRKVTKEDVKELIFREILEYHPQLLKDYMNGTEKTNFLYPRTTTVHSAPIPPKDHQNITSQVPQRIPGRTGRGACPVIPFENLSAMGPYNQRRVVRNPVLPPATTNLSAYAYHRKSDSSERELQQELEKDRMRYQPSEHFMDAKVVSHMSHDLRASSYYVSKAKSDVADRAALQSNMMQGIGPFNGIAAVGGNYNKVSTVQYGVSRMY >ORGLA01G0209700.1 pep chromosome:AGI1.1:1:19412325:19413646:1 gene:ORGLA01G0209700 transcript:ORGLA01G0209700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGESEGGGRSERRCRRGRRRAGRAGDDSRWTWWRQAAQGAGLAAAGGGGDWRRGVGRGGEGGGDGVCDLGHHRIHVDHCWIWSQVREGRRVAAGMQWRRQAVGMHAAAGAEEAWLWWWWHVEERGGEARGVEAGAARGDAAGGVAWRPARRRGVGCVTWSVEAGSAAWRRGWQREADAGESLAVPLASSMMTTPLALVPFLKALSWRSAICPTNLQVKTLLRLRTSGDGVTRRVLLGGVASGKFLTSMTIDGPFGSKAFFPWHLARPKPLGSASFYGGRHTLRLLLRMKSELLAVGVRRRLAMMTCCSLFQRVGAGHVEEVALWWLG >ORGLA01G0209600.1 pep chromosome:AGI1.1:1:19406749:19408567:1 gene:ORGLA01G0209600 transcript:ORGLA01G0209600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMPSDEATGQVRLEGDVSDKKEEKTQEQSEASGMPSPQEEEAAIKKKYGGILPKRTPHITKDHDRAYFDSADWALGKQGGSHKPKGPLEALRPKLQPTQQHARARRTPYASADNDECMNLPPEDLIQNGDPIEDKNKEEQ >ORGLA01G0209500.1 pep chromosome:AGI1.1:1:19401976:19402209:1 gene:ORGLA01G0209500 transcript:ORGLA01G0209500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGDSDRVNLEPSFYDEAATVAEAAAAAERLEHEKQERETCPTSTSTRSPALLRCASPTTPPTPHRPEPSASCAI >ORGLA01G0209400.1 pep chromosome:AGI1.1:1:19390347:19392572:-1 gene:ORGLA01G0209400 transcript:ORGLA01G0209400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSNSISSALSQTTPVLRLRVWVLIAIGIGILMAILFIIALWLSMRRKKKTAVGFDGRSHMEIPIVSKDINVDRVDAQSLHDSGTPIMPVQDKYEQMKGVSHLAESRSVDVDTFSQCSSVYNIEKAGSSYSEDYSSSGPGRKGSSSYAYASASPLVGLPELSHLGWGHWFTLRDLEYATNRFAKSNVLGEGGYGIVYKGRLMNGTEVAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMSGGILTWENRMKILLGTAKALAYLHEAIDPKVVHRDIKSSNILIDDEFNSKVSDFGLAKLLNSDSSYINTRVMGTYGYVAPEYANSGMLNEKSDIYSFGVVLLESVTARDPVDYSKPADETNLVEWLKMMISSKRAEEVVDPNLEIKPPKRALKRAILVGLKCVDPDADKRPKMSHVVQMLEAVQNAYRQDQKRPSSQMGSVDVESQQSAEEISNSADS >ORGLA01G0209300.1 pep chromosome:AGI1.1:1:19384028:19388429:1 gene:ORGLA01G0209300 transcript:ORGLA01G0209300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEGQLPHQVSGVSRAQDGVAKVSLGKEHVPGSELWTDGLICAFELIKGHKKLVQHKSWPTIDSMQEKEVPMHMKRHISRNGHHVATMKPEECDVVENPRQTEFANDPSLFKDRPVHVRAILDHKWVPIGWSRIAELVQRVQSDASWESEPAEMTDSEDDYTVADVAAPYWQRPVGPTWWCHVTAGHPSVDAWLNSAHWMHPAIRTALRDESRLISDRMKYLLYEVPVRVAGGLLFELLGQSVGDPNREEEDIPIVLRSWQAQNFLVTAMHVKGPSSNINVLGVTEVQELLSAGGSQTPRSAHEVIAHLIGRLSRWDDRLFRKYVFGEADEIELKFVNRRNHEDLNLVSIILNQEIRRLATQVIRVKWSLHAREEIIIELLRHLRGNTTRVILDSIRKDTREMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRITHNLAIFGGGGMVLSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFVGFVLIGVGILYLGLQNPVTNEKVKVRKLELQDLVSAFQHEAEQHGKVREGLSRHSSSPKSSSASNVDYVLIS >ORGLA01G0209200.1 pep chromosome:AGI1.1:1:19375045:19379507:1 gene:ORGLA01G0209200 transcript:ORGLA01G0209200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein [Source:Projected from Arabidopsis thaliana (AT5G46390) TAIR;Acc:AT5G46390] MRLLPYAPSPRPPQRSLATRRTKPGPPCALPFPDALRAAAAATAAAMSISLSLLTGDAVGAERPRGPELCRDGAAAETKEEVRRSEVVTNEQLVEEAWEVVNEGFLPDAGSRPWSPEMWMKKKQDIVQTSIRSRSRAHDIIQKMLANLGDPYTRFLTPSEINLVKFSKMSKYDMTGIGLNLREIPDGNGSSKLMVLGLILDGPAHSAGVRQGDELLSVNGIDVMGKSAFDVSSMLQGPKDTIVTIKVKHGNCGPVEPLKVQRQLVARTPVFYQLEKRENEDSAIGYIHIKEFNAVAKKDLVSALKRLQNSGASYFVLDLRDNLGGLVQAGIETAKLFLNKGDTLKVTVHGYYIKMKVIYTAGRDRQVQNTIVAEREPLVTTPLMVLVNNRTASASEIVASALHDNCKAVLVGEKTFGKGLIQSVFELHDGSGIVVTVGKYVTPNHKDINGNGIEPDYRRIPDFNEATEYLSRCRSKELS >ORGLA01G0209100.1 pep chromosome:AGI1.1:1:19372231:19372887:-1 gene:ORGLA01G0209100 transcript:ORGLA01G0209100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPLPSPLSALPPHPFSEHRSPASPPHTPARPRHGSFRVPSRHRRPASSAPLLLPRVVPSLLAEEVVGLALPNLHRVDVGERRGVLEHGVEAAESRGVVLAEERGWLAQHVQAPDDLLGEERRVLLLLVAVVFGPRLIAVGSPWRGARRGAVVGGPELLLLEEVALSFPDPPRGGVVLDLGSATCDGREEDGEGRKKTERKRMDGKGDGNGVVLIS >ORGLA01G0209000.1 pep chromosome:AGI1.1:1:19363145:19369437:-1 gene:ORGLA01G0209000 transcript:ORGLA01G0209000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGGGGGGGRGAAAGPVPGSARKLVQGLKEIVNRPDAEIYAALRDCGMDPDEAVSRLLSQDTFQEVKSKRDKKKEVNKEALEPKSRGAVNSNSRATRAGADRSGRSSSVQSGSSGADNMSSRSSILGPGVLATNSTQKQTVPSSSVNKDVLHDGSFGAMQPSSGFQNSWCGVPGQMSMADIVKMGRPQVRSSSKPVASTDKAYSGQTSSFSSVVNQNPNKSASTAPPTTFEQRFPVLQDPIPQVKNSSHASADNHETQESDWFQQDGTLPGSQLTVPETSRDISLPVASLESSTLVADDANSYNNSYVGESSSAIPSDRHLEIIDGNNHFNNGLLHNSSAYQSRGNHYDDNDDDDDEAEVSNVDVESASANIQHLSLQTEDLVASKSTEDNPAVIIPDHLQLANADCGHLSFGSFGSGAFSGLLPSKVHKNGVEEVPVPDESPSVDQEDVRNQDNNVALNSSTNGDVEARIGTNMENTDEPSVSQPDILTQGAVDVSSLQYNLPSVSDHVYSNTTQPSTMESSQGDIQVQHLPQFSSLLQANTLHNNLLGSNLPNLRDFDFSPLLSTQLATKYNPPVPTTSLPAISMQETLKPGGFSNAQPTQNLPSASIPSGPPLPQQLSVHPYPQPTLPLGPFSNLVGYPYLPQNYYLPSAAFQQSFSSNGPFHQSAATTGVPGVSMKYSMPQYKSSLPATSPPQPSSVVSGFGGFGSSNNIPGNFGLNQNVPSAPTTMGFEEALSTQFKDNSQYIALQQVSFTCFAYVLAPFVFLSCLRILYYKNTCTCTLSVHLDFHFIFLVTSFVMPFRTTALQCGFMELLVQGPFQRYHLATSMVSRARISQVASARGSSPLNTVALGTQASTSHRLVYRRSTRRTLLREP >ORGLA01G0208900.1 pep chromosome:AGI1.1:1:19356194:19360650:1 gene:ORGLA01G0208900 transcript:ORGLA01G0208900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator/pterin dehydratase [Source:Projected from Arabidopsis thaliana (AT1G29810) TAIR;Acc:AT1G29810] MTRGVAMAHARLLLARYYAMAAPSWPTVSKNLPLLGHGRSHHPMYASQDEIKMSSRRWCHGSPDNQELAKKICVPCNSKDIHAMPEDSAKKMLEQVGGWELATEGDILKLHRAWKVKNFVKGLEFLQLVAAVAEEEGHHPDLHLVGWNNVKIDVWTHSVRGLTDNDFILAAKINNLNLEGLLSKKATVQK >ORGLA01G0208800.1 pep chromosome:AGI1.1:1:19346627:19352441:-1 gene:ORGLA01G0208800 transcript:ORGLA01G0208800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRVGLLLLVLLAAVLLQPLLAAAAAEGVVRIALKKRQVDETGRVGGHLAGEDAQRLLARRHGFLTNDAARAASRKARAEAEGDIVALKNYLNAQYYGEIAIGTPPQMFTVIFDTGSSNLWVPSSKCHLSIACYFHSRYKAGQSSTYKKNGKPASIHYGTGAISGYFSQDSVKVGDVAVKNQDFIEATREPSITFMVAKFDGILGLGFKEISVGNAVPIWYNMVRQGLVVDPVFSFWFNRHADEGQGGEIVFGGIDPNHYKGNHTYVPVTRKGYWQFNMGDVLIGGNSTGFCAAGCAAIADSGTSLLTGPTAIITQINEKIGATGVVSQECKAVVSQYGQQILDQLRAETKPAKVCSSVGLCTFDGTHGVSAGIRSVVDDEVGKSSGPFSSAMCNACETAVVWMHTQLAQNQTQDLVLQYIDQLCDRLPSPMGESSVDCSSLASMPDIAFTIGGNKFVLKPEQYILKVGEGTATQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGNLKVGFAEAA >ORGLA01G0208700.1 pep chromosome:AGI1.1:1:19342568:19345954:1 gene:ORGLA01G0208700 transcript:ORGLA01G0208700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGAHRAALLVLACVVVVVIHGLGEAEALGGGGGFVRAQGTRFVLDGNPYYANGFNAYWLMLLAADPSQRGKVTAALGEAAGHGLTVARTWAFSDGGGGNALQLSPGNYNENTFKGLDFVLSEARKYGIKVILSLVDNYDSFGGRKQYVNWARAQGQGIGSDDEFFTNPVVKGFYKNHVKTVLTRKNTITGVAYRDDPTILAWELMNEPRCQSDLSGRTVQSWITEMAAHVKSIDRNHMLEVGLEGFYGASSPSRIAAVNPSGYQLGTDFIANNQVPGIDFATVHSYPDQWLSGKDDQAQLGFMGRWLDAHIADAQAVLRKPLLIAEFGKSWKDPGYSSGQRDALYGTVYAKIYESARRGGATVGGLFWQLLVPGMDSYRDGYEVVFGETPSTTGVITTNSRRLRFLSKAFARARQAQPARGKGRHNGGK >ORGLA01G0208600.1 pep chromosome:AGI1.1:1:19337208:19337522:1 gene:ORGLA01G0208600 transcript:ORGLA01G0208600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELREGVVLGGGISFSTGPDDMRLILTLAAAGKRYLRAHRVTVLDLTDACSWHPIMCSSPPPPAAPHRCRTLRPPGPRQRPPRCRHLVLGSGIARCSFPVKDGRG >ORGLA01G0208500.1 pep chromosome:AGI1.1:1:19332092:19333496:1 gene:ORGLA01G0208500 transcript:ORGLA01G0208500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELREIFQRPWKILLRLQSSKSSMVSTLQGDENEMTTWRPSCPADCLVKLVQLGVLVMAWRMQLCLRLYGGPGTSSLRSDESGHDDGGGSNHNDTEGAAMGEATTTLKEQHGLEVASVALRWAYPALDGRIQRWRRRGWEGRWWRSLIWRSGDGATIMWLHDGGVGLGSTGASATTTDCGLVAVPRQQWQTAVTMMMARTDGS >ORGLA01G0208400.1 pep chromosome:AGI1.1:1:19328374:19328649:-1 gene:ORGLA01G0208400 transcript:ORGLA01G0208400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSSSSSRNSNSVNMDSEWSKKENKLFEEALAYYGEGAPDLFHKVSRAMGGTKTADEVRRHYEILEDDLKLIEARRVPFPKYNTQGAWN >ORGLA01G0208300.1 pep chromosome:AGI1.1:1:19321038:19321927:-1 gene:ORGLA01G0208300 transcript:ORGLA01G0208300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEAAPCTCGLLYGSCGGGCSLLFPAGAPGDHHHHHYYKQYRGAGDGEYPDVPYGGGGSVDCTLSLGTPSTRRAEAAVAGLPWDQSSLQPSCNGRQEMSGAAAPRTEPSGGAGAAAASAPRRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAAVAPTALASDGGVEYACGYPRQQQQWGCYGPAVAKAASFGMFGDAAGEDGPCLPWGLGVMPSSPAFGAVREMPSLFQYY >ORGLA01G0208200.1 pep chromosome:AGI1.1:1:19316137:19319366:-1 gene:ORGLA01G0208200 transcript:ORGLA01G0208200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:enoyl-CoA hydratase/isomerase D [Source:Projected from Arabidopsis thaliana (AT1G60550) TAIR;Acc:AT1G60550] MDAAGRRLARVTAHLLPSSLPLPLASAPTLAPSPAASPASDSYRRVHGDVPSEPPEWRAATDESGKGFVDILYDKAVGEGIAKITINRPDRRNAFRPLTVKELMRAFEDARDDSSIGVIILTGKGTQSFCSGGDQALRDADGYVDFDSFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLSRFYTADEADRMGLVNVVVPLADLERETVKWCRKILRNSPTAIRVLKSALNAADDGHAGLQELGGNATLIFYGTEEAKEGKNAYMERRRPDFSKFPRKP >ORGLA01G0208100.1 pep chromosome:AGI1.1:1:19312658:19315217:-1 gene:ORGLA01G0208100 transcript:ORGLA01G0208100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster assembly protein [Source:UniProtKB/TrEMBL;Acc:I1NQE1] MLRAGGRRLLAPGLRRVLGGGAAAPVAVGGARAYHERVVDHYENPRNVGSFENDDPSVGTGLVGAPACGDVMKLQIRVDESSGKIVDACFKTFGCGSAIASSSVATEWVKGKQMEEVVTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKLAQKGEEKAAEA >ORGLA01G0208000.1 pep chromosome:AGI1.1:1:19306269:19307300:1 gene:ORGLA01G0208000 transcript:ORGLA01G0208000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALSSAFSLLSLPSSSSPAAAAAAAPRSFAVPSRARPRRAVAVVASTATESPKVLELGDAIAGLTLEEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIEEVPSSARIASIKVVRALTNLALKEAKDLIEGLPKKVKEGVSKDEAEDAKKQLEEVGAKVSIA >ORGLA01G0207900.1 pep chromosome:AGI1.1:1:19301835:19302780:1 gene:ORGLA01G0207900 transcript:ORGLA01G0207900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTCELRMLIRLVGLAFIVRGAKDIRIAVEGYGKLLNLELKGVEDMNHKEEVILVIVMVAVGLLLVACLVLNFNWKYCCKDGKNKHSKAIGTHGDGHISISSTDGSVRNAPNLV >ORGLA01G0207800.1 pep chromosome:AGI1.1:1:19296197:19296640:1 gene:ORGLA01G0207800 transcript:ORGLA01G0207800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSSYFSLSLSSLSSLSPYASSSMRTGASRSMVVPKKTVGDELXGRREDLGGVAGELLSLMLHHHLISPPHTPWQWPRRPQARASGPQADLGGMPPSFYGYCLVVASSLPEPWWLPRRLRADLSSVASELMSLMVQHCLLFPLLGPQR >ORGLA01G0207700.1 pep chromosome:AGI1.1:1:19282032:19282679:-1 gene:ORGLA01G0207700 transcript:ORGLA01G0207700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQQQRRRHEALTGRRRGGGGGMSRRHGRFRFATGGGDGDEECAGVAVVDQADCTAQSCRSCVAVSLADCIALGCCPCAVVSLLGLAFVKLPLAVARRCARRLRRRQGRLRQKKRVRDLDAAKNAAGGGHQEPLPGGAAAASKGEDGDVVVVAAAAAASPGSDDAENVWLELYQVGRWGFGRLSVSAANPPVRPSYVVATARNADCAADGDVS >ORGLA01G0207600.1 pep chromosome:AGI1.1:1:19278172:19278954:-1 gene:ORGLA01G0207600 transcript:ORGLA01G0207600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRDRDHRGGADEDAPGPAAAAVAAVDGEDEVEDDGGGFSFPVPPFAADAFIVPVYPVFGRPMSPPPREAVVEEEEDEPETATLRVPLGRLLLEEREFRARQRESSGTSPVQPQRRRPDDEGELEGVPPESYCLWAPGGQPSTTPASPRRCRKSGSTGSVLRWRRISERLVRRSQSDGKEKFVFLNAPGGGAPSPHPPKDNDDANGGGSVGKGDAGRHGWSYYSKGGGGGRRRSYLPYKQELVGLFANVSGLRRSYHPF >ORGLA01G0207500.1 pep chromosome:AGI1.1:1:19273693:19275916:1 gene:ORGLA01G0207500 transcript:ORGLA01G0207500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:associated molecule with the SH3 domain of STAM 2 [Source:Projected from Arabidopsis thaliana (AT1G10600) TAIR;Acc:AT1G10600] MIYSVLNGRVEINANKCGTHPTPSKPYYVDKIDTNEQKVVHYQVNCRPARDRNTGSYSVKHHYPSPIVSWIEDLSSFGNVSFSQDPEYADEQSRSSVGQSSASVNLHDMQISVRLTDEFIELAKENTGNNVETCGILGASFRDGTYYVTMLIIPKQEATAHSCQAVSEEEIHAILSEQSLYPAGWIHTHPSQTCFLSSIDLHTQYSYQVMLPEAVAIVIAPTDPTRNCGIFRLTDPGGMGVLRECSESGFHAHRETTDGGPIYETCSKVIFNPNLRFEIVDLRSAP >ORGLA01G0207400.1 pep chromosome:AGI1.1:1:19268453:19271091:1 gene:ORGLA01G0207400 transcript:ORGLA01G0207400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKPPPSALVDNHVVPGDVVLDLAEMTNQTIKLGAGLRQDCDTIQATSAGRLRLSKPSKYWVESSQKRYIPSVEDTVLGVVVDTKPDNFLVDIKGPNLAFLPVLAFEGGTRRNIPKFEIGTLIYARVVKANSIMNPELSCMDATGKAAEFGQLKDGYMFDTSTGLSRMLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNAPSPSNVIVVSNAIIKSESLSGIGQRSMVESLLERLS >ORGLA01G0207300.1 pep chromosome:AGI1.1:1:19264268:19266325:1 gene:ORGLA01G0207300 transcript:ORGLA01G0207300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1NQD3] MASPIPSPPPEPATDGDDAQSHPPPPTPHPATDPPPISPQNPTPPPPPLPASAAAPTTPSPNHSGDPSRPIPSQAPAPPPPPTADPSPPLPHDNRTPQPRAAPPPAPAPDQPAPPSPPPSLPPSPPAPGSPESMLEREASEADGEPENMTLTLALAETETEKAMPPTPPKAAEAAESPTGSPQKESALTIAKLLSGEDHAGTETKPVPEKAAPAATTTTAAVASVGGGGGGGGGGVGSKRWLLGGVPEKVRQSELRRAELGFRVLAAVFCLVSLSVMAADTTPGWSGDSFRRYNEYRYTLSASVLAFTYSGFQLVVEVHYFVTGRRIIRDPSGKYFNLAMDQILAYLLLSASSAALSRNDVWVSRFGVDQFAKLINASASMAFLAFVALGFSSIISAYHVFSSVF >ORGLA01G0207200.1 pep chromosome:AGI1.1:1:19260321:19262273:1 gene:ORGLA01G0207200 transcript:ORGLA01G0207200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G62840) TAIR;Acc:AT5G62840] MQVQAASPATAASSPVAPSPPPPPRAALSPCPRRRELLLLSASLPLPLPLLAPAAASARGLFRMPPPRLANRYFLVRAGESVYEGQGVVRTNPVSKTSVDSGLSPAGLRQAARAALELQRLGACEDDCWIWPSITQRAYQAAEIIAAANEINRSHIVPEYSFLDARGLGAFEGKSLETLPEVYASDSISPDIKPPPISDGTPNESVADVFVRVTQLMSILETQYSGDTVVIVSPDSDNLSILQAGLIGLDLRRHSSLFFQPGEVRPVDPASIPEYKQPASTVFKCTNPPSCK >ORGLA01G0207100.1 pep chromosome:AGI1.1:1:19257294:19257893:-1 gene:ORGLA01G0207100 transcript:ORGLA01G0207100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADTDTYVTEVAFGDAVITTTVTSSGAAVEGWLREVRAAYGPGLIVGLDVEWRPSYGPAQNPVALLQLCVDRRCLIFQLLYADYVPGSLRRFLSGAADCFVGVGVDKDAERLSDDHGLTVANTADLRPLAAQRLGRPELSQAGLQAVVRAVMGADLVKPQRVTMSRWDASCLSNEQIRYACIDAYVSFEVGRRLLRA >ORGLA01G0207000.1 pep chromosome:AGI1.1:1:19241766:19242995:-1 gene:ORGLA01G0207000 transcript:ORGLA01G0207000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSRRAWSDGLPPELLAIIVLQLNCLADRACFSAVCRAWRDAAPYADAPQRGVPWLLLPARDAPSFFSLHSGATRRMRLPDGVRGTRFCGVHDGGWAAVAADTWRGFAVVNLFTGVRLPLPEKLRVEVPPGGNHDQFALAAGFTRHHMLIRTVVFSCPPTSPYCIAAAHVSSASNIAFCQPASLSTSWTAYRRDMDIIQDLIFHRGALLQGFHVLTNKEEVLVYAPTAPHRPGAPLKLACTRYSLRPRDDYQPDDALPPTFIATRYLAESRGKLLMVLRHYTGNPVVRRRTRMFRIFELTFGEPAEPRRAATPCWWVEIPELTGRALFLGRSCSRSVDVAQFPMLQEDTIYFLDDANLDLSMVLNNGSTYCNVDMGMYRKGEKIRPGARQFPREFTADCSPPIWLDP >ORGLA01G0206900.1 pep chromosome:AGI1.1:1:19236479:19236844:1 gene:ORGLA01G0206900 transcript:ORGLA01G0206900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGSEEMAVGMRMRRRFTPIVWDPRGSRHWTLGGGDSSGALHGRKMVQWWRSVWGGGXPDGGSKVEGGGGRCGVHEVTGRRGAWLGLGSLPPTDMEVFFLQRRFLKYREEISLIKGSDGS >ORGLA01G0206800.1 pep chromosome:AGI1.1:1:19232867:19233940:-1 gene:ORGLA01G0206800 transcript:ORGLA01G0206800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGVGDEEAEAEAAAAAEVTGRGGARGDVGGGGGGAGEAAAAVRTGGERRRRCGRVGGVEIPGGVGSQLAANSEVNDSVVPANSEVRLRFQVACLSGSVSTGMPALKGHSRGLLFSTQIPNLSSPKLSPTPMAGRYYTPQTHPPPLPENTLDVFMDGDTIPIHTTITSSHSLAVQFINEIVHERPQGGLIVGIDTEWRTDHLPAGKTCYKVAVLQLCVGRRCLMFQIYQAGNMVPHELAEFLADPSVRFVGVAVNNDVQRLANDCNLRVACAVDLRYAAAAVLGQPELARAGLKRLALTVMGAHMEKEKNITKSRWGEPTLTWEQVNYACIDAYVSYEIGRRLLSSEPILAAPL >ORGLA01G0206700.1 pep chromosome:AGI1.1:1:19213641:19220478:-1 gene:ORGLA01G0206700 transcript:ORGLA01G0206700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:I1NQC7] MAQVVAAAGTAAAVAAVGRPLGGGGSGADALRPAARLSFAPRWCGGSAGAARARRESAVTSVISRAPRLDAEVLPVSADDDADVKEEENFQHLKAIQQLATSANGVWSKPNVRRKTKIVCTIGPSTNTKEMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQTKDNVIAIMLDTKGPEVRSGDLPQPIMLETGQEFTFTIKRGVGTETCVSVNYDDFVNDVEVGDMLLVDGGMMSLLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVENQVDYYAVSFVKDAQVVHELKDYLRSSNADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRMCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGSDGIMLSGETAHGKFPLKAVKVMHTVALRTEATMSGGETPANLGQVFKNHMSEMFAYHSTMMSNTLGTSIVVFTRTGFMAILLSHYRPSGTIFAFTDQERVRQRLALYQGVCPVQMEFSDDAEKTFGDALSYLLKHGMVKEGEEVALVQSGRQPIWRSQSTHNIQVRKV >ORGLA01G0206600.1 pep chromosome:AGI1.1:1:19210442:19211347:1 gene:ORGLA01G0206600 transcript:ORGLA01G0206600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKLKFSPLLALFLLAGIAVTSRAGDIAVYWGQNGDEGSLADACNSGLYAYVMVAFLSTFGNGQTPVLNLAGHCEPSSGGCTGQSSDIQTCQSLGVKVILSIGGGAGSYGLSSTQDAQDVADYLWNNFLGGSSGSRPLGDAVLDGVDFDIETGNPAHYDELATFLSRYSAQGGGKKVILTAAPQCPYPDASLGPALQTGLFDSVWVQFYNNPPCQYANGDASNLVSAWNTWTGGVSAGSFYVGVPAAEAAAGSGYVAPGDLTSAVLPAVQGNAKYGGIMVWNRFYDVQNNFSNQVKSSV >ORGLA01G0206500.1 pep chromosome:AGI1.1:1:19202576:19203001:-1 gene:ORGLA01G0206500 transcript:ORGLA01G0206500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPLEPHNGCRTLHAFRRPHVVVSAGAMRFLLSQRHCRTGSGSSRSGTTATAATTFSPAANLHRRLRPCIGNAKSTSESVGSVAPLPIDSSRCGPATPCAAPSSHAKGELRWVTTHDVLTAAFPIGRSPLQQRAPATARR >ORGLA01G0206400.1 pep chromosome:AGI1.1:1:19197345:19198580:1 gene:ORGLA01G0206400 transcript:ORGLA01G0206400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHLMTDVMEDNSSWTALSIDHIRISAFNFGIVSEDNDEGKEFLLSLDTVVPDDILERIFTFLPIVSMIRSTAVCKRWHDIIYSSRFLWTHMLPQRPWYFMFTSNESAAGYAYDPILRKWYDLELPCIDKSSCFVSSSCGLVCFMDNDSRNAISVSNPITKDCKRILEPPGAKFPDYSTIAIKVDRSSHNYTITLAKCKQVPEDYVRWDFSLYKYDSQSSSWVTAVEEVFIGWRGGDDSVICDGVLYCLIHSTGILGNIEPRHSIIMYDLIAGPSKASLMQSSIPAPCSLTCGRLLNLREKLVLVGGIAKQNRPDIIKGIGIWELHKKQWQEVGRMPHKLFQGFGEFDDVFASSGTDDLVYIQSYGATALLAFDTKQKQWKWSAKCPVSKRFPLQLFTGFCFEPRLDITT >ORGLA01G0206300.1 pep chromosome:AGI1.1:1:19186024:19186914:1 gene:ORGLA01G0206300 transcript:ORGLA01G0206300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAAPDEEAGLALPEGERILEVTLISAQGLKPPSGLRRRLLQAYAVAWVDAARRLQTRPDRAGGVDPEWHERLLFRVHEAALADDSRAAVTVEIYAAPAGGWHIGGDSLVGSARFLLGDHGLLSRPVGSPSMFAVGVRRPSGRVHGLLNVAASLVAAPPSPAAAHALRSSPAVSLSGLSTAPIPAGRVLRILNRSFPTPPPSPTVLTPKKQQIAAKPNKKCADKLDVAVKLNNNADDRSDEEREELREMGGVVFCGPCILPLPRKIHISPSDENLQAFASIFSGGVGITRQSPRH >ORGLA01G0206200.1 pep chromosome:AGI1.1:1:19173023:19173796:-1 gene:ORGLA01G0206200 transcript:ORGLA01G0206200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELALHGTCLQRDRLVAKRLAAAMWSSLVYFKALNCYKGEKLEYVFGPISRQDRTISALRDFGIXEYL >ORGLA01G0206100.1 pep chromosome:AGI1.1:1:19158512:19160466:1 gene:ORGLA01G0206100 transcript:ORGLA01G0206100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAVQCLLKXEYVSIMIWMXXKLYINNLRMEKVRELFDKMVIQSTNXHNIEYSMQLNASRIKVLQAQDDLVNSMKEDATKQLLRVSHNHHEYKNLLKELVVQGLLRLKEPAVLLRCRKEDHHHVESVLHSAKNEYASKAEVHHPEILVDHDVYLPPSPSSHDSHERFCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPEIRKLLFGQVTA >ORGLA01G0206000.1 pep chromosome:AGI1.1:1:19146431:19149581:-1 gene:ORGLA01G0206000 transcript:ORGLA01G0206000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDEAVVTQKMGKAPSPPKDHPALSPYLDWSNMQAYYGPGILPPTFFSPGIAAGHTPPPFIWGPQPLVPSAFGKPYAAIYPPGGAFSHPFMPLMVSPLSMEPAKSVNSKDNCSNKKMKEIDGAAVSTGSGNSEKTSGDCSLEGSSDGNNQKASGTPKKRSIDDRPKSGVETGGALTPNDRPSEQAALPNLCIPVTAIKPDVSTASDFRVIATPVTEVPTKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTSLRREISRLTESSKKLRLENSALMEKLTETGPDEAQEVPPVKTKAQQARGVENFLSMIDKTGTPRSSGHMDHAIATPKLRQLLGSGLATDAVAAR >ORGLA01G0205900.1 pep chromosome:AGI1.1:1:19138007:19141243:-1 gene:ORGLA01G0205900 transcript:ORGLA01G0205900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHYSEQRPGFEVTKDWNGADQVMIRSPRGASVLVSLHGGQVVSWRNDRGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNCGTLEQHGFARNRLWAIDDEAPPLNHNDNNGKVSVDLLLKPSEDDLKCWPHCFEFRLRVSLSTDGDLSLVSRVRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVGSPSVIAVLDHEKKRTFIVRKEGLPDVVVWNPWDKKSKTMADFGDEEYKQMLCVDAAAVERAITLKPGEEWTGKLELSAVASTNCSDHLDYPCS >ORGLA01G0205800.1 pep chromosome:AGI1.1:1:19136257:19137648:1 gene:ORGLA01G0205800 transcript:ORGLA01G0205800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISGEELAVTLAPVAVYWLYSGIYEALGSVRALDRYRLHSRRDEESNNMASKKEVVKGVLLQQAIQVAISLAVLKLTSEKDGGAGDVKAGHASAPAAAPSSSSAAAALLDVAARFGVAMFVLDAWQYFAHRLMHSSRYMYRRFHSWHHRVVAPYAFAAQYGHPVDGVLTEALSGAAAYLASGLPPRAAAFFLAFATVKGIDDHCGLLVPWNPLHAAFANNTAYHDVHHHLSGGRRNFSQPFFVVWDRLLGTHAGYTVTARERNNGGGLEAKPICNDRHVLL >ORGLA01G0205700.1 pep chromosome:AGI1.1:1:19131493:19134373:-1 gene:ORGLA01G0205700 transcript:ORGLA01G0205700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F/DP family winged-helix DNA-binding domain [Source:Projected from Arabidopsis thaliana (AT4G19003) TAIR;Acc:AT4G19003] MQRLGDFRLPPFFNYPPYFTLQPVRETREKQVQLWKDLILDYCRSQKLYIISLEEDFPLFSNPKIERSLSHEAKEVFLAALVNEGRAEWMDKGHKKCLILWLRIQDWANYILNFVKDNGLEDSVMTVEEIRSGIETRGTELAGIDRGVLMRALKLLEQKGKAAIFKGTSADDEGVKFSA >ORGLA01G0205600.1 pep chromosome:AGI1.1:1:19127376:19130157:-1 gene:ORGLA01G0205600 transcript:ORGLA01G0205600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQRYAM >ORGLA01G0205500.1 pep chromosome:AGI1.1:1:19076540:19077439:-1 gene:ORGLA01G0205500 transcript:ORGLA01G0205500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRTVRIFWDDPDLTDSSGEDEGCGGRRVGSMVRELPPAQLPVPQAGFAPAAAAAAAALPEQCSGGDGDMGRRVVGGGFTVGVGRRRLTKGGGPGAPSTKFRGVRRRPWGKFAAEIRDPWRGVRVWLGTFDTAEEAARVYDNAAIQLRGPSATTNFSASTNSAGAQDPVAVGYESGAESSPAVSSPTSVLRKVPSLCSLAEDKDDYEVGPCEPATAAGSNLTVLEEEELGEFVPFEDAPVYGGSNFWDFEPESGFLYAEPSSPETPWDAGATSSGEAQDYFQDLRDLFPLNPLPAIF >ORGLA01G0205400.1 pep chromosome:AGI1.1:1:19068097:19069854:-1 gene:ORGLA01G0205400 transcript:ORGLA01G0205400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADGGSNLAVLDALDSARTQMYHMKAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYQPDGSTDSKPGALSKTANNMVIGVALVGTLMGQLVFGYFGDKLGRKRVYGVTLILMAACAIGSGLSFGSSRKAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGVGIIFAGLVSMIVSSIFLTYNKAPSYKGNHDLSRQMPAADYVWRIVLMIGAFPALATFYWRMKMPETARYTAIIDGNAKQAANDMQKVLSIEIEAEQEKLAKFNAANNYPLLSMEFARRHGLHLIGTTTTWFLLDIAFYSQNLTQKDIFPAMGLISGAAEVNALTEMFQISKASFLVALLGTFPGYWVTVALIDKMGRYMIQLIGFFMMSMFMLAMGILYDYLKTHHFLFGLLYALTFFFANFGPNSTTFVLPAELFPTRVRSTCHAISAAAGKAGAIVAAFGIQKLTYNSQVKSIKKALIILSITNMLGFFFTFLVPETMGRSLEEISGEDGNTGAGGGGAPAAANAGVGVSASDVSRDEKFPASSTEWQTSMHA >ORGLA01G0205300.1 pep chromosome:AGI1.1:1:19065779:19067418:1 gene:ORGLA01G0205300 transcript:ORGLA01G0205300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISRASVLVMAIVAMLATLVNAQAPAPAPTSDGTSVDQGIAYVLMFVALALTYLIHPLDASSAYKLF >ORGLA01G0205200.1 pep chromosome:AGI1.1:1:19060788:19064852:1 gene:ORGLA01G0205200 transcript:ORGLA01G0205200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMATGGLDPAAREAELAHLPGPKLVDHLCTTHRRADYEAVARVLDARDRRLEAALAENEDLRRKCDALLVGQRRPREEEEEEEAAGEKPSPGIIAAPEPARRDEEEVEGSSEEGEVRGVDFIDLSSSSSDDDEEKEVEAGRGAGSRVPIIKEVPDDAEGDEDDTLPLSQLWKRRRLGEPGAVKSEKGDGHNPVDSGGNDRPKCTSARTDVPEASTGEMVSRPGDSMVAAFVQGKGTVQPENVGGEMPRVMLHSPAQVIRSTLQKRKFGKKDGSSAIPGDTTSSQARSTSLAPKREGSAAVPGYTTSSQARNTGLSPKKCRDSTSPDDEMCNALADSVQVGAGSTMPRGPGEQDKGIGAVQRATVLHGTSGIGEQGGKLDSTPTKVGELNRREGGLQNKSIDSKSNDALKHQDKKDGRMVQKGDLSVQSCVPARPIVASVPSVTKNSEKGNSAKGVSQPPKGNDQMNKMSMVESSSKCGYEKVGADVQKCSPLPRQSEEGSVSRGVVSFEETGIATVHPLSIRNLSGLELRNLNKGGGELSKKLVVEGSPKYGEKNNDARSEKNSSPLGQSEDVKIIREGASNEEPRVGRLSPSILSNYSTGEQIHSVSKNAELCSPTAKKALFEPGSSCTPLKHTVCPPSSGKSISIQAKGEINLLPSAMSRHWETAAHMIASLRGNMELSMQALCALYHQRKLVIMSTEGQQTGTTGLTKIDAVRAIRLSEFLLDGKLKGPLKRTAKELLSHDATGPTFLEKVALSFSKELFDIYKNKKDPYFC >ORGLA01G0205100.1 pep chromosome:AGI1.1:1:19051791:19053380:-1 gene:ORGLA01G0205100 transcript:ORGLA01G0205100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WVSGDKMNVTKGIISQWHARTDLDEKSKKVSDMKLKGLLGGDWVCSFVWCFVGALDAVAPEPANAPGSLLLKLHPGGLLRWLVSSLKRAGSSSTTIAGVTPERSAKTQIFRHYDEIDHDNAACSVCFVALAPWLLPPR >ORGLA01G0205000.1 pep chromosome:AGI1.1:1:19042015:19048682:-1 gene:ORGLA01G0205000 transcript:ORGLA01G0205000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAPRVHLRVWIGGSTVWDSLGFSGISRNKISAQIQGAVVDREEEAFRLFLLSTSVYCWLGKGSFVIWGVLDASSGVDDNVENILRMIGEENESAETEPSDDSGNAFKKSKLSSLVKGFHEEYEYLHKHYQQLIGKLENVGHSSSDSDSSDSDDEGDSSDNNNLKTKVEDALSEENGWKQKLFEDREAKEGSLEAEIEKLKQNTEEQAKEISDLKHLLDKAIKDKEATRVELSSDVANLSSENENLKLLVETTEREAGESHKTITLMENEIRTLSVEKQVTEKERDDLKISIVDLENMNGDLSNQLQETNEKCTFLSSQLEKAQLAEKEVQTLLSEIEKIKNENLMLSRENDNLKACEQNLGTECSQLKATIAETKAENSTLTEEKHLLESKLKLLGVEIDDLIAEKEELMNSMNIERGAAAEEKEMLVSEHSKCLTELEKAQSSVKELESTNGDLNDKIAVLQNEKNSLSSELQQLEASFKNLGNDLEQELERISIMQKNNEDLELVNSNLQNDLATVQGQKNEAVASTLELGNKLEEKNQQISNLQEAVENLEAAKTNMYNEVTVHQEKCTFLSSQLEKAQLAEKEVQTLLSEIEKMKNENLMLSRENDNLKACEQNLGTECSQLKATIAETKAENSTLTEEKHLLESKLKLLGVEIDGLIAEKQELMNSMNIERGAAAEEKEMLVSEHSKCLTELEKAQSSVKELESTNGDLNDKIAVLQKEGSSLASELQQLEASFKNLGNDLEQKFEQISVMQKNNEELELANSNLQNELAMVQEQKNEAVASTVELGNKLEEQNQQISNLQEAVENLEAAKTDMYSELTVCQEEKNAALLQVQQLEANLKNLESELEQKQSQVSALEQANEELREKISSLERQLEEARSKLQDEIIKLQGEKERALDNLQQSNTSIKTFEEELEKQREHNSILQLANDDLHKSIANLEKELEDTKVSSHAEILALQEQKNKALSDLQQSEISIENFRMELEQGREKISILDLSNEEMKDNNYRLNQQLEEIRTSLHAEIAALHEEKDAAQLELQQSLASARNLETVLEKQTENLSTLQHANDNLKKNNCTLTEQFEVIKIELQEEVKMAHEEKDATLTQLEKSEDSIKNLESELAQLKEELSVQMESNSSLNKQLEEAILKVSNLTEELETVQAETASKINDMETNTKDLVNTIDLLSSQKNRVEEHMKIITEACMEKMSFMKDFEDQVKQKITDREIAIACLQQSLRGIIGSCQRLQYAYGEVSTKASHLEVLRRNHLVQIDTLENKHTEIMEKHRHLGEENTSANKENRKLQNHVQELEAQLQLARQKLRVTEAESKSKEDSYVMAVEKSHREIQYLEQKIQKYSGQINSLEETLVQIKGNAESGTSTLVDQLDQLESHFNKSFTHFSARSFACSEELKLLRNRLQHHLAEQKELVKKNDVLGMRLREKENVLSEMVRSASEAKKKMAHLEKTIDEKEEEISARVQEKREAIKQLSNAIIYHKNNSDDLIRYIRNHNRRRLPFCL >ORGLA01G0204900.1 pep chromosome:AGI1.1:1:19028462:19029501:-1 gene:ORGLA01G0204900 transcript:ORGLA01G0204900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGADERCRALVSGLLSSIDRSISIARSCCTEAAAAGRLTQQAGAAPESPPSADGSAGSDLGADSRYRANAAGPCKKRKTLPKWSKQVKVRSVQDVGPLDDGFSWRKYGQKDILGAKYPRAYFRCTHRHTQGCHASKQVQRADGDPLLFDVVYHGDHTCAHGVRSAAAAIDGQAAASAEQKHQPTPPQEQNAVSVAFTSMAVVNASTSSPFVSPATSDCQISYELGGGSMAGVRNVPDVELASKTNSSMGDDMEFMFSLDADFLDTYKYSSYF >ORGLA01G0204800.1 pep chromosome:AGI1.1:1:19015142:19016083:-1 gene:ORGLA01G0204800 transcript:ORGLA01G0204800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQEAARGAAWDCGSPLYDSFEVARLHHVLESHLMILPPFPPDDDDDAAAQRIMLDDGRRAAEVDDANGAAARKSGGRRRKRRTAGWKAAAAIYRAVACWRRPCLPLLSIPPFSLPYKARKRAIGSGWSQIQLPHKRHINAT >ORGLA01G0204700.1 pep chromosome:AGI1.1:1:19008528:19013828:1 gene:ORGLA01G0204700 transcript:ORGLA01G0204700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTSRRDHAAMAVREVLAGDRKVGTVSRSARRRRLELRRLGRTASAVAEDDAVKRVRPASDSSSDSSESAKVAPEPTAEVARWPACVSHGAVSVIGRRREMEDAIFVAAPFLAASKEAAVEGSGVAEEEGKEEDEGFFAVYDGHGGSRVAEACRERMHVVLAEEVRVRRLLQGGGGGADVEDEDRARWKEAMAACFARVDGEVGGAEEADTGEHTVGSTAVVAVVGPRRIVVANCGDSRAVLSRGGVAVPLSSDHKPDRPDEMERVEAAGGRVINWNGYRILGVLATSRSIGDYYLKPYVIAEPEVTVMDRTDKDEFLILASDGLWDVVSNDVACKIARNCLSGRAASKYPESVSGSTAADAAALLVELAISRGSKDNISVVVVELRRLRSRTTASKENGR >ORGLA01G0204600.1 pep chromosome:AGI1.1:1:18985071:18991908:1 gene:ORGLA01G0204600 transcript:ORGLA01G0204600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKFPDNRMLGHREIVDGKAGAYVWKTYKEVFDLATKIGNSIRSRGLAKGSRCGIYGANCPEWIITMEACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFTEEKKIEQIFKTFPKSAEFLKTIVSFGKVTQEQKENASKYGLEIYSWDEFLSLADQEFDLPVKAKTDICTIMYTSGTTGDPKGVLISNASIICLVAGVDRLLNCVNEQLEQTDVYMSYLPLAHIFDRVVEELFMFHGASIGFWRGDVKLLVEDIGTLKPTILCAVPRVLDRIFSGLQAKIASGGFIKSTLFNLAYKFKQFRMMKGAKHNEAAAICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVVTCAHVLQGYGLTETCAGSFVSLPNQMCMIGTVGPPVPNIDVCLESVPEMNYDALATRPRGEICIRGETVFSGYYKREDLTKDVLIDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYGLVSAIDSIWVYGNSFESFLVAVVNPNKEALESWAAANGISGDLEALCENPKAKEYILGELSKVGKEKKLKGFEFIKAVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQGTIDNMYRSAK >ORGLA01G0204500.1 pep chromosome:AGI1.1:1:18978981:18980555:1 gene:ORGLA01G0204500 transcript:ORGLA01G0204500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSIEEEEVTSLQRRHIRHGLVGDWGGGMKRRKIEGMGSSGDWGRGMKRRKIEGMKHRKSWRHYLVLMAPIIDGPPDPSFSVRLVGVEGLDVDADARLSGPRSSSPAALPGLRRGQGRHRAARLVPRHDGIVLARAPVPSFCIDGKLLEGGGAVGVVVVKAEAAAANAKMRKGLRDLIWTERRVLGKVDFDVEGNLGEQVTRDDLNCKVSSFEGAKGRFESI >ORGLA01G0204400.1 pep chromosome:AGI1.1:1:18976319:18976843:1 gene:ORGLA01G0204400 transcript:ORGLA01G0204400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPDDDLKCFYVPIVTMILAFNVLVFIVLPIIDRPPDPVFSVRLVGVEGLDHPDPCHXLQSAAPAVPPVFDLAVDVGGVPPRYRACGGGGGDDTVLRVSYRGIILAWGCVPSFCIDGGEHGRARADGVVVVRAEAGVCAAIRDGLRNLIWTERRVLGKVDFDVEGNLGKVSRLG >ORGLA01G0204300.1 pep chromosome:AGI1.1:1:18968921:18974181:1 gene:ORGLA01G0204300 transcript:ORGLA01G0204300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 1 [Source:Projected from Arabidopsis thaliana (AT5G53450) TAIR;Acc:AT5G53450] MRGAGAGPCPVVRFEMGDFDVADRVSVGLHGRSDEMIFEATVRDPSSELYGSTVVLRQLMSFQAKRRGRRALEVLKKLARRQMMYHSYAMQVHGYVTPGNDVEQDDGSFILVHGYHGSYSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHVSPIDKHVKVGILGNAVDFHDNDPRNSTLASNDERRKMMIAFDMRCVGFIMAKMVLRELMDSSTFLKFKSFLTKGDDPACLREFLLPILCRNSPSGNIGLQMLDRQWGAGWNLLALLLATKPDKRISCVDALRHPFLCGPKWRINPSVDVIRWGLGSTAVRMAEDYIYGQHQRRRLAYFVELMEVLNPNPRTENWLNILPGHWRLLYCTGRHIGLTLRQPSPRILITDVFLTFAQTSDSIDPVFSLTSDIGFKIMAESDWPHDKSGSEGTLSVTSSARIATGRIYIHEQDGDDSRVASSRSSRRYLRGKWRKVSKMKELPASLPTVNITMDELDVSMSCSSTLNVSSAQKVLQEIRTQTPPEMFDLSKIVCGTYVDARLMILRGVNGSALFFTRSNSRADS >ORGLA01G0204200.1 pep chromosome:AGI1.1:1:18947902:18955068:1 gene:ORGLA01G0204200 transcript:ORGLA01G0204200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NQA2] MIFKRSQRSEILSLRRCNAGGGAGVGEDDGDGGERRPKRRRGDEFFPVELLGDVPVAGIPYAAFGFRWCEEAEVASPAAASRAAAAAAAASRPPVVRTSRGRAQVLPSRFNDSVLIDPWKKDKPAKPPVPAKAVQLVPKSEVLYRKGAIADRSLTMSELDENGDDDYEEGHNFVASRKYSMSLSTVTSVHGEPYSYYHRKGLMKRQYDYDDDDEDEDEDEDEDEEAEEEEEEEEEEEEELSYWRNDFVYGDIVWARLGKRQPVWPGVVVDPAQPAAAQALPPQPRSGAVLCVMLFGWAAEFGDEKKFIWVRQGGIFPFVDYMDRFQGQTELSSCKPGDFQRALEEAFLADQGFFEVPMDGNTTGQPARYKRALQCESCGNCFPNKDPSMMVYVMEQLACRQCAKILRSKEYCGVCLKSWQHKCGGRWVCCHGCESWVHAECDKKCSNLKDLRDNSYFCPYCRVKQNSNLSSKKTKSYEHRTDNSTQKSSKPDKVAVICFGMEGTYLRDLELISCHCGPCKGQKFLFNEWERHAGCRSKNWKSSIKIKDTLMPFGKWIEQHQSSSCSTNPAKRSSQKMKKQKLLDLLSEPYDTVNVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVRGKQDFTSWVCRACETPDQKRECCLCPVKGGALKPTNVDNLWVHVTCAWFQPQVAFASDELMEPAIGILNITPLLFMKMCVICRQIHGSCTQCYRCSTYYHAICASRAGYRMEIRCLEKNGKQTTNKISYCAHHRAPNPDNVLIIQTPAGTISSKKLVQSNGTVAASRLIRKDLPKDSVSEVEISENLSAARCRFYVKKELKRSREGAIAHRVRGSCQHRWDEIDSLNPPREERDPESFSTFKERLHYLQKTEHTRVCFGRSGIHRWGLFARRGIQEGEMVLEYRGEQVRRSVADLREEQYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKNVSAGEELTYDYLFDPDEADDRKVPCLCQTANCRKFMN >ORGLA01G0204100.1 pep chromosome:AGI1.1:1:18942084:18943537:-1 gene:ORGLA01G0204100 transcript:ORGLA01G0204100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQKQGLPGVNLAVGVVIFGILAFLLLAVVLMAPIINGPPDPNISIRLVGVEGLDPRLPAPVPPVFDLAVDVAGVSPRYHACGGGGGSKLRVSYHDIVLASALVPSFCIDGKLLEGGSAAGVVVVKARGGVDGANAMIRGDLRNLIWTERHVLGKVNFDVSGNLGKESGLGDLSFRVSSIEVNFAHVEIADFHMPWTIVGWTNARINRMTIQWISLPLYFDLTKRLNVQYI >ORGLA01G0204000.1 pep chromosome:AGI1.1:1:18936295:18938696:1 gene:ORGLA01G0204000 transcript:ORGLA01G0204000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNLVLGAAVLAAAALLFATDIRKSGAMFRRNARKIWAWLDEEMKSASAVSRRSCSTWVSYMLSMEIHNGPPKSKSGPTPPTRRLEIQIVPPPPTSKLIGPPPPPPVQNGASKKEKLGMTKPVIIVG >ORGLA01G0203900.1 pep chromosome:AGI1.1:1:18931387:18932235:1 gene:ORGLA01G0203900 transcript:ORGLA01G0203900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEGSDATINNAEMSSDKESVTVASGSSTTNCCCFFLSRRTSEPPSSRPANSPCYTTIILPSFFSLSSHLSCVAVRGGLGVPLTATHEPQQEGAQGEGDAKGLRQRGPPHILQLSLVNTRGSPPGSTSTWLDPTTPSPSPPGVGQHKREEGSSGGKGCLVWHRFAPPFMMTTPKSAGDLHDGAPPGDIRVWRDINLVAIDTPPPXWPVAPRAPPDGEHPGCRASTLGLLLLLCRYLLSPFPDATTSINGSNDSRRPMSRCSGSPTDQTRPRSRRLHPWQVR >ORGLA01G0203800.1 pep chromosome:AGI1.1:1:18927660:18928013:-1 gene:ORGLA01G0203800 transcript:ORGLA01G0203800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWRSSLLRVSYHGMVLAWGIVPSFCIDGQRLRPGGGAASNVAAVYAVAAESAVLREEELHGMVRTEQHVTGKVDFDVEGHVAGLGYLKCKTPFFEGEHKSVVYSCGVYQLLEKCT >ORGLA01G0203700.1 pep chromosome:AGI1.1:1:18920243:18923833:-1 gene:ORGLA01G0203700 transcript:ORGLA01G0203700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:I1NQ97] MAFEKIKVANPIVEMDGDEMTRIFWQSIKDKLIFPFLDLDIKYYDLGVLHRDATDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFNLKQMWKSPNGTIRNIINGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVLKGPGKLKLVFEGKDEQIDLEVFNFTGAGGVALSMYNTDESIRAFAEASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAGWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFALKLEAACVGTVESGKMTKDLALLIHGSSNVTRSHYLNTEEFIDAVAAELRSRLAAN >ORGLA01G0203600.1 pep chromosome:AGI1.1:1:18918984:18919235:-1 gene:ORGLA01G0203600 transcript:ORGLA01G0203600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPMGETTPTTYESVGGGENRTRTDLRSREDQGAIQIDKVQDKVDEPAARKVDDRAFDAKQVGHGAGADAGATGTGA >ORGLA01G0203500.1 pep chromosome:AGI1.1:1:18914519:18918443:1 gene:ORGLA01G0203500 transcript:ORGLA01G0203500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arp2/3 complex, 34 kD subunit p34-Arc [Source:Projected from Arabidopsis thaliana (AT1G30825) TAIR;Acc:AT1G30825] MILLQSPSRFLLQILQDRVLSGDKGVDIDCHTVEFDDVRYHIQFSMRNPKVMVLSVALPLPPPEAMLYDGLPLGAIEAIKAAYGPVVQILDPPKDGFDLTMKINLTKLPPDEEQRNAVLTQIASVREVVLGAPLKLLLKHLASKTVAPNVDKLVALVHRPNESFFVAPQADKVTVVYPMRFQDSIDIVLATSFLQEFVEARRTSALNNAPSCLWSPVPPLELKGVPSDALNANAGFVTFVVFPRHVEGKKLDKTVWSLLTFHAYVSYHVKCSEGFMHTRMRRRVETLIQALDRAKSDAEKLKKLVNGGSFKRLSLKNDGN >ORGLA01G0203400.1 pep chromosome:AGI1.1:1:18909949:18911599:-1 gene:ORGLA01G0203400 transcript:ORGLA01G0203400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPVVLYPLFVTGGLMITGVPEASPLSGYLSAHNFILSVDGLNITRADEWMKMLTQDNVVQISSRDLLEGSEGYRATGSRKGYCVPNSWMDASKNLWQINDKLSCPDDLVAFQRMSEKGIGKKEVEDKYCLIAKDVVKLKKCGNGWRGAEDGRSNFACLEDEYCLVPVLGPGISWIEISYARPYSLECLQKERNSSLLHDGNNNPGLGPCQGTFVYAGDLLSAAHSIKLSSYRPRWPLLLFIADVPRILQDGLNCLFRVSAALAVVNCLPVYFLDGEAILETMLSYFSWFTRRQQRNILKVCRFLWTILSIVLFSRTLYSMTLYYGFV >ORGLA01G0203300.1 pep chromosome:AGI1.1:1:18901058:18908242:1 gene:ORGLA01G0203300 transcript:ORGLA01G0203300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:I1NQ93] MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVINKERRGDYLGKTIQVVPHITDEIQDWIERVAMNPVDGKEGPPDVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLAPDILACRSTEPLEENVKAKLSQFCHVPVSSIINLHDVTNIWHIPLLLRDQRAHEAILKVLDLQFVGKVPREPKLVEWTERASKFDKLKATVKIVMVGKYTGLSDSYLSVLKALLHASVAMGRKLVVEWVPSCDLEDSAAKETPEAHKKAWKLLKGAEGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIDFARSIMKLPGANSTEFDPDTMSPCVIFMPEGSKTHMGATMRLGSRRTYFHATACKSAKLYGNARFVDERHRHRYEVNPEMVPEFEKAGLSFVGKDESGRRMEIIELPSHKFFIGVQFHPEFKSRPGKPSPLFLGLIAAASGQLETLLQPSSNIVNPNPMPRFPIPKKTIYHAKKPLDSLVNGYFANGNVIHT >ORGLA01G0203200.1 pep chromosome:AGI1.1:1:18894069:18894911:1 gene:ORGLA01G0203200 transcript:ORGLA01G0203200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFDGLRGSVLAQTQQDHIEDNKQQTSNANTSRHSIKILDPYTASSCLVGVQGHGWQVAAYLMLWMGWEWPDYTGSLSVDMYRRGSF >ORGLA01G0203100.1 pep chromosome:AGI1.1:1:18856719:18868415:-1 gene:ORGLA01G0203100 transcript:ORGLA01G0203100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24710) TAIR;Acc:AT5G24710] MLRLRAFRPTSDKVVKIQLHPTHPWLVTADANDRVSVWDWEHRQVIYELKAGGVDERRLVGVKLEKLAEGDTDSKGKPTEAIRGGSVKQVSFYDDDVRFWQHWRNCSAAAEAPTAVNQQSSAFSAPAPSTRGRHFVVICCENKVIFLDLVTMRGRDVPKQELDNKSLLCMEFLSRSSSSDAPLVAFGSSDGVIRVLSMLTWKLVRRYTGGHKGAISCLMTFMSAAGEVHLVSGGSDGLLILWSADHIHDSRELVPKISLKAHDGGVVAVELSRVMGSAPQLITIGADKTLAIWDTVTFKEIRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQVLTQHKKLRVYCMVAHPLQPHLVATGTNIGIILSEFDPRALPAVAPLPTPAESKEHSAVYIVERELKLLNFQLSNTANPSLGNAGVTSETGRSRNDPLEQLVVKQTKKHISTPAPHDSYSILSVSSSGKYVAVIWPDIPSFAVYKASDWSVVDSGTGKLFAWDTCRDRYALVESALPPRMPLIVKGGSSKKAKEAAAIAAQAAAAAASAASAATVQVRILLDDGTAHVLQRSIDGRSEPVIGLHGGALLGVTYRTSRRISPVTATAISTVQSMPLSGFGGSGSSFASDDPFSSKEGPPQNFQLYSWETYQPVSGLLAQPEWTVWDQTVEYCAFAYQQYIVISSLRPQFRYLGDVSIPFATGAVWHRRQLFVATPTTIECVFVDAGVAAIDIETKKRKEEMKAREAQSRAAAEHGDLALITVEGPKTTTSEKIALRPPMLQVVRLASFQYAPSIPPFIVPKQSKLDGEDSVFQKELDDRRYAEVAVAGGGVSVAVTRFPPEQKRPIGPLVVVGVRDGVLWLVDRYMCAHALSLSHPGIRCRCLAAYGDPVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALACLLTMSNSRDVGQETTATDVTQILNLAVAKQAKQESLADAVQGIVKFVKEFFDLIDAADATGQADIAREVLKRLAAAASVKGALHGQMLRGLALRLANHGELTRLSGLVTNLITAGHGREAAFAAAVLGDNALMEKAWQDTGMLAEAVLHSQAHGRPSLRNLVIAWNKMLQKELDHTPTVKTDAAAAFLASLEDPKLTSLGETEKKPPIEILPPGMPPLSAPPIVIKKSGAKPGLPNAAQAPTTAIGAPMAQGTPMVQGTPMVQGTPMAEGTTGAQAAPTAQGAPAQTQNPEEAKPSESTAAPDNAEKTATPDNAEPTAAPGNVEATTEPAAAPGNVEGTAAVATDATSNPDATSGAPAPAADSNGADPPAVTPGQVTNGAPSTETPETADKPASTEPSPAPPIPNVPAV >ORGLA01G0203000.1 pep chromosome:AGI1.1:1:18851467:18853897:1 gene:ORGLA01G0203000 transcript:ORGLA01G0203000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETRPSRYRRLRKASDLSTATTASPLSKRICMGKKCTSYEDRISSLPEEILLMILGKLDTRTTITTTILSKRWRDLPRCLPTSYNLAVNDILPPRYHRLKRLNMEAKAAYETEKIVHKLTDIYAIKARHERWMTTIRPLTAILERYERRAMRRYVKQVNAFLLAPKNVRQRRPVQKLRLQTLGHWHENIDEWITTAIAKWGVEDFELVVDGFCLGYDLKQLDTYRSLRLERLALSNCEAVCAWNCLTVKRLTKLSLSEGSFMGLLNDILANCVQLTDFRVASSSYYRAKVRIYAPSSKLKNLQVDRCNFGKIYLICLPCLETFVCRGCPTKLSYGQVPQLRHVRLDYIQTEDNDIDDESGTKRTYPPSKFFKKIPKLDSLVLQFKGTQMWIEPFVVLSELSQLKKLFIANVPVNWDILWILLLLDATPALESLHVHIDNNSEDRSAGDLCASLDVGVQQDRYRHLKELVVAGFDGLGWQAGFVRLIMKRSPLLRRVHLLDGEVRDDEEELGDLQIVPRHREWHECERAEVLDDLATGFRWPPQIILE >ORGLA01G0202900.1 pep chromosome:AGI1.1:1:18851098:18851415:-1 gene:ORGLA01G0202900 transcript:ORGLA01G0202900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVRGLYFDEIPLSSIFMDQIAPHLLPSSSQLLFLLSLSIPRANRVQQISESPTHHDERRRRRGGGGSSSTWRRTRSCSSCRRTPSGRCPSRPPVPRSTPPPQ >ORGLA01G0202800.1 pep chromosome:AGI1.1:1:18848195:18848395:-1 gene:ORGLA01G0202800 transcript:ORGLA01G0202800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFSKSALNFVKLRERSVAGTKLLLDGCSQLMSNQQQPSEIAWPWAHGGFSRHVQPGADRARIK >ORGLA01G0202700.1 pep chromosome:AGI1.1:1:18825405:18828212:-1 gene:ORGLA01G0202700 transcript:ORGLA01G0202700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPLGVGTVAGDGVDVDVRASSPGGLRRIRVHEGGDIGKLPVVEPSSRSGRRIALLAKEPPSRGVAEPFSRCERHLIKASTRSRVVSDRRGLARRQARQTAVRAPPAVLCVNPRGASPRPAAAEDMGSSRDELVAVYKGGRILYLAYFRTFKRADHPGVTLSPRLSTTPDGDHHGEIVWPAPSRSLLGGCRAEGASRHGVHRRGLRHPSPSARQPPNLYHLLEDSVSGLVDSTIAMQIFDHKSNDNELKFSQEEKKDMIESFGKLKVSKVRSEFLKMEKSFMKLIESSDKAIERQIVDSIYSFAQDNQVVVTKCIMLCHRGWNTKRYLIPVAKVTTLKLIQVITLNLMGMTWNLVILVLSKVVMTGDDDDGGGGDDEEEDDDEEDDDDEEENEEDDDEEEDEEEDDDEEEDEEEDDDEEDDEEEDEDYDPVHDDDEDDDDEYMNGLANLPPLPPGTEYWNGVTVVTGVSAIHNLNPQFHVLGFGPNLTIHQRVRLWATGDAMGFDFHPTEMLRYVWRMELLLNGQNPGNPLDAENEPPVPNPGDYDDEGWITDEDMAGGIALDDLGLDSSSV >ORGLA01G0202600.1 pep chromosome:AGI1.1:1:18822039:18823125:-1 gene:ORGLA01G0202600 transcript:ORGLA01G0202600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGITAQLSTGLAIFSAGAARDKGKELAVIDVDVGVGGGRVRSDRWDESRAEVRLGVLQRRPVGRPRPYILPELDCSLSSKQYYKVFVALGMSFCLLSLFIISRSVFVDAGVAAIDIETKKRKEEMKAREAKSRAAAEHGDLALITVEGPKTTTSEKIV >ORGLA01G0202500.1 pep chromosome:AGI1.1:1:18809842:18810072:-1 gene:ORGLA01G0202500 transcript:ORGLA01G0202500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGADETTRHGPPPPPPAVVKIIETVHIEADSAEFKSIVQRLTGKDAVAGGRRPDRSSTGKADADEDQAQGFAR >ORGLA01G0202400.1 pep chromosome:AGI1.1:1:18805697:18807208:1 gene:ORGLA01G0202400 transcript:ORGLA01G0202400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSLQRPRKPRCPSHPMLSLSPSLVCLLVLLPFLSLLLLHRSAFPASCSPLLAHLTASSSSRSSASGFAGGDLREIEFSWNHLPFRQSRPPPARLKIAVFSRKWPVASAPGGMERHAHTLHTALAARGHRVHVFTSPPPHTEAAPPRSADGPQLHFLDGDPGVWRCDEAWKLYEAEAENDPFDVIHSESVAVFHRWARGVPNLVVSWHGISLEALHSGIYQDLARGDDERMSPAFNHSLAQSVYRVLSEVRFFRSYAHHVAISDAAGEMLRDVYQIPSRRVHVILNGVDEAQFEPDAALGRAFREDLGLPKGADLVLGVSGRLVKDKGHPLLYEAFSKLVLRHPNVYLLVAGKGPWEQRYMDLGRNAKVLGAVPPEKLKAFYNALDVFVDPTLRPQGLDLTLMEAMQCGKPVLATRFPSIKGSIVVDDEFGYMFAPNVESLLEKLEAVVEEGARRAAQRGRACRDYAKTMFAATKMALAYERLFLCVKNDTFCAYPAEFD >ORGLA01G0202300.1 pep chromosome:AGI1.1:1:18800264:18801545:-1 gene:ORGLA01G0202300 transcript:ORGLA01G0202300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFFKVLFGPAAVFLSALVVLSCFKSVPYLSRMSSSELPSYMSYFESPISKCDIFQGEWVPDESSPQYTNLTCSYIQEHQNCMMYGRPDLEFLKWRWKPAGCDLPRFDPDKFLRLVGNKTLAFVGDSLARNHMQSLLCLLSKVATPKDVSVTGKTDPDKILYYEGYNFTIHIFWSPFLVRTEESAESPGVFKLYLDEPDCKWFARVARFDYVIFSGANWFTRPSLFYENGRLVGGSYVALNITSDLTLRHSHRMAFRTALRAINDIRFRGKAIVRTLSPMSHFEGGAWDKGGDCRRTRPYRGNETAMGGMDLEFYTSQVEEFREAQREAAANGVDMVLMDPTAAMLLRPDGHPSRYGHWPDEKRVLYNDCIHWCLPGPVDAWNDMLLHMLSD >ORGLA01G0202200.1 pep chromosome:AGI1.1:1:18786963:18788347:-1 gene:ORGLA01G0202200 transcript:ORGLA01G0202200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHFVLRFLFGPVPVYFSALAILILLTNAQYFGLVGVTVPRATKLASSAPVVSVMKYCDIFRGEWVPDSDAPYYNHKTCYMIQEHQNCLKYGRPDLEFLKWRWRPSGCELPRFDPVQFLQFNRHKSLAFVGDSLARNHMQSLLCLLSQVAYPKDMSANPTTQNKVYHYRGYNFTVSMFWSPFLVKAREPDHDGPAHTGHWSLYLDEPDQSWVSEISRFDYVLVSAANWFSRPSLFYEKRRLVGCSFCSRQYGVPDLTLYYSQRRAWRVALKAINDLESLRGRVIVRMLSPMSHFENGTWDQGGNCKRTQPLRSNETVMEGRDLHFYTAQMEEYRAAEKVAEAKGRRMMLMDATAAMLMRPDGHPSRYGHLPNQKVQLYNDCIHWCLPGPIDIWNDMLFQMLLV >ORGLA01G0202100.1 pep chromosome:AGI1.1:1:18783363:18783773:1 gene:ORGLA01G0202100 transcript:ORGLA01G0202100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREGAVVKKGHDEGMKMATALLEEFGLPLGLLPLAEVIEVGFVRATGYMWIAQRKKVEHQFKMVSKQVSYDVEITGYVKAKCIKKLKGVKAKELMLWPPVNEITVDNPPTGKIHFKSLAGVTKTFPVEAFAAGQ >ORGLA01G0202000.1 pep chromosome:AGI1.1:1:18776991:18780921:1 gene:ORGLA01G0202000 transcript:ORGLA01G0202000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSTSSTALAHPKTLNPASKSAAAGSVSFPAAQPPCPLAASAXXXXXXXXXXXXXPSLDFETSVFKKEKVSLAGHDEYIVRGGRNLFPLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETISSSDLLLLLISDAAQADNYEEIFSHMKPNSILGLSHGFLLGHLQSVGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGIVEALFRRYTEHGMDEDSAYKNTVECITGIISKTISKKGMLEVYNSLTEEGKKQFIEAYSAAYYPCMDILYECYEDVSSGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPAGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKNAPVNQDLISNFFSDPVHSAIEVCAQLRPTVDISVPADADFVRPELRQSS >ORGLA01G0201900.1 pep chromosome:AGI1.1:1:18768463:18771655:-1 gene:ORGLA01G0201900 transcript:ORGLA01G0201900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAPRAVAERWRELHGEDHWKGLLDPLDADLRRSVIGYGELAQATNDAFIREAWSPHAGACRYSRDRFLEKAQGKRGGFKLEVDRDVALVNKNVDALKEEYHVPPSWSVQRDKGMVRGADGHWKLMDYEGEESSHDK >ORGLA01G0201800.1 pep chromosome:AGI1.1:1:18758426:18762679:-1 gene:ORGLA01G0201800 transcript:ORGLA01G0201800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQRLPFRRLVLLLVASTALACSVLAGGAVASVVETLGVRRHFGSPKRNTTGQHGAGGRRGGGSARSGLASCNMFQGSWVYDDSLPMYDTAGCPFVEAEFDCQKYGRPDKLYLKYRWRPSSCELPRFNGLDFLSKWRGKKILFVGDSISLNQWESLACMLHAAAPSSRTTYSRGTPFSTVTFQDYGVSVAYYRSTYLVDIVDESIGRVLKLDSISGDAWLGADMLIFNTWHWWTHTGRDQPWDFVQDGGQVMKDMDRLSAFSKGMSTWARWVDSNVDTSKTRVYFQGISPTHYNGVDWGEGSRSCAQQTQPVAGSAYPAGPVPAQSAVRSAIAGMSKPVFLLDITLLSQLRRDGHPSGYSGGHPGNDCSHWCLAGVPDAWNQILYASFLA >ORGLA01G0201700.1 pep chromosome:AGI1.1:1:18753379:18757751:1 gene:ORGLA01G0201700 transcript:ORGLA01G0201700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPKVSKSPAHVGRTPETPGRGSSSGSPAPGGSASKAVSFARSLGVHFPRSSAQVQPARAPPEVADLLRAIEQLQERESRLRVELLEQKILKETVAIVPFLEAELAAKSSELEKCKDTAARLESENMRLCAELDAAVLEVTSRKQRIVHMEKEMAELKKQQEAAAADADDCSSTASVSHEQPESASSAANPASLVQRGPPIPPPPPPVPPAAFKSKSYSASSRVSLPSTSAPSPSSSTSTSPTYSCSSSDTVTTPRNRKPELSKLPPIPPPPPMPALSVCDRAAAPPPPPPPPPARRTSGAASPAASGPRVTRVPEVVEFYHSLMRRDSRSRDGSGGGETANGGGVAATRDMIGEIENRSAHLLAIKSDVERQGDFIRFLIKEVEGAAFVDIEDVVTFVKWLDNELSRLVDERAVLKHFEWPENKADALREAAFGYCDLKKLEVEASSFRDDARQPCSTALKKMQALFEKLEHGVYNLARFRDGATGRYSRFQIPCEWMQPDTGIVSQMNVTFGVQIKLQSVKLAMKYLKRVSSELEAIKGGPDEEELMLQGVRFAFRVHQFAGGFDVDTMRAFQELKEKASMCRIQRQEQNRHLRRQQKLVARA >ORGLA01G0201600.1 pep chromosome:AGI1.1:1:18731547:18734622:1 gene:ORGLA01G0201600 transcript:ORGLA01G0201600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFLLVSVLLATTLTDVASAQRWRQTSGGGKDRWDGLLDPLDADLRRDIIRYGELAQATSDALIGDPASPFAGASRYAPDAFLRKVRASDPDAYRVTRFVYATSSVRLPDAFMPRPAPSAGAAWSGESNWMGYVAVAADGVAAKAGRRDIVVAWRGTKRAVEWANDLDITLVPADGVVGPGPGWTQPSVHRGFLSVYTSKSFSSPFNKLSAREQVLAEITRLLRAYKNENCSITITGHSLGAALSTLNAIDIVANGYNVRSSSRVPVPVTAIALASPRVGDDQFKRAFDSTPNLSLLRVRNAPDIVPTILPSAFFKDVGAELLVDTRRSPYLKNPAGPAQWHNLECYLHAVAGTQGAGDGAGFSLVVDRDLALVNKEVDALRDEYQVPAAWWVEKNKGMVQNASGRWVLQDHEEGNLAM >ORGLA01G0201500.1 pep chromosome:AGI1.1:1:18725967:18727373:-1 gene:ORGLA01G0201500 transcript:ORGLA01G0201500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XEKRGRMRGKVRGKGPTAEEGRAKGATGGADVSAGADTRGEVVGDSAARVIGQRRCGRAVEAGXQTVGARKQLSAGQGNSRCRRLGNGARKRWSEPMPGRRGWRSGGGEEAVVGTNSGAMKLWAALAVGSGLALSSTSRSLDALLSMSLPPFSPFSQLGSAGCRGSRASRFRALVSPGVVTKMRTKGRTLKGSKLPTWTRWLRPFPIRDAAGASRGPVRAVRPNRAPKF >ORGLA01G0201400.1 pep chromosome:AGI1.1:1:18720025:18721071:1 gene:ORGLA01G0201400 transcript:ORGLA01G0201400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELRAMAWTVLLVPACAWYARSACRRLRPGLPRLAALAPTFPVFVYLPCLFNSLHFRLFSTFFHTWLAINKLVLLALGIRPLHPSLPLVPFVLCASLPIKLRLSQQPPAAKRSPSSPPPPPLADFLRPCARSFFFLSCLFVAYPHTGWLPVYAVHFLYCVQVFLTLDLVLSSVALASATVLGAGLERQFSTPLAVASLNDFWGRQWNLMAVDLLRASAYEPVRARWGRDAGVLAAFLMSGLLHELLYLYLTLRRPRGEMVLFFMLHGVSQIAERWARAAGLWRPPKVAAYLLVSAFMVVTISELFFGPFMRAGADVRLMEEAAAMLQLIMGVSRRLLRPFGVVLSL >ORGLA01G0201300.1 pep chromosome:AGI1.1:1:18714403:18719407:1 gene:ORGLA01G0201300 transcript:ORGLA01G0201300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMRGGFGFSPAVAALYCAALVVAGGLGSRPVLGCYSRIFSFGDSLTDTGNYVRLTAGRKPSSPYGAPPYGRTFFGRPTGRASDGRLVIDFIAQEFGLANVTAIQVGAGPADFPHGANFAIISSTANNASFFARKGLDITPFSLDTQMFWFRTHLQQLNGGGGGGGNILSDALVALGEIGGNDYNFAFNKGVPRETVRAFVPAVVDKLAAAVEELIGMGARAFVVPGNLPFGCAPLYLNRFRGAAASEYDARTGCLAWFNKFAEYHNRVLTARLDDLRRLHPDVTIVYADWYGAMTSIFQAPGKLGFTNALGSCCGNQSVPCGKAGCTVCEDPSTYVSWDGTHPTEAVYKLIADGVLHGPHASPVPLAKTCPPT >ORGLA01G0201200.1 pep chromosome:AGI1.1:1:18708347:18711053:1 gene:ORGLA01G0201200 transcript:ORGLA01G0201200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWRELHGSGHWDGLLDPLDVDLRRCLITYGEMIMATYEAFIGEHRSPNAGMCRYRRADLFRRVDVSHPGWYAATRYIYATANADVHGKVLLRPLCREGRATECNWMGYVAVATDEGAAALGRRDIVVAWRGTQRALEWVADLKLAPASAAGILGPEGADGTDPSVHRGYLSLYTSEDQCSELNKRSARMQNKKFGFDPMRQTLKKVLTEIARLMDKYKDEETSITVIGHSLGATLATLNAADIAANSYNTSSLSPSGETRAPVTAVVFGSPRTGDRSFRDAFHRLRDLRMLRVRNRPDRIPHYPPVGYADVGVELLIDTRLSPFLRRHGSESQSHDLECHLHGVAGWHGDHRGFELVVDRDVALVNKFDDCLADEYPVPVRWKVHHNKSMVKGPDGRWVLQDHEPDDDDDDDD >ORGLA01G0201100.1 pep chromosome:AGI1.1:1:18704831:18706744:1 gene:ORGLA01G0201100 transcript:ORGLA01G0201100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRGLGNIARRWRELNGVSYWKGLLDPLDVDLRNNIINYGELSQAAYTGLNRERRSRYAGSCLFSRKDFLSRVDVSNPNLYVITKFIYAMCTVSLPDAFMIKSWSKAAWSKQSNWMGFVAVATDEEIVRPGSADDPCVHGGWLSVYTSADPESQYNKQSARYQVLNEIKRLQDMYEHEETSITITGHSLGAALATINATDIVSNGYNKSCPVSAFVFGSPRVGNPDFQKAFDSAPDLRLLRIRNSPDVVPNWPKLGYSDAGTELMIDTGESPYLKAPGNPLTWHDMECYMHGVAGTQGSNGGFKLEIDRDIALVNKHEDALKNEYAIPSSWWVVQNKGMVKGTDGRWHLADHEDDD >ORGLA01G0201000.1 pep chromosome:AGI1.1:1:18697968:18699960:1 gene:ORGLA01G0201000 transcript:ORGLA01G0201000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSHQKHSISVYLVLVSAVLLLNSTLGLCGCYKRIFSFGDSIIDSGNFVHIAGDHPCPFKEPPFGMTYFKHPSGRISDGRVVIDFYAQALQLPFVPPSLPEKDRGQFPHGANFAVLASTALPPEYFRRRNHTVPMPFSLATQLEWFKQTLQRIAPGDAARRALLGESLILMGEIGGNDYNFWFLDHKPREVAYQFIPDVVASISSTVQELIGLGARTIMIPGNFPTGCVPAYLSAYRSGNPADYDEFRCLRWFNAFSAAHNQALLNEVSRLKAQHPGVRLIYADYFGAALQLFRNPRRFGINDPLLACCGGHGPYHTGATCDRTATVWGDPGSFANWDGVHMTEKAYHVIADGVLNGPFADPPLLHSC >ORGLA01G0200900.1 pep chromosome:AGI1.1:1:18694541:18696010:1 gene:ORGLA01G0200900 transcript:ORGLA01G0200900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIRRHGGRRVVSPSSSAAAASFILLLCAVVLLNTHVALCGCYKRIFSFGDSIIDTGNFVYLTGNGPSQFKELPYGMTYFNRPSGRICDGRVLVDFYAQALNLSLLPPSIPEEGSGQFENGANFAVLASTALGPDYFKTKYNFSLPVPYCLDNQLASFKKVLGQIAPGVDATKSLLGESLIVMGEIGGNDYNFWFTARQPRETARQYLPDVIGRIGAAVQEVINLGAKTVLVPGNFPFGCAPEYLQGFQSSNTSDYDATGCIAWFNDFSRQHNQALVQEVARLRSQNPGVTLIYADYYGAALEFFKNPKNYGIGDPLLECCGGDGPYHTGMTCNKTAKVWGSPANFASWDGVHMTEKAYSIIADGVLSKRYADAPLLC >ORGLA01G0200800.1 pep chromosome:AGI1.1:1:18690922:18691920:-1 gene:ORGLA01G0200800 transcript:ORGLA01G0200800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLLKEALPTGDTLPASYYEAKKMLRDLGLGYESIHACINDCVLFWKELEDYDKCPVCNESRWKIHKGKKRVPHKVLRYFPLIPRLQRLFMNKDIASDLRYHRDKRVIEENVLRHPADGEAWKDLDGKYQWLAHDPRHLRFGLATDGFNPFGTLSSSYSVWLIILVVYNLPPWKCMKEPFLLMPLLIPGKKAPERDIDVYMRPLIEELNLLFNTGVSTYDAFVGDTFNLRAVVLGTISDLRALDSLYGYVAMGYKACPICLDWTDSCSLRSKIGFLGHRRYLPIQHRWRRSKNFNGKNETSLRPRQLSGDENFAMLQKLDHLQGFKYGKHD >ORGLA01G0200700.1 pep chromosome:AGI1.1:1:18687011:18688623:-1 gene:ORGLA01G0200700 transcript:ORGLA01G0200700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGQKVRPPRNSQPSNCPQTQHVSTSNSKKVRGKNKSKGLERLIKKAGHPLNLNISVERRPIGENHELLSREIGIVTRYHAPIKRIGWNNFSEADKEPLYELLKMKFNLDLSEPHVKGCLELLFSSSYKTFHHRCYTHYLKYGGGDSARNSPYEPLRDRPGYWTWLCDHFETEEFQKKSVIGKANRMKLAYVHKKGTKPFVALQHELSCDQISLYKECYCSDKGWASRDARQNYETMLQMQHENEQEGANQLTEQQICEKVLGKAYGYIRGRGHGPKPNRRASSTSANTYQQMEEELASTKQTMAVQQNQLAVQQNQLESQQKQLDWLRSVVSKLASIPPPAMDDNDASGPSHTTTTSIDGSGALVSDGMSRRS >ORGLA01G0200600.1 pep chromosome:AGI1.1:1:18679771:18681454:1 gene:ORGLA01G0200600 transcript:ORGLA01G0200600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CNDMMNQSLFLVGEIGGNDYNHPLMGGVSIRKIRSFTPSIIAKISSTITELIGLGAKTLVVPGNLPIGCIPHYLMIFKSGKKEDYEPETGCLRWMNEFAQYHNRLLMDELENLRKLHPDVAIIYADYYGAAMEIFLSPEQFGIENPLVACCGGGGPYGVSETARCGNGEYRVCDDPQLYGSWDGFHPSEAVYKAIATGLIWGSYTQPPIATTTISCLQITELSSSVEYKVLYDL >ORGLA01G0200500.1 pep chromosome:AGI1.1:1:18664732:18665373:1 gene:ORGLA01G0200500 transcript:ORGLA01G0200500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESRLEQTGRMASSTSGRRGRGRLLSPAAVVLAALAVLLSASQALAAPCYPRVFSFGDSLADTGNGPFLYGNDSRRPSLWPPYGETFFHRATGRASNGRLIIDFIDLEIARVAPASADALGLPFLRPYWGGRTAGDFASGANFAVGGATALSPDFFRERGVHVRDTVHLDIEMNWFRDLLGVLCPYDLA >ORGLA01G0200400.1 pep chromosome:AGI1.1:1:18661543:18661836:-1 gene:ORGLA01G0200400 transcript:ORGLA01G0200400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTGTIFRKEQRSSAVGIPCEKVTRVRILGGQLRSTVGIGTKLMEELRFRRSRIPDDEVGYEIAHGGWTPCGRDLRNGLHEVCVQVPVFAKEIGRN >ORGLA01G0200300.1 pep chromosome:AGI1.1:1:18650669:18653434:1 gene:ORGLA01G0200300 transcript:ORGLA01G0200300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKIYGSFILMWPSSMPITMELLWESSFPLNNLELRILWQLAVVEEDLMVYLKLQDVDMVNTRCAMTLNCMGHGMTIIHQKLCLRPLQLACYGVHTHKLHSRAHKLQSSVLLLNTRSSMICNCDYIFVIFIECMCG >ORGLA01G0200200.1 pep chromosome:AGI1.1:1:18645475:18646027:1 gene:ORGLA01G0200200 transcript:ORGLA01G0200200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSGRRGELRWWCWRPWLCCSRRHRHWRRRAIRACSASGTRLQTPATSLSSTATTPAGPRCGHPTARPSSTAPPAAPPTAASSSTSLLTRWGCHSCGRTGAGGPRGTSPTGPTSRWAAPRRSARISTGREACTCATPCTSTWR >ORGLA01G0200100.1 pep chromosome:AGI1.1:1:18641270:18643551:1 gene:ORGLA01G0200100 transcript:ORGLA01G0200100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTSGGLSPPAVVLAVAVVLSAAASRALAAPCYPRVFCFGDSLTDTGNIAFLYGNDSRRPSLWPPYGETFFHRATGRSSNGRLIIDFIAEAMGLPFVRPYWGGQTAGNFASGANFAVGGATALSPEFFRERGVPMDDDTVHLDMEMEWFRDMLGMLCTGGDMDGCKGMMNQSLFLVGEIGGNDYNLPLMSGMSIEKIRNFTPSVIAKISSIITELIGLGAKTLVVPSNIPIGCIPMYLMQFESDKKEDYEPKIGCLRWMNEFSQYHNKLLVDELENLRKLHPDVTIIYADYYGAAMEVFLSPERFGIEDPLVACCGGRGPYGVSASVRCGYGEYKVCDDPAKYASWDGFHPSEAAYKGIAIGLLQGSYTQPPIVSITNSCPQIIGLGSSVEHKAMYDL >ORGLA01G0200000.1 pep chromosome:AGI1.1:1:18635499:18638971:1 gene:ORGLA01G0200000 transcript:ORGLA01G0200000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPFLRPYWGGQTAGDFASGANFAVGGATALGPDFFRERGVPTDDGVVHLEMEMGWFRDLLDMLCAGDMDXLEKGASTFALMAXKFSHXSEVARAXXINLSFWLEKSEAMITTILLXAACPLKRFAASLLVLLPKFLPQSPNXSDLEPRHXLFLITSQLDVFQHTSCNLRVIRRKIMSQRXVALGGXMSSRNTTTNFLXMNWKNLRKLHPDVAIIYTDYYGAAMEIFLSPEQFGIEDPLVACCGGGGPYGVSASAGCGYGEYKVCDDPSKYASWDGFHQSEAAYKGIAIGLLQGPYTQPPIASITDSCLQIIGLGSSAERKVIYDM >ORGLA01G0199900.1 pep chromosome:AGI1.1:1:18631309:18632618:1 gene:ORGLA01G0199900 transcript:ORGLA01G0199900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCTGMMNQSLFLVGEIGGNDYNLPLLSGVSITKIRSFTPSVIAKISSTITELIGLGAKTLVVPGNLPIGCVPNYLMIFKSGKKEDYEPETGCLRWMNEFSQYHNKLLIDELEKLRKLHPDVAIIYADYYGAAMEVFLSPEQFGIEDPLTACCGGGGPYGVSGTARCGYGEYKVCDDPQKFGSWDGFHPSEAAYKAIAIGLLRGSYTQPSFATTTNSCPQITELSSSVEYKVLYDL >ORGLA01G0199800.1 pep chromosome:AGI1.1:1:18629524:18630161:1 gene:ORGLA01G0199800 transcript:ORGLA01G0199800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSTSGRKGGGLLLSPPPAAVVVAVVVLLSAAEAATEEPCYPRLFSFGDSLTDTGNFAFIYGNDSREPALRPPYGETFFHRATGRFSDGRLVVDFIADALGLPFVRPYLSGRTAGDFACGANFAVGGATALSPAFFRARGVPMADIVHLDMEMKWFRDLLKLLCPGDLARMLI >ORGLA01G0199700.1 pep chromosome:AGI1.1:1:18623829:18627143:1 gene:ORGLA01G0199700 transcript:ORGLA01G0199700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1NQ57] MRPSLMRSASQVLRRRRGYSSASGQPERKVAILGAAGGIGQPLSLLMKLNPLVSSLSLYDIAGTPGVAADVSHINAPAQVKGFMGDDQLGEALEGSDIVIIPAGVPRKPGMTRDDLFNINAGIVKNLCTAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANVPVTDVNVPVVGGHAGITILPLFSQATPATNALSDEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFANACLKGLNGVPDVVECSFVQSTVTELPFFASKVKLGKNGVEEVLGLGQLSDFEKEGLENLKGELKASIEKGIKFANA >ORGLA01G0199600.1 pep chromosome:AGI1.1:1:18616869:18622740:1 gene:ORGLA01G0199600 transcript:ORGLA01G0199600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKTAAPPPPDAAAFSPYGELFAAVSDRRVQVWRTGGGEIIEGWTDPISAPDDSYSCIACCSVQKKHKKDGNLILVAVGTTNGQVLVLDSTGVIWKNAPHTCKVVSLHFARHGRVLYTAGMDGIICELNSRTGESKDTIKATKKPINSFTLSHDEKFMGVSSKTTRLFSVSEKKEILRIPSDAGPVQLMSVSDDGRFLVSHVDNNKEVQVWSCDQNSCTIVSTASLTMQNQPKIVECTRSTSYGDGGIVLAVSKKGVAHVWHLQTLSQNEVLPTKISVKNSLDKKGRIPIISAKLCDTNEDNTVKVHVVFGSPNFLQFKVVELDDTCKDINLVAEYDELAKQDMVSPQERNLEQEAKANSKDAEPVQGKAKKRTSSVLDSTNDTTKEVNPEYNLDEPTMEEKLASLNLLNKSEITEEQPPSLAPPSADSVHVLLKQALRADDHTELLKCLYNRDEKVIVKSVSLLTPADVVKLLKFFVLLIQSRGAKLVCMLPWLQALLCRHMSSIVSQESSLLLLNSLYQLIDARTSTFKSALQLSTTLDYLFSGVSDGETDEEDAVPPIIYEDKDTDDEESEVDAMETDESQELGDVTDASEHSDGSDIMTD >ORGLA01G0199500.1 pep chromosome:AGI1.1:1:18611947:18612297:-1 gene:ORGLA01G0199500 transcript:ORGLA01G0199500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGLAENYHACVGGGGSVLEVSYHGMAVAMGRVPRFCVHGKRAGGERADGVASAEATSVREELRGLIRSERQIVGAAEFSVEGEIKGFGYLRCKALWFRDDKRRSPIPLCQVEA >ORGLA01G0199400.1 pep chromosome:AGI1.1:1:18606054:18609657:-1 gene:ORGLA01G0199400 transcript:ORGLA01G0199400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKRRRSPLPPPAPPGFPTERKHHLPELQPPAVAAASPGVAGVPADLGDALLRCGKLLDKLLEHEDGWVFAEPVDARALRLVDYYLRISDPMDLGTVRRRLERRRYADPWAFAADVRLTFNNAMSYNSAGDPVYESAAELSEIFEAGWPSVLAAPPRPPDAERKRRLSGLLPRLPVGAQVTVAEIMKKRDGCLREVNGMMEVDLDRADSATLDELDRMVAEHGAALAGVVKVKQEP >ORGLA01G0199300.1 pep chromosome:AGI1.1:1:18596389:18598553:1 gene:ORGLA01G0199300 transcript:ORGLA01G0199300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAAGASSSNPDDASLDLSFSEDGRSGTFMIGNESFPASLLDLPTVMESYKTYNDSVLIKTADIGQMIMVREEDDPAPEGIEYTHGLTPPMRDARRRRFRREPDLNAELVHRVEKHLMNIMHGVSVNQNASVIGAGEGGKKAAPAPATKRNVQRPAANGEEAEAERSDSDESVDP >ORGLA01G0199200.1 pep chromosome:AGI1.1:1:18587279:18587806:1 gene:ORGLA01G0199200 transcript:ORGLA01G0199200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKGWCHSFQLEKHKLRHKVSVSSLPMHPHKSCAIAATESSSEEARDTGSGDPGLPADGSGWLVRTFVAIFLSNGVDNVIWFVFMLLIPDLANVAKEKETTKLVAIKGSSATMDEVGCTDRLRDYYEVDPYL >ORGLA01G0199100.1 pep chromosome:AGI1.1:1:18583094:18583315:1 gene:ORGLA01G0199100 transcript:ORGLA01G0199100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMELLCAYGMRSRIWKESKFDTIGYVKFLSYTRGFPKVFRTLSMSLVRGFRLPTSGINRGGA >ORGLA01G0199000.1 pep chromosome:AGI1.1:1:18580468:18580941:1 gene:ORGLA01G0199000 transcript:ORGLA01G0199000.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKMENCKPISTPIGSTTVLDPDEDGEAVDQKEYRSMIQSLLYLTTSRPDIQFVVCLCACFQASPRALHRQAVKRIMRYFNHTLEFGIWYSTSSYICLSGYSDADFGGCRIDRKSTSGTCHFLGTSLIAWSSRKQSSVAQLTVESEYVAAASCCSHIL >ORGLA01G0198900.1 pep chromosome:AGI1.1:1:18570553:18576395:-1 gene:ORGLA01G0198900 transcript:ORGLA01G0198900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWGAARMAACGPWGRNRRVGAGDAFEASEVRRDGRSRMMPACGPWGAGHGGGDPALERELSRDGSHYSISSAILPSLGARSNRRIKLRRFIISPYDRRYRIWETFLIVLVVYSAWVSPFEFGFIPKPTGALATADNVVNAFFAVDIILTFFVAYLDKMSYMLEDDPKKIAWRYSTTWLVLDVASTIPSEFARRILPSKLRSYGFFNMLRLWRLRRVSSLFSRLEKDRHFNYFWVRCAKLICVTLFAVHCAACFYYLLADRYPVPTSTWIGNYMADFHERSLWIRYVTSVYWSITTLTTVGYGDLHAENTREMIFNIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRNYRDTIQAATSFGVRNQLPPRLQDQMISHISLKYRTDSEGLQQQEILDSLPKAIKSSISQYLFFHLVQNVYLFQGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVSGSVELVEQQNSADQVIQVAKSGEVVGEIGVLCYRPQLFTVRTRSLCQLLRLNRTAFLSIVQSNVGDGTIIMNNLIQFLKEQKENSVMAGVVKEIESMLARGNLDLPITLCFAVTRGDDFLLHQLLKRGMDPNESDNDGHTALHIAASKGNEQCVRLLLEYGADPNARDSEGKVPLWEALCEKHAAVVQLLVEGGADLSSGDTGLYACIAVEESDTELLNDIIHYGGDVNRARRDGITALHRAVCDGNVQMAELLLEHGADIDKQDGNGWTPRALAEQQGHDDIQLLFRSRKAATASGHHHVPSSTTTRVAPAAAAASLIGRFNSEPMMKNMIHEDADLPSRVLPEKLRRKRVTFQNSLFGVISSSQAQRETDHPLSRGGLAATGSPNPSSGSRNAVIRVTISCPEKGNTAGKLVLLPQTLDMLLELGAKKFDFAPTKVLTVEGAEVDEVELIRDGDHLVLVSDEWDAEKMKCKS >ORGLA01G0198800.1 pep chromosome:AGI1.1:1:18565695:18566036:-1 gene:ORGLA01G0198800 transcript:ORGLA01G0198800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWIFHHIKSTPKDSMNSKDDAKVQPSSGQTPNKGNYYMGHANAIMMMFQYIRDGCRRVESSMEDYKCKKVEKGYSEKRKVGEGYDPRWSSPIEITMKICNDDVSDEATEKEP >ORGLA01G0198700.1 pep chromosome:AGI1.1:1:18560731:18564411:1 gene:ORGLA01G0198700 transcript:ORGLA01G0198700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGKGWVERARRGVKTAWFMVAMVASLLMASAPALVAAGDVAVALWLEVRLGCLRCHGLRGHLERYGFRSSLVDIPLVSIARSVVITCVYLMSDASGLSHGPYLGTATCCSLASLLILLIKASVYSPAQEIGPELSPSLADHKLSLKKLSGMPVLFLSSLVFALGHVVVAYRTSCRARRKLLIHGIDPESILAYKNAYPGCYKTPRSPTPYSGKFYSRSDSETKRKSVAHDDRDIPISFLADGDSMFIACQGITVHYKLSDPSSCISSATDTFPEIHHDVISASISPRRQRHDSPPSASTNTRRLLNRSFSHQYHQTSLYAPLLVEPVTSPTLSDDTPVLSVDDGSADVCLKPMGFDLEAGEQGKFAVVLVHGFGGGVFSWRHVTNLLSRQVGCTVLAFDRPGWGLTSRPRRKDWEDKNLPNPYELGSQVDLLISFCSDMGLRSVVLVGHDDGGLLALKAAEKLRASGDSRKVEVKGVVLIGVSLSREVIPAFARILLHTPLRKKHMVRPLLRTEITQVINRRAWFDATKLTTDVLNLYKAPLFVEGWDEALHEVGRLSFSTVLSSKRAADLLRSVEDLPVLVVAGSEDALVSSKSTQVMASRLVNSRLVTISNCGHLPHEECPKALLSALSPFISGLVSSDDSLQRL >ORGLA01G0198600.1 pep chromosome:AGI1.1:1:18549247:18549589:-1 gene:ORGLA01G0198600 transcript:ORGLA01G0198600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDRACLCVAERSTQTHEEKTENTVGMRSHALHATRRTRCHQLLEENCEHMVGPWEAGSKHTNQTGTSTGQ >ORGLA01G0198500.1 pep chromosome:AGI1.1:1:18544947:18545111:-1 gene:ORGLA01G0198500 transcript:ORGLA01G0198500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSEASPLVHQDGGIIASFAVYAGAPCCSARGRMAETDGDDDDDDYDCAPAA >ORGLA01G0198400.1 pep chromosome:AGI1.1:1:18535132:18538971:-1 gene:ORGLA01G0198400 transcript:ORGLA01G0198400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:I1NQ44] XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSLQSPLLLASRSGPTSRDAVVGLGLGCGLLRRRSGASGGGGGGISCGAQPAAAAAAGAVPAAQPEGKKFLGVEVKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSREALFYTVIFPFIAFFGAFAFVLYPLRNVIHPTALADKLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKTLGPGIDGWEVSLKGMMSLVVLLGLVITSIYWGVNKFVLNDPSLPKSDRKKKKDKPKLGMKESLKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRIILRKFGWGVAAMITPTVLLLTGVGFFSLILFGQPLTPMLATMGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLGAASSLDKQFSSLAKEDLKRDMSAKEKVDPSLLKAPEADVLVEHTNGTIESEATATESSPSNSSPSN >ORGLA01G0198300.1 pep chromosome:AGI1.1:1:18531940:18533357:1 gene:ORGLA01G0198300 transcript:ORGLA01G0198300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHHHLTGDHHPSPASAATTALGPLLLLPSELLHDILIRLALPELLRVRSVARPLSHVISSPDFRRLYHLSSAASGPGPAAAWLLVFKKLRPRDAALRGFHGPSGRWFRIPVSAILAPAVPPGEDLYFLAASGSSFLFAANGRRELVVVDLSAHAARRLPPSPLGPRGTSSWRRFGLKLVADPPGSSQFRFLFAELVNNTPLLFEYQSETDTWQSSEAVQAEGASTAAGTEGTFLCAAHAGPDCVMVYSGPGVERPVFFRPRFPHNPNGGGDRLHVYGDGSAAVVRSTVIDEPGRPRVKVVAGVDLYGFGSVVGGDWQLASTVPGELVEGFRKPYAVMTGLLSEREGVVRLVLISNCRGAWDIVWLSYDRARGEWWWVPVPDWGTKGLNMAGIAVSSTFSRLWPPAAASSCTTTTSQ >ORGLA01G0198200.1 pep chromosome:AGI1.1:1:18521744:18522966:-1 gene:ORGLA01G0198200 transcript:ORGLA01G0198200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRHEYYMFAGLGDDEDDEMVQSDDDDTQSPTSSVPDPYDYMYSNIPQSTNVLKPEPDCKHCGAKRFQYEPPSFCCRGGKIKLVQNETPPELMRLWTSSDPDAKHFRDNNRYFNDHFSFTTLGVSLDKAFANMSSGVYTFRAHGQIYHNIHSFSPRDSGPEHLELYFYDDDPTLSHRFQRSPSLDQDVIRTIADVLRNNPYSETFRSLGQAEDLANYRVTLNLDHRLHQRRYNVPVTTKPFYGCYDPLSYPLFFPRGESGWHQSLPKDKITMEDANARNGDDPDCNSRIRVFVRDYYCYKFQMRRGIFNPILHGGLLFQ >ORGLA01G0198100.1 pep chromosome:AGI1.1:1:18519102:18519884:-1 gene:ORGLA01G0198100 transcript:ORGLA01G0198100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGTGKTFLYRVLLATVRGNGDIAVATATSGVAASIMPGGRTAHSRFKIPLNIEEGSYCSFTKQSGTAKLLQMASLIIWDEASMTKRQAVEALDMSMRDIMGCPRSSFGGKMIVFGGDFRQVLPVIRKGTRSQITEATLRRSYLWDCMVQLKLVRNMRAQSDAWFADYLLRVGNGTEEVNKEGNIGLPSDICLECKGNETDLERLIDTVFPNLNDNLMDPNYITCRAILSTRNEFVDRINMKMIERFRGDVMTYHSFD >ORGLA01G0198000.1 pep chromosome:AGI1.1:1:18512704:18512950:-1 gene:ORGLA01G0198000 transcript:ORGLA01G0198000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLALCDCAVVPAWVPPRARAARGTPVYSGLDSGGVCR >ORGLA01G0197900.1 pep chromosome:AGI1.1:1:18499189:18500676:-1 gene:ORGLA01G0197900 transcript:ORGLA01G0197900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDTFPFQWPMDPAASSGLDAGFLPPPAAVAPDDGVGYYDPPAGADVDAAALPEFAAAFPPCAPDAAAAVLAMRREEEEVAGIRLVHLLMSCAGAIEAGDHALASAQLADSHAALAAVSAASGIGRVAVHFTTALSRRLFPSPVAPPTTDAEHAFLYHHFYEACPYLKFAHFTANQAILEAFHGCDHVHVIDFSLMQGLQWPALIQALALRPGGPPFLRITGIGPPSPTGRDELRDVGLRLADLARSVRVRFSFRGVAANSLDEVRPWMLQIAPGEAVAFNSVLQLHRLLGDPADQAPIDAVLDCVASVRPKIFTVIEQEADHNKTGFLDRFTEALFYYSAVFDSLDAASASGGAGNAMAEAYLQREICDIVCWEGAARRERHEPLSRWRDRLTRAGLSAVPLGSNALRQARMLVGLFSGEGHSVEEADGCLTLGWHGRPLFSASAWEAAGDGGGDNNNNSNSNVSGSSGSDSNNSGSSNGKSSGARDGSSVCL >ORGLA01G0197800.1 pep chromosome:AGI1.1:1:18492373:18493116:1 gene:ORGLA01G0197800 transcript:ORGLA01G0197800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLYFHGNASQARPIRHHLLAYLDACASRAHLAELHGRLVRAHLTSDSFVAGRLIALLASPAARHDMRYARKVFDGMAQPNAFVWNCMIRGYSSCEAPRDALAVFREMRRRGVSPDNYTMAAVVSASAAFAGLKWRSNGDAIHALVRRIGFTSDVFVMSGLVNYYGAFRSVEEASKVFEEMYERDVVSWTSMISACAQCDHWDKVLKMLSEMQAEGIIPNKVTIISLLSACGQTQAVDEGRWVYNQV >ORGLA01G0197700.1 pep chromosome:AGI1.1:1:18488892:18490283:-1 gene:ORGLA01G0197700 transcript:ORGLA01G0197700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate transmembrane transporters [Source:Projected from Arabidopsis thaliana (AT1G80310) TAIR;Acc:AT1G80310] MASSAGDPLLSGEAGDGRRRFVPSTIRLKTSVWSELGGAVGDLGTYIPIVLALSLASHIDLGTTLIFTALYNFATGLLFGIPMPVQPMKSIAAVALSSAHLTIPQIMSAGLAVAAILLFLGVTGLMTTLYRLLPLPVVRGVQLSQGLSFAFTAVKYIRYVQDFSRSSSASTSVPRPLLGLDGLVLALAALLFIILATGSGDDEDVNRDGTSRRRRSCSRVPAALIVFALGLVLCFVRDPSILQDLRFGPAPLGLVKITWDDFKIGFWEGAVPQLPLSVLNSVIAVCKLSSDLFPERAELSPARVSVSVGLMNFVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLAIGKLALGLVFGNSFVTILGQFPIGILGVMLLFSGIELAMASRDMGSKEESFVMLVCAGVSLTGSSAALGFISGIVLYLLLRLRDLEWDIRGLLGRWAAGRRQSTNEANEDGAADA >ORGLA01G0197600.1 pep chromosome:AGI1.1:1:18485863:18486186:1 gene:ORGLA01G0197600 transcript:ORGLA01G0197600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRGESSXEXFCALLWWNPWSCCPKRESESXSEVRVIVDVPGTNGIEAARAWNTCALPHPMDKRQSRFWLVFLIGADFLKELALYLYYRCQFFLQTGIXXXXXXXXXXX >ORGLA01G0197500.1 pep chromosome:AGI1.1:1:18479308:18480003:-1 gene:ORGLA01G0197500 transcript:ORGLA01G0197500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAHTGNLSLAIAPGGGRYLVPEFNPIIGSDAARYSVSRRRGGCAEQDIDYPSQVTRGAATALSHDSGVLVLAKVLSGTNILNAQLDLTTSGWTTKS >ORGLA01G0197400.1 pep chromosome:AGI1.1:1:18476025:18476381:-1 gene:ORGLA01G0197400 transcript:ORGLA01G0197400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKGRTAILQAADVPLYYNRVVVGRWGEVPAFCVDKLSAVDLNVAMSREGVSLPQRLREAMAADMHVGELELAVEMKPARPEDVSRACFHSCAARSGKFGNPCKRFCVFSSRELRDFVH >ORGLA01G0197300.1 pep chromosome:AGI1.1:1:18468996:18471021:1 gene:ORGLA01G0197300 transcript:ORGLA01G0197300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1NQ33] MDNKPAQERRETWVPGAVIVGAGPSGLAAAACLAARGVPATVLERSDSLASTWRHRMYDRLALHLPKRFCELPLLPFPEEYPTYPSKDQFVAYMEAYAAAAGVAPRFGATVEEAAFDAAVGAWRVRLDGGEVLMARWLVVATGENAEPRVPDFPGMQKFAGCAMHTSEYKSGEQFAGKKVLVVGCGNSGMEVSLDLCRHGAKPSMVVRNTVHVLPREMFGLSTFGIAMALLRWLPVQLVDRFLLTAAHLILGNTGQFGLRRPKTGPIELKNLTGRTPVLDVGTLDHIKSGKIKVVGAVKEMTRQGVRFTDGKEEQFDTIILATGYRSNVPSWLKDAGDLFTREGISKVPFPNSWRGRNGLYTVGFTQRGLLGTSSDALNVAKDIHCQWRERDRSAINVLEISNSSF >ORGLA01G0197200.1 pep chromosome:AGI1.1:1:18447232:18453429:1 gene:ORGLA01G0197200 transcript:ORGLA01G0197200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family [Source:Projected from Arabidopsis thaliana (AT2G26900) TAIR;Acc:AT2G26900] MAASTTCPARSMASVSRALRPRPHAAIASATVRTAARLGGGLGIVCSMPSYGRKEKEEWGLTIASAPATTAAPALRSCQLLCKAEANISSNLPESIPSEANQYEKIVELLTTLFPVWVILGTIIGIYKPSMVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCMRNPWTVGVGFLAQYLIKPMLGFAIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLLPTIVGVLAHEYFPKFTERIISITPLIGVLLTTLLCASPIGQVSEVLKAQGGQLIIPVALLHVAAFALGYWLSKVSSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNRGLPANDKDDFKE >ORGLA01G0197100.1 pep chromosome:AGI1.1:1:18428389:18429481:-1 gene:ORGLA01G0197100 transcript:ORGLA01G0197100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALVSPVRSTLELKPFNFGDQRLASSPRYLPSGDDALYRCSSPFSPSFGFSSPSPLATSVSLSPSSSASLVDDGDDGGAAADATGQRLQLARLALQYQEVADRYELCLSHLAEAAEEAAALRLENAELRVTNSDLALRLALLSGKHTAAVAVADEIRRLRLGEQKVAAATKERTPEKLAVLPKSISVRSTSYLKLNQQSQAATATSAAPNRKPRTSSNPTNPPNSQRAYDGGKKGDEQKAQPADSGAELEVYNQGMFKTELCNKWEETGDCPYGDQCQFAHGVAELRPVIRHPRYKTAVCRMVLAGDVCPYGHRCHFRHSLTPAERLLLRS >ORGLA01G0197000.1 pep chromosome:AGI1.1:1:18426161:18426691:1 gene:ORGLA01G0197000 transcript:ORGLA01G0197000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEEAFPIGFTKGIRSYWRSRKYHRVDSSAAGRGTSNLVRLGGGSGSGSDGGAWAMRLGGMFRTRVKAAAPAATTTAVAKVPARVLGRVRDAYVDAMVGVAKKQAAAALSQPGAGTTEALWQKRVPVRRSRGQSKKQLRQKADELGQRLVMEMYKSVLASRDLSSILQASRAQ >ORGLA01G0196900.1 pep chromosome:AGI1.1:1:18421969:18422552:1 gene:ORGLA01G0196900 transcript:ORGLA01G0196900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PCSKARLEAAKLIGESSGKKFDDMIEKELSRRSAKCSDADGVAIGEEDSVVISSEDRSPVHHHPWRAFPSNVIIITAAWPCIMTAAALGNKASGNELLIVMLQGIGDCLK >ORGLA01G0196800.1 pep chromosome:AGI1.1:1:18417415:18417822:1 gene:ORGLA01G0196800 transcript:ORGLA01G0196800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRPAPVLVLAILAVAASTAAAAAIEGEVKCGGCSPCGGADCPVLYPSPPPPALPPPPPYYYYSPPPPAYYPGSYCPPPPAAYVQFGGGAQSGRGPLYPQDPGFMPSSAPSSHGSRAVRLFTACAAFASLWFLW >ORGLA01G0196700.1 pep chromosome:AGI1.1:1:18407598:18407822:-1 gene:ORGLA01G0196700 transcript:ORGLA01G0196700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVPVCVQCGTHSNPCRCKVLGPTLGFVAFVVAGVVEWPLGAFVYLFRHRKGRRIMGHPANVVYPRITSAIPI >ORGLA01G0196600.1 pep chromosome:AGI1.1:1:18404884:18405924:-1 gene:ORGLA01G0196600 transcript:ORGLA01G0196600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPHQILPDDLVLEIIARSSPATIIRCGAISKPLRRRILHPAFLRRLRVVNAGDDTGNSRCGFVPSLLLGLYRRAKDLCSPLALVPPDTAGAASIATSLALVPPATPINHGANHSACIFGPYLPLSSRRSLIVLRRRCKVIGHQDYLHSGLTVCNPVSGERWVLPPHEVSDETVVLLDVNHNDQAIGTHSFKLLAAQLLVSPARTLIFQVFSSDEREWGHSRCLPHLQDLLIRRRLQCCRPARRRLLVVLRILGLQHPQPKATRRRRGAEGFTSEPPRVVQIRDAQHVPRAIAGRGGGRRHEQRSIAERGRPRKRSHSRVGPQTPHHRAREVTPLGAASRYPRK >ORGLA01G0196500.1 pep chromosome:AGI1.1:1:18402645:18404238:1 gene:ORGLA01G0196500 transcript:ORGLA01G0196500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITALQLLPPILGLPATARNGYAVRRPRMMTVTCCRHNQATTVHESRLTSSLSRRDALSYMSSAFIATLLVAGPAEARTSRQENKRKLREKLEKLREKALGPDDKNGAIRKKESLANLLIPPKLVEATI >ORGLA01G0196400.1 pep chromosome:AGI1.1:1:18399697:18400203:1 gene:ORGLA01G0196400 transcript:ORGLA01G0196400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPPAARAALPRPPRPLRGQAHTDLPLRRRIQHHAYDSARLVGFLALAVTLAALLVLAGVTLTVAFVALVVLSPLLLLTSPLWVPMAAAVFVSGAASIIGWCLAVGAVAAGTWAYRYFTGRHRRPVGAHRVYYDVGAGTASGWMGYYAREYGARPRVHVKDAAPGA >ORGLA01G0196300.1 pep chromosome:AGI1.1:1:18394147:18398913:-1 gene:ORGLA01G0196300 transcript:ORGLA01G0196300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NQ23] VVRAQGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAEALTDPYFTGLANSEREPIAQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMMQKYLRGGDQSNFLYPSGVDRFKRQFAHLEEGVSQGDKTSPQLRQHVSLPRERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >ORGLA01G0196200.1 pep chromosome:AGI1.1:1:18374030:18377426:-1 gene:ORGLA01G0196200 transcript:ORGLA01G0196200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGATSPSGLELTMAVPGLSSSSGSEGAGCNNNNAGGGCNMRDLDINQPASGGEEEEFPMGSVEEDEEERGIGGPHRPKKLRLSKEQSRLLEESFRLNHTLTPKQKEALAIKLKLRPRQVEVWFQNRRARTKLKQTEMECEYLKRCFGSLTEENRRLQREVEELRAMRVAPPTVLSPHTRQPLPASALTMCPRCERITAATGPPAVRPPPSSAAAAAPSPFHPRRPSAAF >ORGLA01G0196100.1 pep chromosome:AGI1.1:1:18357114:18360880:1 gene:ORGLA01G0196100 transcript:ORGLA01G0196100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:I1NQ21] MISGHDFYTVMAAVVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNLRFLAADTLQKLLVLAGLAAWSRLPSRTGAPRLDWSITLFSLSTLPNTLVMGIPLLIAMYGPYSGSLMVQIVVLQCIIWYTLMLFLFEFRAARMLIADQFPDTAASIVSLHVDPDVVSLEGGHAETEAEVAADGRLHVTVRRSSVSRRSLLVTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHADFFAMVGGGPPPPTPAAVRGSSFGASELYSLQSSRGPTPRQSNFDEHSARPPKPPATTTGALNHDAKELHMFVWSSSASPVSEVSGLPVFSGGGALDVGAKEIHMVIPADLPQNNGSGKEHEEYGAVALGGGGGGENFSFGGGKTVDGAEAVDEEAALPDGLTKMGSSSTAELHPKVVDVDGPNAGGGAAGAGQHQMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLAWSLVAFRIVYGAAAQHHRVWQISRRRLHGRPLPRGPCRHGRRVNRHRTPRDAPARRHCSGGSTTRDCAFCFCKRIQCPPGHPEHSGNFWHANSSSNHIAVLHPSWTMIKKAYGRSHIKRKKWGQREKKKAILSISNSVCLYV >ORGLA01G0196000.1 pep chromosome:AGI1.1:1:18342649:18344236:-1 gene:ORGLA01G0196000 transcript:ORGLA01G0196000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G18580) TAIR;Acc:AT3G18580] MAATASSFLARRFLLTRRVLSSPLRPFSTIDSSSSSSSSSSSSSDDSRAGSDAGPDPEQQQPPPAGQDQQAAARPRAGDTRPLENGLDPGIYKAIMVGKVGQEPIQKRLRSGRTVVLFSLGTGGIRNNRRPLDREEPHQYAERCSVQWHRVCIYPERLGSLALKHVKTGSVLYLEGNLETKVFSDPITGLVRRIREIAVRSNGRLLFLGNDCNAPKLGEAKGVGYF >ORGLA01G0195900.1 pep chromosome:AGI1.1:1:18336870:18340700:1 gene:ORGLA01G0195900 transcript:ORGLA01G0195900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLGALIFPCFLLLICARADDTVSRNRPLSGGQRLISSGGLFALGFFQPVVNNSDDRAPNRWYLAIWYNKISKTTPVWIANRATPISDPNLSQLTASEDGNLALFDQARSLIWATNITNNVNSTVGVILDSGNLVLAPASNTSNFLWQSFDEPTNVWLPGAKLGRNKITGQITRFISWKSSVDPSPGYYTLEIDPNGGDQFIHLWNNSAIYWETGKWIGNMFTGIPEMALYPKEVLSYKFTVNNQESYFVYRTNASIATAMFIMEISGQVKTVVWMESKKDWVPFLALPKAQCAVYFLCGSFAMCTENAVTFCSCLRGFSKQYNGEWRYGNPSGGCMRNTKLQYDGNSSSKTTADEFYALAVAKLPDKAWGLATGTDGCKQKTRKATVIGASTAGAILVTLIVIIGILLILRKRNLTEANKVEGSLVVFRYRFLQHVTKNFSDRLGKGSFGPVFKGTLPDGTLIAVKKLDGVSQGEKQFRAEVSTIGTIQHVNLIRLLGFCSERSMKMLVYEFMPNGSLDRYLFGSTPLTLSWKTRYQIALGIAKGLAYLHEKCRSLIIHCDIKPENVLLGADFMPKIADFGLAKLLGRDFSRVLTTMRGTIGYLAPEWISGTAITTKADVFSYGMMLFEIISGNRNADWHRQGEQGAGTFFPVLVAMRLPEGKIQDLLGSELSADANLEEVERACKVACWCIQDDENTRPTMGEIVQILEGLVDVSFPPVPWYLHVLAQRSNFSTEETSH >ORGLA01G0195800.1 pep chromosome:AGI1.1:1:18326199:18327101:-1 gene:ORGLA01G0195800 transcript:ORGLA01G0195800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPHESSFSFSRRHFKWPVLGKSSSHGASNAGEDDFIKAEDDEEATMAFSSTCPSFHSEDFVSPPPCKPLKQQQQQQQQPQQQRRKGRTAVSRLRTALAAALAGRHRQVGLGARLTGTLYGHRRGHVHLAFQVDPRACPALLLELAALTASLVREMASGLVRIALECERAKGGGACAFPTTAAAPSSSSSSAGGRKLVEETVWRAYCNGRSCGYAVRRECGAADWRVLRALEPVSMGAGVIPAACGGGEGDVMYMRARFERVVGSRDSEAFYMMNPDCGGSGSNNNGGPELSVYLLRV >ORGLA01G0195700.1 pep chromosome:AGI1.1:1:18313984:18314278:-1 gene:ORGLA01G0195700 transcript:ORGLA01G0195700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKAVKPAGLAKEPAAKLSETATKPAAAKGGVKKAEQKPREPKKKVKSSKPAAAKN >ORGLA01G0195600.1 pep chromosome:AGI1.1:1:18307662:18311990:1 gene:ORGLA01G0195600 transcript:ORGLA01G0195600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXEQNFCLVXAXFGAILSSIRGRKSSDERNRKGISHLTLTQFQKRIWHVXFLFLITLQRSKTVLSWFTVGYYYFFSSQVRRSIVYGEQPRNRLDLYIPKDINRPCPVVAFVTGGAWIIGYKAWGSLLGRRLAERGIIVACIDYRNFPQGTIGDMVSDASQGISYVCNNIASYGGDPNRIYLVGQSAGAHIAACALIEQAVKESSGQFISWSVTQIKAYFGLSGGYNMHSLVDHFHERGLNRSIFFSIMEGEESLSRYSPEIVVKQSSSQTIALLPPIVLMHGTEDYSIPSSASQTFADVLQQAGAQAKLQLYEGKTHTDIFIQDPLRGGRDPLVEDVLSIIHVDDEITQEKIALAPAPRRLVFEWQLQLARRISPF >ORGLA01G0195500.1 pep chromosome:AGI1.1:1:18301869:18304171:1 gene:ORGLA01G0195500 transcript:ORGLA01G0195500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNSEALERYKNAITAASSVVGAAMLLRRIVADVLPDTALGALLLLPPPSSRRHCVVIEEFDGAFYNRVFLAAKAYVSTLLAAAPVPLMKASLPRGAGAEQITLAMRPGTAVVDVFDGAELTWRLSSHGGGGGGRRRGGDDAREVFKLSFDGRHKDMVLGAYLPAVMARVAAMSQGQRQAKLYSNEWGKWRPVRLRNASTFATLAMDAALREAVVDDLDRFLGRKEYYERTGRAWKRGYLIHGPPGTGKSSLVAAISNHLRFDVYDLELGGVRSNTELRKLLIRMKNRSILLIEDVDCAVVAAPRREPHGGPDGSNTPSVNRKVTLSGLLNMVDGLWSSSGHERILIFTTTHVDRLDQALLRPGRMDMHVHMGYLGFGAFRELAATYHGVAGDDHPLFPEIEALLREVEVAPAEVAERLLMTDDAGAAIEMVAKLLRDRKAGTEEDGGGYVSQKLHAGTGRRHPRPSRRGGGGGAVVATTTRRGVFGDEIGMEISHGQGRRGVRGRGRGRR >ORGLA01G0195400.1 pep chromosome:AGI1.1:1:18291931:18294869:-1 gene:ORGLA01G0195400 transcript:ORGLA01G0195400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSSQPPASSDRESTPASDNATSPDSDGTNSAGPARSRIALQLDQRSLHFSVTAWVLIVALIGILPLTPRQLQYKGYRLSLLGTTCTTGYALFAFYRLPRAGNMHAAQIFHHVASSKDFIPFMYCLMFVMSKLHLKLVLVPVICWALEHVARFLRRHFTNSSLYRTYLEKPCTWVETNTTAVKFLSSNAEILLGFLLILSLFSRQRNPMQTFMYWQLLKLMYHSPFTAGYHRAIWLKIGRTVNPYIHRYTPFLHDPINAGMRWWFR >ORGLA01G0195300.1 pep chromosome:AGI1.1:1:18288187:18289997:1 gene:ORGLA01G0195300 transcript:ORGLA01G0195300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHDRWRLIVNLSVHPNDAEFKKMSDKTDVYNLRVITLNLNLLTESRYRPELDNPPNRRWSPTRTLGVAAVSELETNPNGQSLPLPPIKTTGPEPHNTTPVLRRSIQVSTQSQYAHSIEAKPRGFVVRRKSSTNQQPNPSSIDVRRDTKMQIVVETQMGKLITLEVESSDTICQGEGPGEGGHPDPAGPAAALLRPQVAGERLHGGWLQHPGRLDAEPLSPPPSRQHEHQGEASQRQGEEEGTPSRQIGQLIYKGVLVTDDKAAHEYNIEAGSVLHLTLNLRA >ORGLA01G0195200.1 pep chromosome:AGI1.1:1:18285346:18285804:1 gene:ORGLA01G0195200 transcript:ORGLA01G0195200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLNGRTITLVVDSCDSVENVKARIHDREGVPPHQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRRGMNDIKVKMLTGKEIDVDIEPTDTMGRIKERIDEREGRLVYGGKQLADDKTVHEYDIEAGSVLLLDRPCSQGLEMTR >ORGLA01G0195100.1 pep chromosome:AGI1.1:1:18280910:18281374:1 gene:ORGLA01G0195100 transcript:ORGLA01G0195100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIQNVKAKVQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGLNVKVRTLTGKEIDIDIEMTDTVDRIKERVEEREGIPPVQQRLIYGGKQLADDKTAHDYKIEAGSVLHLVLALRGGNF >ORGLA01G0195000.1 pep chromosome:AGI1.1:1:18271180:18275395:1 gene:ORGLA01G0195000 transcript:ORGLA01G0195000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPGAGGGSGDAGFVRADQIDLKSLDEQLERHLGRPAERAASQHGGSGSRRGESARLGLGEEPPQAPHHQRRREDWEIDPAKLVIRGVIARGTFGTVHRGVYDGQDVAVKMLDWGEDGHRSEQEISSLRAAFAQEVAVWHKLDHPNVTKFIGAIMGARDLNIQTEHGHFGMPSNICCVVVEYLAGGALKNFLIKNRRRKLAYKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARIEASNPSDMTGETGTLGYMAPEVLNGHPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPTDQPQGCFSCFGRHRGP >ORGLA01G0194900.1 pep chromosome:AGI1.1:1:18265646:18268847:-1 gene:ORGLA01G0194900 transcript:ORGLA01G0194900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G60230) TAIR;Acc:AT1G60230] MAAALPLLRWGASSLRGGHSSAPPSSRLFSALRRPPAAARCEPGSRVMLKGMDYPELENWVRSQGFRPGQAMMLWKCLYGNNVWAHCYDELAGLNKDFRKMLTDHADLKALTVKDILNASDGTRKILFSLEDGSVIETVVIPCTSGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARRLFSDEFGSITNVVFMGMGEPLHNIDNVLKASAIMVDEQGLQFSPRKVTVSTSGLVPQIKRFLQESNCALAVSLNATTDEVRNWIMPINRKYNLSLLLGTLREEIRLKKKYKVFFEYVMLAGVNDSVDDAKRLVDLVRGIPCKINLISFNPHSGSQFKPTPDEKIIEFRNILIQDGLVVFVRLSRGDDQMAACGQLGEPGDYQLPLLRVPEKFQVAL >ORGLA01G0194800.1 pep chromosome:AGI1.1:1:18261517:18263555:-1 gene:ORGLA01G0194800 transcript:ORGLA01G0194800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1NQ08] MGETPRTPAPDRPPPPVPVRVPVPEPQPEPETPQASPSPPPAPDPPTPLLFPESAPSTPREEYHTPPPSLDEARDEALVPHQEGVVDVIGGSEAAAKSPQLSPVRLQVSPSPHRLLPPAPGSPAVNGEDGAAGTAQGRRPGRPQLHLATGCLFRTPSQGSLAMSSPSPSPTPPSPLTPAPATTAPAPTPTAKSKSGQNTPKHKEALKPPATPVATAIAIPFNPAEEAMTSPLRIGNGKAARLDHQHGPVAGAAENGGDVPPEVAAVAAVGERRTTSVALRVATAVLSLVSFALMVSARTSGWAGDHYGRYEQYRYAVGVNIVVCIYSIAQAFGEIRRLVSPRFIFRSTSSYYFSLFLDQVLAYLLMSASSAAASRNDLWMSSFGKDPFNKKINSAVWFSFIAFIGLATNSLISTANLFSMI >ORGLA01G0194700.1 pep chromosome:AGI1.1:1:18257862:18260867:1 gene:ORGLA01G0194700 transcript:ORGLA01G0194700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLATATAHHPAGPSRRRLHHRRGAMFSVSCWRLGAIASLVAAAAATTVLLNFSLPSSPHVPATDFTGKLSAAISPPPPPSSPPPAPATPPPPPPAPPPPAARPRRREPSYWRMAPEEALRYAKKEIMAAGPVIDDPDLYAPLFKNVSQFKRSYELMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLKESRRFAVADPAKAHLFYLPYSSQQLRISLYVPDSHNLRPLAAYLRDFVKGLAAKYPFWNRTRGADHFLVACHDWLQGSYTTTAHGDLRRNTVKALCNADSSEGIFTPGRDVSLPETTIRTPRRPLRYVGGLPVSRRGILAFFAGNVHGRVRPVLLKHWGDGRDDDMRVYGPLPARVSRRMSYIQHMKNSRFCLCPMGYEVNSPRIVEALYYECVPVIIADNFVLPLSDVLDWSAFAVVVAEKDVPDLKKILQGITLRKYVAMHGCVKRLQRHFLWHARPLRYDLFHMILHSIWLSRVNQIELHE >ORGLA01G0194600.1 pep chromosome:AGI1.1:1:18249187:18250956:-1 gene:ORGLA01G0194600 transcript:ORGLA01G0194600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNDDEQTAPTSTATEPARPTVGITGQLVKQVRLNKYHNDVASMAPHDQELLLELRGSSSSTDRAGLDLVAVIDVSGSMDGDGIDKAKTALQFVIRKLSDLDRLCIVTFSTNATRLCPLRFVTAAAQAELKALVDGLKAYGITNMKGGLETGMSVVDGRRLAAGRAVSVMLMSDGYQNHGGDARDVHLKNVPVYTFGFGASHDSNLLEAIARKSLGGTFNYVADSANLTGPFSQLLGGLLTIIAQDLELTVTRFHGEATIKRVVWVDAGTYPQTTASDGSSVTVSFGTLYSAEARRVIVYLALADKTASPPYDANVCLAQYRFTFQAQQVTSNPDLITIKRRPSAAPGAARKPQPVENELARRQHADMIRAARDMAEANKMEDARNKLEEARKALEENFNQAANPTVAMLLEELRQLRGLMERQDLYNKEGRPYAASSLASHDRQRVATRGQADGVRLYTTPHMDTYLKQAEQFEKNPDEAPPPATKHVPEPDQVVDQEPPAPPAEADVVPDVPRDMAAGDRRTLSAALRVAAAVLSLAAFVLMASVRTSGWDSDRYDLYEQYYRLLHDHLPVASKHHAFLTCNKSXC >ORGLA01G0194500.1 pep chromosome:AGI1.1:1:18240854:18243535:1 gene:ORGLA01G0194500 transcript:ORGLA01G0194500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:I1NQ05] MVFSCADSRVCPTLTFGLQPGEAFTVRNIASMVPAYDKRGQCSIGSAIEYAVVVLKVECIIVIGHSCCGGIKELLSLKEDRPNTFHFVDDWVKIGLAAKKKVERENMLLPFDDQCTVLEKVEVKLMPVYRSRTSSARLMSMKLEWKGTLSSTYIHTVSRKLDLRHRHVWHGLNHDLHPHSPWA >ORGLA01G0194400.1 pep chromosome:AGI1.1:1:18239725:18240326:1 gene:ORGLA01G0194400 transcript:ORGLA01G0194400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACCCCFPVYKPARENPMRSTRESLIQHKPRPTTPYHPPPPPFITYTDKGMNAVERFKTGFENFRNTIYDKRPELFERLKTGQSPKTLFWFV >ORGLA01G0194300.1 pep chromosome:AGI1.1:1:18227843:18236801:1 gene:ORGLA01G0194300 transcript:ORGLA01G0194300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:I1NQ03] MSTAAAAAAAQSWCFATVTPRSRATVVASLASPSPSSSSSSSSNSSNLPAPFRPRLIRNTPVFAAPVAPAAMDAAVDRLKDGFAKFKTEFYDKKPELFEPLKAGQAPKYMVFSCADSRVCPSVTMGLEPGEAFTVRNIANMVPAYCKIKHAGVGSAIEYAVCALKVELIVVIGHSRCGGIKALLSLKDGAPDSFHFVEDWVRTGFPAKKKVQTEHASLPFDDQCAILEKEAVNQSLENLKTYPFVKEGIANGTLKLVGGHYDFVSGNLDLWEP >ORGLA01G0194200.1 pep chromosome:AGI1.1:1:18220799:18221266:1 gene:ORGLA01G0194200 transcript:ORGLA01G0194200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASGERPASNWDWSDYDAAFTEEADGAIAALQDTGGDGLATKQLLERYHASPLAHTILAQWYFARADQQKPTNHLRRAAWMAPRCLHIAFALALVLIEMGSFDEADMVCAHSLLVPDLTDPVHNFISPKEQVDAIITSKAPEYRLGRGAIWAF >ORGLA01G0194100.1 pep chromosome:AGI1.1:1:18212414:18213160:1 gene:ORGLA01G0194100 transcript:ORGLA01G0194100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPRDRTVEEAAAFSGDESDGEFEFPFVSRETDAGGVADELFADGRIRAFYPVFGRVLDDVAVTPAAAAAEDRRPPLGRLFLEEGRNSSVGSTSSSSSSTDIAELDGVSPDSYCVWVPGSSPASSPSRPPRKSGSTGSIARWRRISELVVGRSHSDGKEKFRFLSAPSSPARDHPKPKPTTKGGAAAATKLHTELDTIAAGHRLSYSPNHKAHGGATRRTFLPYRQDLMGIFANVNGLSRTHHRPF >ORGLA01G0194000.1 pep chromosome:AGI1.1:1:18184626:18190988:-1 gene:ORGLA01G0194000 transcript:ORGLA01G0194000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISFVGFAVVDRLLRHGYTVRLALETQEDLDKLREMEMFGEDGRDGVWTVMANVTDPESLHRAFDGCAGVFHTSAFVDPGGMSGYTKHMASLEAKAAEQVIAACVRTESVRKCVFTSSLLACVWRQNYPHDRRFPTIINENCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTVCPALVTGPGFRRRNSTASIAYLKGARAMLADGLLATASVETVAEAHVRVYEAMGDNTAGGRYICYDHVVQRPEEFAELERQLGIPRRAAAAAAAAQDSGDRPARFELCRQKLARLMSTRRRCTYDDYYSVAFD >ORGLA01G0193900.1 pep chromosome:AGI1.1:1:18180710:18183898:1 gene:ORGLA01G0193900 transcript:ORGLA01G0193900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSRRGPGAMDDENLTFETSPGVEVISSFDQMGIRDDLLRGIYAYGFEKPSAIQQRAVLPIISGRDVIAQAQSGTGKTSMISLSVCQIVDTAVREVQALILSPTRELAAQTERVMLAIGDFINIQVHACIGGKSIGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDEADEMLGRGFKDQIYDVYRYLPPELQQVCLISATLPHEILEMTSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRSNNFTVSAMHGDMPQKERDAIMGEFRSGATRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKKEDIRILRDIEQYYSTQIDEMPMNVADLI >ORGLA01G0193800.1 pep chromosome:AGI1.1:1:18178687:18179307:1 gene:ORGLA01G0193800 transcript:ORGLA01G0193800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRRCVARLAAGKRRRMIKPSARPVATPRKTNRVWDLDDGQLQEWRPAGADGAVRAERKQDRREPGRTGGAASAAPPGDASVDAISAVGEGSGRGRPRVDAAEKTWVEIYQLGHWGFGRLSFSQPQVIRGDAGGNDGVAASRQ >ORGLA01G0193700.1 pep chromosome:AGI1.1:1:18152196:18153686:1 gene:ORGLA01G0193700 transcript:ORGLA01G0193700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NPZ7] MAVGEGEQQPLHILFFPFIAHGHLIPVADMAALFAARGVRCTILTTPVNAAVIRSAVDRANDASRGTGSPEISITLFPFPDVGLPPGVESAPGISSKAEQEKIAEAFLRFREPFDRFLAEHHTDAVVVDSFFHWSSDAAADHGVPRLAFLGSSLFARACSDSMLRHNPVEASPDDPDAVVSLPDLPHRVELRRSQMMDPTEREGEWAFLQLVNAADQRSFGELFNSFREMEPDYVEHYHTKLGRRAWLLGPVALAAGKGMAERQDTDTDSGRLSPDEERCLRWLNGKAAGSVVYISFGTLARLLAAELTEIARALQLSGKNFLWIITREDTDASEWMPDGFADLMARGERGLIVRGWAPQVLVLNHPAVGGFVTHCGWNSVLEAVSAGVPMVAWPRFTDQFYNEKLIVEILKVGVGVGAREFASFIDHRSQVIAGEVIAEAIGRVMGEGEEGEAMRKKVKELSEKARSAVKEGGSSYDDAGRLLDELMARRSSGTS >ORGLA01G0193600.1 pep chromosome:AGI1.1:1:18141208:18142683:1 gene:ORGLA01G0193600 transcript:ORGLA01G0193600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NPZ6] MAIKDEQQPLHILFFPFLAPGHLIPIADMAALFAARGVRCTILTTPVNAAVIRSAVDRANDSFRRNNGGLAIELTVVPFPDVGLPPGFESGTALTTQDDRDKFFLGIRLLHEPFDRYLSEHHVDAAVVDSFFRWAADATAEHGVPRLGFLGTSVFARACTNSMLRNNPLETAPDDPDAVVPLPGLPHCVELRRSQMMDPKKRPDHWEMFQSIDAADQRSFGEVFNSFHELEPDYVEHYRTTLGRRVWLVGPVALANKDVAVRGTSELSPDADGYLRWLDAKPRGSVVYVSFGTLSSFSPAEMRELARGLDLSGKNFVWVINGADADASEWMPEGFAELIAPRGERGLTIRGWAPQMLILNHPAVGGFVTHCGWNSTLEAVTAGVPMVTWPRYADQFYNEKLITEVLEVGVGVGSMDFASKLENRRVIIGGEVVAGAIGRVMGDGEEGEAIRKKATELGVKARGALEKGGSSYDDVGILMDELMARRGSVNV >ORGLA01G0193500.1 pep chromosome:AGI1.1:1:18134862:18135666:1 gene:ORGLA01G0193500 transcript:ORGLA01G0193500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRMCGRAGEPAVRKGPWTLEEDLILVSYISQNGEGSWDNLARSAGLNRNGKSCRLRWLNYLRPGVRRGSITPEEDMVIRELHSRWGNRWSKIAKHLPGRTDNEIKNYWRTKIHRKPRGRSQLLQEPCEDAMGMGMSTTTSEAASTSASSGQSQASPGVWDEYMQASSFPHPELVSFAADHHLEMAGTDEVAAAAAAAQFVPTEFGFNDGFWNFVDNFWETMPVSDVV >ORGLA01G0193400.1 pep chromosome:AGI1.1:1:18132465:18132872:1 gene:ORGLA01G0193400 transcript:ORGLA01G0193400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VARHGTMXWWRTTMTQQMATAKTASLSLMLLLLLRHLKYDQNTKPDPNLTCIAVAPPTAWRWRRMKCRMVHGANRRRDAISAIQIPVTPNGNVELTCHDAGLHHAHEILGSLESFKDAFFSLSLTRESYNFYFVFA >ORGLA01G0193300.1 pep chromosome:AGI1.1:1:18128362:18131274:-1 gene:ORGLA01G0193300 transcript:ORGLA01G0193300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide deformylase 1B [Source:Projected from Arabidopsis thaliana (AT5G14660) TAIR;Acc:AT5G14660] MAARLHLRLGPRLRGFASSFAPLLAAHPRALPLSRMGSVAPLAAARARRGFGSAVATAPPAEDEDFATAADLQFEPPLKVVKYPDPILRARNKRINTFDDNLRSLTDEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIVLVNPVVYKMSKRLLVYEEGCLSFPGIYANVVRPDNVKIDAQDVTGAKIKVKLSGLSARVFQHEFDHLQGILFFDRMSLDVLESVREGLKDLEKKYEESTGLVSPENIENYKGRKDLISFSR >ORGLA01G0193200.1 pep chromosome:AGI1.1:1:18122074:18122473:-1 gene:ORGLA01G0193200 transcript:ORGLA01G0193200.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSFFRYYSRDDVSRYMMLSTLVNWGVSIVLEKKMFLVERFDKYVKTLGSGIHVLAPLVDHIAYVHSLKEEAIPIPDQSAITKDNISIQIDGVLYVKVITRSLP >ORGLA01G0193100.1 pep chromosome:AGI1.1:1:18121013:18121327:-1 gene:ORGLA01G0193100 transcript:ORGLA01G0193100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSFLLILLILHLGAREVRALSSDDEALLAFKKAVTTSDGIFLNWREQDVDPCNWKDVRCDSHTKRIILSVRPK >ORGLA01G0193000.1 pep chromosome:AGI1.1:1:18110154:18111393:1 gene:ORGLA01G0193000 transcript:ORGLA01G0193000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dihydroflavonol 4-reductase [Source:Projected from Arabidopsis thaliana (AT5G42800) TAIR;Acc:AT5G42800] MDFESEDPENEVIKPTVEGMLSIMRACRDAGTVKRIVFTSSAGTVNIEERQRPSYDHDDWSDIDFCRRVKMTGWMYFVSKSLAEKAAMEYAREHGLDLISVIPTLVVGPFISNGMPPSHVTALALLTGNEAHYSILKQVQFVHLDDLCDAEIFLFESPEARGRYVCSSHDATIHGLATMLADMFPEYDVPRSFPGIDADHLQPVHFSSWKLLAHGFRFRYTLEDMFEAAVRTCREKGLLPPLPPPPTTAVAGGDGSAGVAGEEEPILGRGTGTAVGAETEALVK >ORGLA01G0192900.1 pep chromosome:AGI1.1:1:18099153:18101591:1 gene:ORGLA01G0192900 transcript:ORGLA01G0192900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGAAGQAGGGGPPAAAAAGEYWSEALKSFLDHIPVSSVNGAIQPSPSPALEIRLDGSVLDAIDSMYRSDVAGAVIVDDVRTSFGKFVDRDIGFVEFPSLVLWAIEEFDKLGSGAGDKNSDFLTSLKQHPQIAETKIAWLAKSFLWEPFFPVRSHDTLFHAMLLFSKHRRINVVPVVELMNSSVIGFVTQNAVMELLLSSSGLEWLDKIADKQLSEFRFANTTKPVSVYSDQTLADALHILSKEKIGVAVVDRKTSCLIGSIQCSDLYQLLDDSSLFRNRKTLSAEEFVKLKSKDEDISTENSSASGGQNVLSLRTGQKITAGLPVTNRKSDTLKQAMEKLTASRSSCSFIVDEHGRVEGVVTARDIISVFSPPCMDSRIDGGTFFSAALAQTGCRVEHGQMIQNS >ORGLA01G0192800.1 pep chromosome:AGI1.1:1:18098077:18098532:1 gene:ORGLA01G0192800 transcript:ORGLA01G0192800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVETRISITDFSCKMVPEHKATSESTSTTTACSSVHIRCNATVKYASRRLRGNGKPVRDRQPDKPWTTEETRPASTTAPGSDEACGICREKFGMGGGAGASSDPVNLPCEHAFHANCVLAWFYKGNTCPVCSHDVCGQLVAAPWTKLAA >ORGLA01G0192700.1 pep chromosome:AGI1.1:1:18093801:18097309:1 gene:ORGLA01G0192700 transcript:ORGLA01G0192700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSDEDSEISDSEIDEYADKFYARLVAGEFKVKDGQSYSCPFCSGKKKKDFNINNLIQHASGVGAASNRQAKDKATHRALAKHLKNGLTKSSGQQSQTAVVEPQPLPNRDEKFVWPWMGVLVNVPTEWKDGRQIGRSGNHLKEQLSRFCPLKIIPLWNFRGHSGNAIVEFGKDWHGFRNALAFEDYFGKEGYGKRDWKEKQNQGSNLFGWVARAEDHTSPGLIGDHLRKNGDLKTINDLENEGARKTDKLVANLANQIEVKNRHLQELEVTYNERTTSLEKMMGQREQLLQKYNEEIRKMQQLAQRHSQKIIDENQKLRSELESKMSELNTRSKELDEIAAKSDYDRRIIDQEKQKNAIKSSHLKLATLEQERADENVLKLVREHKREKEAAVKKILKLEQQVDAKQKLELDIQQLKGKLEVMKHMPGDEDSALKNKIDELSEELQEKMDELDAMESLNQTLVIKERKSNTEMQDARKELENGLLDLLDGQSHIGIKRMGELDLEAFSKACRKMSSEEDAEITAAILCSKWQAEIKNPDWHPFRFVLVDGQEKEIIEDDAKLQELKEEHGEDIYRLVRDALCEINEYNPSGRFPVGELWNFKDKRKATLKETVQFVLRQWRANRRKR >ORGLA01G0192600.1 pep chromosome:AGI1.1:1:18087716:18091742:1 gene:ORGLA01G0192600 transcript:ORGLA01G0192600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNACAQPMRRAGEGAGTERLMERLNIGGMTQEKALRKRCFGDGVTGTARCVFTSDADRDTPHLRTQSSRKNYADASHVSAVILGGGTGVQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHHTYLGGGINFTDGSVQVLAATQMPDEPAGWFQGTADAIRKFMWILEDHYNQNNIEHVVILCGDQLYRMNYMELVQYLQKHVDDNADITISCAPIDGSRASDYGLVKFDDSGRVIQFLEKPEGADLESMKVDTSFLSYAIDDKQKYPYIASMGIYVLKKDVLLDILKSKYAHLQDFGSEILPRAVLEHNVKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGISSRVSIGCELKDTMMMGADQYETEEETSKLLFEGKVPIGIGENTKIRNCIIDMNARIGRNVIIANTQGVQESDHPEEGYYIRSGIVVILKNATIKDGTVI >ORGLA01G0192500.1 pep chromosome:AGI1.1:1:18079460:18079975:-1 gene:ORGLA01G0192500 transcript:ORGLA01G0192500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L31 [Source:UniProtKB/TrEMBL;Acc:I1NPY5] MALSLSTSFLPTQAAAAATRTTLRSLVPSQRMRCSMRKKGLHPEIYEDAKVYCNGELVLVTGGTKPEYTVDVWSGNHPYYVGDTSAMVVMDSQIEKFRKKWGHIKEYWPEDQWREMHPDGDPEFDPEEEGSAGAS >ORGLA01G0192400.1 pep chromosome:AGI1.1:1:18059138:18059458:-1 gene:ORGLA01G0192400 transcript:ORGLA01G0192400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEAEAAQTSPAEGQQRCPDRRAAWSWSWSWMDAPDVELATEIGVCAEEGVGCGGGRGSTGDGFERESGETGAGAGRQDGGLGRRREGGGGGRGDWAATGGGRIR >ORGLA01G0192300.1 pep chromosome:AGI1.1:1:18054717:18055317:-1 gene:ORGLA01G0192300 transcript:ORGLA01G0192300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGARVLEELVPHGTPTKKAPLVAPPPPPPPPNNQESESDSDSDDGQKSDSSDDEALPVPNPALQANKNVPPSDDDEDDDQESDSGDARGRKASALDAKIKQLTLAQVRVSLQGRGLEKELIKLLSGLLK >ORGLA01G0192200.1 pep chromosome:AGI1.1:1:18050094:18051822:1 gene:ORGLA01G0192200 transcript:ORGLA01G0192200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTNCALLFGSSKHLPKKKRKWLRSLIPREDGLIKPIKRPKFLKDAYLAESYVRSDEVSCEKVIAHVEKCFDFQSDGYSHHIVQDGLQFFKLQKGKDGSLSPQGLADMQLIINKLSNEALHSVANIVTHNRVSFEKTRPAMNKIIEDHLPQYLANLRDENDMSQLSHILTNSFSYRSNALNIATPISPKMLSSINQALNVLGTLTIQSLVAMKRKLDEISFTPKFSFVPRISRKAHMVTVIRKQCNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVLNAIWSIQKLKKGDLKLLRPILCQGSNDEMLFKTTVRRYLIDCLFECDQGDLPDEASDASTSKN >ORGLA01G0192100.1 pep chromosome:AGI1.1:1:18040894:18041280:-1 gene:ORGLA01G0192100 transcript:ORGLA01G0192100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMGDRRCSALPTPFTSASPPDPQSSPRSTPPRCLVRVAVAMSGEGGRREQRRGGGRESGAGRRMGAEAKVKRRRLGANEVVRSHPLALSPPSSRRFLLLYRRPHCVVLHATELIGAPAKGVGSLVG >ORGLA01G0192000.1 pep chromosome:AGI1.1:1:18029505:18030311:-1 gene:ORGLA01G0192000 transcript:ORGLA01G0192000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAAAAAAADDAGRPGAAAERLRVMWRVVRAAEALALAVLLSRSLPLLPVAAGAAGAVLRVGASFLLHPCSVFLLANGIVVLLVALSRRDRPSSSSSSSSSSSSSCSDDHDDVHDQFLSFAGAHLPPLPAAITGAAAADQEEGAVFEDKQAVHVTPARAAPRRSRSEKVGRGRRPTRAASPELRRSESERCRRRRRSLSSSSASLADWGVEEDDGGEKEEEEFRRAVEAFIAKQQTRFHREESFVLVAGAGAGDETSAAAAAVEVK >ORGLA01G0191900.1 pep chromosome:AGI1.1:1:18020749:18026523:1 gene:ORGLA01G0191900 transcript:ORGLA01G0191900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTASRICTLLSILFVSCMLASAANDGLIRIALKKRPIMESIYGDLVPKSGTVDHEVALGYSGVRMNSADEGFYDPVTEAINHVRVHQQRMLRDIEAAAMEGRLKHFWSYRGFRERGSLKNGTQNHPLALKNFLNAQYFGEIGVGCPPQNFTVVFDTGSSNLWVPSAKCVFSLACYFHRKYESRSSSTYMENGTPASIHYGTGSIHGYYSQDQVTIGDLVVNNQEFIEATHEPGLTFLAAKFDGILGLGFKEISVEGADPVWYNMIQQSLVTDKVFSFWLNRNANDINGGEIVFGGADESHYKGDHTYTRVTRKAYWQFEMGDFLIGGRSTGICVDGCAVIADSGTSLIAGPIAAIAQIHAHIGATGVANEECKQVVARHGHEMLELLQDKTPPAQVCSKIGLCKSDGAHGISDGIESVLGETHKSADEVSDATCNACEMAVTWMQSEFVQNHTKEGKLEYANQLCGNMPSPVGSYVDCRHIGNLPNVAFSIGGRAFELTPEQYILKFGEGFLAHCMSGFTALDIPPPIGPLW >ORGLA01G0191800.1 pep chromosome:AGI1.1:1:18017461:18017769:1 gene:ORGLA01G0191800 transcript:ORGLA01G0191800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVGKVGEWIRRRMMPRRSKSKAAGSRSRSEGDGGEALPAPPQRKLRARALPAALRWRPRGRLLAVLYEKVVYHLLWLVESIVVVARLCFFVMRFGLKQL >ORGLA01G0191700.1 pep chromosome:AGI1.1:1:18011718:18015086:1 gene:ORGLA01G0191700 transcript:ORGLA01G0191700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDLSSEMRRTVLGLTLWVWIAIGVVALLVAILLMICIWMASRRKTKRTMDNLRQTQIPIFSKEIPVDRVGGRSLAQTMHEREQPSFPPQDKHTNREPGKTLGHMALSKSSEPDNMSQGSSVCNVDRAGSVHSGEDGSTGHGRKPYSPAAFVSASPLVGLPEFSHLGWGHWFTLRDLELATNRFSRENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQHGVFSWENRMKVVIGTAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKVSDFGLAKLLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGREPVDYSRSGNEVNLVEWLKIMVANRRAEEVVDPILEVRPTVRAIKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSTEFANKVERTGSSTSDRSQS >ORGLA01G0191600.1 pep chromosome:AGI1.1:1:18000090:18001469:1 gene:ORGLA01G0191600 transcript:ORGLA01G0191600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLGLLVLLLAFCGGAEHHGAEAAGDASARGASARRGLATVSVAKPSYPTVTTPMSASTSPSTMPMSSSPSAFPSLATAGGGGGGGGGGSWCVASQSASPTALQVALDYACGYGADCSAIQPGGSCFNPDTVHDHASYAFNSYYQKNPVATSCDFGGTATITNTDPSSGSCQYSASSGGGQNMLPPPSPTTLPPSTPMTPTPTTPMTPTPTTPDTGTPIYGGSTTPPDYGSMSPPGGFGSNSPPDYGDVGAAPATMASGRAAVALAGVLIATVSLMSMST >ORGLA01G0191500.1 pep chromosome:AGI1.1:1:17984208:17992625:1 gene:ORGLA01G0191500 transcript:ORGLA01G0191500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycerol-3-phosphate acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G06520) TAIR;Acc:AT1G06520] MVLPTILPKIAAHWLFTFYRAARKLRGHAFQYCRSSSATTTKPPPPSPVSPAAKCTVVFPDAADKAVVFGFDGALMRSAALFPYFMLVACEGGSLLRALLLLCAFPLVWALGERSDAGVRVMAFVTFFGLRPRDMDLVARAVLPKFYMEGLNAQVYSRLWLPARRKVVVTGAPRVMVEWFLKEYMAADVVVGGELHVVRVGRGRYFTGMLCGPGGSAAPALKHKALQAEALGTDNAMADVAVVGNASQLDHPCFPYGKEVYVVNRESTKTARLPRDRYPKPLIFHDGRLAFLPTPSAALAFFLFLPLGVILSVIRISIGILLPYKISFGAGALFGVRYRTSGLRAPEPGVKRRGVLYVCTHRTLVDPIMLTAALQKPVPAVTYSLSRLSEVIAPIKTVRLTRDRERDAETMSRLLEHGDLAVCPEGTTCREPYLLRFSPLFAELADDMEPVALDAQVTTLYGTTASGHKWLDPVVFFANPQPAYRVDFLGAVPREWTRAGGRAGAEVANWVQRRLGEALGYECTGLTRRDKYMMLAGNDGVVAK >ORGLA01G0191400.1 pep chromosome:AGI1.1:1:17959683:17962385:1 gene:ORGLA01G0191400 transcript:ORGLA01G0191400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:urophorphyrin methylase 1 [Source:Projected from Arabidopsis thaliana (AT5G40850) TAIR;Acc:AT5G40850] MALALRPPRFQPLPAPIPASTASTTALAARPSSSAHAIICAAAASPFTEATSSSRYRRDAWSYAASDGDSSSSSAAAAAAAASSGRRDDEIALQLPELRRLLEALRASRGRGAEGEGGGGGPGRVALVGTGPGDPELLTLKAVRAIEAADLVLYDRLVSNDVLDLVGEGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIRVEVIPGITSASGIAAELGIPLTHRGVATSVRFLTGHSRNGGPDPLYVAENAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRMVFAMLKDLVNEVKSEDLVSPTLIIIGKVVALSPFWIDSSKQGAQSIENLCAAETKR >ORGLA01G0191300.1 pep chromosome:AGI1.1:1:17952048:17958187:1 gene:ORGLA01G0191300 transcript:ORGLA01G0191300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTAGQVSFLLGLFPVLIAWIYSEVLEYRKSSSMKVHSDSNLENGTVKEDDKTVLLEGGLSKSPSTKFRINSTKANLIRFITMDESFLLENRAVLRAMAEFGIVLVYFYICDRTNIFPESKKSYNRDLFLFLYILLIIASALTSLKKHHDKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSLARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGSLGLFNKYNEIPSVMAMKIVSCFLAVILIWEIPGVFELLWSPFTFMLGYKDPEPSKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLSIKGTIISISLVAGYLWYEYIYKLDKITYNKYHPYTSWIPITVYISLRNCTQQLRNVSLTLFAWLGKITLETYISQIHIWLRSNMPNGQPKWLLSFIPGYPLLNFMLATAIYLLISYRVFELTGVLKSAFIPSRDNNRLYQNFVAGIAISVCLYFLSIVLLKIPIV >ORGLA01G0191200.1 pep chromosome:AGI1.1:1:17946631:17948293:-1 gene:ORGLA01G0191200 transcript:ORGLA01G0191200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHPLIGEFNHRLHHLPPALSDWMRFKGTENPPLQADLYFSIQGVLAVFLRLNRCGGGAADLSGLAKGHLASMDELVQEQRHLVHIRSKMLLSEILRSIGANEARYNCHAVTDGIVLDTPLSTYHLSCKENVDCAHHMGHVSXIHQQDQTQIYQCL >ORGLA01G0191100.1 pep chromosome:AGI1.1:1:17938681:17939024:-1 gene:ORGLA01G0191100 transcript:ORGLA01G0191100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKTFGQIPMSMPMPDRHGHVRRPFIGRKFRSALPENRIDAFSPELRYFTVRILKIMGNAVNTPISVCSAIARMAVGKAA >ORGLA01G0191000.1 pep chromosome:AGI1.1:1:17936320:17936856:-1 gene:ORGLA01G0191000 transcript:ORGLA01G0191000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVVAVQAEEDEMVRQRQRQRQLVRERGRRIKAAAELGLARSSSGGRQWGRALGRRALLLRKGPATAALSSSTLLLETSAGQEESKQGKAMEGEAEQEEEEEEEEEEVMVDEKVAVLRQLVPGGEAMAVERLLDETADYIAALRAQVGVMRALACLLSGLGSPPEKEISVTPEKPI >ORGLA01G0190900.1 pep chromosome:AGI1.1:1:17932439:17934706:1 gene:ORGLA01G0190900 transcript:ORGLA01G0190900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEFVDVERGVVAEGALDDDASPIEEVRLTVPVTDDPSLPVWTFRMWTLGLLSCVLISFLNQFFSYRTEPLIVTQITVQVASLPLGHILARVLPRRKFKAPALLGGGECSLNPGPFNMKEHVLVSIFANAGCAFGSGSAYAVMIVDIIRAFYGRSISLFPAWLLITTTQVLGYGWAGLMRKYVVEPAQMWWPGTLVQVSLFRALHGKGEEKEENKEGSGGGMSQAKFFLIALACSFLWYAVPGYLFPTLTSVSWVCWIFSKSVTAQQLGSGMKGLGLGAFTLDWTAVSAFLYSPLISPFFATANILAGYVLLMYVVVPVSYWGLDLYNARRFPIFSSHLFTATGSTYDITAIVNDRFEIDMDGYHRMGRINMSTFFALSYGLGFATIAATVTHVALFHGKEIYRRFRASQRDKPDVHTRLMKSYRDVPSWWFYAMLALSMAVSLFLCTVLRSAVQLPWWGLLFACAMAFVFTLPISIITATTNQTPGLNIITEYVIGLMLPGKPIANVCFKAYGYMSMSQAVSFLSDFKLGHYMKIPPKSMFLVQLVGTVVASTVNLVVAYWLLGSIPNICQDALLPADSPWTCPNDRVFFDASVIWGLVGPRRIFGPLGNYGALNWFFLAGAVGPVIVYLLHRAFPSKTWIPMINLPVLIGATSYMPPATAVNYNSWLIIGIIFNFFVFRYRKLWWKRYNYILSAALDAGVAFMAVLLYFSLSMENRSISWWGTAGEHCPLASCPTAKGINLGADSVCPVVL >ORGLA01G0190800.1 pep chromosome:AGI1.1:1:17917989:17918210:1 gene:ORGLA01G0190800 transcript:ORGLA01G0190800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEELCAYGMRSRIWKESKFGTIGYVKFVSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA01G0190700.1 pep chromosome:AGI1.1:1:17905734:17911390:-1 gene:ORGLA01G0190700 transcript:ORGLA01G0190700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NPW7] MQQDQRKKSSTEADFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNDKARRYLSSMRKKEPILFSQKFPSADPLALDLLQKLLAFDPKDRPTAEEALAHPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYINGTERTTFLYPSAVDQFRKQFAHLEENGGNGPVIPMDRKHTSLPRSTIVHSTPIPAKEQPRIGPSRDKPSDEPYSNPREFDRFSGNAPRTSQAPQRVPTARPGRVVGPVLPYENGATKDSYDARRLAMNSGYPPQQQIPQAYGYYQIPGKSACSEPSQAERYTLHQQAYTCANSATVTDVALDMRAPPFHLSGGPKSDSSERLAAETNLYTRSLNGLAATAAGVAASAHRKVGVVPYGMSRMY >ORGLA01G0190600.1 pep chromosome:AGI1.1:1:17900298:17900636:-1 gene:ORGLA01G0190600 transcript:ORGLA01G0190600.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSTTSDGWIRRWRRRQRWRRPRADPAAVGGGGVGDGRRLSSAFGGGGGVGWIQRQRWRRRVDPAAVAAAEGDPAAVSDGRWWIRRWPRADPVAFFFARVCFFSWNRTLGSSS >ORGLA01G0190500.1 pep chromosome:AGI1.1:1:17898006:17899364:1 gene:ORGLA01G0190500 transcript:ORGLA01G0190500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1NPW5] MASFLSRAAAVSLLAIVLVLTAATSTSPAAAAARFPEEARPTRSGYLNVTSTNSLYFAFYEATDPVTTQPAAVPLLVWLQGGPGCSSLIGSFAELGPYLLLDSTSALARNDNRWNRRFGVIFIDNPLGAGFSAPASGDDIPTDERTIAAHLLAALQSFMALDPAFRARPLFLTGESYAGKYIPAAASHILDANAKLTDDRRVNLQGIAIGNGMTHPVAQVTVHADQAYFAGLINAEQKAKVEEMQDKTVSLIKSKKWAAARRERNRIIAFLKNATGVATPFNYAREKGYPTRPLRDFLNTGEAKAALGARSDVEWARCSEAVSAALADDIMRSARGDVEAVFLAPDGVRVLLFQGVFDLHSGPASVEAWVRELAWPGLGAFLAAERAVWRLGDEQLAGYVQRSGALANVVIVGAGHMAAGDNRPAAQAMIEGWVLQTGPFDGSGQRVVGSLF >ORGLA01G0190400.1 pep chromosome:AGI1.1:1:17894684:17895034:1 gene:ORGLA01G0190400 transcript:ORGLA01G0190400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRSRARWTRTRAATVADEGGGSGRGRRRRRRRRTRTMAAASVTANADEGGSGGGRGRGRQRLRAAAVVDGVVDADEGGGGDDGGGRGHGRRREHLSWRTQTRAASRQRRSWHMM >ORGLA01G0190300.1 pep chromosome:AGI1.1:1:17887390:17891440:1 gene:ORGLA01G0190300 transcript:ORGLA01G0190300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRMNKMLSTDYLGTQELHSFCKTTEILEDSQSQEIALDRTAVGSTLLSHQNVCSTSEVSGGNFGIAEVSFLQDEYDAETTGVLPPSFLSCGSRSMLPISVPSSSSSSLETVLLSDSTYSDLQVKETNHNTTAMDENNEFLQLILSSNDEGYNAGSEFQVWDVLDFYFSESFSAVQFDSLMGFTNDVSSSHHDCLNLVDMVERPVALLSLNDTEEQNNSTDEFPDDTSSYLQMKPSDSETESNYASRDVAVTEYVDEKPLSRGLPDLMDVDSPGRLSKSARSKQITLVLDLDETLVHSTLDHCDNVDFTLQVFFNMKNHTVYVRQRPHLKMFLEKVAQMFELVIFTASQRIYAEQLIDRLDPDGRLISHRIYRESCIFSEGCYTKDLTILGVDLAKVVIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVGVEDVRPIISKTFHHTLEQN >ORGLA01G0190200.1 pep chromosome:AGI1.1:1:17881634:17883127:1 gene:ORGLA01G0190200 transcript:ORGLA01G0190200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAAGDAVARSRTASQVAAGIAQGERQKYKLPPTPSHELSRSTEYSPGPENQTLLTLNWAPVDGEQWQAWLGGRGECGERPGGYGKARRCGGRPQGQNLGAEEMASGECENGLLLCYCLLRLSPIFRLLPVLLPPPR >ORGLA01G0190100.1 pep chromosome:AGI1.1:1:17878005:17878313:1 gene:ORGLA01G0190100 transcript:ORGLA01G0190100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFEEHGISSREDSDCSKANCSISNYVPSKDATGEHSAGKDNSSAESESNRVEVQQSSDSAINKMKV >ORGLA01G0190000.1 pep chromosome:AGI1.1:1:17872675:17874693:1 gene:ORGLA01G0190000 transcript:ORGLA01G0190000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVDGASPLHPWSLLLYALGALAALWWAWWALDRFWFRPRRLARALMSQGLRGTDYRFPSGDLKEFARLLGAALAAPMPPLSHDVASRAHPFELAAIKQHGNVCVTWFGPEARVIVSDPKLFREILANKNWRFGKQKSILWVQNLLADGLTSHQGEKWVAHRRIMNHAFHLEKLKRMLPAFAACSSELISRWQDSVGADGAQEIDVWPEFQNLTGDVISRSAFGSSFSEGRRIFQLQSEQARNVMKIAKALYFPGYRFLPTELNRRTKANAREVRELLKGIITKRESAMKDGHAVNVDLLGLLLETNIKESQEAGISKPTMTTNDIIEELKLLYFAGSDTTAVLLTWTMVLLSMHPEWQDRAREEVLRVFGKNSPDFEGINHLKVTELGGITYPPGVTFALPIACIHHDPVVWGEDVGEFKPERFAEGVSKASKDSPALVPFSWGPRICVGQNFALLEAKMALSMILQRFSFGLSPSYTHAPFPIPTLQPQHGAQIKLTKL >ORGLA01G0189900.1 pep chromosome:AGI1.1:1:17867025:17871004:1 gene:ORGLA01G0189900 transcript:ORGLA01G0189900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVVFAAGDERPLMLVWAAVAGAVLAWCAVRAMEWAWWRPRRLERALRAQGLRGTPYRSPAGDAPLNVQLSAEARARTMPLGCHDVVPRAMPLFHQAMKEHGKVSITWFGPVPRVTITKPELVREVLSNKFGHFEKLKFGRFQRLLHNGLGSHEGEKWAKHRRIINPAFHLEKLKRMLPAFAACCTELVDKWEGLAKGGDEPYEVDVWPEMQSLTGDVISRAAFGSSYLEGKRIFQLQGEQIELIVATMNKIHIPGYIHLPTKSNRRMKQIAAEIEGMLKRIIAKRESALKAGEASSDDDLLGLLLESNLDHSKGNGGAASSGISIDDVIGECKLFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVLHVFGSRAPDYDGLSRLRIVTMVLYEVLRLYTPLTALQRKTYKPMELGGVRYPAGVVLTLPLLCVHHDKDVWGADADEFRPERFAEGISKASREAPAFFPFGWGPRICIGQNFALLEAKMGLSMILQRFSFDLSPSYTHAPFPVGLLQPEHGAQVRLTRLN >ORGLA01G0189800.1 pep chromosome:AGI1.1:1:17842938:17847448:1 gene:ORGLA01G0189800 transcript:ORGLA01G0189800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDYKVPGIEIVSNVIIMTSSGLPVESRRLKVWAFVSDVRGHWASASPAQSWSRGWRCPLLPAAATSPAAHLAAAAAGGASLLRDSPAGAEREAPLAAAAAPAGRGVGRVGRRRGESTAMVFGELFSRASLPPPWSLLAYGLVGPVLLWQAGRLLDRLWWRPRRLERALRAQGLRGTAYRFLLGDLREFGRLNEEAWSSAPLPLGCHDIVPRVTPFVHRNVRDNGRPCCFSWFGPIPSVTITDPAQVRDVLSNKLGHFEKPKLPALTKLLADGLTSHDGEKWVKHRRIMNPAFHLEKLKIMLPAFSTCCEELVGKWMDSLGPDGSCELDVWPEMQSLTGDVISRTAFGSSYSEGRRIFQLQTEQAELFIGAIQKIVIPGYMYLPTKKNRRMRRINSEVESILRGIIGKRMQAIAEGESTNDDLLGLLLESNMRHADENGRSSPGMTTEDVIEECKLFYFAGMETTSVLLTWTMVVLSMHLEWQDRAREEVLGLFGRDKPEYEGLSRLKTVTMVLYEVLRLYPPAIVFSRKTYKEMEIGGVVYPRGVILELPVLFIHHDREIWGRDVHEFRPERFAEGISRASNDRGAFLPFGWGPRVCIGQNFALLEAKMALCMILQRFEFELAASYTHAPHTVMTLHPMHGAQMKLRMI >ORGLA01G0189700.1 pep chromosome:AGI1.1:1:17839772:17841746:1 gene:ORGLA01G0189700 transcript:ORGLA01G0189700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGAGLRCLASVPWSSLAYGLLGLVLLWQGGRLLHRLWWRPRRLELALRAQGLRGTRYRFLTGDLGEHGRLNREAWARPLPLRCHDIAPRVAPFLHSSVREHGKACFSWFGPIPKVTIANPDLAKDVLSNKFGHLEKHKFQGLTKLLSDGVASHEGEKWVKHRRILNPAFHLEKLKSLLFSVSEYWNVRDSAAHAAGVFYVLRRADQQVDGVPWFXRLVRGGCLAGDAEPDRRCHFSHRIRKQLPXREKDLPAAGRASXAPLEMRSEDYYSGLHVLTYXKQPEDASNKERDXFDSTGSNWEKNASYERRXKHQRXSTGPVLESNMRHTAEHGQSSQGLTIEEVTMILYEVLRLYPPAVTLTRQTYKQIEIGGVTYPAGVIIELPLLLIHSDPDIWGSDVHEFNPERFAEGISKASKDPGAFLPFSWGPRICIGQNFALLEAKMALCMILQPWSLSSSRHTLMHHKV >ORGLA01G0189600.1 pep chromosome:AGI1.1:1:17835284:17837202:1 gene:ORGLA01G0189600 transcript:ORGLA01G0189600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGAWLMSPASVPWSLLAYGVLGLVLLWQAGRLLHSLWWRPRRLELALRAQGLRGTRYRFLTGDLGEHGRLNREAWARPLPLRCHDIAPRVAPFLHNAVREHGSACFTWFGPTPKVTITDPDLAKDVLSNKFGHFEKPKFPTLTKLFSDSLANHEGEKWVKHRRILNPAFHLEKLKLMLPAFSACCEELVSKWMESLGSDGSYEVDVWPEMQILTGDVISRTAFGSSYLEGRRIFQLQAEQTERLLKCMQKIVIPGYMSLPTKNNRKMHQTKKETDSILRGLVDKRMQAMKEGECTKDDLLGLLLESNMRHTEEDGQSNHGLTIEEVIEECKLFYFAGMETTSVLLTWTILLLSMHPEWQDRAREEILGLFGKNKPEYEGLSRLKIVTMILYEVLRLYPPAVTFTRKTYKQMEIEGVTYPAGVIVELPVLLIHHDLNIWGSDAHEFKPDRFAEGISKASKNPGAFLPFGWGPRICIGQNFALLEAKMALCMILQCFKLELMPSYTHAPYSMVTLRPMHGAQIKLRAI >ORGLA01G0189500.1 pep chromosome:AGI1.1:1:17829894:17832334:1 gene:ORGLA01G0189500 transcript:ORGLA01G0189500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATGMEVGVSLEAGKPAAAPWGMLYYGVPALLVLGALYRAAERCWLGPRRVAGALQGQGLRGTAYRFPAGDLPENARRSKEARAKPMPPCHDIVPRVAPLLQDIVKEYGNVCITWFGTTPRVVIAEPELVKDILSNKFGHFEKFTLKSLGKLIALGLASYEGEKWARHRRILNPAFHLEKLKRMLPAFSMCCSEMIDRWDSKLAGSDGPFELDIWQEFQNLTGDVISRTAFGSSFMEGRRIFQLQEEQADRIIKTIQYIYIPGYLYFPTENNRRMKENSREIEGLLRGIIEKRSRAVENGELSGDDLLGLMLKSNMDSGEPSNLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVVLSMHPEWQHRAREEVLSAFGRDKPNFDGLSRLKTVTMILHEVLRLYPPAVTLSRRTFKEIQIGGITYPAGVGLELPIILIHHNTDVWGKDAHEFKPERFAEGISKATKTNQQAFFPFGWGPRICIGQNFAMLEAKMALCVILQNFEFQLSPSYTHAPYASVTLHPQHGAQIILTRL >ORGLA01G0189400.1 pep chromosome:AGI1.1:1:17825033:17826994:-1 gene:ORGLA01G0189400 transcript:ORGLA01G0189400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGLLGLALLWQVHRLLVRLWWQPRRLERALRAQGVRGTSYRFLTGDLKDYGRLSKEAWARPLPLRCHDIAPRVAPFVHRTIAEHGKACLSWFGPIPKVTIADAEIAKDVLSNKMGHFEKLKFPVLSKLLADGVANYEGEKWAKHRRILNPAFHLEKLKLMLPAFSACCEELVGRWAASLGSDGSNEIDVWPEMQSLTGDVISRTAFGSSYLEGRRIFQLQAEQQELFMGAIQKISIPGYMSLPSKNNRRMYQIKNEVESIIRDLVQKRMHAMKDGERTKDDLLGILLESSTRHADENGHSGPGMTIEEVMEECKVFYFAGMETTAILLTWTMVVLSMHPEWQHRAREEVLSLFQKNKLDYEGLSKLKTVTMILYEVLRLYPPGIGFVRQTYKEMEIGGVKYPAGVMIELPLLFIHHDPDIWGSDVNEFKPERFAEGISRASNDHGAFFPFGWGPRICMGQNFALLEAKMALCMILQRFEFELAPSYTHAPHIVLMLRPMHGAPIKLRAI >ORGLA01G0189300.1 pep chromosome:AGI1.1:1:17814916:17818280:-1 gene:ORGLA01G0189300 transcript:ORGLA01G0189300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMLGAASQWILAAAAAAAVAALLWLAVSTLEWAWWTPRRLERALRAQGIRGNRYRLFTGDVPENVRLNREARKKPLPLGCHDIIPRVLPMFSKAVEEHGKPSFTWFGPTPRVMISDPESIREVMSNKFGHYGKPKPTRLGKLLASGVVSYEGEKWAKHRRILNPAFHHEKIKRMLPVFSNCCTEMVTRWENSMSIEGMSEVDVWPEFQNLTGDVISKTAFGSSYEEGRRIFQLQAESAERIILAFRTIFIPGYWFLPTKNNRRLREIEREVSKLLRGIIGKRERAIKNGETSNGDLLGLLVESNMRESNGKAELGMTTDEIIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLHHFGRTTPDYDSLSRLKIVTMILYEVLRLYPPVVFLTRRTYKEMELGGIKYPAEVTLMLPILFIHHDPDIWGKDAGEFNPGRFADGISNATKYQTSFFPFGWGPRICIGQNFALLEAKMAICTILQRFSFELSPSYIHAPFTVITLHPQHGAQIKLKKI >ORGLA01G0189200.1 pep chromosome:AGI1.1:1:17809811:17812709:1 gene:ORGLA01G0189200 transcript:ORGLA01G0189200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREKEAMGIGIGIGTGTGTGAALPFGEASPWSLLGGAVAALLLVWAAQMLEWAWLAPRRMERALRAQGLRGTQYRFLHGDLTEDLRLVTAARSKPVPMDRPHDFIPRVAPLLHRALEEHGRVSFTWFGPMPRVTITDPDLVREVLSNKFGHFEKTKLATRLSKLLVGGLVILHGEKWVKHRRIMNPAFHAEKLKRMLPAFSASCSELIGRWENAVAASVGKAELDIWPDFQNLSGDVISRAAFGVRHHEGRQIFLLQAEQAERLVQSFRSNYIPGLSLLPTENNRRMKAIDREIKSILRGIIEKRQKATKNGEASKDDLLGLLLQSNMDYYSDEDGKSSKGMTVEEIIDECKLFYFAGMETTAVLLTWTMVALSMHPEWQDRAREEILQVFGRNKPDINGVSRLKVVTMVLHEVLRLYPPVVMMNRRTYKEIELGGVRYPAGVMLSLPVLFIHRDAAAWGHDAGEFDPGRFAEGVARACKDPGAGAFFPFSWGPRICIGQNFALLEAKVALGMILQRFAFELSPAYAHAPYTVLTLHPQHGVPVRLRRL >ORGLA01G0189100.1 pep chromosome:AGI1.1:1:17801652:17802389:-1 gene:ORGLA01G0189100 transcript:ORGLA01G0189100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDPTAFTGNPSFAYGHEADGYIANGPLGGQCNYRVPVSPAFGAPSGMTSPQLRTSLGGFEFQPSKVCPRNFIIFDQTDDKGRIMCHPALVSKLNPSATNAFPSYPEAICRSSGQDNGNLEEVSSSFKEDTREIDALLSSDEESDEDDVKSTGRTPDRFESDSLDSSSPPRSRKMHHSSSQSSVFHGSMDTVTHERMRNMVTVLRGIIPGGDQLDTASVIEEAVRYLKFLKMEAKKLGVEVSDN >ORGLA01G0189000.1 pep chromosome:AGI1.1:1:17798060:17798885:1 gene:ORGLA01G0189000 transcript:ORGLA01G0189000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGEVSEDTWGGEGRAAGGEAMEAAGSGQRRHLEVEARRAAPGSRPPCRAPRREPYGLHAARVMVSRDVHLSASSFVRLYLPPPASGDKRLPVVVYIHGGGSPSAARRRQGEQKGLWEFVCPDAADGADDPQMNPTAAGAPGLENLVCEKVMVCVAEGN >ORGLA01G0188900.1 pep chromosome:AGI1.1:1:17784435:17784752:-1 gene:ORGLA01G0188900 transcript:ORGLA01G0188900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAWLDFRVMWVLHKQWQSSYFFLATRWRRSCLPSPSRSLDAASHLPLVSDFRRSLDAASHLPLVSDFRLYSPPKFEVFVLCGVLPTRCSLFSLQAWECGNLT >ORGLA01G0188800.1 pep chromosome:AGI1.1:1:17772691:17774915:1 gene:ORGLA01G0188800 transcript:ORGLA01G0188800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGGGDRHGPYHQHGHLGRGEGADYVYSSSDMESFFFSQPGGVGIGGGGGGVVGAGGADEIMPYSSITDYLQGLLDPSGLARHLDVACPSSQDTAVKQELSVDVTSHDSQGTGGVTGEGVAQATPNSSASFSSSDGEAEGGKSSRRCKKGQAKAEEEDDKDEEDGENSKKPNKPKKKAEKRQRQPRVAFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTYEGQHTHHSPASLRGGGGGVGIVGGHHHHHLFMPGVHGLPPSHLMPAGFHPELMGLMHHHPAMAAAAAAANPSMYFPGVAASAPPPPAVAGGGAMPPNDHPPLQQHHFTDYALLQDLFPSTMPSSNP >ORGLA01G0188700.1 pep chromosome:AGI1.1:1:17767525:17767767:-1 gene:ORGLA01G0188700 transcript:ORGLA01G0188700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSLKPKPKVKGARKGSPAADEEQSTAAAAVRFVKEWTTWTMKKTKVAAHYGFIPLIIVVGMRSEPRPSLAQLLSPV >ORGLA01G0188600.1 pep chromosome:AGI1.1:1:17757946:17766000:1 gene:ORGLA01G0188600 transcript:ORGLA01G0188600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adaptin family protein [Source:Projected from Arabidopsis thaliana (AT5G11490) TAIR;Acc:AT5G11490] MAPTAPTAAKSASPSQPSGKSEVADLKQQLRQLAGSRAPDADDQRRDVFKRVISCMTAGIDVSAAFGEMVLCSATSDVVLKKMCYLYVGVHARNHPDLALLTINFLQRDCHDQDPTIRGLALRSLCSLRVPNLVEYLVSPLATGLKDPSAYVRMIAAVGAAKLYHISATACLDADLPAALKALMLSDPDAQIIVLTIPFNYHRKVYDICSADLKLELVFGLLVCWPTAGSLFKSVIGGQVVANCMHALQEIWTLEAAKSEEAAREIETLYSKPVVFYLLNRIKEFSEWAQCLVLELVSNFLPSDNNEIFDIMNLLEDRLQHANGAVVLATIKVFLHLTMSMTDVHQQVYERIKAPLLTLVGAGSPEQSYSVLCHLHLLVMRAPMLFSSDYKSFYCQFSDPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKEYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKGKAALIWMLGEYSQDMHDAPYILESLVENWEEEHSPEVRLHLLTAVMKCFFKRPPETQMALGATLTAGLSDTHQDVHDRALFYYRLLQYDPAVAERVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVVYQKPSYMFTDKEHRGPFEYSEDLTNLAVGAEMTENTISAQRYQESDNDLLLSTSDKEDNATATSNGSSASTYNAPSDLSGPSLLSSQIPSETSLINPGAPTYSSQSNFSLDDLLGLGVPQASAPPPAPALTLNSKPVLDPGTFQKKWGQLALSLSQECSLSPQGAASLMNPQSLIRHMQNNYIQCIASGGQPPNYKFFFYAQKDGATAFFLVECIVNTASAKAQIKVKADDGTAAEAFSTLFQSALSKFGLS >ORGLA01G0188500.1 pep chromosome:AGI1.1:1:17753166:17754328:-1 gene:ORGLA01G0188500 transcript:ORGLA01G0188500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTCLLPVCVISLCHTADKLADRTGDQYGSLSLTMPPLFIQELGPGSYKSRVYGRALSGGDVDFRTRVLLAFINCARGRTAGAAHHEKFSNPRCRAPMGLQAGVPPCSDCQSSAAVACYSATARRRSTPTYARAGICRSRREEKGRKLRQHPKAPNRGHYS >ORGLA01G0188400.1 pep chromosome:AGI1.1:1:17744575:17745675:-1 gene:ORGLA01G0188400 transcript:ORGLA01G0188400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPFVDKSPHRRLDGLGAGGWLKQRLAQILVRSTCTTNTTTTTTTTSSTAFVSLDKTNADTHQEPPPPPSPYFCTPCTYQRPKLDAPGRPRRRRRSASLVHISIDCTGGAGATSGRRSVHSDAPLLPYSSSLPTKDGRKQSRARRKARSSPSTSRRHCPSSSWGRARLPRGAPGQYSSSSSTVTDDELAPFSTDEEGGEEADTRTLFSSLSFSSDSTSEFYHTNSSSSLARKGHKNAPARRPPARRASARITSDPADAFRPVVSVAATKKQHNDMKKKEETAIKRQLGTDEDTAAGADMAVVKRSSNPYADFRSSMVEMVVERRICSVPEMEELLGSYLSLNSPQHHPAILAAFEDVWEAVFGEE >ORGLA01G0188300.1 pep chromosome:AGI1.1:1:17739075:17740490:-1 gene:ORGLA01G0188300 transcript:ORGLA01G0188300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAVLRLGDEGGSRAPCMVKEVEEKLGGIYDGKFEQRLIQVIVPNILXYVCTACLPYGRSSWCSSPRRPVGGRWEELAAWVSDNHHAICKGGGGGRGGKGHDSKAMSTPIFFIPDAPFHAQLEFNPGFLSFMRFRGLLWRFLLVLDPQVQQIFPVVGTLLPPQR >ORGLA01G0188200.1 pep chromosome:AGI1.1:1:17730133:17731225:1 gene:ORGLA01G0188200 transcript:ORGLA01G0188200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHTELALGLIGCCGGDGQQQTAPFVAKTYQMVCDPRTDALVRWGRDNNSFVVVDPAAFSQLLLPCFFKHGNFSSFVRQLNTYVSIIQSPAPGFRKVHPDRWEFAHESFLRGQTHLLPRIVRRKKRGEGGGGGGGASCSFGGGAGEHQVAAAAASVGMSGEEEDAAEDVLAKEAALFEEVQRLRHEQTAIGEELARMSQRLQATERRPDQLMSFLAKLADDPNAVTGHLLEQAAERKRRRQHLPSHEPTVCPLPPAPPPQPPQPLLALAGAAAMDGTYWWTTEHHHHHQMKPMTVLPSLEPPTASCGVHQVPELGGGGVMGLTTDGEAKVEPPFPFCLLGQAFF >ORGLA01G0188100.1 pep chromosome:AGI1.1:1:17721553:17725363:1 gene:ORGLA01G0188100 transcript:ORGLA01G0188100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G16060) TAIR;Acc:AT3G16060] MNGGGRRRYSSEQLMFDVPANAGGGAGKWGQRGGVRRGDGEIFVSVEPTTPARLRGGEAAAAAAGESPGQRQQLSPGLLDLHAFDTELISDFQVPGIGMYDGAQKFGYGNGGFDDSDPTFAPNKQMSKSTVFAESNFLKAFPEKEKAAPVAKIKVVVRKRPLNKKEISKKEEDIIDIEQQSNSLTVHETKLKVDLTEYVEKHEFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHTYRNQGYQLFVSFFEIYGGKLFDLLNERSKLCMREDGKQKVCIVGLQEYRVSDVETIKELIEKGNATRSTGTTGANEESSRSHAILQLAIKKRVDGNDSKPPRLAGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQTHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGSNTKKDLSLAAAPLRESSPSLLASAVPSFSSAEVMNDITERSNFGWTKQQYVKEHQAPTFVDRMQKVKEDTEFSLSNGGYFKEQRTKGSVPVGIAEVPDTVYQQGRQPTRKARDITSDNNMRNSIAYPIIRRVVPDEDEHLNELLQEEEDLVSAHRKQVEETLDMIKEEMNLLVEADQPGNQLDDYITRLSGILSQKAAGIVDLQARLAQFQRRLNENNVLLYAQCP >ORGLA01G0188000.1 pep chromosome:AGI1.1:1:17707796:17709391:-1 gene:ORGLA01G0188000 transcript:ORGLA01G0188000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLLLRAVVVVAMEHFNDWDLQAVVRSCSFPQSEPPRVGVGVPAAPGAGGAPVVVAPPARAPDGPDQMARASASALYDLEYLDLDHKPFLLPGSSSSSSSSRAVARARGEDDGKGRHEVMISFPAAAAASTSGAQPRSPSGRKPGIRTPRPKRRSSKKSQLKKVVYEVPVADGGVSSDLWAWRKYGQKPIKGSPYPRGYYKCSSMKGCMARKMVERSPAKPGMLVVTYMAEHCHPVPTQLNALAGTTRHKSAPTGDDDKPTSPGPAAGRAAAGEGVVKCEDVDGNELSAMAADGGAEDTAAAADDDGELWPEGMGLELDEFLGPMDDDVFEFDHVLEDDGVLGRRLSL >ORGLA01G0187900.1 pep chromosome:AGI1.1:1:17699818:17704664:1 gene:ORGLA01G0187900 transcript:ORGLA01G0187900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASDLESKAKAAFVDDDFELAAELYTQAIEASPATAELYADRAQAHIKLGNYTEAVADANKAIELDPSMHKAYLRKGAACIRLEEYQTAKAALELGYSFASGDSRFTRLMKECDERIAEELSEVPVKKAEDGAAAPSVASFVEEKDDAANMDNTPPMVEVKPKYRHDFYNSATEVVLTIFAKGVPAENVVVDFGEQMLSVSIEVPGEEPYHFQPRLFSKIIPEKSRYQVLSTKVEIRLAKAEQITWTSLDYDKKPKAVPQKIIPPAESAQRPSYPSSKSKKDWDKLEAEVKKEEKEEKLEGDAALNKFFRDIYSDADEDMRRAMMKSFVESNGTVLSTNWKDVGSKKVEGSPPDGMELKKWEY >ORGLA01G0187800.1 pep chromosome:AGI1.1:1:17694402:17695068:1 gene:ORGLA01G0187800 transcript:ORGLA01G0187800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEERGDRQERDDGEGGEEERRERGGVRGLVDRAKGFVAEKIAKIPKPDATLDRVSFKGVSRECITLHSHLDVNNPYSHRIPICELTYTFKSGDKVIASGTMPDPGWIAASGTTNLELPVKVPYDFIVSLIKDVSGDWDIDYVLEVGITIDLPVVGSFTIPLATEGEVKLPTFRDLLF >ORGLA01G0187700.1 pep chromosome:AGI1.1:1:17675971:17683913:-1 gene:ORGLA01G0187700 transcript:ORGLA01G0187700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSWLCYRARGFGSSRVWLWLLLALVLLNCSLVLSASPYLVGMGSFDITGPAADVNMMGYANTEQIASGIHFRLKSRAFIVAEPNGKRVVFVNLDACMASQIVTIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVHQSFDVIVDGIEQSIVEAHNNLRPGKIFVNKGDLLDAGVNRSPSAYLNNPAEERSKYEYNVDKEMTLIKFVDDELGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQMGLPKQSAHANSDDLRSLHKTSVLPRRVSTIIPEPNEITDDLIQLASSYEASGGRRLAGSSITRRIRSTQQNKPKFVSAFCQSNCGDVSPNVLGTFCIDTNLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRVIGNRQFLKARDLFDSASEEIQGKIDYRHTYLDFSKLEVKVSTSAGGQQTVKTCPAAMGFAFAAGTTDGPGAFDFRQGDVKGNPFWKLVRNLLKTPGKDQVECHSPKPILLDTGEMKEPYDWAPAILPVQMIRIGQLVILCVPGEFTTMAGRRLRDAVKTVLTSGNSEFDKNIHVVLAGLTNSYSQYITTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLAMAMIANKEVPTNFQPPDMLDKQIGLLPGVVFDSTPLGVKFGDVSSDVPGNSTFNKGSTVNATFYSACPRNDLLTDGTFALVEKLDGNNNWVPVYDDDDWSLRFKWSRPARLSSRSFATLEWTVPEDAAAGVYRLRHFGASKPMFGSVRHFTGTSRAFAVR >ORGLA01G0187600.1 pep chromosome:AGI1.1:1:17667644:17668465:1 gene:ORGLA01G0187600 transcript:ORGLA01G0187600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLRLLAARRFRRRAVSTITATAPATPCGGGGWYGEDEGPFFDLDLSCCSASAPASSAESGSESEDYSSCAGESDFVISLQRSRSASPSYEERVFYVGGGSGWARAAAAAAPAHLKFCASEPSDAASRYGSGRRGKLRTLSFGSAKAAFYGGRASFSRSSNSARSARLFSAFGYGSPDQGDEANRRTPSRDVIRRYLSKISRQLRRVAPSAGADLRLRKSRSASAAQTAACQSPPPRRDDSLLEQQDGIASAIAHCKESLHRGTRPAPHCHL >ORGLA01G0187500.1 pep chromosome:AGI1.1:1:17662930:17665604:1 gene:ORGLA01G0187500 transcript:ORGLA01G0187500.1 gene_biotype:protein_coding transcript_biotype:protein_coding DASEDSPSASAPMAXGPRRTPWRRWWHHGRGSMGAASAAARXGCXXSPSRRPAALAGEGEEEACEPVATESQPHVGKNTTPHPADDDTSDGPXNTRLGRSQGGWPTRARTAWRPLPSASAPVAWGPWWTPWRRRRHCGRPPKRLLWKGPSMAETHGGGRRITRGIDGKGEGRHQKSRRRRVDNPAGPCDRVIDKLREGEVVVWRRGWLVGGETVVARVAEVGVGAAPGGSVRRSQDDLDSSKQNFRMQLPGIKHTFYSREMIHQNSDVVRAVGAAVPAVLGFKKQKFMKLCSNFCGSLADAFSELSWTDGQTLVRDLQERKGKT >ORGLA01G0187400.1 pep chromosome:AGI1.1:1:17658487:17660411:-1 gene:ORGLA01G0187400 transcript:ORGLA01G0187400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSDPISDNLVVFSRMARCSVSRVVLLLLCCMHLSAAAAGAAVYNVESYDARPDGRTDASRALASAWSAACRSPEPATVYVPDGEFFVSHSAFAGPCSGGRMTVQIDGTLVAPSGYTGSASSGGEWIVFDHVDGLTVSGGTLDGRGESLWACKAAGHGGCPDGATSMKVLNSRDVVISGVKSVNSELYHVVIDGCEGVAVQDARIVAPGSSPNTDGIHVQSSSAVTITGASIQTGDDCISVGPGTSNLRVEHVSCGPGHGISIGSLGKESEEGGVENVTVSGAAFVGTENGLRIKTWGRAARSGAYVRGVVFEHALMRDVSNPIIIDQSYCPNDGGQGCPHQSSDVQISGVTYTDIQGSSASQVAVKFDCSASKPCSGLGLQDIKLTFDGGKPAEATCQHADGTASGVLMPPSCL >ORGLA01G0187300.1 pep chromosome:AGI1.1:1:17653110:17657688:1 gene:ORGLA01G0187300 transcript:ORGLA01G0187300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSIIASAVGVGVGVGVGIGLVSSRLTGLATGGGGGGATAAEVEAELRCLVVDGRDVGVSFDDFPYYLSEQSKLALTSTAFVHLSPTILPNHIRVLSASSRTILLCGPSEAYLQSLAKALANQFSARLMLLDVIDFACKLHHKYGGPSNTQTRERSMTEAAFDRVSSLVGAFNLFRKKEEPTGTGPLSRETGILDLRTSTCCPHNTPSVRVQLSLVPPEKDHDPESSKYLASVKPCWSLNEKVLIQSLYKIIVSASEISPVILYIRDVDDLLGSSEKAYCMFQKMLKKLSGRVIVIGSQFLDDDEDREDIEESVCALFPCILETKPPKDKALLEKWKTQMEEDSNNNNNQVVQNYIAEVLAENNLECEDLSSINADDDCKIIVAYLEEIITPAVSYHLMNDKNPKYRNGNLVISSESLSHGLRIFQESNDLGKDTVEAKDETEMVVPDNEYEKKIRPTVIPANEIGVTFDDIGALADIKECLHELVMLPLQRPDFFKGGLLKPCKGVLLFGPPGTGKTMLAKALANAAGASFLNISMASMTSKWYGESEKCIQALFSLAAKLAPAIIFIDEVDSMLGKRDNHSENEASRRVKNEFMAHWDGLLSKSNERILVLAATNRPFDLDDAVIRRFEHRIMVGLPTLESRELILKTLLSKETVENIDFKELAKMTEGYTSSDLKNICVTAAYHPVRELLQKEKNKVKKETAPETKQEPKEKTKIQENGTKSSDSKTEKDKLDNKEGKKDKPADKKDKSDKGDAGETTLRPLNMEDLRKAKDEVAASFASEGVVMNQIKEWNELYGKGGSRKREQLTYFLYVVKNSLLVYTFVVFVVGIWPPDDWMY >ORGLA01G0187200.1 pep chromosome:AGI1.1:1:17621615:17623961:-1 gene:ORGLA01G0187200 transcript:ORGLA01G0187200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNACREVERNPGFVNGMEFGNDVRIRMDGGVHGESEQSSGEAALPRLLIEVPSQVIDGFDCVGGGGDATATATLSEQSKELEMLGEEKDVVISIPAPVYAPRSVSVSAAYEHEGAQIPYSVSLSMPASPSGFHFSQFGMAAAKAKAVHRDEARVAPAETRFDDAHPPAVGRVQAHSPRLLLNQTRFHSQPILHLSKNDETTRRCDSTRDKRFDQFKTFSGRLERQLSTLRGRPAQEHMTNGEGAPEPNIAEEETEQVPGADRYFDALEGPELETLRATETTVLPKDEKWPFLLRFPISAFGMCLGVSSQAMLWKTLASAPPTSFLHVSPVVNHVLWWISLALMGFVSFIYLLKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGVPRPVTEVHHGVWYALMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPIFFFAVGLAHYMVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKILGEFDYGARIAYFIALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGAAIATITYATEVTNVLTRALSIGLSGIATVTVAGLLVTTMFHAFVLKDLFPNDVSIAITRKKPKFSKILAHFRSSSSDMKEYVLSISKPPSSDSDSSVSSKATTVTDPSVTRVKAEP >ORGLA01G0187100.1 pep chromosome:AGI1.1:1:17620012:17620636:1 gene:ORGLA01G0187100 transcript:ORGLA01G0187100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAVLDLELPDPVSAAAPLLNPRRGAAGVGSGADGDARQEVATARRGQEGVDGRCGAACPCWWRREGDAGGVTVTPWMAMHEARSDTWPCLAGVCVRRKPAWVAWVLAVAVVTDDGITGESLARPWAGMTTTPLGVVPLLGGVVLALTSPSIKNLSRTMVAIGGLLQCLQSPTSLAV >ORGLA01G0187000.1 pep chromosome:AGI1.1:1:17615687:17617171:-1 gene:ORGLA01G0187000 transcript:ORGLA01G0187000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT1G80080) TAIR;Acc:AT1G80080] MVASALSATAAAAVVVAVVAVLVAVCRGEFTVVVPDSSSSAALVNAPQTGLSDRARTDPAEQRAVQEVMAATGNGWASGIADVCRGRWHGIECVPDRGEVYHVVSLSFGALSDDTAFPACDAARATLSPAVLALPHLRSLFFYRCFTANPQPVPAFLGRLGPAFRSLVLRENGHVGAIPPELGNLTALRVLDLHGNNLTSAIPATVQSLAHLQLLDLSYNQLAGEVPPFKFQHLSILDLSHNALQGGVPASLGQCRSLLKFDLSQNRFAGTIPDALGDLSDLILLDLSHNALSGPIPAALGRLSSLRSLILGDNRMQFTTVPGDIFAGLRALTTLVLSGMGLEGSLPESIGELGHLRVLRLDNNEFTGVIPASFRRLERASELRVDGNRLVGPIPFGKEMMWRLGKKLRVGGNEGLCYDAKQQGLEGVVALAGVADCDSVRSRTTQHLGGRLRNTGGLPAAAAAAPSVGVGAGVCVGSWHVFVGVLVSLHLARL >ORGLA01G0186900.1 pep chromosome:AGI1.1:1:17610265:17610654:-1 gene:ORGLA01G0186900 transcript:ORGLA01G0186900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGRRSEAPSPSSLPPPCTPPPCRPRRLPPLLSARGIRRRQPGPPQRSSPCVASSSSSYPRRCRLLANALVGSPRSRVVASTAVASSSPHRPPPYPPPSRPPPPPPREASTPPGRPSPLPLFPLSL >ORGLA01G0186800.1 pep chromosome:AGI1.1:1:17603390:17605722:-1 gene:ORGLA01G0186800 transcript:ORGLA01G0186800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQAWAAGLGGLAVAGVGEEGGGGGPAPTTVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLYEQQASEVSTLELKVACLNQQVLTCQTYTDKEGIRQQQMTGTATRHHKHYIVPTLANKRMQAFSEMQTDADIDSRPRPYPSAKTLFWHLASEKNSKTNGARQSEFVLEETKATKPASRGKEPSTSPLPKHLQTNLASSDFAMHNVGMKDQPGVRHLSSFSSFDNPRGRQIQKAPLRTKSMLAAFFVKHKSGKMKNVSVR >ORGLA01G0186700.1 pep chromosome:AGI1.1:1:17598564:17602423:1 gene:ORGLA01G0186700 transcript:ORGLA01G0186700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRTSRHHRAAPEQPPPQPKPKPQPQQQQQQQWPRPQQPTPPPAAAPDAAMGRVLGRPMEDVRATYTFGRELGRGQFGVTYLVTHKATGKRFACKSIATRKLAHRDDIEDVRREVQIMHHLTGHRNIVELRGAYEDRHSVNLIMELCEGGELFDRIIARGHYSERAAAALCREIVAVVHSCHSMGVFHRDLKPENFLFLSKSEDSPLKATDFGLSVFFKPGEHFKDLVGSAYYVAPEVLKRNYGAEADIWSAGVILYILLSGVPPFWAESEDGIFDAVLRGHIDFSSEPWPSISNGAKDLVKKMLRQDPKERLTSAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSDEEITGLKEMFRSLDTDNSGTITLEELRSGLPKLGTKISESEIRQLMEAADVDGNGTIDYAEFISATMHMNRLEKEDHILKAFEYFDKDHSGYITVDELEEALKKYDMGDDKTIKEIIAEVDTDHDGRINYQEFVAMMRNNNPEIAPNRRRMF >ORGLA01G0186600.1 pep chromosome:AGI1.1:1:17592352:17593450:-1 gene:ORGLA01G0186600 transcript:ORGLA01G0186600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSPPLDYGRRHQMKQRGEDGGCERKARGGPPPWRTPCRRHLLTSSRRPLPGPISLHHHGGCHPLQLHHRRGLLPPPPELAKSDCCNAAVTRSEGRMGLDCRDSRRCDESNGWELHGADAREGTDSARLPALAGDVDAFAYEHAAPNRSQLANSQ >ORGLA01G0186500.1 pep chromosome:AGI1.1:1:17587331:17591141:-1 gene:ORGLA01G0186500 transcript:ORGLA01G0186500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase [Source:UniProtKB/TrEMBL;Acc:I1NPS5] MISATATAAFLAAAPASSSSCTTHRRRSRLPAISASLATASSTAEEPLLVRAARGEDGLPRPPAWMMRQAGRYMEEYQALAKRHPSFRERSETTDLIVEITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPVIQSPVRSEEQVRELTPIDFEKLRFVGESLKILRSEIDGQAALLGFVGAPWTIATYVVEGGMTNTYTNIKSMCHTAPNVLRGLLSHLADAISEYIIYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIKQIVNKIKIECPNVPLVLYINGNGGLLERMTDTGVDVIGLDWTVDMADGRRRLGNKISVQGNVDPAFLFSPLPVLTDEIHRVVKSAGPKGHILNLGHGVLVKTPEEAVAHFFDVTRSLRYDTLFQGCVTEVLEPVA >ORGLA01G0186400.1 pep chromosome:AGI1.1:1:17576942:17581562:-1 gene:ORGLA01G0186400 transcript:ORGLA01G0186400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyl transferase family 1 protein [Source:Projected from Arabidopsis thaliana (AT4G01210) TAIR;Acc:AT4G01210] MGLSPSDFVISIVGSQFSYGGLLMEEALVLQAVGSLLQQYPSENSTQLELKVRILAENVTEKHRMALEAVSLNVGFPRGAVEHVASEDKDNLLGISDLVIYGSCLNEQSFPSVLVQAMCLEKLVIAPDLEIIRKYIDDGMNALLFPSKNIGKLTQVLLQAVSNGKISVLGQKIASAGKVHAKNLMASETIEGYAVLLENVIKFPAEVLTPLTAGEIPVALKQEWKWHLFEDVKHLYHMNGTLAGYNVLQKLEEKWRSNQMEDHHSNASKIDDTFSLMAWEEERADEIANIKKRLEEEELKERSEQPHGTWEEVYRNVKRVERMKNDLHERDDKELERTGQPLSIYEPFFGEGTWPFLHRSSLYRGIGLSSKGRRPGADDIDASSRLPLLNNGYYRDILGEFGAFFALANRIDRIHKNSWIGFQSWRVTARKANLSKKAETALLEAIQTQKHGDAFYFWVRMDQDERNLASQDFWSFCDAINAGNCRSAVLKAFQRMYGVQLDDDLHTLPLMPNDGDTWSVMQSWVLPTRSFLEFVMFSRMFVDALDAQMYDKHHETGYCILSLHRDQHCYSRVLELIVNVWAFHSSRRMVYVDPETGAMQEQHLLNGRRGQMSIQWFSLATLKSMDEDLAEEFDEDHPDRRWLWPKTGEVFWQGVYERERNMRQQEKERRKQQSKDKIQRIKKRARQKTLGRYIKPPPEDAGSLNDTRTVDR >ORGLA01G0186300.1 pep chromosome:AGI1.1:1:17574174:17576111:1 gene:ORGLA01G0186300 transcript:ORGLA01G0186300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASCGLGFGDSINSLDKSRHWSTVQHTSGLKATTIDYSTYSSFSIASSKTLKRKRSAMAGPEGTGNPLLTLVLGHSPSSSDNSKVSSATAYAMSPSSLKEADEESSADLGLNFELCLGNDMVHCQKKSPVGAENSPLTNSHKLDLQLSLSTGSPESAVTNTNMVSPIIHGGLEIPVTNCSPAIIGEGSVPCTWVFEKSVISSSYASEATYTFPFSKVPKTGDAAMSSPVISSTLVTSMKSPVACTSGSINPQQRNSITKNCQFPGCVKGARGASGHCIAHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCQFLGCTKSAEGRTDHCIAHGGGRRCSHDGCSRAARGKSGLCIRHGGGKRCQKENCIRSAEGHSGFCISHGGGRRCQFPECTKGAQGSTKFCKAHGGGKRCTFSGCNKGAEGSTLFCKGHGGGKRCLFQGGGVCPKSVHGGTQYCVAHGGGKRCAISGCTKSARGRTEYCVRHGGGKRCKFEGCAKSAQGSTDFCKAHGGGKRCSWGQVDSNFGVGAPQCDKFARSKTGLCSAHCALVQDHCVRGGTLGAATFQFATDAKFDEMEVTPVKGDPHAQASSDDQSHLGGAHPPAVPAITSDRFSEGRVHGGGLLALLSRGGNHANAGNSKNGPSTM >ORGLA01G0186200.1 pep chromosome:AGI1.1:1:17556467:17558744:1 gene:ORGLA01G0186200 transcript:ORGLA01G0186200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain-related [Source:Projected from Arabidopsis thaliana (AT5G53310) TAIR;Acc:AT5G53310] MDRFRPLRRIQVDPEPAAXXXXXXXXXXXAGEDVSAAPAAGLLMASRVRRRSAVYRDCKGDYIGIPNDPCLTKILSKQGDNKVLFADKVLKFTQSGKMKRRILVITDFALYLVDPDADILKRRIALAAVDKLCISKLSDNFFAIIVPTEYDCLMASTRKKEIVDIIIKAIKSNSEYQPQVASSNRFEYHAAAEVIKEVEFEEAEGGVKTRITHKAKAKS >ORGLA01G0186100.1 pep chromosome:AGI1.1:1:17548919:17551417:-1 gene:ORGLA01G0186100 transcript:ORGLA01G0186100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQLGEAVGSINALMAFEADLRINPRQCRLLADACARALAAVTGQVRAQLRFDERGAKWRAIEAPLRELHRAFRDAETYVRQCLDPRGSWWARAAAMAHGTECVEQHLHNVLWCVAVALEAIDAAGEIAGSDPDELARGRLVLARKYDRDMLDPKLFEHAFGKLYLVSQELVARMDMAWKEDRWVMSQMFDEMKGPAASKPLSKNEHRLAELLAAAMGKLHPASVLLGSDYSVRRRLGGRLKEVHWMGESFAMKHFIGDTDAAGAEVALLCSVAHPNVAHAAYCFHDEEKKEYFVVMDQLMAKDLGSYVKEVSCPRRRIPFPLVVAVDIMLQIARGMEYLHAKRINHGELNPSNVLVKPRQPDGGYVHVKVAGYGQPAGITAGGAKASANGNANGNDNSCIWYAPEVLRSDGVADAAAAGRCTEKADVYSFAMICFELLTGKVPFEDNHLQGDKTSKNICAGERPLFPFQAPKYLTALTKRCWHADPAQRPAFASICRVLRYVKRFLILNPEQQQQQQGQTDDAPKPAVDYLDIEAQLLKKLPAWQRGGEAPRVADVPFQMFAYRVMEREKAAGAVHVAKDRASDSGSDGNSLYGDENGFGAMSPEHTFSAVSNGTLRSRPASSDGRLPTAKKADGKAPRQAGPQPKVKPVNTAARTPQSARRALGVKPDDHLQTNGAPTARRRTPEMASE >ORGLA01G0186000.1 pep chromosome:AGI1.1:1:17536828:17537127:1 gene:ORGLA01G0186000 transcript:ORGLA01G0186000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNEVRSAGSAGGWEAKRGLPSDQLAAARLRHRGVAAPPARHLGFRGAARGFRHGREEEEEEEEGNGTRSGSVRVVTETTREPTERALYIPTSPRGTCL >ORGLA01G0185900.1 pep chromosome:AGI1.1:1:17532333:17536681:-1 gene:ORGLA01G0185900 transcript:ORGLA01G0185900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLKEMKYRRRIGLDERAQCSEQRALDWHALKQDPVELLRKLDELREQITRTCQIVEPPREHRRAGRRALSLLPENPEPPPMPGYHRSRYGGGRYGHGLPPSPYEPLRPEIGERYSRQSSGRYRQYQGRQWDGCGVGHGNYNPSYTCSCPHCLHGQRTAPQEEHIPMARYFAGQHECYRFERSPSVSSDYDRRSVASSLYSHRSVSKKRAEYFRKKAEHLCRPVYGAAPFVVCSSCYQLLQVPMEKCMGRNRLQCGSCSQIVSLKREEKVIPFSPSASFCVPKIEQGSNDQTRRDFEHQLNEFANSAFYNLNEHSSMQINIDFGDDHSVSSSISHDRTEKGCGSSRSIQLKTDGLLLSPSRSGDIESPKDILCERDAECQVEPSDARVSPCSPVLEDKLVDPLCSQEKDNNSEDLGMANISDVNCKGEHKVNDDDDGSLSMGSEQKRKECDEDSLVDESMCKTHEQKSKDDHSSPEDVSKTHEFDSTKDNISSAVDGNEKHEFESKKDDTNSLEGESLNKEHEQKSKEDENSGLEGENVKKGFDKNNKENENSALEDANAPLEDTRNASDAASLSEISEEKKTEEENGSLDQPFVEDGNAFAESGGSSFNERTNSGFSRGSSETALEEDQPSTGKSGDSSFFAGFLKKGFKDLSLFNQSMDSVKVSINGHPISERALKKAEKKAGPVEPGSYWYDYRAGFWGVMGRECIGIIPPFIREFNYPMASNCASGDSGVFVNGRELHQRDLDLLVGRGLPRISGKSYSVEISGNITDEETGKKLRSLGKLAPTIEKLKRGFGMHVPEEFR >ORGLA01G0185800.1 pep chromosome:AGI1.1:1:17523100:17527390:-1 gene:ORGLA01G0185800 transcript:ORGLA01G0185800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAFDAEAGAAAKPPAADAGAAFVLESKGRSHHSTPRPPSARNARADSAVICGWVASRGDLAGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWALGLTVLTAVGAVTFYEYSLMSRVLEHCEARGRRHIRFRELAADVLGITINSPDPFLSTAPHHHTHMTFPCIAPWSGWMFYFVVIVQTAINTGVSIGTILLAADCLEIMYTSLSPNGPLKLYHFIIIVAVALAFLSQLPSFHSLRHINFASLLLSLGYTILVSAACIGAGLSKDAPVKDYTLSSSKSEQTFNAFLSISILASVYGNGILPEIQATLAPPAAGKMMKALVLCYSVIAFAFYIPSITGYWAFGSHVQSNVLKSLMPDTGPALAPTWLLGLAVLFVLLQLLAIGLVYSQVAYEIMEKSSADATRGKFSRRNVVPRLLLRTLYLAFCAFMAAMLPFFGDIVGVVGAVGFIPLDFVLPVVMYNIALAPPRRSPMFLANTAIMVVFSGVGAIGAFASIRKLVLDAGQFKLFSNNVVD >ORGLA01G0185700.1 pep chromosome:AGI1.1:1:17516093:17516593:1 gene:ORGLA01G0185700 transcript:ORGLA01G0185700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALFMPSKLSLTLSMVVVAVVAPPLPSSSSSPSPTAASPQPPLRLRQHSPSPPLPSSRSRCSSSPPAYAEGSKPAGDVTSGGGTLLPRHAQPGEPVREAVTVEMAPETVVQAALSRKQSANSSPHSGSAQESVSPSILSKASPGREGEGRKEADVYRDREERGV >ORGLA01G0185600.1 pep chromosome:AGI1.1:1:17513530:17515053:1 gene:ORGLA01G0185600 transcript:ORGLA01G0185600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NPR6] MAIESDPARNERRGQHVVLLASPGAGHLLPVAELARRIVEHDGFTATIVTHTNFSSAEHSSTFSSLPPSISIAALPEVSVDDLPADARVETRILTVVRRALPHLRDLLRSLLDSPAGVAVFLSDLLSPRALAVAAELGIPRYVFCTSNLMCLTSFLHNPVLDRTTTCEFRDLPGPVLLPGCVPLHGSDLVDPVQDRANPVYRLVIEMGLDYLRADGFLVNTFDAMEHDTAVAFKELSDKGVYPPAYAVGPFVRSPSGKAANDACIRWLDDQPDGSVLYVCLGSGGTLSTEQTAEVAAGLEASGQRFLWVVRYPSDKDKTASYFSVSGDGDGEDSPTNYLPEGFLERTKGTGLAVPMWAPQVEILNHRAVGGFVSHCGWNSTLEAVAAGVPMVAWPLYAEQRMNAVMLSSSRAGLALRPSNAREDGVVTRDEVAAVAKELITGEKGAAARRKARELREAAAKATRAPGGPSRQAFEAVVGGAWKKAAAAARGGRAGEPDDNGTAVTAQ >ORGLA01G0185500.1 pep chromosome:AGI1.1:1:17488111:17488371:1 gene:ORGLA01G0185500 transcript:ORGLA01G0185500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRFSSSDSSDSAASVLFTFMAEHWKCSFHVTVSWIKYCKCFSSTRGGRTLLVYIAFKIIVTFRIFSYNLNHANNLITLAESGVD >ORGLA01G0185400.1 pep chromosome:AGI1.1:1:17485792:17487231:-1 gene:ORGLA01G0185400 transcript:ORGLA01G0185400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NPR4] MAPAHAVTPHVVLLPSPGAGHVAPAAQLAARLATHHGCTATIVTYTNLSTARNSALASLPTGVTATALPEVSLDDLPADARIETRIFAVVRRTLPHLRELLLSCLGSSSPAGVTTLLTDMLCPAALAVAAELGIPRYVFFTSNLLCLTTLLYTPELARTTTCECRDLPEPVVLPGCVPLHGTDLVDPLQDRANPVYPLIVELGLDYLLADGFLINTFDAMEHDTLGAFKELSDKGVYPPAYAVGPLVRSPSGEAENDTCIRWLDEQPDGSVLYVCFGTGGTLSVEQTAELAAGLEASGQRFLWVVRFPSDKDVSASYFGTTNHGEADDPTSYLPEGFLGRTKGTGLAVPLWAPQVEVLNHRAVGGFVSHCGWNSTLEAASAGVPTLAWPLFAEQKMNAVMLSSERVGLAALRVRPDDDRGVVTREEVASAVRELMAGKKGAAARKKARELRAAAAVASAPGGPQQQALEAVVGEWKGRG >ORGLA01G0185300.1 pep chromosome:AGI1.1:1:17475249:17476697:-1 gene:ORGLA01G0185300 transcript:ORGLA01G0185300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NPR3] MAPAVHATVPHVVLLVSPGAGHVVPAAQLAACLSTHHGCTATIVTYTNLSTARNSSALASLPRGVTAMALPEVSLDDLPADERIETRVFTVVRRTLPHLRELLLSFLGSSPAGVTAFLADLLCPAALAVAAELGIPRYVFFTSNLLCLTTLLYTPELATTTACECRDLPEPVVLPGCVPLHGADLIDPVQNRANPVYQLMVELGLDYLLADGFLINTFDAMEHDTLVAFKELSDKGVYPPAYAVGPLVRSPTSEAANDVCIRWLDEQPDGSVLYVCLGSGGTLSVAQTAELAAGLEASGQRFLWVVRFPSDKDVSASYFGTNDRGDNDDPMSYLPEGFVERTKGAGLAVPLWAPQVEVLNHRAVGGFLSHCGWNSTLEAASAGVPMLAWPLFAEQRMNAVMLSSQRVGLAVRVRPSSARPDYGVVPREEVASAVRKLMVGEMGAAARKKAGELRAAAEMASAPGGPQHQALAGMVGKWKVRG >ORGLA01G0185200.1 pep chromosome:AGI1.1:1:17472792:17473471:1 gene:ORGLA01G0185200 transcript:ORGLA01G0185200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPVAAESGSDLRFGLLEEGRPHPPVVAAAAAALVGGAQRRRNSTPPWLHALRLYCIIAATAMVAVFAAWVFPRCKGKQDVLLCVVALAGAVFTGPILGFLLTTCAADADDHEAAARVASRYTRCEENVGRSVILAVALLGLYAIYLAAVSCGGEVDRFLLAAYYGVMGVGVIVGHSVSWIMVNANCFFSVVAIGF >ORGLA01G0185100.1 pep chromosome:AGI1.1:1:17467015:17471874:-1 gene:ORGLA01G0185100 transcript:ORGLA01G0185100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64350) TAIR;Acc:AT1G64350] MAERQVAELGAGAACVGWNHCGRRLAAGAVDGFVSVYDSQSQPSPSSKWQAHKHAILNIVWLPPDYGDAIACVCADGTLSLWEEVSEDDQLPTWRKCKVFESGNSHILHVQFGLQLSSLKMVTAYSDGQVKVYELLDSLELDKWQLQAEFQNITDPVSRSGKPACTSASIAWSPRRGESQQASFAIGFNSDSPNFNSCKIWEFEEAHQRWLPLVELGSPQDKGDIVHAVAWAPNIGRPYEIIAVATCKGIAIWHIGLSAESDGSLSTENVAVLSGHDGEVLQLEWDMGGMTLASTGGDGMVKLWQANLNGVWHEQAVLDCNVSH >ORGLA01G0185000.1 pep chromosome:AGI1.1:1:17461962:17464105:1 gene:ORGLA01G0185000 transcript:ORGLA01G0185000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSSIQAGKTGSQQINQQEWEMEERKGSPCAWVPSLRSYHPYQIKEKMLSGWSVYQYVDQMLAIRAPVLAWEHLYWYLDIISPMKGLKMY >ORGLA01G0184900.1 pep chromosome:AGI1.1:1:17456340:17458825:1 gene:ORGLA01G0184900 transcript:ORGLA01G0184900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGKYLYCCMSRYCYSYNCQFVIWLSVNRDKGYDGVVLESWSRWAVYGALDDSELRNRALQFVKHLGKTLHLTSSNLSTHHHLKLIYVVPAPRMKELNNQEFRPEDLLQLADSVDGFSLMTYDFSGPQNPGPSAPLSWIQYSLKTLLAAKGSASHGHSHMIFLGINFYGNDFLLSKGDGGNAIAGRDFIYLLDKYKPSLQWDEKSSEHFFVYSDKGLRHAVFYPTLMSLSLRLDEAQDWGTGLSIWEIGQGLDYFFDVL >ORGLA01G0184800.1 pep chromosome:AGI1.1:1:17426775:17431375:-1 gene:ORGLA01G0184800 transcript:ORGLA01G0184800.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVGAVVPADDSGRGEGVVRVSEDPNCVLSTWRDADNDPCGWPGVTCVDDGGGGRVGGVELANFSLASYLPSELSLPYNQLAGQIPVAITTLQKLAALLAHNLLSSYIPAEIGRQWRLNLGEKGRMGEFPRDPAFPLLRFSARQRLLLPMSLWTTEEEVEFTDGNDDGWHQPDVVDPIPFVTA >ORGLA01G0184700.1 pep chromosome:AGI1.1:1:17407990:17408983:-1 gene:ORGLA01G0184700 transcript:ORGLA01G0184700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSGSQLGKNSKTSDATSSLLLLITAIHFVALCPKGENEDARKEVNSTAQNFVHFTEEEEDIVFRMHRLVGNRWELIAGRIPGRTAEEVEKFWAIKHQAT >ORGLA01G0184600.1 pep chromosome:AGI1.1:1:17397498:17402439:-1 gene:ORGLA01G0184600 transcript:ORGLA01G0184600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:polypyrimidine tract-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT5G53180) TAIR;Acc:AT5G53180] MASGQPQFRYTQPPSKVIHLRNLPWDCTEEELVELGSPFGKVVNTKCNVGANRNQAFVEFADQNQAIAMISYFASSAEPAQVRGKNVYLQYSNRQEIVNSKSSGEAAGNVLLVSMEGVLPDAVSIDVLHLVFSAFGFVQKIATFEKASGYQALIQFCDTETASSAKAALDGRCIPSYLLPELDVPCTLRINYSAHTVLNVKFQSHRSRDYTNPYLPVAPSAIDGSGPDGKKQEAESNVLLASVENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNSGFQALIQYPDIQTAVAAKEALEGHSIYEGGYCKLHLTFSRHTDLNVKVNNERGRDYTGGNTAPTSNQPSILGPQPVYSGAYNNAPLSATGAVVPPGTTLTPPGAPSHPYTSSEPLPQTPAVPSGGAPLYTSQGILQGPPGVPPAQFPGYGSPQFPPGSAQAQMHQQHPVQGSQQMPGQMNHQPPPGSFMQYPGDGGRPVQDAPGQQAMPFHGHGGGQHLPPGYGGQPQFQQGPRPPMPPQQFPMYGDQQFPPGTGPQMMMPFAGQGGGQQHPFRPYNSH >ORGLA01G0184500.1 pep chromosome:AGI1.1:1:17393342:17395912:1 gene:ORGLA01G0184500 transcript:ORGLA01G0184500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRWWLLLAVAAAAAVVVGAGAQETCSDMVPAAPRRGAWMSLASFGGGGGDGRTLSTAAFQAAVASIERRRAPGGALLYVPPGVWLTGPFNLTSHMTLFLARGAVIRATQDTSSWPLIEPLPSYGRGRELPGGRYMSLIHGNGLQDVVITGDNGTIDGQGSAWWDMWKKGTLPFTRPHLLELMNSSDVVVSNVVFQDSPFWNIHPVYCSNVVIRNVTVLAPHDSPNTDGIDPDSSSNVCIEDCYISTGDDLIAIKSGWDEYGMAYGRPSSHITIRRITGSSPFAGFAVGSETSGGVEHVLAEHLNFFSSGFGIHIKTNTGRGGFIRNVTVSDVTLDSVRYGLRIAGDVGGHPDDRYDRNALPVVDGLTIKNVQGQNIREAGSIKGIATSAFSRICLSNVKLNGGAAVRPWKCEAVSGAALDVQPSPCTELTSTSGMSFCTNSL >ORGLA01G0184400.1 pep chromosome:AGI1.1:1:17380317:17386865:-1 gene:ORGLA01G0184400 transcript:ORGLA01G0184400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 11 [Source:Projected from Arabidopsis thaliana (AT5G53170) TAIR;Acc:AT5G53170] MSALQASLLLRPLPSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPSPAPDPPPPELPAAPEAEEVVGTAAAEGGGKVEEEELEDLVEKGRAWVLALAAAVVAAARRFFDWVVSGDWMSWWPFWRPDRRLQRLIDDADANPADPAKQSALLHELNKFSPEDVIKRFEQRSHAVDSRGVAEYLRALILTNGIADYLPDEQSGRSASLPALLQELKQRVSGNEDKPFMNPGISEKQPLHVVMVDPKATGRSTRFAQEIFSTVLFTIAVGLMWVMGAAALQKYIGSLGGIGASGVGSSSSYSPKELNKDIMPEKNVKTFKDVKGCDDAKKELEEVVEYLKNPSKFTRLGGKLPKGILLTGSPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPVSSDVDVNAIARSTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEFAKDRIIMGTERKSMFISDESKKACLFKLLYFILRELILTAYHESGHAIVALNTQGAHPIHKATILPRGSALGMVTQLPSQDETSISKKQLLARLDVCMGGRVAEELIFGEDNVTTGARNDLHTATELAQYMVSNCGMSDAIGPVHVKERPSVEMQSRIDAEVVKLLREAYGRVKRLLKKHEKQLHALANALLERETLTADEINKVVHPYQEEPQLSFQEEDFALT >ORGLA01G0184300.1 pep chromosome:AGI1.1:1:17375286:17378861:1 gene:ORGLA01G0184300 transcript:ORGLA01G0184300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61680) TAIR;Acc:AT3G61680] XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTVAGPDDEPDDAPGPEMPAARRRPDAHWLDRLLELRSRFHDPTKRHSSDNNGLIFQDDDDDDDVYHLDGDDGCGVNYEDDDEQVDDRWDRESFSKLLARAPLGEARLFAQLAFLCNMAYVIPEIKVEELKKYYGLRFVTSSLEKKAEAGEIRSKLDVDSTRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARARGLLSFGSAGGQQPRAEEGGHGRLYNSGVAAYVAASTVTAVVAAEDEARQEAARDLRSPLSSPCEWFVCDEADARTRCFVIQGSDSLASWKANLLFEPTMFEETGVLVHRGIYEAAKGIYEQLMPEIAAHLAAHGERARLRLTGHSLGGSLALLVSLMLVARGVVGPEALLPVVTFGAPSVFCGGHRVLDALGVGEGHVRSVAMHRDIVPRAFSCRYPGHAVALLKRLNGVLRNHPCLNNQRMLYTPMGTTYILQPDGAASPPHPFLPEGAALFRLDPDGRAERPARHVVASALRAFLNSPHPLETLSDLSAYGSEGAILRDHESSNYFRALNALTRVPRRRKQPEIVWQLPGVERLQQYWWPGIAGTVFPAAAPVSVRNKELVSEA >ORGLA01G0184200.1 pep chromosome:AGI1.1:1:17365105:17367934:1 gene:ORGLA01G0184200 transcript:ORGLA01G0184200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGPSDATHRKRRRRRGPKGSGVDGPSIPRAVTTNGAGPEEEEEVVEGKAMELDAGMSAAEVGGVVGSHLSETRFDQCPVSPLSLKAIKDAGYEKMTQVQEATLPIILQGEDVLAKAKTGTGKTVAFLLPAIELLSTLPRSPSINLLVICPTRELANQVAAEARKLLKYHRSLGVQVVIGGTKLPQEQRSMQSNPCQILVATPGRLKDHLENTPGFSNRIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPEEVRQISHVAMKRGYKFINTVKEGDEETHSQVSQMYMVAPLDLHFSILYNVLKKHIAEDADYKVIVFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGLPADREQYIHRLGRTGRKGKDGLGLLLLAPWETYFLNSVQDLSVSQAVVPTIDSSIQTGVSIMYLISSWLCVKDALGRVETKSKESAYQAWLGYYNSNKAISRDKSRLVRLAEEFSQSMGLAIPPAIPKLILRKMGLNNVPGLRSV >ORGLA01G0184100.1 pep chromosome:AGI1.1:1:17361020:17364113:1 gene:ORGLA01G0184100 transcript:ORGLA01G0184100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLLLRTQSGLPVLARAFPSFLCLRVPARRRRGAPPLTAAKVDVADAVGRRVRSGGAAVPKRRRSRRDAEEEEEEGLAFSRVVTGRGRGVREEGVAEGEAPEFDAAKSGDESGGVDGSYLSDTRFDQCAISPLSLKAVKDAGYERMTQVQEATLPVILQGKDVLAKAKTGTGKTVAFLLPAIEVLSALPNSRRDQLRPSINLLVMCPTRELAIQVAVEAKKLLKYHRSLGVQVVIGGTRLTQEQRSMQANPCQILVATPGRLKDHVENTPGFSTRLKGVKVLVLDEADRLLDMGFRRDIERIIASVPKERQTLLFSATVPEEVRQISHIAMKKNYKFINTVKDGDEETHAQVSQMFMIAPLDLHFSILYDVLKKHVAEDADYKVIIFCTTAMVTKLVAEILSQLRLNIREIHSRKSQSARTKVSDEFRKSRGLILVSSDVSARGVDYPDVTLVIQVGVPADRQQYIHRLGRTGRKGKEGQGLLLLAPWEKYFLSSIKDLSISEATVPSVDSSTQTIVKDAVRKVEMRSKECAYQAWLGYYNSNKTIGREKSRLVKLAEEFSQSMELSVPPAIPKQILRKMGLNNVPGLRST >ORGLA01G0184000.1 pep chromosome:AGI1.1:1:17355399:17359020:-1 gene:ORGLA01G0184000 transcript:ORGLA01G0184000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAANERSIAIPGPGYDQPAAQPAALAGGGDEDEGAWKTAQKWLNRFVRVVAFVERTGNAVGTLAFTWATVVVLGGYSTDLREDFWYAMAIVFLEAYRVFSRQNKSDDKFLFKTTGGIRMLKLSSTLELLYFLNAVIVMLCLSVILTVVLTHVFPKKRYVPLVLAALLVLLARFPIIWLLKRANRPGSGRRVVAIVLRLTPLAAILALGCSLVLLYGAPPVTALASVLLLFMTFVLCQQLIAVREKIKRPAPLQRPTAAGEASPPPPSFAQRARLVLANTMLVVCPPLMVAFLTATFGFLGLYVVLTAVALGNFQIPAAVARVAISSARLAGRVDRVSTGNVNLVPSLKIFYGLVLAQGALYIVACLTDPFSVLLRRWLARRCKLGTRSVDLYYEHAYDAWMEDGLLAMEDANIVSFAVDSLGSGEPSRSRERVLAGVTVLHCFLRQRRGSKARLASSKIITSTNAIATLIGMLGWGAEEDRQIRLFAAKVIGEVAGELRIARFPGTVQLISSLLDAPSCSKKEQDSGGSTQTKAAAGNVNTDSTCCCCFPKPSCPRRIKNLWSAPDEEPLDDDEDALPIMGMLILEKLASDPENCAEIWRAANLVSKVIGFIACSSNEAQRNRRPITASSLKLVAKLAGAKGEIGVTLRRKISDHPFLVSSLAGILEDDGAGTEEWAPAMDILAKLCVNADTRQEVGEIAAIITRLVQEFFPSQQDQQASSTQDDRQLRLAAGEALATLATESPGNCSAILKEFKGKYCDLVNDLKNMISARDEDGCRCAASLLQNLCAHSGDELRHIGFSDHLASALKVILEKILNTKGKQLEVLIGLAAQIHNAMPACFKDALESLANNTAEALVQKMVDTLNSSKKPSPECPRMRRAIVELAISIVETRTLLYGYAADFRKKGMVEALSKVKRTPSKVERYRLFFGDAGVVLERGLPLPDMVATAKGLIETASPSPGV >ORGLA01G0183900.1 pep chromosome:AGI1.1:1:17344107:17348774:1 gene:ORGLA01G0183900 transcript:ORGLA01G0183900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGGGGVEEDQAGSSSLCPPAAAAAAAAAAAAIARAARPPRPGRDKRLGVRHPLKHRRFCAGGKAAVAAGAREVGEATTVAEATATGPPKGSDEDDEARYICGGWKSDDGRMSCGYSSFRGRRANMEDFYDIKSSKVDDNQINLFGIFDGHGGSHAAEHLKKHLFENLLKHPSFITDTKSAISETYRKTDSDFLDAETNINREDGSTASTAIFVGNHIYVANVGDSRTVMSKAGKAIALSSDHKPNRKDERKRIENAGGVVTWSGTWRVGGVLAMSRAFGNRFLKRFVVAEPEVQHAVAFVKAEEGPEAAARKLAEIAFARGSTDNITCIVVKFLHAKMAVDSASSGERS >ORGLA01G0183800.1 pep chromosome:AGI1.1:1:17339294:17341427:-1 gene:ORGLA01G0183800 transcript:ORGLA01G0183800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAPLAPMPRVKLGTRGLEVSKLGFGCMGLTGAYNSPLDDDAGAAVIAHAFRRGVTFFDTSDVYGPLANEILLGKALKQLPREQVQVATKFGIRRGADGVRAVCGRPEYVRACCEASLGRLGVDYIDLYYQHRVDTTIPIEDTIGELKKLVEEGKVRYIGLSEASPDTIRRAHAVHPITAVQMEWSLWARDIEPEIVPLCRKLGIGIVPYSPIARGFFGGRGVTEQLSAESNLQGHPRFSAENLEKNKQLYLKTEELAKKHQCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDANIDSLKVNLMDDDLKEISSQVREEDVAGGRQYTSFAHYTWKYADTPKK >ORGLA01G0183700.1 pep chromosome:AGI1.1:1:17335327:17336241:-1 gene:ORGLA01G0183700 transcript:ORGLA01G0183700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPTFAVAAALAPPPPRGGGGGGGEFDHFVVVDFEATCERGRRIYPQEIIEFPAVLVDAATGRLVSAFRAYVRPRHHPRLTDFCRELTGIAQGDVDAGVGLAEALLRHDEWLRAAGVVEGGGRFAVVTWGDADCRTMLEQECRFKGIAKPAYFDRWVDLRVHFEAAFGRGGRRVKLQEAVRAAGLEWVGRLHCGLDDACNTARLLVELLRRGVPISITGSLPAAPPPLEQARKQQQQQEMQQLLVPCGAAVCCYCGVASTGGVMAMPGSTQRRCFYGCGNWTAVSGATCPFFLMGGVVDCPIN >ORGLA01G0183600.1 pep chromosome:AGI1.1:1:17332534:17334842:1 gene:ORGLA01G0183600 transcript:ORGLA01G0183600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT5G11450) TAIR;Acc:AT5G11450] MAAAALLSPPPSPSPSPTPSSLHPRQALRFAVGTGGGGRARATSTGTRRRAALVPCSSSVSARGPASGGDGLALERRRLLLSGLVSSFVLVLPVSDSHAVAEMDEDVKMATLVDPINAYSFLYPVELPGKKFTFKWVESRKPERYSSAAPLSPDARQRIVSERVDMIHNVVISVSIGPPNSRFPPSKDKSKWDPKDVADWILAEKSSLKVTTGQRMTESSVLDAHSSDVDGEPYWYYEYLVRKSPTQSAPEPNLFRHNVACTAERDGYLYSLNASTLSKQWESMGPSLQKTVASFHLLPPTENYVPPYQDPWRFW >ORGLA01G0183500.1 pep chromosome:AGI1.1:1:17328843:17330887:-1 gene:ORGLA01G0183500 transcript:ORGLA01G0183500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSKELLDLPSEPKPPSLIESILVGRREQQQHKEGKCKAGPPTDPLPKSQVLGRVKDFLGEMAKANEKLHLDVKNKRPEEYDIEALTGNEKEYIEMDLLLGVADLHSEKAVEVAEATMNGFPPSGRSFACSSSDSEDDSDGSDEDSGDETNMSSKDADEPEAQASKGKKPNKRQKIVVLN >ORGLA01G0183400.1 pep chromosome:AGI1.1:1:17319924:17327830:1 gene:ORGLA01G0183400 transcript:ORGLA01G0183400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADPFLAASSPAHLLPRTLGPAAPPGTAASPSAARGALLDGISRPLKGSKELVEQARMAMKAVGDIGKLYGGDGAGVAAAAADGKNNQLGRRPAPDRKRFRLKTKPPTNKPVQNVDYTELLNIEDPDEYFLTLEKLERADKEIKRLRGEVPTEGTYNNRGIEPPKLRPGLLRRKSVHSYKFSASSDAPDAIEAPASQTETVTESQTTQDDVHGSAHEMTTEPVSSRSSQDAIPDISAREDSFVGKDNSFTLNYLLSAFKDLDEDEEENLLRKTLQIKEISIGKVCLPDFNVPGDTPASNTTEQKNPMSDHALERTAPGSNLARISQLEKRIFVGDALEDKHADLSKDDESDGSPESLLCKQSPVRRSSDAVGLMINEGSTAMETPSPSIKSPEHVLESESNPPDGVTTDEQPTENSPIGVDRDSELVKEKGTSSRHSVSLEEDVMPIDCTVSPPDHLEGGSTEVLTNTTSRNVSPLHHGDGNSEHQEIVGGDVAQDNPIHTLETPPEDTPQNQSEIHRGSIEKLAVDKSNALSPSQGKQHRGKNKKQPSKRGKRKTDNLIHTPEIPPEDTDNTVHTPEIPPEDTYPQNQSEIHRGNTEKLAVDINNALSPSKGKEQRGNNKKQPSKRQKRAAGEAGDLETHAPNFEPEIQPHVQDTDVEQQPACTSQSPSPSNGTSKNEVRKRNKKQDLNRRKSLADAGLTWQAGVRRSTRIRSKPLQHWLGERFIYGRIHGTMATVIGVKSFSPSQEGKGPLRVKSFVPEQFSDLLAESAKY >ORGLA01G0183300.1 pep chromosome:AGI1.1:1:17313631:17317792:-1 gene:ORGLA01G0183300 transcript:ORGLA01G0183300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYYRQGDLGRALKNFLAVEKHYADMTEDQFDFHSYCLRKMTLRAYVSMLKFQDRLHAHEYFHKAAAGAIRWYMKLHDSPSKSSTEENDEMSKLPPAQRKKLRQKQKKAEARAKREAEEKQEDETTSSHTSKSGKKQNARPVDLDPHGEKLVQIENPLAEGTKYLKLLQNNSSDSLETHTLSFELNMRKQKILLAFQAVKQLIKLDENSPDSHRCLIRFFHKINNLPSPGTDSEKLIWNVLEAERPDLLASYCRQLHGKSLVEVNRSFLEKHSASLTHRAAAAEMMYLLEPDKKLEAIKLIEDSVNSTASGNSVLGPVNEWKIQDCIDVHKLLETIFGDQDVANSWKARCAEYFPYSTYFEGIKSASAAYCSVANSLEDSSENGIVANAQMKSADGETCTLNGTVHIVDELSNLSIR >ORGLA01G0183200.1 pep chromosome:AGI1.1:1:17276838:17282203:-1 gene:ORGLA01G0183200 transcript:ORGLA01G0183200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:I1NPP2] MKYVLVTGGVVSGLGKGVTASSIGVVLKSCGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVLEKERKGEYLGKTVQVVPHITNAIQEWIERVAMVPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKELEENVKEKLSQFCHVPAANIVTLYDVSNIWRIPLLLRDQKAHEAILKVLNLDSFARGPKLDEWVARATLFEALQDTVRIAMVGKYTGLSDSYLSVLKALLHASVDCRRKLVVDWVASTDLEDSTAIEAPDAYKAAWDLLKGANGVLVPGGFGDRGIQGKILAAKYARENNVPYLGICLGMQLAVVEFARSVMNLPEANSTEFDPNAKTPCVIFMPEGSKTHMGGTMRLGSRRTFFEVADCKSAKLYGNVSYVDERHRHRYEVNPDMVPEFENAGLQFVGKDETGRRMEIIEIPNHRYFVGAQFHPEFKSRPSKPSPLFVGLIAASSGQLDRLLQGSCNGHVVSTKHSLSNGAYTSTVHQNGHAKKLANGLSNGTYYPNGNGVHA >ORGLA01G0183100.1 pep chromosome:AGI1.1:1:17273747:17274463:1 gene:ORGLA01G0183100 transcript:ORGLA01G0183100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLPLRLAPTLAGGGGGAIPHRVLRGACTAAPASRPPPDPLSPSDLDAVSALLPRLVSAGNVPAAGRLLTAALLLPGSTERLPFSPLAAHLASMPTLTPAFALLTAIRHHPLRPSPLPLATPLLGHLLSRRRAREAASVVRWLCRPDSPQRPDSATFAVAVAGLCRLEDPRNALAALREMAVDGIRISGKLRESVRDAMLQDARIEEAWALEAAMKQPDSGKLAELVQKLLEEWED >ORGLA01G0183000.1 pep chromosome:AGI1.1:1:17265836:17269161:1 gene:ORGLA01G0183000 transcript:ORGLA01G0183000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVFSASARRLLSTVAGGAGAGSAAELPVSIGHLRSLARAGRLADIDAALAPHLASHSVAAVSALSSVGLPDRASALLATIRNPTAAHLNALLAPLLRRHRLVGLVPTLLAAHPSVPRDDATEGIHAKALCIATGADSALHLLQRESPPPSLQLFTSVIDSYYKQRKPHRAEQLWREMVEDHGIVPDAAAHNVRITYKAATGTVEEVKELIRAMREDAGLQPDIVSHNGLMRAMARHGRVDEMMEVYKRLEKGSASAAAEGKSAPDCATYTCVVAALCKAGRWSEADDVFYEAVKRRKLADLGTARVLVRGLKEAGKGRAARRVVIGLRKKFPDRFDGPWKDLEELAGIAGEDEEGDVEGEDDEQPPATTTTTAAANLVQIASPRTLRPVLVLTPVTADEVRAYVVCCRDHGLTVRARSGGHDYEGLSYCSLRPSGNGEGAARFAVVDVAALQAVRVDAARGVPRTKAGATRAVCRIGAAGLPPPPPVSSGNRS >ORGLA01G0182900.1 pep chromosome:AGI1.1:1:17262387:17264699:1 gene:ORGLA01G0182900 transcript:ORGLA01G0182900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWRAAGGARVVLRRLSAAAEAAAKQDGRVFAASYSGSSGGVNAPFGLGQYANLLRAQAFASRGVVLNFHQLIRNAGISTTRNLLAADDAMVPVSSPLTPPLGDGEQTDKKGAVVKRLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANAAHNHGLDPDKLIVEEAFVGKGLYLKRLSYHAKGRCGVMVRPRCRLTVVVREATAEEEAKIAKLRVSNYKKLTRKEKQLMPHRLIEVSPRWARKRKEEAGAAA >ORGLA01G0182800.1 pep chromosome:AGI1.1:1:17253489:17259617:-1 gene:ORGLA01G0182800 transcript:ORGLA01G0182800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:floral homeotic protein (HUA1) [Source:Projected from Arabidopsis thaliana (AT3G12680) TAIR;Acc:AT3G12680] MSDPFYPHGHGGAAGGEGAAAAGYSSYEVDLIAARYGGRPLANPSSAAADLDARLAGARRSMGVLYHQPIMGSHSTVEQIEALYSSNTMTKRPRLESSLPIYPQRPGEKDCAFYMMTRTCKFGGSCKFDHPQWVPEGGIPNWKEQAANVEESYPEQQGEPDCPFFMKTGKCKFGSKCKFNHPKEKVNALASGKTNDKHLIADSSILPVRPSEPLCSFYAKTGKCKFRAMCKFNHPKDIEIPSSQNEPKSAVTVEGETDIGSAADSVSAKMQTPVAAAQEFNSKGLPMRPGEVDCPFYMKMGSCKFGSTCRFNHPDRLVLNFPLPLGQTILPTPESMLLNSSANFMQGFDFHAAHMPVGPGPVTYPQRPGATVCDFYMKTGFCKFADRCKFHHPIDRSAPDPSANWEPAEESVQLTLAGLPRREDAVVCAFYMKTGVCKFGMQCKFDHPPPQEAIAKVSNSGS >ORGLA01G0182700.1 pep chromosome:AGI1.1:1:17238294:17241013:1 gene:ORGLA01G0182700 transcript:ORGLA01G0182700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRDCEEAVRLDPANGRAHSRLAGLCLRLGMISKARRHLTQAGHLHQSDPSEWEKLQEVEMHQGRSIDARKVGDWKSALREADAAIAAGADSSRLLLAIRSEALLRLHKLEEADSTLASLLKLDIVLLYRMGANPSGMLAESYVSIVRAQVDMALGRFDAAVEAAENARFIDPGNAEVGMILNNVKLVAKARAQGNELYKAAKFSDASIAYSEGLKYEPSNPVLYCNRAACWGKLERWEKAVDDCNEALRIQPNYTKALLRRASSYAKLERWADCVRDYEVLRKELPADTEVAEALFHAQVALKTTRGENVSNMKFGGEVEMVTSVEQLRAAIGSPGVSVVYFMSIMNQQCTQITPSVNSLCSECPSLNFLKVNVEDSPMVAKAENVRIVPTFKIYKDGVKVKEMICPSLHVLRYSVRHYAVSSS >ORGLA01G0182600.1 pep chromosome:AGI1.1:1:17205320:17212651:1 gene:ORGLA01G0182600 transcript:ORGLA01G0182600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMKTLTQALAKASAVIEKTVTTTVQEVTGPRPLQDFELLEQAGSGGPGLAWRIYTARPREGAPSAPYPVVSVWVLDKRALAEARARAGLTKAAEDAFLDLARADAARLVRLRHPGVLHVVQALDETKAAMAMATEPVFASVANALGCLDNVGKVPKELKGMEMGLLEVKHGLLQVSETLDFLHNNAHLAHRAISPETVFITSNGSWKLGGFGFALSVDQATGSLTSTQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGDSKVGSACDIFSFGCLAYHLVARRPLLDCHNNVKMYMNSLTYLTSEAFSNIPADLVVDLQRMLSVDVASRPSAMAFTGSSFFRNDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKGDFELSTLPALVPVFTSASGETLLLLVKHADLIIHKATQEHLISHVLPMLVRAYDDTDPRLQEEVLRRTVPLSRQLDMKLLKQSVLPRVHGLALKTTVAAVRVNALRCLGDLVPSLDKEGILEILQTLRRCTAVDHTAPTLMCTLGVANAIFKQCGVEFAAEYVIPLVFPLLTAHQLNVQQFAKYILFVKDITSKIEEKRGVTVTDNGNTEVKVSSSLANGIHSEPLSRGVGQTEQIQAAKGAAWDEDWGPTKKASTPSQSSDSNARMKQPSDPFDFSTQTKQPSALPFDFSTQQPKPSSAIPQVTPATISPAPSLPSLQSLAPSSGPQTSGSCVPVDIEWPPRKNTSSDFNAPLSVSEQSKSGGLSSDGLADIDPFADWPPKASSGASISAVGHLSSTSQSISGLSTGNIGFSGNSGSLGQMKTNQMSWSAKPNTTNLMAVNSTGNYLNQGNSALGFGNPIGGRSTGSLSSSTTQGPPRLAPPPSASVGRGRGRNQGQSALSRASRTPHSNVSSEQPPILDLL >ORGLA01G0182500.1 pep chromosome:AGI1.1:1:17185678:17187519:-1 gene:ORGLA01G0182500 transcript:ORGLA01G0182500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G53270) TAIR;Acc:AT1G53270] MSSAAVASPRETVSPPMSLGKVGVAVVGGGRRRSRYRLETRGLSYVLPARGAAARLFLGGGGEERLLLRGVTCEAPPGEVVAIVGPSGAGKTTLLSVLAGSADPARVVAGEVLVNGRAMDAARFRRVSGHVPQDDALFPMLTVEESLVYSARLRLRGAGGGNGGAAVARARELMAELGLRHVAGSRVGRVSGGERRRVSIGVDLVHDPAVLLLDEPTSGLDSGSALHIVKMLRDMAAAHGKTVVLTIHQPGFRILELLDRVVLLADGAVRHHGSLGFLEARLAASGHGIPPHVNVLEYAMECIDSLKPDVTTADASHADAASGSSRRRASSAPYANSAAAEVCILSARFAKTVLRTPQLFAARMAQSLLAGAFLGTVFLGAGDLQSRLGFFAFTLTYVLSSTTEGLPVFLQERRILERETSRGAHRVASYVASNAAVFLPLLLASALLYAAPVYWLAGLAREPGRFAYFSLVVWLVMLTANSFVACFSALAPSYIVANSLIAGLIGCFFLFSGYFVASKNIPRYWVFMHYASLFKYPFEAFLVNEYGGARGGAECLAEVGGGLCVLDGATLLRQQGMRASMRWSNVAVMLGFVVGYRLLCFVFLWFRCHRMRR >ORGLA01G0182400.1 pep chromosome:AGI1.1:1:17178565:17179087:-1 gene:ORGLA01G0182400 transcript:ORGLA01G0182400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESAWDPRGSHMSGPMVSRLSSGTATVVGGDGGCRRAHHAYLAAAATRPEAPIASSPNARLRRLITRDNLAEATRLVDRSRGEAPDTAVVKTNAGEVHEPKHQAHTAMDSIEVVSALIGQYYDWLVLVLRRGGVGGRERCTTSSNTSSL >ORGLA01G0182300.1 pep chromosome:AGI1.1:1:17175121:17177264:-1 gene:ORGLA01G0182300 transcript:ORGLA01G0182300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTKSPPALVPLAGPTPGGSLPLSSIDKTAAVRVSVDFIQVFPSSAEAAKDQAASVAAMREGFARALVHYYPVAGRIAEPVPGEPEIDCTGEGVWFIEAEASCSLEEARNLERPLNIPKEELLPRPPPEVRVEDTVLLAQITKFTCGGFAVGICFSHLVFDGQGAAQFLKAVGEMARGLPEPSLKPIWARDAIPNPPKPPLGPPPSFTAFNFEKSVIEISLDSIKRVKDQVASETNQKCSTFDVVTAMMFKSRTLAIDFAPDADVRLGFAASTRHLLNNVLPSVDGYYGNCVYPGGLAKTSQEVKDASLVEIVTAIREAKDVLSTRFLDWMSGGAKENHYNVSLDYGTLVVTDWSHVGFNEVDYGFGEPSYVFTLNDDVNIVPSVVYLKPPKPKQGIRLVLQCVEAQHSKVFGEELQKLA >ORGLA01G0182200.1 pep chromosome:AGI1.1:1:17170346:17170564:1 gene:ORGLA01G0182200 transcript:ORGLA01G0182200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSKPCGGLKTEWPELVGLTIEQAKAKIKADRPDLQVEVLPVGTIILGVVVPNRVILWVDTVAEIPKIG >ORGLA01G0182100.1 pep chromosome:AGI1.1:1:17157658:17163382:-1 gene:ORGLA01G0182100 transcript:ORGLA01G0182100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAEAPAAAPRPLQAAAIGVCAETGFWDALRRLKLDVLGTDDSPIPITGYYTPRQYEKIASLFRICPESILPPSANSFGDRNNCPVPGTLLNTNNMRGFQNLDRALLLKAEAKKILHDIKSGKVEENPALLLRFLVISFADLKNWKVYYNVAFPSLIFDSKITLLSLKLASQVLKQEEATSLSNAFTEWRKSSETTVVPFILINISPDSSATIRQLKDWKACQGNGQKLLFGFYDHGNRGFPGWALRNYIAFVSLRWKIEKVHFFCYREKRGRPDIQQSLVGEASFPAPHAGWDEPDYVPEAIGWEGETAGKESKEMKPKEIDLSSINPASQDEEKQLMHLKLMGWRHFPVNLDKLSGVRCLLLGAGTLGCEVARLLMTWGVRKLTLVDDDCVSMSDLVKQSLYTDKDCGVPRVTAIVPHLKERCSAVEVEGIQMGIPKLEYNISASKISSITDDCKRLQTLVDSNDVVFLLNETWEGMWLPTLLCADKNKPLQIAITVLLGYDNYLVMRHGSGPGTKSGGMDEVIAQIENLSTQDALGRQRLGCCFCSDTASLVNSDHNGALDQQLAVILPGLTSVASGKAVELFARMLHHPDEIHAPGDIAGTDTEHQLGLLPHQMQGSLSKCVLSTVLCNSSSNCIACSNAVLSEYRRRGFDFVTQAITCPTYLKDLTGISDLKKPFASKISASIPVSKTSASIPVNLEKLSSARCLLLGAGTLGCDVARILMDCGVRKLTVVDSGRVVVSNLARQSLYTSDDRDSPKASAILGRLKERCPSVDAKGIKMEIPMPGHPVSPNEAVSVLEDCKRLQELVSSHDAVFLLTDTRESRWLPTLLCANENKIAITAALGYDSYLVMRHGAGPGTNCGSPDVVAAADTLSAEDVLGRQRLGCYFCNDVVAPVDSVSNRTLDQQCTVTRPGLASIASGCAADLFTRMLHHPDGIHAPGEIAGTSCEGPLGLLPHQIRGSLSQYNLLTLLGYSSSNCTACSNAVLSEYRRRGMDFVMQVINEPTYLEDLTGLTDLMKSAAYSQVEWIDEADDDDEMDI >ORGLA01G0182000.1 pep chromosome:AGI1.1:1:17156887:17157375:1 gene:ORGLA01G0182000 transcript:ORGLA01G0182000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKKQQLAGDGDGIAGGDRSKEAAEERKRQRAHAHAFVCSLSLLLAATMALMLLSHGGSPLWLASELASVLCLLLYLWAYHVTQNLAAGAAVPVEALVFAFPLVFGAGFLAALLAVAVAPVAGVLVMGADVAGVSALFGFCLAEYLRHNEAHAAPASVET >ORGLA01G0181900.1 pep chromosome:AGI1.1:1:17152894:17156551:1 gene:ORGLA01G0181900 transcript:ORGLA01G0181900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin ear-binding coat-associated protein 1 NECAP-1 [Source:Projected from Arabidopsis thaliana (AT3G58600) TAIR;Acc:AT3G58600] MSSAGEQSQAKAEAEEAVELVLFQVAECYVYLIPPRKTAASYRADEWNVNKWAWEGTLKVVSKGEECIIKLEDKNTGELYARAFLREDEPHPVEPVIDSSRYFVLRVEENIDGRQRHAFIGLGFRERPQAYDFQAALHDHMKYLNKKKTAEEMVQHYEKSSSVDYSLKEGETLVLQLKNKESGAKIKSAFFEQGLNKLSFNEKANSKEAPVSLKLPPPPPSPVSPTDSGIAASPFKAEFPSQDQPAANTGADTTPFKAEFPSSHEQPAADNVASSPPPKAEAAPQEQATVAEKAPQESVDDDFGDFQAAG >ORGLA01G0181800.1 pep chromosome:AGI1.1:1:17147261:17148870:-1 gene:ORGLA01G0181800 transcript:ORGLA01G0181800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYPKKRKPDENGAAVASSPAAGAAALGLTRDDLLRLVEPLSRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGGAPAADVSLRKIFVGNVPADMPSERLLAHFAAYGEIEEGPLGFDKQTGKFRGFALFVYKTPEGAQASLVDSVKVIDGHQLVCKLAIEGKKGKQSQQQQQQSGPGGAQPPQMLQGGPPDMPGSGLGLGGPQMGAQYGGPGSLSSYGAFGGVGAGLGGPNPYANLPSSMGGGGAGLGSLGNQMPSGMGGAGAGAYGPGGLGGGSFGGSSQFGAGGMGAYGGLGMGGASSLYRMQQGSGGLPSGGYGEGNYPLPGPGFRGQEGGMSPGPGGRAPMYPNMPPYF >ORGLA01G0181700.1 pep chromosome:AGI1.1:1:17140411:17144092:1 gene:ORGLA01G0181700 transcript:ORGLA01G0181700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSAAAMANKPSLAVAAASRQRWALATSLCALLCLSLVVSAGLLLLGSTRPFRRPLFAAPQQQQQQREVVGEAPWERYVKLAQAASPGGARDRAPDLGGDEGAEGDDDDAISTAPAPAPSPTAEEGGDEESCDLFQGRWVRDGAAAGGYPLYEAAECPFLSDQVTCRRNGRPDAEYEQWRWEPRGCGGGGGGGGGGSREAALALALEQCRNRRVVFVGDSLNRNMWESLACLLYTAVPDRSRSRVLDVASDYRIFRAMDYNCSVEFFWSPFLVTLETKQDRTRALKLDQLPATLEKLRGADVLVFNTGHWWTHTGNLRAWDHLEADGKPVEMGGEEAFNQALGTWASWVDQNVDSARTRVFFRSISPEHKSENWCYNQTSPITDETKIVPWFPRSLVSIVERNIRSTRTPVTYLNITRLSELRVDAHPSVYTITREGKPLSTEQRQQPLVYADCSHWCLPGLPDTWNLLLLASLARSPVNVH >ORGLA01G0181600.1 pep chromosome:AGI1.1:1:17137396:17137901:-1 gene:ORGLA01G0181600 transcript:ORGLA01G0181600.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAKNTHLMSLDVAAERLRLFKADLLDYGSVAAAIAGCDDVFHVACPVLLSAPNPGVHTLAAAVTGTTNVRKACSEARLGLGRVVVVSYVTAVMVN >ORGLA01G0181500.1 pep chromosome:AGI1.1:1:17130437:17136514:-1 gene:ORGLA01G0181500 transcript:ORGLA01G0181500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 18 [Source:Projected from Arabidopsis thaliana (AT2G34090) TAIR;Acc:AT2G34090] MRRSHPMAQLLAATTTTTTPPCGGLLTARASASSRGCFLRALPRSRAMASASGADAAAAAVAPPSLLVFSGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTSEALSVRTLLGHRLPLDPSEAKLEWYQIVEGEHALWDGVSQPYRETIRAFLVYFHNEMLRRSAEMFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGRKEVVNKDCNSCSALPSRIKRVFYMSSEGSNLLHEVFPEANRTVLEQLSKVDCIVYAMGSLFTSVCPSLVLRGIGETIASRSIPKVLLLNGSHDRETTGLPASGFVTAITDSLNRTYGYPDKSLKNLPKDYVNALLVPKGGQIPLDIKNLSSKGIFHVVTVDSIHDGKTGIIFDPHSLIQALTSLISDRRFVEPDLLTENVESVC >ORGLA01G0181400.1 pep chromosome:AGI1.1:1:17127611:17129350:-1 gene:ORGLA01G0181400 transcript:ORGLA01G0181400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRWLCLILAAAAAAAASGAPAPEDDPGMPMAARHRCWMARVGRTYADAAEKARRFEVFRANAERIDAANRAGDLTYTLGLTPFADLTADEFRARHLMPDADVDEPATARVLFEQEEKAAKQHLPPSRPPAVWGSKDWRDLGAVTPVQDQDKNNCNSCWAFAAVAATEGLIKIETGNVTPLSAQQVLDCTGGDNTCKGGHIHEALRYIATASAGGRLSTDTSYRPYDGEKGTCAAGSGSASSSSVAVVIRGVQKVTPHDKDALRAAVERQPVAADMDSSDPEFRGFKGGRVYRGSAGCGKKRNHAVAVVGYGTASDGTPYWLLKNSWGTDWGENGYMRIAVDADCGVSSRPAYPFV >ORGLA01G0181300.1 pep chromosome:AGI1.1:1:17119573:17121157:-1 gene:ORGLA01G0181300 transcript:ORGLA01G0181300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPVYRHLRVALLALLVVAVAAAAAARGDQPRRGHSMAARHERWMARFGRAYADAAEKARRMEVFAANAERVDAANRAGGDRTYTLGLNQFSDLTDDEFARAHLGYSWAPPPPSHRHGHRAENGTAAAAADDTDVPDSLDWRVRGAVTEVKNQRSCGSCWAFAAVAATEGLVQLATGNLVSLSEQQVLDCTGGANTCSGGDVSAALHYIAASGGLQTEAAYAYGGQQGACXXXXXXXXXXXXXXXXXXXXXXXXDEGALQALAAGQPVVVVVEASEPDFRHYRSGVYAGSAACGRRLNHAVTVVGYGAAADGGGEYWLVKNQWGTWWGEGGYMRVARGGAGGGNCGIATYAFYPTMDS >ORGLA01G0181200.1 pep chromosome:AGI1.1:1:17116980:17119225:1 gene:ORGLA01G0181200 transcript:ORGLA01G0181200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMSAASSPSCLLLLPRPTKPTPPLLPSTSSCRRPPRCRIRSLRPENPAPPAAGVARAVASSSSPMEMEAAQTQACGGGEGQQEAMRLLFVEMGVGYDQHGQDVTAAAVRACRDAITSNSIPAFRSGSIPGVNTEQMKLQIKLGVPRPTQHLLDVERVKAVFPYGKIISFEVVDGGMICSSGVCLEAMGDKNDDCYIVNAAVYVGY >ORGLA01G0181100.1 pep chromosome:AGI1.1:1:17110444:17112216:1 gene:ORGLA01G0181100 transcript:ORGLA01G0181100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDELTTKPIEIKFSSCRGVTFEPKPSPASPFAIAAAAAAACPAKPPPAAPSTGRWIWLPLLSSSRYSSFSRIIPAKPDGGVGRSQSRASSHFCDLDVSGDEEEDDGVSVFDGHDEEMAVAAAAAADVEDDLKGKKKPSVSAAAAPATARRSRLAVILFDQGLFTVYKRLFVLCVALNAAAVALAASGHFPYAERRAAVFAMGNILALTLCRSEAALRVVFWLAVALLGRPWVPVVAKTGVTAILQSLGGVHSGCGVSSVAWLAYALVQALRRRDEMPPEIVAVASAILFLLALSCAAAFPLVRHLHHNVFERTHRFAGWGALALLWTFVVLSAGYDREARSYVPLAGAVLAGREDLRLAAAITFFTALPWLTVRRVPVTVTAPSTHAAILTFQGGVRAGLLGRISRSPLSEWHAFGIISDGRRTHAMLAGAVGDFTRGLVADPPTHLWVRGVHFAGLPYLIGMYRRATMVATGSGICVFLSLLMQPSTTTATELSLVWVAKGVEANYGEEIRAAVAAAAGGKSMAGRVVVHDTAVMGRPDVRELAVAAARRWGAEVVVVTSNPEGSRDVVSGCRKAGIPAFGPIWDS >ORGLA01G0181000.1 pep chromosome:AGI1.1:1:17104419:17105966:-1 gene:ORGLA01G0181000 transcript:ORGLA01G0181000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGGGWQRLKRRLNRMWCRYARSSQLRPKHNFRDPMVLRLHPYVPPEALVECACGGGWWLTTSSVQWLHHIPLMLSFLQGMVAGSFGFSCRAEKAIRNFCGSSYEVSGNC >ORGLA01G0180900.1 pep chromosome:AGI1.1:1:17100084:17100413:-1 gene:ORGLA01G0180900 transcript:ORGLA01G0180900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGLRGAFYGGGIKQIGKQLGGAAFVIAWNLVVTTAILLGIGLFIPLRMPDEQLMIGDDAAHGEEAYALWGDGEKFNATQHDLSRGGGGGDRDGPERLSILGARGVTI >ORGLA01G0180800.1 pep chromosome:AGI1.1:1:17086846:17090950:1 gene:ORGLA01G0180800 transcript:ORGLA01G0180800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGDARIVVVAVAAFVLVGVAAEGKGEGGGGGGVGVCFERIFSFGDSLTDTGNFLLSVPEDFPDPARSLPYGQTFFGRPSGRYSDGRNLLDFFAEAFGLPFVPPYLAGGDFRQGANFAVGGATALNGSFFRDRGVEPTWTPHSLDEQMQWFKKLLTTVSSSESELNDIMTKSLFLVGEVGGNDYNHLIVRGKSLDELHELVPKVVGTITSAITELINLGAKKLVVPGNFPIGCVPLYLSIFPSQKEDYYDEKTGCIKWLNEFTEYHNRLLQEELEKLRNLYPDISIIYADYYGAALNIFLAPLQFGFTVPLNSCCGSDAPYNCSPSILCGHPGSVVCSDPSKYTSWDGLHFTEATYKIIIQGVLGSYANPPLSETCRGGAYKVSQLHQCTDNPTNTVTYDSMSSFI >ORGLA01G0180700.1 pep chromosome:AGI1.1:1:17074211:17074782:1 gene:ORGLA01G0180700 transcript:ORGLA01G0180700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSGGRDARARRQLQAAGRAAAYLGGGFLLLSAASSAAVRSLRSLSDANQRKFAAPCGACEGKGTYACRLCRGSSTIEWSPLHDPVFVNPCLCPTCDGTRVQRCLNCLGKGYA >ORGLA01G0180600.1 pep chromosome:AGI1.1:1:17067553:17072846:1 gene:ORGLA01G0180600 transcript:ORGLA01G0180600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMYGETDTSAVSVSPVLGSAETDAAEIDVIAGMQEEAPGSMLAMEVTYREVDAATVSVSPVLESVEPDAAKIDVVARMQEEAPGSKLAMEVMYGETGTAAVSVPLVLESREEGSLQESMQRPSSPTMNIEQESMQRPFSPTIGTETSSPEMAPAGSENCKVSWLPLPPPTPLGESMPSLPVAAAPKVLLVMPEEAVESVPSSEALDAEKPASITQAEPSSPNTPPPGFENFKSSWLPLPTTPPPVETTDVLPDVVVTKAVEAPIEEVSRPLPALEVTNMESDTVLSILPTTVLPTEGTEGLLQQPLLRPPSPVVQSEPCLQNEMAPPGFENFKSSSEPCSAEEIAPPGSDNFKSSSEPCLPEEMAPPGFENFKSSSEPCSQEEMAPPGFENFKSSWPPLPTLPQTVPDAAAADALAATVEEAAGPPPALELEAMDVDMDAIHPPPLPFDSGVESSQKPLPRAPSPIMQEAPCSPDRAPPGFETYKSSQLLLPSPSLAQTTNVRQDQSVTEPVSVIEEAPQLLHSVEVMGVHMDAVPPLLPSSESGADGLSPQQFAQPPPAEKDTTTCLPDMVHSGCDNSEPSQLLSLPAVISPVQTPDGLADVPAIDRVAVALEESPQRPLVSGEMEAGTVPIRSSPLKNISEGSLPQLESESHSPTSQAADSLLDASDSKSVAVASEEMSQLPLASQATTTDLVSTTAMQPQSEGIVDESLQPQHPPSSTAHDSPCLQDSVPLVPPPPSPYLNKEVGQMVCGSCRILLAYFRGAGYVHCTCCQTMNYVLEAHEVGKVHCGHCATLLMYPFGAPAVKCSLCLFVTEIGERNVRRRLSIEQPTRTNSSGLAEA >ORGLA01G0180500.1 pep chromosome:AGI1.1:1:17057387:17058367:-1 gene:ORGLA01G0180500 transcript:ORGLA01G0180500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRSTRAVSYERRRSRVSPYPSNGKGCARRSEESAAAAAAAAAKQAAEWEDVRCPVCMDHPHNAVLLVCSSHEKGCRPFMCDTSYRHSNCFDQYSKASKESSKDSGASAAAAPECSECQQPIKLSCPLCRGPVSHWTKDYDARKYLNAKVRACTKESCEFRGAYGQLRRHARENHPTVRPTQVDPERQRDWHRMEQQRDLGDLFSMLRSGLSAREDGIGVSEGEEDINERTLHSPSITMVFIVRTGRSILHYREAFPGHHRRRTILLLGEAFGRESSPLGGASGSGDGDTTARENDEGDDDVTLSTEASAGSQHDGEVDGDPAH >ORGLA01G0180400.1 pep chromosome:AGI1.1:1:17051251:17054805:1 gene:ORGLA01G0180400 transcript:ORGLA01G0180400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSSSSGGRGGRRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAPTRAVAAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHVANLLSSEPSDVKLGIGGFSMGAAAALHSAACYAHGRFTNGVAYPVTLSAVIGLSGWLPCSRTLKSKMDSSQTALRRAGALPILLSHGRADEVVTYRNGEKSADFLRGSGFQYLNFKPYNGLGHYTIPEEMDDVCKWLSSRLGLDRSRG >ORGLA01G0180300.1 pep chromosome:AGI1.1:1:17038343:17040326:1 gene:ORGLA01G0180300 transcript:ORGLA01G0180300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLEDENDGSADLPIPRPVAAELCKYDGGLIWGTNWLRIRHAAASVLPSSHPDYTIDCASASFVTRRLNDWDWGPVSNGGNRRLATRIKSSVQQAAMSLCQFRFDFRNSD >ORGLA01G0180200.1 pep chromosome:AGI1.1:1:17029209:17032797:1 gene:ORGLA01G0180200 transcript:ORGLA01G0180200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEREELAAELKGEKRFDMDPPVGPFGTKEAPAVIESYYNKRIVGCPGGEGEDEHDVVWFWLKKDEPHECPVCSQYFVLKVIGDGGDPDGHDDDDEHHH >ORGLA01G0180100.1 pep chromosome:AGI1.1:1:17022692:17023545:1 gene:ORGLA01G0180100 transcript:ORGLA01G0180100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHLQTMAPALRRAASASATGPASASAAGPASLAGAAPLSTAASAAFPPPQSETASRLGLFSMPGGDTRQPSYGDRLMESQQLPQDYRANVPRSGDTMXVGKWSRIAGGENPSYFGTPSRIFDEHKQSLVKGKRDFVHVLLKRNKTFVTVTDVRGNRKTGASAGCLEDRKGRSRLSKYATEATAEHVGRAARKMGLKSVVMKVKGTVFFNKKKKVILSFREGFRGERVREQSPVVFIHDVTQLPHNGCRLPKQRLV >ORGLA01G0180000.1 pep chromosome:AGI1.1:1:17019181:17022088:-1 gene:ORGLA01G0180000 transcript:ORGLA01G0180000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methyltransferase-2 [Source:Projected from Arabidopsis thaliana (AT5G25480) TAIR;Acc:AT5G25480] METAAPWKVLEFYSGIGGMRYSLAASGARAEVVEAFDINDVANDVYELNFGHRPYQGNIQTLTASDLDKYKAQAWLLSPPCQPYTRQGLQKHSADARAFSFIKILNLMKNMCFPPQMLFVENVVGFEVSDTHDQLIEVLSDLNFNTQEFILSPLQFGIPYSRPRYFCLAKREPVSFQNPSDNSKLLRTPTFLTLVRAGHNRCNPDEDELELVCKPISDFLETRSLNVADQDSSVNGSDGCTPSGIISQDYVVPLNLIERWGSAMDIVCPESKRCCCFTKSYYRYVKGTGSLLATSNNLKRISKEDLEISSLKELGLRFFTPREVANLHSFPLSFHFPNHISLRQQYAMLGNSLSVAVVGPLLRYLFAET >ORGLA01G0179900.1 pep chromosome:AGI1.1:1:17015504:17017906:-1 gene:ORGLA01G0179900 transcript:ORGLA01G0179900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPRLRAATSRPLSWRSNCTAAAASASQPSEPLSAHFTNGRPLSRAPAVVRDLSSVLRAILAASPSSHSRAYPLLKSAAFDARLAPDALVDAVLSAVGGPGSRQATALLSRLLASLCRAGRAGAAAAAYASMEARGVTPDAKSRTDLLAVTARSASAADALALLAEMRGKGRPLDAWMFDVVMRACFKEGMYDDAVRLFDEMPASEIEPDQRVCSVAIASLCKLRDANRALLVLRKMQDAGFVPWDFTFNSVVDVLVKGGRMEEALHIKDELLATGKKMSVVLATTLMHGYCLQREVRKALDIFEETLRDGLVPTDVTYTVLIRGCTEEGMPEKAYELCRQMRDHGLLPNTNEFNMVIKGLLNDKLWKDAVSLFKEMADSGIPDAFTYNILIHWLCQRRKIREALNLWEKMNETGVKPYIVTYHSLLLCYCVNGCMDEAVKLYTEMPGKGFTPNVVTYTTLMKGHINKAAFDKAYALLAEMKQNGVSCNDYTYNTLINGLCVVGRVCEVGEMLKRFETEGFVPTAMTYNSIINGFIKAGMMGSAFAVYQQMCAKGIPPNIVTYTSFIDGYCKTSCCDLALKMLNDVRCKGLRPDIAAYNSLIYGFCQEGNMSHALQVLVLMLKDGLLPNISVYNSFITGYKNLKMMEEALRFYEKMIKEGIDLDTATYTTLIDGFSKDGNVTFALKLYSEMVAKGNIPDHITFTALTHGLCRNGDIDDARKLLDEMNRLDIRPNVLMYNMLINGYLRNGKLQEAFRLHDEMLERKIMPDDTTYDILVGMKSLGSDSPIDTENPNLSSTG >ORGLA01G0179800.1 pep chromosome:AGI1.1:1:16961230:16961678:1 gene:ORGLA01G0179800 transcript:ORGLA01G0179800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKESWGRLTPPPPLPMLVTFNIDTNTLTDRHSILLWIKTVLLLGNWSIIPDSSCLNASKTSGSNLKPLLFEVNKFREQ >ORGLA01G0179700.1 pep chromosome:AGI1.1:1:16957072:16958456:-1 gene:ORGLA01G0179700 transcript:ORGLA01G0179700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINLGNKVEAWCGDPSMGGWRLGVVVWGNGHQYNIRWDGGDVVSGRIRRVSVRPPPPHLEIPADLEAGDLVEALDDRMWKLAELVRAGDGDGEGEFTVKIVGSPSAITVPPSMVRVRQVLTDGDIWVATYKGEEIPGAREPTARPNAAANRRAPAAAAKHRPAADQFAPPPAPSHQWAKIKRSRHATDHDAAGEVRRVEANSKRIRAMEEEEGELLVGYGNVEVVRANEPPPTAVFVNKQQEMSDEETDDDAKSVSSAGSGSSSNSESSSDGSSSESDNGDRAAPRSPPGDAQVAANQPPPPPSQPQCQPHIKEERADDDDVRTKSRGATAMKPRPAVAPIMQRRLANERPPPPLAAAAAAVGEQIHRLEVDAYGALMRVLHATGALSWEKEELLTQLRLQLHVSSDEHLQLIRALNGGRRRLPKPEN >ORGLA01G0179600.1 pep chromosome:AGI1.1:1:16953240:16955326:1 gene:ORGLA01G0179600 transcript:ORGLA01G0179600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding nuclear protein [Source:UniProtKB/TrEMBL;Acc:I1NPK6] ALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEVSAKSNYNFEKPFLYLARKLAGDGNLHFVETPALAPPDVTIDLAAQQQHEAELAAAAAQPLPDDDDDLIE >ORGLA01G0179500.1 pep chromosome:AGI1.1:1:16947814:16950124:1 gene:ORGLA01G0179500 transcript:ORGLA01G0179500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVTVLVLLLACAAARAAAAVVTDGLLPNGNFEEGPPKSDLVNGTVVRGANAIPRWETSGFVEYIESGHKQGDMLLVVPQGAHAVRLGNEASIRQRLAVTRGAYYAVTFSAARTCAQAEQLNVSVSPEWGVLPMQTIYGSNGWDSYAWAFKAKMDEVALVIHNPGVEEDPACGPLIDGVAIRALYPPTLAKGNMLKNGGFEEGPYFLPNASWGVLVPPNIEDDHSPLPAWMIMSSKAVKYVDAAHFAVPQGARAVELVGGKESALVQEVRTVPGWTYRLSFAVGDARDGCAGSMVAEAYAARASIKVPYESKGTGGYKRAVLEFAAIANRTRVVFQSTFYHTMTDGSLCGPVIDDASLVGLRKKTAGRRLLL >ORGLA01G0179400.1 pep chromosome:AGI1.1:1:16935362:16938409:-1 gene:ORGLA01G0179400 transcript:ORGLA01G0179400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPRLCALALPFLAVAACLDVPSHGTPKLTNKCGHCKNKLDFGKEFVCCSDCSDPTILIKHSKMGYCKSGAELSMQLKPHEIYHWVAGPWMKCSSPCDGGVRYRDVACYGNLSDATIKHYPVDDASCSADEMPARQEACNEQSCGVDMAEQTNSRKNGMSGWLVALILLLGLGAIGGIVFTSYTYYLRRTSGRSGFVYVMMEAYS >ORGLA01G0179300.1 pep chromosome:AGI1.1:1:16931799:16933419:-1 gene:ORGLA01G0179300 transcript:ORGLA01G0179300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGAAADSPRRRRRSRCRPRDRPLLAHPPPPRSPAEIEDEEIEAASAACCRICLESETEPGDELISPCMCKGTQQFVHRYCLDHWRSVKEGTAFSHCTTCKAQFHLRVECLEDNLCRKMMFRLFVARDVFLVFLAVQTVIAAIGGMAYLLDKDGQFRNSFSDGWEHILSNHPVPFYYCVGQTCHPE >ORGLA01G0179200.1 pep chromosome:AGI1.1:1:16931035:16931521:-1 gene:ORGLA01G0179200 transcript:ORGLA01G0179200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVVFFVMVGFIGLIVQCSSFNTNDPCLAGCRNCCYGWGILDFPASIEACLALAVIFVIVFAILGVAYGFFAATVAVQRILQRHYHILTKRELTKEYVVEDLRGCYTPPKMDPEQEQRLRTLQLM >ORGLA01G0179100.1 pep chromosome:AGI1.1:1:16923188:16929683:-1 gene:ORGLA01G0179100 transcript:ORGLA01G0179100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASRASLRRAAAMSAYYNARGSARPRRGYYSGRPTPPPHHHHDAGAELVSGDSHHSAVRAANDSLRRGGGRGPPPSPYGYAPPQPPPALYGAVPYNYGPPPPQPQDPQYGYATPNPYVQGHPQPYWRGPTNAGFRPPYAGFRPGAPQQSPRLAEYRRRWRFTQHRPPRQAERFKVLSYNILADYLAQEHQFLYERIPSFIMDWNWRKEKLVFEFGLWSPDILCLQEVDKFTDLEQEMATRGYNGIWKMRTGNATDGCAIFWRTARFQLRYQEDIEFNKIDLRDNVAQICVLESVIPGNVQTESSPNHPQQAKQIVVCNTHVLYNPKRGDIKLGQVRTLLDRVYALSKTWNDAPVIICGDFNSTPKSPLYNFMLEQKLNLSGLVKSNISGQQTSTAQGLYTGPNTARFYPPFHTTNSKEGSISYPNDHKPQPEARNVTENSRLSGREPTLTDTASDSFLNPESSTNPHEQELMGCVKGPTKEAYTSDAEAHTNTTNGEETSVVNNSSEGYGVIKKSSVEGTNVTNFSSASTTVNDETLQSDSSEIVDRSQLLPSYESSESKDSWEELAGGSNNSSNTLANFPGHVISGKATCDFERNNVQSDTLFDISKVRPDEKEQDSEPLSTQNNCMRSESKSNCFSDPLKFADTLHQMSNLRLEEENNTEPTQLTSPLEPLQHTDCAFSDTCDVQCTPEVINRLSKSHSCSNKLGNCSCAFEDDGASNEVLCSDVNADPSIFKEFSGVNESLLEDEDQLQTTSDGSPSAQQVITSDKRYYDYDPYRWTPDEIKAATGNEDCIFVEHNLKVRSVYTDVEDFEGTKDANKEPLVTSYNRKFMGTVDYIWASEDLQTVQVLDTFPKEILKQTIGFPTKKWGSDHIALVCELAFTK >ORGLA01G0179000.1 pep chromosome:AGI1.1:1:16918587:16921266:-1 gene:ORGLA01G0179000 transcript:ORGLA01G0179000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:I1NPK0] MMSGGGYSALDDPKASGSVPAATGPDPPTIKFADSNLQIFPPSEAKGKISGAYRPPTDADDTFSSSKSGGGGRGGGGGGGAGSDDAGQGGWFRMFSVAAYKPYFDVDTSDVVERIWESVFPFRGTFTEKTSENPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWNYDINLVTWSAGLFYGYVTFVPLGLYVILKYFSAPAGLVQLWCLYGYSLFIFIPASLLSIVPIEIFRWVIAGVAGFMSATFVAMNLRAHIVNSGERWFLIVAGIFLLQLGLAVLLKLYFFTITV >ORGLA01G0178900.1 pep chromosome:AGI1.1:1:16913089:16915800:1 gene:ORGLA01G0178900 transcript:ORGLA01G0178900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11340) TAIR;Acc:AT5G11340] WAPGEGDAAAGNKEKGGGGGVDRTSLDGVRDKNVMQLKKLNTALFPVRYNEKYYHDTIASKEFSKLAYYSDICVGAIACRLEKKEGGAVCVYIMTLGVLAPYRSLGIGSKLLNHVIDLCEKQNIPEIYLHVQTNNDDAIAFYKKFGFEITKTIEKYYKNITPPDCYVLTKFIGQAATKK >ORGLA01G0178800.1 pep chromosome:AGI1.1:1:16905912:16910930:1 gene:ORGLA01G0178800 transcript:ORGLA01G0178800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSNENIQFSWGKKRAKGGIKMDTQFYDSFTFDNVKYSLYDNVYLFKSGESEPYIGKIIKIWQQNQAKKVKILWFFLPYEIRKHLSGPVMEKEIFLACGEGVGLADINPLEAIGGKCTVLCISKDERNRQPSPRELAMADYIFYRFFDVNSCTLSEQLPEKIAGVEGNLLLNSKVEQVTSCSDQEVHGVDQKMLNVPVPLPQSTVMEDESPVAAVSLPPSVFKEENVASAIPFPQPVVKEESAAAAIPPPHVALKEESVSKSTENITKPAQKVLPGERPPKRVKFSENVTVQNVPLDVPERPSRTGPLELAGRQADRSKWFKIPWDTRLRNADEQGTLVYIQNLDIQFAAADIEELIRDALQLNCIAKPINHPTYDDPNNGKAYAIFKTKSAADSAISKINSGLVVGGRPLYCSKGLLKVPKPSETLLGHLTINNIRMGIRQREEQKKAVSTSHCSQPNTMEYDLALDWMLVRAKQETKFRTLHKKHKDERKTFASKMGK >ORGLA01G0178700.1 pep chromosome:AGI1.1:1:16897339:16899837:1 gene:ORGLA01G0178700 transcript:ORGLA01G0178700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSSSWARALVQISPYTFSAIGIAVSIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPTALVHHPESLRAGYAIFASGLIVGFANLVCGVCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSSQATWPAKA >ORGLA01G0178600.1 pep chromosome:AGI1.1:1:16893753:16894355:-1 gene:ORGLA01G0178600 transcript:ORGLA01G0178600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPGDDEAARALALEQCERDFNAVIDRMLNLNLDGDDAAASSAASPEPPAPQAAPAPEVAAAAAVDGAARGDRGYWVETMMRELWAAASMDDARERGARVLDAFGAAVGAGAAARLDAASRQIGFLKRAVLFHHRLRTAQEKAQRELRWQLDDYREQVQRLEASNYALSLHLRQADLRRGGGGGGAMPHGPGNPEIF >ORGLA01G0178500.1 pep chromosome:AGI1.1:1:16877183:16884989:-1 gene:ORGLA01G0178500 transcript:ORGLA01G0178500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRREGSMWRSGGDVFSRSSSRFQDEEDDEEALRWAALERLPTYDRVRRGILAVSSEDGGAGGEKVEVDVGRLGARESRALIERLVRAADDDHERFLLKLRERMDRVGIDYPTIEVRFENLEVEADVHVGNRGLPTLLNSVTNTVEAIGNALHILPNKKQPMTVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLDKDLKVSGKVTYNGHGMHEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLTELARREKAANIKPDHDIDIYMKASAMGGQESSVVTDYILKILGLDICADTVVGNEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREHVLEFFEFMGFRCPARKGVADFLQEVTSRKDQGQYWCRRDRPYRFVPVKQFADAFRSFHVGRSIQNELSEPFDRTRSHPAALATSKYGVSRKELLKATIDRELLLMKRNAFMYIFKAVNLTLMALIVMTTFFRTSMRHDRDYGMIYLGALYFALDTVMFNGFAELAMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFLEVGVYVFITYYVIGFDPSVSRFFKQYLLLLALNQMSSALFRFIAGIGRDMVVSHTFGPLSLLAFAALGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGHSWSQILPGENVTLGVSVLKSRGIFTEAKWYWIGLGALLGYTLLFNLLYTVALSVLSPFTDSHASMSEDALKEKHANLTGEVVEGQKDTKSRKQELELSHIADQNSGINSADSSASRKGMVLPFAPLSISFNDVRYSVDMPEAMKAQGITEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVFSAWLRLPSEVDSEARKMFIEEVMDLVELTSLRGALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSSKLIEYFEGIDGVSRIKDGYNPATWMLEVTSSAQEEMLGVDFSEIYRQSELYQRNKELIEELSTPPPGSTDLNFPTQYSRSFITQCLACLWKQNWSYWRNPSYTAVRLLFTIVIALMFGTMFWNLGTRTKKQQDLFNAMGSMYAAVLYIGVQNSGSVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIELPYIMVQTLIYGVLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNVWNLFSGYLIPRPKIPVWWRWYCWICPVAWTLYGLVASQFGDIQHVLEGDTRTVAQFVTDYFGFHHNFLWVVAVVHVVFAVTFAFLFSFAIMKFNFQRR >ORGLA01G0178400.1 pep chromosome:AGI1.1:1:16869151:16870182:-1 gene:ORGLA01G0178400 transcript:ORGLA01G0178400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAAASPFAAPHEMMARAARPAAAAPEATTTMDLGQVLRLSDFDKIADLGDGASGVVAKVRLRGCGSSAVFALKTAHYCDGGAEVEVEALRRVAAGAGPWGSWSPHVVRCHAVFRCAGGEPAMLLELMDAGSLGRVLAALRGEGRRGLPEPALAEVAARCLAGLAHLHSRGVAHLDLKPDNLLASARGDVKICDFSVSRIFLGGAGERRRVPVAAGSTAYLSPERFEPNAHAGPRGACAADVWALGVTVLELFLGRCPILPAGERPSWERLKKAICDGEAPSLPASAAASAELRGFVASCLHKDPRRRATVAQLLAHPFVARRDGEACRRQLREIIVETM >ORGLA01G0178300.1 pep chromosome:AGI1.1:1:16842411:16848999:-1 gene:ORGLA01G0178300 transcript:ORGLA01G0178300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGEIQKVASMRLGGSMRGDSGSMWRRGDDVFSRSSREEDDEEALRWAALEKLPTYDRVRRAILPLGGDDGAGDGGGKGVVDVHGLGPRERRALLERLVRVADEDNEKFLLKLKDRVDRVGIDMPTIEVRFEHLEAEAEVRVGNSGLPTVLNSITNTLEEAGNALGILPNRKQTMPVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLGKDLKASGKVTYNGHGMEEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKAAAMGGQEANVNTDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQTVHILGGTAVISLLQPAPETYNLFDDIILLSDGQIVYQGPREDVLEFFKSTGFKCPDRKGVADFLQEVTSKKDQRQYWARHDKPYRFVTVKEFVSAFQSFHTGRAIANELAVPFDKSKSHPAALATTRYGAPGKELLKANIDREILLMKRNSFVYMFRTFQLMVVSLIAMTLFFRTKMKRDSVTSGGIYMGALFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFYPAWSYTIPSWILKIPITFIEVGGYVFLTYYVIGFDSNVGSFFKQYLLMLAINQMAGSLFRFIGGAARNMIVANVFASFMLLIFMVLGGFILAREQVKKWWIWGYWISPMMYAQNAISVNELMGHSWNKIVNSSASNETLGVQVLKSRGVFPEARWYWIGFGAMIGFTILFNALFTLALTYLRPYGNSRQSVSEEELKEKRANLNGEIVGDVHLSSGSTRRPMGNGTENDSTIVVDDTEVTQRGMVLPFTPLSLSFDNVRYSVDMPQEMKAQGVADDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSINISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPEDVDSNTRKMFIEEVMELVELKSLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHHSSELIKYFESIPGVSKIKDGYNPATWMLEVTTIGQEQALGVDFSDIYKKSELYQRNKALIKDLSQPAPDSSDLYFPTQYSQSSLTQCMACLWKQNLSYWRNPPYNAVRFFFTTVIALLFGTIFWDLGGKVTKSQDLFNAMGSMYAAVLFIGVMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIEIPYTLVQATVYGIIVYAMIGFEWTAAKFFWYLFFMVFTLLYFTFYGMMAVGLTPNYHIASIVSSAFYAIWNLFSGFVIPRPRVPIWWRWYCWACPVAWTLYGLVVSQFGDIETPMEDGTPVKVFVENYFGFKHSWLGWVATVVAAFAFLFASLFGFAIMKFNFQKR >ORGLA01G0178200.1 pep chromosome:AGI1.1:1:16832188:16840602:-1 gene:ORGLA01G0178200 transcript:ORGLA01G0178200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAEMQKVVSLRRGGGGSSSRGAASMWWSADNGVFSRSRASSSGEDGEDDEEALRWAALEKLPTYDRVRRAVLPVVEEGGGGGEAGKKVVDVLSLGPQERRALLERLVRVAEDDNERFLLKLKERIDRVGIDIPTIEVRFEHLEAEAEVRVGNSGLPTVLNSMTNKLEGAANALGILPNKKQTMPILHDVSGIVKPRRMTLLLGPPGSGKTTLLLALAGRLGKDIKFSGQVTYNGHQMEDFVPQRTAAYISQHDLHIGEMTVRETLSFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKASAMEGQETNLITDYILKILGLDICADTMVGDDMVRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKSLRQAIHILGGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREGVLEFFELMGFKCPERKGVADFLQEVTSRKDQKQYWMQHDKPYRYVPVKDFASAFQSFHTGKSIANELATPFDKSKNHPAALTTSRYGVSAMELLKANIDREFLLMKRNSFVYIFRACQLMVVSAIAMTVFFRTKMHRDSVTDGVIFMGALFFSVMMIMFNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKIPMSFIEVGGFVFMSYYVIGFDPSAGRFFKQYLLMLAINQMAAALFRFVGGAARNMIVANVFGSFMLLIFMVLGGFILVREKVKKWWIWGYWISPMMYAQNAISVNEFLGHSWDKVLNNSLSNETLGVQALRSRGVFPEAKWYWIGFGALLGFIMLFNGLFTLALTYLKPYGKSQPSVSEEELKEKQANINGNVLDVDTMASSTNLAIVDNTETSSEIADNSQPTQRGMVLPFAPLSLTFDNIKYSVDMPQEMKAHGIVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPQVTVSESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHQSSELIKYFEGIKGVSRIKDGYNPATWMLEVSTISQEQALGVDFCDIYRKSELFQRNKALIQELSTPPPACLWKMHLSYWRNPPYNAIRLFFTTVIALLFGTIFWDLGGKTGKSQDLFNAMGSMYSAVLFIGVLNSQSVQPVVSVERTVFYRERAAGMYSAFPYAFGQVAIEFPYTLVQSIIYGIIVYSMIGFKWTAAKFFWYLFFMFFTFLYFTFYGMMAVGLTPSYHVASIVSSAFYGIWNLFSGFIIPRPKVPIWWRWYCWICPVAWTLYGLVASQFGDIMTPMDDGTPVKIFVENYFDFKHSWLGVVAVVIVAFTMLFAFLFGFAIMKLNFQKR >ORGLA01G0178100.1 pep chromosome:AGI1.1:1:16828373:16828669:-1 gene:ORGLA01G0178100 transcript:ORGLA01G0178100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGRREGSPTKKRQHTEPDLPSEHAVEDQDVIRRLKLLSAKRPDRVAIVAILLKEIGHPTTLLKCKPEEEFVFSRTLRVLTKEMLAIVIPTCVGKWRDG >ORGLA01G0178000.1 pep chromosome:AGI1.1:1:16814166:16822560:-1 gene:ORGLA01G0178000 transcript:ORGLA01G0178000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVVEMQKAASFRREGGGSMASMWLSADGNGAFSRSSSSSSRRMRGEEDDEEALRWAALQKLPTYDRVRAAILPMVEGEGGEAGGGGGGRRVVVDVHSLGPHERRALLERLVRVADDDNERFLLKLKERISRVGIDMPTIEVRFEHLEVEAEVRVGNSGIPTVLNSITNKIEEAANALGILPTRKQILRILHDISGIIKPKRMTLLLGPPGSGKTTFLLALAGRLKDLKFSGQVTYNGHQMEDFVPQRTAAYISQHDLHIGEMTVRETLSFSARCQGVGSRFDMLTELTRREKAANIKPDADVDAFMKASAMEGQESNLITDYILKILGLEICADTMVGDDMVRGISGGQRKRVTTGEMLVGPANAFFMDEISTGLDSSTTFQIVKSLRQTIHILGGTAVISLLQPAPETYDLFDDIILLSDGHIVYQGPRENVLEFFELMGFKCPERKGVADFLQEVTSRKDQKQYWAQHDKPYRYVPIKEFASAFQSFHTGRSIANELATPFDKSKSHPAALTTSRYGVSAMELLKANIDRELLLIKRNSFVYIFRTIQLMTVSAMAMTVFFRTKMHRDSVADGVIFMGALFFAVMMIMLNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKSPMSFIEVGGFCFMSYYVIGFDPNVGRFFKQYLLMLAVSQMAAALFRFVGGAARNLIVANVFGSFMLLIFMVLGGFILARDKVNKWWIWGYWISPMMYAQNAVSVNEFLGHSWDKVLNNSLSNETLGVQALRSRGIFPEAKWYWIGLGALLGFIMLFNILFTLALTYLKPDGKSQPSISEEELKEKQANINGNVLDVDTMASSTNLAIVGNTGTGSEIADNSQPTQRGMVLPFTPLSLTFEDIKYSVDMPQEMKAHGIVEDRLELLKGVSGCFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVSESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSSELIKYFEGIQGVSKITDGYNPATWMLEVTTVSQEQALDVDFCDIYRKSELFQRNKALIQELSTPPPGSSELYFPTQYSQSFLIQCLACLWKQHLSYWRNPPYNAIRLFFTTVIALIFGTIFWDLGGKMGQSQDLFNAMGSMYAAVLFIGVLNGQSVQPVVSVERTVFYRERAAGMYSALPYAFGQVAIEFPYTLVQSVIYSIIVYSMIGFQWTVAKFFWYLFFMFFTLLYFTFYGMMAVGLTPSYHVASIVSSAFYAIWNLFTGFVISRPLNSILPGPCAQATPVWWRWYCWICPVAWTLYGLIVSQYGDIVTPMDDGIPVNVFVENYFDFKHSWLGFVAVVIVAFTMLFAFLFGFAIMKLNFQKR >ORGLA01G0177900.1 pep chromosome:AGI1.1:1:16804807:16806904:1 gene:ORGLA01G0177900 transcript:ORGLA01G0177900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVRIEVLVLLGVAALFVLLILGSYRRQSSRNAVRVSIWVAYAASIPMVSYTLGLMQSSPYKNSLFSVWAVILFIFLGSADSLSAYRLQDNDNWKRFYFEQLIHSFWVGWLMVSSGAGSDFRYVLWPIYVIVVLKSGTRILSFKLASRRSMLSESTKWVADYMTYERELSTAGEWDPVTMRGYRYVVAGEEKQRRKVEAPEYVSKLDGDDRAKAKLVTVEQIWRCSGSLLCGDGDRAGQLKDVCLSMALSKMLNRRFAGFHKLVESELDKTHDFLFRGLLHGQKYVERAFRVIEVELAFVLHKRVHVPNDELKLIDDHNNNFDALITAVLIIGVALVEGLQVYIYLASAWCKVALISKYVARESWSSRQWVANLIGCITSFKSFRSWEDKLGQYTLLKNVDYIPINFMYYATMFLVDRTKKGRKEDKRVRLSMVVKEAVIDTLRSSNGQLTNGVKSLKANGIEVFRKHSWSCTTVRTTTHTIVAWHIATTLCEVEDEEQHRMDSTTTNYKDVACSLSRYCAYLVAFAPELLPDHSFVSQTIFDALVDEATQELLNLKTLEQRCEKLKEIGKVSDMEQNGGDNRLIVLGARLGCQLLEIENPSRRWKVLSDFWAEMVLYLAPSDDARERLETLTRGGEFITHLWALLTHGGILERSTTGAGQCQNV >ORGLA01G0177800.1 pep chromosome:AGI1.1:1:16788226:16791571:1 gene:ORGLA01G0177800 transcript:ORGLA01G0177800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLALAPSSGLCEELSACGAATIPSSSDGTSSVTLSHRYGPCSPADPNSGEKRPTDEELLRRDQLRADYIRRKFSGSNGTAAGEDGQSSKVSVPTTLGSSLDTLEYVISVGLGSPAVTQRVVIDTGSDVSWVQCEPCPAPSPCHAHAGALFDPAASSTYAAFNCSAAECAQLGDSGEANGCDAKSRCQYIVKYGDGSNTTGTYSSDVLTLSGSDVVRGFQFGCSHAELGAGMDDKTDGLIGLGGDAQSLVSQTAARYGKSFSYCLPATPASSGFLTLGAPASGGGGGASRFATTPMLRSKKVPTYYFAALEDIAVGGKKLGLSPSVFAAGSLVDSGTVITRLPPAAYAALSSAFRAGMTRYARAEPLGILDTCFNFTGLDKVSIPTVALVFAGGAVVDLDAHGIVSGGCLAFAPTCDDKAFGTIGNVQQRTFEVLYDVGGGVFGFRAGAC >ORGLA01G0177700.1 pep chromosome:AGI1.1:1:16750737:16756160:-1 gene:ORGLA01G0177700 transcript:ORGLA01G0177700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVTRAVAAAVLVVVVVVAAAELVAAEPPPSERSALLAFLAATPHERRLGWNSSTSACGWVGVTCDAGNATVVQVRLPGVGLIGAIPPGTLGRLTNLQVLSLRSNRILGGIPDDVLQLPQLRLLFLQNNLLSGAIPPAVSKLAALERLVLSSNNLSGPIPFTLNNLTSLRALRLDGNKLAGNIPSISIQSLAVFNVSDNNLNGSIPASLARFPAEDFAGNLQLCGSPLPPCKSFFPSPSPSPGVSPADVPGAASSSKKRRLSGAAIAGIVVGAVVLALLLLVAAVLCAVSKRRRGASEGPKSTTAAAAGAGAAAARGVPPPGSGEGTGMTSSSKEDMGGASGSAAAAVAAVAAEPSRLVFVGKGAGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVARREFDAHMDALGKVEHRNVLPVRAYYFSKDEKLLVFDYLPNGSLSAMLHGSRGSGKTPLDWDARMRSALSAARGLAHLHTVHSLVHGNVKSSNVLLRPDADAAALSDFCLHPIFAPSSARPGAGGYRAPEVVDTRRPTYKADVYSLGVLLLELLTGKSPTHASLEGDGTLDLPRWVQSVVREEWTAEVFDVELVRLGASAEEEMVALLQVAMACVATVPDARPDAPDVVRMIEEIGGGHGRTTTEESEEGVRGTSEEERSRGTPPAAPTP >ORGLA01G0177600.1 pep chromosome:AGI1.1:1:16744090:16745940:1 gene:ORGLA01G0177600 transcript:ORGLA01G0177600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLPLPLPATRHHPKPHEASASASASLHAALASLSQQCGAGGGGGGALRDAFALVARAERDACPAAVVSVGPEAYASLLQCCVAAGCLRAGRQVHAAAVKRGPRYCRHAYIGTKLAVFYARCGALGDAERAFSALPAKNAFAWAAVIGMWSRAGLQGKALAGYAAMLEAGVPADNFVVPNVLKACAGLGLLGPGRAVHGYAWKAGVGNCVYVMSSLVDFYGKCGEVDDAREVFDVMPERTVVSWNSMLMGYIHNGRIDEAADLFYEMRVEGVLPTRVSVLSFLSASADLEALDGGKQGHAVAVSSGLEMDLILGSSMINFYCKVGLVEAAEVIFEQMVERDIVTWNLMISGYLQDGQTDKALTTCHRMLESGLKFDCVTLASVIMACVKSYRMEVGGAAHAYAVRNNLESDKTVFCSLIELYSSSGRIEQMHRVFDSIRRRDIATWKAMICAYADHGMGSEALKLLYQMQLEGTFPTAACWDSVLSAFIRNGQLDDALSTFYEMLQTSTRPNLRTWSLLISGLSRNGMHPEVMNLCCKMQEVEPAPSPTIFSAALLAVKSAASVQYGKAMHACIVKKGLLLSKSVVQSLLNMYGSFNDRGTVESLLRFLAAAQ >ORGLA01G0177500.1 pep chromosome:AGI1.1:1:16727157:16736130:-1 gene:ORGLA01G0177500 transcript:ORGLA01G0177500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNRLDIYIYDYFVKRNLQTTAKAFQSEGNVSMDPVAIDAPGGFLFEWWSIFWDIFIAQIDREHSDVATSYIETQQAKAEHKKQQQQQYHHQQHQHQQIQMQQMLLQRAAQQQQQLHRDGCHLLNGITSGFSGNDLLMRHNPATANAMAVKIYEERLKLPSQRDSLDEASIKLQQRYGEKYGQVLDLNQASLLKADTCGQSSGPILPGGIGDLSSTLQQVQARSPRLPILEQNIKTRINPILTNRDVISEGSLLGLQGSNHGGRNFMLKGWPLMQKPFLQSPQQFQQLQFLTPQQQLLLQTHQNMASLPANDVETRRLWMLHNNKNMAIHLDGQINNNSGHIIPNIGSPDQIGGSRNKIDMLIAKIAHLQQLQQQGHSQQQQLQQSTISHQQAQSLNQLHHQQAQSVGSMLDGSIPNSFGLVNRASKKRKKIVSSSGRANSSGTSNNVGSSSSSAPSIPFTHTPGDAMSMPQLKYNGGKSKSLSMFGYDDTKSLISPANPPGDVDQLQEDGSLDENVESFLSQEDMDPQETMGHCMDASKGFGFIEVAKARASTNKVDCCHFSSDGKLLATGGHDKKVVLWFTDDLNIKATLEEHSMIITDVRFSSIMTRLATSSFDKTIRVWDANNPEYSLHTFIGHSTSVVSLDFHPNKEDIICSCDSDGEVRCWSIDNGSCVNCVRVFNGGAIQLRFQPHHGKYLAVVSEKMISILDAETLHIYRSDLQGHLKNIHSVCWDATGGYLASVSEDSIKYLELWDIRENNIVTINNAHDGMIPSLAASNASGLIASIIIGQNGS >ORGLA01G0177400.1 pep chromosome:AGI1.1:1:16713015:16723957:-1 gene:ORGLA01G0177400 transcript:ORGLA01G0177400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYFMKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQHMKAREQQQQQQQQPPQQRQQQPQHIQMQQMLLQRAAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRRDGTHLLNGTASGLPGNNPLMRQNQSTANVMATKMYEERLKLPSQRDGLDEVSIKQRYGENAGQLLDSNEALLKASASGQSSGQILHGTVGGLSGSLQQVQSRSPQIPGPAQSIKTEMNPILTPRSAGPEGSFIGVQGSNQAGNNLTLKGWPLTGLEQLRSGLLQQKSFVQNQQQLQQQIHFLTPQQQQQLMLQAQQNMASPTSSDVDSRRLRMMLNNRNVGQTNSGGDIIPNIGSPSLSGGDVDILIKKKIAQQQQLLQQQSNSQQHPQLQQPAVSSQQSQSSNQFLQQEKPGIGTMPVDGGMPNSFGGVDQTTKKRKKPGSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQLQQNGGSAKPLVMFGSDGAGSLTSPANALADVDRLLDDGSLDENVESFLSQDDMDPRDSLGRSMDASKGFGFAEVAKARASATKVTCCHFSSDGKLLATGGHDKKVLLWCTEPALKPTSSLEEHSALITDVRFSPSMSRLATSSFDKTVRVWDADNTSYSLRTFTGHSASVMSLDFHPNKEDMICSCDGDGEVRSWSINNGSCLTFVKVFKGGATQMRFQPQKGKYLAAASEKAIYILDGETQLACRNPLQGHTKNIHSLCWDSTGDNLASVSEDSVRIWSFAPGHDGEFVNELNCSGNKFQSCVFHPSYPYLLVIGCYESLELWDIREKNAMTVHSAHDGLVAALAASSATGKVASVSHDRFVKLWK >ORGLA01G0177300.1 pep chromosome:AGI1.1:1:16708717:16711248:1 gene:ORGLA01G0177300 transcript:ORGLA01G0177300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68185) TAIR;Acc:AT1G68185] MAAGAEAEADEEELEPLFDYSRVQPTMAFSFDDTDIEKSDIFVHCNKRRKVADGDGDANADEKGDKGEQKAAKAAAVVDLGEEDWLPPPPPPKPKSTVTDESEQSSVLKELRLQKQAMAKFAESADDFLEKLAQTARQKVEARIPTEHIDLDKSPERHEAREKVVVTVQDKAGHHQFRLYKDEKFGKLFRAYAKKVNLSVADLTFAFDGDKVDAESTPEDLGLEDEDMVEVLHKTR >ORGLA01G0177200.1 pep chromosome:AGI1.1:1:16697975:16707479:1 gene:ORGLA01G0177200 transcript:ORGLA01G0177200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bidirectional amino acid transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G01170) TAIR;Acc:AT2G01170] MAEEGQRGGYSRLAGDEEVATASGGGGGDYDERKLRLLGYEPQLKRNLSLLSNFAVSFSIVSVLTGITTLFGTGLQFGGPATMVYGWPIAGAMTLVVGLAMAEICSAYPTSGGLYFWSARLCSHRRWGPFASWLTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGNNGGGYMASKYVVIAFHAAILLSHAAINSLPITWLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTENNAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISIIVGWGYILGITFAVKDIPYLLSPDNDAGGYAIAEVFYLAFKSRYGSGIGGIICLGIIAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWHKVNKHEVPINAVWLSALISLCMALPSLGSLVAFQAMVSIATIGLYVAYALPILFRVTLARKHFVPGPFNLGRCGVAVGWAAVLWVATITVLFSLPVSYPVTKDTLNYTPVAVGGLFLLVLSSWLLSARHWFKGPITNLDG >ORGLA01G0177100.1 pep chromosome:AGI1.1:1:16696524:16697042:1 gene:ORGLA01G0177100 transcript:ORGLA01G0177100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPVDEELPAAGAGAGDTEAAACGGGGGRRRDQAGQAAGGGGGVVEVRPATCGRAVVVAVVVVVAVAAAAAGSRRGGVPQLRATTAADDAGGTRELGRRRVVVVGGGGADGEASACRVEAVRRPGRRERGGAEPVRGGGAAAPAELVGDQEVAAPGEEGCTYRASLSLAH >ORGLA01G0177000.1 pep chromosome:AGI1.1:1:16693532:16695309:1 gene:ORGLA01G0177000 transcript:ORGLA01G0177000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTALHLIAVAVAAVVAAAAAPAPASASASAAGAFSEVPPETPCAAAIVSVAPCLAHVAVVAPPARPAPAPTEACCAAFLLGVSPSGGGGEGCFCHLLRDPLLLGFPVNTARLGALLPTCAAANANASAAAAVEAATLFADTCRADLKSLPEMRFLPDPPPTPTISPAAVPGSMPPTTEERSTPVPVPPQDRSGSETSTPSRNFLVVLLALTAAAAADLIQL >ORGLA01G0176900.1 pep chromosome:AGI1.1:1:16692226:16692726:1 gene:ORGLA01G0176900 transcript:ORGLA01G0176900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKRKKNMRNPSQMLRLLLLQVAILAAVLATPSLGRVIGGSKCKCLMCVCDVDPHPLPPSRHHPPPPEEPEPEPTPVYHHYPPPTTPAYSLPPPAQPYGQYPYPYPSQGQAGAAYWPPSTPAEMYPQVRGYIRSAAAHRRRPGHGGLSSRLVVVSVLLVSGLMPLLV >ORGLA01G0176800.1 pep chromosome:AGI1.1:1:16689767:16690875:1 gene:ORGLA01G0176800 transcript:ORGLA01G0176800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGRGRGRRRMMQGSSYYAVLGVHPGASAAEIRAAYHRLAMKWHPDKITSGRVDPEEAKSRFQQVHEAYQVLSDEKRRALYDAGMYDPLDDDQEEDVEGFHDFLQEMVSLMATVGREEPVYSLDELRSMLDGMMQDFASSELPSPSGGFFAGAPSSPFANTGAAQQQRGVGSASARAHAHPQVVGNSACLSRMAFSSY >ORGLA01G0176700.1 pep chromosome:AGI1.1:1:16680944:16681378:-1 gene:ORGLA01G0176700 transcript:ORGLA01G0176700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSAIFMLFLALGSLAIVVHGGRTHAVVVVEPAKKSAAGGGVVQPEMDPITICSPSNFCIPEAWSSCYRCIVKPDDNPPFRTIDECNSNCPVPPANA >ORGLA01G0176600.1 pep chromosome:AGI1.1:1:16680682:16680939:1 gene:ORGLA01G0176600 transcript:ORGLA01G0176600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGRSESVLGRTRKECGWEANGQSIIALLICSDDTSIPTTSSKTSCIYSILSQKKKAVQHYIGVSPLSPKMKISLILIRSRAHD >ORGLA01G0176500.1 pep chromosome:AGI1.1:1:16674283:16674693:-1 gene:ORGLA01G0176500 transcript:ORGLA01G0176500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSAIFMLFLALGSLAIAVHGGRTHAIIEPAKKSAAAGVAQPQFDPITICSPSNFCIPEAWSSCYRCIVKPDDNPPFRTIDECNSNCPVPPANA >ORGLA01G0176400.1 pep chromosome:AGI1.1:1:16671223:16672209:-1 gene:ORGLA01G0176400 transcript:ORGLA01G0176400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLPLLLLLLAAAAASARHVITFIPARGVSPASLAWDPTAQHFVVAGGGEAVLSVSDAGVTESIASHRGASAVAVDDRRRRLLVASPGSVSAYDLRTPRPHARLFSATLPDPAAPPGGVAVDPHSGAAFLTVGARIYKVSPDGDLAALPPSPAYGGPDPLASLAAHVSRGFLLVGQPSTGRLLRVDMEDGAARAVSGALTPPSPAAVAVRSDGTVAVGGGATLRLVGSNDGWSSCAERDEAEPDGGAAAAVAAVAVRERRRVYALVAPAAADAAAWRIEEVSWKKEGEGEMVVGFVFVGVALAIFMFWRFQMRQLAGSMNKKIR >ORGLA01G0176300.1 pep chromosome:AGI1.1:1:16666918:16667683:1 gene:ORGLA01G0176300 transcript:ORGLA01G0176300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILYQCKEFLKIQKFRRTASYAGFYCFTALMTYAYTSNTTRAGISRGDQFYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMAKHFDRQGKPPYAYHAQYMAHLLSHGQLDGSG >ORGLA01G0176200.1 pep chromosome:AGI1.1:1:16661467:16663807:1 gene:ORGLA01G0176200 transcript:ORGLA01G0176200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1NPH2] MISPDAARNVVGIIGNVISFGLFLAPVPTFWRICKRKDVEEFKADPYLATLLNCMLWVFYGIPVVHPNSILVVTINGIGLLVEGTYLLIFFLYSPNKKRLRMCAVLGVELVFMLAVILGVLLGAHTHEKRSMIVGILCVFFGSIMYFSPLTIMGKVIKTKSVEYMPFFLSLVCFLNGVCWTAYALIRFDIYVTIPNGLGALFGAIQLILYACYYRTTPKKTKAAKDVEMPSVVVSGTGAATAAAAGGGNTGGGSVSVTVER >ORGLA01G0176100.1 pep chromosome:AGI1.1:1:16659634:16661007:-1 gene:ORGLA01G0176100 transcript:ORGLA01G0176100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGASGEVRYGVARVEDDATARPSATAATAFAPPRGARVFPIPHHHHASSRTHTGQDEERPGDTNSGGNVLGA >ORGLA01G0176000.1 pep chromosome:AGI1.1:1:16651547:16653702:1 gene:ORGLA01G0176000 transcript:ORGLA01G0176000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1NPH0] MISPDAARNVVGIIGNVISFGLFLSPVPTFWRICKRKDVEQFKADPYLATLLNCMLWVFYGIPIVHPNSILIVTINGIGLIVEGTYLFIFFLYSPNKKRLRMLAVLGVELVFMLAVILGVLLSAHTHKKRSMIVGILCVFFGTIMYFSPLTIMGKVIKTKSVEYMPFFLSLVCFLNGVCWTAYALIRFDIYVTIPNGLGAIFGAIQLILYACYYRTTPKKTKAAKDVEMPSVISGPSAAATASGGSIVSVTVER >ORGLA01G0175900.1 pep chromosome:AGI1.1:1:16642956:16648461:1 gene:ORGLA01G0175900 transcript:ORGLA01G0175900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGIGATAGLAACWSAAAITSVRYRLADKDLLVSVTSDEELVHMHDEYDRLRPAILAHPCGRPKSRPVHTDGLESQAHVSEAQQAGTRQLGSFSLSPSHRKRKQRRPLLSSPDDASPLAADPLVSRHPTMATGGGGGGGGGDIGADSERRLKKAMDKLYHFPKPKAGTGPGSSKPSSAFTSSALSIGRAGKAAGAGGRRFGMVRGSRLPSQLAAMSAISPPPPCRPWDRADLMRRLATFKAMTWFAKPKVISPVNCARRGWINIEPDVITCEACEARLLFSTPSSWAPQQVEKAASVFSLKLDNGHKLLCPWIDNICDESLALFPPTPPPVLVENYHEGFSSLLRLSALPRISCSSLESMKKRSPQLEQFLLKPFSSSVVLKGGFILTEDSTIKDLDDTFQDADTYYQQALKIISLCGWEPRLLPYAVDCGTKSHSDANSSSTLTQPGLINNSMEDRVVVYAPNEVDGSTVIADARQAYQHYDPLSVVLDCQFCGACVALWPFSLVERPLQLFKLISDSSRQDEQTEGHAGRVSGAGPSKTANIGFNFTIAGGPPPTRQNFRPRVSLPVVSRHLKADLSSHGHFISSGSDNHMVPVTLHASGLTKRKRSMDESHTLEGNNTISTDADTTTNGADHQRENSVNGTSNLVANPEHQQGGSHSDTSRVTSTGEVSNEESETGHAAIKSHTSTDELGQHGSDPKSLPVEDSSNAHDLAKTCTNNSRPVQAATLTKSSNDGEKGASQPSGSQGLYDKLNEFDPMKQHRTFCPWICPDGGETLPGWRLTLPALLSQDKRIDEDSQVEPQISLLSEEDDPVTSVRKLFMTPPSKKLRIHRAEKG >ORGLA01G0175800.1 pep chromosome:AGI1.1:1:16638149:16641717:-1 gene:ORGLA01G0175800 transcript:ORGLA01G0175800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT1G18550) TAIR;Acc:AT1G18550] MPVSTRASAAGGQPWRSAAPAPASAPGRGGARREILTNHHHHGLKEKMRALTLFYEQHKQQLASSQGGGARSRRSIQYAVGEVGGDENGRNAEEEDDVGLKRHDAAAAPVPVAAVLRENMAPPEERAPAPPPKSSHVVVFSRQADPTEKENVGHGGIATMSCPIKKAAPALPAPAARKLSLGGGMAARLKAAGEAGAGNGDAAGSRIMVFVRLRPMSRKEKDAGSRSCVKIVNKKDVYLTEFASETDYLRLKRVRGRHFCFDSSFPDATTQAEVYSTTTSDLVEAVLQGRNGTVFCYGATGAGKTYTMLGTMESPGVMVLAIKDLFTKVRQRSHDGNHSIQLSYLEVYNETVRDLLSPGRPLLLREDKQGTVAAGLTHYRAYSTDEVMKLLQQGNQNRTTEPTRVNETSSRSHAILQVIVEYRSIDGGSIVTRVGKLSLIDLAGSERALATDQRTQRSIEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGSCNTVMIANISPSNLSFGETQNTLHWADRAKEIKTKALTTANEEVLRVTDSETDQAKLVLELQKENSELRQQLARQQQKLLTVQAQTLASNASPQQSPAPSAQISTPCSTQRKVKRSILAGNCFNTPDSKRPAAENAQVRDLQRKVKAMEAEIEKMKKEHLLQLKQKDEFIRDLINRKTSNVPEAATCERRVATRASVRKAQKDAAAAGELRSPSHRFTSPAPAAKKRTFWDIGGNSPSTLAVNGRKTRSHVAAETPKGTSMLLQPGFARQRAIH >ORGLA01G0175700.1 pep chromosome:AGI1.1:1:16632472:16635709:1 gene:ORGLA01G0175700 transcript:ORGLA01G0175700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamete-expressed 3 [Source:Projected from Arabidopsis thaliana (AT5G16020) TAIR;Acc:AT5G16020] MAMDALHRLCLLVCVLIGAGCSQSPRHQRLPATTTTAGNVQRRPPGVAGALGSPLIGHDGRLIACSEKKSLVAFERNGSIAWMVTLGHTCKEGISPVAERDEIYLVAEDNKVIKITPKKLHTADPPSEVFFSYNATPGRSEEIIGLSISGSSSSLFLTIRNRGLFAFSLHAELQWSAGPVADLVSSRLGCKTNISGCYFNSPPVVDRCEGTLYVSNTEGQLYSLYIKSGQYRWIQDLGSLDKVMNIVPGNNGLLYIVLPRKSIVMGLDVLTGNISWHQTIGPLSNEKILPPVDSNGWISVGSLDGTLYSISPNGDIRRFPERTTPGSVIHASPVLDCSGFSVYVSQTIMEAKSNQTIGDSTSLSVMKSSSTLLTLLTPANGTIHWTGNYPGELSDFLSSTDLNDFALDETIVLRLFSATRQRIAWTCRTVKPNFGNDPGDRNIRFVLFFHFIVIVIAIVNCFCCIFWRKKKLQKNGLKKFLEKRRSLHTKRKILGKRISELEQKTVHDATSNEALGQLGETVNAKEWVERKLCTSYSLGRDMLGLKHDSILPLNNTKYKSHSFRNSREESITVFNTFSGTSSSENGTSSCSGDSESFSDCSYGDEMLGTNFQSAAQEAGPSNYADTADQVFQDEYTPDVKSTNLHKEEYMMEAMHDKAPSKRMYLKRRRTFPSSKQNI >ORGLA01G0175600.1 pep chromosome:AGI1.1:1:16629709:16632016:1 gene:ORGLA01G0175600 transcript:ORGLA01G0175600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 37 [Source:Projected from Arabidopsis thaliana (AT3G24515) TAIR;Acc:AT3G24515] MAQAARLNLRMQKEVKLLLNDPPHGVSLNLSGDESALSSLLSFEARIQGPDETVYSKGVFVLKIQIPERYPFQPPNVTFVTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNIATVLTSIGLLLSDPNPDDGLMAEISREYKYNRQLFDINARSWTEKYANPSAIGASGWSSVDVSTLAPNIQEEKLSLEPLPKASNKNCDGSRKKMRLLSQKLSLKSVPEENTTIGKKDLVTNHLSSTARPTDPTACLSDVSGKLNDTSESISVIADSAVTSKKEYQGTRRNLQLLGQGLPVTSEGPSKNSNGSVEDKLPNHLLASASSNTKHPIMGSSDNALEKSSAKRIGESLDSKSNVQKENMAPKHLPSLSGFSNLDKRQSHVISGKNSIGHTNLVQQNSNNENVLPSTQLIPSKECNQGRKKLHLLSKRLSLKSELPADKTSEKEYMQTDCSQNNRKPNELTLSAPVLKNQILGPVDPQKDARQSKSSIQQNTTPVERIVVSDSEDSADECERPSRSRLSLMRRRLAGKPRS >ORGLA01G0175500.1 pep chromosome:AGI1.1:1:16626253:16628926:-1 gene:ORGLA01G0175500 transcript:ORGLA01G0175500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGELRRTVGDPGGGRPQIRAQREHVRSLPAASTATSSGGHNGKRLPPPPPPPRLRPAIASSRSRVSFRRGFLEARGGKKLRVSCRRRILAVSACSGEADSDAAASPAESTFDLNLPRRSLLVQFTCNACGERTKRLINRVAYERGTIFLQCAGCQVYHKFVDNLGLVVEYDLREENGMNIDTET >ORGLA01G0175400.1 pep chromosome:AGI1.1:1:16624323:16625966:1 gene:ORGLA01G0175400 transcript:ORGLA01G0175400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAIESYKRAVTTAASLAASAMLVRGVVNELVPYEVRDLLFSGVGYLRSRMSSQHTVIIEETEGWTNNQLYDAVRTYLATRINTDMQRLRVSRVDETKSMMFSMEEGEEMADVHEGSEFRWRLVCRDNSSSSNGNGNGRGGNGNYRLEVRSFEMSFHKKHKDKALNSYLPHILATAKKIKDQDRTLKIYMNEGESWFAIDLHHPSTFTTLAMDHKQKQSVMDDLERFIKRKEYYKKIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCTLELQQREEGQESSKSNPSEDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYKERLDPALLRPGRMDMHVHMGYCCPESFRILASNYHSIDNHATYPEIEELIKEVMVTPAEVAEVLMRNDDTDVALEGLILFLKRKKDVGKEGKAENVEQVVKAEETEKETMKKNDVPENQDPQDASKE >ORGLA01G0175300.1 pep chromosome:AGI1.1:1:16611569:16611811:1 gene:ORGLA01G0175300 transcript:ORGLA01G0175300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAPYSGVKRGGRMGAAIRRPLRRGRQHQLSLLPGSTATPRRMARQRHRRPPSPLSDGAELLPGLQVRYRRSMAPPRG >ORGLA01G0175200.1 pep chromosome:AGI1.1:1:16597526:16601654:-1 gene:ORGLA01G0175200 transcript:ORGLA01G0175200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEGSTGGGGGGGDPQRLKRIGAAAYDYENDSRWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIDPDLIVEPMSSTSSSQSTKPAARSSATPSNENVRARDSGSSARSTAASQPSQTERTANSLRLDGRTIHFSINAWVLVVASLGILPILPKHIASKAYRLSLLGTICSSAYSLYVTYGKPRAWNMAAIQPWLQSIIVAKDFVHLMFSLMMFTSNVHYKIALLPVLCWALDHVARFLRRNFARSSLYRKYLEEPCLWVETNNTTLSLLCSNAEITLGFLMIVSLFSWRRNIIQTFMYFHLLKLMYHAPVTSGYHQSAWARIGRAVNPYIHRYAPFLNTPISAVQRWWLR >ORGLA01G0175100.1 pep chromosome:AGI1.1:1:16595003:16595743:-1 gene:ORGLA01G0175100 transcript:ORGLA01G0175100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQRERPREDRVHEETRGADHAHPSVPHAAAAAATATATRTMSLHAGGVVVVDGKEKGKKEEGEGKGKGKAPAAAEAVRGRARLRGEQLRQLHEIFLRFDLDGDGSLTKLELAALLRSLGLRPAAGDEIHALIAAIDADGNGTVEFDELASSLADLILGPCRPSVAVDQAELAEAFRAFDRDGNGFISAAELARSMARMGHPICYAELTDMMREADTDGDGLISFEEFTAIMAKSALDFLGLAAL >ORGLA01G0175000.1 pep chromosome:AGI1.1:1:16571120:16571929:1 gene:ORGLA01G0175000 transcript:ORGLA01G0175000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRMTDLIKTPFRINNSASNGAIQDESLPISLDVHLISSEEDPMSFQLVWLCHDEFRVQVSVFSNDTRDWCFLPWVDIEERVSSPDVPQDGTKYWLMSGMQANGLIFWPFQNGKHMLVLDTGTMEFSVYEFPIYSKLVQGCSFGVGETKDGIPCIAYVNGATIVVLIRRFDKKQGVQGWRFVDGVNCDDEADQLGINGGLDVVAIKDGFVYLAATGMILSLCLETRKLEKLFPMSFQFPLHPYIMAWPPTLVGNYGSFAEIQDDISNV >ORGLA01G0174900.1 pep chromosome:AGI1.1:1:16569500:16570180:-1 gene:ORGLA01G0174900 transcript:ORGLA01G0174900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRDGRRRQRPRSWARAVAKGGIAGRGRLPPGEDMGGNDSGRGVGRERPLLPTGSSLPRRCRRPPRPRWIPPWRSGHRPGRPPRRRSIRPRRPTSATSRRIPPPPPPRHLPGCGKKWNRPPPPPRGPRRGQRPSSISPPLGPSTPVHEAGVVGLQDAEARRRRGGGPASVQYSSVQLRNLVVETDDERGGGELVHRHHHRLPDLPLPNHYLHLRLHTISQLKR >ORGLA01G0174800.1 pep chromosome:AGI1.1:1:16562776:16565742:1 gene:ORGLA01G0174800 transcript:ORGLA01G0174800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTARTPVPPRSSSIAAAVTCLLLVVSRDAITSAAASPKAPASTGGCIAAERDALLSFKAGITSDPKKRLSSWLGENCCQWSGVRCSNRTGHVIILNLSNTILQYDDPHYYKFPNVDFQLYGYISSSLVSLRQLKRLDLSGNILGESMPEFLGSLQSLTHLNLACMGFYGRVPHQLGNLSNLQFLDITSGIYDYPPMHAADISWLAHLPSLKYLDMSYVNLSSVVDWVRPVNMLSRLEVLRLTGCWIMSSSSTGLTNLTSIETLDLSENTLFGTVIPNWVWSMKTVKMLNLASCQLSGSFPDGLGNLTLLEGLNLGGNSFKGALPSTLNNTCNLRVLYLYGNLISVEIKDLMDKLPMCTWNKLEELDLSYNDITGNLDWLGSQTSLTSLYLSWNKFSGHLPLLIRAMTNLTTLILDNNNISGVISNQHLSGLESLEIIIMSYNPLKVVLDESWSPPFGLIDVYFASCQLGPEFPVWIKSLNNCYSIDVSSSGIKDELPNWFWNLVSDVANVNISHNQIRGKLPDSFQGMSTEKLILASNQLTGRLPSLPENLYYLDISRNLLSGPLPFNFGGANLDTLILFSNHINGSIPQSLCKMHNLRALDLADNFLVGELPHCLPTELKPSTGGSFIHSTSLNIHILLLSKNQLSGEFPMLLQSCQSITILDLAWNKYSGKLPEWIGEKLPSIVILRIRSNKFSGHIPGGFTKLDHLRYLDIANNSFSGTIPQSLPCLKGMINEPENLETVFLFGVALENGFGAFDVFGLFHYSISFVLQGQQLEYSKGLVYLVGLDFSSNKLSGHIPKEIGSLVELVNLNLSWNQLAGNIPDQIGELHQLTSLDLSYNQFSGEIPSSLSNLTFLSYLNLSYNNLSGRIPRGHQLDTLNADDPSLMYIGNPGLCGYPLAKNCPENGTSQGQTVKSHHDGSFCAGLSVGFVIGVWMVLASLLFKKSWRFSYFHHFDRQYDRLNVFLTVTSAIYLQKATRFKDGRS >ORGLA01G0174700.1 pep chromosome:AGI1.1:1:16554960:16556342:1 gene:ORGLA01G0174700 transcript:ORGLA01G0174700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNSNRLSGLLPQLPQYLGTLDISNNSLSGPLPLIFGAPMLTQLVLSINKINGTIPSYICVLKYLEVLDLSDNFLVGKLPRCSNGSESKQELNMSPDSTQMQLSALILYNNDLSGKFPEFLQHCQELTLLHLAHNKFVGELPIWIAEKLPRLSYLQLRYNLFSGSIPVQLTKLENLRYLDLAYNRISGSIPPTLGGLKAMIQGGDIPEEITSLVGLKNLNFSHNHLTGNIPEKIGLLRYVESLDLSFNMISGEIPSSLSDMASLSYLNLSFNNLSGRIPSGNQLQTLGDPDFIYIGNYYLCGPPLSRNCSGPEDSIQTSVENICRVVSQITEDSKQEGTRPGICL >ORGLA01G0174600.1 pep chromosome:AGI1.1:1:16553565:16554527:1 gene:ORGLA01G0174600 transcript:ORGLA01G0174600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAGAASAAIGNMTSLEVLTLGGNYITGVKSEMMKNFCNLRWLELWSNEINQDMAEFMEGLPRCTKSSLQTLDLSATNITGGIPSWINHWSNLRSLQLS >ORGLA01G0174500.1 pep chromosome:AGI1.1:1:16542227:16543685:1 gene:ORGLA01G0174500 transcript:ORGLA01G0174500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSHCGNYGHNSRTCSSSSASAGHRDTTMLCDGGDGGGGGGLRLFGVQVHVAAGGGGGGGGGGGLSMKKSYSMDCLQLAAAGAAPGSLVSPSSSSSSSMLLSIDEGGLERASNGYLSDGPHGRIVQERKKGVPWSEEEHRLFLVGLDKLGKGDWRGISRSYVTTRTPTQVASHAQKFFLRQSSIGKKKRRSSLFDMVPICENGARVSEQLSGEGAAAASTSLSLMNTHETSSDRVAAIDLNSTEEDDTVGASGRPFFPVVLMEQQQQASHGHGHHHHCTPLDLELGMSVSSTPSIGT >ORGLA01G0174400.1 pep chromosome:AGI1.1:1:16528003:16532831:1 gene:ORGLA01G0174400 transcript:ORGLA01G0174400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLKKVCELLMAELNLDKKLTKSIGDLRTELTMMHGVVRWIGEVPPEQLDGQVRLWARQVREISYDMEDAVDAYLVRVADGEPEAAKQNRRLSESLKRAARLFTKGRALHQIAGAVEEAQGRGKSLSDLRQKYGGLKLHGAGEGCAAIDPRLTALYVEVAKLVGVDKARDELSELLLSSSGGSMQQQQQLRTVSVFGFGGLGKTTLARAVYESIREQFDCAAFVSVSRNPNITKIFRKLLFELDREQYSDINDLDRDDVQLIDELRSFLQSRRYLIVIDDLWDENVWKFIKCVLYENNLSSRIITTTRKINVSKACCSSGDDKIYEMKRLSDDDSKKLLYTRIFTHENNCPHELKQVSTDILKKCDGVPLAIITIASLLAGNNNRPIKSKDQWHNLLNSIGRGLTVGEGVDDMQKILSFSYYDLPPHLKTCLLYLSIFPEDYEIERDRLIWRWIAEDFVQCENNWDNLFEVGESYFNELINRSMVEPVGIDFEGRAQACRVHDMMLDFILSLSKEENFITIIDDSEHRTSWQHKNDNKIRRLSIQNTCRMAEEATASSMSQVRSFTLFRPGVNSMPSLSLFQVLRVLDLEGCDLSKFSNLNLRHVGKLSHLRYLGLRRTYIAELPKEIGNLKVLQTLDIRGAHGIRELPPAITGLRQLMCLRLDWDTRLPRNGGLATLTSLEEMTGLRVRRDSADGVVRELRCLKKLRVLRLQWGEMEHGAGRAVVGALGELQGIQSIEIYAYGGGGGGIGNVGDGWVPPACLRRFVSNGPTSAFSALPAWVRCSPLPRLAFLDVWVDRVRRGDIGVVGELPALQSLRLRATGRIDARPAVERFAVRAGAFPCAAACALLHFVTAPSMFPRGAMPRVRRLSFSLRAWDFAAGGDGGGGGGLRLGLRDLGMQNLPSLEDVRVEVWYKNTGDGGGSAVTRKVEEALRRVAAVHPNRPAINIRRRKMTTGSAQSDSSTLSI >ORGLA01G0174300.1 pep chromosome:AGI1.1:1:16522399:16525883:-1 gene:ORGLA01G0174300 transcript:ORGLA01G0174300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNQFDLLVDVDNDDPSHLIAAAEKKAAAAAASPKPAAQAKLPTKPPPPAQAVKESRNYGAPAREGAGRNGPGRGSGGFGGGRIGQRRDFGEGDTNGVEGGYGASGFGDGIVRREEGERRPSERGHGPRQPYRGGYTVGEAGDESGRAPHRAYERRSGTGRGYGMKREGAGRGNWGTVTDEALAQESGEAVSIEVSVTVTEENKQEDVPQSDEVEKHKEGESNEEEEKEPEDKEMTLEEYEKVLEEKRKALLSLKVEERKVVVDMELQSMQQLSVKKDSDEVFIKLGSDKDKKKENVERDERTRKSLSINEFLKPAEGGRYYSPGGRGRGRGRGRGDRGGFRDGYSSRGPVAAPRIEDQAQFPGLAGRLVH >ORGLA01G0174200.1 pep chromosome:AGI1.1:1:16510424:16514117:1 gene:ORGLA01G0174200 transcript:ORGLA01G0174200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSTQQQQLQLQRKGKAVAEKGGAAGAAAAEKVVVAVRAATREISKTALMWALTHVVQPGGSILLLVVVPSHSSGRKFWGFPLFAGDCASGNKTMLDQKDISELSSQMMDKLKNVYDPNKINVKTKVVSGSPPGVVAAESKRAQASWVVIDKELKHEEKHCVEELQCNIVVMKRSQPKVVRLNLVGSPDKDSKVSCSLPPMLDSSVGKTTTDVKEPRTSIRGPAVTPNSSPDLETTFESTEAGTSSVSSSDPGTSPYSASDTNGSMKKEAPATKDRVQHLDINISDSDSETLSPPASFSLQPWMVDILQGSASSRTHGKGPRKARTATADALLENISKLDLLNEISSMRSRSDLNFRGNVRDAVSLARSAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGLCVEDRRRLLVYEYICNGSLDSHLYGRNKETLQWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDYEPLVGDFGLARWQPDGDMGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPFLEEYAIDELIDPRLGDRYCENEVYCMLHAAKLCIRRDPHSRPRMSHVLRILEGDMVVDSGSVSAPSSDSGSRSWRMLNEQQNCRDWSPARQDSHRVVEGKNSYDALRAAWDRNKQSVSHRY >ORGLA01G0174100.1 pep chromosome:AGI1.1:1:16493929:16494092:-1 gene:ORGLA01G0174100 transcript:ORGLA01G0174100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNKPLRTIEVLEITEEEAVGREEDLLALAQLAALT >ORGLA01G0174000.1 pep chromosome:AGI1.1:1:16490205:16490426:-1 gene:ORGLA01G0174000 transcript:ORGLA01G0174000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGEDSIWHTMEVLCAYGMRGRIWKESKFGTIGYVKFVSCTRGFPKVFRTLCMSLVRGFRLPTSCINRGGA >ORGLA01G0173900.1 pep chromosome:AGI1.1:1:16472490:16476249:-1 gene:ORGLA01G0173900 transcript:ORGLA01G0173900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENGHRGSGIAAIMGIGKAVPAHVFPQKSFPDYYFDISNSSHMVDLKAKFTKISAIEELLSLAVLSLSLLITITQAKCAYRSASGKNMELANHGVPRLGAEAARAAIADWGGRASDITHLVFVTSTSGCLPGADFELLVLLGLPPSTKRAMVYQAGCYGGGTALRLAKDLAENSPGARVLVVCSEVIALVLRGPSESHVGNLVGQAIFGDAAGAVVVGSCPAAAAAGERAMFEIVSASQEVVPGTRDAVVSELREEGIVFTLHRDVPRQIGDSIGRLVERALLAQQQPANAAIGAADAAAPDLNGMFWVVHAGGREILDRMESKLGLGKEKLEASRAVMAQYGNTRSSCVVLVMEEMRRRSEERGLRTAGEGLDMGMLVGFGPGLTVETIVLRALPIN >ORGLA01G0173800.1 pep chromosome:AGI1.1:1:16465844:16468853:-1 gene:ORGLA01G0173800 transcript:ORGLA01G0173800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPASSPTILAAFGLVGLVLAWQAGLQLHRLWWRPRRLEKALRARGLRGSRYRFLTGDLAEESRRRKEAWARPLPLRCHDIAPRVEPFLHGAVGVGAAHGKPRITWFGPTPEVHVADPELARVVLSNKFGHFEKVSFPELSKLIPQGLSAHEGEKWAKHRRILNPVFQLEKLKSILFLYLIIEMSSENVQLMLPVFSACCEELISRWMGSIGSDGSYEVDCWPEFKSLTGDVISRTAFGSSYLEGRRIFELQGELFERVIKSIQKMFIPGYMYLPTENNRKMHQMNKEIESILRGMIGKRMQAMKEGESTKDDLLGILLESNTRHMEVNGQSNQGLTIKDIMEECKLFYFAGADTTSVLLTWTMLLLSMHPEWQDRAREEILGLFGKNKPDYDGLSRLKIVTMILYEVLRLYPPFIELTRKTYKEMEIGGITYPAGVIINLPVMFIHHDPEIWGSDVHEFKPERFSEGISKASKDPGAFLPFGWGPRICIGKNFALLEAKMALCLILQRLEFELATSYTHAPHTIISLHPMHGAQIKVKSYMTISDYSVFY >ORGLA01G0173700.1 pep chromosome:AGI1.1:1:16456974:16459915:-1 gene:ORGLA01G0173700 transcript:ORGLA01G0173700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGGWLLMWAPASSPTILVAFGLLFGLVLAWQAGLQLHRLWWRPRRLEKALRARGLRGSRYRFLTGDLAEESRRRKEAWARPLPLRCHDIAPRIEPFLHDAVVRDEQHGKPCITWLGPTPEVHVTDPELAKVVMSNKFGHFEKIRFQALSKLLPQGLSYHEGEKWAKHRRILNPAFQLEKLKLMLPVFSACCEELISRWMGAIGSDGSYEVDCWPELKSLTGDVISRTAFGSSYLEGRRIFELQGELFERVMKSVEKIFIPGYMYLPTENNRKMHQINKEIESILRGMTGKRMQAMKEGESTKDDLLGILLESNMRHTEENGQSSQGLTIKDIMEECKLFYFAGADTTSVLLTWTMLLLSMHPEWQDRARKEILGLFGKNKPEYDGLSHLKIVTMILYEVLRLYPPFIELKRRTYKEMKIGGVTYPAGVIINLPVLFIHHDLEIWGSDVHEFKPERFSEGISKASKDPSAFLPFGWGPRICIGQNFALLEAKMALCLILQRLEFELAPTYTHAPHTMVTLHPMHGAQIKVRAI >ORGLA01G0173600.1 pep chromosome:AGI1.1:1:16450738:16451905:-1 gene:ORGLA01G0173600 transcript:ORGLA01G0173600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCTRLTTKKMYTSVLLTSHDSNLPMSLPIENNRKMHQINKEIESILRGIIGKRMQAMKEGESTKDDLLGILLESNTKHMEEDGQSSQGLTIKDIVEECKLFYFAGAETTSVLLTWTMLLLSMHPEWQDHAREEILGLFRKNKPDYEGLSRLKIVTMILYEVLRLYPPFIEIGRKTYKEMEIGGVTYPAGVSIKIPVLFIHHDPDTWGSDVHEFKPERFSEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCLILQRLEFELAPSYTHAPHTIVTLHPMHGAQIKVRAI >ORGLA01G0173500.1 pep chromosome:AGI1.1:1:16444324:16444593:-1 gene:ORGLA01G0173500 transcript:ORGLA01G0173500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFVRSTDPDVGPLVVKSGKTKPKVEVLLLAPHASMRIVVGASLFPRPPQHAAQLALELCVILAAPPPLTVVGVTLPPHQTYTNLLFL >ORGLA01G0173400.1 pep chromosome:AGI1.1:1:16428933:16431238:-1 gene:ORGLA01G0173400 transcript:ORGLA01G0173400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCEAATLEGFQPRMPLAVKRARIRRESNPGWVMLDCRVGDMSGDDDLGAITMAKGTTSTGDGITVAFSAAAPPAISRLLFTLHPNKNRQTTDSDSDSDSSDSDTDESDSSARGRVIAAHGNSVLLSCIFNVRDPITPYVASLREELFIYQPAGAVDLTRLPPCYHGIINIDGSRNTGILCRNNGEFVVAHLGGMTSVGDYGGSGPPIPRPVAAELCKYAGGFWGTNWLRIHHAAGEDQDLCWWETDLVVPFGDSLCWVDYLRGILLCDVFSPIPDFRYVRLPVNPYPGSCDQELAMRGSMHMYRSVCVTKNGGMKFVDVASEDTWFSAGNDIESCPTPFTITSWTLTSDRLSWIKDASLDSNVFSSLASNEHLPQIVPEFPLVDMEDPNVIYFTLPLEEGSNDKAAFVALDMVRRTLGLRNTYTLRSTLKPGDDNSSTTSCNLFGNEPFLPFEFSNYLNLDAAYNRSKIVVWSLGRGSRSGLDHLIPGIPQGDEELDRGDSTGRVAQSCDGLCGHAARGGIGNNACSTEGAKTYYTPQDDKAIHIASTGGRVLAXKIGP >ORGLA01G0173300.1 pep chromosome:AGI1.1:1:16320445:16321786:1 gene:ORGLA01G0173300 transcript:ORGLA01G0173300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEYINNGNLDQWLHGAMSQHGVLTWEARMKIILDIAKALAYLHEGIEPKVIHRDIKSSNILIDKDFTGKLSDFGLSKLLRAGKSHITTRVMGTFGYVAPEYANTGQLNEKSDVYSFGVLLLEAVTGRDPVNYGRPTDEVHLLEWIKLMASSRRAEEVVDPAMEAKPTKRQLRRALVAALKCVDPNADKRPTMGSVVRMLEADDVALSSRQDRRSPMGRCVDGDGSGREPSGTSARYDAAFV >ORGLA01G0173200.1 pep chromosome:AGI1.1:1:16312743:16314657:-1 gene:ORGLA01G0173200 transcript:ORGLA01G0173200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGEEGGMTYGEVVDYFNSLQDPPAQERIDCIIPYLISLLPAPFVPAPPDAASADDSEDDHFSFTSSDDDSAAEDARSFPSLPPGDGEEDHISRLADDLLSEIISRLSTKEAARTMALSTRWRRVWAKTSLLVDDAHLRDADNEVSLVRAISRCVDAHPGPVRAARITHVAFYHHEYALRRLVASLADKNVEDLILFNRPWPLNMPLPDDIFRCAYLRRLYLGAWMFPEVAAAAFVNLRELGLFHCIIPDRDFDALLSLCSKLEVLSLAMSYNCPSRLRIKSPSLRAAVEWMSSLDEIVVDGAPCLERLLLHHAIPVAERTPIKIVSAPRLEVLGILDLQLHELQIGGTTIRPGMWMFVKSSAKLPSLKILAVKVCLAIEREIKLLMMLLKCFPHLETLHIKSIPPCASPEIANCADVWESLGSCECLKSHLKTVSIQGFHTERYEVLCLKYLILEGEVLETVAFFCEDKVCFAAKDDEAAEIELMFPKNLVQDRWSFQSAIDLSLDDPFFYAVES >ORGLA01G0173100.1 pep chromosome:AGI1.1:1:16307697:16310192:1 gene:ORGLA01G0173100 transcript:ORGLA01G0173100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCLPTKQVGRSTHSLNPREAVALAAETSFTVNEVEALYDLFRKLSNSIIKDGLIHKEEFHLALFRNKKTNLFVDRVFDLFDQKGNGVIEFDEFVRSLSVFHPDAPEEQKAGFAFKLYDLRQTGFIERHELKEMVLALLDESDLNITSDAVEMIVDRTFDQADTKGDERIDQEEWNEFVKNNPYVLRNMTLPYLKDLTMVFPSFVIHSEVSEADMVA >ORGLA01G0173000.1 pep chromosome:AGI1.1:1:16288600:16290081:1 gene:ORGLA01G0173000 transcript:ORGLA01G0173000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NPE0] MATIDEQQPLHILFLPFLVPGHLIPIADMAALFAARGVRCTILTTPVNAAVVRPAVERANEDALRGDAGGILVPMDIAVVPFPDVGLPPGVENGAALTSEDDVRRFFHAIRRLREPFDRFMAEHRPDAVVSDGFFTWSADAAAAHGVPRLVFLGTSVFARLCNEIMVFNSFHELEPECVEHHRAALGRRAWLVGPVALASKDVAARGAAELSPDVDGCLRWLDTKPDGSVVYVSFGTMSSFSPAETRELARGLDLSGMNFAWVISGADEPEPEWTPEGFAELIPPRGDRGRTIRGWAPQVLVLNHPAVGVFVTHCGWNSTLEAVSAGVPMVTWPRYSDQFYNERHVVEVLGVGVGVGARDFGSNLESHHRVIGGEVIAGAIRRVTGDGEEGEAIRRKAAELAAKARAAPEKGGSSYDDVGRLMDELMARRTFR >ORGLA01G0172900.1 pep chromosome:AGI1.1:1:16280911:16282416:1 gene:ORGLA01G0172900 transcript:ORGLA01G0172900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NPD9] MATMDEQQPLHILFLPFLVPGHLIPIADMAALFAARGVRCTILTTPVNAAVVRPAVDRANDDALRGDAGGAPAIDIAVVPFPDVGLPPGVESGTALASEEDRGKFVHAIQRLREPFDRFMAEHHPDAVVADGFFTWSVDAAAEHGVPRLVFLGTGVFARSCQESMVRHNPVEACPDDDPDAAVSLPGLPHRVEMRRSQMIDPKKRPDHWAYFKMMNDADQRSYGEVFNSFHELETDYVEHYRTALGRRAWLVGPAAFASKDVAARGAAELSPDADGCLRWLDAKPHGSVAYVSFGTLSSFSPAEMRELARGLDLSGMNFVWVINGAADDTDASGQWMPEGFPELISPHGDRGLTIRGWAPQMLILNHPAVGGFVTHCGWNSTLEAVSAGVPMVTWPRYADQFFNEKLIVEVLKVGVSVGSKDFASNLENHQVIGGEVIAGAVRRVMGDGEEGAEAIRKKAAELGVKARGALEKGGSSHDDVGRLMDALMARRTSVDVRGGG >ORGLA01G0172800.1 pep chromosome:AGI1.1:1:16274867:16278536:1 gene:ORGLA01G0172800 transcript:ORGLA01G0172800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKVILAEPLLPGKEADFADDDDVEAQLTSYHTGASFSRTCLNLTNAVSGIGVLSMPYAVSQGGWLSLLLFVLVGAVCYYTGTLIERCMRADGSIASYPDIGQFAFGAAGRRAVAFFMYVELYLVAISFLVLEGDNLDKLFPGATMEILGYQLHGKQLFIVLAAAVILPTTWLKNLGMLAYVSAAGLIASVALTASLIWAGVAETGFHRNSNTLNLAGIPTSLGLYFVCFTGHAVFPTIYSSMKNSKHFSKVLLISSVLCSLNYGLTAVLGYLIYGDDVQSQVTLNLPSGKLYTKIAIVMTLVNPMAKYALLVAPITAAVEERLSLTRGGVPARVAISTAILASTVVVASTVPFFGYLMSFIGSFLSVMATVLFPCLCYLKIYKADGIRRTEMVAIAGILLLGVFVAVTGTKHGSHRRSGVTGFSSVEATSTAAVATAGSQTRSQRLPTPTRQVAGERGWSRWRGRGQWESAAATAASSGXAAAGGGARPEQAEGRRWQLXAAESGGLGPGTRGEGNASTGAEARGELVAQRGNVVGAEEEPAVHELVAWTELVAGLVGRRLVLEVVVRQTRRVARVEGLPRSHRPDAPRLPRAHRRPARTAXSPPRRRGCGRSGCXTRSRHTSVSSPM >ORGLA01G0172700.1 pep chromosome:AGI1.1:1:16269636:16270094:-1 gene:ORGLA01G0172700 transcript:ORGLA01G0172700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQTLYFDPKFALLIFYLNLFKYCLNLCLSFQIFLFNPKYPLATKKPSIGTLRLPWGRSRFTIPDVGPLVVKPGKTQTQGRSAAHSTPRLDAYSGWSFTIPSTSTARHTVSSWALCDPSRFAATHGCRSNTSAPLNPHKPPIPLAVMLSVDTG >ORGLA01G0172600.1 pep chromosome:AGI1.1:1:16263180:16265055:1 gene:ORGLA01G0172600 transcript:ORGLA01G0172600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKSPIDEALLHGKHEEALLHGKHEHVEQQLLPTSGGSFCITGASFGRSCLNLSNVISGIGMLSVPYALSQGGWLSLALFAMVGAICFYTGKLIYRCMRADRYVRSYPDIGYLAFGRYGRTAIGLIMYVELYLVAISFLILEGDNLDKLLPGTVVKILGYQLHGKQLFVLVAAAVILPTTWLKNLSMLAYVSAVGLVSSVALTVSLVWAGVADNGFHIAGSSILNLSGLPTALSLYFVCFAGHGVFPTVYSSMRARKDFPKVLLISSVLCSLNYAVTAVLGYKIYGEDVQAQVTLNLPTGKLYTRIAILTTLITPLAKYALVIQPVTTAIEEKLSVTTAAATVAADAETNRLTRVLTSTAVVFSTVVLACTVPFFGYLMSFIGSSLNVTVAVLFPCLSYLKIYMPRGGVGRFEVAAIVGILVIGVCVAVIGTYTSLHQIIGTF >ORGLA01G0172500.1 pep chromosome:AGI1.1:1:16242829:16245074:1 gene:ORGLA01G0172500 transcript:ORGLA01G0172500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAMNMITAAFRRYLGCFSAGSTHRRRRGAAEPVLAGSLTLPAAAGGDNAARRLDVVAPAPSRPDGVTVSGEDFIGSLPGHVRSAIVSRLPVADAAARTGVLSSSQWNGLWSSTPLVFQDSDLILAANFTSVAPVAAAVSRVIENHPGPFHTVTLTSYFPESERDTFAGWIRVVAAKGVRDLTLHNIPWSGLHVLPADLLQCCAALERLRVCVWRFPATAGVLHPGGGDGDGAAPPSFPRLRELVLNRSAIEEADLENVVACSPALRTLVLAFSRGAPGRVRLASGSLRCVVLCQSLVDELAVVAAPLLERIVLRWCASGTHHGHLMRIRISRASSIKAIGYLKPTCHRLQIAATVIKPGITASPDEVAVPSVKILGLQVRFGVAAEATMVSCMLRCFPNVETLHIMPIEDPQSTQLDDFEFWEEINSVECVRSSIKKVVFHGFSWKNSEIAFINSIAEGGLVLEKICIFQSRHGTAPDDDELNAKLSMVASLNIGHGRTEIIFSGEDPTWCYRAAADLSRADPFDCCR >ORGLA01G0172400.1 pep chromosome:AGI1.1:1:16234403:16237927:1 gene:ORGLA01G0172400 transcript:ORGLA01G0172400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARVSSILSSHPGPFNSIHLTYSSMGSHNDALKSWFKAFADKHLKELAFLNLHYPNDIMVPTDLFRCKSLKRLYLGGVQLPANTGIIPCSHTFHELWEVCLYRCILHEWDIENLLTCSPKVEKLSLVNSACGWPLRLDICGHSLRCMLHWASSLEELAMVSTPCLERLILWRDDALQWSDCKKIKICSTPKLQNDMKASAATVVPSVEVLAMTIRFGVHEEERMVPCFLKCFPSVKILHVKSIARTQTTNEVNLEFWKDVGSIQCVRSSIKKVIFDDFSGEECELAFLSFIAQNANQLEEIYIIPSKNDLSAGSSLGNVINHFMSSILWASAYCRVQVCASAKNAWTTKWHQIYLFMTLLVISCQQ >ORGLA01G0172300.1 pep chromosome:AGI1.1:1:16222566:16224226:-1 gene:ORGLA01G0172300 transcript:ORGLA01G0172300.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVAAGRRRSPWTTTSASARSSNTSAGPPDGAGAGAVLTPSSSSRARDGDRISQLPAELLQDVVSRLPAADGARTTALSRPWARIWGFVPLVLDDALFVIPTTPQAGAGAGAVTGRISSRSHHATVVVDKMSRAIDSHPGPFRSVRLTSTNFHRHDRLGHWIRAMGRKGGVEDLVLVHPGGVARAVTLPPEVLTCTSMVRLAVARCGLPPYADVDLPRLRELVLCEGHFRAANELGRMLVGCPKLESLTLINPNDMPYSAMEVVSSTMKILVLCIFHTKVLDLLDAPSLERLIIWRPMLVMSPYTFMIKITRAPILRAIGYLDTILHVVQIGGTIIEARTKISPSLTIPSVETLAMKLSFXSKEQENRLLSFLKIFPNVKTLYVMSHPPCTSSCVFHDERDVDFWMKNLGSILCVRSQLTKFTFYNLHGVVLGDLPFIRAVMGTARLLKEMRLFPCDKIFFNR >ORGLA01G0172200.1 pep chromosome:AGI1.1:1:16217488:16217855:-1 gene:ORGLA01G0172200 transcript:ORGLA01G0172200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNATMQTDLLELTKSVGTRDDVGVRQLDATKLDQLQGIAMEEISKVKQMMLKGPGWKNRMLATMAFIQELVEDMLPGTSDL >ORGLA01G0172100.1 pep chromosome:AGI1.1:1:16213813:16214303:-1 gene:ORGLA01G0172100 transcript:ORGLA01G0172100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCGGANGINDGKQETISKRRLLGRADLVLTTISRGVVSGSGAPLTNGGEDGVCGQGKGGRTWWFAWTQFSSGHNVGQGFCDMILRALYPLRAIEGRNVELGLIWASCGERIKRQITSPFN >ORGLA01G0172000.1 pep chromosome:AGI1.1:1:16207650:16209318:1 gene:ORGLA01G0172000 transcript:ORGLA01G0172000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIVRQILGWFPGHPASGNGATLSAAEGYGSEDRVSALPDDLLRNIVARLPVKDAARTAALASRWRRVWSSTPLVLRDADLLLSAGEAAGVAAVGRALAGHPGPFRVVHIEHCMFAFHRPELAEWARLVAAKGVEDLVFVKIPVHYFDVPLPADILRCAALRRLFIGNWNFPATSGFPRGADVFPHLLELYIWNTVMSARDLNHLLACSPVLQSLALALNSHPNRVRLCSQSLQCVLLFLSKAEEVAVVDAPLLQRLVLWKISTSYDTDDELPVKIRLACAPELQVLGYLEPRAHQLQIGETIIKADTKVIPSSMVPSIKVLALRVNFCVQEEIAMLVSFLRCFPNVETLHIESDIDDEFTGQPHAKFWQEVCPVECINSHVKKIVFHDFHGDKCELEFIDFIARTAQELQALLLMLTSKTYGPVVDVDEVNSQLGVLSFASEECITSLLGPKVQMVHIFHRSLDLSVDDPFL >ORGLA01G0171900.1 pep chromosome:AGI1.1:1:16203334:16204264:1 gene:ORGLA01G0171900 transcript:ORGLA01G0171900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWIRLPLCAPCAWIQLWRRGAGGASADPVPPSSRVDPARMKTMGRRQDGGNLRGAYGINAKSMHAMISQFLDRRIRRHGVDFYPVN >ORGLA01G0171800.1 pep chromosome:AGI1.1:1:16198830:16200706:1 gene:ORGLA01G0171800 transcript:ORGLA01G0171800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRALMTMEKVLVQMEKDGVDSRMLDLGADCMCKLLVASLPDPPVYPDALLSANDDDSSGDGTGAEDRIGALPDDLLGGVVSRLPIKDAVRTAALSSRWRRIWLSAPLVLVDGHLLPPGEEAGQLPLDASGAVAAAVSRVLEAHPGPFRYVELTSSAMGARARRGDLARWLHLLAVKGVRELVFVNRRRPLDVALPATVFALAPLSRLYLGTWKFPDTAALPRGAGFPHLRELGLYCVAMEDRDLDFVLANSPVLECLGIYYSQRQIVLLRLASHSLRCVQICMCIAEDIAVVDAPRLERLLIWDMFEDDNHATRLSIGHAPNLQLLGYLRPGIHVLENGNTIIKAGTKASPRTIIPSINVLALKVRFEVRNEAKLLPSFLRCFPNVEKLHVKSEKSDEPVGRLNLKFWQEAGRIECLQSCIKYVVFHGYRGDRSELTFLKYILGSGQVLQEMVIVVANGMFSTQDEVGEKLVKPLSSVKMASGGCNITVVEGTVHDEEDSCCLRDAFDFSIDDPFDRYL >ORGLA01G0171700.1 pep chromosome:AGI1.1:1:16195977:16197703:1 gene:ORGLA01G0171700 transcript:ORGLA01G0171700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDTILHLVYSSLPENPVSPTATLSAAFTSSSSGGGGGGEDRISALPDDLLRYIVSRLPTKDAARTTTLSSRWRGIWRSTPLVLIDGDLFPQGPHISASGGASSPSSIRDAVANAVTRVLASHPGPFRFVGVINFFLGQHVDALADWLRLLAAKGVEDLVLVNRPWPLDVRIPDTILRCASLRRLYLGVFRFPDTTGHPRGPDVFPHLQELGICHTIMDDGDLDHVLACCPALEKLALVAGYGTPSRVRVESRHSLRCVLLWWSMIDELAIVDAPCLERLILWGTYPCEEEPVKIEIGYAPQLTVLGYLDMGIHALHIGGMIIKAGVTDVSPMAKVPSVKILGIDVNFEARKEMEILPSFLRCFPNVEALHIKYNVDESNSELNSKFWQEVGHIECVESSIKKVVFDQFRGGANELEFIKFILERAQMLDKMVFVVDPENSAFVDQAMSIMKSLASTDYTSASKKCSLMMVGYRKGPPAFSYMRASDLSLSDPFFVSKDIQVTV >ORGLA01G0171600.1 pep chromosome:AGI1.1:1:16190832:16192709:1 gene:ORGLA01G0171600 transcript:ORGLA01G0171600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLVAAGGMRATERARHPRSSHGPRPHLDHIMARAMCAHAMEESTDTMEGIMRVVLTCLPTPAPFSTSHGSLSSSAGGGGGSGGGGDDRISRLPGALLSNIVSRLPARDAARTAVLSTRWRRVWASTPLVLDDVDLLDIPDEDLRRGHSHRVDLAAAASRVTRVLTSHRGPYLCVHLTCCNMATHWPMLSYWLSLLAANGVQDLVFANRPYPLDLPLPVDILRIPSLRSLYLAFWTFPGIPGGARGPHVFPHLRELGLCFISIDAQDLDGLLQCSPVLETLALVSNSYSPAHIRVRSRTLRCVLFWMSLAQEIALVVAPRLDRLILWKTFMGFPGEIFCRTRVKIGYATELRVLGYLEPRMHELEIGNTTIEAGTKMSSDKTVPSVKILALKVRFGIRNEAKLLPVFLRCFPNVETLHVMSDDAHDPTGKLNLKFWHDVGPIECLHSHVNKVVFHMFRGERSELAFLKFILERAEALQKIVVVLANRDQAWVDEMRAKLRPLAMAKRASENPTLLIVALEGGSAWSFHRASDLSVNDPFDYC >ORGLA01G0171500.1 pep chromosome:AGI1.1:1:16185836:16187924:1 gene:ORGLA01G0171500 transcript:ORGLA01G0171500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIMRAIFACIPGMRSPASPGGCLSAAFGGGDDDGEEGEGEGEDRISRLPDAVLSSIVSRLPVKDGARTAVLSPRWRRVWASTPLVLDDADLLPDDDGPQIFWRDVADAVSRVLAAHPGPFRCVRLTNACSYAGSRGAAALSRWLGVLAAKGVQELVLVFLHAWPVRVEPPADVLRVSSLRRLYLGLWRSFPDTEHLRPGADVFPNLVELGICRTDIKAKDLDRLLQCSPALETLAFVVSYNTQPNVRVRSRSLRCVLFWMSIAEELAVVVAPRLERLILWNGCPCTRRVRRDFRTRVKIGYTPELKVLGYLEPRIHVLEIANTVIEAGTKPSPGTMVPTVKVLAMKVRFGVRQEAKMLLSFLRCFPNVETLHIMSAEADEPTGKLNFKLKFWQDVAPVECLKAHIKKVVFKNFRGERSELAFLRFVLERAQILQTLVVVLTDGDGDHASQEELGNRLKPLIYSTHRASKCAEFFIFVRCGGTSWSFRTASDLSRSDPFDC >ORGLA01G0171400.1 pep chromosome:AGI1.1:1:16181593:16183342:1 gene:ORGLA01G0171400 transcript:ORGLA01G0171400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETLAAVLSYLPPPTFSTANSHPSSPSAADAAAVFSLDEEDRISRLPDALLRRVVSRLPTKDAARTAAISSRWRRVWASTPLHLDDGGLAPGDVTAALAAHPGPVASARLASEHLATVDPDVVASWFASLAAKEVGELAVVNGSWPIEWRPPPDLLGCASLRRLWLGLCQFPDTAGLPPAFANLQEIVVVHSSMQDRELHAVLPRCPELESLALVLTQDYPRYVHIWSGSLRCVVLWMSMVREVHLDDAPNMERLLLEPIAGASTHVKIINAPRLKVLGYFDVGLHQLKIGSTVIKDGIKVKPSAMVRTLRTLALKVQFGVEEQVKLVPLLLRCFPCLETLYIMSVPSETPVNVGVEFWDLVGYTECVHSHLRKFVFQAARGKDSELAFVKFVMGRAQMLEQMLIFVDDGSSRDVVLSHLSSEGCVSADATVVVESHDKSYPWNFHRASNMLQSDPFACSTXSCCRSCCGCGSCNQ >ORGLA01G0171300.1 pep chromosome:AGI1.1:1:16177080:16178924:1 gene:ORGLA01G0171300 transcript:ORGLA01G0171300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLNPRVVGCGERTLVLSHGYGGSQAIWDRVLPHLAETNKVVLFDWDFSGGGGDGEKAAAEEEEEYTFEGFADELVALMEEMGVSGAVYVGHSMAGMIGCIASINRPGLFTHLVLVGASPRYINSDDYEGGFDEPEIDAMLATISSDFLSWAKGFVPLIVGAAADNPSAAETLARTFFAMDPRVADALARMIFLGDNRGVLGRVAAPCTLVHASGDPAAPPCVGRYMEGRIGRAALVTVDSAGHFPQLVAPDEMLRILDAVLAEEEEAAAKGGGVAIVMEERGSLAAVGEVEVKGDIDVAT >ORGLA01G0171200.1 pep chromosome:AGI1.1:1:16172027:16172454:-1 gene:ORGLA01G0171200 transcript:ORGLA01G0171200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVGALLAAASCLPASRAPTCPTTCCMLRLPRATVTHRLAQQRSSRCVRTSDVAAACRCSQQSHRSVA >ORGLA01G0171100.1 pep chromosome:AGI1.1:1:16166277:16166759:1 gene:ORGLA01G0171100 transcript:ORGLA01G0171100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT5G19590) TAIR;Acc:AT5G19590] MATPTAQTAALLAAAAALFLLASAALPVVRSDAGGDGDVTAYDELRHRGFPRGLLPANVRGYTLDSGSGDFAVDLASSCRIVLPAGSYLASFSDRLTGRLDDRRISGLSGIRVRAFFRWWSITGIRADGDELVFEVGSVSAKFPARHFNASLECPAKADS >ORGLA01G0171000.1 pep chromosome:AGI1.1:1:16163083:16164750:1 gene:ORGLA01G0171000 transcript:ORGLA01G0171000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAMHFELRVVKSDRSRFIAKCAREGCPWRVHVAKCHGVPTFTVRTLHGEHTCDGVRDLHHHQATVGWVARSVEATLRDNPQYKPKEILQDIREQHGVAVSYMQAWRGKERSMAAVHGTLEDGYRFLPAYCEQIVQTNPGSVAIYKGTGPDNSFQRLFVSFHASIHGFLNACRPLLEIDKADLKGKYLGTLLCASAVDAENMMFPLAFGIVDAESDENWMWFFSELRKMLGVNTDKMPVLTILSERQSQVVEAVEVNFPTAFHGFCLRYVSENFRDEFKNPKLLNIFWSAVYALTAAEFDSKVNDMVQVQDVMPWFQRFPPNLWAVSYFEGIRYGHFNLGITEILYNWAMECHEFPIVQTVEHIKHQLTCWFVERQNLALSYNSILVPSAEKLISEAIADSGCYQVLRANKVEFEIVSSERTNIVDTQARCCSCRRWQIYGIPCAHAVAALLSCGEDPRLYAHECFSIMKYRETYSQPIYSIPDRSQWNLPFSFAQGAGSKAYAVLRPPKIRRPPGRPKMKILKIESLKRPKRIVQCGRCHLLGHSQKKCSLRN >ORGLA01G0170900.1 pep chromosome:AGI1.1:1:16156892:16157551:1 gene:ORGLA01G0170900 transcript:ORGLA01G0170900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGLKKAFRWFPHSNEDHHHLEEDEGSSERRGLLRSHLEQVVPVTDLEDEPNASSSAVKEPKTVALKVSMHCHCCARKVEKQILKMEGVVSFKVELENKKVTVVGNVNPMEVLESICKVMKSAQILAAA >ORGLA01G0170800.1 pep chromosome:AGI1.1:1:16148743:16154033:1 gene:ORGLA01G0170800 transcript:ORGLA01G0170800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTTPADAQPEQAHAAEKPPAAPLTPEEAEAEAETAGSGDDEEYVSDPDDALLPEMRRREASDDEGSEEGRARIGSDRGDGDDGDGQGAAEVYEDEAYEDDDEEYYDDLAEEEVGEGFEEEYDGRAEPPKEVASAQGEDGEKGDVEGEAAVEGDGEEKKEQEPFAVPTSGAFYMHDDRFQEESRGRRRRMFGGRKLWDAKDDQAWVHDRFEEMNLHEEHYEDKRMSRGRFRGRGGGGRTRGTGHGFARGGKYRGYNEDINNNHQNRPQKVVRGRGPRRYEAVAKNNRDVVGFQRKQPARSRESAASASAVRESGQTLNAQSEMAPPKKNVVNSSLNSASPPFYPSGASNPDFSVPAQRRDNMQAGGSNKVFPSSMKMDDNAKVQSGPAVRRDYGARDRFQHADGPVRQSPRSGGTSLNSSGFAASTVNHGQSSVVRTQGGNGIPSNNQSTSSLHQNPRAPTHQQSHTSVVHQKSGQVQTQSAMRIPTQQLNHRTGNPSTTQHLPVRSTESVENGLYPSSNKSNASSGAGKTNSQEAGRGSFMYGGAQVIGAAGAIGLAQGEQNFPGTPALLPVMQFGSQHPGGVGVPTVGMALPGYVAQQQMGMGNNEMTWLPLLTGAAGAFGGSYPPYIALDPAFYSRSSGQTSSSVPSRESIANKGASPPRNDIVNEEVDQRQNKPRRYSEMNFSQ >ORGLA01G0170700.1 pep chromosome:AGI1.1:1:16145864:16146283:-1 gene:ORGLA01G0170700 transcript:ORGLA01G0170700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTREIIAGAGAGSAAAAAVLALLVAAAGGDELAAAVGSSSSIMPPCFHACFDQCVQREEYWFCQFSCYRRCGAGAIAIAIAAGRFSGAGDCEHACALSMCGQIDPGSKMMAVCRDTCGKSYAAAGCRRRPTSLTAAV >ORGLA01G0170600.1 pep chromosome:AGI1.1:1:16144000:16144954:-1 gene:ORGLA01G0170600 transcript:ORGLA01G0170600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAVAVLLLAVAAAPLFAAASAHGRGYFGAKSWPPDGSLSSSSSGEAAAADVDDGHTDWKCYSSCMSKCCHRHDDDDDDKANAKANATAGAAAVGLDDDYKCKKQCLGNCFKDVPAVCYHKCVDDWCAKLPPYSSAKVQCYKKCGDKCFHHGPKPGPKPKPKPSPPKPKPGPKPKPPKPGPKPKPPKPGPKPKPKPPKPGPKPKPGPPQPWWPIPFPKPPCPPGADGSSSAVDDKNN >ORGLA01G0170500.1 pep chromosome:AGI1.1:1:16136186:16138278:-1 gene:ORGLA01G0170500 transcript:ORGLA01G0170500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRMREYGWEEGARQAASIYASQTDRGSIAISIRIFPYKLPHGTPVPTHPDLAAAASPAPHPKLSHWVDPAASAAPPRELATADTTAQVHPGSFTKAVLTASDAEVVIGEVKRVVVRDGRVIGVEVKGRGVVDADAVVLALGPWSGGEVYICGITKDEEVPNDPATITGDPDSIAALHEIAGRVSSQLKREEGAEVVAEQACYMPCTSDELPVIGEMPGVKGCYVATGHGGWGILNAPATGAALAELILNGSASIIDLSPFSPARFLNKRTRFSLTSAKLPDAMFCLNVNRLEQEDAILPQDLLQDKVVAFNKMQLIRNVSKKFDSVTCLDFQVQGYFALFDYLIQFLLVEFIETLDFLNIRAPISVKEKRKRHRIFI >ORGLA01G0170400.1 pep chromosome:AGI1.1:1:16129570:16130248:-1 gene:ORGLA01G0170400 transcript:ORGLA01G0170400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGMGRGNLMGMHLLPSGSSSPRTSPSLRDPPLSLPVLPNSDLSASLPDLHKLRRNEPVTSGILHVRDLSFLRPRSHNGDDDEETEEMNREQEEEKYLQWRSSLVEKLAGIELNLERVKFRMSVEIPPSDDFRAMKKSWENFYASELLSSRNPVRKIAKRPDTILVRGVPSRWFAETRISSKASTLVTHTIIESC >ORGLA01G0170300.1 pep chromosome:AGI1.1:1:16104347:16128176:1 gene:ORGLA01G0170300 transcript:ORGLA01G0170300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEREAALLARVAANHLYLGQFEALRAALLSLRRRADPGLAAGFLRAVVAAGGRVPGVLWSAPPACPSPSHLAWLTALELAALPSTPNPEALRLKAEFLVLLQHVADDPAATGAEARGTLSRMLDLGVARLKREVEGGGEAGVGAEEVAVSQEDLTGLWGVFLDKAELFDALCSGVSRQVALDSGLGTDVLLWLRRSVQLAHLDAMKSLVAAGDLEGATGHLRFLCLDHGVEEDEYKIALGDLVRRGWPKPSNYGGTWFESHDRIIKMFGSALQSSTPQLVQLIQLILDDILSEEIEDHGASDANWMPLPFKKFMETLSLERGAYLDDKTMLNRAITSCKKDLYHYSRISGKHVLEVVMETVLSLIKREQLQEAANAISMFPLLQPLVAVLGWDILKGKTALRRKLLQLFWTSKSQALRLQEYPHYRTETDETSCEEYLCDLLCFHLDVACFVSSVNSGRQWNLRNSLLFTQQEQDSDVNSTEILDPFVENLILERLAVQTPIRVLFDVVPGIKFQDAIELVGMQPLSSTTAIWKRMHDIELMHMRYALQSAALSLGEMEKSAIDGNEHHYQIALSYLREMQSFMEAIKSTPRKIYMVSIVLSLLHMDDSIKLSEVAPSKCSVSHECFDSNVESEEKNMVTSFVGLLLDILRHNLMLDMDNQSSMGLSPAGRQALEWRFKHAKHSIEDLDWRLSVLQRLPPLSERQWSWKEALVLLRAAPSKLLNVCMQRANYDIGEEAVQRFSLPAEDKASLELAEWVAGAYRIALVEDAVNRATDNSNATQELDILSFRAQLGPLTTILLCIDVAATSARSGDMCRFLLDEDLDHTIADHWRSLSPGHNLMKALHYCHVDPGNGHMMTSTCRTFAVLARPCHIGEEGSKWKISGGSSPKVGPNYWDQIQEVALISVIKRILQRLRDILDLEGYPYLQLVFTEMNASSSTESSRVGQKQRPLGLLHQMIDDAFKGKRQFLNGKLHNVARAIVDEDSDGTYSKESIKIEKRDILSSEKGIILGHGLRILKQASRTDQIASTVVENNAEHKGSTSRYLGPVSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYERPKDLLTRLVFERGSTDAAAKVADTMGVDFVHEIISACVPPVFPPRTGQGWACIPLLPTLSKINSENRSQSAQGWSAHDSSLSSRQEPLYPLQLNLVKHLAQLSSVRAVLACVFGSSILSGDSELCSNVKDAKQAPEIKRSFYEFALEQSERYPTLNRWIQMQCNLHRVSESSVSAETDNEVTLHQPKGKFSLKRAREPDSDAESEIEDIVISGKSTSNSLESPKCDEAKLEPTTFISFDWDNEGPYEKAVERLINEGKLTDALALSDRCLRNGASDKLLQLLIEQREEISLGARQSRAYGSHNFGSDTWQYCLRLRDKKLAAQLALKYLHNWDLDAAANVLTLCICHLPENDPMWSEVLRMKQSLQRYGHIMTADDHYTRWQEVEVDCEDDPEGLALRLAAKGAVSAALEVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGNLSDDDVARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLILEVLLMMKQLQSASLILKEFPSLRDDKLIVTYAKKAISINVNSTPREPRLTISGSRAKQKKVAAPAKTNFVQSFGNFQREARKAFSWVPRDSGTKTPPKDILRKRKSSGSGGERSSWEATPGVQEERAPEYPSEGQERLPFVSAPEEWVLTGDPDKDNATRACHRYESSPDITLFKALLSLCTNDSIAGKGALEICITQMRDVLSSLQLPLNASMDNIARAYHATETYVQALSYAKNLLKKLVGTSDLSSSSERSRDVDDISVDTGSSSAGSQNIDGLSDLLPLADLWLGRAELLQSLLGSGIIASLDDFADKESSTNLRDRLVSDERYSMAVYTCKKCKIDAFPVWVAWGHALVRMEHYSQARVKFKYGKLCLQALQQYKGDATHFVLEIISTIEGGPPVDVSSVRSMYEHLAKSAATIFDDSLSADAYLNVLYMPSTFPRSERSRQSKGPMDSQFESVGSYLEDGPRSNLDGIRYAECIHYLQEYARPEMLAFMFRHGHYAEACSLFFPSNQPTDEGETSLSSIPRNDPLTTDYGTIDDLCDLCLGYGAMTVLENTILTITQSPTYQGSAMTQYMNAILTRICNYCETHRHFNYLYNFLVLKGDHVASGLCCIQLYVNSMSQEEALKHLGHAKSHFEEALSVRDRTTEATKLVPRTARNKSASEKMTREMIMKFSTRVSYQMDVVKALNSVDGPQWKTSLFGNPTDAETLRRRCMVVEMLAEKHFDLAFRMLHEFDLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANKHKERPDRLIDMLLSNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >ORGLA01G0170200.1 pep chromosome:AGI1.1:1:16091753:16101922:-1 gene:ORGLA01G0170200 transcript:ORGLA01G0170200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein [Source:Projected from Arabidopsis thaliana (AT1G79950) TAIR;Acc:AT1G79950] MPVYRIRGVDVDFPYDAYDCQITYMDRVLESLQQGKNALLESPTGTGKTLCLLCSALAWRRTFGEFLRGGGGGGGGGGGGSQQPPYGSQPSGSQHSGGSASQSSRYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHEEVSKLRGRQQNNACHYLCKKRWCRHHNSVAEFMRNNSELGSEACDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNGIPWDNAVLIFDEAHNLESICADAASFDLLPNNLSSCIAEAQECIQLCSAKRTFENSADKQFDPENYAILKALLMALEKKISEVVIDSKELGHTKPGNYIYEFLSELNITSETSKKLIDTIDGASLLLEEGNSAETGPGMKAKATVCRLETIRDILDIIFRGGGQSHAKYYRFHVNECQQNSGDALKVLGKVSRTLSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLALELNLEFPVRLENPHVIASDQIWVGVVPVGPSGHPLNSSYRTRETLKYKQELGITIVNFARIVPDGLLVFFPSYSMMDKCINCWKDRNHENSSDEHTIWQRICKHKQPVIEPRQSSNFPNAIEDYAAKLRDSSTTGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKRDYLDKLGSASNKNSKALTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFVWQNYQSQMSYWLRPYIKCYKKYGEVVQGLTRFFRDKVSIDSSKPNETDFNDNIVLLADKHKPQETISALAVTTANENQRTALSVNPTTKRSNYIKFAQITPANRSTLSMKHGCSSTSQLLYSGDKLSTDAQVIDLAADVATSHLAGYRFKSLGPKKAKVMVGSKDVCFDDGSPKLQHNVESRALAGCLGEQSTASSKKSNITHAPGNSGAIHEKSGGQESNAGPAFLKLAREKLSTAEYRDFVEYMKALKLKTMHIKDSLDAIAKLFSSPERLPLLEGFRVFVPKNHLSLYEQLVQSYTVPNT >ORGLA01G0170100.1 pep chromosome:AGI1.1:1:16088394:16089134:1 gene:ORGLA01G0170100 transcript:ORGLA01G0170100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDNISKKAKLRKSIQLFLSRNLKKIPPIHIPSSAIPAKISSNRLLSTCRFPRTPSLHGGGGGGGDHRTTDDDDGSNGRDQAATLSDVDRFLFDNFRSLYIHDGDNHQQRRQPPPSPGKFTQPAAAETSSSRSESVAEDARGTSSGDEDDNNSSTAIMLFSVDPYTDFRRSMQNIIEMHHGEEPQPLDWDFLEELLFYYLQLNEQSVHKYILKAFADLTAGAHVSCPARGKPQWADKSVRSRKHY >ORGLA01G0170000.1 pep chromosome:AGI1.1:1:16082427:16082624:-1 gene:ORGLA01G0170000 transcript:ORGLA01G0170000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVYLLLFTAFFLSGLMQLSTATQTAAAPAAAPARVIDAKAIDQAIAYLLMFAALFVTYFSH >ORGLA01G0169900.1 pep chromosome:AGI1.1:1:16069395:16072560:1 gene:ORGLA01G0169900 transcript:ORGLA01G0169900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEIDVGQNVKVDDLLDALLVEKESNPDAKTRPANSITVFDVDKACATARNASNTMLEVRLFLTAVFSFSSFTSSSNYVIRECLGSVLDLKTVATIDWSMKTPRLQYYTSSMVDDVFTRLGEDIKVKPWAHTVYGKNGIPDVQLDKIDYSFILDLKKKHKHKAPNAKRYKIGKLGKKEKCPFEEQNDSFVRVPNMRNMLASHLDDLDLPTDKTIKVDHIIEKYQLSWRNAVEDITSKEMRHCAQELEELFGLEARNTKDESSKRVVVDLGTFKFTEDAFVDVFQPHGWMSNWVVQALAIIAEYRKLLASEIWFHKDNLAMVDLTETDSEKSDEERDTGTRTYIETDGRANGEESAGDSDRKGDASIKEESDATASDSDARKRKHSETESEIDGRANARAPRMASASRDSIPKKTRIVESINKNVEVHLAYPLEGIGSTVILLIDQSMN >ORGLA01G0169800.1 pep chromosome:AGI1.1:1:16064153:16064365:-1 gene:ORGLA01G0169800 transcript:ORGLA01G0169800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLRACVLLSTVFFFSGLMQLSMAQAGSPAAATATATTARVIDVKAVDQAVAYLLMLAALFVTYLAH >ORGLA01G0169700.1 pep chromosome:AGI1.1:1:16060282:16060542:-1 gene:ORGLA01G0169700 transcript:ORGLA01G0169700.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFCATKVIVQNSYFGFSSSSFGKKNMARQNRTWNFHLTNGNKKFGSIPRCPEMRGKYFKKFPVVSMSAYISAYIIRFQLETVMHSS >ORGLA01G0169600.1 pep chromosome:AGI1.1:1:16054153:16059750:1 gene:ORGLA01G0169600 transcript:ORGLA01G0169600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGDCGNGKAADGGGAGGLVVPEIKFTKLFINGEFVDAASGKTFETRDPRTGDVLAHIAEADKADVDLAVKAAREAFEHGKWPRMSGYERSRVMNKLADLVEQHADELAALDGADAGKLLTLGKIIDMPAAAQMLRYYAGAADKIHGESLRVAGKYQGYTLREPIGVVGVIIPWNFPTMMFFLKVSPALAAGCTIVVKPAEQTPLSALYYAHLAKLAGVPDGVINVVPGFGPTAGAALSSHMDVDSVSRVAFTGSAEIGRAIMESAARSNLKNVSLELGGKSPMIVFDDADVDMAVSLSSLAVFFNKGEICVAGSRVYVQEGIYDEFVKKAVEAAKNWKVGDPFDAATNMGPQVDKVQFERVLKYIEIGKNEGATLLTGGKPTGDKGYYIEPTIFVDVKSMQEEMTIAQEEIFGPVMSLMKFKTVEEAIEKANCTKYGLAAGIVTKNLNIANMVSRSVRAGTVWVNCYFAFDPDAPFGGYKMSGFGRDQGMVAMDKYLQVKTVITAVPDSPWY >ORGLA01G0169500.1 pep chromosome:AGI1.1:1:16026106:16033310:1 gene:ORGLA01G0169500 transcript:ORGLA01G0169500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANGGDSKGFEVPKLEIKFTKLFINGRFVDAVSGKTFETRDPRTGEVIAKIAEGDKADIDLAVKAAREAFDHGPWPRMSGFARGRILHKFADLVEQHVEELAALDTVDAGKLFAMGKLVDVPGGANLLRYYAGAADKVHGETLKMSRPCHGYTLKEPVGVVGHIVPWNYPTTMFFFKASPALAAGCTMVVKPAEQTPLSALFYAHLAKLAGIPDGVLNVVPGFGPTAGAAISSHMDIDKVSFTGSTEVGRLVMEAAAKSNLKPVSLELGGKSPVIVFDDADLDTAVNLVHMASYTNKGEICVAGSRIYVQEGIYDAFVKKATEMAKKSVVGDPFNPQVHQGPQIDKEQYEKILKYIDIGKREGATLVTGGKPCGDNGYYIEPTIFTDVKEEMSIAQEEIFGPVMALMKFKTVEEAIQKANSTRYGLAAGIVTKNIDIANTVSRSIRAGAIWINCYLGFDPDAPFGGYKMSGFGKDMGMDALEKYLHTKAVVTPLYNTPWL >ORGLA01G0169400.1 pep chromosome:AGI1.1:1:16019413:16023418:1 gene:ORGLA01G0169400 transcript:ORGLA01G0169400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32660) TAIR;Acc:AT4G32660] MESSRSRKRARQDWDGAGAPPPPAERAVVARGGASPPWRDDDRDGHYVFDLGENLNRRYKILSKMGEGTFGRVLECWDRETHEYVAIKVVRSIRKYRDAAMIEIDVLNRLAENERYRSLCVQIQGWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELRLIHTDLKPENILLVSSEYIRVPGSKKNSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHSSIVSTRHYRAPEIILGLGWSFPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPEHMIRKASSSAQKYFRRGVRLNWPEGAVSRESIRAVKKLDRLKDLVARKADHSRAVLADLLYGLLKFEPSERLTAQEALDHPFFRNTT >ORGLA01G0169300.1 pep chromosome:AGI1.1:1:16009856:16018449:-1 gene:ORGLA01G0169300 transcript:ORGLA01G0169300.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGRQGDGLPSRPLVFPVDPFQTFLFNVGLESIKKINNHIHFLHCMQRVEVGPADVEQGFLKFTPKEISRKWLATYIRRNAEHTEAYKEFVASGYDYAQLLRYPLRLFPKTSYHCIKKSIYCDHHEYLTFTTSETASTIGMNEPNDMLQIFSLKLSSNESYPISVYGIFAVRDELDRLRNYVFNRTRDNPILIEQAESEGGGSDDKQLLSEYAEIENRGLKDPMIYGRIPSDRCLLDVDCMFLLNSVEAVIQVFTKDDSDNPHRVRFTAFSSGFDHEIVLYDDRLCKKGKLFQNVVAVKSKEKLVIRLEFEGSTFRWTFQDGAVAAVSSPDDSVSKLFDVVVQIIQQETKDKLCWKFTNNGICSTKSAYKEVYKREISNAHQLWKARNDLKFQGKIKEPTQVCMEAKAMIKTYTNFLSNDLMQEDLDEEDTNRRMGSC >ORGLA01G0169200.1 pep chromosome:AGI1.1:1:16005791:16006543:1 gene:ORGLA01G0169200 transcript:ORGLA01G0169200.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVLKDRTPHIRSLPNVTSWEITRGHCYYRVNPESLSHTMKVGMLLLHSTLRVLIPYTKQLYFHMVFLLEWSHKSLHQEILQLANKKSWPALMFVLVEQLLKSCCLGSTLLQLGRKMTSLLLKSLPKNWYYTVRPVILLIVCIWKNGQVYKCRPRLMQRC >ORGLA01G0169100.1 pep chromosome:AGI1.1:1:15971949:15972464:1 gene:ORGLA01G0169100 transcript:ORGLA01G0169100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLESTTLGNAAWINQLCGASPSSHPRCSSCRCRLRAARQRLVSSPSPRRCFAPPHALPPPLRITPPLLDYSSHHTDATSQHGRRFSFSPSGVRNPAGVQYPHGDGDGRKPRPVCGDRDRERGSFSARGRGRSARTRRGQAPLPSLLRSLPRPYFGNMGRGSIVGKGARKIS >ORGLA01G0169000.1 pep chromosome:AGI1.1:1:15964708:15967341:-1 gene:ORGLA01G0169000 transcript:ORGLA01G0169000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPEKKDPPSCGSRDSQPIPCTDDPPLSESPTTDLGCHDPRDEDADHPAPPMGKGDIDEDSDDDGYADEETTCPGTDTIAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASTIGWLEPKEMLQIFSLRLSSSMSYPISVYGIFAVRDYLDPLRNYVFNRTRDDPVIVEQDSFTLPLCSPCRGMYVVEYALFEVDLWVKMEGGVSNDKRLLSAYVEIFARGVFNKEIRGRILSDHCYLDIHYMYLSQSIEAVIQVSTEIDSNDPRHVRFTAFCSGFDREILLFNGKFCKDKSFQHIVAMKSKGKLVVHLEFEGSLFCWTFNNGELGAVNSPDDSVLKQFHVRVLFSPK >ORGLA01G0168900.1 pep chromosome:AGI1.1:1:15956135:15956650:-1 gene:ORGLA01G0168900 transcript:ORGLA01G0168900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVSNDKRLLSAYVEIFARGVFNKEMSGRILSDHSYLDTHYMYLSRSIEAVIQVSTEIDSNDPRHVKFTAFCSGFDNEILLFNGKFCKDKSFEHIVAMKSKGKLVVRLEFEGSLFCWTFNDGDLGAVNSPDDSVLKQFHVRVVFSPKQCVPPTYNSFFLWRRSKSVQKA >ORGLA01G0168800.1 pep chromosome:AGI1.1:1:15948056:15951235:-1 gene:ORGLA01G0168800 transcript:ORGLA01G0168800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G09950) TAIR;Acc:AT5G09950] MHRKLPPLPPLTLRRSSSSAAAAASPPPPPPRRLPPPVPLRDLLAHRLPPTPTPDRPPLSRPHPHDDVLLRGRRPGCDASPESLHLEVVKRGLTHDLFLANHLVNSYAKGARLDAARRVFDGMPGRNAVSWTCLISGHVLSGLPEDAFPLFCAMLREGPGCRPTSFTFGSVLRACQDSGPDRLGFAVQVHGLVSKTEFTSNTTVCNALISMYGSCSVGPPILAQRVFDTTPVRDLITWNALMSVYAKKGDAICTFTLFRAMQYDDSGIELRPTEHTFGSLITATYLSSCSLGLLDQLFVRVLKSGCSSDLYVGSALVSAFARHGMLDEAKDIYLGLKERNAVTLNGLIAGLVKQQHGEAAAEIFMGARDSAAVNVDTYVVLLSAIAEFSTVEQGLRKGREVHAHVLRAGHIYRKIAVSNGLVNMYAKCGAIDKACRVFQLMEARDRISWNTIITALDQNGYCEAAMMNYCLMRQNSIGPSNFAAISGLSSCAGLGLLAAGQQLHCDAVKWGLYLDTSVSNALVKMYGECGRMSECWEIFNSMSAHDVVSWNSIMGVMASSQAPITESVQVFSNMMKSGLVPNKVTFVNFLAALTPLSVLELGKQIHSVMLKHGVTEDNAVDNALMSCYAKSGDVDSCERLFSRMSGRRDAISWNSMISGYIYNGHLQEAMDCVWLMMHSEQMMDHCTFSIVLNACASVAALERGMEMHAFGLRSHLESDVVVESALVDMYSKCGRIDYASKVFHSMSQKNEFSWNSMISGYARHGLGRKALEIFEEMQESGESPDHVTFVSVLSACSHAGLVERGLDYFELMEDYGILPRIEHYSCVIDLLGRAGELDKIQEYMKRMPMKPNTLIWRTVLVACQQSKHRAKIDLGTEASRMLLELEPQNPVNYVLASKFHAAIGRWEDTAKARAAMKGAAVKKEAGRSWVTLTDGVHTFIAGDRSHPNTIEIYEKLNFFIQKIRNAGYVPLTEYVLHDLEEENKEELLRYHSEKLAVAFVLTRSSSGGPIRIMKNLRVCGDCHTAFRYISQIVGRQIILRDSIRFHHFKDGKCSCGDYW >ORGLA01G0168700.1 pep chromosome:AGI1.1:1:15946068:15947141:1 gene:ORGLA01G0168700 transcript:ORGLA01G0168700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:one-helix protein 2 [Source:Projected from Arabidopsis thaliana (AT1G34000) TAIR;Acc:AT1G34000] MSLAPSIPSIKVKVGGVAAVAVSPPRHRACRSSFAVIRSSKAEGAPRRPAAPPLSPPPKTPTLSTPPTLSQPPTPVKPAAPSSSPPPSQDPEPKQAAAPVAVAAPAAAGAVTLEYQRKVAKDLQDYFKQKKLDEADQGPFFGFLGKNEISNGRWAMFGFAVGMLTEYATGSDFVQQVKILLSNFGIVDLD >ORGLA01G0168600.1 pep chromosome:AGI1.1:1:15943315:15944268:1 gene:ORGLA01G0168600 transcript:ORGLA01G0168600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGRKDEEKPVKVSPWNQYRLVDREAEQVYHLASRKDQAPGKCAPFICFGRAAAGLEGASPPKLSSGNTSGSSSEESSASANEGTNGSLTGNEKKGCLKSNSRRDSLEHCIVVSEGEEPRESLEEVQTLKSGMERRKVQWTDTCGKELFEIREFEASDEGLSDDDMENEGFRKCECVIQ >ORGLA01G0168500.1 pep chromosome:AGI1.1:1:15937163:15938748:-1 gene:ORGLA01G0168500 transcript:ORGLA01G0168500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKLSFQAGERHSLEKLHQWILRKHEEESRLTVADIMSHIQHELDYGGNDPHVSPRVHQHSANPFANSSIQPSAGSYGQATVGFAPRPSISDQSKNTIFSNALSSPVRRSLQSYHLTQGSGNGGRNAETNSAGQNRETNSGGSNDTSMDMVSDSAGNEYY >ORGLA01G0168400.1 pep chromosome:AGI1.1:1:15933408:15935024:-1 gene:ORGLA01G0168400 transcript:ORGLA01G0168400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPIAKAAHELPSLYCLTLKTPEFLVRAGKSGQAGNEALDLFDGMPPKSQVAWNKALGMLVGAGRIERALSFFHEMPGKNAASYATMIGGLSRARAASVARHLFDDLPLGKHNVFTWTAMVSCHVRNGEPREAVQLFAALYGELYERGVLPNAHTLSSLLKACVALQSLAMATQLHALALKLLEEGNTDNTFVWNGLIDVHAKVGALCDAEKVFDGMRFKDASSWTIMMDGYSRHKLIDKALYLFRSMKNKDAFTWNVIISCLWQNRRGEDALRLFIDLLRLGDRDNGGAKPDASIYTTVLHICSVLSLLTLGRQVHARTVKSGLSRSHVFVGNSLMNMYSCSGSTADLEKVFDEMAARDVVSWNTAIQGLGQNGRGRRALAFAERALALGLYNGNTFVAILASCSHAELVAEGMAYFDAMEVEYGVERAFEHYVGAIDLLGRAGRLRDAHGLLLGMPFAPSALAWTTLLHCCLAHKNCSIGSVAARELRALQPDGGGWNYERLLRGCGGGGGGGGGGEAGGGKSSEHLPGCSWVV >ORGLA01G0168300.1 pep chromosome:AGI1.1:1:15929418:15932297:1 gene:ORGLA01G0168300 transcript:ORGLA01G0168300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTAEEEAALKAGVAKHGTGKWRTILRDPEFTALLRLRSNVDLKDKWRNLSVTAGGYGSRERARVALKGGKRGPKALAEPMDADEKNPDIDDNAIIDAQPLAVVVEPMQLESTPEKEKSVARLDDLILEAIKKLKEPSGSNRTTISSYIEEQYWPPEDFQRLLSTKLKALVATGKLIKVNQKYRIAPSSNSSGGKSIKVYSTGEMNIENNNVRQLSKPQVDAELDKMKSMSKEEAAAFAARAVAEAEAAIAEAEEAARAAEAAEAEADAAKAFLDAVVTTMQNRNHASATLRAC >ORGLA01G0168200.1 pep chromosome:AGI1.1:1:15927640:15928642:1 gene:ORGLA01G0168200 transcript:ORGLA01G0168200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFTRQAAEYAAARPVYPKDLFVKLASLTAHHRVAWDVGTGNGQAAIGVAEHYDSVVATDVSAEQLRRAVPHPKVRYLHTPDAGADDDDLVAALGGEGCVDLITVAEAAHWFDLPAFYGAARRLLRKPGGVIAVWGYNYRVSPVEDMMSRFLHTTLPYWDSRARYVIDGYRDLPFPFDGVGLGKEGEPAGFDMEHEMAFPGLVRMLRSWSAVATARQRGVDLLDERVVRRLEEEWGGASLVRKVTFKAFLLAGTVRADDPA >ORGLA01G0168100.1 pep chromosome:AGI1.1:1:15924339:15926443:1 gene:ORGLA01G0168100 transcript:ORGLA01G0168100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLWRVRLSSFLVGTATASAAGFFFIYKDHLLARAAIARQVEDIKETSEKHYESLNQRVSALESRNELGDTKAASD >ORGLA01G0168000.1 pep chromosome:AGI1.1:1:15921384:15923994:-1 gene:ORGLA01G0168000 transcript:ORGLA01G0168000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily [Source:Projected from Arabidopsis thaliana (AT1G71720) TAIR;Acc:AT1G71720] MPPAMAVAAAPRSLLFPVSPSPSQPRRVRALARAGRSQRRHAALARPARRHLDDAMSAGFVRSLNAPPDEDGAGSGGRYDPRPGDFAVGVVVSGTEARLDVAVGADRLATLLAKELLPLYRADLPAFAEAAPPRPGSVGVVASPAAGEGDRKPGERGGGRTLVPPGTVVFAEVLGRTLSGRPLLSARRLFRRLAWHRARQVMQLDEPIEVKIYEWNTGGLLTRIEGLRAFLPKFELMDRINTFTDLKNKVGCSMRVCITRLNEETNDLIISEKKAWEMTYLKEGTLLQGIVHKIFPYGAQVRIAGTNRSGLLHISNISRGRVLSVSDILKIDEEVKVLVVKSNVPDKIALSISDLESTPGLFLSEKARVFSEAEEMAERYREQLPADSKNAKLDADLPGGTIPFDDEATLYANWKWFKFLEDGKLDAVTTEHNGS >ORGLA01G0167900.1 pep chromosome:AGI1.1:1:15894811:15898416:-1 gene:ORGLA01G0167900 transcript:ORGLA01G0167900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:I1NP89] MAMEAAAKRSAGAGAAATAAPESGGGGAGERRSRFRRICVYCGSAKGRKASYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPFLSFIDMAVSEGFIAEDARRIIISAPTARELVLKLEEYVPEYEVGLVWDDQMPHSFAPDLETRITSS >ORGLA01G0167800.1 pep chromosome:AGI1.1:1:15887609:15891002:1 gene:ORGLA01G0167800 transcript:ORGLA01G0167800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF185) [Source:Projected from Arabidopsis thaliana (AT3G28700) TAIR;Acc:AT3G28700] MFRSPAAAAASALLRRLGPRVSGGGGGASTHRRFAPPVSTSILSRYSSAPTSSPSPPPPPPPPPSDAAADGDNRAESGEPGSSLSITIDRSGLYNPPEHSHEPSSDSELVKHLKSIIKFRSGPISVAEYMEEVLTNPQSGFYINRDVFGTSGDFITSPEVSQMFGEMTGVWAMCLWEQMGQPEKVNLIELGPGRGTLLADLLRGSSKFVNFTKALNINLVECSPTLQKVQYNTLKCEDESIGDKTRTVSKLCGAPVHWHASLEQVPSGLPTIIIAHEFYDALPIHQFQKASRGWCEKMVDLAEDSSFRFVLSPQPTASLLFLSKRCGWASSEELEKVEHIEVCPKAMEITEQIADRISSDGGGALIIDYGKDGIVSDSLQAIRKHKFVHILDNPGSADLSAYVDFASIRHSAKEASDDISVHGPMTQSQFLGSLGINFRVEALLQNCATDEQAESLRTGYWRLVGDGEAPFWEGPDDQTPIGMGTRYLAMAIVNKKQGTPVPFE >ORGLA01G0167700.1 pep chromosome:AGI1.1:1:15872370:15876980:1 gene:ORGLA01G0167700 transcript:ORGLA01G0167700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of unknown function (DUF572) [Source:Projected from Arabidopsis thaliana (AT1G17130) TAIR;Acc:AT1G17130] MGERKVLNKYYPPDFDPSKIPRRRQPKNQQIKVRMMLPMSIRCGTCGTYIYKGTKFNSRKEDVEGEKYLGIQIFRFYFKCTKCSAEITFKTDPQNSDYTVESGASRNFEPWREEDEVADREKRKRDEEEMGDAMRALENRAMDSKQDMDILAALEEMRSMKSRHAGVTVDQMLEILKRSAHDKEEKTVAELDEEDEKLIKSITFRNSQDYVKRIEDDDDDDEDLVIPGQSSSTSKMNGSSQSVSNATDVLTKTSGSDNANKEGNKIWPSKMPKFIVKPKSTPTDPIKKQKTETTAAPDNGKAPVAEEKSEPAQNNVLQSLCQNYDSDESE >ORGLA01G0167600.1 pep chromosome:AGI1.1:1:15871693:15871953:1 gene:ORGLA01G0167600 transcript:ORGLA01G0167600.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSTAQQQTSDLCRFCGNSYRSLPVCQAVCMSMEAKGFSRRGFAAELYRSDSLLLFYLIRKFASLEWLLSTLLEMVYWLKLYPCTMY >ORGLA01G0167500.1 pep chromosome:AGI1.1:1:15869448:15870323:1 gene:ORGLA01G0167500 transcript:ORGLA01G0167500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKVINKHYPDDFDPSKIPRRRQHKKQMVVRMMLPMTVRCAACGEYIGRGTKFNSRKEDVAGERYLGAVQVFRFYIRCSRCSAEIVFRTDPASAGYALESGATRPSYEPWPAAAAEAGREERGGGDAMTALEGRCRDARREMGVDAALEEMRSLKSRRAGVTPEQLLESLRRRGEALAELEEDDEKLISSIAFGNAKERSLRRIDDGDDEDDEEDFFESCLARAAMATTSHQAKKRPPPPPRDAVKSLVVSKKRRPESMDQAAWPPSSGKTTASNGALQVLCCNYDDEE >ORGLA01G0167400.1 pep chromosome:AGI1.1:1:15859711:15860097:1 gene:ORGLA01G0167400 transcript:ORGLA01G0167400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPPHHPPCAACAHQGRPSCPAGCPLAPYFPADRPERFEYANLLFGVDGILCRLEAAGPDTVTRRATMASIVFVSDARAADPVHGAYGVIRNLQQELASVKAEIAAIRQKQQQAQQPPPALEDGH >ORGLA01G0167300.1 pep chromosome:AGI1.1:1:15850524:15851306:1 gene:ORGLA01G0167300 transcript:ORGLA01G0167300.1 gene_biotype:protein_coding transcript_biotype:protein_coding STSATMVDNPSLAPKSSPLPPPPAAAVEAAMRHLQGAEDGVLAGVPARVILHGDEKVRLLNAQRLFGTRNIRHFVQATLPEKQDDLMSSIKYEAQVWARNPQSCATGVMWHLERKVERELAKLSKLRQKLEMCKNLAAKKSIPETKDVGVRQVTSKEQEQERQYQACPARISAWTPRLFVHRSACEIPISQTESRIACMQFFGRAFMAFAGQIQEEAINHEAEDAHYDFFPLQAHLVLDSPRNPHPLGRPPLTRSHNVHG >ORGLA01G0167200.1 pep chromosome:AGI1.1:1:15845596:15846123:1 gene:ORGLA01G0167200 transcript:ORGLA01G0167200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPWRPFVADISPVAVADDDDPPAACAACAHLGRACPTGCPLARCFPAAGDQPADGRLFRNAFRLFGVGNVVGFLLAAGGDPGKRRDAAVSVAYEADARADDPVRGAHGVVMDLERELDCLKAELATAQSALARHRQYAQPPPPPPPPPPDALDRARALNYFCHGCCGDVDGAA >ORGLA01G0167100.1 pep chromosome:AGI1.1:1:15842814:15843569:1 gene:ORGLA01G0167100 transcript:ORGLA01G0167100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEAGPSSSGHSSPTSNTSTTPPPQPPPPPPPPPHPQACAACKHQRRRCTPECRLARYFPANQPARFRNAHRLFGIKNILRVMASASEELRDDAMKSVVYESDAWVIDPVGGAAGIVKGLSQELARLKAELDAVKGLIELHRRAAAQQQQQPPVAVASNGGFLPSPPPPPQGQQQLLFLPPPLPTMMLQDGHCDDETVEDDYLVGPPAVDAAAATSTAPERRGDGHAPPANVKEEGNVDHTSSMSFRDR >ORGLA01G0167000.1 pep chromosome:AGI1.1:1:15839629:15839961:-1 gene:ORGLA01G0167000 transcript:ORGLA01G0167000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAFQISPFTPKYPLASKKPPVGTLRLSWRRSRSIGPDVEPLVVKPGKTQTQGQSAAPGTPCLDAYNCRSFTIPSTSTARRTISSRALCDLSCSAATHGCRSNTSAPLN >ORGLA01G0166900.1 pep chromosome:AGI1.1:1:15828561:15833291:-1 gene:ORGLA01G0166900 transcript:ORGLA01G0166900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSATAGRRSPFSALLLYVTFALVILLLLTSYSPSIPHRGRSLHRRLKLHPRNPSLSGAGAGAPTGGNGAPQQQQNHHHAAPFDPEIAELERRLEDKEWEREHYRILHGDGGGGEADEHMREWEEFLREDEDFINDDERFNLGDRIRALFPKIDLAPRDGFASLDELTRWNLEQSRADQLHRSAREMELYDKNGDGVVSYGDFRAQHNESSGEVNSLGFPWWKEEHFNASDADGDGFLNKTEFNDFLNPSDSENPQIINLLCKQEIRQRDKDGDGKLNFEEYFHGLHDHIHGYDDENADISHIGNNTVAKERFSKLDKDSDGFISEHELEPVLDKLHLSERYYARQQAAHAISEADKDHDGRLTLDEMIENPYAFYGSVFLSDDEDYFHDEFR >ORGLA01G0166800.1 pep chromosome:AGI1.1:1:15801785:15802330:-1 gene:ORGLA01G0166800 transcript:ORGLA01G0166800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEHGKPAKAGISEQKAVGVQVLQSIAMDGWKQARWRRREEEEGPGEDGAAIALVLAGAADLGVGLPVGVDGVLLGALVALPLLLAVLAEEVLLDAGEVAEGAGGVVVDAAGLRADVHLLPHRLLAPPLLQLPRQVVPPPVQLQVLVPLEPLPADLAHEPVRRHQRRRRQRHHLRLRVYI >ORGLA01G0166700.1 pep chromosome:AGI1.1:1:15781119:15788123:1 gene:ORGLA01G0166700 transcript:ORGLA01G0166700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSPVPPAAAPASLAAAPPTTDVLSRRRAHLDSASYRALSRLFSHCLHLHPPRHAARPDAEVEPAAAAAIPPGGSGGLPHGDSPPPADVGGDRGKNLEVEVALGNHAPHETPSTSASPDAAVNPTTDPGVVPQGTEEGRVAGVERVEGVEEVVFAGGTSGEADADGDELGAGAGLMGDDEALRSMQACLDGEDSELVIEMVGNDDEQLQLDAMMNNLSGLIDDASACVMSAQSCGVSGDKLQSDDRVAEEVKELGAGIGNDRSVCSLDHGSLDGGGGFEEGEIEGDTQNLDADDSGNSELQDDVELEEDFDSRRIEEDGSCGHDLKSNLHLIPQKGNGGTARNMLCNSKGDSQMHVARAQAVSYDEVLDWNETPLPDDKALKHGNTRKRTLTEERKAKKTKTKRIKRALQREAEGVKRLKLQPVIKPKVVKVCHFYLHGKCQQGNLCKFSHDTTPLTKSKPCTHYARGSCLKGDDCPYDHELSKYPCHNFMENGMCIRGDKCKFSHVIPTAEGPSTPDAKKSNASSVPEKANCQEQTSRQKTSTVYSGEPATSVPIKHHSILKNLAGISGNAQKDVVSTEKHKNPTGGPHQNFGRPQPADGKKLGKHNGHRSAPLLDEKDSSKQANLHPCSEPKKNSLPTTAAVPSSHTWMLFSDEPVAMRLCSIFCIPHTSFIAVTPSCKLQLLMWTYFAELGANIYCQILKIGNYQMNALLFNPQKKKKAHKDYQAQRLYRWLLHCCPRATRWLGVAQAYNSKSQFLIFISLQQQEANSAAKGSMPVCIHQLVLSMFLNKDLNWKVGVYLFVWDYENAKRHMT >ORGLA01G0166600.1 pep chromosome:AGI1.1:1:15779994:15780236:-1 gene:ORGLA01G0166600 transcript:ORGLA01G0166600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAREETIVYEVDPDALTVDPVDDPLVKEFRDRLVDEVLTIDAGDMEALFEEQQENPELERTLMLSQSDQDADAADGDTP >ORGLA01G0166500.1 pep chromosome:AGI1.1:1:15775494:15776474:1 gene:ORGLA01G0166500 transcript:ORGLA01G0166500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TELXELPPCRSRGRGDTGGDHRRLPPSSTSPPPEQTAGNHAAARKAAAGPSFSLEGAGRCPQPRPVGGRRRCGGIGVGVGASGWARGGDRVRNSWAEAARCGTGAAATAPDLASLARSGGPASSRDDGGTQGGGEGRCRRRERWSSGNGAPSRRLSNPGWGSGQRRGGAETGRQGRRHGGRATAVAARSRHGRGAAVGVCQASVLASIMLRRRALAGHPLYLAGSGRPRPDPSPPRWMAAGRWDGCVWTASAAAARWQPASYSAGGFCRWWVSSLLMGSDVRMWKWRDDGLCWREAGIGLDVRDGDFLIVGRVFSLSFGFPPXPDPF >ORGLA01G0166400.1 pep chromosome:AGI1.1:1:15773938:15774321:-1 gene:ORGLA01G0166400 transcript:ORGLA01G0166400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPPNHPPCAACAHQGRPSCPAGCPLAPYFPADRPERFEYANLLYGVDGILRRLEAAGPDPGTRRATMASIVFVSDARAADPVHGAYGVIRNLQQELASVKAEIAAIRQKQQQAQPPPPPPEDGH >ORGLA01G0166300.1 pep chromosome:AGI1.1:1:15769793:15770854:-1 gene:ORGLA01G0166300 transcript:ORGLA01G0166300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSRSTSSGAAKKPSPRNPRCAACKFLRRACSPEECRLAPHFPASQPERLQSVERVFGMRKVLELAHKAGPEYLDDILSSIVYEAEAWGRDPVWGPTGVVGALELEIGTARADLAVLQGQDQDQPPAVATLPEPELVVHPPTMAQDESKPSSTTSSSSGTTTTKKNKPRCAACRYLSRSCWPECLLAPYFPAGQPPAQFGNVHRLFRLNNVLRMMEETRREERDDLMAAIVYEADAWARDPRYGVAGVVRSLTNELARVRLDEIAFHLLGTDETYDGYKEEDALAVDPVSGAQAGIGVLGGSGADLQENTGILLGADEPGPGELAVDPIKDVFDIDRLLAVDDDLSSGTQP >ORGLA01G0166200.1 pep chromosome:AGI1.1:1:15764283:15766938:1 gene:ORGLA01G0166200 transcript:ORGLA01G0166200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AXTPNTSRSPRRASHMASSSSSLCRLLIPRPTTRRFSRGGEGGMAAAPVKREVKPEAGEGWGGGDLGVVPPPRPMEGLGEAGPAPFVAKTYEMVADAGTDAVVSWGPGGSGASFVVWDPHALAAGVLPRFFKHANFSSFVRQLNTYGFRKVTPDRWEFANEAFLAGQKHLLKNIKRRRVSKPLMDSQLRNKTSVVFGQPEAPGEVVSLKRDRAALRAEVIMLKQQYNACKSQLIAMEEMVRNIERRQQQTISFFAKVLTNPVFVQQVLLNYVNKNGLRGTAKRQRLMENEEQHADSPLNKGMEAASVMEADVSPGSTGCGTVGKVETTPMCNFQNIENLCDDVWEELDALPETGMEQEDKAGIGSFDVEEFVGRPCGWVDDCPYLVEPMQFVEH >ORGLA01G0166100.1 pep chromosome:AGI1.1:1:15758493:15760903:1 gene:ORGLA01G0166100 transcript:ORGLA01G0166100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKTLARAGSSLLGRLLASPSPLRAGLPPASLLSRIQPLAPPSPPPPQPRPETTVEAYEAQAVARLSSLPGEISFPCGLPSLRFIIDDGKDPVANEPLELLPKRTYQPSTIKRKRTHGFLTRKSTKGGRKVIARRIAKGRHRISV >ORGLA01G0166000.1 pep chromosome:AGI1.1:1:15747489:15752060:-1 gene:ORGLA01G0166000 transcript:ORGLA01G0166000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQRKNSKKVKDSNGSSSKKDKDSRGKNIFDSSKGGLGALAGTLQTAKNDAGEKAENLQDDVKTTIGAILRRGSGVLEKAKEIGGHSEASQSKELEQGSEEQGKKDMEALTKVMDKVKEVKSNPEVVEKLDKVKEDISSLAHALHLGKHDKEHESEEKAKEGETAKRADEGASASKSEDSDVVVQAVEEIQAVVTAVQLHTEGAAAETPNEAAAAETSAGEKPEESKRDVEKDDPSKRLDFKGFFAMIFERCCNPGNKKKD >ORGLA01G0165900.1 pep chromosome:AGI1.1:1:15744068:15746506:1 gene:ORGLA01G0165900 transcript:ORGLA01G0165900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQESTFSSASTAAQVNACALDLLPVYAKELIAGGAAGAFAKTAVAPLERVKILLQTRTHGFQSLGILQSLRKLWQYEGIRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNFAPSVGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVSNVGQPGNALGNAGRQPAYGGIKDVFKTVYKEGGARALYRGVGPTLIGILPYAGLKFYIYEDLKSRVPEDYKRSVVLKLSCGALAGLFGQTLTYPLDVVRRQMQVQNKQPHNANDAFRIRGTFQGLALIIRCQGWRQLFAGLSLNYVKVVPSVAIGFTTYDMMKNLLRVPPRERLYQSSGNA >ORGLA01G0165800.1 pep chromosome:AGI1.1:1:15721985:15724211:-1 gene:ORGLA01G0165800 transcript:ORGLA01G0165800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRPLNTERSFLLSSPKPHSPRDACSPPVRSPSSTRLLACRKLPSSSKPMATGAGVLERSLSFKNWEPTAAEEAAVAAPPPHDEAASRCINGARPGILLLQQSPKAKQGDAATSPAQAALIEFISPKPRSELDQAATKVQKLFKGHRTRRNLADCAIVVEELWWKAYDSACLNIKSISFFDEAKQETAASRWSRAGKRIAKVGKGLSKNEKAQKLALQHWLEAIDPRHRYGHNLHLYYDIWSASSSTEPFFYWLDVGAGRDMHHQKCPRSKLYSQLIMYLGPNEREAFEVVVEGGKLMYRKSGVLVNTTEDSKWIFVLSTTRSLYVGQKKKGKFQHSSFLAGAATTAAGRLVAKDGVLQAIWPYSGHYLPTEENFREFISFLEENSVDLADVKQRCSVDDDEFPSFKKTEEKPEEAEKPTEPTHDEIMDSSQIELPEVDIVKEAVVKNSEDTEVAPIMASRPSFKWATANGARIGCVRDYPADLQSMALEHVNLSPRVVPSPTTNRLPIPSPRPSLKIRLSPRLHYMGLPTPTGCKLPIPSPEIRRSPRDQFMGFQTPSVSLTLPKLGK >ORGLA01G0165700.1 pep chromosome:AGI1.1:1:15714392:15719199:1 gene:ORGLA01G0165700 transcript:ORGLA01G0165700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carbamoyl phosphate synthetase B [Source:Projected from Arabidopsis thaliana (AT1G29900) TAIR;Acc:AT1G29900] MATSLSSAPTQLRPSPSPSHHRLLHRSSLLPFPRRHHHRRRRCGALSIARASASAKDGVTVRRFPAAPTEGGRLAGVSKIMILGAGPIVIGQACEFDYSGTQACKALAEEGYEVVLVNSNPATIMTDPDLAHRTYIGPMTPPLVERIIAAERPDALLPTMGGQTALNLAVSLADSGALDRLGVRLIGASLPAIRAAEDRQLFKQAMDRIGLKTPPSGIGTTLEECISIAKDIGEFPLIVRPAFTLGGTGGGIAYNRAEFEDICRAGLAASHTQQVLVEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPVLTTQMKSVGEAMALGRTFQESFQKAVRSLETGFAGWGCAPIKELDWDWEKLKYSLRVPNPDRIHAIYAAFKKGMRIQDIHEISFIDKWFLTELKELVDVEQFLISRGLDQLSKDDFYQVKRRGFSDTQIAFATSSSETDVRLRRLALEVAPTYKRVDTCAAEFEANTPYMYSSYEYECESVPTNKKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVTNVIDLERPDGIIVQFGGQTPLKLALPIQQYLEDKKLVSASGTGLVKIWGTSPDSIDAAEDRKRFNAILEELGIEQPKGGIARSESDALSIASEVGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLIDAIEIDVDALADSVGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSAKCLDIIRSWTTKLAKRLNVCGLMNCQYAITTSGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGVTLPELGYTQEVVPKHVSVKEAVLPFEKFQGCDILLGPEMRSTGEVMGIDYEFSGAFAKAQIAAGQKLPLNGTVFLSLNDLTKRHLAEIGRGFRELGFNIIATSGTAKVLQLEGIPVEPVLKIHEGRPNARDMLKNGQIQVMVITSSGDALDSKDGLQLRRLALAYKVPIITTVDGARATIDAIKSLKNKSIETLALQDYFQTTDATQNLQAAQSAS >ORGLA01G0165600.1 pep chromosome:AGI1.1:1:15708571:15709521:-1 gene:ORGLA01G0165600 transcript:ORGLA01G0165600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTVTHPAAAAALNHGRHLLRRLAVSSPTILHAAWVTALVAVCLALCITHSRKPPPSSSSSSKAARRERGASSSTRRRSAPGDEGSSGGVGGSSAKAAATAAAAATATTAAKVSPTPSDVAAKANGRVGETQTAAAAAEGAAVPVTVIDVGTHGPIAPAFPAPDPLPPRRSLSAKHMRLAERLGSRTRSTRWGRDDHDDDDDEDAGGDPAAAAAAAAADEGGTTLWTKTIILGERCRVGDDDDEDGGGGAVVRWRSYWPRQPRSLPMTRSNSFAGVGSRSLQLQGGGGASRPPPAADVPFHLGRTASLPAKDEL >ORGLA01G0165500.1 pep chromosome:AGI1.1:1:15700571:15704771:1 gene:ORGLA01G0165500 transcript:ORGLA01G0165500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKYRLGRKLGSGSFGEIYLGTNVQSNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYMIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISERKIATSIEALCRGYPCEFQSYFHYCRSLRFEDLPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQITSVPPRAIAAAAGQSSGMSPIANNNRLSATEEGRRSGWSDDPTRRQVPPTGINAGSLSKQKSPVRPDMSTSKDALFSSSTMLGRSSGSLRRPVVSSSRELQSSEAEPSRSRTPDASPGTFQRSAPPRRSSQMLDYSDPRHSSSGRHAANKNYESTIRGIQGLNFDANDRIHY >ORGLA01G0165400.1 pep chromosome:AGI1.1:1:15697593:15698059:1 gene:ORGLA01G0165400 transcript:ORGLA01G0165400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQGERSNSNKNKSNNSQKPSRSKQDSSGFQTTDAPFKTPHCPFCVVDGYWQRNCSCFKVWLAKKGIQYRLESSKRGAKPNRVADKLAKEAIMPRSVHPMCACQNRILLTLTEKAL >ORGLA01G0165300.1 pep chromosome:AGI1.1:1:15658037:15666831:-1 gene:ORGLA01G0165300 transcript:ORGLA01G0165300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRVQYYRDIRTPTGQWFHVVRAPPRHARYATGEAGFGELDVGETSVGMVEQQCSSSPVMYALVQCTPDLLPADCRSCLAGIASQMPRWFSSNTSYRLGGRILGVRCNLRYEVDRFFLESNETIKIHMPKPKGSLSAGKIVGIVLGVVAFVILIFSLIILALLKRLREVIQESERQKKLAKLETEIIDEIGPLFSRYTLQQIKEATRDFSNEIGKGGFGHVYKGKLPSGTDVAVKRLAVSSSGQGFDQFMNEIKLMATLQHRNLVRLLGFCIQNEENILIYEYMENGSLDDVFSDPERKSRLLDWSTRLRVIDSIAQGLLYLHRLAKQNTCIVHRDIKANNILLDASMNAKISDFGIAKIFCPNLMESATTKGCGSFGYIAPEVLLTGTFSDKSDVYSLGVLILEIISGTKVNSACFFQQGRSDNLLTCAWQLWDAQRYKDLVDRSLISAGENIEDAVLIRYVQMALLCVQANPEHRPNIDKIVAMLSNTEALDVPKEPPAYYNVQVPTSSNHSGAVTPTVFYTSISS >ORGLA01G0165200.1 pep chromosome:AGI1.1:1:15638788:15641078:1 gene:ORGLA01G0165200 transcript:ORGLA01G0165200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGPMLADPTLLVRADQRGPPHMVLVDRYVALVDDIQEVIDEIGGVSFDVSLKEPSTPSRSAATDKSGTNNNSPPSGQRSHGTGLRSWSRRSPSSETVKPAALQRLARCVRSPWPPGATPAPTIVRYWTASTLAFLTSLAVPSXILXPLGSPGHPASHDLWNHPLSASISSCHNCLLVLYFGNYRPGISSPGCYLVLNTWANSVTIVPPLRATCVTTMLHCGIGTGVAILRHNDYYDYVLVELFPHQDSRTHLASNKATLFLWWSPSSGPLADGQWIRKEVLLPIPATSNQDKDDATRPPTYSFRENMVFAVSTTSLCWVDLRTGILVCDHIDKLNTSTDDEDDRLLFRFIPLPEECVMKPGLLSRERPAEEHRTMICMDPETILFVSMDSYIQGLPIGDTVLMTWTLKFPLTNHWTWEKHSAPSLCVGDLLNDLPVLKESKNDGKTQHIANCPVSSIDRQNHLITSLTITXYERKHENGQWGVVELYEVSIDMDRRTVLELSSLESRHSNIFAADFSXCLQQHQGDLHIVDRINSLIFPFFPFYF >ORGLA01G0165100.1 pep chromosome:AGI1.1:1:15619826:15620731:-1 gene:ORGLA01G0165100 transcript:ORGLA01G0165100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHILLLLLAVTVLLSSPTWAAAGGEDQAVASQEVNPLHYNCSLSGGKYEPNSTYEANLRALASLLLAEARATAFASDSFGAAPDAVYGIALCRGDYAGDACAGGLRKAFRDAIDHGVFCAGFRDVTVYYDEHMFRFSGEDFRASLTNAPAWVTWNMNGVAGAAAFGDRVMELINTTAEFAAWNSSKRGYATGEAGFGELDVGATRLGLVEQQCRSSPDLVIFALVQCTPDLSPAGCLSCLAGIASQMPRWFAGAADYRLGGRILGVRCNLRYEVDRFFLESNETIKIHMPKQKGTYVRN >ORGLA01G0165000.1 pep chromosome:AGI1.1:1:15612681:15614711:-1 gene:ORGLA01G0165000 transcript:ORGLA01G0165000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLKQIYESLQGILQNGLQIAAKRLDQTTWQGLEEFLNEIRIIIRLQHANLVRLLGCCVNRKEQILVYEYMPNRSLDYVLSDRERGASLSWFMRRHIINGIAQGLDYLHNHAPEGLIIIHRDMKLSNILLDSENNPKISDFGIARKFCLNGTEPYVTHPVGTPGYMAPEYIHGDLTPKYDVFSFGVLVLEIISGRRVRSPIFNQHGRSIHLLTFAWNIWSNRRYNELLDPYLRVEFQEELTRQIQIALLCVQKNPGDRPDMHEVTMWLSNNGLGLSEPQEPAYLNVPLGYNDDFVTARPDLEAGIIELQ >ORGLA01G0164900.1 pep chromosome:AGI1.1:1:15603949:15605450:-1 gene:ORGLA01G0164900 transcript:ORGLA01G0164900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLDPNSGSGATVAAASHSHVVVDMPQLDASSHSTASYDDKSGHVVIDIESEGRSGTTGSDIILSCVVCTEPLEWVAVGPCGHRAVCSMCVARVRAGPDADKRSCICRTRCYTVVVTKAATAADTLHAFPKTVPVTSRDWRIGKFWYYAAMSAYFDDRNHYKETKRAVRDFLEKQRAAPSPPPPCPDDDVTDENLEAQPPAPHHPRVSWCGILFVLVAFAFVCGPPALRAGVHRVETCATTATRTHQDEVLRISKAPKSQPSATHQDGSLPIAMEKLDGEDREEEEEEEEEEKKQAASISVMLTH >ORGLA01G0164800.1 pep chromosome:AGI1.1:1:15601003:15601722:1 gene:ORGLA01G0164800 transcript:ORGLA01G0164800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTPQVDTNWSAAEHDHIAIDIGDSTAGSDSDDVPSCVVCTEPIEWVAVGPCGHRVVCSPCAARLRSGPNPDHRCCVCRTLCSTVVITKAATAAHSVFTFSDQSSMPVAAAQDDGRPVGAYWYSAAMSTYFDDKKHYDQVTKQVVVAAADRCFLRTPPRRPDVDASPLRRLCVRMSWRRHVLALLVVVLVTALVGGWVGYLTSGDEMMSDRIGIVAGIAALWGALAAVVYGIIAVFH >ORGLA01G0164700.1 pep chromosome:AGI1.1:1:15599152:15599884:1 gene:ORGLA01G0164700 transcript:ORGLA01G0164700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGRRAWWGRAWQERGVTAEGVAIDAEGVLAGAKGVVAGDEDAAVEALGAGAADPGEVEPNYEDKVAALVEVDAGGAVVGVPVVQVPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA01G0164600.1 pep chromosome:AGI1.1:1:15589953:15591699:1 gene:ORGLA01G0164600 transcript:ORGLA01G0164600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLHQIYMSYLIQLGPQKCHPLECGLFGLSALLGTASGYAGLRLNKPTRNLFDQGITIYKINSINLRISLVSLTVTPIRRSAAAVVMADLDANSGSGVAVAVAAASSHSHVIDMPQLDASSRTVAAASATDHSRAVETTRIRTSCSAGDDDKCSTGSDDIPSCAVCMEPLEWVAVGPCGHRVVCPACAARVRSAPKPDHLCCICRTLCPTVLVTKAAAAADGELPFSEIPAATQDGQVGEYWYCAAMSAYFDDERQYEATAKAAAVAAAGCLKQRPAGADDDDGERDQRYGTAQFLMYSFFAALFGVCIGFVFAVDAPGWGGRVGIVAGSAALSVAVGSVLWFLRKYGYFCGQQQQD >ORGLA01G0164500.1 pep chromosome:AGI1.1:1:15585510:15586343:1 gene:ORGLA01G0164500 transcript:ORGLA01G0164500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLHGGSVGHSQAIDDIPQFDASSCSATAYHSHAMEMSRLDASGRGSSRTAADDKGGHVVIEIDAADDIPIPFCVVCMEPLEWVAVGPCGHRVVCSACAARVRSAPYSDHRCCTCRTPCPTVFVTKAAAAAADGELNYLLQLQGDAGSLQDGRVGEYWYLAPMSAYFDDERQYEAAAASSLMMKHQRPPPDADGEFQPRHGGDRGDGALPGDEFGAPELSFLALFFAACGAVVGLGFTGFGTGWGQKVAIVLGSAGIYAPLGTSIVWFMNKNGYCR >ORGLA01G0164400.1 pep chromosome:AGI1.1:1:15584495:15585178:-1 gene:ORGLA01G0164400 transcript:ORGLA01G0164400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLDADDANSGSATSATHSHAIGDMPQPDASSRTSADEDDHGHNVGIDDIDDARDNGSIGSDSDVPSCAVCTEPLEWAAVGPCGHRAVCSACAARVRSAPNPDNRCCVCRTICPFVVVTKAAAGVVSFSTLPAVASHDDGRVGEYWYCAAVSAYFDDEQQYEAAKAVASRRHGDQPAMVHRAVHGVGTSRSSSRPALCLRYMALPWVPFFGVRLGRPSSRDPRFPW >ORGLA01G0164300.1 pep chromosome:AGI1.1:1:15578635:15581843:1 gene:ORGLA01G0164300 transcript:ORGLA01G0164300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDLRSGNLLALSTISFTRAAAGELDLHPGVLLSLSRFRPKRQRVKQRGGGHGRCGAASSRALTGTENGKGEWRGTKTTSSSGSRLASSLVSSAGSRSFTILLLLFFPDQTATCQQTRSTKESKPVRRCSAPPAPPTTAASSPTASTTRLLDDDSSSSAAAGNSHVVIDIDDDDGGVGDSDDSACSSSSAEGPCCAVCMEPLEWVAVGPCGHAVVCSVCAARIRSSRSWQPDLRCCICRAHCPFVVVTRAAAAAAAAPAAMPAVNSYQKWRARGYYWYCTTMLAYFDDVEQYRATRAIARGEVKGGAAVDVDGNDGGGGGRRTLSSCVDVFRFLLIVAIFALFGVLFGSVFSSLTAGGRATPGDNLAFISACAAVSMLGSILFYFA >ORGLA01G0164200.1 pep chromosome:AGI1.1:1:15571961:15573816:-1 gene:ORGLA01G0164200 transcript:ORGLA01G0164200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAGAGDGAPKHYPGKMTIFVFIACLVASSGGLIFGYDIGISGGVTSMDPFLSRFFPSVYAKEKEVVDTNQYCKFDSEPLTLFTSSLYLAALIASLFASVITRKLGRKMTMLGGGFIFLIGAVLNGAAVNVAMLIIGRILLGIGVGFSIQAVPLYLSEMAPAKMRGMLNIIFQLMITVGILFANLINYFTDKIAGGWGWRVSLGLAAVPAVIMTVGSILLPDTPNSLLSRGKENETRTMLRRIRGTEDIGPEYDDLVAASEATKAIENPWRTLLERRYRPQLVMSVLIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSAVITGLVNMFATFVSIATVDRFGRRVLFIQGGIQMIIAQFILGTLIAVKFGTAGVANISQGYAIVVVLFICLFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSVVVVFNMAFTFFIAQIFLMMLCRLKFGLFFFFGAMELIMTGFVFVFLPETKGIPIEEMDRIWGEHWYWSRFVGAGRNRVMQMASTNV >ORGLA01G0164100.1 pep chromosome:AGI1.1:1:15567799:15570046:1 gene:ORGLA01G0164100 transcript:ORGLA01G0164100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMTDTDGAHKNYPGKMTIFVFLACLVASSGGLIFGYDIGISGGVTSMDSFLIKFFPSVYAKEKEMVETNQYCKFDSELLTLFTSSLYLAALIASLFASVITRKFGRRITMLGGGVIFLVGAILNGAAADVAMLIIGRILLGIGVGFSNQAVPLYLSEMAPARMRGMLNISFQLMITVGILAANLINYFTDKIAGGWGWRVSLGLAAVPAVIMAGGSLFLPDTPNSLLSRGKENEARAMLRRIRGTDDVGPEYDDLVAASEASKAIENPWRTLLERRYRPQLVMSVLIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFILGTLIAVKFGTVGVANISRGYAIVVVLCICVFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSVVVVFNMAFTFIIAQIFLMMLCHLKFGLFYFFGAMELIMTGFVFFFLPETKGIPIEEMDRIWGKHWYWRRFVGAGAGGKVEITSTV >ORGLA01G0164000.1 pep chromosome:AGI1.1:1:15563613:15564053:-1 gene:ORGLA01G0164000 transcript:ORGLA01G0164000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSKSYAGGRTTMQIEPYYGGGGGGGGGRPVDFRSYSYSGGGRGGAGAASYQYQYEYGGGGSGKVGYWGSVAEEERGTGQAAAEAAAKRSKSKRRWLALGDPDMERKRRVASYKAYSVEGKVKGSFRKSFKWIKDRYLHLVYGWS >ORGLA01G0163900.1 pep chromosome:AGI1.1:1:15561035:15562240:1 gene:ORGLA01G0163900 transcript:ORGLA01G0163900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVVGCGGGWWRWRCEIRRPVDESMEKAGSLRRRRRLHRCRRPGEAGSLRRRQLLRRRRPGCRRPDEWMEKAGSLWQCQWLRRRCPGGRRPVPRDGGGSFLRPTSPGQAVARSLLLVVLDSPLARXRRFCRCMLECFLCHGSISQVSQDFSPPFPHVDPRIQQFVCHHSSSSVGLGXXXXXXXXXXXXXXXXXXXXXVRVELTLLRFNDELRGLLLLSPVMPPQNLRLSSKPPFCAVFVETTGWGLPVCQACCTSKEAQGCIRRGIAAAPC >ORGLA01G0163800.1 pep chromosome:AGI1.1:1:15557478:15557888:1 gene:ORGLA01G0163800 transcript:ORGLA01G0163800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGRRDEXRPAGWTVQXAAKRWVTAGEKDGAKGSDRQGEGVATFGEKDSKPPLLPAVALPTALNQTRERIGKMEERLSGAMDASSRRRTRRGRPPPQGWGARRASSSGGCGNSRSSGSDKVLLSLQGCCSLKDEV >ORGLA01G0163700.1 pep chromosome:AGI1.1:1:15545980:15550181:-1 gene:ORGLA01G0163700 transcript:ORGLA01G0163700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein / K homology domain-containing protein / KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G25910) TAIR;Acc:AT2G25910] MLVVTKPGQLPVEFLEPSAAQKLVIGFDCEGVDLCRHGALCIMQIAFPDAVYLVDAIEGGKELIEACKPALESEYVTKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLLEEQEGKKRGYDEYISFVSLLADPRYCGMAYPEKEEVRTLLRQDPNFWTHRPLSEMMIRAATDDVRFLLSIHEKMMEKLSKVSLWRLSVRSELYCRCFCINDNKYADWPPLPTVPDEIEPDVYVPEVDILSVLDVPPGKMGRVIGRKGSSIMEVKASCNVEIHIGGAKGPPDRVFIIGPVQEVRKAEAILRGRMLEF >ORGLA01G0163600.1 pep chromosome:AGI1.1:1:15532228:15533625:-1 gene:ORGLA01G0163600 transcript:ORGLA01G0163600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGFNEMILSESVWNGGGGGDGDGGAVVLPPEVGGVNAVDGSGMTVLERLVLDEALAAAILELQGIQAPGCGGGGGGKVEVVPPTAAGDGGVEAAVAFAAMATGTPAYADVDADVLQRQRQHHHRHQGAMGMAAEYDVAPATPAVTLSAVAPPPPPPAFATAAASVDGGGAIDATVFSGIGTDDVVDAVSATVAMTTATATTSQCERVRGGGGGGGCGRKQRRPGRKRKAAEPTAAAAAADMSSQDNSLCSLLASNTAGADGGIQIAFSTSAPASKRAKPSLSSSSSSISFDGRGPGGSGGGGCGDDPLYEPDTEALAQVKEMIYRAAAMRPVTLGAEDAGERPRRRNVRISSDPQTVAARQRRERISERLRVLQKLVPGGAKMDTASMLDEAANYLRFLKSQIRELQTLDRRNYPNAAMSMNTAAATMATSSSPTYNNSNAAMPAFALPETLGCGGGGVEQLI >ORGLA01G0163500.1 pep chromosome:AGI1.1:1:15519771:15520385:1 gene:ORGLA01G0163500 transcript:ORGLA01G0163500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVSTTEAGALHGGLRAEDDCRVVEEVGEGGSKVGKRAGAAKGKDVVGVSVEAVAEKEACEDNIVWLRMRLPKAEMVCLVKESRDVAEKKL >ORGLA01G0163400.1 pep chromosome:AGI1.1:1:15499358:15499639:1 gene:ORGLA01G0163400 transcript:ORGLA01G0163400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVVAGEAVVGGSCHDGSGLHDPTWQRGTWGHSGEKEAEVAPAAWPKPYPDGGLPDPTQQWSPPVLTSTIMSSIWHGSASIRCCRSLGVGV >ORGLA01G0163300.1 pep chromosome:AGI1.1:1:15492489:15497779:-1 gene:ORGLA01G0163300 transcript:ORGLA01G0163300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLTLIATPCTAPRSSSSFALAPRLPPRCSNATAARRRVPVRGAVRATTLQSDQEPAGSGDSGATTTKLSAWTSVRQERWEGDLPIEGCLPPWLNGTYIRNGPGMWDVGEHAFHHLFDGYATLVRVSFRGWGGARATGAHRQIESEAYRAAVARGRPVLREFSHCPAPAKSLLHRVGDLVGLVTGAALTDNPNSALLPLGDGRVMCLTETTKRSVLIDPDTLETVGRFRYTDRLGGMVQSAHPIVTETEFLTLLPDLVRPGHLVVRMEAGSNERKVIGRVDCRGGPSPGWLHSFAATEKYVVVPEMPLRYSSASLLASELAPFYAFDWVPASGSYMHVMCKSTGKTVASVEVPPFMAIHFINAYEEEGDEAAVVVDCCEHYGDPAIIETLVLSRLRLLRGKDVLPNARVGRFRIPLDGSPFGELETALDPEEHGRGMDMCSINPARLGRKYRYAYACGARRPCNFPNTLTKIDLVEKKAKSWHEEGSVPSEPFFVARPGATDEDDGVVISIVSSDDGEGYALVLDATTFEEIARVRFPYGLPYGFHGCWIPATEE >ORGLA01G0163200.1 pep chromosome:AGI1.1:1:15488368:15488850:1 gene:ORGLA01G0163200 transcript:ORGLA01G0163200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSSGSVSAENKKGNSSRGKGKKAAAAAPVAADSSSTAAAAAGAGDEVNRTATVRMSQPQIDLFMSFDPPPLEPVTGVSKEEEDRFAKIDAQLAKWEKEIRADAEMVKSQYEQKGYVEYEVDADLFPARAPPRPGRRRARHGVMAKKTPQGGGGATAK >ORGLA01G0163100.1 pep chromosome:AGI1.1:1:15469865:15475422:-1 gene:ORGLA01G0163100 transcript:ORGLA01G0163100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGGKEVEERGKVMGPLFPRLHVNDAAKGGGPRAPPRNKMALYEQFTVPSHRFSGGGGGGGALASARGSLARSTSAASQSQVYGCDMPLFEPFNVPSNGPGHSVEKMNSNSVNRQINGSRKDSGMLSTQPKGIDKYGSGSRAECAPQQRVEKGIKSSSGKKLADDDEFIVPSVFSARFPQHSTKERAGVQEESTPLVALSPHKSPPAVSKSPTKCYNTVSKNLERINVSDVKSRGSPKDKETGPAQTLKNVEVEHFSSFEASKDMFGSRHAKVCPKTGTINDLDEPHLENSEHQATSRNGSSVKFQNPPVRRNTISAKPSPGIENTNGHYNLPQGGLKETGTKRKRLEAQDNAEKIDDLSDSSVECITAWEISPDEIVGAIGAKHFWKARRAIINQQRVFAAQVFELHKLVKVQKLIAASPHVLIEGDPCLGNALLASKKKLAEENLKAQPVLAATNDDVQPSLQEPELSKENSEENPPSPRDTAPVSGHHDQTAKISASKSNLRATPIASDNRQNNWGVQLQPQQNQWLIPVMSPSEGLVYKPYSGPCPPAGSILAPFYANCTPLRLPSTAGDFMNSAYGVPIPHQPQHMGAPGTPTMPMNYFPPFSVPVMNPVALASAVEQGRHPSMPQPYGNFEQHSRMSCNMSHPSGIWRFHASRDSEAQASSASSPFDRLQCGGSGPVSAFPTASAQNTQPQPSSGSRDNQTNVIRVIPHNNSQTASESAARIFRSIQMERQQDDS >ORGLA01G0163000.1 pep chromosome:AGI1.1:1:15466616:15467581:1 gene:ORGLA01G0163000 transcript:ORGLA01G0163000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPVEVTFLDGDDDSDDDDRWYYSPRREAVLCIGDDLALVDVTFPVDDMDTNPWFRGIAQLCRGHRLRAPLVVGLVALRGRAPPYNWCPWDASGVRGHPRDPRNPIRCIAICVGGSHALVYQPCCDRGSSKYTGGVLPFSEGGCKMARLRAFLRDRRVTVACVGAREAAEKLAEEWEVDVARPVELTDLFARAFGKVAGVDAVKPPKEPEPDRRWMTTSALLRAEAKAEAEAAKEDHYSYSKRGRRPVAEVVKGLSMERMAHVALGPEMRLAPWPEKAAVADWGSCYLEKSDWKYAARDAYLCFEIAAVCLQKLGAPVGN >ORGLA01G0162900.1 pep chromosome:AGI1.1:1:15464938:15465180:1 gene:ORGLA01G0162900 transcript:ORGLA01G0162900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec61 subunit beta [Source:UniProtKB/TrEMBL;Acc:I1NP39] MVANGDAPARGSAAAAASLRRRRTTSSGTGGGGASTMLQFYTDEAAGRKMSPNSVLIMSIGFIAVVALLHVFGKLYRTSA >ORGLA01G0162800.1 pep chromosome:AGI1.1:1:15461434:15463178:-1 gene:ORGLA01G0162800 transcript:ORGLA01G0162800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIMGKVGGYWFKQNAGKEINNIGDDINSISSSIGDGAKWMVNKIKGKMQKPLPEFLKEYDLPVGLFPQDATNYEFNEETKKLTVYISSACEVGYKDSSVLRFSTTVTGYLEKGKLSEVEGLKTKILIWTKVTAVRTEATKVHFAAGMNKARNRDAYEVVRDGVGIDKF >ORGLA01G0162700.1 pep chromosome:AGI1.1:1:15457218:15457709:-1 gene:ORGLA01G0162700 transcript:ORGLA01G0162700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPWNGHLPASTDLPDHSSSASDSEAEAEADADYRPISGAATDSDTDTDPDPAPHHRLGSIGNGVSELDLDSGGDDDHCEGADGEDAVAEEEEGLRLGEEAARAFSEDERRRRAPLPAGAAARIVDAMRGVEFPGAPPPWAGSVPEDQWLDRLRSLRAGHPH >ORGLA01G0162600.1 pep chromosome:AGI1.1:1:15452212:15455985:-1 gene:ORGLA01G0162600 transcript:ORGLA01G0162600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYALYWWADLDNLTNLQPRYGCDDPTYPYYFKLRCENCGEVSAKATCVSLGEVVDLPNGRSTANLVQKCKLCGRDASIVMIPGQGTPLTIEQTQKGDRTCLMVFDCRGCEPIDFAFGNGWKAESLEGTSFDIDCSEGEFADYDEKGECPVGLGKLRSEFRVVKKQESRGKTKYV >ORGLA01G0162500.1 pep chromosome:AGI1.1:1:15389060:15404386:1 gene:ORGLA01G0162500 transcript:ORGLA01G0162500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1NP35] MPIPVDLGEPDNRRFFVWVEDNIFTVLPPDADDQYSGFNKWTVQKPAGGLTAINLLFPGAATAAQLLYGRPDSECSKPEDVDGVYCVEAQVHFVGEQLDGTEFVSTRENGVPQRFIVGQENVMHGLNLVVSAMRPGERAIFTIPPKLAMTKAGSPASIPSNIPPDQTLRFEIELISLFAITDILENESILKKIIKRPLPDKSHSNHADTVIVNYNACLEDGTSVSKSECLELNLASRTGFFCPALKYAVKTMREGEEAILIVKPRYAFGARGRASTGDQAAVPPDSTLYLYVQLAERKTAKQNEAEEKGPFVDGLDEAKTTARALPKQRPAKPGFSITVVENHRPTYPGHVLFVPHVVEGEEEAEASQAASIPATGLATQPTTTADVGTSAATNSSAAQGSGIVKTGTGFLFKRFRPWPAN >ORGLA01G0162400.1 pep chromosome:AGI1.1:1:15271840:15297014:-1 gene:ORGLA01G0162400 transcript:ORGLA01G0162400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1NP34] MPISLELGEPDQSFYNLWKEECEELWEEEDELWGDEDVEEELSLRHPGFNKWTVQQAAAGGDLIRAKDKNFYCLEVQVHFTGELVDGTQFVSSRENDIPERFILGQEDVMHGFNLAVSSMQPGEKAIFTIPPALTMTKAGSPASIPSNIPPNQTLRFEIELIAMFTVIDIFEDEGILKKIVKNAESDREQSHSSDFVFVKYNACLMDGTSVSKSEGVEFRLTDGFFCPAFAHAVHTMKEGEEAVLIVKPKYAFGEQGRPSQGEETAVPPDATLYVHLQFVCWIRQIGEDQGIAKKTLSIGNSQRIHTQSQAVVKVRLLGKLQDGTVFDHRGHDDGEPFEFVVDEGQVIDGLDESVMTMEEGEVAEFTIPPQHAFDAVGSDQHQFPFVPRNATVVYKIELLSVVNEKHPLYIPSRSEIVEYASRKEKEGDIYFNLGKHLRAHRRYFKARQIIAYSRFGVRSGEFNLIKLLSIPTSEIDAQLEEMWISSTFKAAKCAIQLGCCKQASDYYGEVLNYDAANVQAQQQQKLLQEFPDGSSRDPDAMQRGFEVLQLFHRDGKVSIRIVEAFFTSLMSRQTAASLLLLLVLLPVLPTLLHHRRTPSLLALVAPRAAALLKAPQGQSTRTAGFYSDASGHRPRTEGIHAAKWGLQNATIYRLHRCCRRS >ORGLA01G0162300.1 pep chromosome:AGI1.1:1:15230002:15231297:-1 gene:ORGLA01G0162300 transcript:ORGLA01G0162300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLEDMVEARLSVLRGVQSRTNQTFTIFRVPAFLRESSRTSYEPRLVSIGPYYHGAAALRAMEDHKWRYLHDLLSRRAGDSTPVPTASALIAEVRSLEAKARACYSEDPVDLSSDDFVQMLLLDGCFILEFFFKLANQLRDKLCDVGWGLTFVLSDLLLMENQIPLFVLERLYATVAGERGSKLSLLNLLIEYIGSEDDEPITRPTSDVDWEVNHILHLYYEIFVPKRTPPPSPPPGSHNTRAPRVIPCAVEMSEAGVTFAVRQNPETDYDVVFDARRGVMEIPTILIDDAKTPLLTNLIAFEQSQGKDQQLLLSSYVALMGQLIVTARDVALLRRRGVLENKLANDDDAARFFNHLGDCGAVNHDSHAFVGLYMDVDRYCGTWWRRKTAALRRDYFASPWSAISFVAAAVAVVLAVMQTYFTMFPLKKG >ORGLA01G0162200.1 pep chromosome:AGI1.1:1:15220998:15227323:1 gene:ORGLA01G0162200 transcript:ORGLA01G0162200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:I1NP32] ARLQYGCXLNASREKAVFTIPPELAGTKSRCPVDIPGNIAPNEALRFDIELISLVTITDILDDEGILTKIIKRGLGSDKPCDLDEVLVNYNACLEDGMSVSMSEGIEFNLAEGFFCPAFARAVETMTEGEEAVLIVKPEYGFGERGRPSIGDEAGVPPDATLYVYLQLMSWKTVRHIGENGTILKKTLRRGNLEGQQTENEAVVGVRLIGKLQDGAVFDQRGHEGDEPFKFMVDEEQVSEGLEEAVLTMREGEVSLFTIPPHRVQDQLLVVPAGSSVTYEIELVSVVNDKPPRLMSQAETIEAAAEKEKEGDKLFSSSKFLRAYRRYYKARQIILLRSGRGETDEEIKQMLISLTFKAAECANQLQRYEQAYHRYREILEYDPGNVKAREMTGRAFPEASLGIDTAAMHRGLDQLFRPKEEFRVCGYMVPEFSEMRLKQGHKYHGSINIFVPPIPRPETNANQAVPVAPTGHRLTHSPTTPPGANNEKTNSSSRVPVAARKGLLASDASLQILT >ORGLA01G0162100.1 pep chromosome:AGI1.1:1:15219292:15219824:1 gene:ORGLA01G0162100 transcript:ORGLA01G0162100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRPWRSNPRRGTSRRGGIRPAAYTRLQVHFTGKRLDGTWFASTREDGVPLTFILGQ >ORGLA01G0162000.1 pep chromosome:AGI1.1:1:15191144:15191661:1 gene:ORGLA01G0162000 transcript:ORGLA01G0162000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLRCRRRRRKDFGAMKLSELGKPDEDLLVWRGDTSRLERFASEHGRGRGRGSPGFAKWTVQEAAGGRFDWLEMKGFYCCEAQGRDVASN >ORGLA01G0161900.1 pep chromosome:AGI1.1:1:15190266:15190760:-1 gene:ORGLA01G0161900 transcript:ORGLA01G0161900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICQPMAVASLEASVEATLGALSGDQWRARRQPFTIFRVPAYVRDGNKRTAYEPRLVSIGPYHHGAAALRAMEDHKWRYLHDLLSRRAGDGVAVVTASALVAEMRTLEPRARACYSERPVGMDSSDDFVRMLLLDGCFILEFFFKWHTKEPDSAGASRSSPPTCF >ORGLA01G0161800.1 pep chromosome:AGI1.1:1:15184292:15185848:-1 gene:ORGLA01G0161800 transcript:ORGLA01G0161800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSELWLLWAVFSASLVFLYLTIRRRSGAGAGGKPPLPPGPTPLPLIGNLLDLRGGVIHDKLAALARVYGPVMMIKLGLNDAVIISSRDAAREAFTRYDRHLAARAIPDTFRANGFHERSAVFLPSSDERWKALRGIQGTHIFTPRGLAAVRPVRERKVRDIIAYFRDHTGEELVIRQAIHTGVLNLVSSSFFSMDIAGMGSETARELREHVDEIMTVFAQPNVSDYFPFLRRLDLQGLRRSTKRRFDRIFSILDDIVERRLVDRGARETSPNNKSKHHDGGDFLDALLELMVTGKMERDDVTAMLFEAFVAGGDTVAFTLEWVMADLLRNPPVMAKLRAELGDVLGGKDQSSIEEHDAARLPYLQAVLKESMRLHSVGPLLHHFAAEDGVVVGGYAVPRGATVLFNTRAIMRDPAAWERPEEFAPERFLAREGKAPVDFRGKEADFIPFGSGRRLCPGIPLAERVMPYILALMLREFEWRLPDGVSPEELDVSEKFMSVNVLAVPLKAVPVKVIN >ORGLA01G0161700.1 pep chromosome:AGI1.1:1:15170028:15173457:1 gene:ORGLA01G0161700 transcript:ORGLA01G0161700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIMLKINGEDTGVISPTLGFNIKTIKYHKYSLNIWDISGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPSEIAKVLNLEAMDGSRHWQIVGCSAHTGEGLLQGFDWLVQDISSRIYVLE >ORGLA01G0161600.1 pep chromosome:AGI1.1:1:15164407:15167030:1 gene:ORGLA01G0161600 transcript:ORGLA01G0161600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEHTIDMIRDSKRTMLETEDIGVSLLQDLHQQRGRLIHAHDIFITWMITSERAEGSLGPCLPEGVVAS >ORGLA01G0161500.1 pep chromosome:AGI1.1:1:15159385:15162260:1 gene:ORGLA01G0161500 transcript:ORGLA01G0161500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVFEGYERQYCEISASLARKCTAASALQGEKLKQKASEIKSGIDGAEALIKKMDLEARNQQPSVRAGLLAKLREYKSDLNNLKGALKRVTTGNAQQGSREELLESGMAETLGVSADQKSRLLRITEKQNKTTDRIRDSHRTMLETEDLGVSLLQDLHQQRERLIHAHGTLDNVDDNIGKSRRIMGAMVRRMDRNKWIIGFIIALLVLVILVILYFKFVH >ORGLA01G0161400.1 pep chromosome:AGI1.1:1:15152578:15157665:-1 gene:ORGLA01G0161400 transcript:ORGLA01G0161400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSRVLLAAVAVLAAALAGAGAALDDPAGLLRRAKEAEFAGWMVGLRRRIHENPELGYEEFATSELVRRELDALGIPYRHPFAVTGVVATVGTGGPPFVALRADMDALPMQESVEWEHKSKVPGKMHGCGHDAHVAMLLGSARILQEHHDELKGTVVLVFQPAEEGGGGAKKMIDDGAVENIEAIFGVHVADVVPIGVVASRPGPVMAGSGFFEAVISGKGGHAALPHHTIDPILAASNVIVSLQQLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFLKESFNQLKQRIEEVIVSQASVQRCNAVVDFLDKDRPFFPPTINSAGLHDFFVKVASEMVGPKNVRDKQPLMGAEDFAFYADAIPATYYYFLGMYNETRGPQAPHHSPYFTINEDALPYGAALQASLAARYLLEHQPPTTGKAKAHDEL >ORGLA01G0161300.1 pep chromosome:AGI1.1:1:15147331:15147516:1 gene:ORGLA01G0161300 transcript:ORGLA01G0161300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSAAAVIAFAVVAAAALATVASAADAPAPAPTSGAVAAVSAPLSVCCVAGLLLAFLRH >ORGLA01G0161200.1 pep chromosome:AGI1.1:1:15129684:15133811:1 gene:ORGLA01G0161200 transcript:ORGLA01G0161200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXARARLRLVLPPLAALLLFAHLAVAVARPRWEEEGSNLRLPSERGVAAAMADDAAEAAEGTRWAVLIAGSNGYYNYRHQADVCHAYQIMKRGGLKDENIIVFMYDDIAHNPENPRPGVIINHPQGGDVYAGVPKDYTGKEVNVKNLFAVLLGNKTAVKGGSGKVLDSGPNDHIFIFYSDHGGPGVLGMPTYPYLYGDDLVDVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPNDINVYATTASNADESSWGTYCPGEYPSPPPEYDTCLGDLYSVAWMEDSDVHNLRTESLKQQYNLVKERTSVQHTYYSGSHVMEYGSLELNAHHVFMYMGSNPANDNATFVEDNSLPSFSRAVNQRDADLVYFWQKYRKLAESSPEKNEARKQLLEMMAHRSHVDNSVELIGNLLFGSEEGPRVLKAVRATGEPLVDDWSCLKSMVRAFEAQCGSLAQYGMKHTRSFANICNAGISAEAMAKVAAQACTSIPSNPWSSTHRGFSA >ORGLA01G0161100.1 pep chromosome:AGI1.1:1:15113086:15118539:1 gene:ORGLA01G0161100 transcript:ORGLA01G0161100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10910) TAIR;Acc:AT1G10910] MEAAASLPLPASRFLSPPPHPTPAAAAAAAACCSRRNISCARAAPRALEAPQASRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFGWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYGAIRENPTRIHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTLLSGCMKLKQGYTKAMELVNELNSRGFQMDSVIYGTLLAICASHNCCEKAEEYFQKMKDEGHKPNLFHYSSLLNAYSENANYEKADLLMKDLRSSGLTPNKVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSDGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNTLIRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCILVPAGLLKDAYVVVKDNSEFISRRSLGNFARSFMASGNINLINDVMKAVHRSGWRISQDIFGKAIQRYIQKPDKKQLLLCLLDWMTAGSIKDHKSKAPKIVDKDPIKIHQNYRSGISYACGVKHPCYVVMPELELVHFSQAIQGEIPQELQMGLVSGRQVLLKTANRHTINTMNLLEGTSMLHRSGNLSRFQILLVVHTCFYVP >ORGLA01G0161000.1 pep chromosome:AGI1.1:1:15103028:15105389:1 gene:ORGLA01G0161000 transcript:ORGLA01G0161000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTAATRRWWKRRGGGGGDGAEDGDDLIPMDIQDQEEMVRSLEQKQAQQSRRWRRVFAGFLLGYAAFLVYSGFHHAAAPWELRYHAYFMEDLSSPMVVVADWIAALACLFSIKGLLHSWKKWMWYSFYVSILVALFWTYYLLRLPRIRWDVAWLPFGPLIASALSLYVDHSMLESMQDINALRSYMYNYKAL >ORGLA01G0160900.1 pep chromosome:AGI1.1:1:15099660:15101803:1 gene:ORGLA01G0160900 transcript:ORGLA01G0160900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Phosphorylated adapter RNA export protein, RNA-binding domain (InterPro:IPR019385); Has 110 Blast hits to 110 proteins in 51 species: Archae - 0; Bacteria - 3; Metazoa - 56; Fungi - 0; Plants - 36; Viruses - 0; Other Euka /.../ - 15 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G20430) TAIR;Acc:AT3G20430] MEGGDSVLDAVLDEEALDYDGDDVEMADADDAVEEAQAQEDPVAITAPTAAATGGGGGGGEGDGGVGQAGKNKNKKKKRKKSARTKNKGKPDGPPKIADINRFVNETCKRLKEKKSYLVWNAVGCLGVSAISDLVREVEAIQKCGGQIVADGSRFRTGGGILWNILKSREPKAYKEIMAKGRELEKQFRYKQGRPQTSRNEDASSQGSALIDEDIEPHGEKEVSDDPERLIDAEKSPHVPDNNKAERKPLADRIRVPVAYDDLFEEGEIHEGDAP >ORGLA01G0160800.1 pep chromosome:AGI1.1:1:15094034:15097543:1 gene:ORGLA01G0160800 transcript:ORGLA01G0160800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTEKGGDPELIRRSQRNRSASVELVDEVIALDDQWRQRQFELDKIRQELNKTSKEIGKLKAKKEDASALIQSTEEIKKRLAAKETEVQEAKGTLDAKLVTIGNIVHESVPVSDDEANNLIVRTWGERRLEGNLKNHVDLCKMLDIVALEKGADVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQLAQFDEELYKLTGDGEEKYLIATSEQPLCAYHLGDRIYPAELPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWEMHEEMIKNSEDFYKEIGLPYQLVSIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDFQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKENGVEVPKALQPYMGGIDFLPFKLDSKQVARLQIK >ORGLA01G0160700.1 pep chromosome:AGI1.1:1:15086469:15090677:1 gene:ORGLA01G0160700 transcript:ORGLA01G0160700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1000) [Source:Projected from Arabidopsis thaliana (AT2G25950) TAIR;Acc:AT2G25950] MACLHDHSCEDHNCAADWSLFNHIDVPKVVALNESVAGSVKSVFKPWEQRLDTSGGFLESNEGDPELLVFIPFTSDVKIKSISVVGGADGTSPSRMRAFINREGIDFNDAQNMQPVQEWELAENLQGVLEYQTRYSRFQGVANLTLHFPENFGGDTTKIYYIGLRGEATQNKRDVMATIVYEIMPNPSDHKTKSETGGGFSHVE >ORGLA01G0160600.1 pep chromosome:AGI1.1:1:15077547:15085373:1 gene:ORGLA01G0160600 transcript:ORGLA01G0160600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein [Source:Projected from Arabidopsis thaliana (AT5G56730) TAIR;Acc:AT5G56730] MDLLPPATEPPAGGGGGGGGAPAPGRRLRRGVGFRSLKMVSVSMDEPLPAEPVGVAYGRLANGLAYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNATGRMQDSHWALLFEGSKYAERLPIGTEKVIRTVPHETVRHFYHKWYHLSNMAVFAVGDFPDTQAVVEMIKEHFGQKAPPSCPPPVIPDFPVPSHVEPRFSCFVESEAAGSAVVVSCKMPADRIKTVKDYRDSLAESMFHCALNQRLFKISRRNDPPYFSCSSAADALVRPVKAYIMTSSCRERGTVEALESMLLEVARVRLHGFSEREISIARALMMSDIESAYLERDQMQSTTLRDEFLQHFLHEDPVVGIEYEAQLQKTLLPHISSAEVVKFAANFSTISSCVIKVVEPHAHASLEDLKAVVLKVNTLEQDNAIPPWDEEQIPEEIVSQSPEPGSILDKVEHPGIGATEMILSNGMRICYKCTDFLDDQVVFTGFAYGGLSELSEDEYTSCSMGSTIAGEIGIFGYRPSVLMDMLAGKRAEVGTKVGAYMRSFSGDCSPSDLETALQLVYQLFTTKVEPREEEVKIVMQMAEEAIYAQERDPYTAFANRAREINYGNSYFFKPIRISDLKKVDPIRACEYFNNCFKDPSAFTVVIVGNIDPSISVPLILQYLGGIPKVGNAVQPLTRDDLKGLPFKFPETIIREVVRSPMVEAQCFVQLGFPVVLKSTTMTEDIHYVGFLSKLLETKIMQVLRFKYGQVYSVNVGVFLGGNKPSRSGDIRGDISVNFSCDPDMSSKLVDFVLEEISFLQNEGPSEEDVLTILEIEQRAHENGLQENYYWLDRVLRSYQSRVYSGDVGSTFEIQDEGRLKVREALTPQSMQMALQRVVPFPCRKQFTVVILMPKSSCWNSFKALLTWSPGGFSRDAKILAGMAGAIVLAVSLWRYSRSTLRS >ORGLA01G0160500.1 pep chromosome:AGI1.1:1:15070401:15073650:-1 gene:ORGLA01G0160500 transcript:ORGLA01G0160500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3531) [Source:Projected from Arabidopsis thaliana (AT4G29400) TAIR;Acc:AT4G29400] MLPALLPGCLAAPPPPPPLVTTSSTASPSAHLRTLRLPSGLAVSRRAGFGEAARAAATERGAIEEEEEEEEGFPEWGNGDEDEYDHDPEIGDIMGEYFDDPNKAQSRMEERIKKKRHKIVQAKTGSPNPMKVVFNKFHFSNSYIWFEFYHALLPKDVTLICDALRSWHIVGRLGGCNSMNMQLSQLPLDCQRPTYDALEGANTTPTSFYNIGDLEIQDNIARVWVDIGIHEPLLLDILLNALTTINSDHVGIKQVQFGGSEFQNWSEDLKTEEAGYSVHKI >ORGLA01G0160400.1 pep chromosome:AGI1.1:1:15068677:15069066:1 gene:ORGLA01G0160400 transcript:ORGLA01G0160400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGKKEMAPSKEDGAAAKGGARSYARCFSGLEFSVGPGSLRDADAGKLKSQIKKWAKAVVAYARQISFGSPRAAARSSSSRRAMSSTRGRDGHAEGGGGGGAATARSATFPSKSDLGEANNDEIVPAT >ORGLA01G0160300.1 pep chromosome:AGI1.1:1:15061979:15064437:-1 gene:ORGLA01G0160300 transcript:ORGLA01G0160300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAENRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKSRMASQPATNASKPATVQMRGQPVAQQSSCCS >ORGLA01G0160200.1 pep chromosome:AGI1.1:1:15057344:15061056:1 gene:ORGLA01G0160200 transcript:ORGLA01G0160200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGAGAADSEWPDGVTGRDAEVGALVWVRRRNGSWWPGQILGADELPENCVVPPRSSGTPIKLLGRPDGSIDWYNLEKSKRVKPFRCGEYEECIEKAKAQARQHKRAYNEGKYVRREDAIMHALELERARFPNEDDTDEHDTSGLLFESQNSYCAKSKNINELNKKSSRTARDLYDIEEESAKGLSQALTLYKQPQNVSSSSTRYASSSRKKHKASNDFEDDTVQGSQRMRDLTEIGSKKHSSYILNGHRDLPLLESASFGYSLSGTNGIKGDQQSHSATKRKRSNIGQAYENSRKKDRRRPLSKLCKDSAVAVPAYSHWDPSGHSSAQYSGGKMSNAFEPSRGKFGFPLDVNNYSYSSGTSSVETLLDASCANHDGVAKVIPVKEAEVSCMPGFLNNDCSDGDEYFDTPLVMEEDALEEDHLHKYESCASVKGQISKPRKQTAEYTELVIPSPHGHRSSKKKSMSFVSQRTQENHKDRTLLAQHGRTVKGQALDTDAVEVDARVSSAFCKPPALKNNMQLAIVPADGCASTLEQQYYGSGPEHDESSETISNRSQSEKGAPSSPYYEPLQVIPPEQKPGLEPSSPHVVKPIKNARTDYKVYDVELAAQGSYKGHRVPLVSLMSKWNGKPIVGYPITVEVLKDSSSAASRNDLRPATSSLNNLLKRSEPAEPRQARSSHSSRPASRPKPSGKKKISEHDTDKSRRPHTKKSATSPRKMRRLSSFASSRRDGASRKPVVGKISGPTIACIPLRLVFSRINEALSFPVRSENPT >ORGLA01G0160100.1 pep chromosome:AGI1.1:1:15053118:15054617:-1 gene:ORGLA01G0160100 transcript:ORGLA01G0160100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLVFSIRLRGGSGRGDVPTCGEGEAPRLTLSLRKRRNTGNGEVHWQAGQGFHLGVSSKQSNRNGSHQMPPTHQRYLFYAHNHLYTVDDLLSHHARPVELVGATRTPLPSVRTGLTLESAEPLERRRIWAYAARSGCPRAALATGPRRGGRRCQVGRRQDTGCPRSGGKEGGREERDEEGERKREGSAGATGTAFAGGGNKSGTRPGSPAHSGSRLRVAQGERHGSHRRRKSPCDLKYSR >ORGLA01G0160000.1 pep chromosome:AGI1.1:1:15043224:15046180:-1 gene:ORGLA01G0160000 transcript:ORGLA01G0160000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme family protein [Source:Projected from Arabidopsis thaliana (AT1G51730) TAIR;Acc:AT1G51730] MADYEQEQEMEVEALQAILMDDIKEIDPSESGLSTTARCFQIVLSPQDDDFDESAYVPVQLALIFAHTEKYPDEPPLLNVKSVRGMKSEDLASLKEKLEQEATENLGMAMVYTLVTSAQDWLSEKYGQNAGDGESEENEAEEEEVIVPHGEAVTVESFLAWRDRFEAELALQRAKLMPESALTAPKEKKLSGRQYFESGRHAMKGASTTADEEEEEEEDIDFDEDFDDDEEDMLEHYLAEQSGKSAA >ORGLA01G0159900.1 pep chromosome:AGI1.1:1:15037323:15042444:1 gene:ORGLA01G0159900 transcript:ORGLA01G0159900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G51720) TAIR;Acc:AT1G51720] MDELNLLRQHQHQHQHHLVVRGLGEEIDLEIGPGDDPSFPGAALVGVTPGAHDPADDHKSLLIPCSQPAAEGQPQPTPPQVEEHDGLLRLPGQTKKKKKVVKKWREEWADTYKWAYVAVHDNTSRIFCTVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQTASKENAQPPEIERPVYVKALSKTAASILESILKRDPHEAEFIQSIQEVVHSLEPVLVKNSQHVQILERLLEPERCFIFRVPWVDDRGEAHVNRGFRVQFSQALGPCRGGLRFHPSMTLSVAKFLAFEQTLKNALSQYKLGGAAGGSDFDPKGKSESEIMRFCQSFMDELYRYLGPDQDFPAEDVGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFARVVLADMNKELKGLRCVISGSGKIAMHVLEKLLSCEAIPVTVSDSMGYLLDTEGFDYMKYSVLRNIKAQQRSLKEYLKSYPNAKYIDDAKPWSEKCDVAFPCASQNEIDQAEALAIINSGCRVLIECSNMPCTAQAVDILRTAKVVVAPAKATAAGGVAVGELELNPEFSLMQWSVEDFENKIQDAVKQTYDRSIKAAQDYGIMKENPESLVHGANICSFLNIAQAMTDQGCA >ORGLA01G0159800.1 pep chromosome:AGI1.1:1:15032980:15033732:-1 gene:ORGLA01G0159800 transcript:ORGLA01G0159800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDGAGGGGEQLTVLGAWGSPFLVRVRLALNLKGLSYEYVEVDLAGKSDLLLAANPVHAKVPVLLHAGRPVCESMLIVEYLDEAFPSSSSSAAAATTILPAADDPYARAVARFWAAFVDGELLSGWMGIYDGGKTGEERAAALARTRAALDALEGALRERAGGRWFGGERVGLVDVALGGFVPAMLASEPTTGVRIVDADRTPLLAAWVERFCALEEAKAAMPPLERLIAAGKKRYADLQAAAAASE >ORGLA01G0159700.1 pep chromosome:AGI1.1:1:15027408:15028141:-1 gene:ORGLA01G0159700 transcript:ORGLA01G0159700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKVVVSGEVVAAAVMELAAAPLGVRTRARSLALQKRQGGEYLELRSRRLEKLPPPPPPPPRRRATAAAATADATAAESAEAEVSFGGENVLELEAMERSSPVWRIKRPASPVACLIRRWRRSTPPAPLRTGGRRFTRTSQPVAPRNPTPPAAAAGCAGASTPASGTGTK >ORGLA01G0159600.1 pep chromosome:AGI1.1:1:15002797:15004347:1 gene:ORGLA01G0159600 transcript:ORGLA01G0159600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNRGNTESAISSNGGNPRGCSGGEPRGYGGGGRRDGYDAGFGERSGCGGDDGGGNCRDRDVGGPKGYNSSSPRGGGGGGFGERLGCGFDDGDANPRGCIGGEPRGCGGGGPRGGGFGKRLGCGGDDSGRLRSARQMRGKKQRRGMWYCRISGQLLEEGENFVLDTQDGSDDDQIEFIPNSDDEGIEYCFSSDQEFVPETEFQDCGEVEEKGGGIQDCGEVNEKGGGIQDCGEAKENGGEIQDCGEVEKGEEGKNVIFDTQDGPDMDEYEFWPDLDDEGGDFVFEDWFIDVVPKKKIHDGVAMEKKRGGKISKLMSSNVTYGMERPSSTITKASFSSIASYPQGGDLWQGTMANHSAEPSKHFVVESSNISEQSKAVTTQ >ORGLA01G0159500.1 pep chromosome:AGI1.1:1:14994298:14998338:-1 gene:ORGLA01G0159500 transcript:ORGLA01G0159500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAMEAGRKLAARHPHGRSRTAHNMSSSSLRKKSDAALVRKVPVAPLRPLLANLQEVFLATKLAVLFPAVPLAIAAQCFRFDQVWVFALSLLGLIPLAERVSFLTEQIALYTGPTVGGLLNATCGNATELIIALFALLKGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGVVNLGARQPYDRNQSDVSTALLFLAVLCHSAPLLLRYAVAAGEHSVSATSASLDLSRACSFVMLASYVAYLFFQLKTHRQLFEPQEVDGGDAGDDDEEPALGFASALFWLALMTAVISVLSEYVVGTIEPTSQSWGLSVSFISIILLPIVGNAAEHAGAIIFALKNKLDITLGVALGSATQISMFVVPLSVLVAWIMGVQMDLDFKLLETGSLFMAVLVTAFTLQDGTSHYLKGILLLLCYIVIGACFFVARQPAGHANSNGALLDVPTGSMSVQAA >ORGLA01G0159400.1 pep chromosome:AGI1.1:1:14990418:14991380:1 gene:ORGLA01G0159400 transcript:ORGLA01G0159400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPELMDDLVDEILLRLPPDEPACLARASAVCKRWRRLLSDRAFLLRRRRRAPPPLLGFLHNLDDADHPDRFVPTTALPIPPPPPELHCGMALDCRHGCALLDAYPSRIDLVVWHPMTGHLLRRLPRPDVPYLFYYNAAVLCAAAAAGCDHLDCHEGPFRVVVVGTEEDNEAWATVYSSESDEWSPPTSARLARGPEFCVEGKPATLIGDSLYFALVFGIGVVKFDMKRHRLSLIDPPAELDDGFVLMPLDNDGVLGLAAVEDHSLLSVWLIDVSLDHGHGVANWEKCRVIELDSLLPNLDHSTQCYQLDLWRVPISSS >ORGLA01G0159300.1 pep chromosome:AGI1.1:1:14987309:14987755:1 gene:ORGLA01G0159300 transcript:ORGLA01G0159300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSGKTLKKLASKKDSLLKKVEKTECCTTMVLWGKEENLVIEQESQEMVTPVEMQSQDEGGEMTNEKLLMHECSPTDDDFERCQIPTDSDIERLREEEDNEEDNFQEVSNKKKNRAKKTELAVTSRMNLRQREMATNPITKRTKILT >ORGLA01G0159200.1 pep chromosome:AGI1.1:1:14984579:14985531:-1 gene:ORGLA01G0159200 transcript:ORGLA01G0159200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPATGAAGRIILAHGACHGGWCWYKVAALLRAAGHRVDAPDLGAAGQRGLGVGGAPASSFADHARPLLDAVRALPDGERAVLVGHSFGGMSVALAAETFPDKVAAAVFVAAFLPDCANPPSHPIDTVINSYHDDKITLSFPLIFAMNFCHCQYQESDWMDTVIDPSHAPPSILFGPEFLKKKLYQLSSPEDYTLAKSLVRASSLYVDELRRRAAFREDRYGAVRKVYVVVENDMAIVQEHQRWMVANVEVAEVRVMDAGDHRAMLSAPEELAGHLADVANTYI >ORGLA01G0159100.1 pep chromosome:AGI1.1:1:14978949:14979846:-1 gene:ORGLA01G0159100 transcript:ORGLA01G0159100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTAVVPTAAEASSRIILVHGTGHGGWCWYRVATLLRAAGHRVHAPDLAAFGADARRLRDDDAPTFDDYSRPLLDAVRALPDGERAVLVGHSFGGMSVALAADTLPDKVAAAVFVAALMPDCASPRPDVIEKSSSSSSSSSSSPGKLPLTDWVDCATDEEHAPPSVLFGPEFMRRKLYQLSPEEDITLSRSLVRVSSYYVDDMRRRPPFGEDRYGAVRKVYVVCGQDQAIVEAYQRRMIAGCPVEEVREIAGADHMAMFSAPVELAGHLADVANTYT >ORGLA01G0159000.1 pep chromosome:AGI1.1:1:14969990:14975447:-1 gene:ORGLA01G0159000 transcript:ORGLA01G0159000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRGNPDRWRRDGEGDRGGGGKGSGDRHSRKKMTRVSRGASPCASSSGCGSRRERAELGLLLVQMEPCGHAVGSLAHPRVANLNRVCTVRGLQRVCTEFFSKIVSFLLPTVALLSSEFRLSKFPKPSIISTQRLSHRSIMEGEEDGEEAAGSGGQRGGAPLYAVGDEVEVRMDDPGFHGAFYEATVSARLPCSGRYEVMYSTLVEGRGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDVRPRPPPPPPLAXDGAAPGRELNVFDMVEAYHREGWWPGVMSVAWPARGRKAAAAMYTVSFPSCREEAKLPASLVRRRRAFVRGRWMDARDVVPRVPQYDEGSNVEVMLDTGKHRAAWVTATVIKMVSSKNYAVRLKNKEGSVNIVDYCYIRPQPTFDRKKFEYELEPSAEVEVNLGGAWSLGVISDVGSCGYGVRLKGHDSSEEEDYMLVSRALLRPYCKLDDQELMPCTAKEFACTSLDLPCISAPKDAVEIKGKSSYVDVVEISDNSGYDAHFNNNAGQLIPLPSYPVLEKLPVKVLPEMNETKETNHAHLQAEFLAPDDCTAGDQNYALPIKVEVESWVADIRKKEAAMQTITDSGEDNSRRPRSGDSEIPNSSKLEPYSSEQQRHTFQFVSRNKLEVPVRHKKAPDALEMNTNSVVFFPKEQTHCNLEIFSPNSKKIIDVSHYESYASLQQHPLGQCQVPNYWSAAGQSSFVHPSITMNLFTILPAPNSHPTTFASSLLMGPCEKMEDEENVFEEKLRCLSELEEDGFDVRALKERLENLVSIKNHQTELKKKRARLDQFMLEREVDNASVEQSQKLLDIMIKELELKLLEYREKKASLVEKKAANCSEIAKLQGDMDQIEESFLSAEYDFHTTAAAPW >ORGLA01G0158900.1 pep chromosome:AGI1.1:1:14967011:14968939:1 gene:ORGLA01G0158900 transcript:ORGLA01G0158900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26690) TAIR;Acc:AT2G26690] MEVEKKTSAWSGGGGGGGNMVRDAVDYRGCPADRSATGGWVAAALVLGIELCERLSTMGIAVNLVTYLTGTMHLPSAAAANVVTDFMGTSFLLCLLGGFLADSFLGRYLTIAVFALVQSIGTALLAASTLVTHLRPPPGEQPTPVQMAVLYVCLYLIALGTGGLKSSVSGFGTDQFDDRDGRERAAMGLFFNRFFFFISLGTLLAVTVLVYVQDHVGRSWAYGICSAAMLAAIAVFLSGTRRYRYKRSSGSPIVHILQVLVAAARKRGVVKRPPTAAELYEDDRPEHARIAHTAQFPCLDMAAVVAGEEDNEVAGPGGRAAPNPWKLCSVSRVEEVKMVARLMPVWATTILFWTIYAQMITFSVEQATTMDRRVGAGFEIPAASLTVFFVGAIMLTLAVYDRVFIPLCRVLTGKQGFTNLEKIGIGLALSILGMAAAALCEKKRLAVAVAATTGNSTPISVFLLTPQFLLVGAGEAFIYTGQLDFFITRSPKGMKTMSTGLFLTTLSLGFFFSSVLVSLVKGATTWLGDTIDRSRLDYFYWLLAVLSVLNLAAYLVCAKWAATAAATSPEQQQQHTAVADADEKC >ORGLA01G0158800.1 pep chromosome:AGI1.1:1:14922787:14923926:1 gene:ORGLA01G0158800 transcript:ORGLA01G0158800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDDAVKCLRIGKAAADAGDSARAVKFLSKAKRLDPSLPIDHLLDPLLNQDDPPSSSASSSSPQAPPPPPPRSSAAAASAEEATGSDGLRERKQKGKKEEDESAGERSYTSEQLEVVRQVKKHTRDYYQILGLEKDCTVEDVRKAYRKLSLKVHPDKNKAPGAEDAFKAVSKAFQCLSDAESRKRYDLVGSDEPVTYNRRAASTARAYNGFYEDEFDPDEIFRNFFFGGMAPATTRQFGQFGTFHFRTGGMHHGHGAQNSGGSTLRMLIQLLPVLLLLLLNFLPSSEPVYSLSRSYPYEHKFQTTRGVTYYVKLPNFEEQYPHQSTERATLERHVERDYFSILSQNCRVEVQRRHWGLSYETPHCDMLRKFEATAQ >ORGLA01G0158700.1 pep chromosome:AGI1.1:1:14911107:14911364:1 gene:ORGLA01G0158700 transcript:ORGLA01G0158700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHMKAATGVAADEVNRRASGGGCREAGDRVFFLIDRMTQRDGVLIMEHYMHRPLSSILTLPIYGNMTGNHDREKEREPVIEET >ORGLA01G0158600.1 pep chromosome:AGI1.1:1:14907963:14908565:-1 gene:ORGLA01G0158600 transcript:ORGLA01G0158600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPPFPSSSSPPLTFLLWAGRPAGEEEVTEARRERRPDGRRRGDGARRYKSRLCGAMSSFRGPSSPLSHPNPIDPFAAVAAATAEEPPLXNPIIPHDPPSPEMEATAEAFSWEGCCVGGGAAPSVSLTSTGDSIGRSGGAAGVAWAKPARGRAAAAAALRVQGGQAGVRDGAKAEEMRLRRVQGVDHGYGQVVPLPHPL >ORGLA01G0158500.1 pep chromosome:AGI1.1:1:14905585:14906753:-1 gene:ORGLA01G0158500 transcript:ORGLA01G0158500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide deformylase 1A [Source:Projected from Arabidopsis thaliana (AT1G15390) TAIR;Acc:AT1G15390] MEAHLRPLSAAALLLSPAAPLPTAVAASARRASPGGRRWSSVRASAGGGGWLSGLLGGKGGGGAPTAMTVTPGTVKAGDPVLHEPAQEVAPGDIPSEKVQGVIDRMVAVMRKAPGVGLAAPQIGVPLKIIVLEDTQEYISYAPKKDIEAQDRRPFDLLVIINPKLKTTSKRTALFFEGCLSVDGYRALVERHLDVEVSGLDRNGRPIKVEASGWQARILQHECDHLEGTLYVDTMVPRTFRIVDNLDLPLPVGCPPIGAR >ORGLA01G0158400.1 pep chromosome:AGI1.1:1:14903326:14904247:1 gene:ORGLA01G0158400 transcript:ORGLA01G0158400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLYDQKEVLKYSTTSLCSSGSIFWMSVGPAYVRVLTNVPFSMTSSFSPVVYNLVPLKQVNLHLPESENWYLQNTVPNVCMPL >ORGLA01G0158300.1 pep chromosome:AGI1.1:1:14901341:14902910:-1 gene:ORGLA01G0158300 transcript:ORGLA01G0158300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein [Source:Projected from Arabidopsis thaliana (AT5G20110) TAIR;Acc:AT5G20110] MAARHGSSRKTKAEQWLFGGRWRRTVKETKHPVASEAKPPAPTFPTAIQKDGDICLEKSRVHLPGLGQXXXXXXXXXXXXXXXXXXXXXXXXEIIDIAPGRKSMPEVEINMKEVVSVLGVKVMAADMSPFMQLHAFRCAKRSHDSLDKFSSRQLAHDVKKEFDKVYGPTWHCIVGTSYGSFVTHARGCFLYFSMDKIIVMLFKTKIRKVLASS >ORGLA01G0158200.1 pep chromosome:AGI1.1:1:14893437:14896647:-1 gene:ORGLA01G0158200 transcript:ORGLA01G0158200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRKERAAEARASTSKPSPAPAPAPAVQVQRRPVGGGRWTSRRISFYASRAFFLLIILQIPLFRVPCRAGTCTTPIQVTSSQLVSNEIFPPSVVKALLFPGAIASNLTKSMTFPRWNDLFDIYNLTEAKTASAVIDLQRLEILAGSYFCAAGALVGVINPGRMTLFGTLLVIWGLVKEALFGKPVNSDPTQSVHVYPTILIALICAFLSITYNVKKTVRNSQSVSITKPLQSSAKSKLK >ORGLA01G0158100.1 pep chromosome:AGI1.1:1:14890379:14892048:-1 gene:ORGLA01G0158100 transcript:ORGLA01G0158100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aspartate-glutamate racemase family [Source:Projected from Arabidopsis thaliana (AT1G15410) TAIR;Acc:AT1G15410] MVQMSIQRAATISPPCSLSLPFDCVSGTSRRFASCRSQYSCFAYSERLSPNVLKTNSVVDPSEPKILDASSSASRTGQYSATTHLSGTIGVMGISASSSLRFLQKLVHWSTRDGEEAPPFLVCNDPLLKKELMSSQNSQRPSDCNTALGKLRLRRLLLEKSGVCCIAMPCNTLHAYHDEISQGCSVPSLHIGDCVVKELKSANLKPVEYGSNVCVGILCTDNTLNAKCYLNKLESQGFEVLLPDKASLEHTVLPAIGAFRRGDMEGARNLLRISLQVMFVRAVNTIILASDDFVGILPDDDPLLKKCIDPMDALVRETIMCARTDSLRP >ORGLA01G0158000.1 pep chromosome:AGI1.1:1:14886848:14887989:1 gene:ORGLA01G0158000 transcript:ORGLA01G0158000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26695) TAIR;Acc:AT2G26695] MEAKAAAMAMRKPGDWSCRSCQYVNFCKREACQRCGEAKLGVERTDYAAMGGGWEVKPGDWCCRCCAVNNYASRGSCFKCGAAKNDSAAAVAQGWGFSVASQAGWKNGDWICPRMECNVQNYANRTECFRCNFPRYY >ORGLA01G0157900.1 pep chromosome:AGI1.1:1:14843149:14843520:-1 gene:ORGLA01G0157900 transcript:ORGLA01G0157900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREKRPDEEEEVGLTGARGEWNSGRIPAKRGGDRGAAMLGTATARPGVVGSGGTRRPEVAKRRRPWVRGGEVVAAASWGIRAGAGVRHEAAELMVGVARLEVDGNSGKRLPEAAKQWRPRS >ORGLA01G0157800.1 pep chromosome:AGI1.1:1:14828351:14830487:1 gene:ORGLA01G0157800 transcript:ORGLA01G0157800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNVCSFQEVKVDQDHIKNKNYPEQSTFRKLGRNAEDLIKTNMVLKDFGGNPSETKGVLNVELTVGSKTIPTTIFVIDGKGSYNLLLGRDWIHANCCIPSTMHQCLIQWQGDKIEVVPADRSVNVASADLALWEMDGLDCLSGKVWDGDFLKVSDSDIQPIEDGEPKLLL >ORGLA01G0157700.1 pep chromosome:AGI1.1:1:14819811:14820143:-1 gene:ORGLA01G0157700 transcript:ORGLA01G0157700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTILYPLDLDAVRRSGVRRGSSWRRRCSNRWRSKRVRDRRGSHDRSGSHTAATVVAPATNPHHGIPARPPRRSLTKMAKTACVIMARDAENGIEDGNKGRHTFCSSLFL >ORGLA01G0157600.1 pep chromosome:AGI1.1:1:14815869:14816291:1 gene:ORGLA01G0157600 transcript:ORGLA01G0157600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVAPPPPSNHFPLFLDLERQQVGGGKGGKWEIGRFPALALCVPIVAAAAPPLSVIASHRRRWFSSVRFLSGEPLLPTSAVLCCWRFHLLLLLILVAAAVLTSCCCANVLLLQQRVSTWCCQQQEGIEGEEEEQIGTS >ORGLA01G0157500.1 pep chromosome:AGI1.1:1:14805496:14808777:1 gene:ORGLA01G0157500 transcript:ORGLA01G0157500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRAHMEDGICPVNKRRRLALSPCAEVYNSKRIRSQWVDFQSLPEDILSRIMSKLTLKQAVQMSMVSSVFRRAWIFHPDLLFGTEELFGTSDRQLRALSTNGFIDTINFVLRKHSGLGVSDFGVKFELWKEHARDIDGWVSFAIASKARVLVLNFSPYIGLRENSYSFPCHLFNDRNGSHFKVLQLDTVTFGPTPDFCGFANLTMLTLKHVLVLDNFQYFLPKCPALEWLEILMCSQLHNLHVSEPLPRLEFLRVQGCAINKIELHAPKLTTFEYRGCFKVIIALHKCLKLKTASIASHIEDNLEYVFTGLPNGLPHVERLHVKVFVRTQIPGFTQPPLKFINLRHLIMRITFGSAKRFGKNAVLQLAYLLEAAPLLVDLHLDMTCADICEDPPARDVIIHRPYYNLKRACMTGFNGNGGQIALVRFILRNAVKLEKMTIVPKGRRTGKMMGEYELSLRMIRKKIVPKDRNGVLVIL >ORGLA01G0157400.1 pep chromosome:AGI1.1:1:14801168:14801395:-1 gene:ORGLA01G0157400 transcript:ORGLA01G0157400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAACPWYRFLPLLPCFSLSSSLSFSLPLILPLSPSRPSSNPSRRPASPIAAAAVEMTGANHRATPAAASYPHW >ORGLA01G0157300.1 pep chromosome:AGI1.1:1:14793707:14795131:-1 gene:ORGLA01G0157300 transcript:ORGLA01G0157300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPEARLNKDCKTARRLGLPVEVLREGQPKVLHDAVDNVVVKAGWIVRVSSASGLAAALVQAENHAPAPAPVDQPLRVFIVPYLPPAEAPLILSKIEQASADDCIPGFIVINPNLLETKEKARLDIMHEISRVQNIRDKYRHPSSPATATAPLAPADVPDKPKPKPKDLKELKEQYLLAHLVAGHWRMASNILEYVDNFLFQSLREKDEEEVRIAMQRLQDDLQKKHHGSQHSVXRIAHELLGIATMSKWEYRKELPLPAAEDGMTMKKKLKCHAKKLRKLLTKHTLMGVEPLVWAKLEPMPTITSSGRTIMSNARFTGPEWTA >ORGLA01G0157200.1 pep chromosome:AGI1.1:1:14774585:14774867:-1 gene:ORGLA01G0157200 transcript:ORGLA01G0157200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYNQFSLKTSGTPELLSLLITLRSECMGTRVHGTGKAYIYCSMNILKTIRIVVLAVIGHWDSQWHLG >ORGLA01G0157100.1 pep chromosome:AGI1.1:1:14762403:14762810:-1 gene:ORGLA01G0157100 transcript:ORGLA01G0157100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CAASPIPLPHALTPETAPPSALPSPTASPICPARRQGCRLAAVYIAAHSVVIESVLGQESRRRRCPPRRSIPHRHRSPLPARPPPTPRRKPFCRQVSADAFHAELLLATTDPCCQVAADAFRTELLLAAPPRRRR >ORGLA01G0157000.1 pep chromosome:AGI1.1:1:14748775:14751943:1 gene:ORGLA01G0157000 transcript:ORGLA01G0157000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid-associated phosphatase 38 [Source:Projected from Arabidopsis thaliana (AT4G27800) TAIR;Acc:AT4G27800] XXXXXXXXXXXXXXXXXXXXXXXXXTAAGSVAASSSELEAIRWGTAKLQGARDEMEDEVVLRPGSLLDGFSFAAVFDGHAGFSAVEFLRDELYKECAAALDGGAVLSTKNLDAITDSIQRAFATVDANLSTWLEQMDKEDESGATATAMFLRNDVLVVSHIGDSCLQVVSRGGRPQAVTNFHRPYGNKKASLEEVKRIRAAGGWIVDGRICGEISVSRAFGDIRFKTRKNEMLVKGVKEGRWTEKFISRINFKGDLIVSSPDVSLVELGPDVEFVLLATDGLWDYIKSSEAVALVRDQLRQHGDVQAACEALGQIALDRRSQDNISIVIADLGRTNWKELPAQRPNLFLELTQAVATVGAVSLGIYISSLLALQ >ORGLA01G0156900.1 pep chromosome:AGI1.1:1:14738455:14740801:-1 gene:ORGLA01G0156900 transcript:ORGLA01G0156900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLARLQYLKSKDPKDCALLYIALNRTKVLVGLFKISRDEKDKRLYEFLSRNFQEEKHKSAALKNAYVLMGRHQWELAIAFFLLGGDTSSAISVCAKNLQDEQLAIVICRLLEGSGGPLERNLIANVLLPEAVDKGDHWLSSLLEWMLGNYSQSVNQLLDCHLKSLIEESSIPGDTNVFADPGVGQYCAIIATKSSFRNCVGEAQSANLSKLSLAMASCALNRCGLPLEALEYLCCNSGIEGKDNTSLDGGDKKIVYGILNPFHASSNWLSASVVSDVESNLKITMASKYLSRMLRNQSLCSRCGLPLTKDKVLKEFNSNHVNELSRDVKAALQVFDKKFSLQVADIAEKILTFCCNDGILFLAYVLLWGSISSDVGTGRTSAMLTVMDLLEYNMEFSFSWLCHDIKALLTMTSPVIGVCVNRESFQVLLDQLLQAVHDKIHGVSIGTDGGTVNGLLCNIQQEKSENQSLPIDEKWHLIGISLWTRLSSFMKQFLTEFV >ORGLA01G0156800.1 pep chromosome:AGI1.1:1:14737825:14738031:-1 gene:ORGLA01G0156800 transcript:ORGLA01G0156800.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFAQRSEENRDNFSSKNDEKIQRSIDNTPYGVEVTFEPKRKGLIADFERPRELVRRNGELLEVFTISIL >ORGLA01G0156700.1 pep chromosome:AGI1.1:1:14724300:14726304:-1 gene:ORGLA01G0156700 transcript:ORGLA01G0156700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYDREFYQFSDQLRLQTASFSGLSLGDSIWSSPSDRRNEPAFDGEYHHFSSPSPAKNAIANINGVAGNLDGPGLIGSGKLAFGATKADRYNSVNLPVDNNNNNKSYGGAAKINNNNVNAFGFNKMGGYNNSSNGGGNYGGNGGDVKSYFNKSVGRPASNNNNNNSNGGGGYYGKKGGDGAGGKKKHAKNSDSGAQASDKRFKTLPASEALPRDEAIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVKVATRKIYDPLEEDAFRPILHHYDGPKFRLELSVAEVTFVHPNITTDNISL >ORGLA01G0156600.1 pep chromosome:AGI1.1:1:14719924:14722927:1 gene:ORGLA01G0156600 transcript:ORGLA01G0156600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYRALIAAGATAVCCLVCAVWAFSSSSSASKKHQRQRQQRPLSPGCCGCARCGCRAAAVNGEMAVGGEQKKAPGPSPAAAAAAAAAGASMMEQLVPEITTHALSYLDYTSLCRLSMTNSAMRRAANDDGAWKALYHKDFTVEQHNITPPNGWKAYYAATKAIMNVNTEFYNIIREGSLPAMSHFWLNADYVKCIHATGELFTGYNAVMDSWGLLFNWGQDGGQGIAFQLRDVRARVLGEVAWVNMKAHVDVDPGPFHVTNVYEFRNGRWYMVHHHSSLMADPAPHNLFA >ORGLA01G0156500.1 pep chromosome:AGI1.1:1:14704785:14712726:-1 gene:ORGLA01G0156500 transcript:ORGLA01G0156500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVKWQKETFPGIEIDTSQPPVVFKTQLYTLTGVPPERQKIMVKGGILKDDADWSTLGVKDGQKLMMIGTADEIVKAPEKGPVFVEDLPEEEQVVALGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYSDNVRGNGVDQASHNLTVATRNTFGELDQSVRPVAPLLFLQTLRKKYPQFAQQQNNVYMQQDAEECWTQLVYTLSQTLTSETSEPPAGQMKELFGIDLVSRVHCAESGEESLERESVYSLKCHISHDVNHLHEGLKHGLKSELEKASPSLGRTALYTRESRINELPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYDFCSDELKQKLQAPRQMLRDAENAKFGLKAQGKASSSKENEGSSSNAGESSSMDIDKADSSVPKKQLTGIYDLIAVLTHKGRSADSGHYVGWVKQDDGKWIEFDDDNPSIRKEEEILKLSGGGDWHMAYICLYKARVI >ORGLA01G0156400.1 pep chromosome:AGI1.1:1:14694051:14698555:1 gene:ORGLA01G0156400 transcript:ORGLA01G0156400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEVKDNEVYEEDLVDYEEEVENGADGGAAAANASADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADSYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >ORGLA01G0156300.1 pep chromosome:AGI1.1:1:14661592:14667142:1 gene:ORGLA01G0156300 transcript:ORGLA01G0156300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGTAPAAPRYAPDDPSLPKPWRGLVDGTTGYLYYWNPETNITQYEKPLPPEDQLPPPPPLPPPPPRSGRGDRDRDRRDRSRSRTPPRRDHRDRDRRHDDHRSAPSHHHPLPAAAAVAADDPSTEAYRHRHEITVVGDNVPAPITSFETGGFPPEILKEIVSAFAISPCFQIQRAGFSSPTPIQAQSWPIALQCQDVVAIAKTGSGKTLGYLLPGFMHIKRLQNNPRSGPTVLVLAPTRELATQILEEAVKFGRSSRISSTCLYGGAPKGPQLRDLDRGVDVVVATPGRLNDILEMRRISLKQVSYLVLDEADRMLDMGFEPQIRKIVKEIPPRRQTLMYTATWPKEVRRIAEDLLVHPVQVTIGSVDELVANSAITQNVELITPSEKLRRLEQILRSQDSGSKVLIFCTTKRMCDQLARTLTRQFGASAIHGDKSQSEREKVLSHFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVAYTFFCDQDSKYAADLIKILEGANQRVPRDLADMASRGGRGGRKRNRWATRSDRGGSRSELDSRYGGRDGLSGSSGRLDSSRSSRRHDYGDDGRSRRSGRGRSRSRSRSDSDRYSRSPKRSRRHSRSRTRSRSRSRSRSYTRNRRASRSRSRSPGASRRHERSATGSGSALPDSGHGERKRTPEADPSRNHTNHSDPKDDRHPEDGKVGKVDLDRSPTPQDKSGPYSPAYNGKTSRSVSPGNQVEGNNKAAEVSKNPDPSSPPHHGKTREDEEEGMIDEDGEIADDPRANATVQNGGDN >ORGLA01G0156200.1 pep chromosome:AGI1.1:1:14634936:14647002:-1 gene:ORGLA01G0156200 transcript:ORGLA01G0156200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTAAAADVIDLSSDDDDEVPVPSTSAAAAAAARRVAPSTSPRDVTPYALVDVKPALLYPLQPPGVVVGGSGALVPVKEELPVLTPVPLLAAGYSPSTPSTKVALPAPRLCRQFWKSGDYVVAQRNPDADAPGGRNRLRINPRFLHSNATSHKWAFGAIAELLDNAIDEVNTGATFVRVNEFTNPRDGSSSLLIQDDGGGMDPEALRRCMSFGFSDKQSDALIGQYGNGFKTSTMRLGADVIVFTQNQNNWVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDISTASYTQMLRHDQKLFSSNLAILLKWSPFASEAELLKQFDDIGEHGTKIIVFNLWFNDDGDMELDFNSDKKDILITGAHRKVNTNKADKVATQNYVSTRLRYSLRAYTSVLYLHIPDNFRIVLRGHDVESHNVINDLMYPECVLYKPQIAGLAELSAITTIGFVKGAPEIDVQGFNVYHKNRLIAPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSVLYQRLESRLKEMTYEYWDLHCHRIGYDNKKLPKSSRALNRANQMNAGSSPPIVPRQLLAADIPTSSCAVPTFMAPALRQKQMGLKRNIDALGSKTDSADQDGSHLDVSQRRRFNEYRTLTLENDKLRGECLQYEESAKQLALKEQKLRSQIALERKKYEELLQELRSLDVKTEK >ORGLA01G0156100.1 pep chromosome:AGI1.1:1:14631575:14633830:1 gene:ORGLA01G0156100 transcript:ORGLA01G0156100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26610) TAIR;Acc:AT3G26610] MLIAGAILCLVASTAPAPALSAGRTTFSVSSFGAAGNGIADDSEIDGDVLAPPGMGYWPKARRPLQWLNFKWLDGFTIQGTGTVDGQSTLLRSVSPANVSQHWYISGVKPTLIRFYSSFNVSVRNIRITNSPQCHLKFDSSGGIKVKNITISSPGDSLNTDGIHLQNTRDVDIRSSSIGCGDDCISIQTGCSNVHMKNINCNPGHGISLGGLGKDNSLACVSDVFAEHINVENALYGVRIKTWQGGKGTVRNVTFSNVRVANVATPIAIDQFYCDAGGGGARCGNRSDAVGITGVAYRRVAGTYTYQPVRLACSDARPCTGVSMADVRLSPASAAGAGGLRQPLCWKSYGEAMGMIEPTGIACLQRSNGFVMPLTKPFNYTC >ORGLA01G0156000.1 pep chromosome:AGI1.1:1:14625088:14628092:-1 gene:ORGLA01G0156000 transcript:ORGLA01G0156000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFPRLASPSHHESSSFMSTLVTRSLKLHVHQLELEKTNLLLRGTLYPLQECKIVNNLCAIYSTSMDMRARDLTRKRREEDDDMMLFIFPALHLLSSSGARNKEEKEPKKQKKSVGVEGLMERYLDMRTKQAEDEAAQLAREREAHLAKEKENNDFSIKKCISILNSMVVVTKQEKAKAYTVFKNAENREIFVSTCQEDAESALIWLRSEMA >ORGLA01G0155900.1 pep chromosome:AGI1.1:1:14622032:14622676:1 gene:ORGLA01G0155900 transcript:ORGLA01G0155900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAQGWARLAGRVERCRHSAETEGARGRAVDGSVARGGGSGEHLVVSGSSFPTIAARTELRHARPTWPLLRPPSSSRWTWPLQAASGGGGKETEEGGGCGGGGGHSCSPPTPADRFPLLVSCRRPPLRVTSIATVLALLTIATTFLLTSHAGRSSAHPRAQLPAFAFAASAFIIGCTSDLLLHHQRPSDLRLRCRPPAPTLPPTSPPLRATL >ORGLA01G0155800.1 pep chromosome:AGI1.1:1:14612415:14616740:-1 gene:ORGLA01G0155800 transcript:ORGLA01G0155800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLFLLALLCLCGGAAGDPRTAVARQECAPGGAVSGPALADNFVPAMDDLNSNVSANGFGTSAVGTTAGLNPNAVFGLGQCYRDLSPVDCKLCFAEVRSLLPKCYPRAGGRLYLDGCFGRYANYSFFSEALGPDDNVTCGVGGAGGTGGGGNYTGANPRGFADAVRAALANVTGAAAAAGPGGGDGYAVGSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHNDVVWILLGSSLGAFVIVFIVVFLAWKKKIFRNKKRSKSFIDIYGDGVPVRIAQSSLNFKYEELRKATNYFDPANKLGQGSYGAVFKAILLDGKQVAVKRLFLNTREWVDQFFNEVELISQVRHKNLVKLLGCSVNGPESLLVYEYYFNKSLDLFLFDASRSRNLTWNLRVDIIQGIAEGLSYLHEESETRIIHRDIKASNILLDDKFKPKITDFGLARAFGEDRTHLTTGVAGTLGYMAPEYLAHGHLTEKADVYSYGILVLELVTGQRCSGSIGSHGGHFLLTKVWNHYKNKAVEMIADRSIYEDTIRDEVMHVVQIGLSCTQANAGDRPTMTKVVELLRSHRHDVEIILSDPPFLDVEAFEDIKQGEQSRLLSARSAHSVSGSSRSYLSGR >ORGLA01G0155700.1 pep chromosome:AGI1.1:1:14603757:14604901:-1 gene:ORGLA01G0155700 transcript:ORGLA01G0155700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPESQLVVHIDDVSISQKTMQILTNPVTESSTSYLDDHIIDAYVTCLREKDMKEGTGTRAEDTVFLEKPLITRLLQRDGEHYVSKDIIDRAMAKATANRYLKHDMEDIKNFRRKLAAILGDARKKNNKRKI >ORGLA01G0155600.1 pep chromosome:AGI1.1:1:14589491:14591660:-1 gene:ORGLA01G0155600 transcript:ORGLA01G0155600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high affinity nitrate transporter 2.7 [Source:Projected from Arabidopsis thaliana (AT5G14570) TAIR;Acc:AT5G14570] MVAMEKKTKLVEEEDGCYYYDYGGYGDGVVDDEGRATELRPMALSRPHTQAFHLAWMSLFACFFAAFAAPPILPAMRPALVLAPSDASAAAVASLSATLVGRLAMGPACDLLGPRRASGVASLVCALALALAAVFASSPAGFVALRFVAGLSLANFVANQHWMSRIFAPSAVGLANAVAAGWANVGSAAAQVVMPVAYDAVVLRLGVPVTVAWRVTYLLPCAMLVTTGLAVLAFPYDLPGGGGGRCPGGGGGRRRSFWAVVRGGVGDYRAWLLGLTYGHCYGVELIMENVAADFFRRRFRLPMEAAGAAAACFGAMNAVARPAGGVASDEVARRFGMRGRLWALWAVQSAGAALCVLVGRMGAAEAPSLAATVAVMVACAAFVQAASGLTFGIVPFVCKRSLGVVSGMTASGGAVGAIVTNRLFFSGSRYTVEEAISCTGITSLLCTLPVALIHFRRQGGMFCGPSATIDGDGDVDDDDDYMLLK >ORGLA01G0155500.1 pep chromosome:AGI1.1:1:14582223:14583268:1 gene:ORGLA01G0155500 transcript:ORGLA01G0155500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDLGSLMMRSTVSPPGVDTGVLRPWWMVDGSNWMAAATRRSMVEDEDYGGGRXVXRLGQRGQRMSSLLQAVCEHLGVDLCEGDDSPRRLGTFSSSPTPHAAASTSSPPLSFPNADLVLRLDPCPDYEVDFDVSEDHHSSIDLHVSSAPLLHSHYFGALLSDRWSPAPTSIARREERRRQRG >ORGLA01G0155400.1 pep chromosome:AGI1.1:1:14567029:14568439:-1 gene:ORGLA01G0155400 transcript:ORGLA01G0155400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKIVLIWLQLWEDLKMAGQDIIDLANNLSSLTETSYTKQVERERGSDDSEENLKGAAATNLEPMNMVKSTASVLSTSQGFNQLDQPDPMDVCDEQNGTNCNECGKVAKIDSILTCKRCMLAFHVSCIEPPVPSTSTGSWCCKTCSTICNESAEVGMALVHYEPNRLHGHCVACKDLEFCRPPRCEETASERAPADNSRAIVIPSAEPVEDVELSDIDVRGLCKMCGNPEEKDKRFLVCGHTHCLYKYYHISCLKATQIASDKQLDKPCWYCPSCLCRVCHSDRDDDLTILCDGCDEAYHLYCITPRRTSIPKGKWYCSSCAIERAKEGMARHEKRMLKLHRKDDPGLQGMRYEMVDMILAAAEMLSDDEQQGT >ORGLA01G0155300.1 pep chromosome:AGI1.1:1:14553397:14556675:-1 gene:ORGLA01G0155300 transcript:ORGLA01G0155300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAASSLLVAVVVLVLVAAAEAAAANETSSSATAALRAGRELRRYRRVQALLRRVNKPAVRTIESPDGDLIDCVAAHLQPAFDHPRLRGQRPLRGPPERPRGWRPRPGPNDTAAGDAGVQLWASSAGGASCPEGSVPIRRTTEADVLRASSVRRFGRAPTARVRRDSVSGGHEHAVGYVAGEEYYGAKASINVWAPKVSTPEEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNSPRFFTYWTTDAYQTTGCYNLLCSGFVQTNSRIAMGAAISPTSGYKGGQFDISLLVWKDPNHGNWWLEFGNGELVGYWPSFLFSHLASHASMVQFGGEVVNTRADGGAHTATQMGSGHFAGEGFGGASYFRNLEVVDWDNSLVPLAAGFHVTADHPDCYDIQGGVNAVWGNYFYYGGPGKNVKCT >ORGLA01G0155200.1 pep chromosome:AGI1.1:1:14544102:14546819:1 gene:ORGLA01G0155200 transcript:ORGLA01G0155200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGSLIGKLGAALAKEAATYGASLLCQEVSALKRLFAQIRDVKDELESMEAFLHGAERFKDIDETTGNYVKKIRGLAFEIEDAVDKFTYKLEDKHGGFTAKMRKRIKHVKAWRRLALRLQDIKQRLEHADRRKVRYDLSVIGSSGHSKSPEQSFQFAREEDLVGIEINKELLMQWLAGDSEQGCKIATVWGMGGVGKTTLVSHVFKTVKLDFDVSGFVTVTNSYQFDDLLKKISTEFEIPIDADNIAVGSLGQSIHNHLKGKRYILVLDDVWQPNVWFNLRNVFPAESTGRFIFTTRMQEVALLATKKSTIELAPLDAHCSWQLFCKEAFWNTDNKTCPKELRCIALMFVDKCAGLPIAIACIGRLLSCKHPIYSEWEHVYKDLEIQLTNNAILDVDIVLKVSLEDLQRNLKNCFLHCTMFPESYAFNRKRLIRHWIAAGYIQELGSKTVEEVAEGYLNELVNRSLLQVVERNLSGRVRRCRMHDIIRLLALRKSKEEFFCQVYKGSEACSIENTRRLSIQNVSIQHLSGSSAPCLRSLHVFSSNRRIDSLEAFLKSFKFLSTLDLQGISIKRLPKIVFDLFNLRFLGLRKTYIEYLPKELSRLQNLEVLDAYDSKLLILPVEVSTLRKLKYLYVVRVPEGSYDRVLAFDGLQVPMGICNLIDLLALQLIEASTEVLRHIGCLTKLRTFAIGKVRTEHCADLCDAIMRMTRLVHITIISADEKEVLQLETLRLPSTIAKIDLGGKLSEESMSRLISTSSNLVNLTELNLCFSKLNEDSFACLLNLHNLVELYLSKAYDRRELIFHATSFPKLKLLSVWDAPNLRKIAIQQGALQSLVKLWLIDCPELRDVPDGIEHLRTLEHLIIKGSSDELRRKLEIKGKSNKRNEDIMKISHVKWVEIV >ORGLA01G0155100.1 pep chromosome:AGI1.1:1:14537691:14541263:1 gene:ORGLA01G0155100 transcript:ORGLA01G0155100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63080) TAIR;Acc:AT5G63080] MSMREFVDHWAASSSNGDSDGSLLYLKDWHFVKEYPGYVAYTTPTFFADDWLNMYLDSHPIHRDSDIANHTNEINCADYRFVYMGPKGTWTPLHADVFRSYSWSANVCGRKLWLFLPPSQSHFVFDRNLRSSVYNINDDVSEKRFPEFNNTKWLECTQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNGYNLHWVWNLLHEDYKVAKDYIEDIRDICDDFEGLCQRNLAANTGMNFYDFFVFITRFALANIVELYHIQNPKDTDFISAETANHFVYNLMSIRDVASKMVSTEAFNTENICNISEQNRSAFSDIIKILEEESFRRLLVALSKAYNYIDRGQKDCLKMKDSSQKGCLSVTCLKPDCNVVGDIISFMREIHGPMDLVTLIDSALSDR >ORGLA01G0155000.1 pep chromosome:AGI1.1:1:14533039:14534133:-1 gene:ORGLA01G0155000 transcript:ORGLA01G0155000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G55140) TAIR;Acc:AT3G55140] MGASCSSPFPPPPPPAESNAPSASAMHHPPHPAAGGGGPVMPYADADRTLRALAGAAEGFGRRAIGGLHGPLYRVTSLDDDGHGTLRQACRAHGPLWIVFDVSGDIHLRTYLRVTSHKTIDGRGQRVRLLGKGLQLKECRHVIVCNLQIEGGRGHDVDAIQIKPSSADIWIDRCSLADCDDGLIDITRGSTDVTVSRCRFSRHDKTMLVGADPSHTGDRGIRVTVHHCFFDGTRQRHPRVRFGRAHLYNNYTRGWGIYAVAAGVEAQVASQCNVYEAGAERKAVFRYVPERAADREEAEAGWVRSEGDAFLNGARPCLVDGGDAAVFRPEEYYERWTMEAASPALKEVVQLCAGWQPVPRPPGE >ORGLA01G0154900.1 pep chromosome:AGI1.1:1:14529312:14531102:1 gene:ORGLA01G0154900 transcript:ORGLA01G0154900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G40400) TAIR;Acc:AT5G40400] MSVSRIPPSTLTSLLPRALNPRVAVVDLVAGHLTASDAPDEARPIEDELSRLLPYLGSDELTAVVLRAGHSHPLPTLRFLLALPPPAQPSPTHLAFLAGSLASSRLFSQALDALSHLLRLHPGHDALPTLLRSSATAPHPSLPGLLVKALLRHARLRDALRAALRAAAAGAPPDAAAFNALLAALSRAGRFDDLWAARAVMARAGVRPNAHTFNILVAALCRGEDAERAQGFLEELEEQGFEPDVVTYNALLSGYCRRGRLQDALHLFDVMPYRRVQPDLVSHTVVMDVLCKAGRVRDARRMFDRMIQSGLSPDAVAYSVLIAGYCNEGRLREARFLLMEMVGYGFSSEGFALKVVVESHVKFSKLLTCLNMVAPIRKHGVVIPSQSYSCLIGALCEDMRPNAARGLLHWMIEDGHSPSLAMYNMIVECFCQCDIVEEALDVKVEMISREVRLDFNTYRALITCFCRLGRSLDGESIMAEMIESGFQPNEAICSALVCGFCKEGALNRAELILRAFVLDFHVHCNESYNALMRAYCETTSSKESLELQNRMLELGFVPNSETCRSLILGLSKSIDLVSSDDGFSCISSKDNGGNAE >ORGLA01G0154800.1 pep chromosome:AGI1.1:1:14528110:14529138:-1 gene:ORGLA01G0154800 transcript:ORGLA01G0154800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38150) TAIR;Acc:AT4G38150] MMSLQIPARSGGLRRLLLLGGADGIRRPYSTGDRRRRVIREARQEEEDEAFLRTLNFGADPENNPPPPPPPPPRRPGGAPDDSSPHAAFPTDILRRAAGKQPLPPPQQPIGESLMEKLKLGDAAATTAAGNSGERPQPEREPTKPPPPEHEAAQPEDVDEIFRKMKETGLIPNAVAMLDGLCKSGLVQEAMKLFGLMREKGSIPEVVVYTAVVEAFCKARKLDDAVRIFKKMQGNGVIPNAFSYWLLIQGLCKGGRLDDAVAFCVEMFEAGHSPNAMTFVGLVDEVCKAKGVEEAEKLVRSFQDRNFAIDEKSIREHLDKKGPFSPVIWEVIFGKKKSGRPF >ORGLA01G0154700.1 pep chromosome:AGI1.1:1:14519890:14525391:-1 gene:ORGLA01G0154700 transcript:ORGLA01G0154700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1NNV7] MAASNLDVFEACHERLQHCARATRRTILTKVAFSQGTSTYVLVFYRNIIAAVVLLPVALAVERKTAPPLSLKVSLKLFVHALCGMSAAMNISCIGLNYSSATAASAVQNIMPVLTFFLAVLMGMESFKLKMCHGIVKISGIVFCAVGVSVLALYQGPDLKSFIKHHLFPHTNRVGTHSSRNWILGIFLQFLATLMWALWAVLQGPLLEEYPSKLLNTTLQIVFSAVQSFFMALVLERDFSRWKLGFDIGLVAIIYCHNKRSADGCGPVYCSLGEEDRRGGYQQSRSIWDVVCDARNAPATATSCPWLLLARTGAEAEQQRRHRFATCSDRHHLQDYL >ORGLA01G0154600.1 pep chromosome:AGI1.1:1:14514792:14517324:-1 gene:ORGLA01G0154600 transcript:ORGLA01G0154600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1NNV6] MGTRAAFVVAFLIRSLYGGMQIVTKFAFNEGMSTSVFVFYRHVIAILFLVPVAFVLERKTAPPLTFKVSLKLFLHALYGISGAINIYSLGLSYASATSSSAIFNLLPAVAFILALLMKMESLNLKRINGIAKVSGVVLCIVGVIILAFYQGPELKSFNHQHLFRTSTVYAAATSHPATTWILGIFLTTLSTTCWALWTVLQGPMLEVYPSKLLNTTIQIVFATIQCFFIALAIERDFSRWKLHLDMGLIAVIYSGVLVSGVAYYMQVWVIDKSGPVFLAMTMPITLLVTIMLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKSEQAAISKQQMVVPVQTTRV >ORGLA01G0154500.1 pep chromosome:AGI1.1:1:14507447:14507743:1 gene:ORGLA01G0154500 transcript:ORGLA01G0154500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERVKGMVKGFDATNGFSFITPDDGSEDLFIHQSSLKFDGYRSLNDDDVIELSVGSSDDGRTKAVDVTAPGSDAHTGGSRPSCGHIPTAGRPLVVS >ORGLA01G0154400.1 pep chromosome:AGI1.1:1:14502712:14505489:-1 gene:ORGLA01G0154400 transcript:ORGLA01G0154400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1NNV4] MGNREVLVVAFFIRSIYGGVQIVTKIAFNRGMSTTVFVFYRHAIAILFLVPVAFVVERKTASPLSYKILLKLFVHALYGIAGSVNIYGLGLSYSSATSSSAISNLLPVVAFFLAVLMGMESLNLKRIHGIAKVFGVLFSIVGVIILAFYQGPELKSLNLQHLSSRNVVPTGSTAYTTKAWTSGIFLTVLSTTSWALWTVLQGLMLEVYPSKLLNTTIQMVFATIQCFFIALAVERDFSRWKLGLDAGLIAVIYSGALVSGLAYYMQVWVIDKSGPVFLAMTMPITLIVTIVLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKAEQAIASKEEATLPVQATQV >ORGLA01G0154300.1 pep chromosome:AGI1.1:1:14499288:14502319:1 gene:ORGLA01G0154300 transcript:ORGLA01G0154300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHGFLFFLLFFLQYLHTIISLLDSPGGSLASLPEWQPMPCNSVSVNPLCNSYLYVTPEGRNLSEVASDFSGNASLFQRIMRFSGSEDLLVNVPCVCEVINATMTGLFHDTNYRVKDGDTGDIINSKTFSGLALNVGDGQILHKEEKLIIHLPCGCSSTAPEGVLSYAVEDKDTLGNIASLFGSSSKDILDLNPSLKDPNFIKPGWVLFIPMGVAGSSNKKIDPFQTERPVIFSLIAVEDATSNFDEKRKIGEGGYGSVYLGFIGTHEIAVKKMKASKSKEFFAELKVLCKIHHINVVELIGYAAGDDHLYLVYEYVQNGSLSEHLHDPLLKGHQPLSWTARTQIAMDSARGIEYIHDHTKTCYVHRDIKTSNILLDNGLRAKVADFGLVKLVQRSDEDECLATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLRALVRDNKEANKTKSLISIMRKAFKPEDLESSLETIVDPYLKDNYPIEEVCKLANISMWCLSEDPLHRPEMREVMPILAQIHMASIEWEASLGGDGEVFSGVSNGR >ORGLA01G0154200.1 pep chromosome:AGI1.1:1:14495682:14497394:1 gene:ORGLA01G0154200 transcript:ORGLA01G0154200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64310) TAIR;Acc:AT1G64310] MRGNLPPLTAAAAAANGAAVLLPLPHAKQLHARLLVSGPGPTPPDHDLRLRLLLLRSYAARGDLATAARLLDGAPPSPLLHNALIRAHARRLDLRAALALFARMRRSASAATATATAPDAHTFACVLRACADCSRPDVARVVNGIVVCAGMSSHPVVGSALVSAYAKLGPVGDARRVFDGLPEPDLVLWNCMMSGYGYRGMWNDGLDLFSAMRKAGERPDGYSMVSLVSSFWNREALAFGQAIHGMCIKGGYDSGHHVRSALVSMYFRCGCMDSGHSLFGNLLDADLVTWSSLITGLLHICKYEESFGLFRQMCYTGRRPDNILVASLLSACASTVNISYTKEIHCYAFRVGAHTDIRVSSSLMDAYAKCGFADFAYGVFFQMPDKNLVMYNTVISNLGSHGFATKAIEVLDEMVSDKPKPDSATFSALLAACCHAGLLDEGWELFRRMRDEFNIAVKTEHYVYIVRLLATFGQLKEAYDLIQTMPVPADSGVWGALLWGCCVHRDSSLGRIVADKLFELYPDKASYRVMLSNLYASQEMWWDAEEVREELSNEDVHKNTGISWVGNAKK >ORGLA01G0154100.1 pep chromosome:AGI1.1:1:14490140:14494579:-1 gene:ORGLA01G0154100 transcript:ORGLA01G0154100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPIVLQLLIILGNGMAMAPMVMVAAQPWHTCGASNYTADSMYQLNLDGMSASLFPEGSGGGIFVKGSSGADPDKVYAVALCRGDVDDAPACSSCFNAAFRRAMQLCPRSKDAAIYYDECLLRFSDTDILNMDSVRRLNTSEIVDGALVLMNLTSEPMLPGWDDDSRPTATTNFTGFLKTMLTDVVGQVLSTRRHYAAIRMEMDDGSSSSTTAVPREFYCLAQCAPDLIEDICYSCLTNFSDRAVASFPGRQGGRVLGLWCNLRDTIASVQDGIVILSQPHALTDKNGHNQVSFHGGVAAEIAYFVIEERCHVEEQRELGIEADGQEDAAVCDELRRGAWMTEDATTATSFGGGGCCRCSTIKNEQKFSQVATLILDPVLKFYRLKRLEPLPISRYDTKKFYTGAPTWSSGSSASNAIVPSPAPQPASLPPPTPKYKTPLLALFICVILTRHIRAKLTESITLTRNLGKMNTHEDEALIWGLEGRSSEFTVYDFSHVLEATGNFSEENKLGQGGFGPVYKGRFPDGVEIAVKRLASHSGQGLTEFKNEIQLIAKLQHTNLVRLLGCCYQRQEKILVYEYLPNKSLDFFIFDETRRALVDWNKRLAIINGIAQGLLYLHKHSRLRIIHRDLKAGNILLDHEMNPKISDFGLAKIFSTNDTEGNTKRIVRTYGYMAPEYASEGLFSIKSDVFSFGVLILETVSGKRTSSFHRHGDFINLLGHAWQMWKDETWLQLIDTSLVIESHTPEMARCINIALLCVQENAADRPTMSEVVAMLTSESMTLPEPKYPAFYHMRVTKEEPSTVIMAPSANGITLSVVDGR >ORGLA01G0154000.1 pep chromosome:AGI1.1:1:14474466:14476304:-1 gene:ORGLA01G0154000 transcript:ORGLA01G0154000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRESAAACSPKPKLRRGLWSPEEDEKLFNHISRYGVGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFSQQEEELIISLHKILGNRWSQIASQLPGRTDNEIKNFWNSCLKKKLRQRGIDPATHKPLNDGGAGAEEEHHDDGDKQQQQQQLMDDVDDCFAIGGGGSSDSLAPPHSPAVSFDPLSVTNVPTTMMQSSSSPYGAAGGEHSSFRSDTLCDYGGSGGGVDVVSDAGTYSAYTGDSSSNSNSTAWTCGSVVVGGAGELPPPPLLPHMDMFGRVDAEPPPYPPFDVPARFSPWHHHHHHHHEPTLPTPPQRLDGGGGAAASFPIRSLSRDMPESCFDLGRGALDDEFGVDFL >ORGLA01G0153900.1 pep chromosome:AGI1.1:1:14396039:14396323:1 gene:ORGLA01G0153900 transcript:ORGLA01G0153900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGVVCTTVTPTSSAGSDQVVAGGGEAARRPVAPAVMEERKRKRKESNRLSAQRSRARKQQQLDELAGQVAALRARNGALGLAAREAARRCAA >ORGLA01G0153800.1 pep chromosome:AGI1.1:1:14367423:14368361:1 gene:ORGLA01G0153800 transcript:ORGLA01G0153800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein (DRT102) [Source:Projected from Arabidopsis thaliana (AT3G04880) TAIR;Acc:AT3G04880] MAAAAADRRFKIFAAADAFGQPLKDAVVAHLRAHPSVADVVDLGVDKYYAAAAAVARSLVATATSSDPAIEARGVVVCGTGAGVAIFANKYPGVYATHCATAADAVNTRSINACNVLALSGSSTPPDAAAAIADAWLATPFRAPCPASGDAPWPDDIQRFFDSAPAEMAAIPDVPSVPDSACAICCLRKGMEFEPVGIMPGGEMRIVRESPTSAYVRFKAGSVEPAHHHTFGHDLVVISGKKKVWNLTKKESYDLVDGDFLFTPAGDVHRVKYFEDTEFFIRWDGHWDIFLDEDLDAARSAIDAELGAATAK >ORGLA01G0153700.1 pep chromosome:AGI1.1:1:14358541:14365220:-1 gene:ORGLA01G0153700 transcript:ORGLA01G0153700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / protein phosphatase 2C ( PP2C) family protein [Source:Projected from Arabidopsis thaliana (AT2G40860) TAIR;Acc:AT2G40860] MGVEVPPEESNRCVRGCCRSAAIPLHLPPSSFSLLSPIAKGSESTVYEARLGGERVAAKKPVLSTSDDLDKFHYQLQLLCELDHPGLARLVAAHARPPNYLMFFDFFEPPNLADKIHVEEWNPSVQQVVTIATDLAKALQYLNILGIVHRDIKPANILIDKDFHPHLADFGLAMYQKDIKHVSVENWRSSGKPTGGFHKKNMVGTLIYMAPEILRKDIHTEKSDVYSFAISINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAIVSQGLRPALALPKSGAPPSLLSLIQRCWDSDPQQRPSFKDITEELKIIEKHIAVNSCSLASPANKSQNGNTEVHHYQEALSWLNQGELFAKGNKLDSTVDHWSDIFDQSSKYCPTLSWGSFATCGRRETMEDTHFMLPHMSEEKDLHAFGIFDGHRGSAAAEFSVRAVPGFLKQFNSNTSPTDALTEAFVRTDIAFREELILHQKSKRITQKNWHPGCTAVTALIVRNKLFVANAGDCRAILNRAGEPFPMTRDHIASCPKERERIVKEGTEVKWQIDTWRVGAAALQVTRSIGDDDLKPAVTAQPEVIETILSPDDEFLVMASDGLWDVMSNEDVLSIIKDTVKEPGMCSKRLATEAAARGSKDNITVIVVFLRPVSTAERIY >ORGLA01G0153600.1 pep chromosome:AGI1.1:1:14355134:14356910:1 gene:ORGLA01G0153600 transcript:ORGLA01G0153600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1NNU6] MMNALGLSVAATSTGSPFHDVCCYGAGIAGNIFALVLFISPLPTFKRIVRNGSTEQFSAMPYIYSLLNCLICLWYGLPFVSYGVVLVATVNSIGALFQLAYTATFIAFADAKNRVKVSSLLVMVFGVFTLIVYVSLALFDHQTRQLFVGYLSVASLIFMFASPLSIINLVIRTKSVEYMPFYLSLSMFLMSVSFFAYGVLLHDFFIYIPNGIGTVLGVIQLVLYGYFRKGSREDSLPLLVTHT >ORGLA01G0153500.1 pep chromosome:AGI1.1:1:14352952:14353983:-1 gene:ORGLA01G0153500 transcript:ORGLA01G0153500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQCGGRRQRRATPAVNEPPSKAMGHAAVTKADTGGTSRSARQTVLLHLKPEPKLICQTRWPRIRSAEMEAGGADSRGGEVAVARGWCSRGRCGRAWWQRPSVTMAWRGGGEGKVVAGNGGEWPDGRPFFSDVAQGYLRPTASKKTDSRPPRQHDKYPLDGAVFIGKYPRL >ORGLA01G0153400.1 pep chromosome:AGI1.1:1:14337248:14339858:-1 gene:ORGLA01G0153400 transcript:ORGLA01G0153400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRSGHGHAPAAPPRAGNQAVSLREESSGKTRADAASLLRVQHLQRLAAWAGGEARVGPLGALLGRRLAANAEAAGIPLAASTFLCQRCETVLQPGFNCTIRIKNNKRKAKRRKKLNTCQNSISYLCHFCGDQNLIRGSGKNIMKGLLSSRKPVGMDVTSIKLKGDSNNKRLVTIKEGLEYSQGAVSQLESTSGLKQRNLVKNEYEESPVPNLLDESMEKEVASSSVELNQSASATDEENVSQKIVVTITNEKSTHETEPVSANKIAICQPDVPSKAEFSVGSSFVTPRKNKVVDVTDHKDSAELLKTRSIQNKKAEMPSSVTGKAPTMPTKSAPNDGMKNKPVAI >ORGLA01G0153300.1 pep chromosome:AGI1.1:1:14324940:14325218:-1 gene:ORGLA01G0153300 transcript:ORGLA01G0153300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIPVDRPAQHISKTIGEVPHWTIVDETSIFGRDKEKNWVISKLTESSIQQNIKIISVIGLGGSGKTTLAKLVFNDGNTIKQHFELILWVHVS >ORGLA01G0153200.1 pep chromosome:AGI1.1:1:14323029:14324939:-1 gene:ORGLA01G0153200 transcript:ORGLA01G0153200.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFDVEKLVEKLYEAIAGDKPNHLPLQHVSRTISDKLAGKKFLVVMDDVWTEDHTHWEQFMVHLKSGAPGSSILLTTRSRKVAEAVDSTYTFDMPFLSEDDSWKVFEQNLGSAAIGLDPEFVQVGTEIVKKCSGVPLAIKVLAGVLRGMKGIEEWQSIRDSNLLDVEDKESKVFACLSLSYFHLPRHLKQCFIHCSIFPRGYVITRRLLISQWIAHGFIPTNQAQQPEDVGIGYFDSLLKVGFLQDQDEDHFQLGRDKVTCKMHDLVHDLSRKILQDEFVSKIETIDQTKKCRYLSLTSCSGKVDRKLYGKVRAFYVSRCKLASKKTMKKQRCIRSVILKYINIDSLPLFVSNFEYMGYLEISNVDCEALPDAISHCWNLQALHVINCTRLANLPESIGKVKKLRTLELDCAWNVRSLPQSIGDCDNLGSLYIHSCDIKDMPNSIEKLENLRVLSVVCCTDLRQLLPSEPFGKLRNLRTITLTDCWALEHLPQCITLLGHLQYLDLSSCTKLRELPEGIGALKKLEVLNLERCKRLRGLPAGCGQLIRVQQLGLFVIGDRTKHARISELEKLDKLNGELQIKNIKHVKDPFDAEMVHLKRKNDIRKLSLDWSSRWEFGARHMEEKLSVEERTEEELLN >ORGLA01G0153100.1 pep chromosome:AGI1.1:1:14317409:14320296:-1 gene:ORGLA01G0153100 transcript:ORGLA01G0153100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEERDAAAASSLAEFHASRAGVRGLVESGATAVPPLFLLPPGCGRERSPPPPPPQRATAFAIPTVDLSLPRSATVPLVRAAATSCGFFHVTSHGVPHGTVASAVAAVRAFHEQPAASRSPCYSLAPVGGVAYSTIPIQQQPLPQGGSSSDHRAATAASPLLPWRDSLVVRFGPGAPPNLGRLPAACRDALPEYQRSLTVFGKEMVGLLSEALGGGGGGGVGAERLEREMQVEGWLMACHYYPPCPEPERVVGSLEHTDPSLFTVLAQDAVGGLQVRREEEEGGGGGGEWVDVAPVAGALVVNVGDVLKMVSNEEYKSVEHRVVIKSSQDARVSIAVFFNPAKRDASDLFGPLPELLTAERPARFRRFSVPEFMRSRRESGHGKSSIDSFRIAAD >ORGLA01G0153000.1 pep chromosome:AGI1.1:1:14297156:14303149:-1 gene:ORGLA01G0153000 transcript:ORGLA01G0153000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) TAIR;Acc:AT4G24400] MVGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTESGESVAMKVVDRSSILKHKMADQIKREISIMKLVRHPNVVRLHEVLASRKKIFIILEFITGGELFDKIIRHGRLNEADARRYFQQLIDGVDFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGGALLRTTCGTPNYVAPEVLSHKGYDGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKIESAEYSFPAWFPNGAKSLIRRILDPNPDKRIRIEEIRNDEWFKKNYEPTREIESEEVNLDDVNAAFDDPEEDVDHTLDDEAGPLTLNAFDLIILSQGLNLAALFDRRQDYDKLQNRFLSRKPAKVIMSSMEVVAQSMGYKTHIRNYKMRVEGLNANKTSHLTVMLEIFEVAPSIFMIELQRAAGDTSDYNKFINNYCSKLDDIIWNFPIEKNKSRILRLSKR >ORGLA01G0152900.1 pep chromosome:AGI1.1:1:14293606:14295582:1 gene:ORGLA01G0152900 transcript:ORGLA01G0152900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N-7) methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G17660) TAIR;Acc:AT5G17660] MASPATATASLCCRLVRLPLLVPYARRRSPLSTRCSAAQSPDAVDREYADLNLRPLYPNEPTEPPEWKEVFEDPLLPLMVDIGCGSGRFLIWLAKNSGERRNYLGLEIRQKLVERSQFWVTELGLRNVYFMFANATVSFNQIVSSYPGPLSLVSILCPDPHFKKRHHKRRVLQSQLVDSITNNLCLGGRVLLQSDVLEVAADMRERFDEYSDVFEHVDCIDKDLRCDNEGWLLDNPMGIRTEREVHAELEGATIYRRMYQKTRVVSH >ORGLA01G0152800.1 pep chromosome:AGI1.1:1:14282169:14283866:-1 gene:ORGLA01G0152800 transcript:ORGLA01G0152800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WEERGKDYWGALFDKVRNKHIIQRGAHANYGAINEFNICNGNRSKRKLSGLAVSIVFPVMGVLLFCVILGFGWIIRRNKIGKASLQEKTSTYLYEEEALAWPIQGQSSELLFDFACIIRATNNFSRENKIGEGGFGTIYKGKLDRLEIAVKRLDSHSGQGFVEFRNEIQLIAKLQHSNLVRLLGCCSKGEEKILVYEYLPNKSLDFFIFGI >ORGLA01G0152700.1 pep chromosome:AGI1.1:1:14281145:14282047:-1 gene:ORGLA01G0152700 transcript:ORGLA01G0152700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYHVCADEPNQRALLDWNKRLAIIEGIAQGLLYLHKHSRLRVTHRDLKASNVLLDHNMNPKISDFGLAKIFSSNDIEGNTKRVAGTYSYMAPEYASEGLFSVKSDVFSFGVLTLEIVSGKRNPGFHQYGDFLNLLGYAWQLWTEGRWLKLIDVVLLTDCLVEAPLMMKCVNIALLCVQENAADRPTMSDVVAMLSSEGVSLPVPKHPAYFNVRVRNGEASSAIDLELCSVNEVTITAPGCR >ORGLA01G0152600.1 pep chromosome:AGI1.1:1:14275794:14276585:-1 gene:ORGLA01G0152600 transcript:ORGLA01G0152600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETRIRLMGIQNKLDECHVGKAPLEVGPSYVRFLCALTVKYSTRRLGGGGVAVPLQPAAPHGVGGIRQFTMTMQSDVRPVDDPFVFLDRDAARRVVWAAIVAALPGLDRYDLSDGNWETPRPDATVAAWIHGLARASYLGRGKRVGHYRVVVFVEVEVELVFSEPKALVADVVAAGGGAGKPCGICLDDLDADGLTTPVRLPCGHAFHGQCIAGWLLEGRTCPMCRRDLSRLVLAPSCYQQYGAPRISQLAQLGWTFISSV >ORGLA01G0152500.1 pep chromosome:AGI1.1:1:14271554:14272339:-1 gene:ORGLA01G0152500 transcript:ORGLA01G0152500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETIIRLRSSSKQLEGHHGTAPLEEGPSYVRFVCDVKVRCWSRRLGGGGEPVRHDGIKFTLETERKHVLDGAGGDVFLDYEETRRMAWAVFTGMRELRCVDLSRSNWATPMPDDDAAAWIHRAVRRNHDDGLAGRHYRFAARVKVAVELVFSEPVSLVRGLVWLETRAGDTCGICLDGLTASERCKTPPANLPCGHAFHPPCITRWLFKGTTCPICRDDLTGLAAAPWESGVMSCPGCIMPSTPCVEDCPSLKALSLNS >ORGLA01G0152400.1 pep chromosome:AGI1.1:1:14242093:14250642:-1 gene:ORGLA01G0152400 transcript:ORGLA01G0152400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQDIGVSAAINILSAITFLLAFAFLRLQPINDRVYFPKWYLKGARESPSHGGAFVRKFVNLDMRSYLKVLSWMPAALKMPEDELISHAGLDSAVYLRIYLIGLKIFAPITVLAFIILVPVNWTNITLQSSKVQHSDIDKLSISNIPVGSKRFAAHLTMAYVFTFWTCYVLLREYEIVATMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELVEHFFLVNHPDHYLTHQVVYNANKLDKMVKEKKKMQNWLDYYQLKYERNTSQRPTTKTGFLGCFGSKVDAIEYYTSEIERIEKEETDERGKIMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPFVYLTIRRLIIAVAFFFLNFFYVLPIAFVQSLANIEGIEKAAPFLKPLIEMRTIKSFIQGFLPGIALKIFLILLPSILMFMSKVEGLTSVSSLERRSAFKYYIFLFFNVFLGSIIAGSALEQLKTFLHQSANEIPRTIGEAIPMKATFFITYVMVDGWAGVAGEILRLKPLIIFHLKNFFLVKTEKDREEAMDPGSIGFDSNEPQIQLYFLLGLVYAVVTPFLLPFILIFFGLAYVVYRHQIINVYNQEYESAAAFWPSVHGRIIVALIVSQLLLLGLLSTKGAGQSTPVLLVLPVVTFYFYKYCKNRYEPAFVEYPLQDAMRKDTLERAREPGFDLKGYLMNAYIHPVFKGDEDDEKFSISDEPEAEQVLVATKRQSRRNTPVPSKYNGSESPSLAEIVNDQRL >ORGLA01G0152300.1 pep chromosome:AGI1.1:1:14237418:14239974:1 gene:ORGLA01G0152300 transcript:ORGLA01G0152300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation UFD1 family protein [Source:Projected from Arabidopsis thaliana (AT4G15420) TAIR;Acc:AT4G15420] MDFELRKAREKLEREQRERVQRAKAKADRERRAKAEAARRRDALEASHRERRLDAARAQEEAQQKMEEVTQLGKGISFSHMFEALRYDGPGDKIKLPPSSFKELSDEGALDKGPMYFRLSKVRDSVPGAPQDNDADEATCCGVLEFTAREGSAELTPHVWNNLFRGDSPDVPLIEVRYVSLPKGTYAKLKPEGVGFSDLPNHRAVLETALRNHATLSENDFVVVNYGQLQYKLKVLELKPASSVSVLETDVEVDIEGPDSVLDYVENQHVLVPLETGKVESGVVEEGKFRYYKFLVDEGMGEKVASRHANIEVKIETDTSGGDTDIYVSRHPLVFPTQHRHEWSSHEMGSKVLILKPRDASLSSGTYSIGVYGFKGTTKYQLSVAIKDVLNGQRIGEQASASSSVDVDSVVCKNCKRYISNRTSLLHEAYCVRHNVVCMHDGCGVVLRKEEATDHVHCNKCGQAFQQREMEKHMKVFHEPLQCPCGVVLEKEDMVQHQSSTCPLRLIVCRFCGDTVQAGGEPLDARDRLRNMCEHESICGSRTAPCDSCGRSVMLKDMDIHVIAVHQKS >ORGLA01G0152200.1 pep chromosome:AGI1.1:1:14227545:14233962:1 gene:ORGLA01G0152200 transcript:ORGLA01G0152200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIMNFIYLGVGAGLVSALQVSCWTITGERQAARIRALYLKAILRQDIAFFDKEMNTGQLVERMSGDAFLIQDAIGEKAGKCIQLLSTFFGGFIIAFVRGWLLALVMLSSIPPVAVAGAIMSRLMVKLTIRMQAKYGDAGIVVEQTIGAIRTVVAFNGEKKAINTYNKFIKKAYESALQQGVINGLGLGSIISVFFSSYGLAVWYGSRLIVERGYNGGIVINVIMAIMISAMSLGHATSSITALAGGQGAAYRLFRTIERQPDIDACCTTGDIFEDVKGDVELKNVYFSYPSRPEHLVFDGFSLQVPSGTRMALVGESGSGKSTVISLVERFYDPQSGEVLIDGVDIRRINLGSIRRKIGLVSQEPVLFAGTIRENITYGKEDPTLEEINRAIELANAAKFIDKLPNGLETMVGERGIQLSGGQKQRIAIARVIIKNPRILLLDEATSALDMESERVVQEALNKVMLERTTIIVAHRLSTVKNADMISVLQHGKLVEQGSHEELMKKPEGSYSKLIHLQETRQEAVAPNDDPDMIIRNDFDSRIINSKTRSQNISFRKSTSKSSSFGHSGTHPFTSTCDLSDPMEVHDDQHIKETTDKMSNCQEKASILRLFSLNKPEAFVLALGSITAAMHGVIFPVFGILVSSAIKMFYEPRSELLKNSRLLGSMFPVLGISTFLLIPTEYFLFGLAGGKLVERIRSLTFKSVMYQEISWFDKPENSSGSIGARLSTDALNVKHLVGDNLALNFQTLSTIISGFTIAMVANWKLALIITVVVPLVGFQAYAQMMFLKGFNKNAKSKFEDATQVATEAVGGIRTITSFCAEQKVMNAYEKKCASPIIQGIRDGVVGALGFGFSFLVFYFAYALCFYVGAKFVHQGTATFGEVFRVFFVLVLGINEISRTSAKGSESRRVNESVVSVFKILDRKSKIDSSNDEGVVIASVRGDIEFQNTAALVGESGSGKSTVISLLERFYEPDAGRILFDGVELETLKVSWLRLQIGLVAQEPVLFNDTIRANIAYGKQGDASEEEIIAAAEAANAHQFISGLPDGYNTIVGERGIQLSGGQKQRVAIARAVIKDPKVLLLDEATSALDSESERVVQEALDRVVVGRTTVVVAHRLSTIKGADIIGVLENGTIVEKGRHEELMQIKGGIYSSLVELSSSSM >ORGLA01G0152100.1 pep chromosome:AGI1.1:1:14210324:14210935:-1 gene:ORGLA01G0152100 transcript:ORGLA01G0152100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPAVRYYVPCEEADDIHDLGEEEQFCPLPLSPPYSPAQPSPPPTSPPASPTLLPTSPLSDDHADHTTDSLITFASTVDVAADDDFYFDFDFDADDDAMDEKHAEYLAECALKHYNGDAANEAKYELVAASATASGFMDCRGASHFHVSFFARAAGAGAAEAAPRFFLAELHHRIAMLPTTCVVSLNNDDEIQMDPQPSGC >ORGLA01G0152000.1 pep chromosome:AGI1.1:1:14203506:14204237:-1 gene:ORGLA01G0152000 transcript:ORGLA01G0152000.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAIPPVRYYVPFEEADDRSHDLEDEEPYCPPPLSPPYSPAQSPPPPTSPAYHNDHADHTTNSCTFTSAGGAYSYTITSANVGDYFYLDDDADAMDEDKPDEPENRPDPLVVLQQAESLAECALQHYNGDAANEVKYELVAATATASDFMDCWDAFYYHVNFFARAAAGADDQAAPRFFFAELRHRTAMLPTCLVSLDNDDEIQMDPQPLCCFDDVPLGVVIKHPKGWKMIDRDNTLISSPSV >ORGLA01G0151900.1 pep chromosome:AGI1.1:1:14194253:14202693:1 gene:ORGLA01G0151900 transcript:ORGLA01G0151900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAAAAVANGMAQPLMTFIFGDVINAFGSTSSPDVLAKVTKVILNFVYLGIGAGFVSTLQVSCWTITGERQAARIRALYLKAILRQDIAFFDKEMSTGQVVERMSGDTFLIQDAIGEKSGKCIQLLSTFFGGFIIAFVRGWLLALVLLSCIPPIAVAGAFVSRLMTRISTRMQEKYGDAGNIAEQTIGAIRTVASFNGEKQAINTYNKFIRKAYESTLQEGVVNGLGLGTVMAILFCSYGLAVWYGSKLIVNRGYNGGIVINVLMSVMMGAMSLGQATPSITAFAEGIILEDITGDVELKDVYFSYPTRPEYLVFNGFSLQIPSGRTMALVGESGSGKSTVISLVERFYDPQSGEVLIDGIDIRRMNLGWIRGKISLVSQEPVLFSSTIRENIAYGKEDQTLEEIKRAVELANAAKFVDKLPNGLETMVGERGIQLSGGQKQRIAIARAIIKNPRILLLDEATSALDMESERVVQDALNRIMLERTTIIVAHRLSTVKNADVISVLQQGKMVEQGSHVELMKKPEGAYAQLIQLQGAQQDAEVHNDDPDMIIRSDSGSRSINVKPRSQSTSFRRSITKGSSFGHSGRHPIPAPLDFPDPMEFKDDLGMEETTDKVPRGQKKASISRLFYLNKPEAFVLVLGSVTAAMHGLMFPIFGILISSAIKMFYEPPSELQKDSRFWASMFVVVGASAFVLIQTEYFLFGLAGGKLVERIRSLTFRSVMHQEINWFDKPEHSSGSIGARLSVDALNVKRLVGDNLALNVQTVSTVISGFTIAMVANWKLALIITVVVPLVGFQAYAQMKFLKGFNKNAKLKYEEASQVATDAVGGIRTVASFCAEQKVIEAYEKKCESPVRQGIREGVVGGLGFGFSFLVFYFTYALCFYVGAKFVHQGVATFPEVFRFWLPVESHEQVQXAQTAPRLMNQLFLSSKSLTGNPRLIPAARRVWSLQVXGVTLSSIMCASITPYAQIFKYLKISPCAFPLERLLHWLERAEAGSPRRLRCLKGSTTRIPARSFLMGWISRHSRSAGFVFRSGSWHKNRYCSMTPSTPT >ORGLA01G0151800.1 pep chromosome:AGI1.1:1:14181361:14182558:-1 gene:ORGLA01G0151800 transcript:ORGLA01G0151800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFLRDNMGMTRNLDELAIVVVDEASDMIIEDEGPISTEEINMNEDGTNVDDTSDLELFNVLVDSIKSFGLSIDDIRGQGYDNGSNMKGK >ORGLA01G0151700.1 pep chromosome:AGI1.1:1:14137127:14143231:-1 gene:ORGLA01G0151700 transcript:ORGLA01G0151700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:I1NNS7] MMGRRGSSWCRWWVALLVLAVAADAVGCTSVSYDDRSLVIDGQRRIILSGSIHYPRSTPEMWPDLIKKAKEGGLDAIETYIFWNGHEPHRRQYNFEGNYDVVRFFKEIQNAGMYAILRIGPYICGEWNYGGLPAWLRDIPGMQFRLHNEPFENEMETFTTLIVNKMKDSKMFAEQGGPIILAQIENEYGNIMGKLNNNQSASEYIHWCADMANKQNVGVPWIMCQQDDDVPHNVVNTCNGFYCHDWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQMRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNLRQPKYGHLKELHSVLKSMEKTLVHGEYFDTNYGDNITVTKYTLDSSSACFINNRFDDKDVNVTLDGATHLLPAWSVSILPDCKTVAFNSAKIKTQTSVMVKKPNTAEQEQESLKWSWMPENLSPFMTDEKGNFRKNELLEQIVTSTDQSDYLWYRTSLNHKGEGSYKLYVNTTGHELYAFVNGKLIGKNHSADGDFVFQLESPVKLHDGKNYISLLSATVGLKNYGPSFEKMPTGIVGGPVKLIDSNGTAIDLSNSSWSYKAGLASEYRQIHLDKPGYKWNGNNGTIPINRPFTWYKATFEAPSGEDAVVVDLLGLNKGVAWVNGNNLGRYWPSYTAAEMAGCHRCDYRGAFQAEGDGTRCLTGCGEPSQRYYHVPRSFLAAGEPNTLLLFEEAGGDPSGVALRTVVPGAVCTSGEAGDAVTLSCGGGHAVSSVDVASFGVGRGRCGAYEGGCESKMAYEAFTAACVGKESCTVEITGAFAGAGCLSGVLTVQATC >ORGLA01G0151600.1 pep chromosome:AGI1.1:1:14125921:14127917:-1 gene:ORGLA01G0151600 transcript:ORGLA01G0151600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDGDAVESPAVDLEAMVGSTENAGDGGLQWLKSACVYAALAALVPSKIRAKMGSSRMARTSWDSSTLTAVRVAVVGEDLCDVVAVLLPNAGKVPGRRRDVNVVHGGEAAEEERRRVGARGEAAEEWHGWSRTEDGELDVPAAESGLREDTTDDGVIEDGEDKLGELDLDGGGSLGGGGLVVEVEGVRVAALVGLGVLDGGHEGVGDGGFIGVEAKEEEAETEGSLSRETTKQRSRALSWMRGE >ORGLA01G0151500.1 pep chromosome:AGI1.1:1:14122161:14122998:-1 gene:ORGLA01G0151500 transcript:ORGLA01G0151500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRAFTMRPEGYSGEDGGEYSEESELVPNSLAPIVPILRAANEIEEENQRVAYLCRFTAFEKAHTMDPNSGGRGVRQFKTYLLHRLEKVSAFPAIPPPIYQ >ORGLA01G0151400.1 pep chromosome:AGI1.1:1:14106369:14121192:-1 gene:ORGLA01G0151400 transcript:ORGLA01G0151400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGSRLGASPEMIERNMSLMLLLIVEKTGPFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLFDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVMHSDTVRSLMRKIFENYISWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNVRFMPECICYIFHHSHQYKNTIIPMCLFMEHVRQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEHHDRVITRRRIPKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMVIVAWSPSGLPSAIFDPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTYMSSIQNSTGLIKFFSSWIGNLQSESIYNFAVALYMLPNIFSALFFIFLPFRRVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKYTLFWILLLICKLAFSFYVEIYPLVGPTRTIMFLGRGQYAWHEFFPYLQHNLGVVITVWAPIVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPIAFGKHLVPGHDSQPKRHEHEEDKINKFSDIWNAFIHSLREEDLISNRERNLLIVPSSMGDTTVFQWPPFLLASKIPIALDMANSVKKRDEELRKRINQDPYTYYAVVECYQTLFSILDSLIVEQSDKKVVDRIHDRIEDSIRRQSLVKEFRLDELPQLSAKFDKLLNLLLRTDEDIEPIKTQIANLLQDIMEIITQDIMKNGQGILKDENRNNQLFANINLDSVKDKTWKEKCVRLQLLLTTKESAIYVPTNLDARRRITFFANSLFMKMPKAPQPFCFCISVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTVKLEHRRTVQSSQQGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDPKDKACYLNILNLMLMYPSLRVAYIDEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKYVNLHFNIVL >ORGLA01G0151300.1 pep chromosome:AGI1.1:1:14093412:14097924:1 gene:ORGLA01G0151300 transcript:ORGLA01G0151300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHMPLCNDISPTLSNIVYQFDDENRRPPHEAISRQIPVMEDQVVDGNDVANDDITQNDMQNDCGTWDFGGCDDQESVYDEHCDPMDHSSMNGQEGYIFLQETDEYTFESAEGLDVNERIDKIADFLSFGMGFSAKTNAWAGPEHWKYRKAKDLDPVPTKPDDSDAPKKTKKKRGKDEPDIDFSKALEHDMPNIFAPPKNSKSLLLPANRATSNNKLPEDCHYRPESLVKLFLLPDVLCLARRRKKPLGGSRENTDDFIPSEPWDGDDFCNDHVDEGNGDTDVEDAVDLITKPRQVNKIDIQYDKVSKQVDVHALKEVLWNHINTSADTDDLQEDKDTESPLCLSKVLQDLPSCNPDAAATEISPHLYFICLLHLANEHSLTLRDRPTLDEIDIYIPASSLVK >ORGLA01G0151200.1 pep chromosome:AGI1.1:1:14085914:14087756:-1 gene:ORGLA01G0151200 transcript:ORGLA01G0151200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSRQSLDYLCKMFGPVTTVPLSMGYCYGPFSCVTNIIVNTLWYDSTFPAVEKLEVDMICTSTFVRVESRSLRGLIKLLLTCIPEISEHDAMIYLLKNNLKVRKAIEMAGAEGWESSWDVSAYKAAADASFHPEPEAYVQFSTQSLPKVQAAVKSLLRTSTPLSSNDVLQLATLLPSSNCISAKSLETIVDLSTDALDMFIAFKEKFVTQHGFFRRKIEAALRKKEYLYDLQVICVANERVGSQMNFLDFKCPYSHVNFLASPKVGSGLKLFFAEFSNDDDDISFCCTVSIKSKHARCCYCEYEGTRIMHPVHPIENYCGGDMDFTEMAHGTHELTNARIISGGKWAGNRVGMCGDDYIYFDPTRDAKFAQCMNRTASRANISWSDILKVNTS >ORGLA01G0151100.1 pep chromosome:AGI1.1:1:14082682:14084344:1 gene:ORGLA01G0151100 transcript:ORGLA01G0151100.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLFGCISLSILQGMPSTHRHSFVGSSHTILARFXFTYPIFLSLMYLVLFPWWCSGQATTSTILAMLICFSYQHLQLQSQDXPPYKWRIFPALRXLSXLSXCSXAVRCSFPFLALSLSQASKTNMILKTVESVQLLCASNHSXRCLKYLVFVVLAYMIIILVTGSLLVFMYIAHVSSARDVLTRKSINKALFSISVTVSSFTNGGLLPTNESMVVFSSNNGLLLLLIGQILAGSTLFPVFLRLVIWALRGLRLAKAEEPDFMMNNSSALGFSHLLPNLQTIFLAAVEVAFVAMTVILFCFLNWDSVVFAGLSSLQKITNALFMAVNARQAGENSIDCSLVAPAALVLFMVMMYTPSLTKXFSACQDHKRIGPESDDRTSKGKPFLKMMAFSPLGFNTTVIMLVCITERRSLSTDPLNFSTFNIIFEVISAYGNIGLSTGYSCSRQLQHQEGIACHEKAYSFSGWWSEPGKLILVLAMLCGRLNSKDSTSARTR >ORGLA01G0151000.1 pep chromosome:AGI1.1:1:14067683:14070862:-1 gene:ORGLA01G0151000 transcript:ORGLA01G0151000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPINLLIVDDAAKINECNLIIPLHLPVTHILMLGDDFNLQPSKVRENARFTMSPFKRLLNLGFRKHMLTEQYAIHSSIWQFRNEKFYEGRITNGAIVISPEYNKKFKGLKFSNYCFIDVTGTDGPSCKEKNTIELATIQYMLEIISKQYXXNQKFSQTKICFSQXNQCAHXVCXXFXRRNLSSGYSINAFQRXEHDSSDXKDQCCNYQSKTLFVDVWXSCXCICXRGSRESSENXLMIXXSESVYXNGTLSLQVNQNMHLNLMTSMVLALQVQMKPYTRLQVISESNPNLNFPSRRTKR >ORGLA01G0150900.1 pep chromosome:AGI1.1:1:14057475:14058227:-1 gene:ORGLA01G0150900 transcript:ORGLA01G0150900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAMEKKRFPTLAQVAKMASLLLLFLLLPLVPSSLRQPYLYLLFNALVVALGVEAGFLAAISSGPRDDKLLPKPAAAAAALRLSHSNRPGEVDVAAAATNGRHHHVNGSSSSSAVIVAASPSLTPKAAALEAAAGSRDVVVIAGGAMPAAAAAKKSKKMRRCPSRASLFFIGGGDGEDVVVHEEEEGCWTKGGGGGGGEQMMSKQELFTKAEAFIGNFYKQLKMQREESWKKLQDLYHHHHHHYKTTAL >ORGLA01G0150800.1 pep chromosome:AGI1.1:1:14035950:14042669:-1 gene:ORGLA01G0150800 transcript:ORGLA01G0150800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKKERARAAEEHKRHIAEYRAFLESCEFIKTSTQWRKVQERLEDDERCSRLEKIDRLEIFQEYIRDLEKEEEEHKRIHKEQVRRQERKNRDEFRKMLEEHVAEGMLTAKTRWRDYCAQVKDSSVYLAVASNISGSMPKDLFEDVMEELEKQYQDDKARIKEAVKSGKIPMTTSWTLEDFETAVTEDDTLKGITNINMKLIFDDQVERLREKEVKEAKKRQRLGENFSDLLYSIKEISASSTWDDSKALFEDSQEYKALDSETYSRELFEECVVHLKERLKEKERLREEEKARKEKEREEKERRKEKEKKEKERKEKERDKRRRRKGQRKGSVEERXDGYXCCXCRXPWLKRQEKRKGQGKEAXETPPXHCRXCELXKGXEGXLXKVSXTXQXSQEIKEAYPCFGL >ORGLA01G0150700.1 pep chromosome:AGI1.1:1:14031601:14032863:1 gene:ORGLA01G0150700 transcript:ORGLA01G0150700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRRAALAAALAGTAAVAAKPSGISVRVSHPPQDGAATTTRGHLGLVRAHPGLQDLNAALAGSSSGRDALFLDAAHALAAGALRAPTFTGRYLREFAKKLAAAESTVVYRVEMGLALVHARDGRFDQALADVARLVADRPGDPHLRITSAALRLLHGRMGTPAEWLNGLPEDAGWRVAFEVVFAMPGSSPLYTQGAADRVVMLLAAKLAEAVLVKNFEQGEWSVADKLAISLLLTALRLFVSKYSRFPYFTRPKSTPPPPSSGCREIKPVNLQPVFLVECSQAMLASLLRARPLCGERLREARATAERALADAEAEGDDLAAVDVNLLLSPSSPPGTGTSTTRCGGTRRPCRKTRRTPGRTSSPRRSAPSTATPRSQRRGGETASSTAALLSLSPSPSLSCQPSSTNWWSRRRSAPGF >ORGLA01G0150600.1 pep chromosome:AGI1.1:1:13981961:13985766:1 gene:ORGLA01G0150600 transcript:ORGLA01G0150600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G32520) TAIR;Acc:AT2G32520] MATPQLLLRRAFSSSFLSSPFRRPPLHPARSFVPPRAAMASSAAPFHMVQIQRDDTTFDAYVVGKENAPGIVVLQEWWGVDYEIKNHAVHISQIGEGYRALIPDLYRGKVALDVAEAQHLMEGLDWPGAVKDIQASVKWLKANGSPKVGVTGYCMGGALSIASGVSVPEVDAVVAFYGTPPSELADASKAQAPIQAHFGELDSFVGFADVTAAKSLEEKLKSSGVPHEVHIYPGCSHAFMNTSPEAVKRRKEMGLTDENQAAIDLAWSRFSTWMGRFLGSA >ORGLA01G0150500.1 pep chromosome:AGI1.1:1:13976501:13980123:-1 gene:ORGLA01G0150500 transcript:ORGLA01G0150500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTARRLALLGLCLGLLLLAPSHLASAAAVEDVVNLDPGRTAQQQKPVSQCPANVFNEPSSKKNYTTGRGDFLRTWAALESGPLHGALHGGMVDRVGLVDVSLSPHNIVVHSHMAVLVRTLKHSKVAYPQCPNEMHWMYNRKTWTCFLSYSTELEVLAVNFLHRRFNYGTVYLCNRAFNWEGLLSNGDFETAPAGGFVKSASVAEGASSIPGWTINGTVELISAGQHQGGMILIVPQGDHAVSLGNDASIGQVVQLESLNVSVLGGASQTVDLQTLYNIEGWDAYALAFQATDEQASLEFRNPGMEDDPTCGPILDNVAIKKLFTPDKPKDNVVSNGDFEEGPWMFPNTSFGVLLPTNLDEQTSALPGWMIESNRAVRFVDSDQYTVPQGKRAIELLSGKEGIISQMVETTPQKEYSLTFTLGSAGDSCQPPMAVMAFAGDQAQNFHYSPMGNATSQAANVTFTARAERTRVAFYSVYYNTRSDDHSSLCGPVIDDVRVWGLNGAAGLKASIGLLLGIVSIVSLMLF >ORGLA01G0150400.1 pep chromosome:AGI1.1:1:13961672:13964225:-1 gene:ORGLA01G0150400 transcript:ORGLA01G0150400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRGGGGRRGRGRGRGRSALAENDMDFHETSALSSPSTTSDKEDNIEFTSQQPCLVSPAAEHVSNTLLNPKINHRSDAIFGDQAVEQLKLRHHKPLKFHERYRPYLRDAGLLGLSQICQKMPQLDKALITALVERWRPETHSFHLASGEIAVTLQDVAMLLALPIDGRPVCSTTDHDYAQMVIDCLGHDPRGPSMPGKSFLHYKWLKKHFYELPEGADDQTVERHVRAYILSLLCGVLFPDGTGRMSLIYLPLIADLSRVGTYSWGSAVLAFLYRSLCSVASSHNIKNIGGSLLLLQLWSWERSHVGRPLVRSPLCPETDIPQDVPPVGFRWVGARTQSENATRCLKQYRDELNLQRADQVKWEPYLHIESSSLPLLCTKDADLWLTQAPLINFPIVEMYLPERVMRQFGLRQSIPPPFRPTLQALHRISRRGRERENWEETHHEYIQEWEARRQRIFPESEQYDPSSYEEYLHWYSGVTRRYLVPSISDDVEAGPSLQPDDSIDLQYQAKAPMIRKAVDKLHGMVKKAKMAMTSTADTTTQALVFEFLHGFQDVLHDLGEIKENGGSATSPHVESAAAQDMPLLLLEAEQNIVDADQEAQHQEEEELHMVDDATMTLEPMDEEDNGFNNVICPCPSLELEEHSHSATPAIDECDTMGQIELMVEPMYVDHNDSNNVLSSSTSAQALLENCEVAEAVNENVDPATQVTGSSTPEQGTDVIVDAEQENPATTEDN >ORGLA01G0150300.1 pep chromosome:AGI1.1:1:13957062:13961064:-1 gene:ORGLA01G0150300 transcript:ORGLA01G0150300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRGGHGHAPAAPPRAGNQAVSLREESSGKTRADAASLLRVQHLQRLAAWAGGEAGAGPLGALLGRRLAANAEAAGIPLAASTFLCQRCETVLQPGFNCTIRIKNNKRKAKRRKKLNTCQNSISYLCHFCGDQNLIRGSGKNIMKGLLSSRKPVGMDVTSIKLKGDSNNKRLVTIKEGFEYSQAAVSQLELTSGLKQQNLEKNEYEESPVPNLLDESMEKEVACSSVELNQSASATDQENVSQKIVVTITSEKGMHETEPVSANKIAICQPDFPSKAEFPVGSSFVTPRKNKLVDVTDHKDSAELVKTRSIQNKKGEMPSSVTGKAPTMPTKSAPKDRVKNKSVASGSAQMSGSSRKRARKGWTTLKQIAEKEELERKEKMGNFVIPFFMQ >ORGLA01G0150200.1 pep chromosome:AGI1.1:1:13949278:13949577:1 gene:ORGLA01G0150200 transcript:ORGLA01G0150200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFMRSTDPDVGPLVVKPGKTKPKVEVLLLVPHASIRIVVGASLFPRPPQHAAQLALGLYVILAAPPPLTVVGVTLPPPLILHQPPIPLADMLSVDTG >ORGLA01G0150100.1 pep chromosome:AGI1.1:1:13942154:13942951:-1 gene:ORGLA01G0150100 transcript:ORGLA01G0150100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIHNSEHLHISKLILCSPNLAAAIVGDGHIGQILVCKLGGLSWSVRAYDMVKNFQDMAFYQGKLYAIANYDEDLLVVNISQDQSTGDPQVSKIGQAIKGEPFHSVWHEFGTMDILANKKLYLVESHGSLLMIRRKIWCWSKQASDTDPEASRPIVAGPNEFEVFKADFEQSRWVKMTTLGDEQVLFLGRRCSRAMSVSQYGMSGDQIFFLDDEEENLKQYYYSTEITSFCVCDMRDGQVDSPLPKASWKRCDEMRPVAWLFPQD >ORGLA01G0150000.1 pep chromosome:AGI1.1:1:13936366:13938653:1 gene:ORGLA01G0150000 transcript:ORGLA01G0150000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLMSSALIRGLVRSSCAPTVAAVAQPTIHQFRNYSSGLGGDSTATGDSSSTRVAADPDTHQDFQPTTKSSNMSFDDIVSQDIKENPVLIYMKGYPDAPRCGFSALAVRVLKQYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQKGQLKDVLGDIAQKREQNESS >ORGLA01G0149900.1 pep chromosome:AGI1.1:1:13932191:13934247:1 gene:ORGLA01G0149900 transcript:ORGLA01G0149900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQPEPVSYLCGDCGAENTLKPGDVIQCRECGYRILYKKRTRRIVQYEAR >ORGLA01G0149800.1 pep chromosome:AGI1.1:1:13925478:13929140:1 gene:ORGLA01G0149800 transcript:ORGLA01G0149800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPRAGDGAAAAAAAAKEAPAVSYLQACVELDDWWLERVEGEEGKVRVVGSNTTTSRAGRRFTSASIKTRHASGDLETEDGIIIMIARPPNISKMHLNGFPDEVSKHFSLGFPVQWENIINANMAEMNKQPQSPLKSTEYYIEKFLRGNLKYSMGLFSWDGLNIYQGSRSDADRFPSERLSNSSNGRPTVEDPTANTDCNVNFMGTLATSEEFCTGRMDMPEEPRATPSETCGNDQENNQHLCMLMNTCENGNKVQHGTSSVGPSVVPAEKYVRSQAEQDALLVNDSTSHVSSVLGDCATPKCGKSLTHLGTKDALETNEGMNPQFGVPQGSEGSTVRRLRNGKVIVISTSASTKKVYKRARMQDNTFSENVIPNKNVTCPTGLISQENVGSVAVTAAAKLQIHDTPRKGRRGRLRKSEKRKRS >ORGLA01G0149700.1 pep chromosome:AGI1.1:1:13899570:13901015:1 gene:ORGLA01G0149700 transcript:ORGLA01G0149700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:I1NNQ7] MLLLKAVYRVVVNNFLALAAAAVAAVLLRRPDELAARLRAVSSPLHAATAAVLAAGVARLVRARRARGVYLVEYGCFRPRPCYRAPFATCLEHAHLMPYLVDEESVAFAIRLLERSGLGDETCVPDAYHYMPPDRSLRASRDESELVIFSAVDDVFARSALTPADIDVLIVNCSIFTPTPVFADMVVNRYKLRAEVQNVNLSGMGCSAGLVSVGLAKNLLQVSPPGTNVLIVSTEILSSQYYVGTERAMLLPNCLFRMGAAAMILSNSPDHARFRLGRVVRTVTAARDSDYRCVFQEEDEQGNTGIRLSKDLATTAGHALKSNIAAFGPLVLPASEQLLVAISFLKRKLKQLSGHAGKVRLYRPDFRTAFEHFCIHAGGRGVIDEVQHGLGLSDDDVEASRMTLHRFGNTSSSSVLYELAYLEAKGRMKRGDRVWMISFGAGFDCNSVAWECIKPAPDADGPWVDCIHRYPVQLPEIAKDV >ORGLA01G0149600.1 pep chromosome:AGI1.1:1:13829624:13833897:1 gene:ORGLA01G0149600 transcript:ORGLA01G0149600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESEAAPGTGKLVCVTGASGYIASWLVRLLLARGYTVRATVRDTSDPKKTLHLRALDGANERLHLFEANLLEEGSFDAAVNGCDCVFHTASPFYHNVKDPKAELLDPAVKGTLNVLGSCKKASIRRVIVTSSMAAVAYNGKPRTPDVVVDETWFSVPEICEKHQQWYVLSKTLAEEAAWKFSKDNGFEIVTVNPAMVIGPLLQPSLNTSAEAILKLINGSSSTYPNFSFGWINVKDVALAHILAYEVPSANGRYCMVERVVHYSELVQIIREMYPNIPLPDKCADEKPSMPIYQVSKEKIKSLGLELTPLHTSIKETIESLKEKGFVTFDSSNL >ORGLA01G0149500.1 pep chromosome:AGI1.1:1:13825151:13826716:1 gene:ORGLA01G0149500 transcript:ORGLA01G0149500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYRFLRRAALTTAMAGAAATLALSKAEQFGVSVRLSRPSPHRGADDATRCGHMGLVRAHPGLRDLNAALTTTTTSGGSADASFFLDAAHALAASALRVPTITGGAIRLMVSDRIPKKLAAAESKGDSKAAVHLRLELATLHAREGRLDEALAAAVQLARDNPGDIRPRLAAAALCYLHGRSGTAFEWLKSVPESARRFKTSDRFVTIVVYAMPGSSPQRVEEGVDGMVVDVAAAIAEDTLSMKLEEGEWSTLERLELAVLGRLLRRFVSKRFAAAAYPEFKSWTWTRPPPPPINATESQLNKALVLCSQAMLAPVLGARPLCGERLREVRAVADAEAEADASAAVVDVNLLLAFLAIRDGRFDEAMQRYRAAVARDPSDRRAYELAAALCSIAGHAAEERDAXLRGEERHCDRGRGVTAGRGGGLQLQALLDEQVVAAALGLGGDRTARDPHRGRVLAAAWREVDAGLAAALRDGDHLTMAERAQLRGLRCVLRAKMQPLLDTAANSTGPDNSPQQRSH >ORGLA01G0149400.1 pep chromosome:AGI1.1:1:13813866:13814678:1 gene:ORGLA01G0149400 transcript:ORGLA01G0149400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQGQIPFVLRLAFVFRSVSKSLERLGAAPPTKSFWTRNYLLKLQNSLDIAWQSLSLMERTFHRLESKQVDKVASLAAVAAAATDAANRDRLESKQVDEVSANKACVHSAVIIFLAVSNERAAYGALSAYRSSLEICRANMTVFNDMHISVNDKLLDVAGAGEKLQANIRIASGMTRILAIFLGPWIQRINGAEGIPPTMRIAFSFCSEHMWSIKTCADTPFLLLGCGAFSLRRRLKLSMAAMRCLQLQSSEASETLYDWDAWDALPSI >ORGLA01G0149300.1 pep chromosome:AGI1.1:1:13810979:13811269:-1 gene:ORGLA01G0149300 transcript:ORGLA01G0149300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAAVAEADEVGGDSCGGQGRRQRHTEVTSTVDKDEGSGGRSGQRRQRRRTRMCATMAARPWTWTLRRRQRRVARVHRLLWAGPRPRAMRTAGRL >ORGLA01G0149200.1 pep chromosome:AGI1.1:1:13807219:13808939:1 gene:ORGLA01G0149200 transcript:ORGLA01G0149200.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLTGWFCKPMIKTLRLQLSIRLFSLCPNEDAKNFLRNAYELIERSKKQEALCRSQQLKENKEASDRHNGTEDQAGGNNSDSEDAEDDEEEDKESDGYESPPMADDVPDFTLDDPYTSGEGFSNGYLEEMLRNFPLHEDGQNKRGDAPNNTEASDGEFEIYEQPSDDEESSDG >ORGLA01G0149100.1 pep chromosome:AGI1.1:1:13797004:13797544:1 gene:ORGLA01G0149100 transcript:ORGLA01G0149100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSSPSLPDAEAPPPPTSPSTVTGGAVSGTIPAAEAFAVHYPGYPSSPARAAQTLGGLPAIAKVRGSDPGARLELRFRPEDPYCHPAFGEPRMSAGLVLRLSRPKGGTAPPRAEVVARVRNAYHFEGQRAERHLFDEMPRLFIHL >ORGLA01G0149000.1 pep chromosome:AGI1.1:1:13795861:13796067:-1 gene:ORGLA01G0149000 transcript:ORGLA01G0149000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKREVAPPPPPSPSQASPGSFASGMMLPGSLGSGAWQGPAPPQPPHPLLYAYGAAASAFPMAQMK >ORGLA01G0148900.1 pep chromosome:AGI1.1:1:13794559:13795161:-1 gene:ORGLA01G0148900 transcript:ORGLA01G0148900.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHRPFVPPNYYPAEQVAPCSKLRPGAQQPVNIDSGDEEAPTVRTEKRLTWSTEEDIRLVSAWLNNSNDLISGNFKKNDCYWGDVTAEYNSTTPKNRTRQEKQIKDHFHKIKKNVGRFCCVYKEVKSIYVSGQNDMQLREKAEAAYQADYKEGPFSFLHCWNILRDQPK >ORGLA01G0148800.1 pep chromosome:AGI1.1:1:13780795:13788165:-1 gene:ORGLA01G0148800 transcript:ORGLA01G0148800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase 10 [Source:Projected from Arabidopsis thaliana (AT2G44520) TAIR;Acc:AT2G44520] MWRGGATAASAARALRSRLIPDTSHHPATALAPIASPRSSSSSSSSSAPTIAAAVPAVAEATAAAAAVSRQAGSVSDALRHYGRCYFELSKARLSALVVATSGAGYVLGSGNMVDIAGLCCTCAGTMMVAASANTLNQVFEIKNDAKMKRTMRRPLPSGRISPAHAAMWATSVGVAGTALLAWKANGLAAGLAASNLILYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASSELSLNAMILPAALYYWQIPHFMALAYLCRNDYLAGGYRMFSFADPTGKRTAWVSLRNCLYMLPLGFFAYNWGLTSEWFSLEASLLTLGLTIGALSFVLEPTPKTARRMFYGSLLYLPAFMAGLLLHRLPNEQKEHNVTQTSEITGILYGAEQQDEERARQKREDRKSSRIHSRPPVAYASVAPFPFLPVPIYVSTQGHEL >ORGLA01G0148700.1 pep chromosome:AGI1.1:1:13758691:13763580:-1 gene:ORGLA01G0148700 transcript:ORGLA01G0148700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 6 [Source:Projected from Arabidopsis thaliana (AT3G49500) TAIR;Acc:AT3G49500] MRSPRGGAAAQPPGRRGDTTAAAAGDLVTTQVSLGGFDAGVAAGDLADFLEHEVGLVWRCRVKTSWTPPDSYPDFALPTAPASASAAAAPPWYDRVPPHAFVHFARPEGARRAADLAGETRLILRGKPLRVASAPDSSLRVSRRSSIAPFRFPDVRLEVGALPSPGAFLAAWRGPDAGLDLSVDPFDGCCRLVFTRDTAFTFPGFREVAAIRCDVKLEFPVRDVLEVRLYRLDCSLLLRLAAAPLVHYRTADDDFHEPVPFDLLDDDDPWIRTTDITPSGAIGRCGVYRISFSARFWPKMDRALDYMRERRVAIVDCGGGWGPRRGLTVRDELEFGEPMQDVFFCLQHAEGLKFPLLFMVNALVHKGIINQHQLTPEFFSLLGRSEENVNVAALRDFWGDKFPVFDACGRLKKALNRVARNPKLLCSKVGDDHAEVRRLVITPTRAYCLPPEVERSNRVLRHYHEVADRFLRVTFMDEGMQVLNNNVLNSFTAPIVKDLMSNFFQQKTTVYKRVRMLLTEGFHMCGRKYSFLAFSSNQLRDKSAWFFAEDRKTTVEAIRKWMGRFTSKNVAKHAARMGQCFSSTYATVTMRPDEVDESFDDVVHNEYIFSDGIGKITPDLALEVAERLQLTDNPPSAYQIRFAGFKGVIAVWQGHGDGTRLFLRPSMRKFESNHLVLEVVSWTKFQPGFLNRQIIILLSSLNVPDSIFWQMQETMLSNLNNILSDRDVAFEVLTTSCADDGNTAALMLSAGFEPRTEPHLKAMLLAIRSAQLQDLLEKARIFVPKGRWLMGCLDELGVLEQGQCFIRATVPSLNSYFVKHGSRFSSTDKNTEVILGTVVIAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPQKGERPHANEASGSDLDGDLYFVTWDEKLIPPGKKSWNPMDYSPPEAKQLPRQVSQHDIIDFFLKNMISENLGRICNAHVVHADLSEYGAMDEKCIHLAELAATAVDFPKTGKLAIMPPHLKPKVYPDFMGKEDGQSYKSEKILGRLYRSIQEASNGDVVSQEVCTPNDLPYDIDLEVPGASDFLASAWQCKCSYDAQLSALLSQYRVRTEAELVTGHITSLVKNSSKKQGDIKDRLKTAYSALRKEFKSTFESIASDQCEIGDDEKNLLYEMKASAWYQVTYHPKWVEKSRGILGPDGEEIPASLSFAWIPVDYLARIKLRCHGKVRVEGQKPVERLAAYISERI >ORGLA01G0148600.1 pep chromosome:AGI1.1:1:13741702:13756894:1 gene:ORGLA01G0148600 transcript:ORGLA01G0148600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRFAQLATTSDDDDDDAPAADAPPKAKPPPPPSSSSGPKKPKQRRLASAAADDDDDVEEDDLELEEEEEDEKDLEEMRRNEEEERREETQTRRRRRRRGRKPKRPAEESEEEEEEEEEEEAKAEEAREEENTEAVPIGEPVKITGRGKKQRKHYTSFEYEGNTFELEDPVLLTPEDSKEKPYVAILKDITETEGSLSVTGQWFYRPEEADKKGGGSWKASDTRELFYSFHIDDVPAESVMHKCVVHFIPQHKKIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTIDRIGQLSDIEPADAPGDNNDQLSNKRGLRKRPVLPIDVSRDDALAGKSEQFGKAETPGSDKLKNYATLVKYKAVTGDQYRDRWLDKLVDTIPLTSKESAGASHADPGGATKSSTNGSSAKEDNEKSYSPDVIVSIMASLERSTYEALGSDFQKYNQKLRQLLFNIKNSPVLRNRLMNKELDPPVLLTMSPDELKVGLTAAERTSEPEESRKLQMTDARCVRCAEKEVGVSDIIHAGHGDRYQLECNACGHSWFSSRDAITTLTVDTPTSAGGSVGTAPWATAKFDVMEKQLTSPRDHQPDKPLADALHKSAAPYMPTLEKQKSFGKHKPDEPSSAPAAGHE >ORGLA01G0148500.1 pep chromosome:AGI1.1:1:13714115:13714523:1 gene:ORGLA01G0148500 transcript:ORGLA01G0148500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGYRQVVGGIPHRRSSGPDPPPPGTPVPFLVALHLRLAACGAQSTSTSSVAASSVSLLAEPGHCFIIGNCFLSGLLLTEPSSRAGGWDQG >ORGLA01G0148400.1 pep chromosome:AGI1.1:1:13707725:13708753:-1 gene:ORGLA01G0148400 transcript:ORGLA01G0148400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WIGLHSQQTSCSPSPAICASRKTLSASVPSARSGEPSCRMKSTLSSSPGSWRAAGLRTNIPKIVFYSLSTLKTIKVRVPDMKGKRVAASGSGHLVAIDNDDDLSAVLVNPLSGKTTALPRLPEFFHDNGTHGWVTGEGVITLVLYNWMSESMALWYHGGGITMKGWAIVPGRKLWLRMPYYLRMLAAHGDQMEMHLTDLDRDNDNSVVLLQETQKIELLGGCWHGSDELFKATTPYHHEWFSLYRMVEQEEIPVHDIKNAIGVXSRDSCTRTYMIPASCDFAALCSRNAFYYLRKQFDDGGSYYALYKKCLASEELTFVKRLPEDWKLSDEWFMPTLKIGTL >ORGLA01G0148300.1 pep chromosome:AGI1.1:1:13703004:13703240:-1 gene:ORGLA01G0148300 transcript:ORGLA01G0148300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDEQCTENKGGAASKQRHPSDGAVAWTVAAAYAKEPCAEAETVTVVAGKVATTACFFF >ORGLA01G0148200.1 pep chromosome:AGI1.1:1:13683786:13684808:-1 gene:ORGLA01G0148200 transcript:ORGLA01G0148200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWASLPADLLFSISSHLREPEDFVRFRAVCPQWRAAVSHKEHAFFQPWIMASRWLEDEYSENVLFYSLSTLKTIQVHVPDMKSRRVAASGSSHLIAIDKDDDLSAVLINPLSGKTTALPRLPKFFHDNGAHGWITGEGVITVVLNNWTSENMALWYRGGGITMKGWAVVPRWKLRLRMSHYLRKLAAYGDQMEMHLIDLGGDNEDSVVLLQETEKVELLGGCWPRSDEVFKATRPCHHEWFSLYRNVEQEEIPVHDIGNAMVVQSRDSCTRTYMIPASHDFAALGSRNAFYYLWKQFDAGGSYNALFKKCLASEVLTFVKRLPEDWKLSDEWFMPSLKY >ORGLA01G0148100.1 pep chromosome:AGI1.1:1:13667391:13670690:1 gene:ORGLA01G0148100 transcript:ORGLA01G0148100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA processing protein-related [Source:Projected from Arabidopsis thaliana (AT5G61330) TAIR;Acc:AT5G61330] MAPGTTLAPKRRKAEASPSPSHSPMGDSSDGGYSDSDLHDAEESFYSARSGSEDDRQVSSSNDDDDSEEEEKEEREMDEEEDEEDDDDEEMNEEDDEDEGEEMNELEKEYRTLQTNQQNILETLKQHRDDDVSKGQAVKNQKVLWDKALEMRFLLQKAFSTSNKLPKEPIRSMFCDHNQEIEQAYLDLLNSSKQTLGSMMELQEALLERNRATKDVTDTDNSSELNGEDDEWSEVQKLQKRITLFRNSEIDKWQRKTQVTTGAAALKGKLHAFNQNISDQVTSYMRDPSRMINRMHLRKSTLSVFGEEVGEHENNKEENNTEGDPELVDDSEFYQQLLKEFLESCDAGASESAFYALKKQQHKKRKLVDRRASKSRKIRYHVHEKIANFMAPVPMVIPPMAPKLFENLFGMGNQKSTTA >ORGLA01G0148000.1 pep chromosome:AGI1.1:1:13661936:13665253:1 gene:ORGLA01G0148000 transcript:ORGLA01G0148000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G30480) TAIR;Acc:AT1G30480] MLGGLYGDLPPPSSSADDDKPSAAGWSSAAKMAPPTLRKPPATFAPPPSVLRNSRPAPKAPAAQPPPPPTLPVETTTSTSFQPALVAVQSTVMEEYDPARPNDYEDYRKDKLKRAKEAEVRKELERRRREEEERERERELREREGRDALNISGEEAWKRRAAMSGSAAPRPSSSPPHGDGFAIGNSSSSGLGLGAGGQMTAAQRMMARMGWKEGQGLGKQEQGITAPLVAKKTDRRGGVIVDENSSKQEKKPKSVNFDGPPTRVLLLRNMVGPGEVDDELEEEVASECSKYGTVLRVLIFEITQADFPAEEAVRIFILFERAEEATKAMIDLEGRFFGGRVVRATFFDEERFGKNQLAPMPGEVAGFD >ORGLA01G0147900.1 pep chromosome:AGI1.1:1:13639176:13647280:1 gene:ORGLA01G0147900 transcript:ORGLA01G0147900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGATSMVMQKDRHGVLHHYSFRGGIGVNRIPFHRFCYYWNHIRTPIRLSCCKMQIVYSSSILKVS >ORGLA01G0147800.1 pep chromosome:AGI1.1:1:13625136:13625345:-1 gene:ORGLA01G0147800 transcript:ORGLA01G0147800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLVDFRVASTVSVNISLPNTYVKRTSTTTASRMGTNLDIMLINNMVPLYSGSFCKSSRRRQSYAEQGIL >ORGLA01G0147700.1 pep chromosome:AGI1.1:1:13606177:13618985:1 gene:ORGLA01G0147700 transcript:ORGLA01G0147700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVVSTAGGKAKLNWMILRWAFIDKAISSFGALALAWATIVLLGGFSTLIKQKDFWFVTIISFMEAARLFNSAVDPRDQFILNAPEVVAAQTERFEAMENGSWQRHISINRQVSSSSVTAQGLRAMVTSYYSKRCSCGFFLAKLLLMGFALVQYSAAVASVVLAALRLSKQDYVDPADQGSSDHKSINGSLNLFYGLVLVQGACDLLAQAIFAVADIQLVLRITEAYQLGPLGKQMVTHYMLVTYLRCSGGNVREAMNMDLVSFAMELVRSNSVADRLVGVRVLDSILRVPQYRALALMRLRASADTVGGVVSMLGLTNNTREEVNTRGHAAGVILELSRDLLLESFPAMLPIVSSLIVAADNSGNDVTVSMEFTWFGVKILNKIMDNPDNCNKVADADGQVIASIVNLTDVTGDDRSLSIVSSSAVRDEEIILEAVQVLHKLVSAAGDSGRVLRCKVSDNVYVLRNISKILQHPRSQVKLLVEAIGVLACLALDETGREEIASSPQIIRKLVSFLVPRSQMISEISADRRQLAKPNAEALVMLAMDNQSIVWKIQEELKPQDMQKLVEMLSADSTGFKTNVAKLSVILHANSRAEHAHLQKTIINTALPALLKAIKSEVEKLEDPVLYAGEHANNFQEWRTKQGALLESFVGLSVQICTSIHASDFNEALRSANVTVYMVMQKLRKILDLYKSPAIEFPGIRRVAVELIIWMKQCSSHCNEVFFQCEMDKALKEVAGTEERLEMFKIFYYGVGIVKHSEPISSLVNLALGL >ORGLA01G0147600.1 pep chromosome:AGI1.1:1:13590210:13591259:1 gene:ORGLA01G0147600 transcript:ORGLA01G0147600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEQSPHEAAIYRRGNGGNALLVGVYVDDLVITGTKDAEVAAFKEEMKATFQMSDLGPLSFYLGIEVHQDDSGITLRQTAYAKRVVELAGLTDCNPALTPMEERLKLSRDSTTEEVDATQYRRLVGSLRYLTHTRPDLAFSVGYVVALSSCEAEYMAASAASTQALWLARLLGDLLGRDIGAVELRVDNKSALALAKNPVFHERSKHIRVRYHFIRSYLEEGSIKASYINTKNQLADLLTKPLGRIKFLELCSRIGMAQLPHKTTHKT >ORGLA01G0147500.1 pep chromosome:AGI1.1:1:13562951:13572802:1 gene:ORGLA01G0147500 transcript:ORGLA01G0147500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G65280) TAIR;Acc:AT1G65280] MGRGDEAEKEGKRRRGRGRSSRRSRDASSDPDSASSPSPSSASSPSRSPDRRSRSRSSGSKRRKASSSSRRHRHHHHKSSGRSRRSRDDDDERRRRRRRRRDEERGRRGGDASESSGSGSEEPDRAEEAREIVRDILGDFPAVAGELRQLLQMIDNGEGIDISGISDKPLVKRLKKLFRSLKLRESSNGAYLLPPKSVPTLDVVGSALVASGELADNENKNPASPTRQEQPSSNFDVQNKNDSTPEEPAKNDADEQPPKRRVIGPAMPSRELLAAAAEMTEALRSRDAEVEADDGFLIGPPPPAMVAEAASANEAERFEEVTRILAADENSPYDVVGINWKMSSDNIKKRYWKLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPEKRGVIDEKIKKKEEMEQFEVELKAMREAAEWRRLQGVSLEGDDELLAVPKQAQAQAPKRDEWMTTLPPERKPGVPMHSTTSFSMNGKEGRGDTSVWTDTPLERAQKAQQNYLEAYNKAKAIADADVRKD >ORGLA01G0147400.1 pep chromosome:AGI1.1:1:13551474:13557699:1 gene:ORGLA01G0147400 transcript:ORGLA01G0147400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEFVDNVIAVIKESVKTFTYESLNNIARLINGISALLLTLLPGKANMLEGISGWELRPSFRGPRLPRWMESGVSSFNEFIHELSVDSDGESVTDSIPGDDHKGAHFVLSPSEVWKKLFCWIHGSSSPVVDVPTANVGSDNPAPTERKTIYRHPLNTDSRTCEDVITELGYPFEAIKVVTSDGYVLLLERIPRRDSQKVVLLQHGILDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHVDKNISSYKYWKYSVNEHGTKDMPAIVEEIHKIKISELGKSRPHISEETEDQNDKIKNFEVQASQDDERDNQPYKLCAVCHSLGGAVMLMYVVTSRIAQKPHRLSRLVLLSPAGFHEDSNVVFSMVEKLILFVGPVLAPFIPGLYIPTRFFRMLLNKLARDFNNYPALGGLVQTLMGYVVGGDSSNWVGVLGLPHYNMDDMPGVSFHVALHLAQIKRAKRFQMYDYGSPVANMEAYGTPEPLDLGAHYGLIGIPVDLVAGQRDRVISPSMVKKHYKLMRKAGVEVSYNEFEYAHLDFTFSHREELLSYVMSRLLLVSDPGKGRIKQTSVRLRKLKKAQSEIENDSDSKEHNGIDNVVTDEQNGHVHAA >ORGLA01G0147300.1 pep chromosome:AGI1.1:1:13533868:13536001:1 gene:ORGLA01G0147300 transcript:ORGLA01G0147300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKELLVFVIILLVVAGEVAAVSADRANPMNHASTRPMDEGGMNVVKRDSLEYARRVTNFVIGATISRSLSRTEHLLCPCADCMNERQFPANQVHEHLLTRGFMRNCACQDNHGEDENDNVHNHGDGMDGENAELPTDEGGYDSEESDGLDQMLRDGETA >ORGLA01G0147200.1 pep chromosome:AGI1.1:1:13508459:13509027:1 gene:ORGLA01G0147200 transcript:ORGLA01G0147200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAALAALLLFMVVAANGEVGHGGHAAVPLRRSLGLGWMTGLKGGPPTGMQPSSIRPAATGEGGRRLSSEGEKFIHTLPAFKRPPIPPTSN >ORGLA01G0147100.1 pep chromosome:AGI1.1:1:13469173:13469700:-1 gene:ORGLA01G0147100 transcript:ORGLA01G0147100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYKVMPMEFQDEAIVVFNSEDKTITCSCHMYECIGMLCKHALRVFNINEVFILPTQYILNRWTKYAKRGFYFEKEQISDNETLRTHAARISRKATSVALKCSVSKELLDDMEKAIDKLDLEADNSLSKIHTKTYEVSQSSNGCVGDILKG >ORGLA01G0147000.1 pep chromosome:AGI1.1:1:13455801:13456869:-1 gene:ORGLA01G0147000 transcript:ORGLA01G0147000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNHISLEEITEYESVITKEFRSEDEGYKFYNDYAWSKGFSIRKDNVRYNGDDFNDGHAHPLAKHDQVAFLRSHRNLSDAQKAEVVELGVSGLRTCNIMDVMEKNHGGYDQIIGYVHGTLRRTQNAISMGMRSPNFVDSSTK >ORGLA01G0146900.1 pep chromosome:AGI1.1:1:13454039:13455090:-1 gene:ORGLA01G0146900 transcript:ORGLA01G0146900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYRLLRNIANEALVKASLSFERSQQVIDFFENFMREGAENEGSVNETTLGPLPVHFSASNQPSGALDEDHGVVVYPVWWSVAPPAVQRWGDVPGQHNCGAPGCPEMIPAEAHSFVDCVARLQFIADYLLLKECNEQINLVAFLNIRNK >ORGLA01G0146800.1 pep chromosome:AGI1.1:1:13450986:13452814:1 gene:ORGLA01G0146800 transcript:ORGLA01G0146800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SMDFLLYFXVSGSLNNIQFDLPVEIVEKVNHFANEVTEMRRGFDNILMVQELQMQQIADLTHGVSRYPRKKEISIDPANSIAKRVRGLDGRPIIANKKFDYDRRTFVENKKDFDSEATFPEQCTVIDIQSLDRENTIVHIDDVVLIGANLECLTKLYCYDDDKKSISPEIIDAFVEHYGHTKSVVDGNAYIERASVVSMLMLSAFYDRVYAYVISFLXYDARISWPXIFAPXYGLPSNMYFIKALLQGVEKCIKLAMDSDVHYPTWTDFNVTNWDISIRYLARKKDRTSSGLFIIKFIEYWNGVCLTKTFFQEDIDEYRRKLAAILYNSPSNKIQNHVQAISEEI >ORGLA01G0146700.1 pep chromosome:AGI1.1:1:13445427:13448636:-1 gene:ORGLA01G0146700 transcript:ORGLA01G0146700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNNIRANKPGTQVCSQVAYWKFMQTLDKDQLQAIDDLGFGGLTKMNGVQIRRILCKQIAREYDEHTGAFNINGTMHEITIEDVDHILGVPSEGVELVEVPQAIQADVDDPKEKEKNEALQATKAALFALYKDKRETKITLSALRDSLNLNKSCDDHFKRQFVLYTIGLILCPTTERFVHSDYLNLLINIADIKRTNWASLTLNHLKRSIVSFQHDKVNLKGNQILLLVGVISIQIMVYACMDRPLIQYWDEKKARKREKILYGVGQIVNDIKLPIEATKEYTAKDHSGTDANQPSNMDNTKAQTTDMVDVQLQLKTMNEHLIILRKEIQAKKKKDTSNSPIAKRVQTTGRVRTSTVQLNTDFVYPENXXXXXXXXXXXXXXXXXXXXXXKCFKNFVTQHFIFVIEINWVVIAEFTCTEEDEFLIDYINTSPPDRVLIIDTYIMHLEHKYLEESQARRRVYMMKTFITGKISIDCVHEISKRQLEKGYISRITNQIAQNEQIFIPINRNKNQWYLAVVHVKQRQIQLLDSSPSTGLSFYRSSCGLFMLKCMEHWNGSKLTTKFKQGDIDIFRRKLAAILVGSTSNDNTDIPTYNK >ORGLA01G0146600.1 pep chromosome:AGI1.1:1:13442587:13443040:1 gene:ORGLA01G0146600 transcript:ORGLA01G0146600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTVRRSGAHELSAGGAARCERRACGGTGAPKRGLGVQARARKDGACAGARGRCCMLPGGGCTASLCLDVLRWFRAPIRVIGLDEFCDFGTVRDLPAPPPLTTGEVACHRHCAPVVST >ORGLA01G0146500.1 pep chromosome:AGI1.1:1:13436869:13437075:1 gene:ORGLA01G0146500 transcript:ORGLA01G0146500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSDPTLATEIAEVAAAKGYAAVDASVSGGDRGACKATLFIFAGSDAAVVTRLTPLFKLMGNALYMG >ORGLA01G0146400.1 pep chromosome:AGI1.1:1:13433887:13434897:-1 gene:ORGLA01G0146400 transcript:ORGLA01G0146400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACAWDALPEHLQERILSLLPLTALLPVAAASRALRRLLRSRAFHALLTPHRLDAFFLLSPRLAFHPLSRRLLPAPPAASPPVVSSPSHLVTAASLLRLPPLPATSYLLAVVLPIPASSSSSCSREFTLVAVTAGARVRSYTLDSADPYPRWDPRGDLPLPFALLGNAAVAGDRLQLFVLGRGPDALLVFDLATGQWTVMPVAMPLGLTTAHLFVFGGRLFLVGGVERFGVVERVLVWRLEDSEAAAEWTEVGAMPEEVFNELVAGRHGSFWHFQAADRMGIVCLYNAVDGRLVMFDAADGEWTKLSRVSGLDVEESRRWFGHVVEPRVELLLG >ORGLA01G0146300.1 pep chromosome:AGI1.1:1:13402467:13409215:-1 gene:ORGLA01G0146300 transcript:ORGLA01G0146300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase [Source:UniProtKB/TrEMBL;Acc:I1NNM3] MGRGAPSSHPPPWRRLLLRYAFLCALLPWGTSEANEQLAEFPPRGWNSYDSFSWIVDENTYMQNAEILAEKLLPHGYEYAVIDYLWYRKYVDGAYTDSYGFDNIDEWGRPFPDLQRFPSSRIDKGFSQLANKVHGMGLKFGIHLMKGISLQAVNGNTPILDIKTGKPYVEDGRQWTARDIGLTHRTCAWMPHGFMSVNTDIGAGKAFLRSLYEQYTDWGVDFVKVDCIFGTDYSPKEIITISELLAELDRPIILSISPGTEVTPALAKNISQHVNMYRITGDDWDNWKDVSSHFDVSSSFAAVNKIGAIGLRGRSWPDLDMLPFGWLTNAGVNQGPHRQCELTSDEQRTQIALWSMAKSPLMYGGDLRHLDNDTLSIITNPTLLKINHYSINNMEFHHVYSERTSKEDKHSSRFISEDLVHLPKIDGVSLGLTACSDDKANGWYMFSQHGKSDHICRNYGMQDDKNISFCLGKTIPLLTSDDIIVHSEEYQTKFHLAIMDSDDACLDASGSQRRTSSDSKFPMFSRCRWHAMQMWELNEKGNLISSYSRLCATVESNNKGVVTTGAVARAWIATGSKGEIYLAFFNLDSMSRKITARILDLKKVLGSTFIRKDTCSCTELWSGRNFGRVEEEISAVVKSHGSMVFEITC >ORGLA01G0146200.1 pep chromosome:AGI1.1:1:13399587:13401641:1 gene:ORGLA01G0146200 transcript:ORGLA01G0146200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMIPKPLISNDNEMMHGHGYTTMVHGNDEILNGNELAVHAEAIPSASTRGQKRKSAIWEHFTLVDVSDGCKRASCIHCNQSLAYSSGSKNSGTSHLTRHIAEWCRVLKDRQKSRRYTTYNSSNENASFDQERSCLRLAKMIILNDYPLHIVQQPAFLSFVDSVQPNFKMVDIGTIETEVYAIYLKEKDHLQQALANIPGRISLTVRSLATNQSIRYISLAAQFIDSEWRLHRRVLKVMMAPWPQSENAVGRAIIKCLSDWNMQDKLFTITLEHDCSSHDIYSANLRNHLSGDNILMLKGQTFAVSCYANILNAVAHGVLASVHNVIYLIRESIKFIKADDAHENKFAEIAVELKITSNNSLCLDVTSEWNTTYLMLLAALDYRQVFTLLESYYDNYGTAPSTEDWKKVEAACGFLKLLYAFTLNIMSAEGNHQTANMFFHDAWVLQLELQNGMAHGDDVIRGIVIGIHEKFDKYWEDCNVVLAIAVAMDPRFKMKMVEFAYSKIYGPTDAAKYVKVVDDAILDLYKEYAAQPELLPLSPIYVDQVPADGLPFIETGGAPATASPSTAAAGAGLVDFDMYLSEVTTMGQPFKHELELYLEEALTQRTPDFDVLKWWQENTRKYPTLSRMARDVLAIPMSTVGVGSSVFLPENGSRSLDDYRSSLRPELVEALLCAKDWLQYSP >ORGLA01G0146100.1 pep chromosome:AGI1.1:1:13386989:13387616:-1 gene:ORGLA01G0146100 transcript:ORGLA01G0146100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDNLALSVVCERSPGTAVEAASGRPPKAGSERARRQTVSRLYAELGALLPNLPPRASTTRIVEEAIACVGELRAKTAELEAYSAVAAGRAARDGAAEVVASGKTSCFAVWLRAARARPGALTRVLEVFQRHGVAVLAATVARDGEETAVTVTTAAVAPRVLETIKAEIICAA >ORGLA01G0146000.1 pep chromosome:AGI1.1:1:13377017:13378376:-1 gene:ORGLA01G0146000 transcript:ORGLA01G0146000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSVPSWVELLLSTQFFTTCSSHLISARNECNFFCIDCQTPQASFCYYCRLSHHSSHHVIQIRRSSYHDVVKVSELEDILDISDVQTYVINSSRVVYLTERPQLRSCGVSNAKLSSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA01G0145900.1 pep chromosome:AGI1.1:1:13371294:13373328:1 gene:ORGLA01G0145900 transcript:ORGLA01G0145900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATAATLLLAVSGLASGLVVPADERCHSTDNAVLGIDIGATYSCVAVYCKGRVEIIPNDQGNRLTPSWVAEGGGRLVVGEAAKEQAAGSPGRAVVHDFMRLLGKKFGDDDVQREMTRLPYAVVDMEGKPHVRVEAADGDVRVLSPEEIAAAVLAKMKETAEAHLGRTVSSAVVAVPVYFNDAQRRAISDAGGIAGLDVMRIVSEPIAAAVAYGLDNAKSDGKRVVVFDLGGETLDVTALVADNGFFDVLATNGDGAQHQVRVEIEALLDGGVDLSETLTRAQFEELNDDLFARTMAPLRKTMADAGLEKGDIDEIIPVGGSTRIPKVQQLIRDYFDGKKEIVKVNNPDETVAYGAAVIGRHVAGDDDDKPTMLGPLDLPSFLSDTISIETAGGAVTPMIPRRSRLPAERTHVFTTYLGRQTAVAINVFQGEGSTAKDNTLLGRLELTGIPPASVWNWGWRWRPIQVTVKVDELGDIHVEAADEGSGKSERLSIVSGEGHEHGRLSKEEIDRMIREVAEDLVEEERIVKERVDALNMLETYIVKNTAVTGGETDCEAKARAASEWLDGNPAAEKEDYEEKLKELEDACGPFMAAVHETSGLG >ORGLA01G0145800.1 pep chromosome:AGI1.1:1:13366640:13367411:-1 gene:ORGLA01G0145800 transcript:ORGLA01G0145800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEFVPPWLELLLSTQFFNTCTSHHNSPRNECNLFCIDCQAPEAAFCYYCRSCHHSSHRVIQIRRSSYHDVVKVSELEDILDISNVQTYVINSARVVFLNERPQLRGCGVLAIKSSPSSLSSYNCETCSRVLLDAFRFCSLGCNVSYKPLQQVLFKLILLCNLICSPILG >ORGLA01G0145700.1 pep chromosome:AGI1.1:1:13355457:13356894:-1 gene:ORGLA01G0145700 transcript:ORGLA01G0145700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMDNAIRFMFILSVVYGAAYAKKSEAKVASAPSLVAAANTVFDITELGAVADGKTDSTKAVQDAWDAACGLAGSQKVVIPKGEFMTGSLNFSGPCKGYVTVQIDGTMFGSNDIPKYNKGNWIEILHIDNVLVNGSGTLDGQGAAVWKDECKILPNTLVLDYVKNGTVSGLKLVNAKFFHINVYMSKGVTIKNVTITAVANSPNTDGVHIGDSSEISVSDLTIATGDDCISVGPGSSWISIQGITCGPGQGISVGCLGRFKDEKDVTDVTVRDCVLRNTSNGVRIKSYEDVLSPITVSRLTFENIQMDGVANPVIVDQKYCPEKDCPEKKGSKTVTIKNVTFRNITGTSNTPEAVSLLCSDQLPCSGMELLDVNLKYNGKDNKTMAVCTNAKGISKGSLQALDCL >ORGLA01G0145600.1 pep chromosome:AGI1.1:1:13342131:13342619:1 gene:ORGLA01G0145600 transcript:ORGLA01G0145600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDELVERRRTATAPCDAXDGHRLPDVAPAAGATREPEHARLIARRKENTYRRTAFGNREGSNPLLAARRCCSAALLARRHSVEQAAGADACVIGIRKDLRQRQQVPPRAEIGHTIQGKLASTHTSEYLTPAGTQDDRRRSRLSTTLVGNWKEERSVGLRQD >ORGLA01G0145500.1 pep chromosome:AGI1.1:1:13320558:13323466:-1 gene:ORGLA01G0145500 transcript:ORGLA01G0145500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATARPAPRPLPLPLPRSPLLPRFTQYNSPDDPPRGLRGARRLTLSPSPARRRARSLHLRCRLSDSASDPDPLRVAFACGGAGGHVYAAIALADELHASLPSSASLFLGAPPPSLESDAAASAPYPFAPIPRCLPHAILDAALHLRRFRPHVLVATGGAPALPACLAALLFGVPFVIQDQDAGPAPATRLLAPLARRIFLGFNAPVRLLPKRKCAVYGNPVRMSIRKCRISKADAMASFFPRIGTVGEEGVEVLLVLGGTEGSPEINVALLNMYYEMLRERRNRYIIWQTGPEGFCEMESLVRSHRRLLLTPFLHELDKAYAAADVVVSRAGSMSCTEVLVTGKPSILVSYTVMELHFFSTYVLYVFYLL >ORGLA01G0145400.1 pep chromosome:AGI1.1:1:13311462:13313722:1 gene:ORGLA01G0145400 transcript:ORGLA01G0145400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGSGGVVKHILLARFKEDVAPERLDQLIRGYAGLVDLVPSMKAFHWGTDVSIENMHQGFTHVFESTFESTEGVKEYIEHPAHVEFANEFLPVLEKTLIIDYKPTIVNNS >ORGLA01G0145300.1 pep chromosome:AGI1.1:1:13297187:13304546:1 gene:ORGLA01G0145300 transcript:ORGLA01G0145300.1 gene_biotype:protein_coding transcript_biotype:protein_coding WQRRPRRQGGVACXSTLCWRGSRRRXRRSDWTTSSVASAASXTSSLPXRPSTGSGTDVSIENMHHGFTHVFECTFESTEGVKEYIEHPAHLEFAKEILLAMEKTLIIDYMPTAVNNS >ORGLA01G0145200.1 pep chromosome:AGI1.1:1:13219906:13221421:1 gene:ORGLA01G0145200 transcript:ORGLA01G0145200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGGIPSELGNLKNLVKLSLSTNRLTGEIPPEIGKLVNLNLIDLRNNQLSGKVPNQIGQLKSLEILDFSSNQLSGAIPDDLGNCFKLQSLKMSNNSLNGSIPSTLGHLLSLQSMLDLSQNNLSGPIPSELGMLEMLMYVNLSHNQFTGAIPGSIASMQSLSVFDVSYNVLEGPIPRPLHNASAKWFVHNKGLCGELAGLSHCYLPPYHRKTRLKLIVEVSAPVFLAIISIVATVFLLSVCRKKLSQENNNVVKKNDIFSVWSFDGKMAFDDIISATDNFDEKHCIGEGAYGRVYKAELEDKQVFAVNKLHPDDEDTVHDEERFQIEIEMLAKIRHRSIVKLYGFCCHPRYRFLVCQYIERGNLASILNNEEVAIEFYWMRRTTLIRDVAQAITYLHDCQPPIIHRDITSGNILLDVDYRAYVSDFGIARILKPDSSNWSALAGTYGYWLYSTXIVLHLLSHREM >ORGLA01G0145100.1 pep chromosome:AGI1.1:1:13201091:13203630:1 gene:ORGLA01G0145100 transcript:ORGLA01G0145100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLLLLALPAAAGGLAADGQALLAFKAAVLRDPEGALADWDASTAADPCAWNGVSCGAGSGAGGADRRVVALSLPRKGLVGSLPASPLPASLRHLNLRSNRLFGELPAPLLSAAAGLQSVVLYGNELYGPIPPELGDLPYLQILDLSSNSLNGTLPPAILRCRRLRSLALGWNNLTGALPQGFARGLSALEHLDLSHNRFSGAVPEDIGNLSRLEGTVDLSHNQFSGQIPASLGRLPEKVYIDLTYNNLSGPIPQNGALENRGPTAFVGNPGLCGPPLKNPCSPDAMPSSNPFVPKDGGSGAPGAGKNKGLGKVAIVAVVLSDVVGILIIALVFFYCYWRAVSSKEKGNGGAAGSKGSRCGKDCGCFSRDESATPSEHTEQYDLVPLDQQVRFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTMAVRRLGEGGLQRFKEFQTEVEAIGKVRHPSIVTLRAYYWSYDEKLLIYDYIPNGSLSAAIHGKPGTMTFTPLPWDGRLKIMQGVAKGLSFLHEFSPKKYVHGDLRPNNVLLGSNMEPYISDFGLGRLANIAGGSPFTQSDHAGIEKAQSQQSDASVSPLVGKGSCYQAPEALKTLKPSQKWDVYSYGVILLEMITGRSPVVLLETMQMDLVQWVQFCIEEKKPSADVLDPSLARDSEREDEMIAALKVALACVQANPERRPSMRHVAETLDQLNGSG >ORGLA01G0145000.1 pep chromosome:AGI1.1:1:13182640:13188574:1 gene:ORGLA01G0145000 transcript:ORGLA01G0145000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDGLVVVSDPYLQRRFSQADLRALQAQYAALRDAAPSGRLRLRDLPAALSSAGVGAGKGGDAEKENSAPAAAQLTEEEWASVLKAVARADERPHQGVGFELFLRVYAEMQLRLKGAAAGKKAGGGGGGIARSSSSSAAAFLTAATTTLLHTISESEKASYVGHINAYLAEDPFLNTALPIDPATDHLFHLTKDGVLLCKLINLAVPGTIDERAINTKKLLNLWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLILGLISQIIKIQLLADVNLKSTPQLVELVEDSKEMEELMSLSPEKILLRWMNFQLKKGGFQRTVTNFSSDIKDSEAYACLLNVLAPECSAKPSPMSVKDLLHRARLVLEHADRMGCKRYLTPKDIVDGLPNLNLAFVAHIFQKRNGLSKQMKQVSFVDGLSDDAQVSREERSFRLWINSLGISTYINNVFEDLRNGWVLLEVIDKIAPGSVNWKMANRPPIKLPFRKVENCNQVLKIGKELKFSLVNIAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLRFHSNGKEITDNDILIWANKKVKDSGKHSRMESFKDRSLSSGIFFLNLLGAVEPRVVNWSLVTKGEKDEEKQMNASYIISVARKLGCSIFLLPEDILEVNQKMMLTLTASIMYWHLKKPTSFSLDTENGSSCETSSISTSDDSASESSFDDSGAR >ORGLA01G0144900.1 pep chromosome:AGI1.1:1:13171467:13172808:1 gene:ORGLA01G0144900 transcript:ORGLA01G0144900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAAAAAARRAAPPPLLPPVRLSRSPCYPHQVFLPLQPYPGHRPPALLTAYTNAGLPAAASRLFDEMPARDAVAWNARPGTAAYAFRGMATARFAPTAATLCTMALRPGCCVENSRSFMDAFLILGRIELNGIALTCALTACSATANLMYSMLVQCKAVRHGSTSQTILCNALIDMYAKCGRTMAARIVFDQMACRNVVSWSSMIDAYSCHGHGEAALGLFKRMEKVAPVVLPNEVTFLAVLSACGQSGLVDEGGAMLHLMKRQYGINPGPEHYACFIDLLGRAGQNDEAWYLYCSSITTRSELSGSICVAMLNACKANMDVLRGNKVALHLLEVDPENPGSHVLISNFHAVARQWSESDEPRRIILDKGSLGVQLIDFNSWY >ORGLA01G0144800.1 pep chromosome:AGI1.1:1:13158835:13168215:1 gene:ORGLA01G0144800 transcript:ORGLA01G0144800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGAGAGEERWASLCNCVVNFLLEERYHLTALELLQELQEDGRHAHALRLRSFFSDPALFPPDLVARASSAPPGADPQSLLEEKIAAEEKLALTDYDLRLAREDLSRLKLELQKQKESSPDGSNATDALTNEGSSHHDKRDAKISTLGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWINSSACVPDALRRYYYQYLSSTTEAAEEKISILRENETLLKDNESLGAEKDALIKSREVANSQIAALRKSLEAAHKDIKEKEKTVQDLKQSLDVQRKELNDCRAEITSLKMHIEGTRSSKRLSSGDTDGLIPANSMEEIVVLSSEHDNLKGSESITSKLASEVSLAEGKKKDHENMESSLEGSPGPEAEVSCSTAENSGYGTSGEDKSGTNTCFEDLSVNGNLHGSGNSQGDSDSISVYLTDDKVHTEKVESPYKQKSSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIEKHPDSDVRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLTLLLPLFPNLDKYYKVEELMFQLVCDPSGAVVNVALKELVPAVVRWGDKLDQILRILLAHILASAQRCPPVSGVEGAIDSHLRVLGEQERWNIDVLLRMLTELLPFIHQKAISTCPFAADPSTGTMPESYFSKSCLKLYAAGDTEWSAFEWMHTECLPDLIKLACLLPAKEDNLRTVIRKYLLDVSGRYGIDYLEHVMLPVFLVAAGDIDSSDFTYFPLATQSRVRGLRPKTSIAEKLGIVCVLPLLLSGILGYPSKRQQLEEYLRKLLIQNTKDGSFSMHHTAEIIDAVRFLCMFEEHHVAVFNIVWEMVVISDANLKTNAAALLKALVPYISVKVASTHVLPALITLGSDQNLTVKYASIEAFGAVAQHFKNDMVVDKIRIQMDAFLEDGSHEATVSVIRALAVAVPHTTDRLREYILSTIFKLTSAPPTGNDIERRREIANVFCEALRAVDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEVIARERSGGKLESLGKVMGAHLGIASSMSSFFGESSLLVKKESGEQHDTAATTPSQPTPQTQQENTRFGRIMLGGFGDMLRGKAKGSDEPS >ORGLA01G0144700.1 pep chromosome:AGI1.1:1:13153945:13158242:-1 gene:ORGLA01G0144700 transcript:ORGLA01G0144700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTAQTLKAIPLITKIRHERHKKHITERQKQGKTKQRERDAKELEQDIQMLPKKDTMLSTQKTKVVVKVSQQQTEENLMEE >ORGLA01G0144600.1 pep chromosome:AGI1.1:1:13147138:13152142:1 gene:ORGLA01G0144600 transcript:ORGLA01G0144600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRPPSTPASKIERTPMSTPTPGGSTRVKEEKIFVTVRVRPLSKKELALKDQVAWECDDNQTILYKGPPQDRAAPTSYTFDKVFGPASQTDVVYEEGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVNDIYRHIENTPERDFIIKISAMEIYNEIVKDLLRPESTNLRLLDDPEKGTIVEKLEEEIAKDSQHLRHLISICEEQRQVGETALNDTSSRSHQIIRLTVESRLREVSGCVKSFVANLNFVDLAGSERAAQTHAVGARLKEGCHINRSLLTLTTVIRKLSSDKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPAQTHVEQSRNTLFFATCAKEVTNNAKVNMVVSDKQLVKHLQMEVARLEAELRTPDRASSSEIIIMERDRKIRQMEKEMEELKKQRDNAQLKLEELQKKMGDNQPGWNPFDSPQRTRKCLTYSGSLQPSNKMKIRSSIRQSATAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVECHKHGNQDAAETIAKLQAEIRGMQSVRSDRDVDMITDEGNGSDLKEEISRLHMQDNDIAKLEAKLENVQRSIDRLVMSLPNVGTQCNETTPKSNRAKKKKRMLLPLGVSNINRPNLIRAPCSPLSSSRPLEPEVENRAPEGDTVSHEGSERATPTKSEDTGDVSSRDETPRYRRSSSVNMKKMQKMFQNAAEENVRNIRAYVTELKERVAKLQYQKQLLVCQVLELESNEGKANDMEEDSEENAGSLQDGPDSWDRLFKEQMQHIIQLWDLCHVSIIHRTQFYLLFRGDRADQIYIEVEVRRLTWLQQHFAEVGDASPAAGDDSTISLASSIKALRNEREFLARRMGSRLTEEERERLFIKWQVPLEAKQRKLQLVNRLWTDPNDQAHIDESADIVARLVGFCEGGNISKEMFELNFAVPASRKPWLMGWQPISNMIREKTQLW >ORGLA01G0144500.1 pep chromosome:AGI1.1:1:13135920:13137597:-1 gene:ORGLA01G0144500 transcript:ORGLA01G0144500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIRVPRSQRAKRELLKHAPKLVETGKKTLILHGTKTSAVLNSVLADLFHLKRDNAVKYSKKNDNIRPFESGGETSLEFFSLKTDCSLIVYGSHSKKRPNNLVLGRTYDHHIYDLVEVGVENYKSIESYVYDKKLAPKLGSKPFFAFIGEHFESVEELKHLKEVLLDLFKGEVVENLNLAGVDRVFVCTAISPTTVYMMHCALRLKRSGTSIPRIELVEVGPSMDLVVRRHRYPVESLKKEAMKTADHAKKMKNVTKDPVHGKLGKVYIPDQQIAKMSLSNDVKGLKRERREAKKNKDHSKKQKINPE >ORGLA01G0144400.1 pep chromosome:AGI1.1:1:13130861:13133032:-1 gene:ORGLA01G0144400 transcript:ORGLA01G0144400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G51160) TAIR;Acc:AT1G51160] MFSFGGGSSLTSVVPDATPAPAAPPGTGTGANAQVLYVFNRGGVCLLYREWHRPLRTLDPTQDQKLMFGLLFSLRSFTAKIDPTTAEKGNLGVPLLPGQGCSFYSFKTNTYKLNFLESPSGIKLILITHPRTGDQRDTLKHIYNLYVEYVVKNPLYAPGTPINRCELFNKHLDQYVKTLI >ORGLA01G0144300.1 pep chromosome:AGI1.1:1:13126764:13127021:-1 gene:ORGLA01G0144300 transcript:ORGLA01G0144300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPNACFYSTTNLCDIIVDLAAGNIRFYQQLAVLASLISLAHGWEIGSRHCSMSLSPSSYRPRQRYIAPTRSRKFLSSLMIPM >ORGLA01G0144200.1 pep chromosome:AGI1.1:1:13120052:13123209:1 gene:ORGLA01G0144200 transcript:ORGLA01G0144200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAVELGRRHGGDHRFYDASRVRRGYHHHGLPKARWAPAVHQEKAAEEPSPSPARAPVPPPGVAGNLERFVAAVTPFVPAQFPSKMQMQRAARGWRGCGVDAEREEAPHFFLRDVWEAYREWSAYGAGVPLVLDGCDGVVQYYVPYLSAIQLYGDPAVLRLSSGPSRHMMDDSDGEYHDSSSDASSDYELGRVKHLTQEGFSSDDGESGDLHGRLLFQYLEFDSPFCREPLTDKISSLSARFPGLRTLRSCDLSPRSWISVAWYPIYRIPTGPTLKDLDACFLTFHRLSTGPEEDNGGAVKYWGPGSKPTIPLSVFGMASYKFSNSIWSSTDGDRQLASFLQQAASDWLRDSRTSHPDYQFFASRGAYHR >ORGLA01G0144100.1 pep chromosome:AGI1.1:1:13099329:13108545:-1 gene:ORGLA01G0144100 transcript:ORGLA01G0144100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD+ transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G25380) TAIR;Acc:AT1G25380] MSEGRGGNGARVMVREAACNAIAGGSAGVISATVLCPLDVIKTRLQVYGLPSNLSSTAPPGRVIISGFQHILKNEGLPGLYRGLSPTIVALFPTWAVTFSVYNHLKGLLHSQGDNTGELSVQANILAASCAGIATAVATNPLWVVKTRLQTQGMRTGVVPYTSIWSALRRIAEEEGIRGLYSGLLPSLAGVTHVAIQLPVYENVKLYFAKRDNTTVDKLSPGKLAICSSGSKVAASIITYPHEVVRSKLQEQGRARHGAVHYTGVIDCIKQVYQKEGIPGFYRGCATNLLRTTPNAVITFTSYEMINRLMHQLLPH >ORGLA01G0144000.1 pep chromosome:AGI1.1:1:13085230:13092654:-1 gene:ORGLA01G0144000 transcript:ORGLA01G0144000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT1G68410) TAIR;Acc:AT1G68410] MSSPSPSSEAAAAHHHHHQRRQHAGAAGGSGLVPLAALIKEEARAERPMGSGSRICARDEEDGGGGAGAQGGRRWRRPLLRYGCAAQSKKGEDFFLLRTDCARPSTSSSSSSSLASSPPHTFAVFAVLDGHNGNAAAIYTRDNLLNHVLSAMPRGLSREEWLHALPRALVAGFVKTDKEFQHKGQTSGTTATFVIIDGWTITVASVGDSRCILDAQGGAVSLLTVDHRLEENVEERERVTASGGEVGRLSVVGGAEIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPYVKQVKLSNAGGRLIIASDGIWDALSSEAAAKCCRGLPAELAAKQVVKEALRTRGLKDDTTCIVVDMIPPDQTIRHPSPPKKINKLKSLIFRKKTKDHPNKLTKQLSAAGMVEELFEEGSAMLSERLGNDSSGRRTSSSLFTCAICQVDLEPSEGISVHAGSIFSSSSSKPWEGPFLCSDCRDKKDAMEGKRPSGVKVL >ORGLA01G0143900.1 pep chromosome:AGI1.1:1:13078298:13078564:-1 gene:ORGLA01G0143900 transcript:ORGLA01G0143900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTEATLALGRHARVACAWASGRSARSGVRAIEDGDKADHRLQLGLLSLRMMLAGDVDVASYDRREIDRWRGVMLLMKMIRKLYLLS >ORGLA01G0143800.1 pep chromosome:AGI1.1:1:13077319:13078040:1 gene:ORGLA01G0143800 transcript:ORGLA01G0143800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMELAPGDARFAKLAKELDDKAPPKAAAAPPAASEDQAAASSGKPKYRHDFYNGASEVVVTVFTKSVAAEHVSVDFGEQMLSVSVEVVPAKCRYSILPSKIEVRLAKVDEQVTWTSLEYTSKANNKLAATATTTTRKKVDWDKLEAEVKKEEKEEEVDTATPVVNRFFQQMYGNGDEDMRRAIMKSYVESYVLSTDWKDVGSKKIEASAPEGMELHKWEY >ORGLA01G0143700.1 pep chromosome:AGI1.1:1:13073372:13074100:1 gene:ORGLA01G0143700 transcript:ORGLA01G0143700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVQTVQCDQPSKQQLTEAAEAAAAAAAAASLRIFGYEVGGGGGGGGVAAAAGARREAADVVVGAGRRFECQYCCREFANSQALGGHQNAHKKERQQLKRARLQLAVAAAGTPAGMGFAAAQAPAPPPGHVIAVGHHAGSVASYALPRWVYLAAHHLQPPAVGLPFHATVQAAAGACHGGGDVETRLLSVAAAGRGSSSAGSSAHSYEVCAPAAPADDSDEEASAMGLDLHLSLAPASSA >ORGLA01G0143600.1 pep chromosome:AGI1.1:1:13069105:13069233:-1 gene:ORGLA01G0143600 transcript:ORGLA01G0143600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPRVMGGFSHGDGVASNGASPVPTITVDLPSCTDQGIGT >ORGLA01G0143500.1 pep chromosome:AGI1.1:1:13062344:13062598:-1 gene:ORGLA01G0143500 transcript:ORGLA01G0143500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQFPLLAKEIKVLMEGQPAIMELFPRLDGDQVVAIEKKLENLRWIDMKRKKKMAVKMAKIRKGLIYKLEGAAILADGNMIHY >ORGLA01G0143400.1 pep chromosome:AGI1.1:1:13051575:13053980:1 gene:ORGLA01G0143400 transcript:ORGLA01G0143400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:delta-adaptin [Source:Projected from Arabidopsis thaliana (AT1G48760) TAIR;Acc:AT1G48760] MASAPPAAPAPAPSLVDTLFQRSLDDLVKSLRADPSAAGESAAVARALSEIHREIRAPDAATKAVALQKLTYLSSLHFAPVASHPLAFPAIELIASPQLPHRRLAYLAASLSLHPASLSLLPLATHQLHKDLSPSTSSAANHHVTALALQLLASPAAAAAPDLPVHLAHDLVPHLSRGSPRAIAAAARVIAASPSAAVPVLFKPLAACLASPDPRASAAAAAAFCELSAPPADAAPFLPLAPDLYNLLTTSRSNWALIKVLKVFARLAPLESRLAARIVDPVCQLLARSAAMSLTFECIRTVLTALPAHDAAVRLAIGKAKEFLAAEDDPNLRYLGLLALGMLGPAYASTLHECRGVIAQSLGDADSNIRREALHLMMGLIDDSNATDIAGMLVSHASKSDPEFANDILGAVLSACGHNVYELVSDFDWYVSLLTDMGRNLHCAQGDEIGRQLVDVGLRVQDARPELVHSSRTLLIDPALLGNHLLCPVLSAAAWVSGEYVNFTKDPVELVEALLQPRTSLLPMSVRAVYIQAVLKVITFCCNLYVERLSDSSKEVSVALNGLSMDQTVSGGSDAPIGSSNEQITVPRMMEKDPFSLKLVVHMINLIETTVGPLVECNEVEVLERARNLIGFVYSLREIQELKESKFDDDKHSRVKELVKNMQTVLSHEIGPVSLNAQEKVSLPDDLVLNENLAELVDIISEDDTTLSSSIVFYPRSCGSVETRDEPALSLGSSSLLSEHRKRHGLYYLPTGKAEDGPVDYPHANDPLLPASSESALDDKLKTIQPVTGGKKPRPSSPDQK >ORGLA01G0143300.1 pep chromosome:AGI1.1:1:13042489:13050287:1 gene:ORGLA01G0143300 transcript:ORGLA01G0143300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKMEGPSAPAMRRDPYEVLSVPRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEALENEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTVSPNVLEEAMSGTVTVRPLPVGSSATGKVDKQSAHFYGVTISEEQAQSGIVVRVTSAAQSKFKLLFFEQEINGGYGLALQEDSQKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSALKSGTHIFAVYGDNFFKPASYTIEAMCAKSYEDTTQRLKEIESKILEKRNDLRQFETEYRKALARFQEVTNRYTQEKEAVDDMLRERDDIHSSFTTERTMVNSVGAGSSSSRYPTESPENGNIDGKDKSSKKKWFNLNLNRSDKKA >ORGLA01G0143200.1 pep chromosome:AGI1.1:1:13030822:13032949:-1 gene:ORGLA01G0143200 transcript:ORGLA01G0143200.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSISEIAFELKEIRRFRRVTSHIPRRIVTTLSSKERIPVMSYASCVVIALPRAARAMSDLLQLGSCPVGLP >ORGLA01G0143100.1 pep chromosome:AGI1.1:1:13026368:13027244:-1 gene:ORGLA01G0143100 transcript:ORGLA01G0143100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATTALEPLPATPPRRRISLTCHRRRVLLLPTHCHCIASSPSPPRRPPSHSPLLHCLLHTASALSSSSPTAVASSSSPSPAIARHCLADLLSQRHHQSRGGHHPRVHFAGSTVVVRSADGVNSATVVQLSIPNTCRAVLSTAAASASPSPTASSFASPISSLRAAINLATATVAAMQPSSSDPPPLPQRRLCRPVRQHLRTLRRLIFLRVLLRKLLFSCCCYTCCVERKLCQKLNIVVNVLGQSFFRHYSLQPTDDEIVMAPCYLHQLIN >ORGLA01G0143000.1 pep chromosome:AGI1.1:1:13019094:13022196:-1 gene:ORGLA01G0143000 transcript:ORGLA01G0143000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSSSSPCMIASLRSSHRCRLSPSATATSPPRLRTLPPRRCRRNPSSSSSSSRQAAAISMAPANPRHRLIAPIHAAAAAAGGGGGGGAGATSPSGLPSLVGIAHLLVSLGIVLASDKFLKQAFAAASIKFPSALFGMFCVFSVLVVLDAFAPALAKGFMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDVPAASGLKIFLIIFGGWFASLMVAGYTALTVRKIVQTQLIPAEPMSKPSPFATLEFWAWGAVFVASFAVAYVNPTALGTTARTCLPFLLASTVLGYMVGSGLPSGVKKVLHPIICCALSADLAAIAYGYLSRSGVDAVLGDYLTKAPSNPGAGDVLMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSIAIASTFSLYSTAILGRVIGLEPSLTISILPRCITVALALSIVSFFEGVNSSLTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLICSVPAVRQSLVFIAG >ORGLA01G0142900.1 pep chromosome:AGI1.1:1:13018768:13019010:-1 gene:ORGLA01G0142900 transcript:ORGLA01G0142900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLQEYMYTDIFCDYVSVVIRSKRVILYPSVLTTTKLAPTFHEEAAALQQPAGEQGTDSVVLLELAFDHFVTQRTKCL >ORGLA01G0142800.1 pep chromosome:AGI1.1:1:13009555:13009905:1 gene:ORGLA01G0142800 transcript:ORGLA01G0142800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TETGGRGSTEDAGVNGDWESRSTTPDPNASSVMTHGAWVGGRACVGSSGDGHDSNNAGTLVPAPVPTSSSSVSAGRPSRRPRLWWMWLRESEAVVMESMEQSWSCDDAFSRGPIFW >ORGLA01G0142700.1 pep chromosome:AGI1.1:1:13004510:13007627:-1 gene:ORGLA01G0142700 transcript:ORGLA01G0142700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQYVEAQRQARPDLADAYADLADLYQRKLWHQLTLKLDHFLQLPAAQTGDTIIQLYNNFISDFETKINLLKLAHFAVIASRQYPDKDAAISFLEGVTTKLRETRERRIDEPVLYVKMQIAAINLEKGDQKECKKLLDEGKSTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCKVHNAALSAQPALVQNERKLLEKINILCLMEIIFTRPSEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >ORGLA01G0142600.1 pep chromosome:AGI1.1:1:13000389:13001189:-1 gene:ORGLA01G0142600 transcript:ORGLA01G0142600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSISMSRRLFKGLTINPALASGMTCQHHQLQQHAPVSGTAKGKAKLKSGQQLKRNTIGAKKGGAPSTGGGGGSGRGRREAIERITQIAESCLNASTPLRHLSPKERLREAKREELGLISKERQRELDLAKAKAKSKGTREGDGGRVLMGPPGLDYISLGLVDEDAIPKYELTVEDGRRLAKQYSQVLMRRHRARQTAESSLLSLKKEAIAALPEKLRAAAMIPDMTPFPANRYMATLTPPIEGYIEKVRDAAKKYSVKEKLR >ORGLA01G0142500.1 pep chromosome:AGI1.1:1:12995854:12998233:-1 gene:ORGLA01G0142500 transcript:ORGLA01G0142500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68300) TAIR;Acc:AT1G68300] MASPSAPGPKLQKAMVAVDESEFSHHALEWALRNLAPTIAPPLLVLTVQPLLPLGYVSAASFGSPLGTPVVAPELIKAMQEQQQQLSQALLDKAKQICAQHGVAVETMIKVGDPKEMICQAAEESKVDLLIVGSHSRGPVQRLFLGSVSNYCMHHSKCPVLVVKKQE >ORGLA01G0142400.1 pep chromosome:AGI1.1:1:12968077:12975579:-1 gene:ORGLA01G0142400 transcript:ORGLA01G0142400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIGQSVGIANLPADVSAALAPDVEYRLREIMQEAIKCMRHAKRTVLTADDVDSALSLRNVEPVYGFASGDPLRFKRAVGHKDLFYIDDREVDFKEIIEAPLPKAPLDTAVVAHWLAIEGVQPAIPENPPVDAIVAPTENKRTEHGKDDGLPVDIKLPVKHVLSRELQMYFDKIAELTMSRSETSVFREALVSLSRDSGLHPLVPYFSYFIADEVTRSLGDLPVLFALMRVVQSLLHNPHIHIEPYLHQLMPSIITCMVAKRLGHRLSDNHWELRDFSANLVGSVCRRFGHAYHNIQTRVTRTLVQGFLDPQKSLTQHYGAIQGISALGPSAIRLLLLPNLETYMQLLEPELQLDKQKNEMKRKEAWRVYGALLCAAGKCLYDRLKLFPNLLSPSTRPLLRSNKRVVTNNPNKRKSSTDLSTSQPPLKKMTTDGAMNSMTSAPMPGTMDGFSTQLPNPSMTQTSSSGQLVESTASGVIRRDQGSNHTQRVSTVLRLAWKEDQNAGHLLSSLYEVFGEAIFSFVQPPEISFFL >ORGLA01G0142300.1 pep chromosome:AGI1.1:1:12954638:12958071:-1 gene:ORGLA01G0142300 transcript:ORGLA01G0142300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLRPSPPPPWAIPRRSSGERTKPCRSRSRSRTGTSKQTFPVPLLVGKVGRRPFPVQCSIVRCCLSSTDAIHSTSDDIHEDNGHGHFLMKSTSDLQKVISSCFGKACLLSSVMLVLPPSCFAEPCEPEYSLPNMPLLFAIAMIGATVGGLLARQRRGELKRLNDQLRQINAALRRQAKIESYAPSLSYAPVGSKIPESEVIVDPQKDRLISYLRAGKNYLRNQAPDKAFPEFKAAFDLAQSLGDHVEEKKAARGLGASLQRQGKYKEAIKYHSMVLNISKLTGEDAGVTEAYGAIADCYTELGELEKAGKFYDKYIARLEND >ORGLA01G0142200.1 pep chromosome:AGI1.1:1:12939087:12953147:1 gene:ORGLA01G0142200 transcript:ORGLA01G0142200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPVSRMMFDDDDDEPQLNAVDNYYLLDAREVPVCLSVLPFQFKDTDEVPECKKDVFLWGTADPGIKVYRKVIAWKLGLQGKQPEISVLSAEGSWISLTKPKNSYEEKIRTILITVQMLHFLKKKPEEPEKNLWSHLRKIFEDHEIDTDIDTPIRDHIKFPRTPNIEKPARLLKKSTKVVKKKRPFSESVPDQLSTELRKLPDMVCIQESDRARKISARSSSEQFVVKPDKKKARFLKDTPQPDPCLLKDASTGITKPAKEQGKLLVTMPSSSTSTKIPQSSFPRVDSETEKRVMALVEREASYLTLKDISRKCMMPSTHAYSGRQVDRIIATGKLERSVQAVGAALKMLENGGNVNDAKAVCEPEVLKQLTRWHSKLRVYISPFIYGTRYSSFGRHFTKVEKLVEIVDKLHCYVEPGDTIVDFCCGANDFSRLMKEKLDKVQKKCHFKNYDLIQPQNCFAFERKDWMTVRPKELPHGSKLIMGLNPPFGVKASLANKFIDKALTFKPKLVILIVPKETKRLDQKKTPYDLIWEDSDCLSGKAFYLPGSVDVNDKVVEGWNASAPPLYLWSHPDWTRKHRKVAEEHNHTSIGRIASHVEEDNLSDNLPMMKETESYGIHNSRSGKEENAGQTSCNLKGTNLSDLPVRRQAEAGNKRNVGPGKEKETTEKTSCDVREVILSDDRPVKTQAGYGQVKAKEYHRSDSLPVKKQAETNYQQISQSGKEKEHSMDESNSTHNRSRKRTPDQVDGSLPPEKQVEVAYEERMAIPIKKSTHQEKQLDAGDRLNAHRGSEIMGAKFTEQANSDMSMSSSDSSNAQNKSRSGSPFIPIGHPADKSTGRDSFISYPPKEHLVSKQATYQGRYLASNNECNNAFEGKIDPPFHTNIDDISRKFSPGTDFEVNRQHFTAPTGDVYSLQSLRGSDRFYRTQRSEERNTNSLESTTGDAPLHGHPTRYSRQVGDDNYLQTSRVPSTLHEQAHLRTHVGHADGYLQTSQYSLGSSGATYGQQTSSYGLPGTSTPYSIMDKYAPGFLAPSAQRSSVMDMYALGPSASGSSVMDKYAPPLEDTNYGARGVPQYPYRQLGSSGGGWPRS >ORGLA01G0142100.1 pep chromosome:AGI1.1:1:12935061:12936180:1 gene:ORGLA01G0142100 transcript:ORGLA01G0142100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGILVEELLEYQQIVSKTFNSEDEGIHFYNKYAWDKGFSIRKSYAERRNVAKEAVVDEVCLTYLSSGYDIGVCEHAHHESDYLC >ORGLA01G0142000.1 pep chromosome:AGI1.1:1:12916582:12917606:-1 gene:ORGLA01G0142000 transcript:ORGLA01G0142000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATDILGEHDGKPFLGPTNYIVVDFKDLFDLSRLRAVDTSLLKCYSLLSWQWCLKHAPEVAFLDPQVVTVTNLQNDRQGMVNYIYDTLWSRRDKEYIMCAYNQYAHWILLVITPKWSTCHYLNSRIDKNAYDWTPIQLAIDEAWAQYVQRGGLRKTGHDTLIHKKDFPVKQQIGDQCGFHVCHNMRLLYREKVKTLAEFEAMMNTYYATVMM >ORGLA01G0141900.1 pep chromosome:AGI1.1:1:12909610:12909954:-1 gene:ORGLA01G0141900 transcript:ORGLA01G0141900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVCAYDRALVDPEGKRRVVGAVAAPATVANGGFIRAPRKPTNHSKFTGGRAFRELASGKGACSGGPAASTTGGKGRHKFKHDEIKAYYLELEAGADDAVDELGSL >ORGLA01G0141800.1 pep chromosome:AGI1.1:1:12902589:12907279:1 gene:ORGLA01G0141800 transcript:ORGLA01G0141800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) TAIR;Acc:AT5G56580] MRGKKPHKELKLSVPAQETPVDKFLTASGTFKDGELRLNQRGLQLISEETADEPQSTNLKVEDVQLSMDDLEMIQVIGKGSGGIVQLVRHKWVGTLYALKGIQMNIQEAVRKQIVQELKINQATQNPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCAFISSCIQKDPVERMSASELLNHPFIKKFEDKDLDLRILVESLEPPMNISE >ORGLA01G0141700.1 pep chromosome:AGI1.1:1:12900133:12900714:-1 gene:ORGLA01G0141700 transcript:ORGLA01G0141700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGRWRRRLLSPPTATRTSPKTNLPIKALWWCLVWCGREEVSTALSQLVPTIFSMSMKVEKRSSSESMSSKPSPSALPTRPKLSGKRKAASDLAWRRGRRQPRPAAWARHAATTARDGFVAHVRHHRLLGELIIGSPVHDVEQSRRLQWRLRHSRCASYSSPDQRSCPRDIAVHKTSTIVAVAPAPDDGGGE >ORGLA01G0141600.1 pep chromosome:AGI1.1:1:12895665:12896183:-1 gene:ORGLA01G0141600 transcript:ORGLA01G0141600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IWPSLLFPSSRNCDWFVIRVELGPPAQFQLIGLLLEFLRFNDKPRGDSLLSPVMLTPISSAQQSTSIWCRSRGGNRRGLTVCQAVCTSHEAHGSSRHDFKTSWLCSSHGSPQLPVGLLLFLLFGFIWKAASVVRFTLSFQGCHFCFQFLSLVVYWGLSLTLFLLNKVICVSKK >ORGLA01G0141500.1 pep chromosome:AGI1.1:1:12892151:12895475:1 gene:ORGLA01G0141500 transcript:ORGLA01G0141500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPFTDDLLAEILLRLPSAASLQRAALASKCWLAVASGPDFLRRFRARHTSSPLLGLFVSHGSSGLPVFHPAATVRSDPDLGAAVLGGDFSLIRVGDGEDPRWQLRDCRNGRLLLCGGRSVAVYDPVSRRRVSIRRPQDDPFSDAYIADCLLHGRGDNGAASFRVVSVQRHGRRMRAAEYNSGTREWNFHPWVENMRRPRRGQAMHAAGIIFWKCEDNFVILLDTLTMEFSMLGLPVSLFQPSKYAIGEMEDGVCCLVCLDGTMDNVHMQVWLLMEEDGGGRRWELEKEMPVSEVLDRHSLVRQVRTVASGLVLVSWDDRYPQFAIDLKNMKVMAEFRCSGETYLFQTPWPPALLVDSEYVEPLQMIATQNMMKHVNLAAERTDVVNSEGPLDLVLEPHGPLDAQQAMAAEAETLVVTADLKLVRSTEAQNQSVAEKPEIMKGPEVPVSKRSMSRLEKRRGERYESALHKAMERKARYMGGVEQLSTSLGRNYRRSEKPIVVDSSYERYYQCRQRREKPIVVDSRYGKYYQRRQRRPAAGVQAR >ORGLA01G0141400.1 pep chromosome:AGI1.1:1:12864335:12864673:-1 gene:ORGLA01G0141400 transcript:ORGLA01G0141400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPARRGWGVGALARAFLVLLLLLLAAATTTTIGFGCRGAEAIRVIPPHGPAPGSARSSRGHGHRRSHGNAARVVDAAMPVVGTRPVPALSPAADEESKRRIPSCPDPLHNR >ORGLA01G0141300.1 pep chromosome:AGI1.1:1:12840685:12841259:-1 gene:ORGLA01G0141300 transcript:ORGLA01G0141300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSNAYFNSTTYPLNDFLGFNAWLSILPHKIDYMGIEKALLSQGRDINSII >ORGLA01G0141200.1 pep chromosome:AGI1.1:1:12801568:12802083:1 gene:ORGLA01G0141200 transcript:ORGLA01G0141200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLSTGEKTKKMATTSRPSSPLPPEEETAAETTTSEEEEQQQMERFYALVANVRALRAMFKEAALPSCREDDVSGGGGGEQRQKRPRAAPWRPAFEMAVFECGGGGGTTTDDIEAATTKGQDGNCKMGKRSEANAAAEEDKGEVIEGKPVAIAIVADGPGKSTTMPDSN >ORGLA01G0141100.1 pep chromosome:AGI1.1:1:12799162:12799473:-1 gene:ORGLA01G0141100 transcript:ORGLA01G0141100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVDASEKKAVDMRGGARARHPPPFTPNPIATMGFLHTGGGRNDRNQSREKTAIAPLSLHRWPNPCRAEVVEAGARTEEMDATSTRRHMEAVMAESRERRMMWP >ORGLA01G0141000.1 pep chromosome:AGI1.1:1:12795784:12795951:1 gene:ORGLA01G0141000 transcript:ORGLA01G0141000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIPVSRRRWGISKVACAQQPRGGEGMGTPRRRTGKPVWRRRRRYRFSTRPTPQ >ORGLA01G0140900.1 pep chromosome:AGI1.1:1:12793053:12793601:1 gene:ORGLA01G0140900 transcript:ORGLA01G0140900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVATDGNCRQCGERRQAATLTHSGGAAPRRGNGAPRQATVRQGETGGKRGKGRPHRWRRRGQRRRQGADEFRSKPRKKMRGIRENGGDVRMADRVAPELVNLTAVAARLLVVTSGGRSGGGGCGCKSDDASAIEGMGMDRKRGKKPRESFL >ORGLA01G0140800.1 pep chromosome:AGI1.1:1:12771001:12771222:1 gene:ORGLA01G0140800 transcript:ORGLA01G0140800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCAYGMRSRIWKESKFGTIGYVKFLSCTRWFPKVFRTLSMSFVRGFRLPTSCINRGGE >ORGLA01G0140700.1 pep chromosome:AGI1.1:1:12762329:12762550:1 gene:ORGLA01G0140700 transcript:ORGLA01G0140700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWHTMEVLCVYGMRSRIWKESKFGSIGYVKFLSCTRGFPKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA01G0140600.1 pep chromosome:AGI1.1:1:12731269:12745092:-1 gene:ORGLA01G0140600 transcript:ORGLA01G0140600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAGGAAASSASAPPPPRRTPLERTADAAEELYRLRDTFFPRDPVEKAAALRALADDALAVLDSLPPEQRKTPQQRAVYEFLRGKILDVFPDYHKEAEDHLSKAVKLNPSLVDAWLCLGNCIWKKGDLDSAMNCFSLALSKGADKKILCQLSMLERSMAQGSEGQAQVVEESIKHAKEAVMLDIRDGNSWYNLGNAYLTSFFVSGSWDHMKLHHSVKAYQNAEKDETTKCNPDLYYNCATADKYLENYERALRGFEAAALKDPGLGADTEVQKIISLLDKLDSAMKGQLRSKRLASSVSSLSEVNIKSSHKKATIGILSEGLNKTVAVLGKVILLIRHDNIAPMYYLTCDLDQSYFILSVYGLRNEAIKEGDRVALLDPYYRILDISWKEQRYQFKSIRVDFPEQILVNEKAPPPHHVVRASIHAHNKP >ORGLA01G0140500.1 pep chromosome:AGI1.1:1:12723664:12725289:1 gene:ORGLA01G0140500 transcript:ORGLA01G0140500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11310) TAIR;Acc:AT5G11310] MLARPGRLAASTLPNDSAAAAASTVLSILRGEDPASLPAAGIDPCPAVFQQLRPSLPTVPDSSLPALARWAGEATAVSLLASRGLFAAAWRLLLAPSPTPPPLAAFAPLVRRYARLGRAPAALRAFQFLRRHPDRYMAGGDIPAAASLLNMAVDALCKEGHPRAAVELFERWRREEPDSPPDERAYNILLHGWSRAGRLDKVGKLWAEMRLAGVRPTVVTYGTLIEGLCVKRRPDQAIALLDEMREEGIEANLLTCNPIVYALAQAGRFQDAHKVLEKFPLYGVAPNISTFNSLVMGYCKHGDLAGASSVLKVMTERGISPTTRTYNYFFMTFAKNSDVESGMNLYSKMIGNGYSPDQLTYVLLVKMLSRANRLELVVQMIQEMRAHGFEPDLATSTMLIHLLCRRHQFEEACAEFEDMFKRGIVPQYITYQKLMRELKRLGLVHLIQKLTNLMRSVPHSTKLPGSYRDKEGDDSMEKRKLILQKAQAVSDVLKECKDPKELGKLKEDEGTDVEVADRLVANIRRSVYGGASTSSVLAPLS >ORGLA01G0140400.1 pep chromosome:AGI1.1:1:12719716:12721234:1 gene:ORGLA01G0140400 transcript:ORGLA01G0140400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLKMKRDEKRGDSMLNIGEALGWVKDSHKEDYVMVDMYDQQSLMRHQQVQNKL >ORGLA01G0140300.1 pep chromosome:AGI1.1:1:12718117:12719057:-1 gene:ORGLA01G0140300 transcript:ORGLA01G0140300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTILVPTPLYLSASSHSNPESIAEAEADANADYEEGGALVLPSEVESNKHVDLRKGTKLQSIPLKCPELQIPWKQPTQQQEVSQTGKTGEEVSRWLLMVASPSGHWTISLGAEEGVEDACHGLAGGDIGXPSARRVLESSLHSAGSSCYVRTGDCVVVIRGAEQYLYIVVAAASHVVAVAAA >ORGLA01G0140200.1 pep chromosome:AGI1.1:1:12702932:12704721:1 gene:ORGLA01G0140200 transcript:ORGLA01G0140200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRYFRQDHGDKSGSSSSSSSSSSGSDSDREPAEEAAPTEEVEEQQEEQEDEQHVGEEDSGEEQEEELEPVVEQESSGYQSEYSSGNDVDEPSADSDEHIILRHEEDPEINSSVKRASSGKADSTKDASDTDDALEVDFNNYILKCKSVYKCKLCPRIICLNEEMVRVHLKSKRHARSKKLLGEGRLKLMLNSDGELEEEQETHAERHARTVALAQVFAQIIL >ORGLA01G0140100.1 pep chromosome:AGI1.1:1:12700438:12701061:1 gene:ORGLA01G0140100 transcript:ORGLA01G0140100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPATTTPTPTPAGDHDAAATACKPAETTTALITCRSSSCSAQQQQQQEEPLGDDQLGELREIFRSFDRNGDGSLTQLELGSLLRSLGLKPSTDELDSLIQRADTNSNGLIEFSEFVALVAPELLYDRAPYSEDQIRRLFNIFDRDGNGFITAAELAHSMAKLGHALTVKELTGMIKEADTDGDGRISFQEFSRAITAAAFDNIFS >ORGLA01G0140000.1 pep chromosome:AGI1.1:1:12683746:12685368:-1 gene:ORGLA01G0140000 transcript:ORGLA01G0140000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71060) TAIR;Acc:AT1G71060] MRCLRRPATHLLCSARSAHAGNAPRVLDEMPLPPLAPPRRTTTLVRAHHLLGAMRGPGFCTTVGSESDVEPRFTVVPGAAQEGLAPGVSEAAERVCRVVSAQPEHRIAPVLDALGVTVSPQLVAEVLKNLSNAGILALAFFRWAERQQGFRYSAEGFHNLIEALGKIKQFRLVWSLVEAMRCRSCLSKDTFKIIVRRYARARKVKEAVETFEKMSSFGLKTDLSDYNWLIDILSKSKQVKKAHAIFKEMKRKGRFIPDLKTYTVLMEGWGHEKDLLMLKAVYQEMLDAGIKPDVVAYGMLISAFCKSGKCDEAIKVFHEMEESGCMPSPHVYCMLINGLGSMERLDEALKYFQLSKESGFPMEVPTCNAVIGAYCRALEFHHAFKMVDEMRKSGIGPNSRTYDIILNHLIKSEKIEEAYNLFQRMERDGCEPELNTYTMMVGMFCSNERVDMALKVWKQMKEKGVLPCMHMFSALINGLCFENRLEEACVYFQEMLDKGIRPPGQLFSNLKEALVEGGRITLAQEVTQRLEMLRRTPMRG >ORGLA01G0139900.1 pep chromosome:AGI1.1:1:12678840:12682502:1 gene:ORGLA01G0139900 transcript:ORGLA01G0139900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate dependent pyruvate decarboxylase family protein [Source:Projected from Arabidopsis thaliana (AT5G17380) TAIR;Acc:AT5G17380] MATDTAAPAAMKVDGSALAGRALAAAGARHMFGVVGIPVTSLASRAAAAGVRFLAFRNEQSAGYAAAAYGFLTGSPGLLLTVSGPGCVHGLAGLSHATANAWPLLMVSGSCSQPDAGRGDFQELDQIAATKPFVKIAVKATTIADIPRLVFQALAATVSGRPGGCYLDIPSDVLHQTLTESEAAALIDAAAADSAKSDSSPPKHKSLDEGIEKAAELLRRAERPLVVFGKGAAYSRAEDAIRKLVDTTGIPFLPTPMGKGVVPDTHPLSATAARSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVCEEEIELRKPHVGIVGDAKRVVELINREIKDQPFCLAPSHPWVEAITKKARDNVLKMEAQLAKDVVPFNFLTPLRIIRDAILAEGNPAPVVVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGFCVAAAVAEPDRLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRSPDEITGPYKDDPAPTSFVPAAGYHKMMEAFGGKGYLVETPDELKSALSESFRARKPAVINVIIDPYAGAESGRMQHKN >ORGLA01G0139800.1 pep chromosome:AGI1.1:1:12676930:12677600:1 gene:ORGLA01G0139800 transcript:ORGLA01G0139800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEILIVNLIELADDYADNTAGDSQQRARASPHSSERAGGHACNHHYHLVLPLNHTEVHIPIHHSDGSDAAAATEGDDXRARSDDNDGCADDRDLREVMVYGGGGGAMEREGAERGTAQYGGRTTGEEGAGWAGWEGGASVGXGGGAPRRKDGGLGSAMRNYGSEREGARCGSGRXEVVRWVDRGRKSHPLMPVRGSSD >ORGLA01G0139700.1 pep chromosome:AGI1.1:1:12626525:12634009:-1 gene:ORGLA01G0139700 transcript:ORGLA01G0139700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:I1NNF7] MGSSDSQAPLLLPRGSHRKEEEEEEYAAAGKVRGCCGGDGEGGWWREATAEAGRLASLAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLMGLACGLETICGQAYGAEQYHKLALYMYRSIIVLLVVSVPIAIIWVFIPEVLPLIGQQPEIASEAGKYALWLIPGLFAFTVAQCLSKFLQTQSLIFPMVLSSSITLALFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIVLSPSCEKTRAPLTWEAFSGIGSFLRLAVPSALMICLEWWSYELLVLLSGILPNPALETSVLSICISTVVLVYNLPHGIGTAASVRVSNELGAGNPEGARLVVGVALSVILCSAVLVSVTLLALRHFIGIAFSNEEEVINYVTRMVPVLSISVITDSLQGVLSGVSRGCGWQHLGAYVNLGAFYLVGVPVALFFGFAMHLGGMGFWMGMVAGGATQVTLLSIITAMTNWGKMAEKARDRVFEERIPTQSV >ORGLA01G0139600.1 pep chromosome:AGI1.1:1:12622954:12624078:1 gene:ORGLA01G0139600 transcript:ORGLA01G0139600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLLAREELYKWGLARAKGILALVPNTEYSPVCSRKTIEFDINIQISNNTTFSIAHRNEYLHGLVDCSPSKSLTFSEVGVLDAEAQRS >ORGLA01G0139500.1 pep chromosome:AGI1.1:1:12615864:12616371:-1 gene:ORGLA01G0139500 transcript:ORGLA01G0139500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQRHALAPXPALLPRLLHIGGAKFSTLCMLQKHVGSADPTVLTWLHHYSSTSAVSSLYPLSGEPALEVDPRWPGGGKGGIDQRGEAARTSRGGENQHAEXEADGVDDGVHEEAGNESANGTVAGEDAGQNRNQN >ORGLA01G0139400.1 pep chromosome:AGI1.1:1:12611543:12612682:-1 gene:ORGLA01G0139400 transcript:ORGLA01G0139400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAKLHSPTIPLLAHAHHDDRLLLCSPPAAVEFPVLKSRAARGALLLAANYAALFVGSLSSSLLSRFYFAHGGADRWLATLVQSAGFPALLLPLMCARRPASRPFAGFTPRLVMYCVLLGLVMGLNNLLYSCGTSYLPVSTTALLLSMQLAFTLALAAALVRVPLSFANFNAVVLLTLSSLLLALRHGAGGGGGGADATSPDYLVGVAATLGAALLFALYLPAAELVYRHGGVTGFRMVVEAQVIMEAVATAVGAAGMVSSAGGKWPWDGVEATWDLSPAAYYAVVGAAVLSWQLCFLGTAGTVFLTTSLHGGICMTALLAVNVAGGVVVFGDEFGPEKAVAMLLCLWAFSSYVYGEYKKGDKAMANEEDQGILDRV >ORGLA01G0139300.1 pep chromosome:AGI1.1:1:12608877:12609505:1 gene:ORGLA01G0139300 transcript:ORGLA01G0139300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCTCRAKLNMVSVDSVTTLPKRRAPMYCPERSSISITDLPVACHLNLKQKVITIAALAPKRPPSLRKPAVIVPVFDNRTIRWKLTSSWDLWTEKALLPPRSLQPHSLLXWRRSRERTGRKRW >ORGLA01G0139200.1 pep chromosome:AGI1.1:1:12602757:12606682:1 gene:ORGLA01G0139200 transcript:ORGLA01G0139200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQWDKLESIAYPLLLTHRTRTLERFRMSVNVARGMDMGCPPPRRPVGALRCPVLLRVGGGGGSGLAHCFVGAWRLVDLDLPAASIGDCYYYRLRRLHLTSVSLGGRFVEQVRLRLPDRKTGKACEPFEPVRPPVEGRSDRRHVAGLTSRVVRSNPVLGPTSFCCASSPDLVSPVVDARNIDLIKRGTFLALDIHDLGMAIVPIYPFVETPLRLGMFLGILLGQMLYPMDKQ >ORGLA01G0139100.1 pep chromosome:AGI1.1:1:12574515:12585237:-1 gene:ORGLA01G0139100 transcript:ORGLA01G0139100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKIGREHEQQQQQHGRVNGSGRVAAVGGGSGGGGDEIEIEVAAAAGASPSRQHGGLHGDVQAPTWKRFLAHVGPGFVISIAYLDPSNLQTDLVAGSSHRYSLLWVLLFGFIFVLTVQSLAANLGIITGRHLAELCMGEYPKYVKYCLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLACGACTFLILGLQGYGARKMEFTISVLMLVMATCFFMELGKVNPPAGGVIEGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICTNNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCMVATSYAGQYIMQGFSGMRKCIIYLVAPCFTLLPSLIICSIGGTLRVHRIINIAAIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLGVHL >ORGLA01G0139000.1 pep chromosome:AGI1.1:1:12573247:12573587:1 gene:ORGLA01G0139000 transcript:ORGLA01G0139000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDVALSGWDNFGCVATLIRILLRGNVDGIGVPSDVSTRLAIIVAAMLLYCPGENLVLDVQNSDGFIMSQLLEDIVLEVL >ORGLA01G0138900.1 pep chromosome:AGI1.1:1:12565844:12566386:1 gene:ORGLA01G0138900 transcript:ORGLA01G0138900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMADQHCHCRCTTATEGLENMEDVDLEEQLAPPPPSPLPSSAEPLHGLCRKPAAGSHRALVATPVRALWMVVLMVGLLFTVHLLVHDVRTFVLLVAESLCIFFVMSGVAACERRQHDASGGACHGFSTVAEVALWSFAMALTVTMTFWVAAGMSLPAVAALYLLSLLVVSACFAVLLGA >ORGLA01G0138800.1 pep chromosome:AGI1.1:1:12563383:12563819:-1 gene:ORGLA01G0138800 transcript:ORGLA01G0138800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGGKDAEFARAIEGRESSWRRWRGVTNARVGSEYWQWWFDGRRKWIKISGGLGKYITDNHVFVGFDTTPMVAPAMSPFIHILTSLSFIPYSHRCRNINVQDTCSSSIIPYTNRMSIDIPTRVNS >ORGLA01G0138700.1 pep chromosome:AGI1.1:1:12554357:12554815:-1 gene:ORGLA01G0138700 transcript:ORGLA01G0138700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLTNPTSQSPFAPIPREAAPMAPKAEKKPAEKKPKAEKVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPIATSREIXTSVRLVLPGELAKHAVSEGTKAVTKFTSK >ORGLA01G0138600.1 pep chromosome:AGI1.1:1:12552739:12554098:-1 gene:ORGLA01G0138600 transcript:ORGLA01G0138600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRGGRLAGLASRMVGAKPFSTEIFVSRLSFYTTEEELKNVFSPFGAVEEARLVRDNQTGRPKGFGFVKYSSQADAEKAVKAMDGRILRG >ORGLA01G0138500.1 pep chromosome:AGI1.1:1:12549270:12549859:1 gene:ORGLA01G0138500 transcript:ORGLA01G0138500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:I1NNE5] MDAAGAGAGGKLKKGAAGRKAGGPRKKAVSRSVKAGLQFPVGRIGRYLKKGRYAQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKAAAAGKEAKSPKKAAGKSPKKA >ORGLA01G0138400.1 pep chromosome:AGI1.1:1:12541585:12541927:1 gene:ORGLA01G0138400 transcript:ORGLA01G0138400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEELSRGAVAAVAGDGSGRGSNSIRRLGQWRRWLGNSGGKGVAAASGCRGGGGKGVAAADGGQQQKQHAPGAARKQQEHHGTESMVRLLDNYG >ORGLA01G0138300.1 pep chromosome:AGI1.1:1:12521692:12532096:1 gene:ORGLA01G0138300 transcript:ORGLA01G0138300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGPAERRDEAQAAMGNGNGVAPPPLRPAGRPSGAPACADRRLRLNPNMEHKPQDYSDVRGEYAPAVYSALERHLPPSVLDANREIKLQLMREVLGHYWPHGERNKVQRHREYRQRILNHYKPLHKELYKMRPSSFFLPTFLEAIRTNTEESFRSIMTEPVPGVYSFAMLQPNFCEMLLQEVENFEKWVHTMKFKIMRPNTMNKYGAVLDDFGLEVMLNQFMEQFIAPMSTVLYPEVGGGTLDTHHAFVVEYGKDRDVELGFHVDDSEVTLNVCLGKQFSGGELYFRGIRCENHVNSETQHEEMFDYSHVPGRAVLHRGRHRHGARPTSSGLRINLLLWCRSSVFREMKKYQKDFSGWCGECKREKKERQIHAVKATKLVNYHFSKTCTVHVAVNSMFNS >ORGLA01G0138200.1 pep chromosome:AGI1.1:1:12511411:12516916:1 gene:ORGLA01G0138200 transcript:ORGLA01G0138200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:I1NNE2] MASTTLLYYSKLLVRCSAYEKDGSGGGRVRVNGAAHRVPLQVGAALETKINRSLAGLMRPPVLSQPPTEEEAEGRRSQRQNIPSEKQTVDPFRQAVIVEGGVRYRQTVVVRSYEVGPDRTATLETVLNLLQETALNHVWMSGLLGDGFGATHAMITNNLIWVVSRMHVQVDHYPIWYIILVFVFVHGVLFVQRGRGKKIYAHQTEVGCRGEVLEIDTWVGSSGKNGMRRDWLVRGRSSGAIFVRATSTWVMMNKVTRRLSKMPKEVRDEISPWFIDRHAIDEGATDKIIKLDTNATYVDSDLKPKRSDLDMNNHVNNVKYVRWMLETLPDQFLQQHQLSSIILEYRKECGSSDVVQSICQPDEDTIMPGENVSIVMGPSLSQEIINGHHSLAGALQQWPTKYTHLLQLKANDKYEEIVRGRTTWKKKSYSISNVLKF >ORGLA01G0138100.1 pep chromosome:AGI1.1:1:12504837:12507559:1 gene:ORGLA01G0138100 transcript:ORGLA01G0138100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSMLSTVPSWLPVGMDPLTTYLLEIKLAGDRKNARVEYDWFIFSKVIDSNVMCYKDLIDDIAKSYPWGPNETVTIGYVDMVHKITHHVTTDQDMLAMFEKFVDIKVIPMIIRIHGINESIDELDHTLVKASACVPDTPSLATPSQVDFSQPSSSTLPSHVIVPSDTYLVNPFPMAEHVGVDEEGIYLDEEEAVVGHTDETRGEGAVNEVSEDESWATSEDESEDASEDDGVNESEDESMASDGMPEHIPIATYDKNDPPMIVGSVYPNINEFRLAIAQHAIKKEFISMHSLSILSYAWSPYFYEKHMAAIQEVKPEAVAYLKKYHKRLWSRSQFSTICKVDYVTNNLAESFNNLVKDWKALHLYDFLERIRRWLLKSAGRPRTMRYKGWTEKGSKRSRRHKCPICKSYGHHWHNCKEGDPEEVAAMLAERGPPKKRTKKTNEATSETSIMAAPIVTPIMAYPPRSRSLTGSNQPEPSNMIVALPCLGEETPTVAPKMTKSKTKGKSSCSSTPGSPAMSTRSKNKSPAMGTRSKRKLMD >ORGLA01G0138000.1 pep chromosome:AGI1.1:1:12495300:12495617:1 gene:ORGLA01G0138000 transcript:ORGLA01G0138000.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSLLRALPAVLASKSSGRSSFAASPPNHGVAAAPDLPLRSLWAPDPRGPVARERRGGVSGGEGGGGYDKKRRKASPRQVSSGEDGCAATKMATSRVEAKRANEEE >ORGLA01G0137900.1 pep chromosome:AGI1.1:1:12492949:12494067:1 gene:ORGLA01G0137900 transcript:ORGLA01G0137900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPAKLGGRASSAALPSRPSSHVARAFGVDTGAAGRITCSLQSDIREVANKCADAAKLAGFALATSALLVSGASAEGVPRRLTFDEIQSKTYMEVKGTGTANQCPTVEGGVDSFAFKAGKYNMKKFCLEPTSFTVKAEGVAKNAPPEFQKTKLMTRLTYTLDEIEGPLEVSSDGTIKFEEKDGIDYAAVTVQLPGGERVPFLFTIKNLVATGKPESFGGPFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENVKNASSSTGNITLSVTKSKPETGEVIGVFESVQPSDTDLGAKVPKDVKIQGVWYAQLE >ORGLA01G0137800.1 pep chromosome:AGI1.1:1:12484868:12489717:-1 gene:ORGLA01G0137800 transcript:ORGLA01G0137800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent periplasmic protein translocase [Source:Projected from Arabidopsis thaliana (AT2G01110) TAIR;Acc:AT2G01110] MGSAGALLSHSPPGLGGFPPRRHHHHRLSVLRCVPLLPSPAPEPLSCRHGRHLRCAAVDGGAGRETERPSPPAPQREESPSGSLGAALEDPSPQPVQNGSFGGITEDEEQSSLYNFLYPSKELLPDDKEMSIFDHLEELRDRIFVSVLAVGAAILGCFAYSKDLIRILEAPVSVQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTRDERKFLGPIVLGSSVLFYLGIFFSYTVLAPAALNFFVNYADGAVESLWSIDQYFEFVLVLLFSTGLSFQVPVIQLLLGQVGLVSSDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVKLTGR >ORGLA01G0137700.1 pep chromosome:AGI1.1:1:12458678:12472654:-1 gene:ORGLA01G0137700 transcript:ORGLA01G0137700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHHVQQQQYVDPYRTMVLSPQPDHLNALQYNHQQQPQPPLQATPPPPQHHHASLASHFHLLHLTTRLADAIGKGTRDQNSDALVEDLTSQFARCQQLLNSISGTLSSKSITVEGQRKSLEETQQLLDQRKDLITKYRSSVEDLLKGDTRR >ORGLA01G0137600.1 pep chromosome:AGI1.1:1:12451219:12452712:1 gene:ORGLA01G0137600 transcript:ORGLA01G0137600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-tRNA synthetase, class Ib, bacterial/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G02660) TAIR;Acc:AT3G02660] MASIAMAASLRTFLRPHRCRLLLRQSRSLSASAAPAGAAAASTVIRRSVVEVLRERGLVEATTSESLGSASASPRELKAYCGFDPTAESLHLGNLLGLVVLSWFRRCGHNAVALVGGATGRVGDPSGKSAERPELNLAAVETNSNAIKSLIGQILDRAPEPSQHSQSGKNLNLEQNEQALVNSGEKMGSFQILDNYDWWKDITLLDFLKEVGRFARVGTMIAKESVKKRLMSEDGMSYTEFTYQLLQGYDFLYMFRNMGVNVQIGGSDQWGNITAGTELIRKILQVEGAHGLTFPLLLKSDGTKFGKTEDGAIWLSPKMLSPYKFYQYFFSVPDIDVIRFMKILTFMSLDEIQELEESMKKPGYVPNTIQRRLAEEVTRFVHGEEGLEEALKATEALRPGAQTQLDSQTIEGIADDVPSCFLPYGQVLKSPLVDLAVSTGLLASKSAVRRLIKQGGLYLNNIRIDSEDKLVEEGDVVDGKVLLLSAGKKNKMVVRIS >ORGLA01G0137500.1 pep chromosome:AGI1.1:1:12406928:12415117:-1 gene:ORGLA01G0137500 transcript:ORGLA01G0137500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKIDQEEAVCRCRDRRKLMADAVQARNAFAAAHSAYTVLLKSTGGALSDFAQGETPDLVASHAHLAAPTQAAASVSAPPPPSTAAVLIAPSPPPPPFLDFSHATLQRSSSTPNIPMPDPKAATKTRLAAGASIREEDEVEDDADGHIRTESEDDDDDDEDDDSDDDHHEHDDVLVEEMVHGQPQKRVMMGSVGSSPVTPPPPPRPNPSPPATRTTPPPPMPEPQMATTWDYFFGPTTTPPPTLEQPPEESWMDKREESVPEMKAPIMKPAVDGSAAPMHVAEEQPPQTALEKEKAIEQLAANLPPSKPLIRKPPKAAPGPPAEVRYQHASSMGAVETRKGKTMSGTASLLQIVAQLDDSFLKASESAHDVSKKLEATRMHYHSNHADSRGHIDHSTKIMHVITWNRSFKNLPNQDDFGGNFEIDERFETHATVLDRMLAWEKKLYDEVKAGELMKIDYQKKVALLHKQKKRGVKLETLEKTKAAVSHLHTRHIVDMQSMDSTVSEINRLRDKQLYPKLVDLVDGMANMWSSMHHHHKWQLVIISGIRNFEAPPVPRETTDQHYKQTCDLRDIVREWHMQFEKLMDHQKGYIRALNAWLKLNLIPIESNLKEKVSSPPRLVEPPIKDLLYAWHDQLERLPIELAKTAIKSFAEVISNIVLLQEEEVNLRRRCEETRRDLNRKKAQFEEWHQRYMERKASQGEDANNSEVAEAVNSDPVADRKTAIEEVEIRLREEMGHHNRIARQVREKSLANLRMHLPELFRNMTDFAGFCYEMYSNLRKSAVLPKDEVQG >ORGLA01G0137400.1 pep chromosome:AGI1.1:1:12402766:12403056:1 gene:ORGLA01G0137400 transcript:ORGLA01G0137400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERSVRLVTFSPSASKSALGDDGDARGEKEVEALGDGNSGGRGEGGGGGDGGGARRCGRRWQRREAEAAARGESGGGERGRGRDEGGGDAGGGG >ORGLA01G0137300.1 pep chromosome:AGI1.1:1:12373558:12375594:-1 gene:ORGLA01G0137300 transcript:ORGLA01G0137300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIGREWRRRCVEQSSGGERRIPACVEAGRGGGIELVLPSGSGVEASGGGSELVVPGGGGVDVILPGGSGVDVVLPRGGSGGDVEAGNGSNELVVPGGGGGVDVVLPRGGGVDVASAYGAGNSVAYHLGACFANDIVIVIWLSGPGAGFKNTPMEGLLVPVFKKQMQFWGWDNPMIDGCKLENGLPSIMLTGFSTGCFDKFTSFFI >ORGLA01G0137200.1 pep chromosome:AGI1.1:1:12370535:12371902:1 gene:ORGLA01G0137200 transcript:ORGLA01G0137200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLATDGTKLVGLPGAAAQGRLIHQPLTAAANVRFRVSTQVDPSKTATSGEGSGASAQMTSEATVGATAAAIDQLGEGGDAADGAPGSSSLDAGAKSSSSLGAATDQSGEDGDTTDGALGSSSPVEHERKKSLAKSDKWAEKVNEAEETGGKARVDELEQRP >ORGLA01G0137100.1 pep chromosome:AGI1.1:1:12357431:12364741:-1 gene:ORGLA01G0137100 transcript:ORGLA01G0137100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPSSRQAMGEINIEAMARPVSVDHRISIAYYFRIADNLLRQANIYREEKNLVDLYIILLRYSSLLCETIPKHRDYHAFKLREKEFLKKGPHNSDKLLNVVNELETLKPVVLRQIADLNRGVTQEPNSQSGTSAAISQMDHLTQSSCFTQPLVGSPTGLLKMPFSGGKHQVEPTQSGRPDSQLVKSYGNLPYPKEETLSRHSVLGPNGLHGKWTAPVTGIRIQYPSNAELTKSDVSSLVPSILNQDLLYGPSRSQDFPTNKNEDMQSVLSLDDGRLSLPVEEATSVSPGFEEEFSQLNIRQPSPPPVMAQVHPQHRAISTSTVADLGPGIASSSTGRYQNLHVPVTLMECFLRVAEANTANNLETCGILAGTLKKRTFYVTTLIIPKQRSTSDSCQATNEEEIFEVQDKGSLFTLGWIHTHPTQTCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTTRKHGIFHLTDPGGMGVIHDCQESGFHPHEEPLDGTSIYEHCSHVYMNPNVKFDMVDLREL >ORGLA01G0137000.1 pep chromosome:AGI1.1:1:12299328:12304052:1 gene:ORGLA01G0137000 transcript:ORGLA01G0137000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLHTWPSRTSTPTARPIILHHASAPPWTPLGGWEGRGERERGEREAQTTTTQVASGGGGEASKRSAILRRVTELEDFLPFPFAVAGDATVGTRGAARIGLSFGCGASGGRHLRRMFEWNDDQQQGILVLEEAADDCERASEDDRIISKEAHLAERVLWAFRCYRVRLGCARSHKVGDAIWAEFNENEDHIVPYPKDTEDSALVSVGDQKKNDEETDNIPGLTERSSSGQTEFPVLEKQPASQASEHYSATQLDVESWPDLPSLNATLDRNYSDDNIASTYLDFSSAPSLEKVTGNTTVQLDGETEVFGNDHEEKSNSFLDCDWGNIGDFDDFDRLFSNGDSIFGNEMVADGSNFLSASSDLVDTTVQSIPFPHIPLNKQLSSDHGSSLLINETSGGTTEQESKGDVQKKSVRSRRRTEERGKSKMSNSTSGFSQNQGQHRPASSHSLAKVPAQPLQTQYLLLHDNKNMGQLQQANQFIFPGYGYPSYQFPGIPLMSNVQAESHQTKPATTNYRTSIDSPKQSSSAEKSQDIPSRPLMMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQDGSGSDTMVPQAYSPKSKNPDSLGSSVVIDDNANKVFSLELIPTGHEEIQKSSGIPDDLFIEEKIYYQLQDALAKLDTRTRRCIRDSLLRLAHSVSERQITSDRSSANKSNKDDDEVSEDTSKRSANMIISFGKTKKKICLRLLDGGSI >ORGLA01G0136900.1 pep chromosome:AGI1.1:1:12235268:12235654:-1 gene:ORGLA01G0136900 transcript:ORGLA01G0136900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQQPELCADQGIEPIREGEGPPRRRHHSLLPLGVWPRQAALHRLQEEQHGGSHRRREANYKRRSSTRREAIRHGHRRRRRQLPEAGEGGGNGARGVLDEEAMRGSSTYSCPWRPAVIASNQFIYTS >ORGLA01G0136800.1 pep chromosome:AGI1.1:1:12164406:12167847:-1 gene:ORGLA01G0136800 transcript:ORGLA01G0136800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G20020) TAIR;Acc:AT2G20020] MATSHLTSRSLLVQAQYPISRLPSNLRLSLSHHKQPAAVAKRRRAPTPSHPAFSSVIRGRPKKVPIPENGEPAAGVRVTERGLAYHLDGAPFEFQYSYTETPRARPVALREAPFLPFGPEVTPRPWTGRKPLPKSRKELPEFDSFMLPSPDKKGVKPVQSPGPFLAGTEPRYQAASREEVLGEPLTKEEVDELVKATLKTKRQLNIGRDGLTHNMLENIHSHWKRKRVCKIKCKGVCTVDMDNVCQQLEEKVGGKVIHHQGGVIFLFRGRNYNYRTRPIYPLMLWKPAAPVYPRLVKKIPDGLTPDEAEDMRKRGRQLPPICKLGKNGVYLNLVKQVREAFEACDLVRVDCSGLNKSDCRKIGAKLKDLVPCTLLSFEFEHILMWRGNDWKSSLPPLEENDFKVASDQILNSKEAGSGSALTPIELVNNATSLKKCNLIEGAEKLEDSMKSSFENGMILGSACANPGVCNSEGIDGTESSADAPIEFSPSNSARDLDPSQTSTLYCQSFLLDKSENGELIEMYPDRCGNSEQSPDVPEALTCLMGSSDEIHELETMRRNCKHLNGSDGVNSDSIVPSYMEGILLLFKQAIDSGMALVLNENEFADANYVYQKSVAFTKTAPRYLVLRHTPRKSHGTQKTEPAKNVRINKHLEEHKVSDHVKKKEIVMGGSRMQRNDHAREFLSDVVPQGTLRVDELAKLLA >ORGLA01G0136700.1 pep chromosome:AGI1.1:1:12147657:12147965:1 gene:ORGLA01G0136700 transcript:ORGLA01G0136700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFRRQAAATEGWTGFSSQLRIQWKQRRPKATMDDDERHGWRPNRGNNPLVHGDGNLPVGFGAKQPVAEVALTLAKPREATAQVGVDRGGGATWLERRPA >ORGLA01G0136600.1 pep chromosome:AGI1.1:1:12104838:12106184:-1 gene:ORGLA01G0136600 transcript:ORGLA01G0136600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:annexin 8 [Source:Projected from Arabidopsis thaliana (AT5G12380) TAIR;Acc:AT5G12380] HCFLFALFHRKNFVDPVNYFYGAGWGTDEQAVIGVLAHRDATQRKQIRLTYEENYNENLIQRLQSELSGDLERAMYHWVLDPVERQAVMVNTATKCIHKDYAVIVEIACTNSSSELLALLLALVSTYRYNGDEVNDALAKSEAKILHETVTNGDTDHGELIRIVGTRSRAQLNATFSWFRDERGTSITKALQHGADPTGYSHALRTAVRCISDANKYFVKVLRNAMHKSGTDEDSLTRVIVLHAEKDLKGIKDAFQKRASVALEKAIGNNTSGDYKSFLMALLGSGI >ORGLA01G0136500.1 pep chromosome:AGI1.1:1:12090627:12090953:-1 gene:ORGLA01G0136500 transcript:ORGLA01G0136500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFASQVWRVPMLEELSRIIEGVKASTRWTCVMDKLCYFIWFHLSNYYSKLPIINCVILVLCYVFIFHFMFKFTTLFMFHYSRSFCLHYSSTMRSHGSAIWIFIHDP >ORGLA01G0136400.1 pep chromosome:AGI1.1:1:12081676:12085208:-1 gene:ORGLA01G0136400 transcript:ORGLA01G0136400.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXSFPNHRPPPPPLVWNGRSLDLSPNTTGQPPPPRSTTPISLCSRPASMHDTGLSARAAGASSSSSPYAGASSATSVGDDDDESKIRKANPAFVAAAYARLHSSHRAAASFLLLLAVAATAFLIGRARPRADCPPPRLDARFLALPDAAAASDFGALGVPWCRSKTGKIVEWTSKDLLRGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFVARWLKPDLMIESGAFKGHSTWVLRQAMPNTKIISLSPRHPEKYLKKGPAYVDGNCTYLAGKDFIDFGSVDWGKLLRNHGISDLSRVLVFFDDHQSELKRLKQASIVGFQHIIFEDNYDTGTGDHYSLRQICDQEHIRGGGHSCFWDSDEARLRSRRKSFWEKAVETDELCGKDDAWWGVRGYMRDNFNHSNKAISYKEHFQNSRLVESVLDLYWELPPVAGPSLTHQTRYDPARASDPIIEDGRFGMFQRIGLARLDASVFNGYTQMSYVQISGSMLSREDA >ORGLA01G0136300.1 pep chromosome:AGI1.1:1:12079482:12079937:1 gene:ORGLA01G0136300 transcript:ORGLA01G0136300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCGVAASPASCGWWIQRSEATDKGRESEGSFPAPTLVDDADCSVSRPLGVSAVAVLHLPLLHVCRAASRTAVPHLFQLKLSPAAPPHARLAVELRRLTPPMAYRVASLIAHRLPEQQCCLRRLLLPSRGVGFKGIRDFWSGVERFFCIL >ORGLA01G0136200.1 pep chromosome:AGI1.1:1:12066478:12067860:-1 gene:ORGLA01G0136200 transcript:ORGLA01G0136200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCEAASLEGLQPRMPSAVKRARIRRESHPGWVMLDCRVRDMSGDDDLGAITVAKGTTSTGDGITVAFSAAAPPAISRLLFALHPNKNRQTSDSESDEGGTLDKGDPSAKFGGLKDARVIAAHGNSVLLSCIFNVRDPITPYVASLREELFIYQPAGAVDLTRLPPCYHGVINIDGSRNTGILCRNNGEFVVAHLGGMTSVGDGGSGLPIPRPVAAELCKYVGGFWGTNWLRIGHAAGEDQDLCWWETDLVVPFGDSLCWVDYLRGILFCDVFSPIPEFRYVRLPVNPYPGSYDQELAMRGSLHMFRSVCVTKNGDMKFVDVASKNFWFSGNLKSCSTPFTITSWTLTRSRRNQLSWIKDASLDADAFFSLANNEHLPSIVPTFPLVDWEDPNVIYFALSQKQGSNFKATLVAVNMQRKTLGVQNSYTLRLTLEHGDGDSTTSCNLFSNEPFLPFAYNR >ORGLA01G0136100.1 pep chromosome:AGI1.1:1:11944153:11944491:-1 gene:ORGLA01G0136100 transcript:ORGLA01G0136100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKGGAAASFSSSKKPKAKPKQRGGAAAASGEERVAASAPSPPTPPSPPRCRRRAPLSPPRGHPPLAPFAEIASGSSAFGSHAVSPPAGRQIRRGRGTPAEGERGDGGVWE >ORGLA01G0136000.1 pep chromosome:AGI1.1:1:11890070:11892047:-1 gene:ORGLA01G0136000 transcript:ORGLA01G0136000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05625) TAIR;Acc:AT3G05625] MASTSMSVRSPASFPFPTAAGARGRAGSAQPVGPKPLSSPLPPAATPAVPRRLLFPVAAGIWDFLSGGGAGGAAAASLVVRRGMQLFRQGDVAGSVAEFDRAIELDQRQKQYLWQRGLSLYYMDRFEEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVDEARKRFLQVGLDSRSVMREAYALFKDGGDPEKLASNFSSGSEGEIFYSSLYIGLYYESQVFIDTVFSFCFVECSFCKNIHCSPWITHICRL >ORGLA01G0135900.1 pep chromosome:AGI1.1:1:11886411:11886626:1 gene:ORGLA01G0135900 transcript:ORGLA01G0135900.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAAVFGSSSPIYAVFGSLLTTKFGNFSIGDGDKIEAESKMEIVLETEQGSAKVRIGYDRDRQSPSWTRLAD >ORGLA01G0135800.1 pep chromosome:AGI1.1:1:11883709:11884050:1 gene:ORGLA01G0135800 transcript:ORGLA01G0135800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEGCSSYLCRLPGPPTLAVGGAAGGELVETSSSSGPPHASPPHRSTASTSLSSNPPRPSSTAVPPLFLPGQVPPPPPSPPYYPQRRRRRHISLHLPFIWPMQAGDDQIRRF >ORGLA01G0135700.1 pep chromosome:AGI1.1:1:11878654:11879114:1 gene:ORGLA01G0135700 transcript:ORGLA01G0135700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGVAAGLLSCCLLRPGLGMHELTISNTELGHLFDGMQQKEATFSQIALAPTLLGGREYYTIHMHLLGAIKRCNFIQHTVTTIRLNLVLHDGAFANLNL >ORGLA01G0135600.1 pep chromosome:AGI1.1:1:11863111:11863434:1 gene:ORGLA01G0135600 transcript:ORGLA01G0135600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIFYFDTTSFHPLQPRERRARRRCSGARTGRRRRVLVVVPTEPNHPKPNSCATRIASTSSSKALKNPSQCRNDEGDRRLLPQVRPPTTVVDSLIPGELRRNSSLM >ORGLA01G0135500.1 pep chromosome:AGI1.1:1:11846158:11849288:1 gene:ORGLA01G0135500 transcript:ORGLA01G0135500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASSPPLAPPATIPSSTSALRHLLPLSGLRFRRLPAAVGRGYRSRPGFRYRAAAGPSPPSSEPPPRSPHGWQERLSRLQDRIRIFFAVLFWMALFFWGSAWDGNNNSGGTKRQRFRKKS >ORGLA01G0135400.1 pep chromosome:AGI1.1:1:11825152:11825654:1 gene:ORGLA01G0135400 transcript:ORGLA01G0135400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWRQAPWRTSGAARANWPGSADRCDGRRGGADEIVIGGGADRCNGWRDGGDRCDGQRLGGGDCDSGADQCDGRRGTSSRMARQRECYWKGRGGDDGVICGAMRWGDAVVVEGRGRVDVEL >ORGLA01G0135300.1 pep chromosome:AGI1.1:1:11810615:11812911:-1 gene:ORGLA01G0135300 transcript:ORGLA01G0135300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGLMSRVLSPKFGGYLTFGSLDATKVSAPGQPTVEELIDIYNIRRIGPDTKVLGVIANPVKQSKSPVLHNTCLQSVGYNAVYLPLLADNIARFLSTYSSPDFSGFSCSLPFKVDAVQCCHEHDPVAKSIGAISTIIRRPDGKLVGYNNDYIGAISAIEDGIGGPGSKNAAVTPLAGRLLVVVGAGGAGKAIAYGAKEKGARIVVANRTYDQFL >ORGLA01G0135200.1 pep chromosome:AGI1.1:1:11753620:11760238:-1 gene:ORGLA01G0135200 transcript:ORGLA01G0135200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPEAGRSERDGREQDDDYEQQQARVLMALMQGFCAARYRKADNIPCPIVQGLYLGSVGAAMNKDALKSLNITHILIVARSLNPAFAAEFNYKKIEVLDSPDIDLAKHFDECFSFIDESISSGGNVLVHCFAGRSRSVTIIVAYLMKKHQMSLENALSLVRSKRPQVAPNEGFMSQLENFEKSMQVEQERKLMQPVQN >ORGLA01G0135100.1 pep chromosome:AGI1.1:1:11745719:11746606:1 gene:ORGLA01G0135100 transcript:ORGLA01G0135100.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFSVAFSGHIKCCIPRANLGGNTFWRLRDSAGESIERKKQELERLTLLCFQKTRQGIVQKEATLPSVYVEPKVKPTVSDPPVTQEQIALMIDQSVSAVVFNTHEVFIKNMDHALDARFNACVKSVIGASNGKDTQRQVSHNTNASTSSTTGKSKQGLDASAMFTTQQNTPMTQIYSRTNGGGSAGSAGALPFPMQHDVGQGGTPFTLPQPNSSASSAPIITNSNYDSRVNGNLESTFVPPYPTVAYNIPRIPPQGSVIIYGALPNSNNSFLQHAPYTPPTQMTQFLHGTPQPNIF >ORGLA01G0135000.1 pep chromosome:AGI1.1:1:11737664:11739637:1 gene:ORGLA01G0135000 transcript:ORGLA01G0135000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial editing factor 18 [Source:Projected from Arabidopsis thaliana (AT5G19020) TAIR;Acc:AT5G19020] MTTRAGAPLAVFLVSSLKSAAARLRHGEQLHALAAKSGLLASNLFVRNSLLAFYSRVAPSLAYHLFDEIPPLLRDATAHNILLSALARAGRLERAQCLLAEMPQRDAVSFTTVISALSRSGHPERALAVFRDMLTEAVQPNEVTLAEVLTAMACDHGAPAPVGAAHGVAVRRGLDGFVIVATNLVHAYGAVSQVPSARSIFELMPDRNTVTWNTMLNCYVKAGMINMAAEVFGVIPERDEVSWLTMIDGYMCADFLLQALRTYVAMVGTVGIRANEVILVGLVKACSRHSAVSEGQQLHTVILKNGFDAHAFVQATLIHYYGSCDFIDHAQMQFKLSDKSHVASWNALMASLLRRNLVHEARQLFDDMPERDTISWSTLISGYVQSGNSNMALQIFCSMLDAGVEPNEITLASALSAVANSGTLGQARWIHDYIISRSIQLTDKLSAGLINVYAKCGSIAEAVQLFNHVKDKSISVSPWNSIICNLAIHGYVNMSLELFSQLQSTTNIKPNSITYLGVLNACCHAGMVAEGKRQFESMRQQYGIQPEIKHYGCMVDLLCRAGYLEEAELLIKTMPMKADVVAWGCILAAARTQGNVALGEKAAEELSKLDPSHGASKIALSNLYADAGHWSNVSVVRKELQNENLERLTGSSGILQL >ORGLA01G0134900.1 pep chromosome:AGI1.1:1:11722139:11735746:1 gene:ORGLA01G0134900 transcript:ORGLA01G0134900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein [Source:Projected from Arabidopsis thaliana (AT4G27340) TAIR;Acc:AT4G27340] MAPPLLDLPSHCLLPRLRRPTSGRLLLHLRLKPLSSSSYTTTTTTTTTSSSTSSSRAPQVPPSLHGPSLRRGRRLPGETDGLLSFARIFDLAALRVPAAACAPLERRLRGHLLNWPRVRNVVRQPGDDGGLLFPVPHPSLATPSSLPTAVARREKLAREFNARGFVQFPNLAKMSRPPARKRKGKKDEGGGEAAATARDTKDKVYVVEVIGERKEEHGDEWMGLVGEEGFGRITWRGGPTRLLLLDENYANKRVDDLPEAIKVVLDHETNKDGSSSYELVQCQLTLFYNYWPMNEILEEILPEGIIVPTGFETVGHIAHLNLRDDHLPYKKLIAQVVLDKNKPKIQTVVNKIDAIQNDYRTMQLEVLAGIDSLVTTVIESGLRFQVDLSTVYWNSRLSTERQRLVDHVFKNSDVVCDVFSGVGPIAISAARKVKYVYANDLNPTAVEYLERNIVLNKLERKIEVFNMDARRFISSIYSSQHVHPVTQVVMNLPNDAAEFLDVFRGISWNHQLAVPSCVMPNIHVYGFSKAEDPEYDFHERINLTLGENVSDVEMHRVRLVAPGKWMLCASFTLPVSVAFAKPNYIAC >ORGLA01G0134800.1 pep chromosome:AGI1.1:1:11711304:11712149:-1 gene:ORGLA01G0134800 transcript:ORGLA01G0134800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVFISDLMYGDTDKRIKVRVSRQWDYHDLNDETKIYHTDLVLLDEKGNSIHVQIYPPAMIKLRTLLQEGKVYYFDSSVRYANRTYRPVTNLLMISFTKWTTLEECIDASDDFPAITFSLTPFQDAPSLVDKNAFYVDIMGVITEIGATDTLCPKSRNTETLKRTMQIWDASNVRQASEHNFH >ORGLA01G0134700.1 pep chromosome:AGI1.1:1:11654359:11654724:1 gene:ORGLA01G0134700 transcript:ORGLA01G0134700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRPPPPLTDTSSEPQTKIPKPTGAEVHEGNCWRYVMSPFDQTCQNHATSGGSSPRRRVCRGEVPTAKTIKQRWRRAKDRAGIPQGWRHLGQRLYGEECMAKADGFAIASSTSRRPKRPS >ORGLA01G0134600.1 pep chromosome:AGI1.1:1:11646498:11647292:1 gene:ORGLA01G0134600 transcript:ORGLA01G0134600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKENQVMESSLETKLIDMPQPQETNGDGEAAPEADISMATTLMPNGVGERKATAAPALPAARPPPEMTDKVMASTANLAQLLPTGTALAYQALSTSFTNHGQCYRSNRWLTAGLVAVLTASSIFFSLTDSVVGRGGKLYYGMATPRGFNVFNLSREEEEAQELSRTKLRELRVRPLDIVHAFFTAVVFLTVAFSDVGLTKCFFPDAGNDTKELLKNLPLGMAFMSTFVFLLFPTKRKGIGYTDTTPRPAPETNKPTQVSDHMP >ORGLA01G0134500.1 pep chromosome:AGI1.1:1:11626694:11627371:-1 gene:ORGLA01G0134500 transcript:ORGLA01G0134500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAVHVQMPAVDTEACCDDEKNPQPAREIISDHVAVRPTPAVDKTLSGASDLLKLLPTGTVLAFQALVPSFSNHGVCHAVANRYLVLALIGACAASCMLLSFTDSLIGHDGKLYYGVATLRGFRPFNFAGTREEHGTVFKDLSRFRITALDFVHAFFSAVVFLAVAFADAAVQTCLFPEAEADMRELLVNLPLGAGFLSSMVFMIFPTTRKSIGYTDMTPHSQ >ORGLA01G0134400.1 pep chromosome:AGI1.1:1:11611859:11623623:1 gene:ORGLA01G0134400 transcript:ORGLA01G0134400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSILLLPFHHGLSNKSTTKDFMEIGSIVCFCAVHSHGFKMLFALSAVLRHTPSTPVGFTILKAGLNKSVLLSVYNSQNSRNGQADRSTHGLVSKFVKLYPDLGFDPEASLLMNVWQSLAVLVVMQLYSYERRQNSDKNFGVSDASESGLLGFLRRLLIWHSEKILSVTVFYACLSSISLSGLIYLLGLIMFSILPKVSRIPSKVYLVYTGLLATSEYLFQMLCEPAQMCPGQQFHGLSVFLGLKHYDAGFWGVEYGLRGKVLVIVACTIQYNVFHWLDLMPTSLLHEGKWEEPCQLFISGDTSSNARDNNKDSHSSNRFSSLFSKVQGLIGSSSSSSLSSGSTCQTSEPVQNETSGSDEGKRYSFSKIWGMSKESHKWDKRKIISLRRERFETQKTTFKCYMKFWMENLFKLRGLEINMIVLLLASFTLLNVISIFYITCLVVCILMNRDLIQKLWPLFVVLFASVLLLEYFALWKEGMPWLHSINDIEVHCRECWKNSRIFFAYCSKCWLGLIADDPRMLISYYIVFIFSSFKLRSDRFSGFSDSDTYHQMMSQRKNALVWRDLSLETKSFWTFLDYIRLYAYCHLLDIVLALIAITGTLEYDVLHLGYLGFALVFFRMRLEILKKKNKIFKYLRMYNFALIVLSLAYQSPYFGQFSSGKCDQIDYIYEIIGFYKYDYGFKITSRSAFVEIVIFLLVSIQSYIFSSGEFDYVSRYLEAEQIGAMVHEQEKKALKKTEQLQHLRRSEEQKRERNMQVERMKSEMYNLQSQLNRMNSFTPINNASHSEGLRHRRNTKLYTDIDTPLQDSGIGSPRKEDKTGSTDSSQSFEFSVEDAQKSLTDLMFRTPCDTPRSPIRGTSEEFKVTDNARNSLGSTSEITEVEENEGKVNHNLLKLQYGRGAVKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPEEPHSSDHPAEDDIYDMVESQRETHDGQLLRTHSVTSGNGTKSSANMPIGVIFRLLSMVYLGALFLYALCVNYGPSYLFWVIVLIYTELNILSQYIYQIVIQHCGLNIHIPLLQRLGFPDDKIKASFVVSILPLFLVYISTLLQSSITAKDGEWVPVTEFSFLSARNNVEEKQRMPYNWRDRLKNIHLPVMNLIRMIGRGISRYWLSLTQGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRVLAIAHEERCQANSPSSCHSCSRVRIQSIERSKENSSMALAVLEVVYAAPLDCQSAGWYKSLTPAADVEKEIHESQKAGLFEDVNFPYPVVSVIGGGKREIDLYAYYFGADLAVFFLVAMFYQSVLKNKSEFLEVYQLEDQFPKEFVFILMILFFLIVVDRIIYLWSFATGKVIFYLFNLVLFTYSVTEYAWGMELVHRNVGGFVLRAIYLTKSISLALQALQIRCGIPNKSNLYRQFLTSKVTQVNYFGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFKTTVCEKIPWKHMRAYDDVDPLDYLGGYNVEDIQLICCQPDASTMWLIPAPVQTRFIQSLEETEMIFGNMELILNWDFLRARPKGKELVKYESPVDRSPSVDDVKRVLNGTTNSFRITDAYPRYFRVTGSGEVRRLEASIDSVSGELLLNNGTPPWWSFYDTNPSDLAGCQGLNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLVNIYRSPHMLLEYTKPD >ORGLA01G0134300.1 pep chromosome:AGI1.1:1:11598975:11603379:1 gene:ORGLA01G0134300 transcript:ORGLA01G0134300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRTGGCAGRCLLPLVLLAASLLDWSLISLVNMIFFFAIRFVAPRRGFRAWRLYLLFWCTIVYSVLASLAQVTFHLIWCIEGEGWVVAHSWWAKLVGFARSQPGESPSVIYFLVVQLSAAVLALVEVFGSRLYQDSCWLNFSFGIEQIGYHLRVACCFLLPAVQLVVSISHPSWISLPFFVFSCIGVVDWSLTSNFLGLFRWWRLLEIYSVFIILLLYVYQLPVKFPYVVLAFADFIGLFKISSNSEWPEVSSGISLLFYYFMVRN >ORGLA01G0134200.1 pep chromosome:AGI1.1:1:11597568:11598133:-1 gene:ORGLA01G0134200 transcript:ORGLA01G0134200.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRQQRELAAAGGGVSWREQATAIEQAGGSASRRRERRQAATRAGSSRRQRLRRQSMAATRVGVSQLRRRGLGTGTWVSGRAWIRSARARLDPLPSLIIDALVQYDGAKNISAAPFPVKAKSLM >ORGLA01G0134100.1 pep chromosome:AGI1.1:1:11578605:11579018:-1 gene:ORGLA01G0134100 transcript:ORGLA01G0134100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RISGLGRLHSSSPGSCRLSAPLLRGSAVAGDGRGGSNDLFLGRLHSSSFGSHRFSAPLSCGSVGGGDGRGGSGDHFPSRIVPVVSPPPSLSHGSAAGDDGRDGPCGLSYGDDGDGFQEVSMSTTRPSASSGLHHRSH >ORGLA01G0134000.1 pep chromosome:AGI1.1:1:11554708:11557224:-1 gene:ORGLA01G0134000 transcript:ORGLA01G0134000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWGWAAAAAAAAAWVAVKVLEVLWWRPRRVEEHFARQGITGPRYRFLVGCVREMVALMVAASAKPMSPPYRSHNVLPRVLAFYHHWKKIYGNPPPPPLLLNSILSQKQQPRTRRWQVAVVGERFAPGRYDIDMMAALGSTFLIWFGPTPRLAIADPELIREVLLARADRFDRYESHPMVRQLEGEGLVSLRGDKWAHHRRVLTPAFHMDNLRLLLPCVGMTVLDMADKWRALAEADKSGEVEIDVSDWFQVVTEDAITRTAFGRSYEDGKVVFKLQAQLMAFASEAFRKVFIPGYRFLPTKKNKSSWKLDKEIRKNLVTLIGRRQEAGDDEKLDGCAKDLLGLMINAAASSNGGKRSALPVSPITVNDIVEECKTFFFAGKQTTSNLLTWAIVVLAMHPEWQERARQEVLDVCGADGVPSREQLAKLKTLGMILNETLRLYPPAVATVRRAKADVELGGYLRIPRDTELLIPIMAVHHDARLWGPDAAQFNPARFAGGVARAARHPAAFIPFGLGARMCIGQNLAILEAKLTVAVILHRFEFRLSASYVHAPTVLMLLHPQYGAPIVFRPRSSSQPTCEKMNPLTSS >ORGLA01G0133900.1 pep chromosome:AGI1.1:1:11530838:11533326:-1 gene:ORGLA01G0133900 transcript:ORGLA01G0133900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEETQGESSNSPSPPLFRCRPPKRGTPPIANESSPSLSDSRSIIFSPCRANPLLTSSCFVHCSDGNATSSTGALERAPSAAAADIVAVPTAVDRAKPSRHANAFSLKPSSKPLNNPRQWRSKCGDRPPSSSPPVAVAIVVSASSGSSSSRSSRRRSPAEGRRRREDARAVAVTKTPENFNLTRDDCLIGEEVI >ORGLA01G0133800.1 pep chromosome:AGI1.1:1:11472807:11473055:1 gene:ORGLA01G0133800 transcript:ORGLA01G0133800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDAPCGELLPVLPSSSAQPSQPRGHGFLAGELLPVLPSSSSPADYECYVHYTECKRPRPHQDASFLGLGLGCFRLRKSGA >ORGLA01G0133700.1 pep chromosome:AGI1.1:1:11450765:11451151:-1 gene:ORGLA01G0133700 transcript:ORGLA01G0133700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVYHQPISSSVASLPIPFHHRLCLTPVIPFFLFPLSFSHFHKPPTAPPKPAGAELLLPLFQPSSFLPMLSTDLPGCAASGNTLGRCRRGRSTTEAPAPCPFSSLLLLGRRNRRKERRTGGRRRDI >ORGLA01G0133600.1 pep chromosome:AGI1.1:1:11448525:11448749:-1 gene:ORGLA01G0133600 transcript:ORGLA01G0133600.1 gene_biotype:protein_coding transcript_biotype:protein_coding AWQEFDHYDKPRPHCAVTSSKWDLRTALVSSALQTVDIVWVNLDSLCRGELNHLNVPYSRFWSCVIRVIPSPDV >ORGLA01G0133500.1 pep chromosome:AGI1.1:1:11443790:11444299:1 gene:ORGLA01G0133500 transcript:ORGLA01G0133500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGDRGDHRDHGTSTRSSTVSRSPPTLRSSLEERSTSSGRCSGTTSLCPTYTPCIASLARAPVPALSATAFFLALPEGRQLHTLATKLGLVPSHTIVAKSLLHLYSFDGFSGVALDLFHCIPDRLLVSWNTAVDALVSNGDHLATLNLFLGSFHELDLDPLSASEQG >ORGLA01G0133400.1 pep chromosome:AGI1.1:1:11423517:11423738:-1 gene:ORGLA01G0133400 transcript:ORGLA01G0133400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVDGEDSIWHTMEVLCAYGMRSRIWKESKFSTIGYVKFLSCTRGFSKVFRTLSMSLVRGFRLPTSCINRGGA >ORGLA01G0133300.1 pep chromosome:AGI1.1:1:11404738:11406194:-1 gene:ORGLA01G0133300 transcript:ORGLA01G0133300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSAYRPPTDAKTDVQIDLEQWGLESRPLGGLVDFIKNTTNPMHHVTEGCQLEPINVENSNNGNATRTEKRLGWSTEEDLRLEANSLYASGECNVDLMAKAQKIYENDFKDGPFLLTQCWNELKTQPKWHAYLDQLDKLTSLVGFRVC >ORGLA01G0133200.1 pep chromosome:AGI1.1:1:11401507:11401746:1 gene:ORGLA01G0133200 transcript:ORGLA01G0133200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSCWPKLCAASMEEGIGHGGHRARKRSGNEEDASEGGGTLGIRSLGEEEVVRREGRAGREVAIAVGRRVSGKEVTVG >ORGLA01G0133100.1 pep chromosome:AGI1.1:1:11396347:11398406:-1 gene:ORGLA01G0133100 transcript:ORGLA01G0133100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGVIPDVMMPSLLADVEVSHLAGFDVTPSPHAEPSPRPQLRHDNPSRSRVPPLERVSRRSEVVFPPLDSPFQAPGYRSVQPVSISLPASPTGFGVPVALPIAVGDAGEPADLRRQAMSNAARDAEEQQLAAQGKGSNNNVRFVQPDKVVFRSQPIPGGKPARRAASNRGGRMMSRDRRYDSFKTWSGKLERQLTHLAGAGPEVPEEEEDGCDGDAISSHHTKSMPQVDRFFAALEGPELDKLRSSEELVLPSDKTWPFLLRFPVSAFGICLGVSSQAILWKTVATSTPTRFLHVTTKVNLMLWCVSLALMCVIAAIYACKVVFFFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPPSVATELPRWLWYALMTPILCMELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPVFFFSVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVACMAWAKITGEFGLGSRVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNAFTKALCVALSVLAMLTVLALLATTIVHGFVLRNLFPNDISIAITERKVKPIVELHEMLGSNDSAAGRSNDDIEAGVATVESS >ORGLA01G0133000.1 pep chromosome:AGI1.1:1:11377087:11379009:1 gene:ORGLA01G0133000 transcript:ORGLA01G0133000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:receptor-like kinase in in flowers 3 [Source:Projected from Arabidopsis thaliana (AT2G48010) TAIR;Acc:AT2G48010] MSSSLPSPLLFLLLLLPFPAAVRSQPAAAPAPQQQCPLNFTALRPFLAQPLPPDDSARCLLAVQSVRLLLALHLAATGSFVLPANSSCLPPLRAELPFALPSPSACGLQGLDALLASPGCANVSTLGDFDAAVPPSSRADMNASCNRDLTPVPDCTSCTTSLSKAAAAYLLPGSPNDGGNNVTGCVQYPFIYAGAAASPRGADDPATANCLYLLKVNSASKASSSVPGWVYGVVFGCVAMVLLVAAAAASWFLVRRRRRRAAAAALAKAAADSRSKRSQAMESISASTTLVQFTYDEIKAATGGFARESIIGRGGFGNVYKGVLPDGAEVAVKRFKNCSAAGDAAFAHEVEVVASVRHVNLVAIRGYCIATTEREGHQRMIVCDLMHNGSLHDHLFGAGECQMTWPVRQRIAIGMARGLAYLHRGAQPAIIHRDIKASNILLDDDFEAMVADFGLAKFAPEGMTHVSTRVAGTLGYVAPEYALYGQLTEKSDVYSFGVVLLELLSGKRAFISLGEGQNFVLSEWAWLLVRRGKTVDVIQEGMVEPGPTEVMEKYVLVAALCTHPQLHARPTMDQVVKILEADSASGPLIIPERPLPVVANLAEIERSASSSGSGQLFSPSGFRSFTHINEDAALESPKEE >ORGLA01G0132900.1 pep chromosome:AGI1.1:1:11371077:11371855:-1 gene:ORGLA01G0132900 transcript:ORGLA01G0132900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIMAPLQKQDKQLVVHLAPTEADQLARMCSAGEPLWVRQRGAEVMAVEEHVRMFRCEILLTSMNYQGIAIKYLIIAYTNKPSRRSGNGKDPVDTIESQNHEATDHQKDAKD >ORGLA01G0132800.1 pep chromosome:AGI1.1:1:11353454:11361842:1 gene:ORGLA01G0132800 transcript:ORGLA01G0132800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGLFFVLVYPKLWVSQGILFIVVYTWPCATHGSXSIVILVHPCSSSLXXSHVFFPHAIFLXACERQQGLYLYQDCXXSSSXAYTINFCNASIHKQIPXGASTXRLSERRQRXKCXEADLVRLFFNGPLPVLSRGARIWTPTTERELXPHGHHPCHLXCSPIWPIWWLVAQGHRLVLSSITTRAMLSFSTGLLPTMSFQRSSICEQTXLGCYVHQREVSRCYVGYHLHGKIGGDGLQKIMLISSVELQKNASTHCASSQTAPCTTHGGILFGTAANTVSLTTSSTEPTTSSLGPTSCPATRHGDAPSTGFACQENVFSNSAAYTSTINADVPTNTIDLLLPNNIRLVRLRFSSTNDGNGSVASEVHCHHDAKTSETPISLCIYPGENQELIIKSMVQPAKSHTGKQSSPTGGHPDDQSGRSKVCNSAAGPPSSGPGENQKGNSSAGHKTPKSPLAAPRCEAIAESVLPRLYSADYYTVPSIVELAVGERDEPGYCSHVKGFTVGRHGYGSVKFDGETDWRKLDIGSIVEFNERXIIVYRDVSNTPPVGQELNKPAEVTLLNVKCVEQKNGLQFTEGPAVDRYKEILVQWTKDHGAEFVSFDAAKGEWKFRVKNFNM >ORGLA01G0132700.1 pep chromosome:AGI1.1:1:11338715:11346498:1 gene:ORGLA01G0132700 transcript:ORGLA01G0132700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKRKTHHGGSCHQQQSCPSHGNELSAIDEEVSHLTRLKSEPCERTRASLHAGKKRHISTFKLLSGRESNCLGIGRFSSADCSYALRKHLPVKGPWCVDDMDSEAYISQFSADGSLLIGGFRGSHIRIYNAEKKWTIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPIVHMVDIQNGMRESHANITQVHEGLEFSNDDDGFSFAIFSVKFSKDGRELVVGNNNESICIYDIGSNKVTERIHAHSADVNAVTFADEGSDVLYSGSDDSLCKVWDRRCNKRGKRVGVLTGHLDGITFIDSRGDGHYFISNCKDQTIKLWDIRKMSSTVKDCTPKAYEWDYRWMTYPSEARYFKHPYDQSLSTFRGHSVLRTLIRCYFSPIHSTGQRYIYTGSSDQCVYIYDVATGKIVEKLRWHGSIIRDCSWHPYFPTLVSSSWDGYLVRWEATEDDKDPSMLKTGKQRMHPEGYTFSFVF >ORGLA01G0132600.1 pep chromosome:AGI1.1:1:11300244:11302605:-1 gene:ORGLA01G0132600 transcript:ORGLA01G0132600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDAKTRRGLAAATSRRRGAERASSRGSPAQQDHRRLDYHVFPDDLGGLGAAAPRCDLERRVHGAALLGAFSPCFHGSSSSHLPPQHSSEEVSAAAAAREQEQTLRLFLQDIERSIVFGICKNPETTEFFRDHRRRLDDYFAAAKNLLQMLEHPVLAYGDLHNRAKSLLVTAMGSLAVELCHLKIWKPDALASYLGCTPTSIWELARSSCRGGGGGSGSASSASWMSTSRSCSGGSSGPNGVSFDGYYMALSEERTVRSGQASSVTASHIDLKSVSILNKIADFMIGVGHEQMLRGAFDQHSEHLVRYIEILDIDKILGNHMEESTELLLKVWTSTMRTVLSVLDEMRTHLNQKDHGTFSSLKVDYFSAIAKESVMKLLNYANAICIQVGPNDPSCRDTHASVKHYPSKMANLLIMFQALEYAKMEILDLFLGQTKGPILMEIERLTNGLSAVFLVLLVELNGLLRSQHLVISNTGVHHVTQHIMGLMRLLVEQKDKVHMMLNDNPDKFGQVVTQLISSLEFMLDMNSRSLALQGQQQVFLLNNINFVLEQANNYTDLKLILGESWCLQRHVQLDQFLASYVEASWTPVMSSFIITRIPKILWPQQLFDKFNSRFEMTYNVQKTWKVTDPVIGQKLREKITQKVIPLYRMYLESYSDKKQKSARFNVEHLEARLLEIFEG >ORGLA01G0132500.1 pep chromosome:AGI1.1:1:11292483:11295488:1 gene:ORGLA01G0132500 transcript:ORGLA01G0132500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALGSLSPIRATSAGHDRHGGRSVASASVLDATVSLYSQVLIKFGSASLLNPADPTLQQRLTNRRPSLLGQHSQLPSAHFTDKETRRVIGRICYFFVGSATSCNQQTYKWLRLLGAPHHQVQNQVSHVHVIDSNDALFAC >ORGLA01G0132400.1 pep chromosome:AGI1.1:1:11289131:11289871:-1 gene:ORGLA01G0132400 transcript:ORGLA01G0132400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKYGIEPCEEHYSCMVDVLARAKNFEKAEELTKEMPFNLSSIGWTSSLSACRTHGIMDLGARAAKEILNLTPYSASTHVVLSNIYATVGKWEEAAQIRKLLRNRGIRKKPGCNWIDLGRIVHIFVANDVSHPRIKDVYKFLEVMSEKMKLAGYVPDERWALAKDHAAGGETRLRHHSKKLALAFGLINTVEGEPILMMKNLRTRGDCHNAIIIISAITFRQITVRDAHRFHCFSDGSCSCGDYW >ORGLA01G0132300.1 pep chromosome:AGI1.1:1:11286387:11286806:-1 gene:ORGLA01G0132300 transcript:ORGLA01G0132300.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDPNSSPTGLGGPSDGSYIFVRGVTGGAWEYGEENARGGEGRAGAIDYFLYIDARYFARVPSTSQRLLPLHSASSGNDL >ORGLA01G0132200.1 pep chromosome:AGI1.1:1:11272912:11277945:1 gene:ORGLA01G0132200 transcript:ORGLA01G0132200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLGRAPCCYCFTEPPPAGGVAAAVRLRLLPPARAANTSSEPAARLRAVLEQVDEELRKGNDEAALSLVRGSQGADGGLRFFGAARQVPQRLYTLDELKLNGIDTSAFLSPVDLTLGSIERNLQIAAVLGGLSVSAAFELSQLQVLFLFLGLLSLWSVDLVYFGGGVRNLILDTIGHNLSQKYRNRVIQHEAGHFLIAYLLGVLPKGYTITSLDTFIKKGSLNVQAGTAFVDFEFLQEVNSGKLSATMLNKFSCIALAGVATEYLLYGYAEGGLADIGQLDGLLKGLGFTQKKADSQVRWAVLNTVLALRRHKKARSQLAEAMSSGKSVGSCIGVIEENINSEDI >ORGLA01G0132100.1 pep chromosome:AGI1.1:1:11268650:11269855:1 gene:ORGLA01G0132100 transcript:ORGLA01G0132100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRKRKRAGKPTCLPPADASSPSIDSLNDDVLEEILVRLPSIASLARAACACARLRAIASSWAFLRRFRALHPSLLGHFATDADDESVIPTFHPARAQFDGCSDAAVRGGDFFLTRVDANAGWRVQDCRHGRLLFANESDLLVYDPLSRRGVSIRRPSWYPSSHFTHCLLAGYGGDGCPGSFRMVSVEHNGERAARGAVYSSCTGAWRRGRWDYDRVINPKRPSEYSYFPGMQAAGRIYWKHRDTTKLQVFDAGPMRFSYVHLPEGVHPRSKYAVGEAEDGGCCLVVLADAPHGTVFKVWRLRTGKGSWPWAWTWELERWLPACEVIGKVQYPPIRHVCAVVAGVVLICFQNHAGPHRHIAFWLSNMQVEATFRSAGWAYPFLMPWRHSSPLLLPSEKF >ORGLA01G0132000.1 pep chromosome:AGI1.1:1:11266541:11267845:-1 gene:ORGLA01G0132000 transcript:ORGLA01G0132000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKASPSSFSGDPPPAASPTTVDSFCDDVIAEFLVRLPSVPSLARAACAVKRWRRVASSPAFLRRFHALHPGQAQPLLGHYYYTECSSRPVFQPAQPTFSDPELSAVVRHGDFFLTPVTSMGRLEVEDCHQGRLLLRNCDTNELTVFDPVARRVLAKLTPPRHGNKRAFHHNSGSFLIPGRVGDQAASSSSFRVVTMQRCSTTVIYASGGDQRLDMERLAVYDSSTGAWSARPWTNTGDIDMPLPLKVKRYSAMHAPGRICWKRLRGGSPNSLVSLDAETMGFPEVVPPPGVLLGPSYAVGDTDDGSMCLVNMEERGTMRLVMLSKKKWHLRVWLLGKSGCGGRAWVLDQEQETSLTTSTEDAADMLWDYDQACRVVAVNAGVVLMCLHSPRRLNDRYIAFRLSNLEVVASFSASEPVFQYQMPWPPVLLPTA >ORGLA01G0131900.1 pep chromosome:AGI1.1:1:11253524:11254027:-1 gene:ORGLA01G0131900 transcript:ORGLA01G0131900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKLEICSLFVLAVVAATMFHCSDAQNSPQDYLSPQNAARSAVGVGPMSWSTKLQGFAEDYARQRKGDCRLQHSGGPYGENIFWGSAGADWTAADAVRSWLDEKKYYNYASNSCAAGKVCGHYTQVVWRDSTNVGCARVRCDANRGIFIICNYEPRGNIVGRRPY >ORGLA01G0131800.1 pep chromosome:AGI1.1:1:11240946:11246516:1 gene:ORGLA01G0131800 transcript:ORGLA01G0131800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGECIYNNPPVLVTPSKPTPKLALYLSNLDDQRLLHFPIQYIYVFTGTLDMDTLKVALSRVLVDYYPLAGRLRASNEHDGKLIIDCNSEGVLFAEGFLPGLTAGDFILGHAKPQVLEEAALXRXAELCVHATTSRTSNSPQLRWHHPLHRHRPLRLRRLRCRALPPCLGARRHVRRLRTRASRRRALPRPPRPRAALHPAHRVRAPGVHRCQRGRXRLRRRGGLVAPLRPAAVAGFRDLHGRARRAAQEAVRAVGVASRAVHVLRGARGARLARVGPCPRPAGLTPHQAPLHHGHTPAGQARAVGRLLLRQRLRAGVCRVDGRAAGGFGAQRGEAGAYVRSVIDLLEVRRGCLPDLAATFTISSLTRQGLEDIDFGAGTPVHFGPLTSEVYCLFLPVSATRAAPPRLCPSRRPPRTASSVAAMMDWMTWTWKTSISCLTXEMAWHP >ORGLA01G0131700.1 pep chromosome:AGI1.1:1:11237490:11238772:-1 gene:ORGLA01G0131700 transcript:ORGLA01G0131700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLGLIIFRXPTYLPRXCLSAISXGILRTTLCVRFKSEVVACGVVYAAARRHGVPLPEDPPWWNVFDADEAGIQEVCRVLAHLYSLPKSQYIQVYKDNDSFTHRRTSDTNASKESPATTVASDKGTPVPSSSSQEKDALIKAGSDKVKEKGDDDGKTLPSEPNGKEGPAVNLKSEKSESNVDRSRERERDRSRGRDRDSRGRDSDRDSKGRDSDRERERDREADRDRQRRHHSKDRSSGYSDKEKSRHRSSRDRGDHYSSHSSRDKDRHRRQ >ORGLA01G0131600.1 pep chromosome:AGI1.1:1:11214417:11216043:-1 gene:ORGLA01G0131600 transcript:ORGLA01G0131600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLYLLLLALLVAVPFLCLTRSSLWHGGGGGGRLPPSPWALPVIGHLHHVAGALPHRAMRDLARRHGPLMLLRLCELRVVVACTAEAAREVTKTHDLAFATRPITPTGKVLMADSVGVVFAPYGDGWRTLRRICTLELLSARRVRSFRAVREEEVGRLLRAVAAVAAVAALTTPGATGAVNLSERISAYVADSAVRAVIGSRFKNRAAFLRMLERRMKLLPAQCLPDLFPSSRAAMLVSRMPRRMKRERQEMMDFIDDIFQEHHESRAAGGAEEDLLDVLLRIQSQDKTNPALTNDNIKTVIIDMFVASSETAATSLQWTMSELMRNPRVMRKAQDEVRRALAVAGQDGVTEESLRDLPYLHLVIKESLRLHPPVTMLLPRECRETCRVMGFDVPEGVMVLVNAWAIGRDPAHWDSPEEFAPERFEGVGAADFKGRDFEYIPFGAGRRMCPGMAFGLANMELALAALLYHFDWELPGGMLPGELDMTEALGLTTRRRSDLLLVPALRVPLRDHER >ORGLA01G0131500.1 pep chromosome:AGI1.1:1:11206545:11209453:-1 gene:ORGLA01G0131500 transcript:ORGLA01G0131500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEAMVPDDGWEEYLNNKWDRNVVVEETAKFSELKLQPETEQRPHKVSFFVDKKSAQEVIKSLSGNMEKCGLDVKIIYSGGQDLDILPQGAGKGQALAYLLKKLSSCGKPPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWYAENAKGNPKIIHATERCAAGIIEAIGHFKLGPSVSPRDVGFPYVKEDHIKPTDAVVKFYVLYEKWRRAEVPKSDSVVQYFKNITHANGVIIQPAGLECSLHASVDALSSCYGEKQGKKYRTWVDRLFVSQSGSDSWLVRFDLWEAEGDARLCCLTSLALNVKPETPAGFLITHVHKTWLKGYSSADEQSSKL >ORGLA01G0131400.1 pep chromosome:AGI1.1:1:11200374:11200730:1 gene:ORGLA01G0131400 transcript:ORGLA01G0131400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEPPPSMASSLMEATGDSESDAAAVDRGSCPADDGDAESCCGGDQDGGGGAAAAGSVEALSWERWMREYCAGYQLVVAADDGKCAAPATEDDVAAAGDSDAESDRLFWEACIAHGF >ORGLA01G0131300.1 pep chromosome:AGI1.1:1:11193769:11194200:1 gene:ORGLA01G0131300 transcript:ORGLA01G0131300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRCGTRQRSRRRRQHTVRRSETTPRRGRRRXSRRRPRVHGGVVDAVAIWENQAKAGVRXGMAMPTAVFARRGGDGSGSKRRPEATKAAAARAARGHGITVVVQGNKVDAGVELGTARLAVVAAQHGGVWSGDGMRLEARR >ORGLA01G0131200.1 pep chromosome:AGI1.1:1:11176318:11180250:-1 gene:ORGLA01G0131200 transcript:ORGLA01G0131200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGEPHRYSESKGISGKGISGKLQRLRHDEFSIKKAQQAAPGLLGPFAIDQAQPISPLKTHMCK >ORGLA01G0131100.1 pep chromosome:AGI1.1:1:11161914:11162713:1 gene:ORGLA01G0131100 transcript:ORGLA01G0131100.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAVSLAAAVGGHALRLAELETFRPEEGMILANATSLGMYPNVDGTPIPKQALSFYDVVFDAVYAPKVTRLLREAEECGVKVVSGVEMFLRQALGQFERFTNGIEAPESLMREVLAKHT >ORGLA01G0131000.1 pep chromosome:AGI1.1:1:11139162:11151281:1 gene:ORGLA01G0131000 transcript:ORGLA01G0131000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCVPLVSRTVEAMQADAAAAAAAGADLVEIRLDFIEGFRPREHLPSLLRGCPLPALVTYRPNWEGGQYDGDDATRFEALRLAMELGVDYVDIELKVADKFISFISGSKPEKCKLIVSSHNYESTPSCEELADLVARIQAVGSDIVKIATTASDIADVSRMFQVMVHCQVPMIGLVMSEKGLMSRVLSPKFGGYLTFGTLDATKISAPGQPTVKELLDIYNIRRIGPDTKVLGLIANPVKQSKSPILHNKCLQSIGYNAVYLPLLADDLARFLSTYSSPDFSGFSCSLPFKVDAVQCCHEHDPVAKSIGAINTIIRRPDGKLVGYNTDYIGAISAIEDGIGGPGSKDAAISPLAGRLVVVVGAGGAGKAIAYGAKEKGARVVVANRTYEKAVSLAAAVGGHALRLAELETFRPEEGMILANATSLGMYPNVDGTPIPKKALSFYDVVFDAVYAPKVTRLLREAEECGIKVVSGVEMFVRQAMGQFEHFTGGIEAPESLMREIAAKYT >ORGLA01G0130900.1 pep chromosome:AGI1.1:1:11133692:11136718:-1 gene:ORGLA01G0130900 transcript:ORGLA01G0130900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMECNKEEALKARDIAAKKMESKDFVGAKRIALKAQRIFPELENISQMLTVCEVHCAAEAKMNGLLDFYGVLQVDVMADEATIKKQFRKLAFSLHPDKNGFAGAEAAFKLVAEAQSTLSDRTKRRAYDIKWRIASKQATQPKQGAQPAQAAQPKQCTQPPLATKRNQSAQPTHNTQQSAQPKQSTQPMQATQPKHATEPMEKTDANRASNAKEGYGSSVRPPSAGEAFWTMCVNCKTKYQYYSNVLNHKLRCQNCKKDFRAVMLNEQDVPSVFSSSAAKSAGQHCAVPKQEDCSTKFSSAANRDAKPMVNGGQHDEQMKNSASVRAGGEGTVNHTESIRKGGLEFSTLHVSSAANVGSKAGGKMTSCPTPDVAGRQNPGNRVNTSAETGVMNIPNPRRSARRKENADASIIQDTPSKKRRTILDWFSNPDSSRKKVADDNVVRADGQACEPHVSSEAHNHQKGTTSNEGNQEKRKDVAHDTNAQKKSGIPGNFSYPDPEFFDFDRCRDVSMFAVDQIWALYDDRDGMPRYYARIRRIDTTNFRVQFTWLEHDAKNEEEDKWTDEELPVACGNFFLGKTVVSQDALMFSHIVSWVKGRKRSSYEIYPRKGEVWALYKGWSMQWSSDADKHRAYEYEAVEILSNFTVEAGAAVGPLVKIKGFVSLFAKVKEKPSFVIPPSEMLRFSHSIPFFRTKGDEKVGVAGGFLELDTASLPSNLDVAFPSVTLDSCMPVCKTMNSGFNDFTGYEQGALKENLMNEGKRKDHSLERTPVHQQSAAYSSPSTFDYPNSEFHNFEEYRSYSKFERGQIWALYSDLDQFPKYYGWVTKVDTDPFRVHLTWLEVCPQLEQENMWLEQNIPVSCGTFKIRNWRIKLDTNDAFSHLVETSQVGWKRYFEIHPQVGEIWAIYNNWAPGWVPSSKDTFEYTIGEITDRTEASTKVLLLTRVDGYRAVFKPDSVRGTLEIPTNENIRFSHLIPSFRLTKENGGKLCGFYELDPASVPDTFLFRSGC >ORGLA01G0130800.1 pep chromosome:AGI1.1:1:11122391:11125755:-1 gene:ORGLA01G0130800 transcript:ORGLA01G0130800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT3G07050) TAIR;Acc:AT3G07050] MVKKSKKSKSKRVTLRQKHKVLRKVKEHHRKKRKEAKKEGKSHRKKVEKDPGIPNEWPFKEQELKALEARRAQALQELELKKEARKERARKRKLGLLEDEDIANLASAASAQGSEFAEKDAAKENASLDVVKSQDHSERAFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVRKADPSKRIVLLLNKIDLVPKESVEKWLTYLREEMPTVAFKCNTQEQRTKLGWKSSKIDKSSNIPQSSDCLGAENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDKKVKLLDCPGVVMLKSSNSGVSVALRNCKRVEKMEDPISPVKEILDLCPHEKLLSLYRVPTFTSVDDFLQKVATLRGKLKKGGIVDVEAAARIVLHDWNEGKIPYFTVPPKRDAVDDSDAVIISETGKEFNIDEIYKAESSYIGGLKSLEEFRHIEIPSNAPPQIDEEMLEDGKKQNEPAQENHDESMSDANEREGAKTASASTQNDKLYTAEGILDPRKRKAEKKRRKANKFSVLTDMDADYDFKVDYQMKDALAEEGNDGGDEEPKEADPMTGVDDA >ORGLA01G0130700.1 pep chromosome:AGI1.1:1:11113920:11117525:1 gene:ORGLA01G0130700 transcript:ORGLA01G0130700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIASSGGASPRPPPPHLEAYRHGVPPPYYHSYPRPPPGAAAPPPVPVPAHVERHRAVAVSVGVNVKGDTLRLVPDDDDDGRSLLLAFSFDADGPGSITVCFFAQEDKCALKTAKENLLQPVTVPFKEGRGQEFKQPSGSGIDVSRFEESELTNVGEGGVFPVAFKVQMDVSGNQESEGAHETEQSKYLVKYAIFVKKDNAEYGVHVVQQILWVNGIRYVLQEIYGIGNTADKNAHEDDSGKECVVCLSEPRDTAVLPCRHMCLCRECAQVLKYQTNKCPICRQPVEGLREIEVDNKPIPQQGSQQLTAPQ >ORGLA01G0130600.1 pep chromosome:AGI1.1:1:11109171:11109782:1 gene:ORGLA01G0130600 transcript:ORGLA01G0130600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARPPDDDDDGCMRQRRDPLLASLSRSSLAIEPPHRPCHAADGRRRRRTHAPSSRPVDNGNGNLGDDDSGWRRLATVQMTATAAGDLVDNGGAPAWVQDARRDAHRRALRRRPALLRRIELGSPRTPPRPPPHWAVAAQRPWPRGSTTPSWRCRRRMEAGARGGARRLRRRRRQGRGRLRHRGEEPKEMGGGIYVGPTVGQ >ORGLA01G0130500.1 pep chromosome:AGI1.1:1:11105632:11107807:1 gene:ORGLA01G0130500 transcript:ORGLA01G0130500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:I1NN65] MGTAKIPALLWFLLAGLITETNYTRLCHEKSILTVNGQFPGPTIYARKGDLIIVNVHNNGNKNITIHWPRNPWSDGPEFITQCPIRPGGNFTYQVILFEEEGTLWWHAHCDFDRATVHGAIVIHPKRGTTFPFRKLDKEIPVILGEWWNDDVEHVLDKAKRIGGDVEPSDANTINGQPGDMFPLSSRDDTFKVAVQQGNTYLLRVINAGLTNDMFFAIAGHRLTVVGIDTRYTKPITVDYIMIAPGQTMDVLLKANRTLGSNSRYYMAARTFITLPVDTIRFNNSTATAIVEYTDSAAARPVGPPEFPVLLPAIKDEDAAMAFVKQLRSLGNQDHPVHVPMQVDEHMLIDIDINFLPCDANNATNKLCEGPQGNRFAASLNNVSFQNPAIDVLDAYYYGSGRGVYEENFPNKLTVFVNPTGDINGGGPLLMKRGTKVKVLEYGTVVEVVFQDLSIENHPMHLHGFTFYVVGRGSGTFDERRDPATYNLIDPPFQNTVSVPKSGWAAIRFRADNPGVWFMHCHFDRHVVWGMDTVFIVKDGKTPQAQMLPRPPNMPEC >ORGLA01G0130400.1 pep chromosome:AGI1.1:1:11097275:11097923:-1 gene:ORGLA01G0130400 transcript:ORGLA01G0130400.1 gene_biotype:protein_coding transcript_biotype:protein_coding CPVLARVPPRLRRLGGLTNDDIGWFCVSYASKLLVAAPDVAKAVLVIADEFGVPRRMRPFKDVIVAAFLLKPEHLAWKAAFFRDEQSSGGRRRRVQAWRLWRGRDFINVATIVEEDFVAKFIRPSLVKDSNLDKVYESTIAEKELKNSKSV >ORGLA01G0130300.1 pep chromosome:AGI1.1:1:11095512:11096864:-1 gene:ORGLA01G0130300 transcript:ORGLA01G0130300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARCEKRALSPPRRKIPRKCKRGRTVGAVAPNGAAPWMEFPWASLDGDIIRLVAEHALAGDVADYLRLRAVCRHWRSSTVSPRGRSVVDPRFHPRRWILFPESHGLFPGHRKLHGRVRFFNVSTGAFARLLLPLFPDHFVIDSVDGLLLLQRDRDSAIRILHPFTGDIVEFSSLETLRPQVEPFFTSTELAYMRAMERKEMGIFSYFNRICAALSFGPDGVITIMFVVTRVQRVAFATSADQQWTLSNWQTNLSWKYMAFQGKIYAASIWVNFSPNRIFVIDPPRVEANGSASSFSLPEPKLVATCPVEKLFGFAYLVNCESEVLLIGHTDRSYSQAVVYRLADIILGRFIPLTRFGDYTIFMDERSLCVSSKAVPGIADGSLIYRHHGKFLAQYHVRSGTLSTAAEGRIEEGYRLAPYSLIHHLFACCLMTFGKSPGYAVLPCLVPA >ORGLA01G0130200.1 pep chromosome:AGI1.1:1:11077120:11079327:-1 gene:ORGLA01G0130200 transcript:ORGLA01G0130200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33680) TAIR;Acc:AT2G33680] MAAAAPSSARRMSHTQFIELLRRRASCPRHGEALHAWALKSGAASHAPVANSLINFYSSLPRPLLAAAFAVFDDIPPAARDVASWNSLLNPLSRHRPLDALSRFRSMLSSSTVLPSPHSFAAAFTAAARAASAPAGTAAHALACKIPSAVSNVYVCTSLLNMYCKLGIVSDARRVFDGMPQRNSFSWSTMVAGYAAEKCSEEAFDLFRLMLEECPSEKSEFVATAVLSAVSVPLGLLMGEQMHGLIVKDGLLDFVSVENSLVTMYAKAGCMGAAFHVFESSRERNSITWSAMITGYAQNGEADSAVSMFSQMHAAGFTPTEFTFVGVLNASSDLGALAVGKQAHGLMVKLGFEVQIYVKSALVDMYAKCGCIADAKEGFDQLYEVDIVLWTAMVAGHVQNGEHEEALTLYARMDKEGIIPSKSTIASGLRACAGIAALEPGKQLHTQIVKYGLGLGAPVGSALSTMYSKCGNLEDGMSVFRRIPDRDVIAWNSIISGFSQNGCGNGALDLFEEMKMEGTIPDNITFINILCACSHMGLVDRGWEYFSLMTKDYGLTPRMDHYACMVDILSRAGMLKEAKDFIESITIDHGTCLWRIVLGACRSLRDFDVGAYAGERLMELCTGDSSAYILLSNIYASQRKWNDVERVRHLMRLRGVNKDPGCSWVELNSRVHVFVVGEQQHPEAENINAQLRRLAKHMKDEGYHSSSKLSFDEELGPLAESHEEDQLEWISAAYS >ORGLA01G0130100.1 pep chromosome:AGI1.1:1:11070385:11071479:1 gene:ORGLA01G0130100 transcript:ORGLA01G0130100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVYGLPMSTNVARVLVCLEEAGEQYEVVPIDFSTAEHKSPEHTSRNPFGQVPALQDGDLILFESRAISKYVLRKNNSELLKEHNLSDAAKVDVWLEAESHHFDEPMSVVIYQCLILPVYFGGQTDAKVVEENLEKLKKTFQVYEERLCKFRYLAGDFLSLADLSHFPTAYYLLATPHAAMLDEFPLVKAWIDGMLARPSVKKVIEMMKATA >ORGLA01G0130000.1 pep chromosome:AGI1.1:1:11062811:11062999:-1 gene:ORGLA01G0130000 transcript:ORGLA01G0130000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKKSGSGFWSAAASWFACLGASKAAAAGSGSGGPAGYDAAGGMVGAAKHFSSSHKINFG >ORGLA01G0129900.1 pep chromosome:AGI1.1:1:11059590:11059778:-1 gene:ORGLA01G0129900 transcript:ORGLA01G0129900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKMKSGSSLWLAVESWFARLGSKMVASSGSAGGRAGYDAAGEMVRAAKHFSSAHKINFG >ORGLA01G0129800.1 pep chromosome:AGI1.1:1:11050060:11052207:1 gene:ORGLA01G0129800 transcript:ORGLA01G0129800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLINLLLTEVAAIVSIVLLALLVVLSSYRRRSGHPALRLFVWAASTLFLPLVSYAVSAAAKWDAARVPLLLAWTVFLQMLRNTIDTARSSSSTIGNGSGSSKFRPSVEQLARMGWVAFLIVSSDGTAGSPQLTGVLLWLWVLSLAKLVHRLVAAELAKNSFAVGLNAYLISDYMKQLYGQDQGDHDVQAPPLLVMGEEKLQIEARPQGYRIGRTSPPPLCVDAGHVVTMDRICRLFSSGDPLVASNPQIKDTCLSFALFKLQLRRFVGCPIAEAGSRRAVAFVLDGLLGESHERVFRVIETELSFLADFLYSKLTVFYASGWWFPVLNSMLVFATWVSCLAAGGAIVHDMACRGTTLASNYDNLRKYLQNHDTVFHIIVGLDVLVTVSFIIAIVFTEGWEIATYVRSDWIKVSAICEYARRPSWRKSPWTRRNVGRVLPLKPMQRWDDRFGQTSILQLRPCYCGCVSRQVDRIAKSSATVPAAVKTAVVDTLRTNQGNLGNGVLSLQRNGVADKLAWACHHAGDERSVSEQILVWHVATRLLEIKRSEGAHGRHDDGDGDGGGDSDTVVVATRLSRYCAYLVALKPELLPDHPAWTEELYEGVVEEVSRVLARFAGAVVRYERAATCLGGSTNATLRKAAKLGRQLAEELGGDEELPWRVLADFWAELVVYLAPSENVAAHSKALRRGGEFITVLWALLGHAGIVSRPDTDV >ORGLA01G0129700.1 pep chromosome:AGI1.1:1:11046354:11046968:-1 gene:ORGLA01G0129700 transcript:ORGLA01G0129700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRPTSIAIGAASIHSIISTVCELELEMWYLPISCESFEPSGSQLLKRPGRICLHRXALLLFRQADLPSLLSSYIVQVVIHYFDKQSAEFINSAAGAITVSYVMQLLTGRISIMCFLQRDNVLGVCKKARV >ORGLA01G0129600.1 pep chromosome:AGI1.1:1:11023710:11024771:-1 gene:ORGLA01G0129600 transcript:ORGLA01G0129600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEIGSIFSRTIMCSSVPGLALLNTSISKSWSDVELVXFLAERKAADSLPENVVVGMNFSLIDPWNSDTVQADHVLRFRGFSSLSALKCAHKWSGSSSRRTGDVMRQE >ORGLA01G0129500.1 pep chromosome:AGI1.1:1:11020440:11021356:-1 gene:ORGLA01G0129500 transcript:ORGLA01G0129500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKPLLLDFVSAVLSRIKTKSRSKAKPETSSSNPGTNSCFLLLLPRCCQQSEAVVVEEDANLRMDFVSAVLSKTKTKSRSNAKPETSSSNPGAAMEIEKPEEAARPVTMEVDGKPEKNEVSKKARWRGSSPCSPWRSTTATAPTNSCSTLSQPPQRMRPPRGPSPASKLLPRRSSSVARRPRAALPPPHQPARMRKLGEREEGKEEGKEMMTWSP >ORGLA01G0129400.1 pep chromosome:AGI1.1:1:11016476:11019941:-1 gene:ORGLA01G0129400 transcript:ORGLA01G0129400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAAAAAMAAASLSDDLEPATDRTRIRSILADGAARAGERVVVGGWVKTGREQGKGTFAFLELNDGSCASNLQVLVDAAVHPLAPLTATGTSVLVEGELKKPPEGAKQRVELRVDRVIEVGEVDPAAYPLPKTKLTLENLRDVVHLRSRTNTIGAVARIRHQLACATHRFFDENGFLYVHTPIITTSDCEGAGEMFQVTTLFSHAEKVEKELKENPAPSESDIEAARVVVKEKGDAVAQLKAAKASKQEITAAVAELNKAKENVSRLEERSKLKPGIPYRDDGTVAYENDFFKRQAFLTVSGQLQVETYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFANLQDDMNCAERYVQYLCKWLLEHCREDMEFMVKNYDKTAIERLELVSSTPFQRISYTKAVELLKNVTDKKFENKVEWGIDLASEHERYLTEVIFKKPVIVYNYPKEIKAFYMRLNDDKKTVAAMDVLVPKVGELVGGSQREERLDLLKTRIQDAGLPLEPYEWYLDLRRFGSVKHSGFGLGFERMILFATGLENIRDVIPFPRYPGRADL >ORGLA01G0129300.1 pep chromosome:AGI1.1:1:11006075:11007202:-1 gene:ORGLA01G0129300 transcript:ORGLA01G0129300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:leucoanthocyanidin dioxygenase [Source:Projected from Arabidopsis thaliana (AT4G22880) TAIR;Acc:AT4G22880] MTDVELRVEALSLSGVSAIPPEYVRPEEERADLGDALELARAASDDDATARIPVVDISAFDNDGDGRHACVEAVRAAAEEWGVMHIAGHGLPGDVLGRLRAAGEAFFALPIAEKEAYANDPAAGRLQGYGSKLAANASGKREWEDYLFHLVHPDHLADHSLWPANPPEYVPVSRDFGGRVRTLASKLLAILSLGLGLPEETLERRLRGHELAGVDDDLLLQLKINYYPRCPRPDLAVGVEAHTDVSALSFILHNGVPGLQVHHAGSWVTARPEPGTIVVHVGDALEILTNGRYTSVLHRGLVSRDAVRLSWVVFCEPPPESVLLQPVPELLADGADKPLFAPRTFKQHVQRKLFKKLKDQQDNNAAAASNGMITK >ORGLA01G0129200.1 pep chromosome:AGI1.1:1:11003608:11004482:1 gene:ORGLA01G0129200 transcript:ORGLA01G0129200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKVFGSAPFTNVARVLLCLEEVGADYEIVDVDFGDREHKGPDHLARNPFGQVPAFQDGDLMLFESRAICRYILRKHRATDEANLLREGDPSESAVVDAWLDVEALRYEPSVHAVFVQRRVVPALGGKPDERVIAESIARLRETLAVYEARLEATRGYLAGGEVSLADLSHFPYTRYFMEMPYAVPVFGAYPRVTAWWERLLTRPSVRKVAAMMSGGEG >ORGLA01G0129100.1 pep chromosome:AGI1.1:1:10995673:10996731:1 gene:ORGLA01G0129100 transcript:ORGLA01G0129100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGEEVGSTPPAEVEASTLITLRVKDNEGVRITCTMRRTDKLRVLIGFYLDMVTPTDKGKGAAVAAAAGGGVFMHYGRCVTGNQTPADYDMEDGDEVSFFPDGTRTMPVTLTVKDNKGRRVTHTMRRLDVICTLFRLYFDMLPSTAPREGVFMYNGREISFYQTPEKCDMNDGDEITFHPFSKPSTFVTLTIKGSTDDGGRSGVVVTRPMRRTDELQRLIDYYFAMVPTDDQNGEWAVTYGGRQVGGEETPADYEMEDGDQLRLVPASKPSRFVTIDLLTMVKAKRTYTLRRTDKLQGLMDLCLSREPASMYRHGCVLIYEGRRVQDSQTPDDLKLEDGDTIHAIARQVG >ORGLA01G0129000.1 pep chromosome:AGI1.1:1:10959838:10962459:1 gene:ORGLA01G0129000 transcript:ORGLA01G0129000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKVYGPAMSTNVMRILVCLEEVGAEYEVVPVDMSTGEHKRPPHISRNPFGQVPAFEDGDLTLFESRAISKYILRKHGSDLLRESNLSESAMVDVWLEVESSHFDGAMSPIIFQCFIVPMFMGGATDMGVVNESLEKLKKALEVYEAQLSKSKYLAGDFISLADISHFPTVYYLLASAHASVLEAYPRVKAWIDDVMQRPSVKKVTEALKMPSA >ORGLA01G0128900.1 pep chromosome:AGI1.1:1:10957318:10959417:1 gene:ORGLA01G0128900 transcript:ORGLA01G0128900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAKSTAVARVLVCLEEVGAEYEQVGVHIPAGEQKSPAHLARNPFGQVPAFQDGDLILFDLLKESNLSQSAMVDVWLEVESQTFDTAMSAITFQCLTIPTFMGGIADDKIVEENLGKLKKALEVYEARSCRFRYLAGDFISLADLSHFPMAHYLLATPHASVLDAYPHVKSWINDLMKRPAVKRVRELMEA >ORGLA01G0128800.1 pep chromosome:AGI1.1:1:10949506:10951097:-1 gene:ORGLA01G0128800 transcript:ORGLA01G0128800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVKVFGPAQSTNVARVLLCLEEVGAEYEVVNVDFTVMEHKSPEHLKRNPFGQIPAFQDGDLYLFESRAIGKYILRKYKTREADLLREGNLREAAMVDVWTEVETHQYNSAISPIVYECIINPAKRGIPTNQKVVDESAEKLKKVLEVYEARLSQSTYLAGDFVSFADLNHFPYTFYFMGTPYASLFDSYPHVKAWWERLMARPSVKKLAAVMAPQGA >ORGLA01G0128700.1 pep chromosome:AGI1.1:1:10944426:10944824:-1 gene:ORGLA01G0128700 transcript:ORGLA01G0128700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFQCQIWGSEAVGEEYPDPEGCAMGTGLWMYVCPCTTGMDDPRMNPMVPGAPALGRMACDRVMVCAAVGDFLRWRAHAYAAAVAAAKGDALVEVLETAGEGHVFHLFDPDGGKAKELLNRMVAFVNAAGV >ORGLA01G0128600.1 pep chromosome:AGI1.1:1:10927742:10928480:-1 gene:ORGLA01G0128600 transcript:ORGLA01G0128600.1 gene_biotype:protein_coding transcript_biotype:protein_coding AWITNPTKKNVSSTITCLIKAHYPGTYRPLDKHGKQVPEDEAVVIAHYHNFPAHTRITILKEFLLRFKFADGREEDCARLFYRKAVERFSQALSHEKSEAKRSLEKHIENMRATELQQDGDAPSHDDFDVDDPQLWKAFLLIGLSKSGGICCVTCGLMKMXRRCQLKTAKIGWKEVVFIILVVHEVLLCINKPX >ORGLA01G0128500.1 pep chromosome:AGI1.1:1:10916139:10917527:-1 gene:ORGLA01G0128500 transcript:ORGLA01G0128500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAMSTNVARVLVCLEEVGVEYELVNIDFKAMEHKSPEHLKRNPFGQMPAFQDGDLLLFESRAVGRYILRKYKTSEANLLREGNLTEAAMVDIGIEVEIHQYYPVISSIVYECLFNPAMYGVPTNQKVVDDSLEKLKKVLEVYEARLSQNTYLAGNFLSFVDLSHFPFTFYFMATPYASLLDKYPHVKAWWDGLAARPSIKKVTAAMVLPLKA >ORGLA01G0128400.1 pep chromosome:AGI1.1:1:10910965:10912333:-1 gene:ORGLA01G0128400 transcript:ORGLA01G0128400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYLDQKVVGSGQASFRSPHFDALTTGTDNGDMQDQRSWAMTTKHDMMARVVGASAPRGAFGGPRSCRGSRFQPEESLRVQHSFVLVGLRSVNCRLQHVGLHLIIYVVQDDMGWDIVEHRQLSHLFQQPRRAPLICGPPEYGWLHWSLDGQ >ORGLA01G0128300.1 pep chromosome:AGI1.1:1:10907987:10908235:1 gene:ORGLA01G0128300 transcript:ORGLA01G0128300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLCTEVETHQFNPALSPIMFQCIINPALHGIPTNQKIVDETVEKLKKVLEVYEAHLSENTYLAGXFVSFANISEHVHLIQ >ORGLA01G0128200.1 pep chromosome:AGI1.1:1:10892202:10893093:-1 gene:ORGLA01G0128200 transcript:ORGLA01G0128200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKVFGRAISTNVSRVLVCLEEVGADYELVTVDFLAGEQNSPEHVERNPFGKIPALQDGDLVLFESRAIAKYILRKYKSSEVDLLRESDIREAALVDVWTEVEAHQYYPALSPIVFECIIFPIMRGVPTNQQVVHESLEKLKKVLETYEARLSGSRYLAGDFLSFADLNHFPFTFYFMATPHASLFDAYPHVKAWWEGLMSRPSIKKISANMPTKF >ORGLA01G0128100.1 pep chromosome:AGI1.1:1:10881633:10884171:-1 gene:ORGLA01G0128100 transcript:ORGLA01G0128100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTHLLTPYKMGQLNLAHRIVHAPVSRFRSYGSMPQPHNLLYYAQRATPGALLIAEASAVSYAALGRSKDDAANGPIHRRRPGPPGFLFGTNLTDYNSATDGVKATESGVNDRNNLSKWWFMSRLNESGNAGGAEESHYTLPSSLDAPGLWNQEQIEAWRPIVDAVHAKGALFFCQIWHNGRVFSTDNPVTPQVSYFGNTDDLAPAAPQRLETGEIVQIVEDFRVAARNAIKAGFDGVEIHAANGHLLHQFMKASVNDRTDEYGGSVENRCRITVDAMSAVAEEIGADRVGVRLSPFADHCREEGTDPEEVALHLIGVMNGLGVLYCHVIEPRCVSSSEEHRARRNVPHRLLPFRRAFHGTFIVNGGYDREEGDKAVGDGYADLVSYGRLFLANPDLPERFRQKAALNAYDRSTFYTPDPVVGYTDYPFLEQPLAV >ORGLA01G0128000.1 pep chromosome:AGI1.1:1:10874057:10875320:-1 gene:ORGLA01G0128000 transcript:ORGLA01G0128000.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVKSKSAEYLFVSPGKLLARHLLSPVGRKMDAISPLVILRMDNHISSFFSEFQPNGQAPISSTDKQVTPQVSHDGQVLEFAPPRRLKTEEIPNIVDDFRIAARNAIEAGFDGVEIHGANGYLIDQFMKDSVNDRIDAYGGGIENRCRFAAEVITAVAGEIGAHRLGVRLSPFADYMDCHDSDPEALALRVIGLMNNLGVLYCHMIEPRMCVGAGEDGSKPVIAHGRLLPFRKAFRGTFMVNGGYDRDEGDKAVADGYADLVAYGRLFLANPDLPERFRRKAGLNKYDRSSFYTSDPVVGYTDYPFLDDQNSELATR >ORGLA01G0127900.1 pep chromosome:AGI1.1:1:10859548:10860793:-1 gene:ORGLA01G0127900 transcript:ORGLA01G0127900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQQSAGQIQLQEGPMAPMKVYGWVVSPWMARVLVALEEAGAEYEVVPMSRSGGDHRRPEHLARNPFGEIPVLEDGDLTLYQSRAIARYIFRKYKPELLGLGEGGSLEESAMVDMWLDVEAHQHEAAVRPILWHCIINKFEGRDRDQGVVDESVRKLEKVLGVYEARLSGSRYLAGDRISLADLSHFSNMRYFMATEYAGVVDAYPHVKAWWEALLARPTVQKVMAGMPPDFGFGSSGNIP >ORGLA01G0127800.1 pep chromosome:AGI1.1:1:10853685:10854704:1 gene:ORGLA01G0127800 transcript:ORGLA01G0127800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSNSRRTRTLPPQATQNSLPSSSTTSTPTILLLILCRPAMAYRLLELTLVSASDLKKVTLFSRMHVYAVASISGSNVPMPMHGTHADRNGGSNPAWNTVLHFPVPARFDTRGLALHVQLRAKRSFGGHHDVGDVFVPLDDLLAGAHDGGEPRPASYQVRRPMSARAHGTLYFCYRFTDVKHPALEAIEAATATSATKQGQYVPMYAQDSDEKATEKSVSSPVTAYPPPSNAVVAYPPVVPYGAPYGGGYPQHQQQQQQYGYAGQPPYAYNAGPPPPATYGYAAAQQPAARKGGRMGMGLGLGLLGGAVGGMMLGEMVGDMEADAAYDAGFNDALEF >ORGLA01G0127700.1 pep chromosome:AGI1.1:1:10845809:10850384:-1 gene:ORGLA01G0127700 transcript:ORGLA01G0127700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:I1NN37] MPVADCESGLSPADVTGAGAANGNPGHWRSYYRHVLLLAYQSCGVVYGDLSTSPLYVYKSTFIIGSLRRFQDEEIVFGVFSLVFWTLTLIPLLKYVFIVLAADDNGEGGTFALYSLLVRHAKFSLMPNQEAADEELTSYYRPGYAPQETPILTALRRFLENHRKSRTFLLVTVLFGASLVIGDGVLTPPMSVLSSFSGLQVHSTALTSGEVEILSCTVLVCLFMVQHWGTHRVAFLFAPVVIVWLLLLGALGVYNIVVWNPRVLRALSPYYLVRFFQHTGKDGWISLGGILLSMTGTEAMYADLGHFTAASIRVAFVGLIYPCLVLQYMGQAAFLSKSPHCDIHFVFFESIPTGIFWPVLVIATLAAIVGSQAVISATFSIVRQCTALGCFPRVKIVHTSRRIHGQIYSPEINWILMLLCIAVTMGLRDTTLIGNAYGMACAGVMLVTTLLMALVIVFVWQYSCLVAALFLVAFGVVEAVYLSAALMKVPQGGWLPLVLSLVFVAVMYVWHYGTRRKHQFDVQNKVSLRWIHALGPSLGIVRVPGIGIIYSELATGVPAIFSHFVTNLPAFHQVLVFICVKAVPVPHVRDEERHLVGRIGPREFRMYRCVVRHGYKDVLAEDTDFENDLVLRIAEFVQMEADFDQRCSISDDGVVASVEVEGRMAVVPRPSDLARTGLLMREPGEEESVVARAAAAAKPESLINSMHTMHEAESPGFASRRRVRFEVANEHTDRRVKEELSALVEAKHAGVAYIMGHSYIKARKSSSVFKKFAVNVAYAFLRKNCRGPGLVLNIPHISLIEVGMIYYV >ORGLA01G0127600.1 pep chromosome:AGI1.1:1:10839714:10845305:1 gene:ORGLA01G0127600 transcript:ORGLA01G0127600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSPRIPVVDLEDGWRDVLAGVAKLKCILDGSNVVHFFPDEYMHLYTTVYNMCTQKPPNDYSQVLYDRYKQALDDHIESVVLPSLNEKHGEFLLREIVQRWEKHKLMVRWLRRFFDYLDRYYVTRRSLDSLKDLGWSSFRDLVFDKLKSTVATIVIGMIDDEREGNLIDRALLKNALDIYVEIGDSQLNYYSDDFEQSFLNGTTDYYSKKAQTWILENSCPEYMLKAEECLQKEKDRVANYLHSTTEPKLFAAALFELIDRRAEEILNKENSGCKVLLCDEKTEDLARMFRLFSRITDGLLPVSKIFKEHVIAEGMSLLKHATDAANSRKHEKKGVVVGLPEQDFVRNVIELHDKYMAYVTNCFQSNSVFHKALKEAFEVFCNKDVVGCSSAELFAAYCDSILKRGGSEKLSDEAIDESLEKVVKLLTYLSDKDLFVEFHRKKLGRRLLFDKNTNDEHERILLSKLKQFFGGQFTSKMEGMLKDITLAKEHQSSFEEYVSNNPESNPLIDLNVTVLTTGYWPTYKNSDINLPLEMVKCVEVFKEYYRSDKQHRKLTWIFSLGNCVVIGNFDAKPVEFVLNTCQAALLLLFNEADKLSYSDIVSQLKLSDDDAVRLLHSLSCAKYKILNKEPSNRVISPEDEFEFNSKFTDRMRRIKVPLPQIDEKKKVVDDVNKDRRFAIDASLVRIMKSRKVLGHQQLVAECVEQLSRMFKPDIKIIKRRIEDLISREYLERDSENAQTYKYLA >ORGLA01G0127500.1 pep chromosome:AGI1.1:1:10818425:10826602:-1 gene:ORGLA01G0127500 transcript:ORGLA01G0127500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHERKTIDLEQGWEFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYEKYRESFEEYITSMVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFYYLDRYFISRRSLPQLSEVGLSCFRDLVYQEIKGKVKSAVISLIDQEREGEQIDRALLKNVLDIFVEIGLTSMDYYENDFEDFLLKDTADYYSIKAQTWILEDSCPDYMLKAEECLKREKERVAHYLHSSSEQKLLEKVQHELLTQYASQLLEKENSGCHALLRDDKVDDLSRMYRLFSRITRGLEPVSQIFKQHVTNEGTALVKQAEDAASNKKPEKKEIVGLQEQVFVRKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQAKFEEFISTHSEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGVDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILSKEPNNRSISPNDVFEFNSKFTDKLRRLKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNVYRYLA >ORGLA01G0127400.1 pep chromosome:AGI1.1:1:10815801:10816452:-1 gene:ORGLA01G0127400 transcript:ORGLA01G0127400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSLANCSSALETELAACVEGLRLALQWTLLPVAIETDCISVVHLLTDKEDCSTLAFLVREARWLRLGERTTSIMKIXQEQNLISHELANKARRDDYSGFWTGFESNPVSWLIENDCNLSHVIXXSPFPQKRNLLKLKAVSNTIPFGFXXITILSFGPIXLQETXFARS >ORGLA01G0127300.1 pep chromosome:AGI1.1:1:10812960:10813271:1 gene:ORGLA01G0127300 transcript:ORGLA01G0127300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVNRLAAQRAVVIFSMSSCCMCHTVTRLFCELGVNPTVVELDEDPRGKEMEKALARLLGRSPAVPAVFIGGRLVGSTDKVMSLHLSGNLVPLLRNAGALWV >ORGLA01G0127200.1 pep chromosome:AGI1.1:1:10809215:10811303:-1 gene:ORGLA01G0127200 transcript:ORGLA01G0127200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQELLSRMSRISPKARSSHLIRYGEVRVLARKSAFCMDKEHLKEGRDSRQSGYQEICYVAACNLLVKRKEQCYTWHTQDEVMTVFVEWMFIYRDEGILSRTIPLSESAARRTLQMETSDETVLKALEEGILIAXNSSAEVPDEQQSHSLVSNYPQSKRQFI >ORGLA01G0127100.1 pep chromosome:AGI1.1:1:10794555:10795202:1 gene:ORGLA01G0127100 transcript:ORGLA01G0127100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGAARPPTPPAVVDKTMCAACDILKLLPTGTVLAFHELAPSFTNHGGACGAASRYTTTALIAACTASCVLLSFTDSLVSHVDGRRLYYGVATLRGFRPFNFEGTREEMEERFGDLPGMKVRALDFVHALVSAVVFVVVALGNADVQGCLFPDAGPGFTEMFRNLPMGLGLLSSMVFMIFPTTRKSIGYTDMMPHKEDYGKGGNNTPAQTTPSV >ORGLA01G0127000.1 pep chromosome:AGI1.1:1:10787801:10788844:1 gene:ORGLA01G0127000 transcript:ORGLA01G0127000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNGAQGSKGASSTTTNPTNDDKKKKPAQAPGADADADADGGGKKNNSDYVDKTLSTTANLVKLLPTGTTLAFQALSPSFTNHGRCLAINRYISGGLIAFCCAICALLSFTDSIIDRKGRPYYGLAFPADEDTGKGGFVPFNYEKPRRPSNGASAAADDDDDSWEVYFVDFDPEELYKRRLRPLDFLHATLRVFVFLALAFSDAGIQTCLFPQESATWREALVNMPLGVGFVASFVFMIFPSTRKGVGYPREAQTGTEGGADADKDGKAEPPKTTTNGGSGGVDAERSKQKNNDDCPKTTTTNDGSGGGDGAGSSVQKNSANQVVPIQPSTKEISNRADEKIANIV >ORGLA01G0126900.1 pep chromosome:AGI1.1:1:10775623:10776484:-1 gene:ORGLA01G0126900 transcript:ORGLA01G0126900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDGFFASKLLNDFVYWRTCPDKIGTIIVNNLVYRDHPSLKIRGVPKDVRSYDVKRVCNKEQLSTRVGCGRAHPIIENVLDKCRVVAPNLPTKTDDQSHSTGYGWAI >ORGLA01G0126800.1 pep chromosome:AGI1.1:1:10768707:10769658:1 gene:ORGLA01G0126800 transcript:ORGLA01G0126800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1NN28] MAGLAAARELRREGLDVTVLEQSAGVGGQWLYDAATDGGDPLGMASVHSSIYSSLRLNSPREVGGFSDFPFRPTNGGGGDVRRYPVHGELLRYIREFCDVFGLMDAVRLDTTVVRVAMAPPRRDGSLRWTVRSRHNGDAETEEVFDAVVVATGHYSQPRLPSIDGMDKWRRRQLHSHSYRVPDSFAGEVVVIVGCNLSGKEVALELLRVAKEVHLSSKSTKEAMTPSMSKMLARYDNLHLQPLVEHLRSRSWRRRGRSPSTTTASGRCTSTCSRRRSRRRSRSWASRSR >ORGLA01G0126700.1 pep chromosome:AGI1.1:1:10758256:10765447:-1 gene:ORGLA01G0126700 transcript:ORGLA01G0126700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVKYDEEEEEVSSSGEEEEEQSDGAGSGSGEEEDEEEEEAPAAGAGEAAGGEEEEVDEEEIEAVTTGAGADEEEEEGGAAAAAPGEGDEESQSTEDDEAVAGEDDDADEAEGGAVVGKREKARLKEMQKLKKQKIQEILDTQNAAVDADMNNKGKGRLKYLLQQTEIFAHFAKGNQSKEKKPRGRGRHASKMTEEEEDEEYLKEEEDALAGSGGTRLLSQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWIKEIQRFCPILRAVKFLGNPEERNHIRENLLQPGKFDVCVTSFEMAIKEKTTLKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLVENAGKMVLLDKLLPKLKDRDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVVLYDSDWNPQADLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDDLLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEETTAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKEENKLDFKKLVSDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNNQRLNELYEKEVRYLMQANQKKDTIDGEDEDQLEPLTAEEQEEKEQLLEEGFATWTRRDFNTFIRACEKYGRNDIRSIAAEMEGKTEEEVQRYAKVFKERYKELSDYDRIIKNIERGEARISRKDEIMRAIGKKLDRYKNPWLELKIQYGQNKGKFYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDEQERQARKDKRMAKNMTPTKRSALRVSEGETTPSNSFKRRRQSLMDDYVGSGRRKRG >ORGLA01G0126600.1 pep chromosome:AGI1.1:1:10744398:10751364:1 gene:ORGLA01G0126600 transcript:ORGLA01G0126600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGRPSSSPPAAARKGRRKKRSSPLPAPSTDSPAADQSPGRGXXXXXXXXXXXXXXXXXXXXXXXXXLAAGWPPWLVAVAGEALRGWTPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRKLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDVKFTLPQIKCYVQQLLSGLEHCHNNNVLHRDIKGSNLLLDNNGILKIADFGLATFFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLHGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIREAFKDFPPSSLPLVETLLAIDPAERQTATSALQSEFFATEPYACDPSSLPTYPPSKEMDAKMRDEEARRLRAAAKAKGEGVKRTRTRDRSQRAGPAPEANAELQANLDQRRRMITHANAKSKSEKFPPPHQDGAMGNPLGSSRHMEPMYEHQDASFSTVVPIQKGSSQTWSGPLVDPAALGQSRRKKQTALDAKAAAYSKQLQKDKGGTRAR >ORGLA01G0126500.1 pep chromosome:AGI1.1:1:10723192:10727884:-1 gene:ORGLA01G0126500 transcript:ORGLA01G0126500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSFRFHSSALPAAAAQLRLSRGLRPPPSRRRLHNTFSPTLSISPPSRPAAIAIASPLGASQEASAMAVSASEGGGQYDLLIVGPGVLGRIVAERWQQEHPGCKIFGHTATTDHHSELTQLGIIPSLKGSAVQKVPYVIFCAPPYRTDDYPGDLRVAASNWNGQGSFLFTWSTAVYDCSDNGLCSEKGDYVLVFFVGCDNQPLSRQEIMDLVNRSGKFDTKFQGFTGTDGPLGKRMENSKTRAEIGWQPKYPSFTEFLGLSN >ORGLA01G0126400.1 pep chromosome:AGI1.1:1:10703695:10708444:-1 gene:ORGLA01G0126400 transcript:ORGLA01G0126400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAVSSLLATPTPTSRPRSVSTTTVPFSVNLSTAAARAPRLLLLSRRPRPAAAALGVSDDTGVKMAGSDIVGNNDLLIVGPGVLGRLVAEKWQEEHPGCKVFGQTASTDHHNELSNIGIIPSLKGSTFPQKVPYVIFCAPPSRSDDYPGDVRVAASNWTGEGSFVFTSSTALYDCSDNELCNEDCPSVPIGRSPRTDVLLKAENVVLEAGGCVLRLAGLYKIDRGAHFFWLRKGTLDTRPDHIINQIHYEDAASLAIAIMKKGHRGRIFLGCDNKPLSRQEIMDSVNRSGKFDTKFQGFTGTDGPLGKKMENSRTRSEIGWEPKYPSFTEFLGLDS >ORGLA01G0126300.1 pep chromosome:AGI1.1:1:10678562:10680429:-1 gene:ORGLA01G0126300 transcript:ORGLA01G0126300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPFPFGLQQECSANRKFLLNCTSKQAFIGGSYTQYQVTNISLDQGLLFVNFSQHEEAYSELVEISRDNISQWVESWIDEFNDFDVSQHYGIWKWFVTNMTCEKAKKSSAYACISANDIDECSIPNDCKGMCQNQAGGYSCTRCPHGTSFDPAERKCTSTKQHNIVLGISHFNGSLFNKITVKWKRGIQKKIRRDYFHINKGLLLEQLISCDDSVAHKTKIFSLGELEKATNNFDSTRILGSGGHGTVYXGILSDQRVVAIKKSKIVEQSEIDQFVNEVAMLSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLLHGNLQSKCLLTWWNRIRIALEAASALAYLHCAASVPIFHRDVKSANILLDDNFTTKDSDFGASRSVSIDETHVVTIVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILIELLTRKRPIFLNSIGEKQNLCHHFLQRQQNNTTSEIVDVQVLEEADQWEIDEIASLAEICLRLRGEERPTMKEVELRLQLLRSKVAKKKNRVEVSR >ORGLA01G0126200.1 pep chromosome:AGI1.1:1:10650884:10654008:1 gene:ORGLA01G0126200 transcript:ORGLA01G0126200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAILLSIAIMAQLSSISAQPAPGCQSHCGDMEIPYPFGIGTECAIEPGFVIYCNKTADGSMKPFLINVEVLNISLLHGQTRALNTLSTYCYNDVTKSMESSRWSLDFSTWPYRFSNLHNKFVVIGCNTLSYIYNGEYTTACASVCAKAPTNDSCDGVGCCQNNIAKGLNSYNVTFFTVYNDSSNLQSNPCSYAALVETDTFRFKTEYVTTMKFNETYNGQQPVVLDWAIGKVGCKEANMTSYACRSKHSECVDSINGPGYLCNCTLGYHGNPYITDGCIDVNECEQNQSPCPKGATCRNTEGWYHCSCPVGRKLAKETNTCNPDISLIIGVSIGSIVLVIIIFFVRIIFERRKLTDVKKKYIQEHGGLLLFEKMKSDQGLAFKVFTQAELEQATNKFEKSQILGHGGHGTVYKGITKDNITVAIKKCALIDDRHKKEFGKEMLILSQINHKNIVKLLGCCLEVDVPMLVYEFIPNGTLFDLIHGKNRTLHTPFSSLLRIVNEAAEGLAFLHSYANPPILHGDVKTSNILLDENYMAKVSDFGASILAPNDEAQFVTMVQGTCGYLDPEYLQTCQLTEKSDVYSFGVVILEILTGQMPLKLEGSELQKSLSSSFLLAMKENNLEAMLDSQIKGHESMELLSGLAELAKQCLDMCSENRPSMKDVAEELSRLRKLSKHPWIQRDSETEGYLSGPSTSNFEIEQSTEYTRKDEQMPINPSTSYFIR >ORGLA01G0126100.1 pep chromosome:AGI1.1:1:10633743:10647500:1 gene:ORGLA01G0126100 transcript:ORGLA01G0126100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) TAIR;Acc:AT3G05040] MAADPAAASAVAAISAVMDWRSSPDARSAAFAYLESVKTGDVRALANTSFLLVRKDQSSEVRLHGFKMLQHLVRLRWEELSVAERNEFANLTVNLIPEVVGPHEEWALKSQTAALVAEVVRREGVALWNTLLPSIVSLSNNGPIEAELVAMILRWLPEDITVHNEDLEGDRRRALLRGLTESLPQILPLLYSLLEKHFVAALSAHTNQQMELAKQHVGTITAVLNAANAYAEWAPVTDLAKYGLIHGCGSLFSYSDFRLHACEFFKIICQRKRPLDVAIVEYDAAMSNIFQLLMNIAQEFLVRSKMQPNAIDDNEYEFAMCICETMVALGSSNMQCILADVARTLHFLQQMLEYYQHYKITLHFQSLLFWLVVLREPSKAKSVARVSSDTPAAGNSASTGGGSTEREKKGVSVLITDEMYSTILDVTFKRMLKKSTSASSGLLELWSEELEGKSDFCNYRAKLLDLIKVIASQRPGITATSIVQRINIVFGDANEATKSSQDLDAMEGAQLGLEAVVSAIFDGSSDYSKIDQDTKFQIHRIFEGLLQQLLSLKWSQPNLAVIHGHYLDSLGPFLRHYPDAVACIVNKLFEILTSLPITIQDPSNNFRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPINKMWTQVEWQNAYLSDPSGLTHMFADSQFMWSIYHNITLFEKALKRGGSKKSAAAPQALATTVVTGNLHPMCSHLPWILPPLLRLLRCIHTLWAEPFSQSLAGEVKAAKSMTVAEQTSLLGETNKLTKGQVTSADGLLDVQREGESKENTIRNWLRGIRDSGYNVIGLSASLGDPFFRCIEGSSITPALMENVQAMEFRHLRQLIHLVIIPLVKYCPPELWQMWISNLLQPLFVHCQQALDFSWSSLLHEGRAKVPDNFGNLSGSDLKVEVMEEKLLRDLTREVCSILWVLASPGLNSGLPSLEQLGPANRINSSLKDLESFASSSITGFLMLNVSTAVPALRITVEVFSWTDSEAVTKIIPFCGALIHLAVATNRAELSQFVAKDLFSSILQGLSVELNSITSSELVGLCREIYVYLSDRDPAPRQVLLSLPHMKQEDLLAFDESLSKTASPKDQKLLMRSLLLLASGNKLRALVGQKATNVITNVTTRNRSSAARHGLSAEEDDHIGLAALSS >ORGLA01G0126000.1 pep chromosome:AGI1.1:1:10621909:10623188:-1 gene:ORGLA01G0126000 transcript:ORGLA01G0126000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyethylthiazole kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G24030) TAIR;Acc:AT3G24030] MDVAANALLAAGASPAMVHSLREVPEFTPRCDAVCVNVGTLSEGWLPSMRAAASAGRPWVLDPVAAGASEFRMEACLSLLALRPAVVRGNASEILALASRSLAAAASGADSTHDSGDALQDAKALARSTGAVIAVSGAVDYVTDGERVVGVSNGVAMMQKITATGCAATALIAAFLAVVEEPSDVMAVAACALAVFGLAGEIGMESGAKGPASLRMHLIDALYCLDEQTVTSRVKISLHS >ORGLA01G0125900.1 pep chromosome:AGI1.1:1:10617097:10620962:1 gene:ORGLA01G0125900 transcript:ORGLA01G0125900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1NN19] MESSRGKPGLNGSGGGAAAFDYSSRRGYYTGAGAALPPLAAGSRAPPVDPCCVALRVFVLLGTLASAVVMAADRQSTTVQIAAGEELAPPLRVPVTAKWTYSSAFVYFVVANAMVFAFSAAALAAVRRRSAVVPVMVGDLVAMALLFSAVGAAAQFGLLGERGNAHVRWAKVCDVYGPFCERAMAAVVVSLIAAFADLVLLMLTILTIHKASSYY >ORGLA01G0125800.1 pep chromosome:AGI1.1:1:10615957:10616181:1 gene:ORGLA01G0125800 transcript:ORGLA01G0125800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLTSVHRQLRPPESCPHPARLPPSLTSSAQTSSSSPGRRRRMSALVKPGQPQDPTPSLIAGVIQGKKEQVK >ORGLA01G0125700.1 pep chromosome:AGI1.1:1:10612036:10612398:1 gene:ORGLA01G0125700 transcript:ORGLA01G0125700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDEAEGLEARLSLPKSERCGDKGDGGGGRKRKKTYLNVLGVCCSXEGVEVLMRLPAPAMERLVAEVARGLVGGVDAHARDRGEGGRREEHMRYGALIGGPIILEIFFSTFKMPHQAT >ORGLA01G0125600.1 pep chromosome:AGI1.1:1:10591844:10592248:1 gene:ORGLA01G0125600 transcript:ORGLA01G0125600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSHSSTSRRPFLYLPWAIASAPPLPTPALPALLLGQLVTGERRQEEEEEEEEGGGESADEGGLAPAESSNDGVERNSMAGAAAAGWALPGIPLLWWLASSSYPHPGGWDLELPVVGRMMEIDGTQVMARSI >ORGLA01G0125500.1 pep chromosome:AGI1.1:1:10589743:10591600:1 gene:ORGLA01G0125500 transcript:ORGLA01G0125500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETREAAADATAAHGGCGWPAGIAAGMASSAMAQPATSMTSAWSVAGSAGVARQGAQQEVKPVEMETGQVLSEQLRCHTPEVGNAYRIHQAVDSLPQGVLAETLVLGGRGSAVVGLPRRHPCRHVEGRDVDPFGHSLTGDHLQQKWWWRGQHWSCRLGIGFSSFLLGVHPRVGVGKEDRTEMTETKTETELTETEKFESALSTNRI >ORGLA01G0125400.1 pep chromosome:AGI1.1:1:10577065:10586520:-1 gene:ORGLA01G0125400 transcript:ORGLA01G0125400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALHTLPAVAAGGLGCRWRRRRRPSATLRAASSVGTASVAVSVNAETQQQRQVGVEEEEKRREDAAEVRTGRVVEALYDDGFGGVTVKDYFAAARAVSSDDGGPPRWFCPVDAGRPAVDNAPLLLFLPGTDGVGMGLILHHKSLGRVFEVRCLHIPVNDRTPFEGLLQIVENSIKYEHAMSPNRPIYLVGDSFGGCLALSVAARNPQIDLVLILINPATSFAKTPLQPILPVLEAMPSELHVTVPYLLSFVMGDPLKMAMVSVENNLSPPKTLQKLSDSLTSMLPLLSELADIIPRDTLFWKLKLLKSGAAYANSRLHAVQAEVLLLASGNDNLLPSGEEADRLFKSLKNCRVRYFKDNGHTLLLEDGVNLLSVIKGVNMYRRGRQRDPVTDYIPPTLSEFKKTFDEDHKLFHLALSPVMLSTLKNGKIVRGLTGVPDQGPVLFVGYHALMGIELSPLYEEFLREKRTSFRGMAHPILFGGKHESSRQELSRFDTISMYGGLPVTAINMYRLFERNQFVLLYPGGVREALHRKGEAYKLFWPDQPEFVRMAARFGVTIIPFGFVGEDDVLELVADYNDQKNIPYLREWIESINREAQRVRDSVKGEDGNQDVHIPALLPKVPGRFYYLFGKPIEMKGMDNVVRDRKSANEVYLHIKSEVESLMSYLKRKREEDPYRSIAQRAVYQASWGASAEVPTFEP >ORGLA01G0125300.1 pep chromosome:AGI1.1:1:10574230:10576230:1 gene:ORGLA01G0125300 transcript:ORGLA01G0125300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGFPQARRLLRRMGLEKEDAYFWKQMGKGMLCTYALFGAAWLWNETSPLGWWTLKPRPKEEREMAHLYERRMFPYPGDEEAVEEFIKSGGALGTTIGPKGFADSNMDSDNMQKQLQSKKFDQEAQKLWFRMRNEVVQELQEKGFDVE >ORGLA01G0125200.1 pep chromosome:AGI1.1:1:10571303:10572007:1 gene:ORGLA01G0125200 transcript:ORGLA01G0125200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRMGSDLVTKERIYAAHLFSSTCHVSVVEERGKGGGGERSIGGDLSEDTTGEVYDIEYRCSEKSAAKEKGGSGKVYIIGGDLSEDTASEVRGIKYFCNEKSAAEECGKGGGGDLNPSILEDSSKQGD >ORGLA01G0125100.1 pep chromosome:AGI1.1:1:10564857:10570065:-1 gene:ORGLA01G0125100 transcript:ORGLA01G0125100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLPLHSQIALWRQRRRRHDLFERISSCHQFKHAGWRLQVSYKGLETLYDDGYQKAKDLDYYYRSLGELVEHDSGPPRLFCPVDAGSPIEDAPLMLYLPGVDGMGMGLFMHHKALGRIFELRCMHIPFHDRTPFEELVEMVEDVVRAEHATSPNKPIYLLGTSFGGCIALAVAARNPCIDLVLVLVNPATSFEKSDIKQLLSVSSPLSDRARIAITSLLNYNIDNEVDMALSSMKSGRHPLEALNRLTSNISSFLKHSNILNKIPEDTLGWKMKLIQQAASYANCRLESVSAEVLLLVSCADRLLPSKSEADRLQRMLPKCKVFFFENHGHSLLLEYGVHVSSIIKCTSLYRHSRRYHRVFDYIPPSATELKEVEKAGSDLRARTCPAMFSTMGDGVVVRGLAGVPEEGPVLLVGNHMLLGIELISLATEFLRRKGRVLRGIAHPLLFPNKTKTWSEGHDFFDFLNLWGGVPMTYKYIYQLLAAGEFVLLYPGGHREALHCKGEEHRLFWPDQTEFVRMAAQFNATIVPFGVVGEDDLMELLCTFEDIRNAPFGKEIMQAYSNHLKLRDIDHEVFFPGVYLKIPGRFYYRFGKPIPTKGMQAVMTDKQAAGELYLHVKSEVKAMIAYLLEKREEDKFRSILPRILYQLGCGHDSEIPSFDP >ORGLA01G0125000.1 pep chromosome:AGI1.1:1:10553790:10559994:-1 gene:ORGLA01G0125000 transcript:ORGLA01G0125000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSIALHAVLPPAAATPRRRPTRLRASSTEAPASGEKGQEDTDRKSGTGMRRRRRRAKKVQEVGLEALYDDGFGEATVRDYFDALRATPLDGGGGGGPPRWFCPVECGPPAVHAPPLLLFLPGIDGVGMELIMQHKSLGKVFEVRCLHIPVNDRTPYEGLLQIMEESVKYEHNLSPNRPIYIIGDSFGGCLALSLASRNPEIDLVLILVNPATSFAKTPLQAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMVSIQNNTSPQDTLESFSDSLSSMLPLLSEFGHIVRMDTLVWKLKLLMSGVDYTNSRLNAVQAEILLLASGNDNLPPSGEADRLFKALKSCKVRYFRTSSDRLLMESSFNLLTVIKGASMYRQGKQRDTITDFLPPTISEFKRTFGEDFKLLHHLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEITSMAEEFLREKKAVLRTLAHPVFFVGNYEILRQELSFFDVVPLYGGVQVSPINTYRLFERDEFVLLYPGGIREALHRKDEDYQLFWPDQPEFVRMAAQFGVTVIPFGCVGEDDMLEIVLDYNELKNIPYIRETIESFNQDCPGVRSTVKGEEGNQVLHLPAVLPKLPGRLYYLFGKPIEMKGMDGVQRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARTFYQATWGVTAQIPTFEP >ORGLA01G0124900.1 pep chromosome:AGI1.1:1:10519175:10522262:-1 gene:ORGLA01G0124900 transcript:ORGLA01G0124900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dephospho-CoA kinase family [Source:Projected from Arabidopsis thaliana (AT2G27490) TAIR;Acc:AT2G27490] MRLVGLTGGIASGKSTISNLFKASGIPVVDADIVARNVVQKGTGGWKKIVEAFGNDVLLENGEIDRARLGQIVFSDPEKRQVLNRLLAPHISSGIFWEILKLWIKGCKVIVLDIPLLFETKMDQWTHPVIVVWVNEATQIERLMSRDGCSEEQARNRINAQLALDWKKSQADIVIDNSGTLDETKEKFQEVLRNVSEPLTWKERLRSRDGLFSVVVCTAVGVLLAQKNLL >ORGLA01G0124800.1 pep chromosome:AGI1.1:1:10478505:10482711:-1 gene:ORGLA01G0124800 transcript:ORGLA01G0124800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMLLGPLIALVNRQVSNYLLQQYQELDGMEEQLTILERKLPAILDVIIDAEEQGTHRPGVSAWLKALKAVAYKANDIFDEFKYEALRREAKRRGNHGNLSTSIVLANNPLVFRYRMSKKLRKIVSSIEDLVADMNAFGFRYRPQMPTSKQWRQTDSIIIDSENIVSREEEKQHIVNLLLTDASNRNLMVLPIIGMGGLGKTTFAQIIYNDPEIQKHFQLRKWVCVLDDFDVTSIANKISMSTEKECENALEKLQQEVRGKRYLLILDDVWNCDADKWAKLKYCLQQYGGVGSAILMTTRDQGVAQLMGTTKAHQLVRMEKEDLLAIFEKRAFRFDEQKPDELVQIGWEIMDRCHGSPLAAKALGSMLSTRKAVEEWRAVLTKSSICDDENGILPILKLSYDDLPSYMKQCFAFCAIFPKNYVIDVEMLILLWMANDFIPSEEAIRPETKGKQIFNELASRSFFQDVKEVPLHKDESGHSYRTICSIHDLMHDVAVSVIGKECFTIAEGHNYIKFLPNTVRHLFLCSDRPETLSDVSLKQRCQGMQTLLCSMNTSNSSLHYLSKCYSLRALRLYYHNLGGLQIRVKHLKHLRFLDLSGNCHIKSLPEEICILYNLQTLNLSGCKSLGHLPKDIKNMIGLRHLYTDGCMSLKSMPSNLGHLTSLQTLTYFVVGNNSGCSSIGELRHLKLQGQLQLCHLQNVTEADVSMSNNGEKKDLTQLSFGWKDDRNEVIDLHEKVLDAFTPNNRLKILSVDSYRSSNFPTWVTNPTMMRDLIKLQLVSCTMCESLPQLWQLPSLEVLHLEGLQSLQYLCSGVDNSTSSTFPKLRELILVDLKILNGWWEVKGGPGQKLVFPLLENLSIDSCSKLENFPDTVIFGESSQFLDNKGNSPFPALKNLKLHNLKSLKAWGTQERYQPIFPQLENANIMECPELATLPEAPKLRVLVFPEDKSLMWLSIARYMATLSDVRLTIAASSSQVQCAIQQVSGTEEFSHKTFNATMELRGCYFFCMDWECFVNLQDLVINCCNELIYWPLKQLQCLVSLKRLTIYSCNNLTKSGDVLEAPLEKNQLLPCLECIEIKDCPKLVEVLILPSSLREIYIERCGKLEFIWGQKDTKNKSWYAENQDDLRSESYSNLVSSADAPLATNTHLPCMESLTVISCQSLVVLLNFPLYLKEIHIWSCPELRSIRGKQDIKVESKYVERNNGMAISESSSDLSASITVEDQGTWRSKYLLPCLEYLRIAYCVSLVEVLALPSSMRTIIISECPKLEVLSGKLNKLGQLDIRFCEKLKLVESYEGSFSSLETVSIVGCENMASLPNKHSNTPCTKGIVLFRGTNIWRYLLGTVSIFIFPPNVDHDVDYGKPRT >ORGLA01G0124700.1 pep chromosome:AGI1.1:1:10470138:10471298:-1 gene:ORGLA01G0124700 transcript:ORGLA01G0124700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELVTSMVIGPLVRMVKEKASSYLLEQYKVMEGMEVQHKILKRKLPAILDVISDAEKQASEHREGAKAWLEELKAVAYEANEVFDEFKYEALRREAKKIGHYTKLGIDAVKLFPTHNRVVFRYKMGKKLCRIVQNIEVLVAEMNAFGFKFEPQAIESKEWRLTDSDIFDPMNIASRSRDEDKKLLVSILLSQASNVKLTVLPIVGMGGLGKTTLAKLIYNEPEIQNHFQLMIWVCVSDNFDVASVARSIVDAVPKKGDKVTINENQTSALDELQKLVSGQRYLLVLDDVWNRDDEKWRKLKKCLEHGSTGSAVLATTREGGVAELMHTTDAYNLTSLNNSVIKEIIDTAAFRPKKEIKPAELVQMDDKTLLSKNESACSLVVTVT >ORGLA01G0124600.1 pep chromosome:AGI1.1:1:10445717:10449552:-1 gene:ORGLA01G0124600 transcript:ORGLA01G0124600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVTSIVVKPLLSMVKDKVSSYLLEKYKVMEGLEEQHKILKRKLPAILDVISDAEKQASEQREGVKAWLEELKTVAYEANDIFDEFKYEALRREAKKNGHYTALGFDVVKLFPTHNRVMFRYRMGKRLCKIVHDIEVLVTEMNAFRFRFHPQPLVSMQWRQTDSEIFDPTNIISKSRSQEKLKIVTILLGQASNPDLLVLPIVGIGGLGKTTLAQLVYNDSEIQKHFQLLLWVCVSNPFDVDSIAENIVKVADWGKGNQVKEDGKHQIDYQVTKDKPLQKLQKLVSGQRYLLVLDDVWSKEADKWEKLKASLQHGSIGSAVLTTTRDERVARLMQTTDAYNLTALENSIIKEIIDTRAFSLRKDGKPNEQVEMIDKFVNRCVGSPLATTALGSLLRTKATVQEWQAILMRSSICNEETGILHILKLSYDDLPSYMKQCFAFCAMFPKDYVIDVDNLIHHLAKYSSVRALKLSNEMWLIQLKSKILHHLSNVGELQKLDIGGHLELHQLQNVRESDAIHTKLDSKRKIKELSLVWSKKEPCNETADSSHNKVMEALRPHDNLLVLKVASYKGTTLPSWVSMLEGLIELDLSTSYTRCENIPQLWQLQYLQLLRLAGFDRLQYLCSIGENSTTCSIFPKLKDLTLENLKSFKGWWDKTERQEQPSCDNDNNKTPTALPNFPQELQLIELNKIDRWQQVEATHVKTPIFPNLENIRIMDCPELASLPEARKLSVLHITKGSQQLLFCIPRYITSLSTLSLLQEGVETAPPAEHNLIEWVDDNENWKGESPLADMRLDNFNMFFLSGAHALRTCFAQLIVLRICRCDVLIHWPEKEFQGLVSLKTLGIWSCNKLKGYAQAPERSTSGGGQLLTRLESLTIIECKSLVEVFNTPPSLKYLHIQRCPELKSIFGKQRRGSTLIEGPCSDNIVSAPVLEPSSPAGDHFSPPESLESPHSGELPSLVKLTLCYCKSLASSSLPNSPQAYSSLQGLIIMECPALKVLPTCLRQRLGSLEWKELDARHEDILG >ORGLA01G0124500.1 pep chromosome:AGI1.1:1:10431138:10432816:1 gene:ORGLA01G0124500 transcript:ORGLA01G0124500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:I1NN05] MARGLKKHLKRLNATKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTGENYRLLYDTKGRFRLQSVKDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHAFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >ORGLA01G0124400.1 pep chromosome:AGI1.1:1:10424506:10430821:-1 gene:ORGLA01G0124400 transcript:ORGLA01G0124400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G02910) TAIR;Acc:AT1G02910] MAAAGASALRPHLALLPAGGGGGGGGGTRSYALQALSFVSPLLPHCGRRRRCVLRSKASSSPSPPPSPGKEAVAVPTAESCVNLGLELFSKGRVKDALEQFENALELNPNPVEAQAALYNKACCHAFREESNKAADCLRTALRDYNLKFGTILNDPDLAPFRASPEFKELQEEALRGGEDIGSGFRRDLKLISEVQAPFRGVRRFFYVALTAAAGISTFFTIPRLILAVQGGDGAPDLLETVGNAAINIGGIVVLVALYFWENKKEEQQITQISRNETLSRLPVRLSTNRIIELVQLRGITRPVILAGSKASITRAMQRAERYRTELLKRGVLLIPVIFGASQKTQSKPRGFGSSRSAASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDIVSPEQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGRGMPNWNDILQELPRLEELLSKLER >ORGLA01G0124300.1 pep chromosome:AGI1.1:1:10414581:10414931:-1 gene:ORGLA01G0124300 transcript:ORGLA01G0124300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAIVAAPALLLLALLIALATGGADAAPGEVPLSWELGVVGADDALGFPGEEAADSATAVVRRVLQQGSYISYGALRRDTTPCSVRGASYYNCQPGAEANPYSRGCSAITQCRG >ORGLA01G0124200.1 pep chromosome:AGI1.1:1:10408534:10408881:-1 gene:ORGLA01G0124200 transcript:ORGLA01G0124200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLLAASALLLALLLAVAGGAAAAGEVPLAWELGVGGGGGEEDSFGFSSEDAAADGAAVVRRVLQGQGYISYGALRRDTTPCSVRGASYYNCRPGGQANPYSRGCSAITRCRG >ORGLA01G0124100.1 pep chromosome:AGI1.1:1:10399569:10401632:1 gene:ORGLA01G0124100 transcript:ORGLA01G0124100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYFRAGYPEEVIILYKRLKLNQIGLNGKTITFVMKSCTELKNLYLGKGVHADSLKLALSGNKFVGSSLIGLYSKFSKMNDSRGVFEEIINKDIVAYTSMITGYSETVDSIAWNAFEIATDMLQNNLEVNRVTLVSLLQIAGNLGALQEGKSLHCYSIRRAIGVSDDILETSIVNFYTRCGAYQSAATVLQNSKGTVASWNALLSGLNRAGQSFNAIQYLPVMLHEHKVTPDSVTFANVLSACAELCYFCFAASIHAYFIRRFIPMDVVLTTALIEVYTKCTRVMRSKYLFDQLIIKDVVSYNAMIYGYLQNDMANEATSLLNYMMAEGVAPDFATVLSLLAAFADQRDLVRGRWIHGFAIRHGFCSDVDVENQILYMYSACGKIAAARAIFDSLEKKNLVSWTAMMKGCLSNGHADEVVQLFQVMQKYGEKPDSVSLVTAVQAVSDLGHLNGLKQIHCFVYRSLLEKDKITANSLISAYAKCGKLDLSAGLFFSLKYRNLDTWNAMISAYAMHGFHINVLEMFKQMEEENIQPDELTFSTVLTACSHAGLVKDGWRIFNSMTSVYSVLPQEEHYGCMVDLLGRAGHLEDGYKFIKLSTLKDKSTIFCALLSACRTHGNTRLAHAISKELLEHGPQNPGIYALISEVYAQEGQWNEVANTKARADLSGLKKHPGSSLIESMEQGMS >ORGLA01G0124000.1 pep chromosome:AGI1.1:1:10392513:10394715:1 gene:ORGLA01G0124000 transcript:ORGLA01G0124000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFMESGIKELAKMPMEEIEADKLSKEDIDVRLKWLGLFYPRKHQYRPDSVALSSWPPDLRRRGKWQGPGGWWDPVRIEGAAGSGREADDGSGGEVGTREVISPLKRRRLAHPDSTSFKRTSPLIQKVPQSP >ORGLA01G0123900.1 pep chromosome:AGI1.1:1:10383601:10384185:1 gene:ORGLA01G0123900 transcript:ORGLA01G0123900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNLKSAALLEQLHVHLASGAGKELVEMIGFVYQLNISPKKLGFDEEVFVVVDLKKGVVSKGPYEGKPDATFSFTDDDFLAISSGAN >ORGLA01G0123800.1 pep chromosome:AGI1.1:1:10381243:10383106:1 gene:ORGLA01G0123800 transcript:ORGLA01G0123800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VERAHLVAVIVNLAQNADSRSTALRCADGRFMMRLKLPNGVTTSEQTRYLASVIEAYGKEGCADVTTRQNWQIRGVTLPDVPAILDGLNAVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRSYTNLLSSYITSNFQGNPTITNLPRKWNVCVIGSHDLYEHPHINDLAYMPAVKGGKFGFNLLVGGFISPKRWEEALPLDAWVPGDDIIPVCKAVLEAYRDLGTRGNRQKTRMMWLIDELVSLHSSTPLTELRMSQCSYQLIAVLALQGMEAFRSEVEKRMPNGVLERAAPDDLIDKKWQRRDYLGVHPQKQEGMSYVGLHVPVGRVQAADMFELARLADEYGSGELRLTVEQNIVIPNVKNEKVEALLAEPLLQKFSPQPSLLLKGLVACTGNQFCGQAIIETKQRALLVTSQVEKLVSVPRAVRMHWTGCPNSCGQVQVADIGFMGCLTKDSTGKIVEAADIFVGGRVGSDSHLAGAYKKSVPCDELAPIVADILVERFGAVRREREEDEE >ORGLA01G0123700.1 pep chromosome:AGI1.1:1:10372104:10373417:-1 gene:ORGLA01G0123700 transcript:ORGLA01G0123700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGYYTLDTFRFRAHDVKKEKDHEVSYSFVLSKFNHAKRVCFCSDSDERVKELEKVVVSLETIIGDANEFIKLSSTYPRLSRQPYSMYLLLDKCMFGRQMEMECVINFLLQEEITYGADHLGVLPIIGPGKVGKSTLVEHACIDERVRSHFSQIVFFSKDGLTDGNIVTLKDCGTTKHQTHDALGGSERRLVVVELDGEIDQGLWERFYSASKSCFAYGSKIIITSRSDKIASFGTTQVRAFGSSDPAENPKLASLAMDMASEKNLSIYSSTNPLDPWAVVAPLYIPRANKNLDPVAILNNYQRNCNETHSYSGLITASSPSAASQIMVQDIMFGTATPPGKFEVLAWRSHIPPYYSCVFDCEIKRPPTCMVSRKKKTKKIGI >ORGLA01G0123600.1 pep chromosome:AGI1.1:1:10360451:10367626:1 gene:ORGLA01G0123600 transcript:ORGLA01G0123600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAAQNVKITLQRRFQNYINDSSRQKQFEMFLGLRLFKHLPSIPISPLKVLSRPSGCMLKPVPSITPVADGSSSLLSFKKKDLTWVCQQGADYVELFIYLGEPCQVCQLLLTVSHGVDDSSYPATIDVRVGSSVDTLKLVLEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPNIQESTYLPLLYDFEELEGELNFLNRVVALSFHPSARARTPITLGEIEVLGVSLPWVDMLTDSRRGPDFVELLHEKLSSIPGNVGSKEVANSSNSFLPQNGIVGSERASSTKSSSSVLQGSSGNFVDFLTGDFDMLNQSDATENTSFVNVEQTNSFDDDFDVNPFATASETPSVKVNSQVEEFDSAHIYLKFFESFSGNIKGKGLNFEQMMKLEIKRLCLDLSAAERDRALLSIGIIPATVDPNRSVDYSYLLKLSSLADYLALLGHTVHEDRVNASIGLENINGHAIDFWNICENDESCTGDVCEVRALSSSHASATSENSSIFVECSQCGRTACKACCAGKGAFLLLNNTYRDLKIYGGSQGGGYSALADNFVCKSCCSEVIKHALYVDYVRVLRSLRKKGRTEQAVLKAVNQVCGLEFSRISDFTKSVQYGQKQLKQLLDGEESLAEFPYASFLQTVETADDSEPLLSLLAPFGIGEQKSYWKAPLDNTSVEFSIVLGGLSDVSGAAIIVGSCGYSTSDCPIVEIWAGNKINREDRTFIGKWDVHDMMLSSPHLSGPEKTSSMSEEPRHIKFHFPNPIRCRIVSIKMTLNHIDSHSTKFSEEFDLLSLSEGTFSESKPTTPQNSFIHAKGLLFLATLXERRQILTHLWEXXGXRLIXIDRNHWADLGFQLKLKGXEIMILFWSNISCLIHLEXLDFALISSMLLDRGXPIHLPRQSWIXRNFHXYLWKIELXIQQFFIYKSQLLRSLESWLLRNTVYQKXKXILHSIMIFKIYNKMSVVFSLDYLGMSLPLWMTLPRLMAQTCEIFLWPLGCLCQIRSSCITMLIHMKWERLEVSQQY >ORGLA01G0123500.1 pep chromosome:AGI1.1:1:10344616:10349682:-1 gene:ORGLA01G0123500 transcript:ORGLA01G0123500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFVLVDDFGPFKPPAASASTTSFSASHYDDMFDSYFNRSAEPAEPSPSSSAPSPPPPVFDKPVFDDADAADPFDAIPLFGDGGGGGGEGEDFLDSLGKGAKKPDVSEPEVVGFDDDLIPALGNTKSKTPVGEEEVEQEAEAVGFVDDVIPEWFGGSTSTTPMKPTPQAEPKATGFEDDVIPGFGESTSHHDSPWEEPRTRPENESISSSKTSVSMPGDAFVTLGATSNLGNSNFGLFTDHLDNMGKSESKNMDPCSTANGMFDSSNIFVGVPKPMSSSSFASEKESVFGDSKSLDGIYSMSHSIKMPKEKPVQQASAETMSSILPEMHIHEAPGTTGFNNSDPLSTSMQDQLPEENQCSKMSDDVWLTVSDVVLVTQPTSAPPPSRPPPTLAAKKGPTESNTSNAYPHDHNQGYNPFISSTNTSKTPKIEELEDFVMAKPSSLANGCLQDLNHNGIGIGQDSSTSAAGFMDWAELKHSKGVNQGNFDSLFASSQYQEKEKAVLYASGMESRDEEELLEYEKKQREKEEEQRKLERDREEELEREREMMRRREHEERKRREKEREARHIVEKAMREARERAAAEARMQAEREARQRAERAAVQKAAAEARERAAAEARERAAKAAAEAKERVAEEARERAAKAAAEARERAAAEARERNPFDVQPQGGSDFGSIRRTSSGSASPFAQPPSTNLMDDLSSIFGAPSSSAVFQEVDGESEERRKARLERHQRTMERAAKALAEKNERDLQVQWEQEERHRIGETLDFEIKRWAAGKEGNLRALLSTLQYLAWCACFKPVSRQQQQQQKDWCFPASTLHAVH >ORGLA01G0123400.1 pep chromosome:AGI1.1:1:10339726:10341596:-1 gene:ORGLA01G0123400 transcript:ORGLA01G0123400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLWPECGWRPVSLTDLITAASVKKEYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWTKFNSEELF >ORGLA01G0123300.1 pep chromosome:AGI1.1:1:10337678:10337920:1 gene:ORGLA01G0123300 transcript:ORGLA01G0123300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPAMAGSTNTVVQTISVTAAATGVHDFRFDGYSLTKAVTGEDDFYESEAFSVGGHNWAIRYYPNHDSSRVGCLSTPCS >ORGLA01G0123200.1 pep chromosome:AGI1.1:1:10326639:10329272:1 gene:ORGLA01G0123200 transcript:ORGLA01G0123200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGTISQQLTRYAAAQALLPGAHLHANLLKSGLLASFRNHLISFYSKCRRPCCARRMFDEIPDPCHVSWSSLVTAYSNNGLPRSAIQAFHGMRAEGVCCNEFALPVVLKCVPDARLGAQVHAMAMATGFGSDVFVANALVAMYGGFGFMDDARRVFDEAGSERNAVSWNGLMSAYVKNDQCGDAIQVFGEMVWSGIQPTEFGFSCVVNACTGSRNIEAGRQVHGMVVRMGYDKDVFTANALVDMYVKMGRVDIASLIFEKMPDSDVVSWNALISGCVLNGHDHRAIELLLQMKSSGLVPNVFTLSSILKACAGTGAFDLGRQIHGFMIKVNADSDDYIGVGLVDMYAKNHFLDDARKVFDWMFHRDLILCNALISGCSHGGRHDEALSLFYELRKEGLGVNRTTLAAVLKSTASLEAASTTRQVHALAEKIGFIFDAHVVNGLIDSYWKCSCLSDANRVFEECSSGDIIAFTSMITALSQCDHGEGAIKLFMEMLRKGLEPDPFVLSSLLNACASLSAYEQGKQVHAHLIKQQFMSDAFAGNALVYTYAKCGSIEDAELAFSSLPERGVVSWSAMIGGLAQHGHGKRALELFGRMVDEGINPNHITMTSVLCACNHAGLVDEAKRYFNSMKEMFGIDRTEEHYSCMIDLLGRAGKLDDAMELVNSMPFQANASIWGALLGASRVHKDPELGKLAAEKLFILEPEKSGTHVLLANTYASAGMWNEVAKVRKLMKDSNIKKEPAMSWVEVKDKVHTFIVGDKSHPLTKEIYAKLDELGDLMSKAGYVPNVDVDLHDLDRSEKELLLSHHSERLAVAFALLSTPPGAPIRVKKNLRICRDCHVAFKFISKIVSREIIIRDINRFHHFRDGTCSCGDYW >ORGLA01G0123100.1 pep chromosome:AGI1.1:1:10311691:10315509:-1 gene:ORGLA01G0123100 transcript:ORGLA01G0123100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATALAASLVPSWSAVVVLFSYLGYLATAGAVLPGKLVPGAVLPDSSRLHYRCNGLVSLLLLLVLSALGVYMGWMSPTVIADRGIELLSATFIFSVIVTFLLYYSGLRSHHKSSSLKPHVSGNFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSANLSVILYQFFCAWYIVDYFVHEELMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNNVELSLLAATVNCFIFVIGYLVFRGANKQKHVFKKSPKALIWGKTPKLVGGKLLVSGYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLFILLIWRERRDEARCSEKYKEIWVEYCKHVPWRIFPYVY >ORGLA01G0123000.1 pep chromosome:AGI1.1:1:10308050:10308656:-1 gene:ORGLA01G0123000 transcript:ORGLA01G0123000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRIKDGVVKIGMFGATTNGTMRDIDVAPVSLKSVTVGSIDTVDCISFNFEDKDGNELAVGPWGGTLGRDHTFVLKSNEYVREVSGTFGPFATQHLDRTVNSLTFVTSQGTIYGPFGTPNGTSFRIPVEKASIVGFYALADGFVSAIGFYVRQ >ORGLA01G0122900.1 pep chromosome:AGI1.1:1:10300742:10302960:-1 gene:ORGLA01G0122900 transcript:ORGLA01G0122900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDGIIKTELWGGNGTSHDITETPKDLISVQIKSKDTIDHLTFTYKDTKGNQQTVSWGGTMGDDHLAEVSGSVGPFPSQKQACTVNSLTFVTSEGRRGGPWGKRGKDDKDFKVPVGKGRIVGFYARADVFISAIGFYIRP >ORGLA01G0122800.1 pep chromosome:AGI1.1:1:10296736:10297152:-1 gene:ORGLA01G0122800 transcript:ORGLA01G0122800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGDRWRREQVGLATRWWPRSGSPGESSTAAGAAVWMRRLRCIGGGGDSSATAGMAVWMRRLCCIGGGGDSSGSEGNGLDATAALHRREAGDGWMPMKLMKFKDKRATLTTGWNALVGANLFEQADVCVFLFTEVPM >ORGLA01G0122700.1 pep chromosome:AGI1.1:1:10289870:10291340:1 gene:ORGLA01G0122700 transcript:ORGLA01G0122700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTCQAYLEQTLCWTSSLLIDFFQLLTPARRKVYGWLVSPWMAKVLVCLEEAGVEYEVVPLSLTNGDHRRPEHLARNPFGQIPVLEDGDLTLYQSHAIARYVIGKHKPELLGLGEGGSVEESAMVDMWLEVETHQYEAAVKPIVWHCLVHQHVGLERDQGVVDESVEKLRAVLEVYEARLSSSSAGRYSYLAGGGSGDRVSLADLSHVPLMHYFTATEYGGVLGEYPRVKAWWEALLARPSVKKVIAGMPTDFGFGSGNLP >ORGLA01G0122600.1 pep chromosome:AGI1.1:1:10275715:10275993:1 gene:ORGLA01G0122600 transcript:ORGLA01G0122600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRESFGVDHGVRITDSVPPPSQVXWRQFRVVGLGAVDPDLVTKAAGSRPTAGRALVRAAGEGSINGAWGRGASCGEARYGWQSSSHSRR >ORGLA01G0122500.1 pep chromosome:AGI1.1:1:10253389:10254749:-1 gene:ORGLA01G0122500 transcript:ORGLA01G0122500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPLHHSSIPLHHSIHYHCIIQKRRGGEERARWSAVAWRRGGRRLLGEDNGAGLGRTIAASLVGEAGGLTVLLTPRGGGCRGGWRLDGALDAGVGLDGVEKRPAAARISPAVEEAVAASMETGEEASAPEEAVAASVETGKEASATEAGRCGREKTAAVRARWRRGGEKGRGGEEATAARARWSSGKKAARARWRRGSGGEGEVELGEEDGKGEVERRRAARIWGCRRRGELVGGADSRGRRRGGAAEGCGGGEEAAVRGRRRGG >ORGLA01G0122400.1 pep chromosome:AGI1.1:1:10243420:10244311:-1 gene:ORGLA01G0122400 transcript:ORGLA01G0122400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1NMY4] MAYYAIAPILCALVQNELYMHLYINQVYAGQSTNQLVVITSSQPQGFGITVINDWPITDGANTVGRAQGLHFQSGQTSEKWYTSMNLIFEDTRFSGSSLQVMGTIPQDGEWSIIGGTGEFVAAQGIVEHNVIQEAGGARTYELKIHAFYTPMQSSGVGAYGCNSWKLGP >ORGLA01G0122300.1 pep chromosome:AGI1.1:1:10239993:10240713:1 gene:ORGLA01G0122300 transcript:ORGLA01G0122300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGGGRGGGAAGAQRAVGVAESGXRTWWGRAWRERGVTVEVVALEAEVVVAGAKGSEVARVSAAASTKAMHEMGLQRRAASAANPFPLSFSSDSLPFPAVQRRWSGQLYVHEAGGEGGSVGGGPVSLVVGDEDAAVEALARAQPILVKLGLTMKAKWPHSWKSMPEVQLPMSLSYKCSSSPQHRTAXEALAFQPFLPM >ORGLA01G0122200.1 pep chromosome:AGI1.1:1:10229537:10234382:1 gene:ORGLA01G0122200 transcript:ORGLA01G0122200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPVPSVQAMVAATGIDHVPPRYLRPTDADEPVASDGGEAEIPVVDFWRLQLGDGDELARLHIACQYWGFFQSFRMALDRYCAAVKITADGLLAAMATNLGVEPEVIAERCVGGVQSVRVQYYPPCGQADKVAGISPHSDADLVTILLQANEVDGLQIRRGGAWLPVRPLEGALIVNVGDILQVFTNGRYKSVEHRVVVDGKKERLSMATFHSPSKNAIVGPLSEMVEHEDDAAYTSMDHDELLKLFFAKKLEGKNFLNPIKKLKNSG >ORGLA01G0122100.1 pep chromosome:AGI1.1:1:10206936:10208261:1 gene:ORGLA01G0122100 transcript:ORGLA01G0122100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVPSVQSMVAAEGGAHVPPRYIRPRDEAVATDGETEIPVIDFQRLQLGHDEEMARLDRACQDWGFFQLINHSVPEDVVDGMKANARGFFELPAETKKQFAQERGQLDGYGQLFVVSEDQKLDWADILFLNTLPVQNRNFRFWPNQLAKFRSALDKYSAAVKSIVDFLLVTVANNLGVDPEVIANKCGTDGIQAVRMNYYPPCVQADKVIGFSPHSDSDLLTLVLQVNEVDGLQIKRNETWFPVRPLEGAFIVNVGDILQIFTNGRYKSAEHRAVVDMKKERLSIAAFHSPSVHAVIGPLKEMVAHEHEAVYRSIGHDEFMKLFFSSKLEGKSFLDRMKKL >ORGLA01G0122000.1 pep chromosome:AGI1.1:1:10204259:10204558:1 gene:ORGLA01G0122000 transcript:ORGLA01G0122000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKARLSFVTTIASLLSAIARLHHPPMFAATAGKECLGFVAVGSSASVGKMGDERSGGGYLGRRNDRSGGGTMVRGVGAIAREARRRMTVEEDEAGEE >ORGLA01G0121900.1 pep chromosome:AGI1.1:1:10196777:10197479:-1 gene:ORGLA01G0121900 transcript:ORGLA01G0121900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1NMX9] MHNELYMHLYINQTINQTISGPNPNQLVVVNGSQQAPLFFGLTAISDWTILDGPGPNASVVGRAQGMHFQSGHIREKWYTSMNFLFEDTRFNGSMLQVMGTTPQDDQWAILGGTGEFVAAEGIVEHKIVQVDSTGRIYEIKYIYIYIXXXXXXXXXXXXXXXX >ORGLA01G0121800.1 pep chromosome:AGI1.1:1:10187700:10190102:1 gene:ORGLA01G0121800 transcript:ORGLA01G0121800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:UniProtKB/TrEMBL;Acc:I1NMX8] MLRRQPGDAPASAAASEADLAQLSTAIAAGEDLGPFVRRAFACGRPEPLLASLRAAARDREAEIEELCRAHFHDFIRAVDDLRSLLADADALKGSLSASHAALLSSAAPLLASLESFLAARGLAGNLSSALASSRRCVRLLALANRANAHLQGGNHNLYLALRAVDAIDRDLASGPEPLPLPTLRRMLLSLVPAVRAHAEREISREFSDWMVSIRAASRHLGQVAIGRSAAARQRQEELRSKHRPLEECITLDDDGVGDLDDFAAAAATADVADGAAAASFDLTPLYRAMHIHHTLALGERFKKYYLENRKLQLTSDFDVIAATPFLESHQVFFSQIAGFFIVEDRVFRTGGGLTSRPDVDALWDSAVAKMVSVMEDNFSRMQTANHLLLITDYAALLSATMRRYGYPVGMLLDVLARHRDKYHDLLLADCRRQVVEALAADKFDQMLMRKEYEYSMNVLAFGIQSSDITPAFPYVAPFSCTVPDICRIVRSFIEDSVSFMAHGGGGDTYAAVKKYLGRILSEVVDASIQKLVDSGGGLSVSQAMQVAANMSVMERACEFFTGHAAQLCGVPLRAVERGRRDFPLRKSRDAAEALLLRLLRSKVDEFMGQSDGVTWMADDPPAGGNEYANEVIIYLETLTSTAQQILPLPVLRRVLVAVLAHISERIVGLFLNDSVKRFNASAVTGIDTDLKMFEAFGESMSSLFVDSDQESAANEMKAALVEARQLVNLLMSNSPENFLNPVIREKSYNKLDYKKVAAISEKFRDSSESYFSTFGTRGARQNPKKKSLDTLIKRLREAS >ORGLA01G0121700.1 pep chromosome:AGI1.1:1:10175935:10182708:1 gene:ORGLA01G0121700 transcript:ORGLA01G0121700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:I1NMX7] MSARLRVEELRAELQRRGLDASGNKPVLVRRLDAAIRKEEEEAAVSAAAKEEADAGGVVDGEGNGEDKRKRKRRGDGEDVDNSESDAAKLEGMSYRELQALAKSRGLAANGSKKEVIERLLCAPSDTDGGVQDKKKIAKGFADGDDRVEECRKEKIVTATRKGAAVLDQHIPDHIKMTYHVLQLWFLLKGDEIYDATMNQTNVGDNNNKFYIIQALESDAGGSFMVYNRWGRVGARGQDKLHGPFSSREQAIYEFEGKFHGKTNNHWSDRKSFECYARKYTWLEMDYGEADRETNKKVSPSTDQIKETKLETRIASFISLICNISMMKQQMVEIGYNADKLPLGKLSKSTIFKGYDVLKRISNVISRADRRQLEQLTGEFYTVIPHDFGFKKMREFIIDTPQKLKAKLEMVEALGEIEIATKLLEDDSTDQDDPLYARYKQLSCDFTPLEVGSEEYSMIKTYLANTHGKTHTNYTVDVVQIFKVSRHGEMERFQKFATAGNRMLLWHGSRLTNWAGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYASEACRSGVLLLCEVALGEMNELLNADYDANNLPKGKLSTKGVGQTEPNTAESKITDDGVVVPLGKPKAEPSKRGSLLYNEFIVYNVDQIRMRYVLHVSFNFKKR >ORGLA01G0121600.1 pep chromosome:AGI1.1:1:10154393:10164015:1 gene:ORGLA01G0121600 transcript:ORGLA01G0121600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:I1QKK2] MWTAAGRRLHQQRDLHAILRTAHRRCCTRPIGGGLDAPAAPPGDLRTLSGVGMLIHQFKALLAPKKIYPWRSSHLQSLEVRRLHTAPSNAAAAAVTDGGDQDKTKSAKDDDGDDKVQCKKEKIVTATKKGAAVLDQYIPDNIKTAYHVLQVGDEIYDATMNQTNVGGNNNKFYIIQALESDAGGNFMVYSRWGRVGTRDIHWSYRKGSHCYAHKYTWLEMDYGEADKETNKKTSSITNQLEETKLETRTASFISLICDISMMKQQMVEIGYNADKLPLGKLSKSTILKKGFPMFISGADTDRTQLEQLTGEFYSVIPHDFGFKKMSEFIIDTPQKLKAKLEMVEALSEIEIAIKLLEDDSSDQDDPLYARYKQFCCDFTPLEVDSEEYSMARERKIKTYLTNTHGKTHTGYTVDIVQIFKVSRLGEMERFQKFASAGNRMLLWHGSRLTNWAGILSQGLRIAPPEAPISGFMFGKGVYFADMFSKSANYCCASEACKSGVLLLCEVALGEMNELLYGDFGADNLPNGKLSTKGVGQTEPNIAESKITDDGMVIPLGKPEKGSLMYNEYIVYNVDQIRMRYILNVNFNFKRWG >ORGLA01G0121500.1 pep chromosome:AGI1.1:1:10135847:10142276:1 gene:ORGLA01G0121500 transcript:ORGLA01G0121500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEEEEPASAVGREGGGGGGGARAAGAGAGGDTADDDDSGESAAAVVPCSICLDAVVAGGGDRSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRQIERGNWLYANGSRPSQDVSNDDWGHDEDFYDANQPETSRSVFLPFRFQWCPIGRLAQLPSVFDEGESAPPVTFHDFMGQNFTSEHLPVSAPGATPPGPYIAYFQPLQSSASSSSSHVTERTMDGTTYHDHWNPLPGPSDGRPLATVHPIDFHHNHWTHLPNSYSQPNSNNGVAEQMAIPVVPMRVGGLDSDSQQRGSLPSVYGNGSGSRSRIPSVPPMAPQFMRPHGNINEQYQQNSSSLYAAPQRRTAVQAVQDSMNFTLFPQAPTGPNSMETEDAGGNQFYAWERDRFAPYPLMPVDSEANWWGSTPQSHGVTDHSAAPSRRLFGQWIGAGRSPPPPPPPPAENRSPDNSSYRQMHIPRM >ORGLA01G0121400.1 pep chromosome:AGI1.1:1:10107763:10109744:-1 gene:ORGLA01G0121400 transcript:ORGLA01G0121400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAFDLNEPPPPDLDLNETIDWMSSLDDCHESPAHKLDYDGDEEGRGNEDEENGDGGEDVAGGDEQDDQAGLEAQVHAGDEHAEGVLMQDLSLGENLRKRRYYSDELKIAIYLELLAKTDPPVLHRGVSKQVALKFGVPLRLVQHVRQNGKEKGCVDGVVNKLFKNVGRKRIEIDLEAIRDVVPSGERATLRRLADALGVKKTTLHNRLKEVKFRWR >ORGLA01G0121300.1 pep chromosome:AGI1.1:1:10100923:10104800:-1 gene:ORGLA01G0121300 transcript:ORGLA01G0121300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAMAATCSALSSLGDIMLVVREEEEETREGGAVELLAAELTAVHAALRDDYLADVPPARLDEQAKAWSGHARELACDVHDAVDAALLARRADGSPDAAPAATVKALLERAADLSRRRPRPTAAVDPRRPAAAVDAGPPATEIVGLDAAKDDLIKKLCDDVDGDEQSEQRLKTVSIVGAAGLGKTTLAKMVYDTLRPRFDCGAFVSVSAINPDMSMVFMRMLRQLDDDDKHESVGGEEPSVSGEAQLVDQLSKFLRDRRYVQYLIVIDDLWDKPSWEMIKHALVENYCGSRIITTTRNFSVADQAGMPYELKPLSAENSKILFLQRIFGHDNKICLDDEFAEVADKILKKCDGVPITILALASLLAGKIGDKKEWYKVHNSIGSTLENSADVKNMRMTISVGYYCLPANLRACLLYLSIFPEDYEVSSLSSEENFVTILNDEQQTCSSNKGCRLSIRGSKASVDTTNQATMMSMLQARSLSVFSPAIGSINLSEFKVLRVLDLEGCDISQSHHVLNDHLGSLIHLRYLGLRNTRITELTEDVGKLQFLQTLDLADKRVKELPATVFRLGKLMCLRVEFQTRIPSGIGNLVSLEELSDISTRDSPDLVNELRNLTKLRVLKITLRQPTQSTEEALVESLRNLRKLQDLHIYAASGNGHKRLLDLLQDGSWTPPPRLRSFSAEATYISCSPLRLLPAWIAASVMPRLAVLLIQVRELRQVDIDALGKLPVLRTLRVEPYEMKEMIVIGRDAFPCLKECRFRNSDLGPVIQRGAMPRLRIIEFCFGVRQTKDLGNGFDFGLANLGCLEEATVYINCKEATEPEAEEAEEAVKHAADTHPNHANFDMNTYGEELMRFDD >ORGLA01G0121200.1 pep chromosome:AGI1.1:1:10098560:10100134:1 gene:ORGLA01G0121200 transcript:ORGLA01G0121200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILLPLVVIITSTMLLLLIISTAKKRHHGTANLPLPPAPPSVPVVGPLLWLVRARSNLEPAIRELHRRHGPILSLTFLSPRAAIFVSSREVTHRALVQRGHTFASRPPAIAPFAVLTSGQCTVSSAPYGPLWRSLRRNLTSGVLGHGSRAPLYAPARRWALHLLTSDLAAASGNTGGGVAVAVVDCLQFAMFSLLTYMCFGKRLDRRGVREIEAVQRELFSSYISFQVFAFCPTVTKRLFFRRWQKVLSIRRRQEDIFLPLIEERRKRIKISSMDNDGSMVCCYVDTIISHKLPKEAGDRRLTDGELVSLCTEFLTASVDTIVTALQWIMARVVEQPEIQAKLLDEINRVVSSDKEHVDEEDIKSMAYLKALVLEGLRRHPPAHFLLSHAAVEETSLDGHRIPAGRSVNFSVADVAHDENVWSRPEEFLPERFLDGGEGAGTDLTGSREIKMMPFGVGRRICPGLGLALLQLEYFVANMVREFEWGMVDGDCGGGINLAERPEFTVIMEQPLRALVVPRRRE >ORGLA01G0121100.1 pep chromosome:AGI1.1:1:10082427:10083794:1 gene:ORGLA01G0121100 transcript:ORGLA01G0121100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELSFTVHRREAVLVGPSVSTPCETKRLSDIDDQESLRYHVPGLLVYRGGQPPAPCVRDNDPSGIIRAALSRALVHYYPLAGRLREVEGRKLVIDCSGEGVVFVEADADVRLEEMEAAAAGGHGLRPPFPCVDQLVPDVRSSGRGGSVLSCPLVGIQVTRLLCGGFIVGTAVNHSVCDAMGIVQFLNAVADIAGGLPAPAVHATWSRELLDARSPPAPAFPHREYDMIDILPGGGREADNIVRSFLFSSTDIAALKEEALLPHPEHRLRGGSSTATSFEVLAAFLWRARTAALEIPADEEVRLVAVVGFSRIAALGLPSGYYGNTCAYPTVVMTAGELLRGCTLGDVVRLVQEAKAAVTAEYVRSTAECLVLRRRPRLARTNLFVVTDVRRVGFDRVDFGWGDPVYGGPARALPTVSLLVNVKGSSNVVGAVVSLPSLVMGRFSAELESFLNT >ORGLA01G0121000.1 pep chromosome:AGI1.1:1:10067676:10070759:1 gene:ORGLA01G0121000 transcript:ORGLA01G0121000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSVSSVVIPILVVLVSRLLTSALVHLLWKPYAITKLFRGQGITGPKYRLFVGSLPEIKRMKAAAAADEVAAGAHSHDFIPIVLPQHSKWATDHGKTFLYWLGAVPAVSLGRVEQVKQVLLERTGSFTKNYMNANLEALLGRGLILANGEDWERHRKVVHPAFNHDKLKFMSVVMAESVESMVQRWQSQIQQAGNNQVELDLSRELSELTSDVITRSAFGSSHEEGKEVYQAQKELQELAFSSSLDVPALVFLRKLPIVRGNTRAHQLVKKSRTMLMEIIEGRLAKVEAAEAGYGSDLLGLMLEARALEREGNGLVLTTQEIIDECKTFFFAGQDTTSNHLVWTMFLLSSNAQWQDKLREEVLTVCGDAIPTPDMANRLKLVNMVLLESLRLYSPVVIIRRIAGSDIDLGNLKIPKGTVLSIPIAKIHRDRDVWGPDADEFNPARFKNGVSRAASYPNALLSFSQGPRGCIGQTFAMLESQIAIAMILQRFEFRLSPSYVHAPMEAITLRPRFGLPVVLRNLQG >ORGLA01G0120900.1 pep chromosome:AGI1.1:1:10041491:10045025:-1 gene:ORGLA01G0120900 transcript:ORGLA01G0120900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:I1NMW9] MMMTRASMGAMEGAAVDEVVRRLVEGGRGGRQVQLSEAEIRQLCVEAKRVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPTSTYLFLGDYVDRGKQSLETICLLLAYKVKYPDKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFCDCFNCLPMAALIDDKILCMHGGLSPELNSLDQIKDIERPSEIPDYGLLCDLVWSDPSPDSEGWGESDRGVSCTFGADKLVEFLEKNDLDLICRAHQVVEDGYEFFAQRRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPNDTGAPHSRKPTSNKVKYQV >ORGLA01G0120800.1 pep chromosome:AGI1.1:1:10022322:10022856:-1 gene:ORGLA01G0120800 transcript:ORGLA01G0120800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVKIGLWGGNGGSAQDISVPPKKLLGVTIYSSDAIRSIAFNYIGVDGQEYAIGPWGGGEGTSTEIKLGSSEHIKEISGTHGPVYDLADIVTYLKIVTSANNTYEAGVPNGKEFSIPLQDSGHVVGFFGRSGTLIDAIGIYVHP >ORGLA01G0120700.1 pep chromosome:AGI1.1:1:10017210:10017461:-1 gene:ORGLA01G0120700 transcript:ORGLA01G0120700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTSLVSRMERPSVSRSRTTLVSSASLRALDCSSMLLASMSNHDAMPCSYVPVPLPVTACSTMVCENQSGLYMYLHNRIFQ >ORGLA01G0120600.1 pep chromosome:AGI1.1:1:10013851:10015450:1 gene:ORGLA01G0120600 transcript:ORGLA01G0120600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAVKKADGKTQQALKVAKAVKSGSIKRKSKKIRTSVTFHRPKTLKKARDPKYPRVSAPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >ORGLA01G0120500.1 pep chromosome:AGI1.1:1:10004864:10010237:1 gene:ORGLA01G0120500 transcript:ORGLA01G0120500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKGRTEMEVGADGVAVITICNPPVNSLSIDVLLSLKENYAEALRRNDVKAIVVTGKGGKFSGGFDISSFGSVHGGKVEQPKVGYISIDIITDTLEAATKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGVIPGFGGTQRLPRLVGLTKALEMMLLSKPIKGAEAHQLGLVDSLVSADDLVNTARRWALDICELKRPWIKSLYKTDKLEPLGEAREILKFARAQARRQAANLEHPLVCIDVIEEGIVSGPRAGLWKEANAFQGLLFADTCKNLVHVFFSQRATSKVPGATDLGLMPRKVTKVAILGGGLMGSGIATAMILSNYPVILKEVNEKFLNAGIDRIKANLQSRVRKGKMTEERYEKAMSLVTGVLDYERFKDVDLVIEAVIENVKLKQQIFSDLEKYCPSHCVLATNTSTIDLNLIGEKTRSKDCIVGAHFFSPAHVMPLLEIVRTQHTSPQVVVDLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQSALLFVDLGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAAATGMQYLENFPERVYKSMLIPLMMEDKRTGEASRKGFYKYEDKRKATPDPEIMKYIEKSRSMAGVTPDTELMKLSEKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGIMFWADSIGAKYIHDKLEVWAKRYSNIFKPCSYLAERAANGVPLSAPAKQVKARL >ORGLA01G0120400.1 pep chromosome:AGI1.1:1:9942824:9943696:-1 gene:ORGLA01G0120400 transcript:ORGLA01G0120400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSVDDXFLAAVSXSDRGQPLHPXCQIAMLLRPDAPAVILSGNTSTSTECXRIQAKVXSAGHNDGEHGASLLRHQLPSFSFLRPIPSKIDCFPLARAARXFAQISLAARSSSMQIRARXEVGTMPGLHKPKSMPLAVFVSNANADNDHDHDGYGSSLFVMERIPKPELGSNSDQFEAFIYRKTAMSSYIKAXHSHQLPPPSFVREPKHWHSCSSPEISSYAVLGGGSHICLSVNGVGTYCLETASHTRSEVGKWTLPFHGRIDYVPEFNLWFGFSADARHLAAADLSAMDS >ORGLA01G0120300.1 pep chromosome:AGI1.1:1:9920807:9921929:1 gene:ORGLA01G0120300 transcript:ORGLA01G0120300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPSRPMTSIVLLVCTLMALQAMAASAYYNNGSDDGVTMQMFEEWMAKFGKTYKCHGEKEHRFGIFRDNVHFIRGYKPQVTYDSAVGINQFADLTNDEFVATYTGAKPPHPKEAPHPVDPIWTPCCIDWRFRGAVTGVKDQGACGSCWAFAAVAAIEGLTKIRTGQLTPLSEQELVDCDTNSNGCGGGHTDRAFELVASKGGITAESDYRYEGFQGKCRVDDMLFNHAASIGGYRAVPPNDERQLATAVARQPVTVYIDASGPAFQFYKSGVFPGPCGASSNHAVTLVGYCQDGASGKKYWLAKNSWGKTWGQQGYILLEKDVLQPYGTCGLAVSPFYPTV >ORGLA01G0120200.1 pep chromosome:AGI1.1:1:9916040:9917217:-1 gene:ORGLA01G0120200 transcript:ORGLA01G0120200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKPLLLGLLLSITCLLQVLLAAANPQPPPPPSCDKSDKELRFMFSQWMAKYAKHYSCPEEQEKRYQVWKGNTNFIGAFRSQTQLSSGVGAFAPQTITDSVVGMNRFGDLTSTEFVQQFTGFNASGFHSPPPTPISPHSWQPCCVDWRSSGAVTGVKFQGNCASCWAFASAAAIEGLHKIKTGELVSLSEQVMVDCDTGSFGCSGGHSDTALNLVASRGGITSEEKYPYTGVQGSCDVGKLLFDHSASVSGFAAVPPNDERQLALAVARQPVTVYIDASAQEFQFYKGGVYKGPCNPGSVNHAVTIVGYCENFGGEKYWIAKNSWSNDWGEQGYVYLAKDVWWPQGTCGLATSPFYPTV >ORGLA01G0120100.1 pep chromosome:AGI1.1:1:9908427:9909466:1 gene:ORGLA01G0120100 transcript:ORGLA01G0120100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSVSLDFFSDISIPGHLMQYGMARALDGRWMLKTEDGDELYLDLDEEVMMTLNKASLIRFVCPFHVDLLIHDERAISFGWIVTCT >ORGLA01G0120000.1 pep chromosome:AGI1.1:1:9886724:9888159:1 gene:ORGLA01G0120000 transcript:ORGLA01G0120000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYIYIYIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTSIVVDCKDSLKNRIRIFTEAVVVMVCPVLLSFALKKVDLTANRSKQIVDSISPIGALTLEAGILPFLGLCLSTVLGERLAWLVLASKLLIHLCVILLMVLAFVILLLISKSNIVCLSIWIPFVPFILWLGYNSMKDEEHENADDATKSAHHRKLEISVDFSASVTALLFLGLEGLALEGQASAIKGLDAHLSASLIVSFATCVLGVVFMLVGTAPPVYGMGRLVDSLRILDTSLAIAFGVIVVLITIAPLKEAAWLVSIPWILSFFVWLFISLFDHDEGEDRDVKPVSLELTKAAFTGFLAVSIPSFSNSSTSGGYTHAFIVLTGSAVLPGLAWRLITHVKKPSRAMVWAENVASSCAHICVAAAVVPFASISMTVLRSLES >ORGLA01G0119900.1 pep chromosome:AGI1.1:1:9880013:9881713:-1 gene:ORGLA01G0119900 transcript:ORGLA01G0119900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSVPEISSSKKLSDEVTQSSEASEEESDEDMKEPITRLSAHGFLSLMISAVGNYIIPLLTSHSEPEQTAKRSAMPIAVFCLGMITLVIGYGMRFPILQPKQQYGSGVVLHITTAGKVLNSYVFLLLINTRYISLAVFPAIMLVFIGALCRKFWVEETCRRQLRHDSPGDSKASSVLRKSEEQLTLVVAVLPFWLQLPGVMLARGTSWQQDRVLVSHFLVFISSTMVALATLIARTVPAGIYPGVSRVLPVMHRTCIVLILVSVHTMSGEWFGTKSMALACTPELVALLIWFSVHLNHAHDARACKIICLVAVSLLWAWAATYDEMAILQGYWRSSFWGISGLSGGLCYFSSWILKQWPKDSFRPTSDHHALLLLQLLRISAEISLFTSVPSIALQLPRWVRRISAQIRLLASVPITALQLARCVSTISVNILMYCVCFLSLNNVDKYHPGMSTASISRQVLQPMLFVLPSILISHFTSK >ORGLA01G0119800.1 pep chromosome:AGI1.1:1:9865717:9870143:1 gene:ORGLA01G0119800 transcript:ORGLA01G0119800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGFVESGSFSRSSSVGGFEEWMALVRKRNGSGTPSSSSPQQPRPGGFAAQSSVSSEGLELGHPYSDAIDYSLSYQLPTTSLWDRLGRVTMMDIESSDFNWSSLSSLHHTKHTDTSTEPYEDDTSRSFEVTVNSGGVVFIALFKTSEYDDLPSKETAAVIKIAPSRMATQSERFGYELAKWLGVRTPQGRVIHNFSSEWHQMKDAVENARDAAISSCDELEEMICTEMLEALELSRCLFLMNYVHGSPLLENTMPFDSHDSAEKTAEALGRVLILDLVLRNEDRLRCRPLGWRGNYANLLVADRNAYANLDSLDDVYDSAIIRYKPEIIRSPQNRKPRRAVSISGSIGSDTSDLMLPDNPSQPEISSFHIIAIDSGVPRRPPACKRAKDQESYPKLVELTLNNWDYSSNLLFELSFGKLGIPGPEEFDVSSDLSYHSPLSESDMVAAVNSFRGGFRSALRDLQRFHIFLVTLYQKLDGLLKIFFNLMYKVLNEYDREDAGSSDSPCSTETHMDSNDSEVPKHMRRPSRTLSRDNFDLSSPSSRESFMIKNFKGSSDASRGLRLTMKLRDFNKYAKADGELCKEIEQWNDMLRTEVVKLCQDNSFNTGFFEGIDNSIAVDAYELKVRLEHILERISLISDAASTERPSQITDYLYIGGALAARSTYTLKHLGITHVLCLCANEIGQAESQQPDHFDYRNFSINDDENADISDVFQDASDFIDYVQHLHGKVLVHCFEGKSRSTTVVLAYLMLRKKFTLLEAWNMLKKVHRRAHPNDGFAKVLLDLDKKLHGKISMEWHHRRPAMKVCPICGKNAGLSSSSLKLHLQKAHRKISSGSVDTAMTLEIQKAVEAIKAGRGGGDSPTHNAEKVTS >ORGLA01G0119700.1 pep chromosome:AGI1.1:1:9859534:9860868:1 gene:ORGLA01G0119700 transcript:ORGLA01G0119700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLDVHRSLRPPMPMAQQQMDEFWRDRQKEIEMTKDFSEHMIPMARLKKIISSQKGNMMMTFDMPAFLSKMCELFVQELAVRAWACAQSHNRCIILDTDIAEAVASTESYDFLVDILHNHSVKQKSTPCSSTKRCRLVVQPSASHIPHQHLLPQFAPTYTSAIPITPSLMPLISQCTPSSFPSLPQEKFPLMAPTPIVNRSMLFIDNIARGLGLQGNNINAVANNNILDNIVGCSSPAVLASMMNLALLGPSGAPLNPPNSQSYNCTMDIINSNDACGSNNSSVIIANQANIAPSGHFYPIALQSSFSTFLHSNNNDTITAIPEGVDISDIMHVTSDVDAATKVFSGQEEQHEKETNVEWHHQNEIYESIDIGIIIATTRDGNKCSISWDELGMAEDSLLDNFLEELQVRKDDVSDTRIAFNKDPFLDDVVLSNPSTSNGNK >ORGLA01G0119600.1 pep chromosome:AGI1.1:1:9856003:9856320:-1 gene:ORGLA01G0119600 transcript:ORGLA01G0119600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAEFLPSVVNTDKLGMLAGRVGIELVETAIELEILLASASFDRGGVWSDLAAGLTSATYCIAAIIIVHLHLHHPSLPGAIEGHHVHCPSFPGALRGRRCHLNLL >ORGLA01G0119500.1 pep chromosome:AGI1.1:1:9850334:9850864:-1 gene:ORGLA01G0119500 transcript:ORGLA01G0119500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISAAAPSSRPPPPPLSLLLLRPLASSSPVPPATSSSSSTTIIRRRPSASATATVRRRARTRPRTRRSKPLSDAGGPFSGDDGDGFFGPGGGGGGGGGGGWWWNRGSGSGAGWEDSSGEPDVPAPRRSAAEAALGVVYELMCLIALSNCTQFAVRRLAGLLAARVAALRFVPTVC >ORGLA01G0119400.1 pep chromosome:AGI1.1:1:9846964:9847707:1 gene:ORGLA01G0119400 transcript:ORGLA01G0119400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPYWVLCAMGQFHGGDSFAVSQFLLFLGSTLGALALMAARLSQLAGAAPGLAPASELLRRATLVVMLVTAHAAAAELLGEATMALLCLPELAPALFWFTLHLDGESSPAATIDGIKSHRNVLSVLAAAAVASVAYLAAAMGERGLSVSTITMVSCGVSGLLVRCAVVVLGQWPGQAETAGSTAASLMEVVLVLKFWGNTLLAVAAMLLLLALLTAFRLCLQEPMFATVAKCFSDYIDKVPQMIV >ORGLA01G0119300.1 pep chromosome:AGI1.1:1:9821750:9822170:1 gene:ORGLA01G0119300 transcript:ORGLA01G0119300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVDIMVVVDKLEGIEVVIVSVEIEDATIMNKLGYPALADEFELQVLSSRTIAIVHEARSNKVTTTTMVPSLRNTMAQLIYA >ORGLA01G0119200.1 pep chromosome:AGI1.1:1:9816123:9816395:-1 gene:ORGLA01G0119200 transcript:ORGLA01G0119200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQKLGGTLLEQLAKIEPVLEHLRRSRDERVEEFMVVLAQIVRLHAEISGTVENGDHVPPLVDETNLSLRRLAEFKSQLKELQTEKIVHHL >ORGLA01G0119100.1 pep chromosome:AGI1.1:1:9815855:9816088:-1 gene:ORGLA01G0119100 transcript:ORGLA01G0119100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFLNFQNLRLQKIGVQINCIHEVCNMVSLHLKKELYEVHPSFVELGRTASMSTSDNTPERLAGKVHSLNQGKKQRLRK >ORGLA01G0119000.1 pep chromosome:AGI1.1:1:9789763:9790008:1 gene:ORGLA01G0119000 transcript:ORGLA01G0119000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEGAATAARLARGGGAPVTGDGKGRVAELPLTTAHPTVVTATGDDDGDGGVAAPEMAGGDGLLGGGGDGATEHGKAWE >ORGLA01G0118900.1 pep chromosome:AGI1.1:1:9776809:9780907:1 gene:ORGLA01G0118900 transcript:ORGLA01G0118900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQASCKFTESPFMILYPLHFIAATSSFVHKFQSKQSTNGVQWFRCILPIVVGCINALLFVDSLAVPLNWGKIIVSLPVYVHAAAVVVMAYVTLVLINVRYIYLAIIPVIMLVFINENCDDATKQDVNSSNEDEHNHGNDKKAEVMVTIAVMPYWVLCLMGQFCGADNFVT >ORGLA01G0118800.1 pep chromosome:AGI1.1:1:9744844:9746376:1 gene:ORGLA01G0118800 transcript:ORGLA01G0118800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLMTMEVKMFIVPSWSCLFVRSGPLIFQFTNHHFRIIFPLDRVFISMSCGFVLVRIVVKLYAFLKAQRSFAHGRNPRLIAGYMDQLKQDIMSSSSSSHHAQAVNVALPLLVMGEDEQQVEEGPHGYRFRDRKGNESLVTIGKVQIMSSTDGVLSSWPPLKDLCLSFSLFKLLRRRFARCVVVEEGSEIGPNMVCILFDSDPEPERIVSIVADELSFACDFYHSSLPVSCSAFWLLVLNILFSLAGTVYCLFIAIRTIQHVVSVAQVGPMSSDPFYHQITCVLMCGKNDQTKQFGSILFFMVLSFFFAIGLIFDEAWEIVSYMCSNWTKVTLISYYITKPTWQQSPRMRRLIHRVLQFRCNLLGYWSDRMGQTSIMDTNMNKGTIVSIKQLLRLPVQSKQVKIPTEVKAAIVNTLKSQNWRPTDCISSLQHSHIGKSFSWACKGDGTSDVILVWHIATCIFEIRHSTEPSTTHSIRNKITATYLSQYCAYLLSSASELLPDDKGMVEEII >ORGLA01G0118700.1 pep chromosome:AGI1.1:1:9736421:9738206:1 gene:ORGLA01G0118700 transcript:ORGLA01G0118700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDRKVVAIGVAEEGRRSCVECRATTTPMWRSGPTGPRSLCNACGIRYRKKRRQDLGLDLNQPQKQEHGEVIPEVKDSNSNSNSGSGNSSSNLQVVPKRRLLMGVEEAALLLMTLSSPSASTLLHG >ORGLA01G0118600.1 pep chromosome:AGI1.1:1:9728230:9732329:1 gene:ORGLA01G0118600 transcript:ORGLA01G0118600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1NMU6] GLLKDHCPPIDEVIRSGVLPRFVEFLTREEYPQLQFEAAWALTNIASGTAANTMAVIEHGAVPIFVKLLSSPREDVREQAVWALGNVAGDSTKCRNLVLMHGAMLPLLQQLNEHAKLSMLRNSAWTLSNFCRGKPQPDFKHVEPALPVLRELILSQDEEILTDSCWALSYLSDGSNDNIQAVIETGVCDRLVELLSHPSPVVLIPALRTVGNIVTGDDAQTQCIVGHPQALPCLFNLLTTTQKKSVKREACWTISNITAGTKEQIQAVIDSNIIAPLLHLLQHAEFDVKKEAVWAISNATSGGTFNQIEYLVSQGCIKLLCDLLVHQDSKTVLTCLEALDNILRVGEAKKNLGACNMNIFVPMVDEADGLDKIEDLQNHDNVEIYNKAVYVLESYWVQEDDQQPFLIPSVSESESDNEMFQFGSFGKGKFLFTNGRNCESESDNEMFQFGSFGEVPDLDGNAP >ORGLA01G0118500.1 pep chromosome:AGI1.1:1:9721058:9723153:1 gene:ORGLA01G0118500 transcript:ORGLA01G0118500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHVHITDKFTRVLISSTYLGADIIAIYALGGLSRQEGNPQSIAFFWAPFLLIHLGGQDTITAFKMEANILIKDDEVVEPKEDKISTENDEAIQSTGNNTPIEGDEEVQLEGDEISIENRKAELEKIIIETIIKPKLSLVQLQLGMMYDDLYTKALLLRKKGGIALRFIAISTSIVAFALFLSTEKRRYSKADIAVTMSLFIGELLLEVCAVLIFMMSPWTWAWLKVRKYNWLACFSWHLFSSRIGWPENRPRWSNSMGQYNCVKRLVGISPPTPCTPKIMTYLRNIANKIGAKEISWINKLIHTGYVKADRDTMERVVFGLYGLMNEVDGQDTDIGSFLEQIQDVLTADFGTALLMMHMVTEVFLRQYPGNHSLVDVCRKLSNYMIYLLVNHPSMLPLNTSAISSIKTVERMFRRRTNDISDVEHHKNIHDSLLVGDQPEGDGVLDKLVEMWVRVLLYSAGKSRAELHVEQLASGGELITFAWLLMDKNDYGDSRMKRIQITNFRPRDESYDCYDLPMKEGHAFHIVHRSEVDIQRD >ORGLA01G0118400.1 pep chromosome:AGI1.1:1:9704744:9706780:1 gene:ORGLA01G0118400 transcript:ORGLA01G0118400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVLCSANHAPLTPISFLERTALVYPDRLAIVATDGSAGVAVSRTWRDTRARCLRLAAALTGRLGVQRHDVVAVFAQNIPAVCELHFGVPMAGAVICTLNSRLDAAMAAVLLRHSEAKVVFVDRALLGVAQKALVLVAEAGARRPVLVLISELLDENERSPPDAKIKVTRVDYEYEHLLSAAAAGSSPDFAIRWPADENEPIALNYTSGTTSRPKGVIYSHRGAYLSSLAAVIVNAMGETPVYLWTVPMFHCNGWCQVWGVAAQGGTNVCVRRVTAAAIFDSVARHGVTHMGGAPTVLSMIVNATADERRRQPGGRRRRVTVMTGGAPPPPQVLFRMEEQGFLVIHSYGLTETYGPATVCTWKPEWDALPAEERARIKSRQGLHHVGLEAADVKDPATMRSVPADGRTVGEVMLRGNTVMSGYYKDGGATAEALAGGWLRSGDLAVREEDGYIKILDRSKDIIISGGENISTVEVEAALFGHPAVEEAAVVGRPDEYWGETPCAFVKLRGGGGGGGGGAAVEEELMAFCRARLPRYMAPRTVVVVEEELPKTATGKVQKVALRERAKAMGSLPAPPLPPPGAHPQGQLGLGEASSEPSYSIVTAAASLRLRCCNQLTQYWQSILIRVDVNPFIT >ORGLA01G0118300.1 pep chromosome:AGI1.1:1:9693032:9696719:1 gene:ORGLA01G0118300 transcript:ORGLA01G0118300.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQGSIGHNSHDLSIDGAHAAAEKLARIHKIASLRRESSLWRRGDDGVYFSRSSTGASSSRFRDEEDDEEALRWAALERLPTRDRVRRGILLQAAEGNGEKVEVDVGRMGARESRALIARLIRAADDDHALFLLKLKERMDRGQPPPAVPASRLGGEVREREKVEAEVHVGNRGLPTLLNSIINTVQ >ORGLA01G0118200.1 pep chromosome:AGI1.1:1:9687121:9687402:-1 gene:ORGLA01G0118200 transcript:ORGLA01G0118200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAADHHGGHHHQTTKKIISQGSDGIGGGGGGGGKSRVDLYAGAVAQRALYGPTTTSRCRGAARQRQQAVAGGKDSKQPSRLSKMSGAEGT >ORGLA01G0118100.1 pep chromosome:AGI1.1:1:9680235:9682921:1 gene:ORGLA01G0118100 transcript:ORGLA01G0118100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLLLLLVLTTSWPPAASGQDASSATVSPLNTHCNATAGTNHTAGSAYLSNLRALGGALSRRAFATGFASGSYGAAPDEVHGLVLCRGDFTGGNCTDGLASAFRDAAAQFCPGAADATVYYDQYMIRYTNDGRLLSDPGDNEPLWSGKNMNEVTGADAAARFMAKATELMNRTADLAAFGSPPSSSSPSRYATGETWFDEQGVSVVYGLVQCTPDLTGEQCRSCLAGIIAQMPKLFGDASSRPVGGRILGVRCNLRYEKDVFFKETSTTIKLNMPKKLLLQRDLVILEREIVSESDERFSLFKFSKIKDATDNFSRENKLGEGGFGHVYKXXXXXXXXIAVKRLAPNSAQGFKEFKNEIKLIACLQHRNLVRLLGCCIKSKERILVYEYMPNGSLDGLIFDFGIARICLSSVTESNTTTAIGT >ORGLA01G0118000.1 pep chromosome:AGI1.1:1:9657578:9658330:1 gene:ORGLA01G0118000 transcript:ORGLA01G0118000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQVLSITSNSKVDNITNGDNVIIIPSVSTEEKEHINGAAETNHVAMIIAASMDRTDGDGKTTTEEETDGIAIARSASSNGSRQQDKKRGAFGLFRAMFMSFNGSASIKKRAAAAAAATMGDQKKAEAAGGGAAAAVARSSSDVASWKNLVDGMRPLRLYGHLEYYPPPSPDRSEGMSMTSSYSSAQDLQELVNGHGKEDEEEEKSSPETEDGGCSPNPIDMQAEEFIAKFYEQFRLQKSDSFNNRAD >ORGLA01G0117900.1 pep chromosome:AGI1.1:1:9653928:9654266:-1 gene:ORGLA01G0117900 transcript:ORGLA01G0117900.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRVTTTATTMSAPDAAGMVISRAAYELLPELYAQILRSAGPIVAAAVFCSHPVAWACAAPVAALFLFRALDKRLPRREPCVLSAAAYPDTVTDLSGSRSVGQHVSEDDDMSDS >ORGLA01G0117800.1 pep chromosome:AGI1.1:1:9634857:9641446:1 gene:ORGLA01G0117800 transcript:ORGLA01G0117800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADHMEKTVPTVVPARPPNSEGERKSTNFHPSLWGDFFLNYEPPTAPQQACMKGRAEVLREEVRTLLKGLKEVQKILDITMAIQRLGLDMYYVNEINELLHFVYSSDYNDKDLNLVSLRFYLLRKNGYNMSSGIFLSFKDNEGNFIVDDTRSLLNLYNAANLRVYGEKVLDEAATFTISRLEGVLESSDSILSTEVSFALEAPIFRRARIVEMRNYIPIYEIEATRNETILEFAKLNFNLLQLLYCEELNKITLWWKELKVKSNLSFSRDRIVEMYFWMNGALYEPHYSHSRIILTRVTAFMTIIDDIFDTYGTTEESMLLAEAINRWDESAIGLLPEYIRGFYAYLLKTFDSFEEELGPEKRYRLKRLVQAYTKELKWRDEDYTPKTLEEHFEVSMRSSGGFTLAAASFVGMDDIATKDIFEWILSYPSLFKTFDIFVRLSNDIVSNKREQTGDHYASTIQCYMKEHGTTIHETYQRLRELIEDSWKDMVEHCTNPIDDQPLIVPQTVVNFARTVTTMYTHGDAFTSSHTIKEMISSIYVVPIQV >ORGLA01G0117700.1 pep chromosome:AGI1.1:1:9549094:9551697:1 gene:ORGLA01G0117700 transcript:ORGLA01G0117700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVGALSGMVDALPAKLGDLLQQEYTLLSGARGDVGFLQSELGTMNAALLRCESLESPDVQTRAWVAQVRDLAYDIEDWIDLFAHRVDGGAATASPGAAAATTSSSSGGFLSWVRCCVNKVTTLPARHVIATELQELKNRVIELSKQRKRYRFDPPPGRAGGRSGAAAVDPRLVALYADTKSLVGLDAPVKKVSEMVIDGDKTELKVVSISGMPGAGKTTLAAAVHRRLKEENKFHCSAFVSVGQKPDIVGKTLKGILSQIGNVYGGGEDIGRLIGMLRDELKDKRYLIVIDDLWGRTEWSTLKCCFRDDNLGSRIMVTTRNDELAKECSSNSDESVYKTGLLSDADSKDLFSNKAFGKGKDCPSHLKDLCDIIVERCGGLPLAISSVAGSLAHRFSKDEWERYESNLLPSSHSDELNLKQILNLSYNDLPSHLKSCMLYLSIFPNKYEIDVERLVRQWIAEGFIADARHASKEETARSYLTDLISRNLIQALHLRHNGTPSCCTLHPVIHDFIVVKSMEENFVTVLDAKKEALSTNNGTVRRLSLQNSVKQDLAGARNDLIKHARSVTVFGHANGVPRLNDMSVLRVLDLEGCNGPVCLDGLCKLILLRYLNLRGTDVSELPAQIGELRCLETLDVRSTKVKELPASIVRLEKLMHLLAGNAKLPGEISKMNGLLTLSCANVWKNTGSVLPELADLANLRELELFCDASEISGDNKTRVSFSSDGFKRLNQLSIQGSLPSVAFVNSSLRKVEVLELKFEKGISDGSNGVSGIEHLPSLKHVLIEFSQKDAGATATIASVRNAAEMVHPNHPYVSVKVDGKAI >ORGLA01G0117600.1 pep chromosome:AGI1.1:1:9529469:9529888:1 gene:ORGLA01G0117600 transcript:ORGLA01G0117600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIQYELNSDRDPNRSPTGLGGPSDGSYIFMRGVTGGAWEYGEENARGGERRAGAIDYFLYIDARYFARVPSTSQRLLPLHSASSGNDL >ORGLA01G0117500.1 pep chromosome:AGI1.1:1:9519014:9521218:1 gene:ORGLA01G0117500 transcript:ORGLA01G0117500.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCQPTISSMPEXSRPPCSPCCWXRXARMAVVSATRRSASSCWAPAPSSSRSPPPSSPSFCGGARRANATAPPRRKGRATQTSRTCGRSSCGXRSSSSSRATPTWRRPASPCPRPSLPPETSASTARGCGHPWSYSRSTPGWPISSTCASRSPGGWALSTRPSSSPSACSASPRWRSSWPPFGAPASPSLLARTPASSPATWRSSTKTAAATTVVCPVTXWRGRRRSTSRRIPKGYRIKGDALTNKKSDLVTLDKVWQMAAEDRNGLLARRPELRDLCLSYSLFKSLRRRLSGYPLADAGSTNALEFVLGGMGLGGGGAERLFRVLIDELWFASDFYYSPISLSSFSGWCAVLNHLFSALIVVGAVTVGWIYRTKQVVIFDGSQAFYYIVTFVLLLSVVFIEIWEIVADVCSNWTKMALLAHYIRHDSPWRRFRFVHSALDAVLRRFRPARRWREKIGQNSVLEPRRFRKRNGLLAEKFYGRAGLMESVGFEFDTITDKILAWHVATRLFEIAHARTSPDNKIVACHLCSYYCGYLVAAVPELLPDCPAWTQKRYKKVATDVRTALGSHGNTPERYRYDKLLRKLSSGSRDEVLQRGVVIVGRLVKEFDKGEGDGVNADMAWQFLENFWSEMVLYVASSENVKGHVEAMGRGGEFVTLVWALLLHAGITTRPAAQSNIIPXGNEYV >ORGLA01G0117400.1 pep chromosome:AGI1.1:1:9505438:9505738:1 gene:ORGLA01G0117400 transcript:ORGLA01G0117400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQRQGIWSLGLVHFTXPNHGPRRSKGEGGVLSRGSPAKNGKEEIKLPDLDPEGEEREEEDEQERWEETEEKDEGNLV >ORGLA01G0117300.1 pep chromosome:AGI1.1:1:9489791:9492669:-1 gene:ORGLA01G0117300 transcript:ORGLA01G0117300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAVEVEEGDERAAELARKKAAAAAAAAAKKAEEAAVEEEEVVNGEEEEEEVEEEAVDGEEGDGDEEGDGEEEGDEEEDAEEGEKGAAGHTVVEISDEEDEEDGEEGEGGDDDDDDDDDDDDDDDDEEEEEEVEGDEQEELGTEYLVKPLGDAEDEEHSSDFEPDENGEGAEDEEIDEDDDGDDDSAKAQSSSKRKRSGGDDEDDDDDDDDGDDDDDDDGRPSKR >ORGLA01G0117200.1 pep chromosome:AGI1.1:1:9483243:9486723:-1 gene:ORGLA01G0117200 transcript:ORGLA01G0117200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1NMT2] MASCASPRLKSLCHHPLFIILLALSLLQTITAEDEQEADRVAFLPGQPRSPQVSQFSGYITVNSQNGRALFYWFFEAQALPSKKPLLLWLNGGPGCSSVGYGAASELGPLMVNGNGTGLEFNKFAWNNEANLLFLESPVGVGFSYTNTSSDLDNIDDRFVAEDTYNFLVNWFKRFPQYKNHDFYISGESYAGHYVPQLADVVYERNKHVETNQHMHLKGFIVGNAETDDYYDYKGLVEFAWSHSVISDQLYKHVNNVCDFRLSPRSNECNHVMGSIYDQYDMIDIFNVYAPKCNTDDSSLFSTSYSTADMNAKKRLKGTRMYSGYDPCYSSHIEDYMNKMDVQKSLHANTSGLIKDRKWSICSYSIFDNYDITVFSVLPIYSKLIKAGLRIWVYSGDVDGRVPVIGSRYCVEALGLPVKSQWQPWYLNNQVAGRFVEYQGLTMATVRGAGHAVPQDKPEQALVVINSFLSGRRLPTKSNR >ORGLA01G0117100.1 pep chromosome:AGI1.1:1:9462227:9482711:1 gene:ORGLA01G0117100 transcript:ORGLA01G0117100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1NMT1] MATEAAATARWLLLPLLSPPQSPHKQQQLIFGALLLLFFFSSLQSLHCNAAAGYNEQQEADRVAFLPGQPSSPKVSQFSGYITVNRQNGRALFYWFFEAQALPSQKPLLLWLNGGPGCSSVGYGAASELGPLRVSRNGAGLEFNKFAWNKEANLLFLESPVGVGFSYTNTSSDLTKLNDGFVAEDAYNFLVNWLDRFPQYKDHEFYISGESYAGHYVPQLADLVYERNKDKKANRYIKLKGFIVGNPLTDDQYDSKGLVEYAWSHAVVSDGIYERVNKVCNFKISNWTSDCNEAMSNIFRQYQEIDIYNIYAPKCNLAQTSRVAAFDHALEASDQEQFSRRIRMFSGYDACYSSYAEKYFNKPDVQKAFHANGNGMLPGKWKVCSDSILRSYNFSVLSVLPIYSKLIKAGLRIWFYSGDADGRVPVIGSRYCMEALGLAIKRDWQPWYLNRQVAGRFVEYDGMTMVTIRGAGHLVPLNKPEEGLALIDTFLLGKQLLTHR >ORGLA01G0117000.1 pep chromosome:AGI1.1:1:9435250:9438643:1 gene:ORGLA01G0117000 transcript:ORGLA01G0117000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQTPMGLAAAAAAAVRPCRRRLLSSATAAAAATVATATPLFPRCPHPHHHLHGRRLPFLASAASQQQQQSGQTAASPATPPIPSDPRAAVSGNLPFFDRVLFPDTFPVETPPPSSAAASAAAAADEEVASALKAREETEAEREAWRLLRRAVVSYCGEPVGTVAAEDPECTETLNYDQVFIRDFVPSALAFLMRGETEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRAVPLDDNNEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYCKITGDNALQERVDVQTGIKLILSLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLVMNDGSKNLLRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDATNKFNIYPEQIPSWLVDWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAITSSLTTPKQAEGILSLIDEKWDDLIANMPLKICYPAMEDDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELARRAIAVAEEKLAADKWPEYYDTRSGRFIGKQSRSYQTWTIAGFLTSKMLLENPELASILTCDEDLELLEGCACCLSKKRTRCSRRAAKSHVVE >ORGLA01G0116900.1 pep chromosome:AGI1.1:1:9420301:9424236:1 gene:ORGLA01G0116900 transcript:ORGLA01G0116900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi apparatus membrane protein TVP23 [Source:UniProtKB/TrEMBL;Acc:I1NMS9] MDQHQMFLLLQMVGENYANPKTCFFHVLFKAGALAFYILSALFVNNFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEIDDEGNSVWKFECLDGESLARMNKKDSWLFWWTLYLTAAAWIVLGIFSLIRLHADYLLVVGVCLSLSIANIVGFTKCNKDAKKNVADWTRTTLLSSGVRSTIQSAFGV >ORGLA01G0116800.1 pep chromosome:AGI1.1:1:9385164:9390422:1 gene:ORGLA01G0116800 transcript:ORGLA01G0116800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGVVVAVVAVVAVMMAVAVAAGEISDDGGDQPSPSPSPSASCARRPVVFAFGDSNTDTGGIAAGMGYYFPLPEGRAFFRRATGRLCDGRLVIDHLCESLNMSYLSPYLEPLGTDFTNGANFAISGAATAPRNAAFSLHIQVQQFIHFKQRSLELASRGEAVPVDADGFRNALYLIDIGQNDLSAAFSAGCLPYDDVVRQRFPAILSEIKDAIQSLYYNGAKNLWIHGTGPLGCLPQKLAVPRADDGDLDPSGCLKTLNAGAYEFNSQLSSICDQLSSQLRGATIVFTDILAIKYDLIANHSSYDANVFFCRVRGAADGVLRPWRAAVQLRLQRELPRGGVPGVRGRQQVRELGRRALHRRRQRRRRRQDPLRRLLEAQAALQLLLQCIARLHQKLHIRMWLLIIHWRIIHEPD >ORGLA01G0116700.1 pep chromosome:AGI1.1:1:9369207:9373484:1 gene:ORGLA01G0116700 transcript:ORGLA01G0116700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSCRCATASSPLSARLAGIPVAATTSLCRRRDHIALLLALGREQRXLGNRRCGVGLRPMRTRSAQXGRWAVTPRRTLLGRSSLPPTHLVHAAVFAPCHAHVSTALRHLLSSPLQQQRGRKEKDETISGLHMFPRVSDGSLRRSFLLPGDAATRRGSLHRPRWRGPTWAKARQRSSSRACGKATEEERQHTAARVVAAEQRHGPWCQVEDGKLDVSIVEPGRASFILRQLLNVMANLFDPSTMRSSLATVRHGSREQNSGFALASGASITQVDIDGEMLVP >ORGLA01G0116600.1 pep chromosome:AGI1.1:1:9362194:9363819:1 gene:ORGLA01G0116600 transcript:ORGLA01G0116600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATTTPLLTSHEAEPSIDDVIEAYIGATGARQLLKAMLLAFAWAFDAQQVFMSVFTDAEPPWHCTGVVDAAAAAAADSGSSCSPPAASASPCALPPGTWEWDRPAETSVVSDWALNCGPALVSLPASSFFAGNLAGGFLLATLADTHLGRRKMLLLSLVTMSVAAALTAFSPNVWVYSALRFVSGFGRSMVGTSAMVLSTELVGKRWRNTVSAAGFVFFSVGFVSLPALAYTFREASWRNMYVWTSLPSLCYAVLLYLLVQESPRWLLVRGRKQEAIEAVRQIASLNGGGGGITTSSFSMLDACAVELGDGGEGMFATLHSIWERRWALRRLAAITAASFGVGMVYYGMPLNVGSLSPSNLYLSVAYNAVAELPSSILAWLLMGRWFNRRGSVVALTTASGLCSLAACVPAVVLPDGARMAAEVASFFASCTAYDMMLMYTIELFPTSVRNSAVGLVRQAVALGGVVAPVLVALGRETTSYWSSSFGVFGLAVGCLGLLVTCLPETRGRRLSDTMEEEEAAVLSSSGASDMENNGELV >ORGLA01G0116500.1 pep chromosome:AGI1.1:1:9355448:9356646:1 gene:ORGLA01G0116500 transcript:ORGLA01G0116500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPHLGLVLSITCLLLQLLLVAANPPPPPPPPLRRPSCDKSDREMRFMFSQWMSKYSKRYSCPEEQEKRYQVWKANTDFIGAFRSQTEISSGVGAFAPQTVTDSFVGMNLFGDLASGEFVRQFTGFNATGFVAPPPSPSPIPPRSWLPCCVDWRSSGAVTGVKLQGSCASCWAFAAVAAIEGLHRIKTGELVSLSEQVMVDCDTGSNGCGGGRSDTALGLVASRGGVTSEERYPYAGARGGCDVGKLLSDHSASVSGFAAVPPNDERQLALAVARQPVTVYIDASAPEFQFYKGGVYRGPCDPGRMNHAVTIVGYCENIGGDKYWIAKNSWSSDWGEQGYVYLAKDVWWPQGTCGLATSPFYPTA >ORGLA01G0116400.1 pep chromosome:AGI1.1:1:9349238:9350400:-1 gene:ORGLA01G0116400 transcript:ORGLA01G0116400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIAGVVLLVCTFMALQAMAADAYYDNNGGGGGDDSVTMQMFEEWMAKFGKTYKCHGEKEHRFAVFRDNVRFIRSYRPEATYDSAVRINQFADLTNGEFVATYTGVKPPPPATHPHPHPEEAPRPVDPIWMPCCIDWRFKGAVTGVKDQGACGSSWAFAAVAAMEGLMKIRTGQLTPLSEQELVDCVDGGGDSDGCGGGHTDAAFQLVVDKGGITAESEYRYEGYKGRCRVDDMLFNHAARVGGYRAVPPADERQLATAVARQPVTAYVDASGPAFQFYGSGVFPGPRGMAAPKPNHAVTLVGYCQDGASGKKYWIAKNSWGKTWGQQGYILLEKDVASPHGTCGLAVSPFYPTV >ORGLA01G0116300.1 pep chromosome:AGI1.1:1:9345659:9348791:1 gene:ORGLA01G0116300 transcript:ORGLA01G0116300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDRARRRRHRHPMSAAARSSAFLTLWSAVVVVAAVAPTVAAAGFSCRGGARPVVFNFGDSNSDTGGMAAAMGWRIRRPEGRAFFHHPTGRFCDGRLTIDFLCESLNIGYLSPYLKALGSDYSNGANFAIAGSATLPRDTLFSLHIQVKQFLFFRDRSLELISQGLPGPVDAEGFRNALYMIDIGQNDVNALLSYLSYDQVVARFPPILDEIKDAIQTLYDNGSRNFWVHGTGALGCLPQKLSIPRKNDSDLDSNGCLKTYNRAAVTFNAALGSLCDQLSTQMKDATIVYTDLFPLKYDLIVNRTKYGFDKPLMTCCGYGGPPYNYNITIGCQDKNASVCDDGSKFVSWDGVHLTEAANAIVAKGILSSDYSRPKIKFDQFCKV >ORGLA01G0116200.1 pep chromosome:AGI1.1:1:9343135:9343560:-1 gene:ORGLA01G0116200 transcript:ORGLA01G0116200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVEGTDSTVTVLGTARSAFTIVKKRVVEVKEVDPAAAATTTAAATMTTTEPQEGSSGSNPLPSSRSGKQWLGARVVDPEARDGGVATGGGARSQEAGGGFGDGSGTAAHPKAELKRGWRIWVFFFARKXFFLVVSLTXLL >ORGLA01G0116100.1 pep chromosome:AGI1.1:1:9337798:9341214:1 gene:ORGLA01G0116100 transcript:ORGLA01G0116100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGLVTAGGGGGGKVMSLRLQYYVVLGGVAAVVLLACLRYTPTAAAVAAVGYGFWGNGDGASLAAAGAAATTVGGTGTSAAATTGGGGAGGGRSPSRVVIFNFGDSNSDTGGMAAAMGLNIALPEGRTYFRRPTGRISDGRLVIDFICESLNTPHLSPYLKSLGSDFSNGVNFAIGGSTATPGGSTFSLDVQLHQFLYFRTRSIELINQGVRTPIDRDGFRNAIYTIDIGQNDLAAYMNLPYDQVLAKIPTIVAHIKYTIEALYGHGGRKFWVHGTGALGCLPQKLSIPRDDDSDLDGNGCLKTYNAAAREFNAQLGAACRRLRQRMADAAVVFTDVYAAKYDLVANHTLHGIERPLMACCGNGGPPYNYNHFKMCMSAEMELCDMGARFASWDGVHYTEAANAIVAARVLTGEYSTPPVRFASLVNSTAVPNDG >ORGLA01G0116000.1 pep chromosome:AGI1.1:1:9330672:9334040:1 gene:ORGLA01G0116000 transcript:ORGLA01G0116000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMTPEQIYGQNVYVPATANPYPYGYTEVGSPTEWYNNQSSLGYDGQDIYFPGFQTEGTQCMYYAAPDNGSLHPSYSPYPINSSFIVDGSYLPLEYVGDAADQTCQIVPSPYYVPTILPYAHDNVLGNTTAPLHPPVYVPTLPSYTVTSTNHALPSVPPVATKNDIIANPPIQSTIVSSKQFLDHASDPKVQLRNPIPLKKELADGSMMPVKYPHTSQAFSNLPERRSAAKHSSQEKFSVNNGSGFVGSNVQRWAAAEKFEPNSNLSGRIGSASPKMKLSNVDGLGGADKPCGQKSSAIIAKSYTSRLSVGDPEGTIVIRCNQYNSDDLRVDYPFAKFFVIKSIGEDDVHKSIKYGVWSSSSSGNSKLDIAFKDANRIAKRNSTKCPVFLFFSVNGSGLFCGMAEMVGPVDFHKDMDFWCQDKWTGSFPVRWHIIKDVPNYTLQHILLQNNENKPVTHSRDTQEIPYVPGISMLKILKAIKVKECLLDDFMKYEEDEARSKHYFRRSKLSHNAPDFVPVAQRRKDVTDVRQPKSGNVLIDRTPGIQNMSVKPQGSDVIKPQDQCLQVVEKQASDDGKENRHQENRNVRQANDKVVKTGTKQPQASTVKTSVDGKQQYWKKVEFPRQNPNSAVHGSSKAHEKHLNESKAPEKHSNGANCSSATVSLKTAREETIVARVSSLAISSQNSKVDVVKIGSMPVLVKQS >ORGLA01G0115900.1 pep chromosome:AGI1.1:1:9318349:9322627:-1 gene:ORGLA01G0115900 transcript:ORGLA01G0115900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAETSEASTAGLALAEANINWQRLDKTRFHVIGAILFTAQQGVLHPTAVVKTRMQVAEGGLSHMSGFSVFRRILRSDGIPGAFRGFGTSAVGALPGRVFALTSLEVSKEMAFKYSEHFDMSEASRIAVANGIAGLVSSIFSSAYFVPLDVICQRLMAQGLPGMATYRGPFDVISKVVRTEGLRGLYRGFGITMLTQSPASALWWSAYGGAQHAIWRSLGYGIDSQKKPSQSELVVVQATAGTIAGACSSIITTPIDTIKTRLQVMDNYGRGRPSVMKTTRVLLEEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELIKRLSVKPE >ORGLA01G0115800.1 pep chromosome:AGI1.1:1:9312620:9315232:1 gene:ORGLA01G0115800 transcript:ORGLA01G0115800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTVSAAARRSTRQPSTMTTTMSRRTAAAVAVSVVLLLLSSCLPCCSEARLHYHRRQHRRAPHRGHHRAAAVAAAATNGGSHISQPPAALPPDFDSGESPAETPGLPPAGVEDAPPRRSPREKPCPTTQPPVKPPEELSPVGAPRTHVRAMPPSPSPSPAKAPSHSHAKTPSMPPAERPALPPTKAPAAISPATPPQLSPAKAHSTHHHAKPPSLPPAEPPVPSPSPEHPPRHSPSKPPAYAPAKPPTALRPAIPPAAMPKPPSVAPVQPPRRPPAPATKPPPSFPPQLAPTMPPPAHAPAETPAPPTTPPADLPPATTAPSSKNSSSPPPPPCTGGGGISNVFDVRAFGATGNGSSADGDTRAFRAAWKAACSAESATVLVPSDGVFTITSTIFAGPCKPGLTFQIDGVLMPPDGPASWPAADSRRQWIVFYRADGMTLSGKGTIEGNGEEWWNLPCKPHRGPNGSTLPGPCESPALIKFVASSDVAVQGLRMENSPQFHLKFDGCSRVLVDGLVVSSPASSPNTDGVHVENTSSVRILNSRISNGDDCVSIGGGCSGVRVENVTCVHGHGISIGGLGARGARACVSNVTVRGARVVDSDNGVRIKTWQGGAGSVSGVVFDAVQMVNVRGCIVIDQYYCDAHGGAGCANQTAAVRVDGVAYRGIRGTYNPRGGGAPVRFACSDAVACTGITMTDVELLPAGGGDEGGGASAGGKLADPYCWNAYGVIKTLTQPPVHCLQEGRPESLQDQLASC >ORGLA01G0115700.1 pep chromosome:AGI1.1:1:9288370:9293266:-1 gene:ORGLA01G0115700 transcript:ORGLA01G0115700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVFTKSLLMYFNKIVRSLRPLVHSPPPQPAAADNIVRWPSDDELLPAPDTTTTSVVTTVCKVEGGLLMSPSTFPYFMLVALEAGGGGGGLLRGLLLLLLYPALRLLGHDRAIRVMAMVSFAGVRKDGFRLGSSTLPRLLLEDVSAEVFEAAVRRRRCVCVSGMPREMVEPFLREYLGVDAVVAPEVRAFGGYYLGLMESDGEVLRRLDMEEVIGGAEKETCGDGDGRVVVGIGGRGRSFSRIFQKYCKEVYVATKSARRRWRSLHPRRYAKPLIFHDGRTAFRPTAAATLAMFMWLPLGAPLAILRTAVFLLLPFSISVPLLAALGMHSRRISSSSLPPAAAAAHNLFVCNHRSLVDPLYVSAATGRTDLSAATYSISRLSEILAPIRTFRLTRDRATDRAAMQAHLSLPGGGGGGLVVCPEGTTCREPFLLRFSPLFTELGADVQPVALHSAVSMFHGTTAGGRKLLDPLYLLMNPTPAYVVQLLDPVAVGGDGGGGGPELANEVQRRIAEALGYTRTALTRRDKYLALTGNDGGVDRRRLHAWCLLWVKYVLCLCLAWRN >ORGLA01G0115600.1 pep chromosome:AGI1.1:1:9278742:9283797:-1 gene:ORGLA01G0115600 transcript:ORGLA01G0115600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G06440) TAIR;Acc:AT3G06440] MRKCTGVLLILTLAVLLLLLSPSPSTAPPPAATAAGGPAARLLPTLPGLSRLYPPPANSTAHHSWRLLRPLLLRSDALPGTAAGVLEAADAWRNLTLAVAASAAGGKDGRRQGDLDVSCRSSVEGDLGGVGARGVKIPCGLAEGSAVTVVGVPKPGAAWFRVEMVGGGGEVVVSVNVSLGVAEMVVEQSSWTREEGWGLSERCPPVGDADRNSSSLLSLVDGLVRCNQQAGVSGLQGRNNTMANVTANEHENEKRPKGRANFGGSFSIIEGEPFTATLWAGAEGFHMTVNGRHETSFAYRERLEPWSVAEVKVSGDLELLSVLANGLPVSEEVDMASVELMKAPPLSKKRIFLLIGVFSTGNNFKRRMALRRTWMQYEAVRSGEVAVQFFTGLHKNEQVNMEILKEAQMYGDIQFMPFVDYYTLITLKTIAICMFGTKVVPAKYIMKTDDDAFVRIDEVISSLKKSDPHGLLYGLISFQSSPHRNKDSKWFISPKEWPVEAYPPWAHGPGYIVSRDIAKFIVHGHQERTLQQLFKLEDVAMGIWIQQYKNSGQKVNYVNDDRFYSEGCDSDYVLAHYQSPRLMMCLWEKLQKEYQPVCCE >ORGLA01G0115500.1 pep chromosome:AGI1.1:1:9276991:9277197:1 gene:ORGLA01G0115500 transcript:ORGLA01G0115500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLIAPWLVAFPSDGGRQAASRTAGRRRRRRASDCFLLGWEPPFGCLGVVAGIGAAGTNVYGAVHLRAS >ORGLA01G0115400.1 pep chromosome:AGI1.1:1:9275262:9276418:-1 gene:ORGLA01G0115400 transcript:ORGLA01G0115400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASAATAMAPASSPPPPPASPPSAASPPATSTASRSRTCPPPPTPSSRANYIVRAPWGDILQVWRSFELDDGEEPRTYELAVFKLDLATEDLVQIKDLRGHALFVSFGTSFFVSVNEFPVLTPYCVYLAHDSIKCRRFKHIAKEVRVYNLQDDTFADQYTQSSWKNCPPPALWFQPTWSLNK >ORGLA01G0115300.1 pep chromosome:AGI1.1:1:9265517:9268590:-1 gene:ORGLA01G0115300 transcript:ORGLA01G0115300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:I1NMR3] MALAILARRRAADALLRRPLGAAGVSALRASYAAAAGEESDVVVVGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKSSFAHHGVKFSNLEVDLPAMMAQKDKAVAGLTKGIEGLFKKNKVTYVKGFGKLASPSEVSVDLSDGGSTVVKGKNIIIATGSDVKSLPGVTIDEKKIVSSTGALCLSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEVRKQFQRMLEKQKMKFMLKTKVVGVDTSGDGVKLTLEPAAGGEQSVIEADIVLVSAGRVPYTAGIGLESVGVETDKAGRILVDKRFMTNVNGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKALGIPYRVGKFPLLANSRAKAIDDAEGLVKVVAEKETDKILGVHIMAPGAGEIIHEAVLALQYGASSEDIARTCHAHPTVSEALKEACLQTFTKAIHI >ORGLA01G0115200.1 pep chromosome:AGI1.1:1:9260976:9264517:1 gene:ORGLA01G0115200 transcript:ORGLA01G0115200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAACCYRPAAAGRASLDAFATSSPSLRPSSSGVFFISSSSSRWWMRRRRGEGKVRISSGSSSRARAKPMSRPALFSPVAMEWQECSTEIEVDVPCSVAYQCYSERETIPQWMPFISSVKILEDKPDQSRWTLKYEILGRDVEFSWLARNMTPTKNQKIHWRSLEGLQNRGAVRFFPKSSSSCRVQLTVAYEVPEILAPVASALKPFLEGLLMQGLERFATFAKERYSKIPQP >ORGLA01G0115100.1 pep chromosome:AGI1.1:1:9257364:9260328:1 gene:ORGLA01G0115100 transcript:ORGLA01G0115100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Craniofacial development protein 1/Bucentaur (InterPro:IPR011421); Has 333 Blast hits to 324 proteins in 149 species: Archae - 0; Bacteria - 18; Metazoa - 117; Fungi - 96; Plants - 49; Viruses - 0; Other Eukaryotes - 53 ( /.../: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G30490) TAIR;Acc:AT5G30490] MASGSSSGDMGSSGAKDVILDEPLLTSGSAESSQQKSTDVDTKSRVEDIWKKMNSGMPAKMPKPVMNKLSTPAKEKKSTVGNNWMSVLGLSPNKASPNDQGSKNGRKQAHQETSEDAKKLAASALAAVRDAASAAAGRGKVEITEVRDFAGKDIEIKKLVDADSREAIEKAKAAGAAPSALDNILEQIKKKQKLSVLDKTKKDWGEFKEENKGMEEELDQYKKSSNKYLDKVSFLQRADYREFERERDARLSMMSKRKSDTRED >ORGLA01G0115000.1 pep chromosome:AGI1.1:1:9256473:9256940:-1 gene:ORGLA01G0115000 transcript:ORGLA01G0115000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKQKHKHKKVKLAVLQFYKVDDATGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYNQQA >ORGLA01G0114900.1 pep chromosome:AGI1.1:1:9249377:9250909:-1 gene:ORGLA01G0114900 transcript:ORGLA01G0114900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASVTGELLEQEAPSSTSGVDWSQLPADLLVCIFGVLEVPDVFSSGVVCRAWHANFLKARRLGICSSNPGPCLVFSSGDRDPSVATLHSLTTGKNYYVTMPDPPFRTRYIVGSSHGWLITADERSNLLLVNPATQAQIPMPPPETIANVRIRCNGEGVPHGYDLFKLDMSSRDFDTETEPDDLSWEEGRFYFYTRVVLSADPSSGNCTVMILHLLRNLLFFARVGDTHWTWINVNELCWNYHDVLYNDDDRLFYAIRGNGDVHAINTNGPSPILRVVLDAKSSLVDCAKYIVLSELGDLLQVWRYHHYVNNNKERRTSELVVYKVDLVEHKLVELKDFEGHALFIGFNSSFFLREEDFPMLTPNSVYCTDDTVTYICHSRFGFREVSAFHLEDSSFTDLLPIGSRLNWPPPVWFRPSFSKGPL >ORGLA01G0114800.1 pep chromosome:AGI1.1:1:9248978:9249346:-1 gene:ORGLA01G0114800 transcript:ORGLA01G0114800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVSSSVTGELLEHEAPPSTPGLDWSQLPADLLICILGTLEIPDIFSSGVVCRSWHASYLEACRLGICSNNPGPCLVFSSSDRDPSVTTLHSLTTGKDHYVTMPDPPFRTRYIVGSSHGAV >ORGLA01G0114700.1 pep chromosome:AGI1.1:1:9238450:9239427:1 gene:ORGLA01G0114700 transcript:ORGLA01G0114700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTRRPRRRERSRAAEVEQTLPEACGGTDEATEGARAVPEKRAMENKHNLISEPTRPVPLTSHHLAKW >ORGLA01G0114600.1 pep chromosome:AGI1.1:1:9231747:9232205:1 gene:ORGLA01G0114600 transcript:ORGLA01G0114600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTLPPSLATLWPVRRQRGHFGATVGDPPPSSPSPSGCVAAGAYGGGGAGCAPCFAVFSHQHKLFYSQPSPPRAKLRLVGRIDGRKEEAAHHSRRQPSSQEEAAAKPASAVEVPTKKQKLAMERKEIDQERHCQSTESSIAAAKFKPQT >ORGLA01G0114500.1 pep chromosome:AGI1.1:1:9225369:9226897:-1 gene:ORGLA01G0114500 transcript:ORGLA01G0114500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVSSSSVTGELLEQEAPPSTPGLDWSQLPADLLIRILGTLEIPDIFSSGVVCRSWHASYLEARRLGICSNNPGPCLVFSSSDRDPSVATLHSLTTRKDYYVTMPDPPFRTRYIVGSSHGWLITADERSNLLLVNPATQAQIAMPPPETIANVKIRCNGEGMLDGYDLFTMDMSSRDFDDEAEPIDLSWEEGRFYFYMRVVLSADPSSGNCTVMILHLLHNLLSFARVGATHWTWINVNELCWNYHDVLYNDDDRLFYAIRGNGDVHAINTNGPSPILRVVLDAKNSLINCAKYIVLSESGDLLQVWRYYHYVNNNKERRTRELVVYKVDLVEHKLVELKDIEGHALFIGFNSSFFLRVEDFPMLTPNSVYCTDDTVHYIYHSRFGFREVSAFHLENSSFTDLVPIGSRLNWPPPAWFRPSYSEGTLCHNSED >ORGLA01G0114400.1 pep chromosome:AGI1.1:1:9218616:9219907:-1 gene:ORGLA01G0114400 transcript:ORGLA01G0114400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQASSSVTAELLQQEAPYPSTSDVDWSQLPADLLVHIFGMLDIPDIFSSGVVCRAWCASFLEARRLGICSGNPGPCLVFSSGDRDPTVATLYSLTTGKEYYVTMPDPPFRSRYIVGSSHGWLITADERSNLLLVNPATQAQIAMPPPETIANVKIHCNADGAPDGYDLLTMDMSSRDVDTEAETEFHSWEEGRFYFYGRVVLSADPSSGNCTVMILHLLDNHLSFARVGGTHWTWIDVDEQCWDYHDVLYNDDDRLFYAVRGNGDVHAIDTNGPSPMLRVLLDTKNTVVDCTRYIVRLEYGDLLEVCRDCKYVNDDRRTEELIVYKVDLVEKELVKQKDFVHL >ORGLA01G0114300.1 pep chromosome:AGI1.1:1:9208307:9217949:-1 gene:ORGLA01G0114300 transcript:ORGLA01G0114300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine kinases;protein tyrosine kinases;ATP binding;protein kinases [Source:Projected from Arabidopsis thaliana (AT5G18525) TAIR;Acc:AT5G18525] MVCSPEKAGAACPECLERRILSGLPGSCFSFVHGLHESPLPFASAAVVQIASDGAEECNGSQQSTGYFVLVGLHGGKALLDIQECENNSLENGSQIDLRGKESTDADDNHRKQSIINTITKLTPTRYLARAATSEIRELISSYLNLTTEESVMNSLNLLSENKIVGSGGLDFLNFTGFSEFNDIHPSGHVRHPNVLPVLGIVETYDCCYMLHPKSPYTLENIMHYSPEALWSDWHIRFLIYQIISALVYLHDFGVHHGNLKPSAIFMSDSLWPYLSISDICPVKQNCGFAESKRPALTLCCFEEDCSSRAIYSGFNLSSSLDWQSYSKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPDENSDIGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFVSLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSRQLHHWIDITFGYKLSGESSVEAKNVMLPPSDPAKPKSTGRRQLFMKPHPKRLTITPHSTYHNKMESCAKCQGGSSSMTTGLLLKDHIPPAMSSQIDYLEEFEQANVFMKLENHLCPIYDYANDSSCCCSSVKYNKSPCSNQDIVPPESVLSVAPDFDFCSFLECFESDDSSPTGYQELLRWKQKSCSVNEYHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGVLPGAIQELPIHVAVLVESCIQREWKRRPLAKHLLESQYFPPSVRSAYMFLAPLQLICTPRDRLKYVAKLASEGTLRAMGECAAEMCAPYCLPLVSPSLSDIDTEFALALLKEFVKCLSVQATKDLILHIIQKILQAPEYSHLKVSLLQDSFVRELWKKLGKQTYIEKVHPLVIMNLYNSPNKITASAASVVLIGSSEELGIPITFNQTVLPIIQCFGKGLCPDGIDTLVRIGGLLGESFTVKQILPLLRNVILSCIESSKINKPEPQHSWNSFSLMDGLSALEGLVSVLPVKTILRELLQDQVCLHIKVLTQVHLNLRVIQVAASALVDLCQQIGPENTATYVLPHLKELFAELAFSHESSGLSVPTKGLKFFDGNKTEPAKMESRIDLVFLLYPFLAALVGIEKLRECYSTWFLLEQALQRLYGWKPSTDHSGSSENMKGQRFRPGNYTSSEPAPTKLVAEIGKNGRNMTVSNQGSRLEHGSSSDNLCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDECTIFTGGVGPGFKGSIQKWELPNMNCSSGYYGHEEVVNSICILSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKDKLVAGMGNGSIRFIDISQDQKLHLWKSDSDEISFSSLVSAICSCASDKLKKDSTAASSSWIAAGLSSGYCRLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSTQSNIYRSHSDGIINFSAWGQDMVSVSRNKIALTSLSRPTSEIGHQQLTFQNLYSSDRGVKYKNMSVLSTISVLPLSRLFVVGTEDGFLKICH >ORGLA01G0114200.1 pep chromosome:AGI1.1:1:9205986:9206336:-1 gene:ORGLA01G0114200 transcript:ORGLA01G0114200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSVGAVLRAGLAVGLLLLAAGAVACHGARAVPGEPEPEPATYRPQNVYGFGGFYPGPNINWVFPGPNGVTPQVGFGGMPGSSSSVFPGAGGASPLTPGGGGVIGIHGATKKP >ORGLA01G0114100.1 pep chromosome:AGI1.1:1:9200899:9204943:1 gene:ORGLA01G0114100 transcript:ORGLA01G0114100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NMQ1] MAMKCLFFAFLVAFFPGAAVGAGLKVGFYNKTCPSAERLVQQAVAAAFKNNSGVAPGLIRLHFHDCFVRGCDASVLIDGNNTEKTAVPNNPSLRGFEVIDAAKAAVEAACPRTVSCADILAFAARDSIALTGNVTYKVPAGRRDGNVSIAQDALDNLPPPTFNATELVARFANKSLTAEDMVVLSGAHTIGVSHCDSFTSRLYNFTGVGDADPAISSAYALLLRAVCPSNSSQFFPNTTVDMDVITPAALDNRYYVGVANNLGLFTSDHALLTNATLRASVDEFVKSETRWKSKFVKAMVKMGGIEVKTGTTQGEVRLNCRVVNKRSANAELELAAMDDGDQVAAS >ORGLA01G0114000.1 pep chromosome:AGI1.1:1:9183201:9188468:1 gene:ORGLA01G0114000 transcript:ORGLA01G0114000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NMQ0] MASCSKWLAGLMLLAAALACSLPAASRAQLQVGFYNTSCPNAEALVRQAVVAAVANNSGLAAGLIRLHFHDCFVRGCDASVLIFSPNGTAERDAAPNNPSLRGFEVIDAAKAAVEAACPRTVSCADILAFAARDSVNLTGNSFYQVPAGRRDGNVSIDTDAFTLPGPNLTATQLVDGFKLRNLTAEEMVILSGSHTIGRSHCASFLFKNRERLANGTISPAYQALLEALCPPTTGQFTPITTAIDVSTPATLDNNYYKLLPLNLGLHFSDDQLIRNATLLPFVDAFAANETLWKEKFVAAMIKMGNIDVLTGARGEIRLNCSAVNPSSSSSARMIETIFPGAGGEVAAS >ORGLA01G0113900.1 pep chromosome:AGI1.1:1:9172247:9175951:1 gene:ORGLA01G0113900 transcript:ORGLA01G0113900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NMP9] MAGQRVVAAVAVALGVCLLQLPAASRGQLQVGFYNTSCPNAETLVRQAVTNAFANDSGIAAGLIRLHFHDCFVRGCDASVLLTSPNNTAERDAAPNNPSLRGFQVIDAAKAAVEQSCVRTVSCADIVAFAARDSVNLTGGVSYQVPSGRRDGNVSVAQDALDNLPQPTFTAAQLVASFANKSLTAEEMVVLSGAHTVGRSFCSSFLARIWNNTTPIVDTGLSPGYAALLRALCPSNVNASTPTTTAVDPSTPATLDNNYYKLLPLNLGLFFSDNQLRVNATLSASASSFAANETLWKEKFVAAMVKMGSIEVLTGSQGEVRLNCSVVNNRSSSAAGMETSYHYYSGSTMSVDEVASS >ORGLA01G0113800.1 pep chromosome:AGI1.1:1:9136291:9140247:1 gene:ORGLA01G0113800 transcript:ORGLA01G0113800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NMP8] MAPRGSYCRRVMGVAVAVAACALCLLPATTSGALRVGFYQSSCPNAEALVRQAVAAAFARDAGVAAGLIRLHFHDCFVRGCDASVLLTKNPAGGQTERDAAPNNPSLRGFEVIDAAKAAVEAACPRTVSCADIIAFAARDSVKLTGNVDYQVPAGRRDGNVSIAQDAFDNLPPFIFTAQKLAERFANKSLSLEDMVVLSGAHTVGRSFCSSFVDRVRNSTTGAAIVDAGLDPGYAAQLRALCPTRDTLATTPMDPDTPATLDNNYYKLLPQGKGLFFSDNQLRVNATMNALVTRFAANEAEWKQRFADAMVKMGHIEVQTGRCGQIRVNCNVVNPSTSSPEVELAGEDQETGGAVAAS >ORGLA01G0113700.1 pep chromosome:AGI1.1:1:9119734:9124455:-1 gene:ORGLA01G0113700 transcript:ORGLA01G0113700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEGEPSRERDLGKKPMAMDEGAPPWRGQAKEGDNPEAAPVRCCSCSPWVIGDEKKPLPLLFVCPPRPRATVLTVPRRLHPDSGKSSCVPYVIVDEYDALPSGRFLLRATDGWYGLNGAYYICCDCDACTRVATPPPPSDSLKHLNFPRRSVEDSRHRGYCMVAQAQLHPTSTTTTQQHETVVDYPARDNKWDVKECPHHQRAMGGCHGGVLCCADLPYGFLTSCVPFADELRRLRYVELPQGCVMVGDDEKHHRWLFATVRVKIHGIPDAPVFYVLTALMVPSGLYVGAIGEVAERPTAPTLWHKILHWLARPFLNWPSLWDKIKQCVLKAVGCPLPVEHCWLNVLGPPIKQIGNTCSVSAVALCIEAKFHKYGFRCAIKRPPHRLLQDCLDSSFLEPDEGIPAIRVIEVLNKTGGLTTTTGLIQPITGLVPHRLENEGWSMNEVAKFIYEHCPVIAVLWVVRHEFRACIGDVVYYGLPDRSLRDREDKDQCMHVVVCFGYRFTQSFDLHLSIMDSSTDNGPTRWLHYTSVDGLYSPEIAKPLLG >ORGLA01G0113600.1 pep chromosome:AGI1.1:1:9098790:9099251:1 gene:ORGLA01G0113600 transcript:ORGLA01G0113600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVMGSLALAYHDAREFRMETKRKAEHEVNLLRPPALLHWDGGDGEQPPPLDERXRIVQRWIEYEKRKGPRRFEYLPGLVQPKSLSPLIRYCTSLCSFSLFVLINHVKRSVEIYHVCERKKIGNCKIVLYVNDLKKSSKISNAQLSCTILII >ORGLA01G0113500.1 pep chromosome:AGI1.1:1:9057577:9057942:-1 gene:ORGLA01G0113500 transcript:ORGLA01G0113500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSRRRLWRLRWDLQALGRPPQGDVGIEVAASKGEREGRIGRRAAEDLFVNQSSLMSDGYRSLNDNDMSSYLSDPATTAAPRPWKSPSQAAVRSPANPVPAAVGIVARLGVTTATEAA >ORGLA01G0113400.1 pep chromosome:AGI1.1:1:9050252:9051436:-1 gene:ORGLA01G0113400 transcript:ORGLA01G0113400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:I1NMP4] MAAETFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCRGIGFVSDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYLNDAGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPDKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVESYGTGKIPDKEILKIVKENFDFRPGMMTINLDLKRGGNRFIKTAAYGHFGREDPDFTWEVVKPLKYEKASS >ORGLA01G0113300.1 pep chromosome:AGI1.1:1:9038344:9038763:-1 gene:ORGLA01G0113300 transcript:ORGLA01G0113300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TREEWRWGGQIQPRLAGSGLGQQRWRLVGEDSARSPSSHSPLFLQLSPTELIVIVADEPPLHRLSPRSGTPPTPSAASTAGPSAAALSRGQRQGEGWKRNSGRGSDGQGTLTLANASPGSVSQQKGGEERSPVKNHAPS >ORGLA01G0113200.1 pep chromosome:AGI1.1:1:9030490:9036990:1 gene:ORGLA01G0113200 transcript:ORGLA01G0113200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G23400) TAIR;Acc:AT1G23400] PPPPPPQRPSPSRAGRANLFSSPPPPLPNRYDPKHRRPAPPPLPSARRLPSNRRRRHDQPPNPTTGNGGNPAFRAPHLRTAYRKPVPPVAAAGEGEALLAADASDAADGRAVVVGPSGLSFRLPGAPFDFRFSYSECPRAPPVAIREPAFLPFAPPTMPRPWTGKAPLLTKEEKARRRGVRLHTPLGEEAPRTVSAHGIMMEVRGRRKLDLARVSPGDGRSREEVLGEPLTAAEVRDLVKPHISHNRQLNIGRDGLTHNMLEMIHCHWRRQEICKVRCRGVPTVDMKNLCYHLEEKSGGKVIHRVGGVVFLYRGRNYNPRTRPRYPLMLWKPATPVYPKLIQEAPEGLTKEEADEMRRRGKDLLPICKLAKNGIYIYLVRDVRDAFEGSDLVKIDCEGLNPSDYKKIGAKLRDLVPCVLLSFDNEQILMFRGKEWKSRYPKPLTLIPKVRKNNVPMSSDESSSDEATDDDDRLAVREVLRPKMFELWTNAIESSVALMLDDAEVDALTPDSLLTRVEDFSVTSQAVEHSFPAVLVANDESNPDVLNAEYTEDEPETGTLEPQQHEFTESSDVAEDDHFEDDMLKRLESSVPLGALPIDAVVKQLNDE >ORGLA01G0113100.1 pep chromosome:AGI1.1:1:9025780:9026215:-1 gene:ORGLA01G0113100 transcript:ORGLA01G0113100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGRNERRGWWWMHATVVSVMEKVRWCQLVAKAADDDDCGGDYLGTPTMAILEAEDDEDMPLLPESLTCRGGELPPYHRDSLRG >ORGLA01G0113000.1 pep chromosome:AGI1.1:1:9019150:9022278:-1 gene:ORGLA01G0113000 transcript:ORGLA01G0113000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCCGADDVGKKKKRDDPYVPIPDPGGNYGRSKPGPPAPSRSPPTSRNLPIAVPAIPLDEIKGITKNFSSDALIGEGSYARVFFGVLRDGRRSAVKKLDSSKQPDQEFLVQVSAVSQLKHENIIQLIGYCAGGSIRVLAYEYAPRGSLHDILHGKKGVKGAQPGPALSWMQRVKIALSAAKGLEFLHEKAEPRVVHRDIKSSNIMLFDNDVAKVGDFDVSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLSTKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVKQCVDPRLEGDYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALNPLLNSRPNNRPASFTDAGERSGL >ORGLA01G0112900.1 pep chromosome:AGI1.1:1:9013874:9017446:1 gene:ORGLA01G0112900 transcript:ORGLA01G0112900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSSSPSSLKDHLSSPTGPLHLKVWEVICIVLGAFMVVIFFVTVWLTIRSRKRVRRASANIPITQIPAISKEIKEVRVEQVPTSDFAAHDGVLMTIQDKSSEKESDKVMVHLGVSKSKRGDESHSGSFRYMDKDLGFQSADEGGSGTFRHNSAHAITAPSPLVGLPEFSYLGWGHWFTLRDLEVATSRFSKDNILGEGGYGVVYRGQLINGTPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLEQWLHGAMSHRGSLTWEARVKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFDAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVVLLEAITGRDPVDYGRPANEVNLVDWLKMMVASRRSEEVVDPTIETRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESDDPIPRGDRRSKHNRGGSTEMDSQRDNNSDTDKSDNPDSKPSRSRASSSK >ORGLA01G0112800.1 pep chromosome:AGI1.1:1:9003594:9004080:1 gene:ORGLA01G0112800 transcript:ORGLA01G0112800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGFGEVWQRVKGGRKGDARRDAVSMDTTAMRRRDAPVEIGKTXIPLPPHVIVPAAVLALRAQG >ORGLA01G0112700.1 pep chromosome:AGI1.1:1:8990385:8996205:-1 gene:ORGLA01G0112700 transcript:ORGLA01G0112700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT1G73720) TAIR;Acc:AT1G73720] MASSASTLEIEARDVVKIVLQFCKENSLQQTFQTLQNECQVSLNTVDSIDTFIADINAGRWDAVLPQVAQLKLPRKKLEDLYEQIVLEMAELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQESTKEKRRAQISQAIASEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEEETYPTTLSHQTKFGKKTHPECARFSPDGQYLVSCSVDGIIEVWDYISGKLKKDLQYQADESFMMHDDAVLSVDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRLERAHAKGVTSVTFSRDGTQILSSSFDTTARVHGLKSGKMLKEFRGHNSYVNCAIFSTDGSRVITASSDCTVKVWDTKTTDCLQTFKPPPPLRGGDATVNSVHLSPKNSDHIIVCNKTSSIYIMTLQGQVVKSFSSGKREGGDFLAASVSPKGEWIYCVGEDMNMYCFSYQSGKLEHLMKVHDKDVIGITHHPHRNLIATYAEDCTMKTWKP >ORGLA01G0112600.1 pep chromosome:AGI1.1:1:8986094:8986420:-1 gene:ORGLA01G0112600 transcript:ORGLA01G0112600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSAAARRLPRRGRGRSGLPRKTPSTLPSSPALGPMSSPTRELPGVGVLDNDDFYGSGGGGAKLFFTTTHVGGMPRAAQKRAKGRPLLPSPDRHGREATSTAAPP >ORGLA01G0112500.1 pep chromosome:AGI1.1:1:8983673:8984632:-1 gene:ORGLA01G0112500 transcript:ORGLA01G0112500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSLVVLRRRCDVVSHHHGGELTVWNPASGGRWVLPPHDHEVLDQSIVLLDVVNHHHHHHNGGAAAAAVTICSSSFKLLVAHLPAESSPRTLNVQVFSSDDGEWGPPLACAIPTDCELHSHAKPVVLRGAVHWLCLTHSRHRILVLKWPNRDAAAAAGAQATMASLMKLPPPCESGAYDTCLALSPSPSDGTGAGAATSLSVVVLVGDHIAVWVRAAAARWERRHVVREESIIAAWPMEGSSLGDGWLGRTRLGWFCEGSGALLLERDDDDGRRRPLVLDMGAMVVRKVDMDCREAEFVHYEVDLVSYMMFVMRAF >ORGLA01G0112400.1 pep chromosome:AGI1.1:1:8979247:8979665:-1 gene:ORGLA01G0112400 transcript:ORGLA01G0112400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNTVTTMTSMSCLLTASKRSNAYLKTVRMYTHSPFYGLNCIAHIVYYWSYIYLLLCLTMFLDKKNEKKKVVADAFLPANTARDAIQYSIDLYA >ORGLA01G0112300.1 pep chromosome:AGI1.1:1:8967550:8967930:-1 gene:ORGLA01G0112300 transcript:ORGLA01G0112300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYHFDFDLAGHETLAKFAAFVVVQALVYLILSNSSAVFVSGGVAGHGRSASFRRPDGVERSESARRMAALLAAEMTPRFGGGEPSSPAGGGQPQGGRSTSNDDDGASGDVELELLLIRCCSFSC >ORGLA01G0112200.1 pep chromosome:AGI1.1:1:8957505:8957870:-1 gene:ORGLA01G0112200 transcript:ORGLA01G0112200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFDLLALSGNNETLAKFAAFVVVQALVYLILSRSSAVFFSGAGAGTASFRRPAVERSESARRRMAALLAAEMTPRRSGDAPPTPAGIQRRGRRSNDDDGGDVCVDVELELMLIRCSFSS >ORGLA01G0112100.1 pep chromosome:AGI1.1:1:8952470:8956297:1 gene:ORGLA01G0112100 transcript:ORGLA01G0112100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: NEFA-interacting nuclear protein NIP30, N-terminal (InterPro:IPR019331); Has 398 Blast hits to 395 proteins in 139 species: Archae - 0; Bacteria - 6; Metazoa - 193; Fungi - 83; Plants - 36; Viruses - 0; Other Eukaryotes - /.../ource: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G62140) TAIR;Acc:AT3G62140] MAGEETASGSKPPAAATTIRLVNFISEDQLDEAKRTRGERADDGTAQRDKPLFQILQENKEKKDAEFNERFKHRPPKALDEDEMEFLDKLASSRKEYEQQVANEEAEQLRSFQEAVAAQSNIIHEEAPTVSRPEESKPKAKRSQPALLKNVIISVKPQAKKAKLDGEDKPPAKELPSNGHSADHKPPDATKGVLGSLVQYDDDESSDGDV >ORGLA01G0112000.1 pep chromosome:AGI1.1:1:8946025:8950426:-1 gene:ORGLA01G0112000 transcript:ORGLA01G0112000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT4G01810) TAIR;Acc:AT4G01810] MEETSTPPQPQPQPAHPSPQFPAVFTPPPPPTSGFSSRGSPSPGTGSAAAQAQFSTPPGPPVFSSPLRPAAVPFRATPASPQPVPFASRGGGYSSSSSSAAVSLPTSSGPHFLNGAATPTSHLPSSAAAPPPPPPPPPEESPYVLFSAHKVLKQKKLLNAPSLGFGALVSPGREVAPGPETVERDPRRCLNCGAYVNLYCDVLIGSGQWQCVICKKMNGSEGEFVVSSKHDLLQWPELASSAIDYVQSGNRRPGFVPVPDTRVSGPIFILIDECLDEAHLQHLQGSLHAFVDSLPPTARIGIISYGRTVSVYDFSEGAAVSADVLPGNKSPTHDSLKAIIYGTGIYLSPVHASLPVAHTIFSSLRPYQLSLPEVSRDRCIGVAVEVALGIIQGPAAEVSRGIIKRSGGNYRILVCAGGPSTFGPGSVPHSVQHPNYAYLEKTAMKWMERLGHEAQRHSTVVDILCAGTCPVRVPVLQPLAKCSGGVLLLHDDFGEAFGVNLQRASTRAAGSHGLFEIRCSDDMLVTQVIGPGEEASPDSHETFKHDTSFCIQMHSVEETQSFSVSMESKGDIKNDFVYFQFAVHYSNMYQTEITRVITMRLQTVDGLSAYLASVQDDVASVIIGKRTVLRARTASDAIDMRLTIDERVKDLALKFGTQVPKSKLYRFPKELASLPECLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSFRMLAPRCIMHREGGTFEELPAYDLAMQSNAAVVLDHGTDIFIWLGAELAAQEGQSAAALAACRTLAEELSELRFPAPRILSFKEGSSQARYFVSRLIPAHKDPTYEQESRFPQLRTLTPEQRSRLKSSFIHFDDHSFCEWMRSLKLVPPEPS >ORGLA01G0111900.1 pep chromosome:AGI1.1:1:8943962:8944774:-1 gene:ORGLA01G0111900 transcript:ORGLA01G0111900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLTPSTAATIASRSPSTATSAGLPNLDAYESFAVIDGLKPKNVRAFVDLVFARQLLNEVNGNGMQTRDDEWVLVKPLLTSLIIMSPPWRGGDEGK >ORGLA01G0111800.1 pep chromosome:AGI1.1:1:8930991:8943491:-1 gene:ORGLA01G0111800 transcript:ORGLA01G0111800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) TAIR;Acc:AT4G01800] MAMAPPAAATCATALRFAHPSSSSSSSSSSSSSSLPSPRPLGGACGGRPQHGRRSLRAPRRRGGGDRVAARAGLGGLLGGMFGGAGGDDGDAARKRYADTVARVNSMEPEVSALSDADLRARTAKLQERARAGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYLCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEVFERDMHYTVDEKQRNVLLTEEGYADAEEILDINDLYDPREQWASYVLNAIKAKELFLRDVNYIVRSKEVLIVDEFTGRVMPGRRWSDGLHQAIEAKEGVPIQNETITLASISYQNFFLQFPKLCGMTGTAATESQEFESIYKLKVTVVPTNKPMIRKDESDVVFRATNGKWRAAVVEISRMNKVGRPVLVGTTSVEQSETLSEQLHEAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVDPLDGVIISKKQASPKKTWKTNESLFPCELSKDALSYVKESVEVAVKAWGEKSLTELEAEERLSYSCEKGPTRDEVIANLRSAFMKIVDEYKVYTEEEKKQVISAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASDSLESLIVEYAELTMDDILEANIGPDTPREDWDLSKLIAKLQQYCYLLDDLTPELLEGKSSSYEDLQEYLRTRGREAYYQKAEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSVYQFKPVMKNQEEEKSEKKGTKKKVDKGANKLGAAQAAS >ORGLA01G0111700.1 pep chromosome:AGI1.1:1:8927909:8929885:1 gene:ORGLA01G0111700 transcript:ORGLA01G0111700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAIASSVKRATNNRRKQRRAKVQSGRQHRQRKRRLVWTDELRKMFREAFNQLSLTQNLNSYHSVLIIKIQEDYEKNTRCPQKYFKLMKLMIDPMNDPSLTRDNIASYLQKHKKRLQKEYQKRQNLLEQKDQQLPIVDNTNTSSIQQKNMHYGKYDSMNNQPLVLSESCYNEHSNKQPKLKEPTLLTANYHGLRNMRHHHKNIINMEPSNVLRPPNLNNAKSFNMPFNKEGVDEAIYQSQLMAHQVYANHVVATAQAT >ORGLA01G0111600.1 pep chromosome:AGI1.1:1:8926547:8926941:1 gene:ORGLA01G0111600 transcript:ORGLA01G0111600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSESQNPDGLVVVGDDAVRRAVVARLGVNFRATVCSEINAAVEMLRERMKEFDFVVISEELIIRSSRPEIMKFLRETGLRLLGICLFST >ORGLA01G0111500.1 pep chromosome:AGI1.1:1:8915034:8915646:-1 gene:ORGLA01G0111500 transcript:ORGLA01G0111500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQWNVIYSVYQVKPMMKNQKEDKYEKKGTKKKANKGANKLGAAQAAS >ORGLA01G0111400.1 pep chromosome:AGI1.1:1:8910197:8913958:1 gene:ORGLA01G0111400 transcript:ORGLA01G0111400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAPSHAGSGGPPPPAVLALPHGMVVVGDEAVQRAVVLRLGVNFRATVCSEINAAVEMLRERTKEFDFAVISEESIRSLRPEIMKFLGEETGLRLLVLRNEGGNEYSVVPVVRRSDTQWLDGGGGGGSLVGKKGDQREETSTARRRDPVPTKILELMKLRIGPMNDPELTRNTVSSYLQKYKAYLLKQEQKDQQLPIDDNTNTSSIKSQQGSASLQQQIMNYGENDSMDNQSIILSQTRYNEYSNKQPKLLTANYHGPRNMHHHHKNTNNMQPSNVLRPPNLINAKGFTMSFNKEEINEAIYQSQLTTRRVSATHAIAKAQASWRVTMTKDMHPISQLHQQDDETTPDDSSNNTNSIYEKCKL >ORGLA01G0111300.1 pep chromosome:AGI1.1:1:8903442:8903585:1 gene:ORGLA01G0111300 transcript:ORGLA01G0111300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLWPIQRRCDGPYFPVARLWEGCRGSPVMAAAVADLVDADGEQR >ORGLA01G0111200.1 pep chromosome:AGI1.1:1:8899803:8900069:-1 gene:ORGLA01G0111200 transcript:ORGLA01G0111200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTKGTFTGVHDDIGAHGSLMGRLAIAGPIAPRLDGPERLPRPSRGRTCQGEVVLLRQIVFALHPSPETPLAWRLYDSSPCAVLAP >ORGLA01G0111100.1 pep chromosome:AGI1.1:1:8899076:8899690:1 gene:ORGLA01G0111100 transcript:ORGLA01G0111100.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLFPPPCNTKNIFDNXLRGESRSIKKMILIGASAVIFMFSYWLHFRSTMLPQEEQDTMRNAATLLESVAKVLLFHYGWRSSIRIAS >ORGLA01G0111000.1 pep chromosome:AGI1.1:1:8894711:8895052:-1 gene:ORGLA01G0111000 transcript:ORGLA01G0111000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGMGDDSGPLGSPPRGSGDRLEREAGGGSPPCGSSGGRHSGGRRGLWRRHGSSLHARGSIAGDNGRYRSGDGLKHEAGGSSPRADPTACGTVAAGTDLGGNADLASTSWR >ORGLA01G0110900.1 pep chromosome:AGI1.1:1:8892684:8893148:-1 gene:ORGLA01G0110900 transcript:ORGLA01G0110900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLISERHSKKDCCLPYCQKAAPCGRIHCPYLTTTAAAKPDGGTDHKRTAVIVSSAQPARAERTTTGESSKRKGQGGEALNVHGAIASSAGGDHARKRFRMWGLW >ORGLA01G0110800.1 pep chromosome:AGI1.1:1:8885793:8887763:-1 gene:ORGLA01G0110800 transcript:ORGLA01G0110800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKNVCQQSRILERIAQLNWPIMPRGASTRDVCIDINHGLSNISTTLSLNRKCSVYRIPAHVRELDKAYYEPRMVSIGPYHRKEKHLQAMEEHKWRYLRDFLSRGLVNETADHRMRRYTDMIRRLEPEVRECYFESTDLDSNEFVAMLLLDASFIIEFFVKWFSSEDDPLFSVSWSLPLLLNDMLMLENQIPFFVIERLYDISTFDPDRPEDAQPKPSLIGIITDYLRGIEDAEVRHDRENVHHMLHLYHCCFVQPLELPRNANEEGGNANNIGNPFLFLPKMIPCATQLREFGVHIKKNKHARSMFDISFRNGTLEIPRVAIEEMTRSRYMNLIAFEQCHDNGKYLTSYAVFMAYLINTAQDAILLQRYDVIDNKLANEEEAAKFFSQLHACSYINYDEHYLAPVFRDINTYCRRWWPKRRARLRHDYFASPWAVISFLAALIFMGFSIFKIVVMILSVFFHFHERNRTMKWVSNRLVVYLDALSVISNGLGVFLGEVDVQTIRRLYRMLRLKSNACGGEDFIWHTRVIVCRS >ORGLA01G0110700.1 pep chromosome:AGI1.1:1:8842481:8847958:-1 gene:ORGLA01G0110700 transcript:ORGLA01G0110700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLTTPAARLGDGYSIYRVPANVDRKHYEPRLVSVGPYHRSKHHLSAMEDRKRLYLLRFLDDGGESGHRRGLLLQDCIDRVRELEPRARACYFESPATGDDGEDDDSDMFVEMLLLDGCFVVQFFIQWFSGVADPIFNVGWNLPLLHTDLLMLENQIPYFILLALYDAYTHDGDGDLDRPARRPKPSLTSIITAYFSQKEGRQPAATETAQLQEEDDIDHLLHLYHSTFVKPPDHLAARRHHHGGGGGKPLRTICCAKELAMHGVRFVPKVGTSNILDVAFHDGVFEIPRVAIDDSTCTRFMNLAAFEQCRGGDAATPVAGKHLTSYVVLMDYLINTAEDVVILERADVMENNLANEEAAAAFFNQLRVCSYIDYDDHYLAPVYRDVDAFCRRKWPKYKAKFRRDYLNSPWAIVGFYFATTFAVVTFFNTIVNILKTFFHVLH >ORGLA01G0110600.1 pep chromosome:AGI1.1:1:8840833:8841479:1 gene:ORGLA01G0110600 transcript:ORGLA01G0110600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDKFNNLLRRIEEFEWTQAMIVFLTTDGEFKVVPTSIEPIVIFLPRAMTDLK >ORGLA01G0110500.1 pep chromosome:AGI1.1:1:8818846:8819010:-1 gene:ORGLA01G0110500 transcript:ORGLA01G0110500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMDDLSGQKRICVIRDVYRHHRLGFPSGSGMLCCWAAGLWESEKMKKALGAA >ORGLA01G0110400.1 pep chromosome:AGI1.1:1:8812281:8815744:-1 gene:ORGLA01G0110400 transcript:ORGLA01G0110400.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRWCSGASKRKVATTVPCANEGSYVEEADPRRLTTTTKTDTVRVNIEKMLEQLSRPARLDGYSIYRVPASVRDSVDNKHYEPRLVSIGPYHRNKGHLRAMEDRKRLYLLRFLQDQHDDDDGSGRRDGLLQDCVGRVRKLEARARACYFESPVVDDGDDHKSSSSFVEMLLLDGCFVLQLFIQWFCGTTDPVFDVGWNLPLLHTDLLMLENQIPYFVLLALYDAYSHDPNRPPSARPKPSLTTIITSYFSEKEGRQPVTTTATEDAIDHLLHLYHSTFVMPPPDHLPAPVQADCGGKLPRTIRCAKELTLHGVKFVRKPETTNVLDVTFCRDTGVFQIPRVAIEDSTCTRYMNLVAFEQCRGEAAVAEKHLTSYVVLMDYLINTAEDVVILDRADVMENKLANEEEAAKFFNQLRLSSYINYDDHYLAPVYRDVDAFCRRKWPKYKAKFRRDYLNSPWAIFGFCLATTFAVITLFNTIVTILQTFFHLFK >ORGLA01G0110300.1 pep chromosome:AGI1.1:1:8808849:8809660:1 gene:ORGLA01G0110300 transcript:ORGLA01G0110300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPYMLKKLDCLPYCRSDNPCGVVGACPYISAAAAGAGALAAHPLPFGHMACPAACHSERTCAGTNWWPRRRRILHGKWLKHILCSAGGRSKAERRRHVCSTSPDAHRGCYFSPSPSTGWASAHGGGGGGSWYASGSGVGRMVQVPAIDAAPVCIAAGGISFDGMICVSKTNFTSMVVMSGFGVLFLAYLVFNLFLSLN >ORGLA01G0110200.1 pep chromosome:AGI1.1:1:8800308:8805739:1 gene:ORGLA01G0110200 transcript:ORGLA01G0110200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:I1NML2] MATMERIGITKLRHHHLLLVVLLLVVAAAGGVGSVQAAEEDEMSGRRRRSRRRRAADVMVPITILNSAVDKGAVCMDGTPPAYHLDPGSGGGNRSWVVNLEGGGWCNNARTCRFRTASRHGSSDHMERRIAFTGIMSSAAADNPDFHSWNRVKIRYCDSGSFAGDAFDEGLKLQFRGQRIWGAVIQHLLDVGMASAEHVLLTGCSAGGLAAILHCDQLRALLPAAATVKCLSDGGLFLDAVDVAGGRSLRSYYGDVVGLQAVAPNLPETCTDHLDATSCFFPQNIIDGIKTPIFLLNAAYDVWQIEQSLAPNAADTSGTWRVCKFNRSACNASQLQFLQGFRDQMVAAVRVFSESRSNGLFINSCFAHCQSELTATWNGGSPALQNKGIAKSVGDWYFGRAEVKAIDCPYPCDKTCHNII >ORGLA01G0110100.1 pep chromosome:AGI1.1:1:8789426:8793327:1 gene:ORGLA01G0110100 transcript:ORGLA01G0110100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter 1 [Source:Projected from Arabidopsis thaliana (AT4G01660) TAIR;Acc:AT4G01660] MASRDLRRLLDGAALVAREAARRASAPDVLRSALLAATDLAGLTRGTPRTPRPTPPLGPHHPAAAAAAGEARASVVYFSHDDAGPVSPPRRPPQDPPLEQRPPARESPHPAQAKEITEAGIAAAVGAAEPEPVAVARPPDGEAAGPSPAPSPSPSPVVRVEKRRRPRERRVPSTPFTRALGFAGLGAGLAWGTLQESARRVVYGRPADADGKRSAMSPFLSDQNAERIALALCRMRGAALKVGQMLSIQDESLVPPPVLAALDIVRQGADVMPRKQLNSVLDAELGQDWSSKLTSFDYEPLAAASIGQVHRAVLKDGSDVVMKIQYPGVADSIESDIENVRLLLSYTNLIPKGLFLDRAMKVAKLELARECDYVLEATNQKRYKELLSDSEGYYVPKVIDELSSKKVLTSEFVPGFPIDKVAMLDQETRNYVGCKLLELTIKELFVFRFMQTDPNWSNFLFDEPTRKFNLIDFGAARDFPKRFVDDYLRMVVACANKDRAGVLEMSRRLGFLTGEEPEVMLDAHVQAAFIVGVPFAKPGGHDFRANNITHSVSNLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREMLFKVYEQYNFSDDRPEVLSSTG >ORGLA01G0110000.1 pep chromosome:AGI1.1:1:8780882:8782525:1 gene:ORGLA01G0110000 transcript:ORGLA01G0110000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRGVVGSAAMLGLEMHLAHPQMHAAAYQQPDPHGGGGGGFQQQVAAVRQQQQQSYSPYSAGASSRVIKAPGHDDGMGNGAGKGGVVQQQQQPGSAGCPWTRMKWTDGMVRLLINVVYSVGDDGDGVAAGGAAGGKASAGAAGHGKAGGSGSHGAHGQAAAQQKKGKWKSVSRAMMESGHMVSPQQCEDKFNDLNKRYKRVVDLLGRGKACKVVENHALLDAMDELTHKAKDEARKLLSSKHLFFREMCAYHNSGAAAAAAAHGPHGAGAAGVEATACFHHPPPASMAAASSAARQAAAAAPSLGMKDSSAGPEDDEDDSEDVPSSNEVDDDDDDDDDDDDEVGPGMKSRRIYGGHRVHHHHHHHNGHHKRRRGDDVSSAGAGDDDDEDGVKRARGAASAAGGGDDEGPSTVQQLQSELAAAVAGGGDPQQVRQWVRRRTVEVEEQQVAHEVRAYHLERQRLKWERFRANKERDMERARLRNDRLRIDGRRMLLLLRQKDLDFDIAEANSSSVDHLTSSAPPPLAALQQQQQPLGSSPSTAAGHPN >ORGLA01G0109900.1 pep chromosome:AGI1.1:1:8762269:8762766:1 gene:ORGLA01G0109900 transcript:ORGLA01G0109900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRSFKVPWKRALAVARPRSTMAPPRAATARELLRVCREVQSRFQEVELPLLVVHGGDDTLCDPECAEELHRRAGSEDKTLRVYPGMWHQLSASPRRTSTRCSATFSTGSSRTPPPRPARGSSSGSRSVRWSRVPRAWVSACDPSSMAGSSKYVRRCRVKRDLI >ORGLA01G0109800.1 pep chromosome:AGI1.1:1:8754067:8755056:1 gene:ORGLA01G0109800 transcript:ORGLA01G0109800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPVAEADEKSPFGRLTAEEFYARHGVLHTSSTFVNPRGLRIFTQRWVPAGGDAPLLGAIAVVHGFTGESSWTVQLTAVHFAKAGFAVAAVDHQGHGFSEGLQGHIPDIVPVLEDCEAAFAPFRADYPPPLPCFLYGESLGGAIALLLHLRDKERWRDGAVLNGAMCGVSPRFMPPWPLEHLLWAAAAVAPTWRLAFTRGNIPDRSFKVPWKRALAVASPRRTTAPPRAATALELLRVCRELQSRFEEVELPLLVVHGGEDTVCDPGCAEELHRRAGSKDKTLRVYPGMWHQLVGEPEENVDKVFGDVLDWLKSHAAAAAAARGEGQQ >ORGLA01G0109700.1 pep chromosome:AGI1.1:1:8699305:8702947:1 gene:ORGLA01G0109700 transcript:ORGLA01G0109700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAVDAEGECGGGKSHNTLKPDGVRVAAASTTLDSSDSHGPAADVQGGGGSGERLDSSDGEGRATDVQGRSSAGESLMVKSEHACAADDPVGDGALLAPANKPTGADPLAVASSSHDIDAASADPANDEEDGDTTECSSSFGNSCCETDDEADHGGSEVDSPFSENADGVQALIRPRKKKVTAEWRSAVRPMMWRCQWLELRMKDLLSQVSKYDRELALINKGKELQQAVNMTNGSRSESVQSSKGRENSCMERRKRRRLEETVNTSLYIKKHEILSYFFDKQNKGAETDGILIDDDSSGPGMYMVVCPLV >ORGLA01G0109600.1 pep chromosome:AGI1.1:1:8695348:8696547:1 gene:ORGLA01G0109600 transcript:ORGLA01G0109600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRPRWTCSRTTTSSRSLRSTKNGMTRKKATRQFSNGRMTGMTMMSMTTSLCSSGKSWRASHRRTRTCGLVFSTFR >ORGLA01G0109500.1 pep chromosome:AGI1.1:1:8681211:8688761:1 gene:ORGLA01G0109500 transcript:ORGLA01G0109500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEASDVEQVEDTDYENDVVIVGSRQRERWDMKESKRMDHLTVIASTWQYVSSCMKTSSRFTKPAKHCLDLQFWTATGFGISPMFHKDIDLAGSVSSWSKIHYEVAKCKSARTQNACTAGIYTYLAETMSRRNSRTIYVGNLPGDIREREVEDLFYKFEDPRDADDAICCRDGYNFDGYRLRVELAHGGRGQSYSYDRPRSYSSGRRGGVSRRSEYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGATVGIVDYTTYEDMKYAIRKLDDSEFRNAFSRAYIRVRESISRSPSPVDERSISRSRTPVSSPSRGRSVSKSPSRSLSRSPSPVKSD >ORGLA01G0109400.1 pep chromosome:AGI1.1:1:8677962:8679735:-1 gene:ORGLA01G0109400 transcript:ORGLA01G0109400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRAPSMRHHPPHLYLAEVVASWHPFHKKPCLSDRSTAPPSAHFADAPETQTQTPTPPLSASGGGGGGGSFRWLGPRKRRRRGAGSRSVSGRSSDRRRSGTCSDFHVTCGAGGGGATDSSGEMWASDVGEVRMRDVPMATEFGPAAPVGGPGSGSGGTGAAAEVAAADSGYGSEPGYRGDVELGYGDEIDEEEEDGRQQLFFWGEEIGDCIADMNKMGIVGDNNFGEQKSHHRCRRKKHDVRMLDP >ORGLA01G0109300.1 pep chromosome:AGI1.1:1:8670100:8671344:-1 gene:ORGLA01G0109300 transcript:ORGLA01G0109300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASDDAAAAGGRFDFDVIVVGAGIMGSCAAHAAASRGARALLLERFDLLHHLGSSHGASRTIRDAYAKAHYPPMVRLARRLWADAEAESGYRVLTPAPQLTVGPPGDASLLAAVGNSGARRVDEDDLAGRWGGAFRGVPDGWVAAVSELGGGVLNATKAVAMFQALAVKGGAVVRDNAEVVGVVKKDGEAGVFVRTSGGEEFHGAKCVVTVGAWTSKLVKSVAGVDLPIQPLHALVLYWKVKPGRERELAAEAGFPTFSSHGDPHVYGTPSLELPGLIKINYDGGPPCDPDGRDWAGGGGDAASRVARWIEEFMPDHVEAAGGPVVRQPCMYSMTPDKDFVIDFLGGEFGDDVVVGAGFSGHGFKMGPAVGRILAEMAMDGEARTAAEAGVELRHFRISRFEGNAMGN >ORGLA01G0109200.1 pep chromosome:AGI1.1:1:8626297:8629779:-1 gene:ORGLA01G0109200 transcript:ORGLA01G0109200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGAPGSASDGGEYSPKPSKPLSWLTRAARYAAAEHRPAFALAGMLLAAALFSLYAPSSDASSSAATTTTTTTFSHLSSLPSSSAASLHGSAGGKVPLGLRRRALRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNFFTGRKDNVAHHLANPRFEVIRHDVVEPILLEVDQIYHLACPASPVHYKYNPIKTIKTNVVGTLNMLGLAKRIGAKFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMSLMEGEHIGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDITRAKELLGWEPKVPLREGLPLMVTDFRKRIFGDQEA >ORGLA01G0109100.1 pep chromosome:AGI1.1:1:8616302:8625719:1 gene:ORGLA01G0109100 transcript:ORGLA01G0109100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEYHEEYVRNSRGVQLFTCGWLPAKTSPKALVFLCHGYAMECSGYMRECGMRLAAAGYGVFGMDYEGHGKSMGARCYIRSFRRLVDDCHRFFKSICDMEEYRSKSRFLYGESMGGAVALLLHMKDPTFWDGAILVAPMCKISEKVKPHPVVISLLTQVEDVIPRWKIVPTKDVIDAAFKDPAKREKIRKNKLIYQDKPRLKTALEMLRTSMYVEDSLSKVKLPFFVLHGDADTVTDPEVSRALYERAASADKAIKLYAGMWHGLTAGEPDHNVDAIFSDIVAWLNGRSRTWTVEDRLMKMMASPDRFIRGERGGAADVDGDAKRGPPRRRGGCFSGLAGRTHHHSEM >ORGLA01G0109000.1 pep chromosome:AGI1.1:1:8601689:8602183:-1 gene:ORGLA01G0109000 transcript:ORGLA01G0109000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIVLLAAWIFIHLENVYTYQITKELSRLHEMASHNRLSTEDIAGGTITLSNIGAIGGKFGSPLLNLPEVAIIALGRIQKLPRFDDDKNVYPSSIINVTVGADHRVVDGATVARFCNEWKSLVEKPELLLLHMR >ORGLA01G0108900.1 pep chromosome:AGI1.1:1:8598884:8600991:-1 gene:ORGLA01G0108900 transcript:ORGLA01G0108900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEVVSAGSPLKASSSPPPLSSFGIWSDQRKSPRLPWWKQQFISESEEDDDEVMPPASHVVEDSDEGEEEEDDDDDDDEKVVPPPPPQAPEEEEEEARALRRSLLSLIHIDYIEAIRRLPAADLRTALARGVLVGGHCYGPLHHPADNILLNSIWYAAAFPLHADDRIDVAVITANSLSRAVQRSLDGLVASLRHRRPDLSRDDALRHLRADLRAAVASARGSPPPPLPAESDSEAEAAAYRAAQHPKPAALAHFLATVLPAVVTDAASVLAGKPSLSSSDIARLSAMLAPSPLPDEPPQPPLRERSPKIIRIINDRRNNLRGWYKILLQLANAALRKYAQQTGEEYDLHTIYGETFLKDRNERAEYIHINFMASPSSCQCLQASPVCFFAEVLRPPRFKYHEAEITLCCIVRPWPNDADSCHGCLIENHRIDHPEAGMRFCGKKHSKMDPNGYGHGWDWPSIADVEYRFFDPDKDVGLVEYLDGVITDIKARIRDLSTRDEDDSDEDSSDDDISGYSMRFV >ORGLA01G0108800.1 pep chromosome:AGI1.1:1:8598256:8598690:-1 gene:ORGLA01G0108800 transcript:ORGLA01G0108800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNETQDSEAEAPRFGRLPRRLNTRNLLPWRPSWPPWSATRCRWSPATACSPRPTLRASPPCWHLRRYRMIRRSLLSGSGDQRSSESSMTGGTTSGDATRACLRWQMPRCASTHTKPGNNMNFTPSMARPSVRIMRSSMCMSI >ORGLA01G0108700.1 pep chromosome:AGI1.1:1:8592451:8592921:1 gene:ORGLA01G0108700 transcript:ORGLA01G0108700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVXREEMSRRQLQLGEVQASWKNLMTIPPRRGEVFPNGLDDGVRAPSNGAPVFSSNSLAMSVRKVAGPSKMIEKTSTVTEETATSVKVSPAGGVSVRRPHTIAITTAASLGLGVGAMMPAQWQALTGWHSVDSATGGLMGTASVWASPIRWTMASWL >ORGLA01G0108600.1 pep chromosome:AGI1.1:1:8583948:8584656:1 gene:ORGLA01G0108600 transcript:ORGLA01G0108600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIYDYIPARRRVCASDFWPDADDSDPHTPAPEKPPRAKRERKNQYRGIRQRPWGKWAAEIRDPVKGVRVWLGTYPTAEAAARAYDRAARRIRGAKAKVNFPNDFGAAPAPAAAAAKAVPRIAPTPAVLPPPKMEAVSEGAGACSSDEVKELSEELLAYENYMSFLGIPYMEGGAASAAGAEEAAAPAGLWTFEDYELPSLAL >ORGLA01G0108500.1 pep chromosome:AGI1.1:1:8567037:8569207:1 gene:ORGLA01G0108500 transcript:ORGLA01G0108500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:I1NMJ5] MALLRCLFLLAVLLPHRNAAVAAAASPHHGPAPHDYRDALTKSILFFEGQRSGKLPPSQRVSWRGDSGLSDGSSIKVDLVGGYYDAGDNMKFGFPLAFSMTMLAWSVVEFGGLMKGELQHARDAVRWGSDYLLKATAHPDTVYVQVGDANRDHACWERPEDMDTPRTVYKVDPSTPGTDVAAETAAALAAASLVFRKSDPAYASRLVARAKRVFEFADKHRGTYSTRLSPYVCPYYCSYSGYQDELLWGAAWLHRATKNPTYLSYIQMNGQVLGADEQDNTFGWDNKHAGARILIAKAFLVQKVAALHEYKGHADSFICSMVPGTPTDQTQYTRGGLLFKLSDSNMQYVTSSSFLLLTYAKYLAFSKTTVSCGGAAVTPARLRAIARRQVDYLLGSNPMGMSYMVGYGAKYPRRIHHRASSLPSVAAHPARIGCSQGFTALYSGVANPNVLVGAVVGGPNLQDQFPDQRSDHEHSEPATYINAPLVGALAYLAHSYGQL >ORGLA01G0108400.1 pep chromosome:AGI1.1:1:8563683:8564991:1 gene:ORGLA01G0108400 transcript:ORGLA01G0108400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPVNVGAAFYYIEKQVQGGDEIINASQHLILTLQLLRGCNVTHEWPGPESNQLMNWIQRGPSIGSSMAPEVKGPNYEITGPKFLLFNCNFRLIFSSKKY >ORGLA01G0108300.1 pep chromosome:AGI1.1:1:8561122:8562112:1 gene:ORGLA01G0108300 transcript:ORGLA01G0108300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1NMJ3] TLITSINLISVVGNNFLARDLTIENSAGPSKHQAVALRVGADLSAFYRCSFVGYQDTLYVHSLRQFFRECDIYGTIDFIFGNSAVVFQSCNLYARRPLPNQSNIYTAQGREDPNQNTGISIQKCKVAAASDLLAVQSSFKTYLGRPWKQYSRTVFMQSELDSVVNPAGWLEWSGNFALDTLYYGEYQNTGPGASTSNRVKWKGYRVITSASEASTFTVGNFIDGDVWLAGTSVPFTVGL >ORGLA01G0108200.1 pep chromosome:AGI1.1:1:8552800:8553299:1 gene:ORGLA01G0108200 transcript:ORGLA01G0108200.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGEPRTVATGGDGRGEAKERWQQARMAEGKPRTVATAGGDWEVTRSSGGDSSAVVNICAKEAACSDVSADDVDENPKLRSAYCCASPLSGMELLMNIANPYITAGFLAPAIASSWCCCAVSHDGVNRCCSLLRHN >ORGLA01G0108100.1 pep chromosome:AGI1.1:1:8541772:8543874:-1 gene:ORGLA01G0108100 transcript:ORGLA01G0108100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1NMJ1] MASFHIATALSATLLLSLLVLGRSDATLPPPATPVPPSTACNGTTDPTFCRSVLPSNGTSNLYTYGRFSVAKSLANANKFLSLVNRYLSGGRLAAGAVAALQDCQLLSGLNIDFLSAAGATLNRTSSTLLDPQAEDVQTLLSAILTNQQTCADGLQAAASAWSVRNGLAVPMSNSTKLYSVSLSLFTRAWVRPSTKKPRTATPKPPRHGGRGRGLFDATDDEMVRRMALDGAAAAVSTFGAVTVDQSGAGNFTTVSDAVAAAPTNLDGTKGYFVIHVTAGVYAENVVVPKNKKYVMMVGDGIGQTVITGNRSVVDGWTTFNSATFAVLGQGFVAVNMTFRNTAGPAKHQAVALRCGADLSTFYQCSFEAYQDTLYTHSLRQFYRACDVYGTVDYVFGNAAVVFQDCTLYNRLPMQGQSNTVTAQGRTDPNQNTGTTIQGCAIVAAPDLAANTAFATTNYLGRPWKLYSRTVIMQSVVGGLIDPAGWMPWDGDYALSTLYYAEYNNSGAGADTSRRVTWPGYHVLNSTADAGNFTVGNMVLGDFWLPQTGVPFTSGLN >ORGLA01G0108000.1 pep chromosome:AGI1.1:1:8534787:8535494:1 gene:ORGLA01G0108000 transcript:ORGLA01G0108000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPYHAAVGVAVLAILLAAPAAEAGAPTAAPLATYSLEDACKKTGPHYGLCIATLSADRSAKSSDTVGLARVAVLAAQKNASETATYLSSIYDDDSIEKKTVQLQQCLEDCSERYEAAVEQLTDATVALDTGGYEEAMALVAAGQAEVKMCQRGFKAVPQHRNILTLRNREVDQLCSIAFTITKLIRVSPSAEE >ORGLA01G0107900.1 pep chromosome:AGI1.1:1:8530879:8531571:-1 gene:ORGLA01G0107900 transcript:ORGLA01G0107900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKVLPSFLYLVFIVLLPWGVSFSFNKCLELWIKNWWNTRQSQTLLTAIQEKRVLERFMELEDLFILDEMIKEKPNTHVQNPPIGIRKEIIQLAKIDNEGHLHIILHFSTNIICLAILSGSFFLGKEELVILNSWVQEFFYNLNDSVKAFFILLVTDFFVGFHSTRGWELLIRWVYNDLGWVPNELIFTIFVCSFPVILDTCLKFWVFFCLNRLSPSLVVIYHSISEA >ORGLA01G0107800.1 pep chromosome:AGI1.1:1:8529903:8530655:-1 gene:ORGLA01G0107800 transcript:ORGLA01G0107800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDMENRNTFSWVKEQMTQSISVSIMIYVITRTSISNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVLRIPYDMQLKQVLANGKKGGLNVGVVLILPEGFELAPPDRISPELKEKIGNLSFQSYRPNKKNILVIGPVPGKKYSEIVFPILSPDPATKKDVHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATSTGVVRKILRKEKGGYEISIVDASDGRQVIDLIPSGPELL >ORGLA01G0107700.1 pep chromosome:AGI1.1:1:8520514:8527163:-1 gene:ORGLA01G0107700 transcript:ORGLA01G0107700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:I1NMI7] MASPPSLLPASEPSAGEDEESHREVYEQLRQLVSTYPTVPSGLDTPYHRHPDGWYTFLPAMVSVMVAQRHFTARDTDIIITTFPKCGTTWLKALLFATVHRDGGGGGGVEDDAALAQLRARNPHELVPFLEIQVYVRDRVPDLSSLPAPRLLATHIPRPSLPASIAASGCKVVYICRDPKDCLVSLWHFHDAQRPEPRGDVGEEFRLFCDGVSPVGSYWDHVLAYWRWHVERPGQVLFMTYEELSADTLGQLRRLAEFVGRPFTGEERAARVDEAIVKACSFESLAGAEVNRSGTVELMEEPMRNAEFFRRGVVGGWPNYLSLEMATRIDEITESKFKGSGLVLPTMMTM >ORGLA01G0107600.1 pep chromosome:AGI1.1:1:8513400:8519541:1 gene:ORGLA01G0107600 transcript:ORGLA01G0107600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREARQEEEERDAPAVPPGENDEERDRNLSSRVVSLLFGGDISAQTFEKWVSLVRKRSGAFRPSGFPRRNSRIEVMPSGSFSLFSAADLSEQVVTAELIGKEDIPLTSSQPTEISLWERLGNASALDIESPDFSWNMLSSLHHTEHSSSSDHSEDEMSKPLEVTVNSGGVVFFALFNSSSNVLPKEAAAVIKFSSSKMSTQAERLGYEFARLLGVQTPQARVVHNSSLEWQDIRKAAENARSVAVSNNDEVGEMTCSELLEALELSRCLLLMSYIHGSPLLESSKAFSPREAACVTASSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDRPSAPSVDRLDDSKCTTESSIPTITQFVQSDKRTHTANATINSPELVSMSPKPDALKSVRANADSLDGPIHIVAIDTGVPRRPPAGRRVKDHERYPKVVQLMLNNSDYSSNILYEISGGKLGIPGPDEAIAFTDSCCSISDEDNTAAIHEFRGAFRAALRDLEGFHLFLLQLYQKLDGVLRVFLSIVTKGSEESDNNDATVPDFPSPGANYSTPCAPSKQQNSELHGDSEILKSTTKPSSAGSRGSSDSVSPLSRESWSNKYFKGSAEGPRSLRMTMKLRDFYKTPKVDPELVKEIEQWNEALKSDVIKFCEENNFHSGFFDGNENNMVADAYELKVRLEHIIERIALVSDAANTERPSLVINNLFIGGALAARSMYTLQHLGITHILCLCSNEIGQSDSQFPDLFEYKNFSISDDDDANISDLFEEASDYIDHVDHVGGKVLVHCFEGKSRSATIVLAYLMLRKGLTLVKAWNLLKKVHRRAQPNDGFAKALLALDRKLHGKVSMDWQHKRPEMKVCPICSKNVGLSTSSLKLHLQKAHKRLSAGSVDSAMTLEIQKSIQSLGISRGGSLSPSQKLTKAFADELSF >ORGLA01G0107500.1 pep chromosome:AGI1.1:1:8507979:8510053:1 gene:ORGLA01G0107500 transcript:ORGLA01G0107500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRRTGGVGCRNAKGADADADATAAGEECGEVGGEAHEDGAREEDAAVSFGIGSLGPVAMSDRGTSSSVSGDTDADSVPTADAAAPLWAPHGRALTGCLVVVNVALVLLVYLYFWRVFSRKRAAAAASARSDDDDDDDEASSSASAPPPAAAAVSVRTRDDVLASLPVFVVRSSGGEKAEAEAECAVCIAELRDGDECRALPRCGHRFHASCVDGWLRLHTTCPLCRASVVALAAAPERKGGVADTTAAAEDVDARV >ORGLA01G0107400.1 pep chromosome:AGI1.1:1:8507096:8507464:1 gene:ORGLA01G0107400 transcript:ORGLA01G0107400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSGSGGRAGGRPLELRIRRPDLPLASFLLPIDYAMAVAGMLPTIAGELATDETKHSQISLGLAAWAQGRAGWLLGGRGSSGPSAAARALQLYLFGHVGKGSHGGEGGLLRRGIGKRTNIAR >ORGLA01G0107300.1 pep chromosome:AGI1.1:1:8505213:8506300:1 gene:ORGLA01G0107300 transcript:ORGLA01G0107300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEHKNPGAQEPPLPCGGEVPRHESFHKHLPIPAAGVGGGQVDNPSSYQIAGRVLLAVSGAFSGVLLALVVLHLCNRGRRGGGHSGDSRTTRRRDRRLLRSLAIGAGGDDRDGGAAPSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEAGDKARALPRCGHRFHVECIDAWFRENSTCPLCRADVEAPYDADGAQPEVRIDIAGDAPSVAAKGGAPAMGRLPSGTDLEKTRRVFASTRSASL >ORGLA01G0107200.1 pep chromosome:AGI1.1:1:8497044:8501164:1 gene:ORGLA01G0107200 transcript:ORGLA01G0107200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPVLHGDVKPANILLDENHSPKISDFGIARLLCANGAQHTKNIIGSIGYVDPAFCENGILTPKSDVYSFGVVLLEIITRKKAVDGTITLAQSFTDAIEKGKKVMNLFDEEINDKQNMNFLEDIGKLAVKCLRRDVKVRPEMVEVATSLRMIRKDLEGELGNLTQQHTSTPNNSTPSKNEGSAGRQFGNLNIFKQEEIKHMTRNYSMTFREEFHERLYNGVLGMVHAIIVKQVSTSSKTDREVFLKTMGILCQKYHKNVANVAGFHLGEYISECVYESCCELSQVNNGHISFSNQNLYEIICSTEKLPLHVRLSIAVQCLEGLVHIHSFLAENPESRGTSLFGNFRSANIFLDKNFMPKVFNANLSTFLGLCAVQQCTASVDCIHDQRSQKYYLDPKDVSDHLFNPKSDVYSFGVVLLELITWKTAKYKSGGQAHMLTTDFLDTYRIDHSATDFFVKKVYDEEGKCFLHEAIAIGVECLKLDVQMRPEMSDVLSRLRIISAAQSIRSKLMGPQAKDCGDHGPSQYIAPTPVNNDVKIPSPPTSTSTISLDILKKITRNFSNNSLIGEGSHAKVFFGVLKDGKNSAVKKLNPNEETIVQVSTISKMLKHDNVVQIHEYFIEGENRVLVYEYAPKGSLHDILHGREGVTGAQARPPLSWVQRVKIAITAAKGLEFLHEKAVPPVIHTNIKSSNILLFGNDVAKIGDLGVSKQLHVEDYDYSYTRVVPQIFRYEAPECRLRGQYSVKSDVYTFGVVLLELLTGRKVFDHTLPRGQMSLVTWATPRLSKDKVKQCVDPKLGRAFPLKAVARVIIFLSLDVTVCVSQFFYIIIAXEFREVSF >ORGLA01G0107100.1 pep chromosome:AGI1.1:1:8484790:8484954:1 gene:ORGLA01G0107100 transcript:ORGLA01G0107100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEDHMGIHSYLMIMLLLPVNKLITIRIGRFPPLELLPCLLVLHLRCLLSIVH >ORGLA01G0107000.1 pep chromosome:AGI1.1:1:8480611:8482401:1 gene:ORGLA01G0107000 transcript:ORGLA01G0107000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRWWLGRWLRRRWGGGGRRRPGAPGEDEGLRAGGRSRFGTTSRLRTWNCSSGISRLPLPIVKLVSESSVLKVEERSVVXXXXEGHFGGEASRYPFSRWRLGLAALILKGVSFNIWWDNR >ORGLA01G0106900.1 pep chromosome:AGI1.1:1:8472824:8476849:-1 gene:ORGLA01G0106900 transcript:ORGLA01G0106900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGVASRAADRFYCPPPRRHLLHHHHHQASKAAAAAVERQPRSAAAAAKEEEETNLERFIASTAVRVPAHRLPRTSSSSRERGAAGAPPYYELADLWEAFAEWSAYGAGVPLLLNGTDGVVQYYVPFLSAIQLFAARPPSSTSGRLGEDSDGESAQDMSSESDHEHLRCRCLVNSISADQGGFSSDDSESGNQELYPVFQYMEHDAPYGRQPLADMISLLANRFPDLRTYKSCDLLPSSWISVAWYPIYRIPTGPTLQDLDACFLTFHSLSTPAEGTLSGHPETNVFHNSKIYVPGKVTLPLIGLASHKFNGSMWTSNQEHEQQLTKSLLKAADDWLCQRRVNHPDYRFFLSH >ORGLA01G0106800.1 pep chromosome:AGI1.1:1:8457763:8466174:1 gene:ORGLA01G0106800 transcript:ORGLA01G0106800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLGPPPLHALAATRQAPRCPPAAAAPPTHGYRRGAAALSGRRGRHTLSSIQLMHAVRGGDLQVEPNMLHSPKPLMSTRRHEIAGCVASPTIANVDDCTEGEGALGYTMTQICDKFIEFFMYKKPQTKDWRKVLVFREEWERYRPYFYKHCQARIDMENDSSMKQKLVVLARKVKKIDNEIEKHMELFTQLRENPTDINAIVARRRKDFTGGFFQHLNFLVNAYNGLDERDAIARLGAKCLSAIHAYDCTLEQLDLDSAQSKFDDILNSSSLDDACDKIKSLAKTKELDSSLILLINRAWAAAKDSTTMKNEVKDIMCHIYTTTKESLKSISPPEMKLLKYLLNIEDPEERFGALATAFSPGVEHEAKDEDALYTTPNELHKWTKMMLDSYHLNKEETDFMDARKMSDPVIIQRLTLLKETIEEEYMKKYIHPEEQESEDDEDSEE >ORGLA01G0106700.1 pep chromosome:AGI1.1:1:8455306:8455659:1 gene:ORGLA01G0106700 transcript:ORGLA01G0106700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLAVPLLLTLCLLAAHLLTVACARHHPPSPPETEGFDVVDTSPTNDGPSPASGHGNHPSAAVVIPAGGASPGGVSSTESRARGGFISHDMSPCHCRGGGVPVTDAAQHGGRHP >ORGLA01G0106600.1 pep chromosome:AGI1.1:1:8441719:8443896:1 gene:ORGLA01G0106600 transcript:ORGLA01G0106600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAGVEGVEETAWSARGWEVDGGRIHDHRGWTHPNLLSGNSTVMTTTARRRMATLLAAVRMGGNNFDNDQVVRWLCAEGARRVEVAGNDGDAITCEGWETPGERPSPFRATSDDTLGHCNPVRGIVVVSLLSMVESSGESHALVPRTGDGAPLALQPLEKLRLENEGRNKVIPNLLASSMEKEDGCSHVGGAVASDCVEDGCRYRGAASATCVECGGYGVE >ORGLA01G0106500.1 pep chromosome:AGI1.1:1:8434510:8438659:-1 gene:ORGLA01G0106500 transcript:ORGLA01G0106500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAATAALRRLSQSQAPRRQARGLQYASPERRPLDGARWALYARLSAHLPSGGMVEELGRWLRERRPLSEEQVLFCVRRFRKFKQNKHALQLMDWMEARGVNLELKHHALRLDLVSKLNGIHAAEEYFGSLPDIFRSKQTYSTLLNCYAEHRMAEKGLELYENMKAMNIVSDILVYNNLMCLYLKTDQPEKIPTTVVKMQESGIQPNKFSYFVLTESYIMMNDIESAEKVLKELQEVNSVPWSLYATLANGYNKLQQFDKAEFTLKKAEEVLDKHDVFSWHCLLSHYANSGNLSEVKRIWESLKSAFKKCTNRSYLVMLKALKKLDDFDTLQQIFQEWESSHEHYDMKIPNIIIQAYLDKGMVDKAEAMRQTTMAQDHSNYRTFCIFVEFYLEKSKMNEALQIWRDAKKMVKGQDWVPEKLVNRYLKHFEDSKDVDGMETFCECLKNLGRLDAEAYEALIRTYISVGRTNPSIPQRMEVDRVDIRPEMFESLKAISTEGVES >ORGLA01G0106400.1 pep chromosome:AGI1.1:1:8424212:8427724:-1 gene:ORGLA01G0106400 transcript:ORGLA01G0106400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPGLSAAARLVIRRFLTTGAEAAEAVAPHAARAKGKKDRRPLGRRLLELGDAAGRERVAGAGRVGARRAGGGDRRGGSRQVRQGSPQGQARRPRPRVDGLNG >ORGLA01G0106300.1 pep chromosome:AGI1.1:1:8412095:8415365:-1 gene:ORGLA01G0106300 transcript:ORGLA01G0106300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVPTAAARLVLRRLLSTAVAEAEAAAVAPAVEKAAAKGAKTAAAAGEEKDARSLYRRLSALGGAGEGSVSRVMNKWVREGREARAADLAKYVKELRKYKRHAHALELMEWMVNTKGMNMSYTNHAIRLDLIYKVRGIEAAEQYFAGLPDPGKNHRTYGALLNCYCSAKMEDKATDIYRKMDELGISSSTLPINNLMSLYVKIGQHRKVTSLFEEMKVKNVKPDNLTCCLLMSSYAALNKIDTVGEVLKEMEGKKVALGWSAYSTLASLYVNANMVEEAESALKKLESLIDVQAGRQPFDFLMSLYASVGNLSEVNRVWNLIKANFQKVTNTSYLGMLQALYKLNDDDRMKQIYEDWESNYENYDARLTNMMTRAHLRNGLTKEAELLWEKVKEKGAEFDSKTCELFLEHYMGKGDMTSALNWVENMTKLPRKKSKLDQEKISCFLKYFEEHKDVEGAERFLNCLRTSGCIDGKAYESLLRTYLAAGKTSRSIRQRIKEDKIEICYGIGKLLKRIGDKGR >ORGLA01G0106200.1 pep chromosome:AGI1.1:1:8406170:8410393:1 gene:ORGLA01G0106200 transcript:ORGLA01G0106200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRKAMWLYPKVVGFNPPERWGHSACFFEGVVYVFGGCCGGLHFSDVLTLNLETMAWSSLATTGARPGTRDSHGAALVGHRMMVFGGTNGSKKVNDLHVLDLRTKEWTKPPCKGTPPSPRESHTVTACGGGDRLVVFGGSGEGEGNYLNDVHVLDVATMTWSSPEVKGDVVPAPRDSHGAVAVGSRLVVYGGDCGDRYHGEVDVLDMDAMAWSRFAVKGASPGVRAGHAAVGVGSKVYVIGGVGDKQYYSDAWILDVANRSWTQLEICGQQPQGRFSHSAVVLNTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKVLSNHWSQERRKFLRTENQRDPNMSNGELGPRSREAEIEQRNPFLRGLENGHVKRRRTGDVRLKETESEQEEHSLSLSQHSSPSQSDQEQNGAQKLSASPNGSISALQPFVRLNTNGTLRAAGGVSPRTLKTDQFLRTIAPQQRHEVQFLAAEPKPHHRPPTPPLIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPTLHHPILMSSAIPPQQQQQRPVLAHAIPVHARPVPQATGFVLPDCSHHARQAFPASAAAAAAKIIKSEPERGGSDLHDVVLTLGGPGAGK >ORGLA01G0106100.1 pep chromosome:AGI1.1:1:8372472:8385466:-1 gene:ORGLA01G0106100 transcript:ORGLA01G0106100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding-like fold [Source:Projected from Arabidopsis thaliana (AT3G62360) TAIR;Acc:AT3G62360] MDPRLLLALLCLAAFSAAAYASDEIHGCGGFVEASSGLAKSRKASDSKLDYSDITVELCTIDGLVKESTQCAPNGYYFIPVYDKGSFMVRVKGPKGWSWKPETVPVVIDQNGCNGNADINFQFTGFMISGKVVGAVGGKSCSKHGGPSGVKVELSTNSDELVASALTSSTGEYSFANIIPGRYKLRASHPNYEIETRGSSEVDLRFGNAVADDVFFLSGYNIYGSVVAQGNPILGVHLYLYSNDVTEVPCPQSISDAPREGALCHAVSGADGKFTFSSLPCGSYELLPYYKGENTVFDVSPPSLLVSVEHSHMTIPQKFQVTGFSVGGHVVDGYGAGVEGANVIVDGQLRAVTDNLGYYRLDQVTSKKYTIVAEKDHYKFNRLENFMILPNMASIDDITSVRYDVCGIVRTVTPNSKAMVTLTHGPENVKPQRKLVSENGRFCFEVLAGEYRLSALPVDTEGSSSLMFSPGFIDVNVNSPLLDIEFSQSQVNLHGKVLCKEQCNQNILLSLVRLAGGIEQEKKTVTLEQDNVNFAFKKIFPGKYRLEVKHSSSEAAAQDDWCWDQNAMDINVGSDDVTDIVFVQKGYWVELVSTHETKAYIQHPDSSKLDLLIKKGSQRICIETPGQHELHLINSCISFGSSPVVFDTKNPMPVHISAKKYLVRGELHVEMGSLQEIDLSKNIGVDVFKSDGSFIEKISATPVLGKSYQNDISAFEYSIWAEFGEDFIFVPRDDSTGRKNILFYPSSQQFSVAVNGCQDTVPSITAKTGLYLEGSVSPAISDVDIKILAAGKSKYASLKERDIAMETKTNSEGSFFAGPLYDDIGYTVEASKAGYHLKQTGPYTFSCQKLGQILVRIYGEQDAELLPSVLLSLSGEEGYRNNSISGSGGTFSFGNLFPGSFYLRPLLKEYKFTPSAVAIDLNSGESREVEFRATRVAYSAMGSVTLLTGQPKEGVFVEARSESRGYYEEATTDSFGRFRLRGLVPGSIYSVRVVAKDDHRFAAVERASPEYVSIDVGQDDISGIDFVVFERPESTILSGHVEGDDLDMLQPQLSVEIRSAADPSRIESVLPVPLSYYFEVQNLPKGKHLVQLRSGLPSHTHRFESEIVEVDLDKQPQIHVGPLKYKTEERHHKQELTPAPVFPLIVGVSVIALVISMPRLKDLYQSAVGMTTLGSAAAPIKKEPRKTIMRKRA >ORGLA01G0106000.1 pep chromosome:AGI1.1:1:8361172:8362492:1 gene:ORGLA01G0106000 transcript:ORGLA01G0106000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSSKNPLVLDECIVSASCPSPVQSILCDPGRKRKVPMLDQQSKEPDLAILVYIKGAFCAGQFSQTTPFVPNVCRDKFVSTFHLPSRRAVRRRLMFGSDNAPNTTSDSSPGIINLISGGPTLAADETFCGLPRPQPCVAAESRRKRKAAMIHKRGEKLCPAIYSPSSRSVRCRIIPNDNVVRQQCHTQLQQQNLSSASQQDPRQPFAGRHQSFAYIHHAFRLRGSVLMFAL >ORGLA01G0105900.1 pep chromosome:AGI1.1:1:8347177:8351019:-1 gene:ORGLA01G0105900 transcript:ORGLA01G0105900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQIFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSDGFQKLFFGQEEIAIPVHPTIEAACNAHPTADVFINFASFRSAAASSMSALKQPTIRVVAIIAEGVPESDTKQLISYARANNKVIIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGKDEYSLVEALKQGKVQKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALKDAGAVVPTSYEALETAIKETFEKLVEDGKISPVTEITPPPIPEDLKTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIEQGYGVGDVISLLWFKRSLPRYCTQFIEMCIMLCADHGPCVSGAHNSIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRNLTPYEFVEGMKKKGIRVPGIGHRI >ORGLA01G0105800.1 pep chromosome:AGI1.1:1:8340238:8340843:-1 gene:ORGLA01G0105800 transcript:ORGLA01G0105800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTTTRHTVRFGSATIDTTVTSDVAAADEWARGVRAAARGGRGLIVGLDCEWKPNHVSWKTSKVAVLQLCAGERFCLVLQLFYDNRVPPAVADLLGDPSVRLVGIGVGEDAAKLEADYGVWCAAPVDLEDACNRRLGLVGTGRRLGLKGYAREVLGMAMEKPRRVTMNNWEKRELDAAQVEYACIDAYVSYKLGERVLAN >ORGLA01G0105700.1 pep chromosome:AGI1.1:1:8336735:8337388:-1 gene:ORGLA01G0105700 transcript:ORGLA01G0105700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARYTVSFGSAVIDTTVTSDAAAADEWVRRVRASAATTPRGGGGLLVGLDCEWKPCDHLWPAVTTPTVAVLQLCAGDSCLILQLLHVAGARHVPPLVGDLLADPSVRLVGIGVGEDAAKLADGYGVRCAAPVDLEDVCDRRLGRLPGARRLGLKGYVREVLGLTMEKPMDVTRSDWERRHLDAAQVRYACSARGSSPTSRQRDRHACLQCPWVVI >ORGLA01G0105600.1 pep chromosome:AGI1.1:1:8331006:8332772:-1 gene:ORGLA01G0105600 transcript:ORGLA01G0105600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEVHGRDVLVDLEKGNCLLMREGGDNGTDVNMISSQVKTPWNDLIAMKDDHHIPCCSSRSQDFAAKSGEDRTSDGEMKVGLLDKSMGEKEKKKRSKKPPRPPRPPSASPLDAADQKLISELSELASLKRARIERMKALKKMKNTKPASSIGNLVALIITIIFCLVILWQGVFSKYGAGIIFHGSPISSGRSHGSLFSIQFYKKNETATSPRSSSAPNNTGITTRLEIHGEARGVTE >ORGLA01G0105500.1 pep chromosome:AGI1.1:1:8327280:8329646:1 gene:ORGLA01G0105500 transcript:ORGLA01G0105500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACASRRLLAGAGTPARSFHSQPYQAKVGVVEFLNGVGKGVETHAAKVEEAVGGDLQRLLETRTLRLKKLGIPCKHRKLILSFAHKYRLGLWKPQAEAKKAQ >ORGLA01G0105400.1 pep chromosome:AGI1.1:1:8319417:8323220:-1 gene:ORGLA01G0105400 transcript:ORGLA01G0105400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPSSGHTNFYRFVRRWLANPLGHHQHHHLRRSTSTGISSSTYPRIIPRCPSSSSSAQDRDRLRDKTMILDVEGGILMSSSTFPYFMLVAMEAGGFLRGFIMLCVHLVLWCLAPLLPEEVKLKVMVMVCFFGLKEKKVARVARAALPKHFLEGVGMEGLEAVRGVKSVVGVSRVIPRVMVKPFLEDYLGVDVVVGREVKMVRGFYVGLLENMSDGRLELADLEGEEMIGFGSSSGYSGHDHHHLFSWCKEVYLVTPEEKRKWSPLPRDQYPKPLVFHDGRLAFRPTFQATLAMLTWLPFSLPLTIFRTLIFVTLPYPVSVAIGSVFGVRTRVINSPVGQAKADHPRNPKGHLYVCNHRTLLDPVYIAAMLNKKVSAVTYSVSRLSEWISPIPTIRLTRDRDEDRRRMEEALRRGDLVVCPEGTTCREPYLLRFSPLSLELVDEVYLVALVNWSDMFYGNSTGRSKCLDSFYYFMNPRPAYDVEFMEKVPTRMVVDGKTCESKHVANMVQGEIGRVLGFECTKFTRENKYLALAGNRGVVDANQ >ORGLA01G0105300.1 pep chromosome:AGI1.1:1:8315089:8317088:1 gene:ORGLA01G0105300 transcript:ORGLA01G0105300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G56570) TAIR;Acc:AT1G56570] MSQKHATTLISSLCSRGAVCHARALFDEMPERDVVAWTAMLSGYASNGLRREALDVFRRMVAAGAAPNEYTLSGVLTACRGPCAPATAMPLHAVAVRRGVDRMPYVVNALIDSYASLAEGVVDARRLFDALGSGRTAASWTSMIAGYARWGQERTGLRLFKTMLKDGFELSTFACSIALHACTLVIDLCLGQQLHLQCIKKALDVNLAVVNSLIDMYCTCARILDARSLFDGTPERNLITWNTMIAGYSQCDPLMALQLLLEMNDEPNCFTLTSITSACADLAALRCGKQVHGAVLRRSYSDDLQMGNALVDMYSKCGSITNAKNVFDRMGCKDKFSWTSMIAGYGMNGYGNEAVQLFSSMIHAGVHPDHVVFLSLISSCSHAGLEDEGWNFFRSMINEYNLQPNKEVYGSVVNLLARAGSKWGEYAFTRRSLRGIGSRKEAGISWIEVMDKMYSFTAADSSSPQVCLADEVLHILSQHMDDVGSEFCHIIFKAT >ORGLA01G0105200.1 pep chromosome:AGI1.1:1:8305606:8306460:1 gene:ORGLA01G0105200 transcript:ORGLA01G0105200.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHQMDVKTAFLNEELDEEIYMDQPDGFVVEGQEGKVCKLLKSLYGLKQAPKQWHEKFDRTLTSAGFIVNDADKCVYYRHGGGEGVILCLYVDDILIFGTNLEVINEVKSFLSQKFDMKDLGVADVILNIKLVRGENGIILLQSHYVEKILNRFGYIDSKPSPTPYDPSLLLRKNKRIARNQLEYSQIIGSLMYLASATRPDISFAVSKLSRFTSNPGDDHWRTLERVMCYLKGTMELGLHYSGYPTVLEGYSDSNRILDVDEIKATSGYVFTLGGGAVSWRSCK >ORGLA01G0105100.1 pep chromosome:AGI1.1:1:8302638:8303042:-1 gene:ORGLA01G0105100 transcript:ORGLA01G0105100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGDESSSRRARWVPRVARAVGRVRRAAGGGRHRGHWTGTEAAVVLVPHLRLMLPGRFAATVDIAERQELYWTSLVVVEELGVSSPLIGVAIWAPSDSSFGDVLSRNLFSVMLSADSTSAAGHYLFCAVLSVF >ORGLA01G0105000.1 pep chromosome:AGI1.1:1:8298446:8298781:1 gene:ORGLA01G0105000 transcript:ORGLA01G0105000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSAAWWARMAMGDAVEEAGDGGAGVDGVVGERRGWGATAMAALCRRRGGGCDGCGRGGGCDGCGRYPPMREDEPSSWVRIVAPFDLANWLILRVSVRIGVTATTTEF >ORGLA01G0104900.1 pep chromosome:AGI1.1:1:8291357:8292235:1 gene:ORGLA01G0104900 transcript:ORGLA01G0104900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPCGWTTSAAAAVAEEEDVRRGPWTVEEDAVLAGYVAANGEGRWNELARAAGLRRTGKSCRLRWLNYLRPDVRRGDFTPQEQLLILELHFRWGNRWSRIAQHMPGRTDNEIKNYWRTRVQKHAKQLGCDVNSRQFKDVMRHLWMPRLVERIHAAAASSERAAPPPCAAAPAHSGMCYSPDPSTTTSSTAGSSVTHGEQFPSSTNHHLMTMASVTTAAADWSSEQCGSGSATSTSVGISDDMFEGSWSELLARAYDDDGADSSLLPDFQMADTGDNCWWSNLEDIWSQQPY >ORGLA01G0104800.1 pep chromosome:AGI1.1:1:8287995:8289455:1 gene:ORGLA01G0104800 transcript:ORGLA01G0104800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCPKFMQIELRSGLVLLPLTYTPSRVARDGGKKKRGKAAGAGAGAGEGARVAVTRCRRPALELEDGLHVAAEPAASPKLGVAFLPVEPGVSVVALTAGGAEDEDGSGWVQNGGNGGGGYGNAGRGGNDAGWLSD >ORGLA01G0104700.1 pep chromosome:AGI1.1:1:8269357:8272279:1 gene:ORGLA01G0104700 transcript:ORGLA01G0104700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1NMF7] MGRESLPTLAMVMVQLGFAGMNVVSKLALDTGMSPYVLIAYRNIIAAVFLAPFAYYFERKSGMVITKKVLVQIFFSSIFGATLNQVLYFVGLKSTTPTVACALSNTLPALTFAMAAAFRMESVRLSAAAGQAKVFGTVVCVGGSMIMPFYKGPLLRLWASPIHWRFAESAASGAAAPAAGGAAVLGDVLIILSCAAWAVWFIIQTKMSERFSAPYTSTTIMCLMAGVQCAGVSAAMDRSVAVWKLGFDIRLYSVLYIGVVGSGIAFALMSWCIQVRGPLFVSMFSPLMLVVVAIVGWAILDEKIHVGSAIGSVLIVAGLYMVLWGKAREMGSPSDLDGGGGGGGVVELNGKGADAATTLPVFCTTTNKHETTRNGCSN >ORGLA01G0104600.1 pep chromosome:AGI1.1:1:8256222:8257358:-1 gene:ORGLA01G0104600 transcript:ORGLA01G0104600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPATVSCVLSGCRTHAFSVLQGARGARYFGSYDGGWLFLAVGGQAQRQALLNLKINGFQTLDLPNLAPVNSVNPNRDREMAIVAATLSCQPTEQGCIVAGIIESSPNLVAVGHVTRSIAFWRMGDQVVLPVLWALEEDNPLMRLEEVEDLLCKHGAFHFLTRAEDVLACEEPPVFYRDSVSLVPANMFFLPRVHDENETVLARYLVGSGKKLLMVVRLASGRGQRTTSAFRVFQKKKLNTGEEDEPSQNRSAHFEYYWSELDELDGRMLFVGRGCSRSYEAGDGRYPGMEEGVYFLDDPSIHQMIIGDAPKPPYLCSDNGKWSRAPTDPQGQFDRSSRSEAHRSTRFRFGFSLELRSTTGLVFYRQTLRDQQGQVN >ORGLA01G0104500.1 pep chromosome:AGI1.1:1:8250193:8251849:1 gene:ORGLA01G0104500 transcript:ORGLA01G0104500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAETGKVVQWRRWAFANLGSVLSNFGSLWLLLAPLLAAYAPRRLFKTYFNLFLRRHARRLLAVVDPYVTVDVSEPGGASAHYSRYGRVTDSGDSTYEEVKAYLSDACAGEARELRAEGASEGDGVVISMRDGQDVADEFRGAALWWTSVVREDSQGQQRAHTRQCQRLTFHHRDRRLVVDEYLPHVRRKGREILFSNRRRRLYTNNKSGDSFRYDYKAWSYIDFDHPTTFDTLAMDTARKREIIDDLDAFRSDRDFYRRAGKPWKRGYLLYGPPGTGKSTMIAAMANYLDYDIYDVELTVVKDNNDLRRLLIETTSKSIIVIEDIDCSLDLTGDRAATQRRGRRNDRDDGSRRHDRDGSMVTLSGLLNFIDGLWSACGGERIVVFTTNHVDKLDAALIRRGRMDMRIEMSYCGIEAFKTLAKNYLDVDDHRLFGPVGEILGRESITPADVAECLMTAKRAGSDDESSRLEIVIDELKQTAEANAKAKAEAEAKAKAEAEEEAKAAEMDRDNTREEQNGRKYPKI >ORGLA01G0104400.1 pep chromosome:AGI1.1:1:8240291:8244867:1 gene:ORGLA01G0104400 transcript:ORGLA01G0104400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1NMF4] MEASIVSTYLPLLAMVLVQLGLAGLNVMSKLTMASGMSPYVLLAYRNFIAAAFLAPIAFLVERATLNQVLYFVGLKYSSPTVASALNNTLPAVTFLLAALLKMEPVAGRAGRAKVAGTALCVAGSMLMTFYRGPLVRTLASPVHWPYVQGTMAAEAAAHAGGHAVVLGAVLVIGSNVAWAIWFIIQKNLSKSFACPYTSTALMALIASVQCAAIAGAAERRLSAWELGLDIRLVGALYAGIVASGMVCTVLSWCIQERGPVFVSMFSPLMLIVVAVVGWGILGEKIHVGSVIGAVIIVVGLYTVLWGKGRDLDGAAVAIASLAGDEEMNGVVGADDTTGRAPPVGQTRHDSSDQQKVAA >ORGLA01G0104300.1 pep chromosome:AGI1.1:1:8235663:8238186:1 gene:ORGLA01G0104300 transcript:ORGLA01G0104300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPRRLGFLAALVFAVSVSASVSSVSGGSGPITTNGGNYTRVCDPARFSAAGLDMAGFPYCDASLPYADRVRDLVGRMTLEEKVANLGDRAGGAPRVGLPGYLWWGEALHGVSDVGPGGTWFGDAVPGATSFPLVINSAASFNETLWRAIGGVVSTEIRAMYNLGHAELTYWSPNINVVRDPRWGRASETPGEDPFVVGRYAVNFVRGMQDIDGATTAAAATDAFSRPIKVSSCCKHYAAYDVDAWNGTDRLTFDARVQERDMVETFERPFEMCIRDGDASCVMCSYNRINGVPACADARLLTETVRRDWQLHGYIVSDCDSVRVMVRDAKWLGYTGVEATAAAMKAGLDLDCGMFWEGVHDFFTTYGVDAVRQGKLKESAVDNALTNLYLTLMRLGFFDGIPELESLGAADVCTEEHKELAADAARQGMVLLKNDAALLPLSPEKVNSVALFGQLQHINATDVMLGDYRGKPCRVVTPYDGVRKVVSSTSVHACDKGSCDTAAAAAKTVDATIVVAGLNMSVERESNDREDLLLPWSQASWINAVAEASPSPIVLVIMSAGGVDVSFAQDNPKIGAVVWAGYPGEEGGTAIADVLFGKYNPGGRLPLTWYKNEYVSKIPMTSMALRPDAEHGYPGRTYKFYGGADVLYPFGHGLSYTNFTYASATAAAPVTVKVGAWEYCKQLTYKAGVSSPPACPAVNVASHACQEEVSFAVTVANTGGRDGTHVVPVYTAPPAEVDGAPRKQLVAFRRVRVAAGAAVEVAFALNVCKSFAIVEETAYTVVPSGVSRVLVGDDALSLSFPVQIDLQAAA >ORGLA01G0104200.1 pep chromosome:AGI1.1:1:8218560:8219976:-1 gene:ORGLA01G0104200 transcript:ORGLA01G0104200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPDEEEAAARRRKMKAAPNFVVGAGGRGGQICRRAPARLPPPSSRASSPVELPRIVPYRPAPSQIDCRRLVRRRARPPPSPPPSSSSAVEPSAAESSAVESFAASAGRCSPFFRGCWGGGRGGWYSGVAVPRGAAARSWQEQRXCPHKTSATNTLSLRYAIRCGEGGGWSGGEVVSDDGVRSWGKEEDKATEEKTVTLRIESGGKVFFSLERERPLIFSLVEN >ORGLA01G0104100.1 pep chromosome:AGI1.1:1:8216398:8217901:-1 gene:ORGLA01G0104100 transcript:ORGLA01G0104100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NMF1] MRLTVAVICSLVAVQLWVTLLAGELKVGYYDDKCSGVEDVVKSHVIKAIILNRGNGAALVRLIFHDCFVRGCDGSVLLDASGVNPRPEKVAPVSIGLEGFDILEEIKADLERRCPGVVSCADILIFAARDASSILSNGRVRFDVPAGRLDGLVSSADEAQAELPEPTFTIRQLIDSFARKNFTVEELVVLSGAHSVGDGHCSSFAARLAAPPDQITPSYRNLLNYKCSRGGGADPAVVNNARDEDLATVARFMPAFVGKLRPVSALDNTYYRNNLDKVVNFNSDWQLLTQDETRGHVHEYADNAALWDHDFAASLLKLSKLPMPAGSKGEIRNKCSSINHR >ORGLA01G0104000.1 pep chromosome:AGI1.1:1:8210055:8211150:-1 gene:ORGLA01G0104000 transcript:ORGLA01G0104000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NMF0] MKLILMVAFQAMSLISISTASLQYNFYGSSCPNAEQTISNVVYGLIDADPSMAPALLRLHFHDCFVMGCDASILLDPTKANGSPEKTAIPLRGYDAVNKIKAAVEAVCPGKVSCADILAFAARDSVAKSGGFVYPVPSGRRDGNVSSAFSVFSSIPSPFFDADELVQSFAAKGLTVDDLVAPAVPDGGRLPGRELRGGAAADDGVVNNSPVSPATLGNQYFKNALAGRVLFTLDAALLAGRNDTAEKVRENAGDLTAWMARFAASMVKMGGIEVLTGARGEVRRFCNATNS >ORGLA01G0103900.1 pep chromosome:AGI1.1:1:8198428:8201114:-1 gene:ORGLA01G0103900 transcript:ORGLA01G0103900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NME9] MSQANNPSLRGFAVIDRAKRVLERRCRGTVSCADIVAFAARDACGIMGGIDFAVPSGRRDGAVSAESDVLNNLPPPFFNATQLVAGFAAKNLTADDMVVLSGAHSFGRSHCSAFSFRLYPQVAPDMDAAYAAQLRARCPPPAAPPATGRRDRVVDLDPVTKLVLDNQYYKNIQRGEVLFTSDATLVSQSDTAALVDLYARNRKLWASRFAAAMVKMGNLDVLARAVQTEVEKDMVISNWSQLDSAVSTQMTATGF >ORGLA01G0103800.1 pep chromosome:AGI1.1:1:8194140:8197051:1 gene:ORGLA01G0103800 transcript:ORGLA01G0103800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G63090) TAIR;Acc:AT3G63090] MLLPAAAAGVHRLKSLCPVRRISSLKVPWRRDAALDAAILRDRRYRLASRLVREVLLSPGRRLLLRYLSKRRQRIRLPVLVPTFLRRYPTLLSVSPPPNPVASPSPHLLSFLEFASRHHALHSPLLASRLAKLLMISSTRALPVPKIAAAKRDFGLPDDFLTSLVPRYPHLFRLVGDPGPDASGNAFLELVSWDDQLAKSVIELRADKEADVVGIRPRPNFTVKLPRGFYLKKEMREWVRDWLELPYVSPYADTSGLHPASPEAEKRLIGVLHEVLSLSVERRMAVPIIGKFCDEFRLSNAFANAFTRHPGIFYVSLKGGIKTAVLREAYDENGELVDKDPMIELKERFVAIMDEGHREYLEELRKKREELEKQRLQEAYRGAKVGTGIEDEMEEEGIDESDEDDDSEEGEALFTFVPLAVSLARAVPKTLSLIPTPGGGGVPARSGQPRAGGHGGAAGPVGGVWAGSRRWRIGSHCGEQEATAAWQGAGGQQLHAGAVARGKQASKHICISCS >ORGLA01G0103700.1 pep chromosome:AGI1.1:1:8184016:8185460:-1 gene:ORGLA01G0103700 transcript:ORGLA01G0103700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPQCELLMPLESLEMDVGQSHLAAAVAAAMPGELNFHLLHSLDAAAAAASSTAASASSQPTVDYFFGGADQQPPLPPAVQYDQLAAPHHHQTVAMLRDYYGGHYPPPAAAAAATEAYFRGGPRTAGSSSLVFGPADDESAFMVGPFESSPTPRSGGGGGGGRKRSRTTAGFHGGGPANGVEKKEKQRRLRLTEKYNALMLLIPNRTKEDRATVISDAIEYIQELGRTVEELTLLVEKKRRRREMQGDVVDAAPSSVVAGMDQAAESSEGEVMAAAAAAAMGAVAPPPRQAPIRSTYIQRRSKETFVDVRIVEDDVNIKLTKRRRDGCLAAASRALDDLRLDLVHLSGGKIGDCHIYMFNTKIHPGSPVFASAVASRLIEVVDEY >ORGLA01G0103600.1 pep chromosome:AGI1.1:1:8176802:8177992:-1 gene:ORGLA01G0103600 transcript:ORGLA01G0103600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:I1NME6] MAEVDTFLFTSESVNEGHPDKLCDQISDAVLDACLAEDPESKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRGIGFVSNDVGLDAEHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGACAWLRPDGKTQVTVDYQNDNGAMVPLRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDREILRIVTENFDFRPGMIIINLDLMRGGNGRYLKTAAYGHFGREDPDFTWEVVKPLKWEEPSA >ORGLA01G0103500.1 pep chromosome:AGI1.1:1:8170216:8175460:1 gene:ORGLA01G0103500 transcript:ORGLA01G0103500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGACSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAGVDVNSLHENHSLSNTLLLLLKQLSGLDSSGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLMLASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLLCIFGGKYLIQEATEKLLDDTKMQRGPQCLTFSCSFPSTSGRGFIEVEDHDQSSLSFPFVVAEEDVCSEIRTLEHLLNLVSFDDTLVEKNDLLASRDRALNFLHEFGWFLQRSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGTKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >ORGLA01G0103400.1 pep chromosome:AGI1.1:1:8156039:8163688:1 gene:ORGLA01G0103400 transcript:ORGLA01G0103400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSERATTTPSSSYYSLELDPLLSDLAEKKLSLRRSLAWLDAELKDAKIKLASKEQLLAQESENRKKFAESRARCMEEEVKKLHKCLQDKDEQLRTSICSTEQQYLSSYKLDILRSQISVAQATAEASAESAMLARLQCLSLSGGHEKINSLGECELRVKKVEEQLDLVQKFLEAKELSQLEKNQMTTVHELKKKVLKLECTLKVSRAQLLKLHKMGERRDKPLKKLQSRLPLKQQTACDKQKLWESSGFRIIASMSILALAMLSKR >ORGLA01G0103300.1 pep chromosome:AGI1.1:1:8154004:8155091:-1 gene:ORGLA01G0103300 transcript:ORGLA01G0103300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLILFLLYLPTAGRTVTRLALDAARWRLLVLYTDLAPWFMYAAVGAYELGSWRCLSLARLDGPGGCAADGRAGAATGAAGSAAHGEEAREGERRRPAPEKGREAASARPAPRWRAWAPLRSPRATACTWRGTGGSGTGSCRRPPRA >ORGLA01G0103200.1 pep chromosome:AGI1.1:1:8144809:8146958:-1 gene:ORGLA01G0103200 transcript:ORGLA01G0103200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEFTYQVKWEMSEDGSPATYFSFFMESSTALQMESEPIVGCDGDGCASGAGTWPLHHFRRLDGVHCRLCSSCLLLEYRSFYCCCCFLLLGPEPPAHFDDGDPILAPPVPVATCRLCNEAVAHRYCLQSDDDTFVCAACVAAAHGWRFSYTPTAPPPAALAATTTGGVVSDAPLDIRATRIMLLASRISLAVLRKAAAAARATAERLFVEAKAEKARAYRALAVALGVDAEVPSANHDADEPEPLPMLQAPPPPEDMAPESSSTATNLGALPPSENVAPPESDASSVAMALAMAPPSENLPSEGNLVAMAMGLDLNAPPPSPAADTIGVGDVAEMTMAAEASSSSPPPPPPPPPQPRRRPLQLFPDDDM >ORGLA01G0103100.1 pep chromosome:AGI1.1:1:8139580:8143758:1 gene:ORGLA01G0103100 transcript:ORGLA01G0103100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NME1] MVNGEAEAECTRASLLGRYEIGRTLGEGNFGKVKYARHLATGAHFAIKILDRNKILSLRFDDQIRREIGTLKLLKHPNVVRLHEVAASKTKIYMVLEYVNGGELFDKIAVKGKLSEHEGRRLFQQLIDGVSYCHDKGVYHRDLKPENVLVDRRGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGYLPFDDRNLVVLYQKIFKGDTQIPKWLSPSARDLLRRILEPNPMKRINIAGIKEHEWFQKDYTPVVPYDDDDDNYLDSVLPIKEQIDEAKQEKPTHINAFQLIGMASALDLSGFFEEEDASQRKIRFTSTHSPKDLFDKIENVVTEMGFQVQRGNSKLKVMKNGKGSKNLRNPSSFLVYTEVVELGPSLYVVELKKSHGDPILYRQLCERLSDELGVCKTEQIQRTESLEDDLESFDSGSSLPGF >ORGLA01G0103000.1 pep chromosome:AGI1.1:1:8112314:8112775:1 gene:ORGLA01G0103000 transcript:ORGLA01G0103000.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLFHVVGDEVGVKADGERVLGELGGDELVDELGEAEHPGQAGGVDGVPQHLEEDSPGEEERDTRGIPLGGGGDGVGDEREEERGGRLDEEAEHRVAGAAAVGAEHDEEGK >ORGLA01G0102900.1 pep chromosome:AGI1.1:1:8083926:8088005:-1 gene:ORGLA01G0102900 transcript:ORGLA01G0102900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVVRTNREFVRPSAATPPSSGELLELSIIDRVVGLRHLVRSLHIFSAAAPSGGDAKPSPARVIKEALGKALVDYYPFAGRFVDGGGGPGSARLECTGEGAWFVEAAAGCSLDDVNGLDHPLMIPEDDLLPDAAPGVHPLDLPLMMQVTEFSCGGFVVGLISVHTMADGLGAGQFINAVGDYARGLDRPRVSPVWAREAIPSPPKLPPGPPPELKMFQLRHVTADLSLDSINKAKSAYFAATGHRCSTFDVAIAKTWQARTRALRLPEPTSRVNLCFFANTRHLMAGAAAWPAPAAGGNGGNGFYGNCFYPVSVVAESGAVEAADVAGVVGMIREAKARLPADFARWAVADFREDPYELSFTYDSLFVSDWTRLGFLEADYGWGPPSHVIPFAYYPFMAVAIIGAPPVPKTGARIMTQCVEDDHLPAFKEEIKAFDK >ORGLA01G0102800.1 pep chromosome:AGI1.1:1:8074159:8074832:1 gene:ORGLA01G0102800 transcript:ORGLA01G0102800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDRAALLSAEVAPLLAASSSSSSLLVAAPGVDGDGATPGRLLIVIGGGGAGQGRGRSSLAVAVVPPEVTEPAAARSRSEGRKRERRGEEINMRRGEEEEIRMIKFEEPYGKMEVDFYRRDQLRSAYNLKRFSYRKIVSVEVIYVCNHIYVHMTYATIRLNML >ORGLA01G0102700.1 pep chromosome:AGI1.1:1:8071753:8073404:-1 gene:ORGLA01G0102700 transcript:ORGLA01G0102700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSISSDDLPQDGFRIIYRCLQLGVGQLYATRGCAGHWLHLRRPNRRATDLLPCLRWWFFKGQLSFPGFTKRREKSRQMSEIPTCQVSHLFSLCLLLPHRAKTETAAVFTVEGIGVHPGRGG >ORGLA01G0102600.1 pep chromosome:AGI1.1:1:8023102:8028661:-1 gene:ORGLA01G0102600 transcript:ORGLA01G0102600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESWRDAEANASSSASVAAADSSPGNGKGGGGGGGAAVARGERAASASASARVPFHKLFAFADKTDAALMALGTLGAVANGAALPFMTVLFGNLIDAFGGAMGIHDVVNRVSMVSLEFIYLAIASAVASFVQVTCWMITGERQAARIRNLYLKTILRQEIAFFDKYTNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVVTFLGGFIVAFAQGWLLTLVMMATIPPLVVAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEKQAVEKYNKSLKSAYKSGVREGLAAGLGMGTVMVLLFCGYSLGIWYGAKLILLKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINRKPEIDAYSTTGMKPDDIRGDIEFRDVYFSYPTRPDEQIFRGFSLSIPSGTTVALVGQSGSGKSTVISLIERFYDPQLGDVLIDGVNLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANASKFIDKMPQGLDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHQGTLVEKGPHHELLKDPEGAYSQLIKLQEANRQDKSDRKGDSGARSGKQLSINQSASRSRRSSRDNSHHSFSVPFGMPLGIDIQDGSSDNLCDGMPQDVPLSRLASLNKPEIPVLILGSIASVISGVIFPIFAILLSNVIKAFYEPPHLLRKDSQFWSSMFLVFGAVYFLSLPVSSYLFSIAGCRLIKRIRLMTFEKVVNMEIEWFDHPENSSGAIGARLSADAAKVRGLVGDALQLVVQNTTTLIAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVVSFSAEEKVMDLYKKKCEGPLRTGIRTGIISGIGFGVSFFLLFGVYAASFYAGARLVEENKTTFPKVFRVFLALAMAAIGVSQSSTLTSDSSKAKSAVSSIFAIVDRKSRIDPSEDAGVTVETLHGNIEFQHVSFRYPTRPDVEIFRDLCLTIHSGKTVALVGESGSGKSTAISLLQRFYDPDVGHILLDGVDIQKFQLKWLRQQMGLVSQEPALFNDTVRANIAYGKEGEATESEIIEAAKLANAHKFISSSHQGYGTTVGERGAQLSGGQKQRIAIARAIVKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIQNADLIAVVKNGVIIEKGKHDTLMNIKDGAYASLVALHSAASS >ORGLA01G0102500.1 pep chromosome:AGI1.1:1:8016457:8020477:1 gene:ORGLA01G0102500 transcript:ORGLA01G0102500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQSGGDAAANGVDADVDGAASPPSAKRPRAGAGAAAITDAEVRAEFAHHDRAVARLNNGTFGCCPASVLAARARWQRLFLSQPDAFYFHHLQPGLARSRAAVAAAVGAGDASEVSLVDNVTTAAAIIMQHVAWSFAEGDFARGDVVLMFLYTYCSIKNSIHAYVARAGATVVEVPLPFPVSSPDAIIAEFRAALAVARDGGRRRVRLAVIDHITAMPTVLIPVKELVAICREEGVDKVFVDAAHAVGQVPVDVRDIGADFYASNLHKWFFCPSAVAFIHTRKDDPVSSKLHHPVVSSEYGNGLPMESAWIGVRDYSAQLVVPDVVDFVNRFDGGVEGIRRRNHDKVVEMGTMLAAAWGTFLGTPPEMCGSMLMVGLPGSLGVGSEDDAVGLRTMLRKQFKVEVPLYYNSKAAAADAPPEMVKDGNGDPVTGYVRISHQVYNVREEYEALRDAVAKLVADGFTCRKLRPPEKEETLA >ORGLA01G0102400.1 pep chromosome:AGI1.1:1:8008490:8011962:-1 gene:ORGLA01G0102400 transcript:ORGLA01G0102400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPDDDAAAAAAAGAAENGYGNGNGNGNGPAPRPPPAKRPRSVISAAQIRAEFEHHEAGVARVNNGSFGCCPSSLLDAQARWQRLFIAQPDDFYFHALQPGLRRSRAAVAGLVNAGDVAEVSLVDNATTAAAIVLQHAAWSFAEGRFSRGDAVLMLHYAYGAVKKSIHAYVARAGATVVEVPLPFPVASADAIIAEFRAALDVAKAGGRKVRLAVIDHITSMPSVVIPVKELVAICREEGVDKVFIDAAHSIGQVPVDVRDIGADFYTSNLHKWFFCPPAVAFLHTRKDDPIASQLHHPVVSHEYGNGLPMESGWIGTRDYSAQLVVPESIDFVNRFEGGIEGIRSRNHEKVIEMGKMLAEAWGTFLGTPPELCGSMVMVGLPGCLGVESDDDAMRMRTMLRKDFMVEVPIYYNSRRVEAQEMAKDKNGDAVTGYVRISHQVYNVTEDYEKLRDAVNKLVADGFTSSKLRPSQKQETMA >ORGLA01G0102300.1 pep chromosome:AGI1.1:1:8003783:8006358:-1 gene:ORGLA01G0102300 transcript:ORGLA01G0102300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNHLCLVTCLWILSCAVLLHASPDGLLRISLNKKRLDKKTLDGAKLAREESHRLRADGLGDDIVPLDNYLDTQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSVKCYFSIACYLHHRYKSKGSSSYKKNGESCSISYGSGSIAGFFSEDSVLVGDLAVKNQMFIETTREPSLTFIIGKFDGILGLGFPEISVGGAPPIWQGMKEQQLIEKDVFSFWLNRDPDAPTGGELIFGGVDPNHYKGSHTYVPVTRKGYWQFEMGDLLIDDYSTGFCSGGCAAIADSGTSLLGGPTTIVAQINHAIGAEGIVSMECKQVVRDYGDMILEMLIAQASPMKLCSQIGLCAFDGTRSVRNNIESVVDKEKVGSDLSCTACEMAVVWIQNQLRHNQTRELILQYADQLCERLPSPNGESAVDCDEISNMPNLSFTIANKTFTLTPEQYVVKLEQQGQTVCISGFMAFDVPPPRGPLWILGDVFMGAYHTVFDFGKNRIGFAESA >ORGLA01G0102200.1 pep chromosome:AGI1.1:1:7995044:8002347:-1 gene:ORGLA01G0102200 transcript:ORGLA01G0102200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAEVRVVAAIRVPLLRRSPPHLEQIPLSLFDVWFLPQPPIQRLFLYDDDGGGGGADDYFPSLVESLRSSLADAVAVFFPLAGKLTYVPSTGDVVVDCSPSAVGDGVAFLEAESDGDVRALSAAERHDVPAFLRLVPSLEAPELPAPVLAVQVTRFVGGGGRGGVAVGVAVHHAVADGLSFWRFMDAWSAAARGRPSPPAPVFDRSAIVHPMAADVARRILRKVTPELPLVISIFVSPHRELVEANVATPRHDHSPSAVADGVAFLEVEVDGGTPARTPTERLDVPAFLRLVPSLEVPELPAPVLAVQATRFVGGGGGGGVAVGVAVHHAVADGQSFWRFMDAWSAAARGRPSPPAPAFDRSAIVHPMAADMARRILRKKAPELPLIPTANLLRRTWERHVVTTLELDSHRIGHIKNRIAELDEATTASPGTERRPRRLPSTFVAVAALVWSSVVRARASRQPDDGARAHLVFPADCRRRLDPPVDAAYFGNCVRCCVAGAAAGDLADAHRGVLHAREAIREAIDGFLEHPMVEAFDAWIDAVAALVRQPGFVAVTASPRFQVYEVDLGWGAPSRVEFASESLPNGMVAMTAGRKEASVQVMATLRPEHMEAFRSQLLYW >ORGLA01G0102100.1 pep chromosome:AGI1.1:1:7976565:7984992:1 gene:ORGLA01G0102100 transcript:ORGLA01G0102100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPPPPKHHHHRRRRGGGGEDGGEEEEEEAGRLSLRGGGFWRRHDGEEEEEKGGGRRGEIKEVDFFLGANGRDVVVASRRHDDGFRGTTHGGCGGGDVNIGLDLLTTTTAGAAAGGAAAGAGEEDTGKNHRKEATTAAVDVELRRVVEENRRLRGMLDELTRSYSALYHQYLQVTQQQNHRHPDHHLIMNNNNNRPSLAQTHRTAATTTATTQQFLEPRASSTAQAAADADMAASDDEAGRDGDASSPSLSNAAAGGGGGNKMRRVGGQDETAAAAPARENGEQQAAAAAELPCRKPRVSISDGCQWRKYGQKMAKGNPCPRAYYRCTMAIGCPVRKQVQRCAEDKTVLITTYEGNHNHQLPPAATTMANTTSAAAAMLLSGPAASRDGAAAALLGHHHHHHPAAMFHQSFPYASTMATLSASAPFPTITLDLTQTPAGGAGAASLLHALHRPPVIHPGAAAQAMPFAVPPQLAMYLPQQRAAAAGLGGAGAARQPSVMETVTAALAADPNFTTALAAAISSVVAGGAHHQALSTTPRGSAAGAGDGNGNGSSAAAVATGAASPAATAGAPAASGSPPRLATQSCTTSN >ORGLA01G0102000.1 pep chromosome:AGI1.1:1:7938461:7939723:-1 gene:ORGLA01G0102000 transcript:ORGLA01G0102000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKKRSVFAFLFGFKSNGDGDGGRRDEAAAREQQGYYGHQQHPWGRTTTKTKVRPSDYDDDNYYGRQWYAERDIDRRASEFINRVHRGMLAGAGGEILMGSGRPEDFSTANNSSGQYSKPAYGTYSLRLKKSKP >ORGLA01G0101900.1 pep chromosome:AGI1.1:1:7928684:7928983:-1 gene:ORGLA01G0101900 transcript:ORGLA01G0101900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGKKKSVLASLFGFKSGGERRRQQQEEMATAAAAGRKQQQLQQQRSYYWPERRRRVWPSDEDNDSYYAERDIDRRASEFIDRVHRGMLIAGGEQDG >ORGLA01G0101800.1 pep chromosome:AGI1.1:1:7921722:7922724:-1 gene:ORGLA01G0101800 transcript:ORGLA01G0101800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRFGLSLKPVSVARVKMGLPPHVVRSRDGCGSLFVCPGQYHPAKKHTTDDSNLGDEKDTFTTKKHTTDKSKLGDGKDTITKILDIGEGNNDGGGGGGSCGLRQTVTGVMGTGIYHSKI >ORGLA01G0101700.1 pep chromosome:AGI1.1:1:7912210:7913130:1 gene:ORGLA01G0101700 transcript:ORGLA01G0101700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIVLDRIANDATRRATFKKRRRGLVKKASELSTLCDVDACLVVYGEGDAEPEVWPSTEVAMNVLRQFRALPEMEQCKKMMNQEDFLRLRIGKLKEQLRKMDRDNHERETLILLHDALQGRLGTYESLSVEQLTSVDCLASARLKVITDRLVEIRAPNEDGQVLVPPPPPPPPALPAPPPPPAPILPLAPPPTHVTPAMPLSSMPPPAFHGMNHHHHQNHFINHGGNDQNAWLMNVARNGGDLGALVYSAFASSSSSNTGGAGTSAAGAAAPGPDMMDLANPDMPGFGWPWDDDSAGPSFPPM >ORGLA01G0101600.1 pep chromosome:AGI1.1:1:7897866:7898588:1 gene:ORGLA01G0101600 transcript:ORGLA01G0101600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNRIILKKVAKDSTRRLTFKKRRRGLIKKAGELASLCGIGVCVVVYGEGEVKPEVWPSAPEARAILSRFNSAPNIDRFKRVTNQEQYLRKRIAKARERTSKADDGNRERDATIMLYEAATGKCPVADLNVQELTNLGLVIDERINHLKERIERLGGAALMAPPPSTQPAEASSSLPPLVPYANGAGMEGNKRMKVSTHQKGWFINMSTMTGDAGTSADVEGNTGVGTSARGDMMHLSN >ORGLA01G0101500.1 pep chromosome:AGI1.1:1:7887063:7888429:-1 gene:ORGLA01G0101500 transcript:ORGLA01G0101500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTPAPRATPFLLAAVLSIVVVAASGAEARWYGGGGGGGYSPSPSPVSSIVSEQLYASLFLHKDDAACPARGFYTYASFVRAAARFPRFAATGCADARKREVAAFLAQISHETTGGWATAPDGPYAWGLCYKEEINPQSSYCDATDKQWPCYPGKSYHGRGPIQISWNFNYGPAGQALGFDGLRNPEIVANCSDIAFQTALWFWMTPRDTKPSCHQVMVGEYRPGPADVAANRTAGFGLVTNIVNGGLECNRAGDARVNNRIGFYRRYCQVLGVDVGPNLDCEHQQPF >ORGLA01G0101400.1 pep chromosome:AGI1.1:1:7879243:7882357:-1 gene:ORGLA01G0101400 transcript:ORGLA01G0101400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKRRLRVEGWMGRTEMIDRRRQRLHSGERERKLCVRKRMGSSDFNRGARFGGVDDGRLGEGTKRCEEMVGAIWDVGFERNNPDRSMTNEDVNDGRSSAGISLEERKTVQRIQGKTLKEKGVNLKQTKRNPKKKRQLGGLESSVSEIKFLLYYSRNAILCVRYVLKNSSVSFQPNVPPSGEKRSFNPEFERLCPWYVLPLAHHKPNFSEEGADIEEMPLIMPKIYFFLHCRHLS >ORGLA01G0101300.1 pep chromosome:AGI1.1:1:7878397:7878720:1 gene:ORGLA01G0101300 transcript:ORGLA01G0101300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGTANCIDILIAIILPPLGVFLKFGCKVEFWLCLLLTFFGYLPGIIYAVYAITK >ORGLA01G0101200.1 pep chromosome:AGI1.1:1:7864124:7864552:1 gene:ORGLA01G0101200 transcript:ORGLA01G0101200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHHHHHHHHDDDHKVGANFCNILLSIILPPFAIGVVVGCKKEFWICLLLTCLGYLPGIIYAICIISGAHIHD >ORGLA01G0101100.1 pep chromosome:AGI1.1:1:7860745:7863170:-1 gene:ORGLA01G0101100 transcript:ORGLA01G0101100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHNGGWWQHLSICFSKADRMASEANAATVVDGASPNDDSGERLWGQERDREIQTWSDEAKASDGEAHLLPELRSSGGGKPTPTLFLKNFHLASLPPPLLYQQHKVHACAGKVVVRPGDVFVTRRPMWLACVYAKCGHMVAMTKAFDEMPHRGVPSWNALIVGLRKERKVSSRLKGCFIELRRRDRKCR >ORGLA01G0101000.1 pep chromosome:AGI1.1:1:7857075:7859178:1 gene:ORGLA01G0101000 transcript:ORGLA01G0101000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1NMC0] MEECKGGGMSPSSSMDSSTHPALSTTSSAATARRDLSTDLRLGLSLSTSSSSSLLQAAAAADDSIPSTPRNSQVHADWPPIKPFLRSTLQKASAAGGGGGGGGARRRRTLFVKVYMEGVPIGRKLDLLLLDGYDSLLIKLCHMFKTPITYADVMECHQQVPGQKAAHVLTYEDQDGDWMMVGDVPWELFLSSVKKLRIARMDKC >ORGLA01G0100900.1 pep chromosome:AGI1.1:1:7836183:7839429:1 gene:ORGLA01G0100900 transcript:ORGLA01G0100900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:UniProtKB/TrEMBL;Acc:I1NMB9] MAAAAAAMATATSATAAPPLRIRDAARRNRRRGHVRCAVASGAAEAPAAPGARVSADCVVVGGGISGLCTAQALATKHGVGDVLVTEARARPGGNITTAERAGEGYLWEEGPNSFQPSDPVLTMAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPGDLPFFDLMSIPGKLRAGLGALGVRPPPPGREESVEDFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEDTGGSIIGGTIKTIQERGKNPKPPRDPRLPTPKGQTVASFRKGLTMLPDAITSRLGSKVKLSWKLTSITKSDNKGYALVYETPEGVVSVQAKTVVMTIPSYVASDILRPLSSDAADALSIFYYPPVAAVTVSYPKEAIRKECLIDGELQGFGQLHPRSQRVETLGTIYSSSLFPNRAPAGRVLLLNYIGGSTNTGIVSKTESELVEAVDRDLRKMLINPRAVDPLVLGVRVWPQAIPQFLIGHLDHLEAAKSALGKGGYDGLFLGGNYVAGVALGRCVEGAYESASQISDYLTKYAYK >ORGLA01G0100800.1 pep chromosome:AGI1.1:1:7833216:7833881:-1 gene:ORGLA01G0100800 transcript:ORGLA01G0100800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGGRSPARLTPRPPRRARHRPQGAWQAQAFGQEVAGDGEVNGGGGGMFNVVPGLDGKPGSVSLELGSKPGCFLVAGASTKVQLSILEETGDGEVNGGGGGMGTDAELISAGRRSQGHSRRSRPTVAREERGERVEEREMGRE >ORGLA01G0100700.1 pep chromosome:AGI1.1:1:7827778:7828164:1 gene:ORGLA01G0100700 transcript:ORGLA01G0100700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAITTTTDTMMATAWCIHHRWASSMLDLPSPASRVQIRHQACGSAGAAGLGSGGSVAVGPHECGSSAAGPREALSCRRDHPHRCGKLSAVIVVKAILAEALRCFNFFPKTLTKDTAEGTTPTLGCQ >ORGLA01G0100600.1 pep chromosome:AGI1.1:1:7817501:7818196:1 gene:ORGLA01G0100600 transcript:ORGLA01G0100600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRNGVIITVVLRGGAEARSEVVHRRSHAQGAEAAPHLGERIDAAGLPLLGSTTAAAAATAGATEEAERREGRLRVLLPPVHHRLAVLPQQLGEVVAVGPAHTKHRAIYKHMHQLPRSESTGELNRRQRKIALHPHLCSPWRQGEEIKEGGVCSCTPSAETRAPAECEAVLSSAAGRATRGELSLSFAGGRERRREEETKPKSKPKVKRGTGGAALLVRVWDKRLWRGGT >ORGLA01G0100500.1 pep chromosome:AGI1.1:1:7808498:7811711:1 gene:ORGLA01G0100500 transcript:ORGLA01G0100500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT3G62080) TAIR;Acc:AT3G62080] MGGGGDERGEWEAAVREEVGVGGWWDDPDGDELRARFKAFTGQRRDWPQPKLLFWKGLLLRVARRLRLCSAPARLVLGVWFARPGGLTPLCLPQVLEEMRADGEILLKSELIDPTTGSLYQLVRRMSQMAVVSKQPIAQDDILVFKSLIEERAAEIVDQLRNSHWTSTCIVTISKFNAFFHGQEDSHVALCYLTQCGKARYIVDRRQDSVEGVKFSLMAAQVPAVSKLDHNTLHLILTEEKLQQQLDVLDRQWQISRRRALVSFKSGDKQSAYRYVRQSKLFSESRKRCTPLLERVEEVISLIASAETTKKVNEAIKVSIQAMNEHHVSVEEVNEHLKEVDDLVATQREIDAALGSVILQSMDSEENIEEEFMKLEAELQDEFPHVQEDPVSHANEELPNDEDIDSLSNNLSNIKLEAI >ORGLA01G0100400.1 pep chromosome:AGI1.1:1:7774648:7776149:-1 gene:ORGLA01G0100400 transcript:ORGLA01G0100400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIVELHAVLGNRWSQIATRLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLAEVDQSKATPTISNDRTSESSDVDPSSGVALHNLSHLLSETAQSSELLPVKVTKPRTQAPGLARLKVPPKELFLDQLTSGHENLPSCRSSGPIPNFPFQQLLCYNNDFNSMDVGNRNSLWYNQNESSSSTISTVMPPVSPSTLSTSTGLNPSPDNANSRGTGIHNSQFYWDTNNPSSSSSTGSSGNNGLGFELQSTSSLLETNIFPWSDLAPEKDSQAQLEEELKWPDLLHGTFSEMPAPMQNLSQSLYEDVVKAESQFNMEGLCAAWSQNLQPQQHLPVVPDMYDKDLQRMSLSFENI >ORGLA01G0100300.1 pep chromosome:AGI1.1:1:7757609:7760121:-1 gene:ORGLA01G0100300 transcript:ORGLA01G0100300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAAATARKLGSHLPRLRDIIDHDDEDDDFVEEEEEEEEEWEDMSKRMSRLSVEGSDGGDADDEDDGYLRGEEEEEEEEDGDEVRSDVNGEYGDGGGGGGQWHPYGDDDPRNPQAPSSASLPGTPDRGAPAPSPWMYSKEYASETEAARWPGGGAGAGGDKRRMRHRRERMMREVWLDRAWQMRKQRRQMLMQGQGLGADAVTVVVSAAAAGGESPARGVAMDMEEMRACRDLGLDLPCDWTVEIPCYALSGVDTASSGGNSPASGSWRISSPGDDPKDVKARLKVWAQAVALASASRLGS >ORGLA01G0100200.1 pep chromosome:AGI1.1:1:7750099:7752818:1 gene:ORGLA01G0100200 transcript:ORGLA01G0100200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:I1NMB2] MACRPAVCSPSALAPPRHRLLSLGCARTAAPSGGLPLRLRLRLRLRLRLRSSPAPRGHGADLVVRAAAAEGAVELQAKVTNKCFFDVEVGGEPAGRIVIGLFGEVVPKTVDNFRALCTGDKGYGYKGCSFHRIIKDFMIQGGDFENNNGTGGRSIYGECFDDENFTLKHTGPGVLSMANAGPDTNGSQFFICTVKTPWLDNRHVVFGHVLEGMDVVKNLESQETSRSDIPKQPCRIVNCGELPVDG >ORGLA01G0100100.1 pep chromosome:AGI1.1:1:7725818:7726595:-1 gene:ORGLA01G0100100 transcript:ORGLA01G0100100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQLWVAAACAVVLALAAPSLAGDPDMLQDVCVADLASPVKLNGFPCKANVTADDFFFAGLKNPGNTNNPAGSNVTAANVQSFPGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVLEGVLEVGFITTANKLFTKTVTAGEVFVFPRGLVHFQQNRGHGPAAVIAAFNSQLQGTQAIAATLFAAAPPVPSDVLAKAFRVDVPQVDAIKAKFK >ORGLA01G0100000.1 pep chromosome:AGI1.1:1:7723039:7723404:1 gene:ORGLA01G0100000 transcript:ORGLA01G0100000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRAGNGRAKACPVFQLPSFSLAELSLVDASSRRASNLLTLGSTEEDQQEADGAEDPALVFDVDDEGEMSVLLAAAAEYARRMRHVGMQVVAMTSRYPEVGFGEASFTEVRRKARCLNA >ORGLA01G0099900.1 pep chromosome:AGI1.1:1:7721198:7722301:1 gene:ORGLA01G0099900 transcript:ORGLA01G0099900.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRPIFFPHEFFRPRAPAAVARDDEAEGTKPILAPHELFHHEAPAAAAATVVRDEESDEEPIVGIVGLEMSDFQVIGELGGGLYNVVYKARLRRCPHGGVFALKTPYYDLGGREEDEAVAAVLRRVEGLEHVVRCHAMFRRNESLRVAVFEHMNGGSLDRALYRRGGRGLPEPALAEVAAACLAALRGLHSRGVVHLHLNPFHILADADGNIKICDFDDAKIIPPGPGSTVYSGIGFGSPEYMSPERCAPMAMAGARVARAADVWSLGITVLHLYQGYCPARPLPSKRTLERLSYEISHGEPPSVPDEDTRASIELRGFVSACLQKCVCTRATVAELLNHPFVAERDVAESRRVLKEVIVATMDKDDY >ORGLA01G0099800.1 pep chromosome:AGI1.1:1:7716706:7717113:1 gene:ORGLA01G0099800 transcript:ORGLA01G0099800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPFAHRPPTIRCHPASITVTIGCRPLPRRRLLSLLDQGRQPPSGVIHCHGRPPPDRAGQHPSPTPASLVGEPCTEAWGLITNQADFHGSNLLPKFSPLW >ORGLA01G0099700.1 pep chromosome:AGI1.1:1:7707973:7708973:1 gene:ORGLA01G0099700 transcript:ORGLA01G0099700.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCQIFCFFVTNWYCYAKMLAERTAMEEASKRGVNLLVVVPAVTVGEMLQPTLNASVHRVATYMMGTKSAYPNAVAAYVDVRDVARAHALVYEHPDARGRYLCIGSVLHRSEFVRLLRELFPQYPITSRCKDNSKPMVKPYKFSVQRLETLGMQFTPLKESLYRTVISLQDKGHLPAAISRRSAL >ORGLA01G0099600.1 pep chromosome:AGI1.1:1:7686728:7687465:1 gene:ORGLA01G0099600 transcript:ORGLA01G0099600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSYLQPRRGTNGRRIMEHGAGEEMVAFYEAWVGREERIVADLTDALLPARRRRDVLAPLVDAAVGHVSEYYERKARLADRDVVAALDPRWLNPLERTFLWAWGWKPALVFRFADGAVAGGSSHQQQRRALERVRAATAEAEREVDREVAAVQESLAGPRVLAALRRQHPRNGEADEAVAAVGRSLRVLLAAADALRERTVRDVVGTLAPDQAGAFLAALLRFHLGVHRAGRNWGSGNGGRMGL >ORGLA01G0099500.1 pep chromosome:AGI1.1:1:7677302:7677568:-1 gene:ORGLA01G0099500 transcript:ORGLA01G0099500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWSASTTTFAAIAIAVLLAAGHALPAMVVHCAAARGGAATTTAAGAVRRLLVQVVVSPPTGDSYLGRVNTNPGPSPGPGHSGAARG >ORGLA01G0099400.1 pep chromosome:AGI1.1:1:7674716:7674964:-1 gene:ORGLA01G0099400 transcript:ORGLA01G0099400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTTTDPSTSTPPPPGAFRRCSGRVRGAGISCAGCFGDAESKRRRRVAGYKAYAVEGKVKASLRRGIRWFKRKCSAIFRA >ORGLA01G0099300.1 pep chromosome:AGI1.1:1:7672232:7673024:-1 gene:ORGLA01G0099300 transcript:ORGLA01G0099300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRESGGAMAARRFSFSWADEVEREEAAEQQQEEDDDEENQPPPPPRRCGETGEQAKANPFGAARPREVVLAEKGVDWRARDRELDDASRRGSAIRSRSRVHGSKRHARDAPVAARRHEDSTPASRRRMISLPPVSYGSAWGGKRKCAGQDEPSRQDRPVAEHCRRVFGQLNIGEGGEFSRRSSTESRGSVCTDRTEASNAAAAETETSVGQRRMSRRRSRKNVRKMESTKSKKQQTLQL >ORGLA01G0099200.1 pep chromosome:AGI1.1:1:7657981:7663995:1 gene:ORGLA01G0099200 transcript:ORGLA01G0099200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLRRGRWTKEEDEKLARYIRENGEGAWRSMPKNAGLLRCGKSCRLRWINYLRADLKRGNISPQEEDIILNLHATLGNRRMEMHTEMLSATGFNLAQRKFPMLLTDDTDGGLSCLWSLIAGHLPGRTDNEIKNYWNSHLSRKGYEFLRGGGGGAVVDVDLSKLPGGGKRRGGRTGRSSSGKEKTRTKEKDKAPAPEAAHNDDGGGGGGGGIDNVSAASHSHREEQAQASASGLTSDGLEEGPLGLSEEMVSGPVGPVSPKPEVGQDGSSAESGCCGPSGPSGPTEAVGQDMGDKSMDWDLVGLDDGFANDDMWGSLSWDYGELVGPDGVHQGEVLSDLFFLGNL >ORGLA01G0099100.1 pep chromosome:AGI1.1:1:7625119:7628008:1 gene:ORGLA01G0099100 transcript:ORGLA01G0099100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGEDNRAATQREEGSSQNKYGGISPKKPLINKDHERAYFDSADWALGKVIQKTKKCTCFSGSKKKIIGFYVATKLQPFTTIFKIQCYLFLQQGASNSTKGTTEPLKPKLQRTAYPQLPPRRPACTSGATE >ORGLA01G0099000.1 pep chromosome:AGI1.1:1:7606173:7606667:1 gene:ORGLA01G0099000 transcript:ORGLA01G0099000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLALRCVSGGGAARRPLVIGPDGRPVCVEEAATGAAELMIEAPGHVVARAADAAKERRVRAMAADEPLRAGEVYLLVPAIRAGARLGDREVEAIGRLIVSGGGRKKGRSKQPGGKRIFPEVVVDMSAAEEDEMGTQVQAQAQAHCHRSTQWRPALDTIYEA >ORGLA01G0098900.1 pep chromosome:AGI1.1:1:7595698:7600371:1 gene:ORGLA01G0098900 transcript:ORGLA01G0098900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVASLGLRSSGSYGSLQQSNGQSPAPAPSPPLAARKAGKMSFGGAGAGGRGLLFARICKLTSRRRRMLLLLLVAAAVLFCFLFSSLVSKDEDAPPGIETMLGISDQVRSFVNPVWTSSGRPITQGDSLNGNGLNTASQTEKQSDSDSSHKKLQGLSWSFPPSIVLEHHPCENFSFSPPPIDRKRTGPRPCPVCYVPVEQALALMPGAPSASPVLQSLNYLSGDNLISKESNHGSLFGGYPSLEERDKSYDIKDSMTVHCGFARGKIPGVNTGFDIDRADLSEMWQCQGIVVASAIFGNYDIMQQPKNISVFSKDTVCFFMFLDEETEAAIKNTTTIDNSKRIGLWRVVVVRNLPYSDARRNGKVPKLLLHRLFPNVRYSIWIDGKLKLVRDPYQLLERFLWRKNVSFAISRHYRRFDVFEEAEANKVGGKYDNASIDYQIEFYKREGLTHYSSAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIRKRVNWTADMFLDCERRDFVVQAYHRELWEQILRSPPPPQPRLVRQQPRKMLPDNTAKEPGKASGSKRVSAKRTRDKKSGSKRAHRSKVTGGKEFIQL >ORGLA01G0098800.1 pep chromosome:AGI1.1:1:7576401:7588395:-1 gene:ORGLA01G0098800 transcript:ORGLA01G0098800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPQQRYRTVESHDRAVVQMAPMEFGSSADASASAGPRYIKPGTNLRTDARMHMASSNGRSFNGSQGDSKLELFGFDSLVNILGLKRMVGEQAQASASTRDGENAGIAIGYPKVVFRLTLQVQFLILIIILFMHSLFTSHIVMHCMTSIPVXKLSVLAIKHDNNVKEISXQVFNSNFXYSSSQTMEANGGSDRSFQSVDKIEKWSYAINLSKLFCSIICSXNCLKSSCHGXIXXXQFXVEGFITSHSMLYTPTISKYKKFCFCPYSYSXYXDVSHPTKNPCILEWREYLLKRLSVRNPHXTLFFRDNVXLMTITXIHGCFFHPDLCKHHQFSEDFYTSIHCKENIWXIVGXNEHKLXLKIKPNGTESHITNNVTQXYVXSHFEKASNIIKQQTSFSACSLXFFRLXISIKYSXQERSRKNNVPLSNLVLSSDIFFLVFLQTPLLFIACLHNRLLLLLLQFSARVRREQKXRTYQFNQEEIRSVRXLELASALSLIFGLISKVPQQNNQYKIKSXCAVQISIMYXALYXTIQKTVLSNISYGILHDIFYFISSKCHNHRVNIKEMLGNDIXNRFXXPPNVTIVLTDNSNNICVIIYTSHARARTKRYXMCQCAHRLQXKIRXAHDAMXSNLIVTLIKQAVNYCHRXXYDNLXLLRTINKMTIHCFVIVIMHCYVLNXRFIRRXSIXTHFLFSFLKTKFSNINFTHRNFETKRKKDVSCIYSSNQKAIIHYHTTXVVVVETXGIAHXYQSLKFDINLIKXXDQSCIXRCKRHFKPFLXVDQNLLHDKENPIYSCHIXNISXHHDKLLFFFPLMSPLXFFPIHSLVXLHNXTNDXLYPFFFWMMEXVISILXISTFNIPSXTIXVRESRIXGMEATKKSXLAEAYLRWRHGWVVSTARRKVSSADMVGLSIAAQKERLMLRIPLSASAPCPWRPSFSCXLWSLXMATLWPPGMCMVAAYLSRCLKRMELSRRRRCVLEEESVAGHSLRHYSDLSDRGQWLNVGGEEPVVSYYFSPATGTGTRRRWRLEASAVGLGREETETKLDTMMGVFVPCLQNILGIIYYIRFTWIVGMGGVWQSLVLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAELFQESVTVVTNTFVNGTAAGNATTISTPNLHDLQVYGIIVTILLCFIVFGGVKIINKVAPAFLISVLFSILCIYIGVFIAPRPNASKWITGLSITTLKDNWSSDYQRTNNAGVPDPNGSIYWDFNALLGLYFPAVTGIMAGSNRSASLKDTQRSIPTGTLHATISTTMMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVVYAGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKAYEGSEPHVATLFTSFICISCVIIGNLDVITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKLHHWSLSLIGALLCIVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPEDVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEDAKTACRQLSAYIDYRRCEGVAEIIVAPSTSIGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQADVIVVTVKSWEADPDRSGGSKKDDPEVYRSAQSRIRTYISQLNEAAERERRPLMEGGKQVVVDEQKVEKFLYTMLKLNATILRHSRMAVVVLVSLPPPPLNHLAYCYMEYMDLLVENIPRILIVRGYRRDVVTLFT >ORGLA01G0098700.1 pep chromosome:AGI1.1:1:7572221:7573313:-1 gene:ORGLA01G0098700 transcript:ORGLA01G0098700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L29 [Source:UniProtKB/TrEMBL;Acc:I1PUR6] MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNQRYSRKHNKKSGEAESEE >ORGLA01G0098600.1 pep chromosome:AGI1.1:1:7567760:7568788:1 gene:ORGLA01G0098600 transcript:ORGLA01G0098600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPDDGASAAAAAATADPAAAAAEAPGRRIVVAVDESEESTHALNWCLANVVSSSGGDTLVLLHARRPRPVYAAMDSSGYMMTSDVMASMDKYAAAVSAAAVGKAKHICAAFPHVTVETMVESGDPRDVICDATEKMAADLLVMGTHGYGLIQRAFLGSVSNHCAQNCKCPVLIVKRPK >ORGLA01G0098500.1 pep chromosome:AGI1.1:1:7553996:7556556:-1 gene:ORGLA01G0098500 transcript:ORGLA01G0098500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEVCCSCAMRQCRICHEEEEEGCTAMESPCGCSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFEPGYTAPPKKAQPAHVAVTIRESLEVPRPSYEPEDTPLIGEQEYAQCAGAAGRSATWCRSVAVTFTAVLLLRHLVAVVTVGAAHQYAFSLLTIYLLRASGILLPFYVVMRLISTVQKGQRQYQLQLLQEQRRNAARMHRLHGQEEQNQHAILVR >ORGLA01G0098400.1 pep chromosome:AGI1.1:1:7549388:7549732:1 gene:ORGLA01G0098400 transcript:ORGLA01G0098400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLEEHSMLLVAIYNRFVELYLTCGDIASTRALLNMFPTKRHVVSCIAIVTGHVRPELLDEAIMMFFGRSGHRFLHDRGDGNARQFMDEHMLLFFTTVDDCKVPINILGMRAD >ORGLA01G0098300.1 pep chromosome:AGI1.1:1:7543848:7545428:-1 gene:ORGLA01G0098300 transcript:ORGLA01G0098300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRLATRPSPRRKTPWGAAPSPAPRGELATTGDTSPCAPTHVALLPRPLYTNPRQPPPSRLHRSPPHPPPPPLRRTHVAASLVERVRRRMSSSRLDTRTLKEEVASMDKRWLVDLGHPLVNRVADSFIRAAGVGAARAVSREAYFVTVEGLGGDTAGLDNAVKRSNFSRGDDGQKSLDAVVKSAGKEAFQWGLAAGVYSGLTYGLREARGCHDWKNSAVAGAMAGVAVALTGDTGNADHMVHFAITGAALSSAASLLSGIY >ORGLA01G0098200.1 pep chromosome:AGI1.1:1:7534261:7542537:1 gene:ORGLA01G0098200 transcript:ORGLA01G0098200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEEQMVVKAIREECPWESLPKRLQSTLHTKEEWHRRIVDYCIRKRLQWNTCFARRVCREGEYYEEMMKYLRRNLALYPYHLADYICRVSRISPFRYYCDILFEAMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKELLPTQPVDFPIDPWWGVCLVNFTIEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGLYKRGLVYFDVPVYPDDRFKVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLLADLYQLQAAASFACRLGWAVKLMDADSVLEDAPTFPSNILSDDEEGSNASINSEKSGQQLLSVDAGPRKISGTAHVALVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRCFLECLQSGGVSTNVNIDKAGEAKLTTSSLQDNVTAHLTKINIEDTDEMPQQKHSDLNSSDGKMLTSSATLLESGEGMEGNDVEGSGTTELDGSTDINVVKTKRKYRVDILRCESLASLPPATLERLFLRDYDIIVSMVPLPSSSVLPGSSGPIHFGPPSYSSMTPWMKLVLYTAGDCGPLSAVFMKGQRIRLLPKPLAGCEKALIWSWDSSVVGGLGGKFEGNLVKGNLLLHCLNSMLKQSAVMVQPLSVNDLNASGNLVTVDIPLPLKSDDQSIASVIAQTNLPQEQVLNLASVLKDLSSKFELSTLGYLRLLRLHRLTESDESHLENGSYQWVPLSLEFGIPLFSPKLCERICERVVESCILQKEDLAEHYDVMQTVRRRLRELCSEYQATGPVAKLFNKRGSSKDLPRVLINTISGRWNPVNDPSAPSEHERLKLAGRQRCRTEVVGFDGTFIRSYALPPEHDEAGTKSLSEEQSSAHDGKPDTEDADSKDVVLPGVNLIFDGAELHPFDISACLQARQPLWLIAEASAASSTMI >ORGLA01G0098100.1 pep chromosome:AGI1.1:1:7530784:7531791:1 gene:ORGLA01G0098100 transcript:ORGLA01G0098100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCYISLSSICRSTKQYTMATRANGSSCSLANLMAAIFFLLVVSSRGAHGGRIAIYWGQNGNEGTLADTCATGNYAFVNLAFLCSFGSGQAPQLNLAGHCDAYSGACANLTADIARCQSMGVKVLLSIGGGAGGYSLASKQDASHLARYLWESFLGGRPSAPGGRRPLGDAVLDGVDFDIEGGGGDPRYYGDLAAYLKAYSGMGAAGKEVLLSAAPQCPFPDQWVGKALDTGLFDYVWVQFYNNPPCQYAAGSGGGAANLLDAWRQWTSGVEARYIFLGLPASPGAAGSGFIPVGSLESQVLPALKASSKYGGVMLWSRYYDDQDGYSSAIKNAV >ORGLA01G0098000.1 pep chromosome:AGI1.1:1:7525840:7526214:-1 gene:ORGLA01G0098000 transcript:ORGLA01G0098000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLTNVGLSTPAAAASSFVRPVAGAGRVVFPRVGRSGFAAVRASGPATPPDISDKMSESIDKAKEACAEDTASGECAAAWDEVEELSAAASHARDKLKETSDPLEAYCKDNPETDECRTYDN >ORGLA01G0097900.1 pep chromosome:AGI1.1:1:7520807:7521308:-1 gene:ORGLA01G0097900 transcript:ORGLA01G0097900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIERDADRVEVEHREVGEGRGDPPEKSGKEVDPVKHEARRSSGKQRGRRCLTSRSTGYSSFSSWVSGVNSTSSECPTSWNRMASCHHRKSTDMRMALSSGRISRAFPPGSSRSVACRR >ORGLA01G0097800.1 pep chromosome:AGI1.1:1:7488445:7495280:1 gene:ORGLA01G0097800 transcript:ORGLA01G0097800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRVGGAASEASGVGVVVGGGGGSSSSDLTELMKAQIAGHPRYPTLLSAYIECRKVGAPPEVASLLEEIGRERRAGGGGGGAGQIGVDPELDEFMEAYCRVLVRYKEELSRPFDEAASFLSSIQTQLSNLCSGATSPPATTATHSDEMVGSSDEDQCSGETDMLDIGQEQSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALLEWWNTHYRWPYPTEEDKLRLAARTGLDPKQINNWFINQRKRHWKPSDGMRFALMEGVAGGSSGTTLYFDTGTIGP >ORGLA01G0097700.1 pep chromosome:AGI1.1:1:7476590:7477057:1 gene:ORGLA01G0097700 transcript:ORGLA01G0097700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHQSQIKSFATAKRRSCLVLAAGAAAPAGWCGSPHSSSSSPSVEVDATATGAASVVVEVEDGTRRSAGAGASVVVSTAAAAASELHRSWSATVSGVLPTNLLMTSVERCILAVLGVCGCVLTLAAWASADLLLAGKEEDADGCGRERRRQCWM >ORGLA01G0097600.1 pep chromosome:AGI1.1:1:7452055:7455408:-1 gene:ORGLA01G0097600 transcript:ORGLA01G0097600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTNLPSHGAQAAEAEALAAAADLEQGLTRHLMEYHQSEASSDESARQRPRVGRVPPHVRNLDGGAEAYTPKFVSIGPIHHADATLRRHSHDLKVAYLHALIARRTPDPIDEVAVLAALIGYKAGVAAVEDRARRFYKEPVDEHLTAEAFVDLLVLDAAFLLEHMLNLATGYEDPLLHRTHWAPSQLHSDLIRFENQVPFFVVAELLALSPLHRDPELEACRSGRRDFLRSIGVHCLLRKDDEELKTLPPSDDIHHLLHLYSLSLTEARLRRPHAHAGLGATAWRALWKLPIVTLMPFAYLLCSGGADDGKGEEEDEAAAVKLPNIPSATDLQRVGIKFKRAPRKPDGGFLDVRLEDGDTLVIPMVNIEQFTAPQLQNLIALEQATPELPDDCSCYAFFMDNLVANPADVALLESEGILKSNLGSHKAVVTYFNKLCKGNKLEVEGNYLRSVFEALMERNRNPMYAWIRTLRKKYFSSPWGIIAMVVTLFVFASTVLQTYISIVQYYFANNGDY >ORGLA01G0097500.1 pep chromosome:AGI1.1:1:7446620:7446961:-1 gene:ORGLA01G0097500 transcript:ORGLA01G0097500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWVRTITSPFRKVFGAQPHKDGGKRPQQPNSGMMVQHVVVDAERSKLHGEVMACAYEDVQVMWDMLDKARIREFNSS >ORGLA01G0097400.1 pep chromosome:AGI1.1:1:7440178:7446034:1 gene:ORGLA01G0097400 transcript:ORGLA01G0097400.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLKSWFVSWLPRGFRGNRGGAVTTPKTAHAEEEPGRGKRRRVVARETRLQQEEEGASSEPETRPRPPPATPATAEEPAATAAGAEARAQLAINIRRMLAVEAERRGRIAERIGVQAEGPILTLEDTFCDIDDGSAKSQVARKVALGVSQSIVEKRIRVCSGFVIRWNDSTSIGTILTSAALVRPPCGDDVRVEVFLPSGDISICQISMVDFHHNIALVEVTSNFKLQEAVILKYIIDKGDVLALGRSYEGGLLMCSRGEISNRASIFECSELLVSSCEITMAGTGGPLVNYNGHVVGINFFEENQTPFLSMAIVFKCLEHHQIFGRIIRPWIGFWFTSIQMVPLSHLEHIYRKFSDVDNGLYISNVAEGSPADIAGICQGDILMKCGGKFLSTAPEFGAILMDKCKETMEEYDQETNGDFSAKRITVEIVIKRENDGSTIEKTISAGLIEEFNYNR >ORGLA01G0097300.1 pep chromosome:AGI1.1:1:7418408:7433426:-1 gene:ORGLA01G0097300 transcript:ORGLA01G0097300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESLAQLELLCEKLYNSRDSAERAHAESTLKCFSENSDYISQCQYILDNASTPYALMLASSSLLNQVNNRSLSFQLRLDISNYVINYLAMRGPKLQTFVIKSLIQLVCRITKFGWFDDDKFRDIVKEAADFLSLASQDHYFIGLKILYHLVGEMNQCMQANAMPLTLHRKIACSFKDQFLLQIFQISLTSLHQLKSEVPDDFRRDPLSLALRCLSFDFVGCPMDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKSDTPSMLDETVPKITEGFITSRINSVQASFANDSSDDTLDNVDVLQEQLESLPYLCRFQLQYQNSSIYIINIMEPLLQAYTERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQRYRVLSKQRLGRAILIFVQNFRRSYVGDQAMHSSKQLYARLSELLGLNDHLVLLNAIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESTKFIIANHSRDSFPFLEEHRCARSRTTFYYILGCLVFMEDTPVKFRSFMEPLLQVAVNLEATADAAFWTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSRMPLLLKAISLCADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGSKYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAFYGYIEVLFSSHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNITAADGPPSPAALNLARHIGEFPTLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSNLRAQILASQPVDQQQRLSQCFEKLMSDVARNLEPKNRDKFTKNLTTFRHDFRVKNIQA >ORGLA01G0097200.1 pep chromosome:AGI1.1:1:7389048:7390551:1 gene:ORGLA01G0097200 transcript:ORGLA01G0097200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHYQTLGLRQDATKAEVKAAFRRRALRDHPDRHAHSPDAAARADAARRFRLASDAYRVLSDDRLRAEYDLRIRSSSFYGRASSSASASASASASSSSASYDYGYGYGHRRGGGTWRRPPPGGGGAASAGFDWDLLLKSVTRRGFLINLGFASVLLTGAAFLDGSILEIWKMNNSGLIQLTLYTFELSDVLIKHPLAIELSGYFAHCCP >ORGLA01G0097100.1 pep chromosome:AGI1.1:1:7376571:7377827:1 gene:ORGLA01G0097100 transcript:ORGLA01G0097100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGDGDYYRTLGIERGASKAEVKAAFYRLAPLHHPDRHAASDAAARAAAGGRFRRVYDAYTVLHSDATRAAYDHLPRTATSPPTSRGSGGAAASGSSYGRCFSRPQPPSMKLPVILFFSLVTGSALLAALSRGKNKAANEGATMAQGN >ORGLA01G0097000.1 pep chromosome:AGI1.1:1:7372014:7375426:1 gene:ORGLA01G0097000 transcript:ORGLA01G0097000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGGGGGFGLGGLQWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVARAQRWPLSRTLLVTAFGGVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIVLGGSYILLFALGKGGHGHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGASQIKFHWVERYDKILVGSVLCLVGVLTYVFHHHDGDEHSLHEHVHRKLVGL >ORGLA01G0096900.1 pep chromosome:AGI1.1:1:7359878:7365985:-1 gene:ORGLA01G0096900 transcript:ORGLA01G0096900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:I1NM79] MASERPLLDASPRPTQQPPASSLLPPPQPEPPLRADRLAFSLEVPDPFRREPDPSSAASQRGEEEGGGEEESRAVVVGEPSSSAAAAGFAGNGVRTAKYSVLTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDLRRHRSDRQENNRLARVLLAPPAAGEFAPKKWKHIRVGDVVRVASSETLPADMVLLATSDPSGVAHVQTVNLDGETNLKTRYAKQETQLRFSQDGGIGGVLHCERPNRNIYGFQANLEIDGKRVSLGPSNIVLRGCELKNTTWAIGVVVYAGKETKVMLNSSGAPSKRSRLETQLNRETVILSIMLIGMCTTASVLAGIWILNHRGDLEFTQFFREKDYTTGKNYNYYGMGMQIFITFLMAVIVYQVIIPISLYISMELVRLGQAYFMGADRDLYDESSRSKFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIRGVDYCSGKDSCGYSVVVDDLLWTPKMAVKTDHRLLKLLRGGGTDEETKLVLEFFLALAACNTIVPLVLDTRDSKQKLIDYQGESPDEQALVYAAASYGIVLVERTSGYVVIDVLGDRQRFDILGLHEFDSDRKRMSVIVGCPDKTVKLYVKGADSSLFGITKNSLDLDIVRATEAHLHKYSSFGLRTLVIGMRELSQPEFEEWQLAYENASTSVLGRGNLLRSVAANIENNIRILGATGIEDKLQDGVPEAIESLRQADIKVWILTGDKQETAISIGYSCKLLTNDMTQIVINNNSKESCKRSLEEAHATVKKLRIASTGTQSPELASESAGVTLALIVDGNSLVYILETELQEELFKVARECSVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMSYMILYNFYKNATFVLVLFWYVLYTAFTLTTAITEWSSLLYTVLYTSLPTIVVGILDKDLSKETLLAYPKLYGSGQRDEKYNVNLFVLNMLEALWQSLVVFYMPYFAYRQSTIDMSSLGDLWALAPVIVVNMLLAMDIFRWNWIVHAFVWGTIAATTICLFVIDSIWFLPGYGAIFHIMGTGLFWLLLLIIVVAAMVPHFVIKAFTEYFTPSDIQVAREREKFENVNQVNRSEVPMTRLHDPRR >ORGLA01G0096800.1 pep chromosome:AGI1.1:1:7355315:7358901:1 gene:ORGLA01G0096800 transcript:ORGLA01G0096800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRQGDNPAIKLFGRTIPLLLDPAAAAAADEDEVMPNLGNGVKTNNDLPLVSDKLLIVKGIPFCPNNSKKNDLQGISRPDGRIEIDSMTEDVKTEPDGSVPEKILKKPDKILPCPRCNSMETKFCYFNNYNVHQPRHFCRNCQRYWTAGGAMRNVPVGAGRRRNKHVLKYRQAMMTCNNTVAPGDVSDVVHHQVITHGSSLLPATLKENETPTEFISEVPPCKSSASILDIGEPNDTDLVPLASGDNKEEKSCASSVVVSSCSENLMPDNAIMKEPNNRSGCCNGVALPFPTGPALVLPWSLGWNSVALMPATQCSTQPVLGLKDGIPCPPSWPPQLMVPAPGICTPVVPIPLVPPLWSCFPGWPNGMWNAQCPGGNTTVLPSTAPNKISCSGSSSLVLGKHSREESLQEEEKTRNYLWVPKTLRIDDPAEAAKSSIWATLGIKPDDKGIFKSFQPNVAKNGTAPESPQALQANPAAFSRSQSFQETT >ORGLA01G0096700.1 pep chromosome:AGI1.1:1:7348559:7348921:1 gene:ORGLA01G0096700 transcript:ORGLA01G0096700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKHAAAASPSSSPAGPPPPCRHNIAASRYAVAEVLPHGGARAAAASPSSSPAGRPPPRRHSSTLSAEALLHALAWRPTVELGRKRMQRRRSRSSRAGSDEPAAAVVLSHTLSATPGKS >ORGLA01G0096600.1 pep chromosome:AGI1.1:1:7332498:7332941:-1 gene:ORGLA01G0096600 transcript:ORGLA01G0096600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAAKARCSVPFILAALAALSCVFLVHGFLLLAAAAVTTTTTSVVGYRRALLPREAAAVVMPTTSTAEDAAVVGMAGVEGELPVVADEAAAAAARRMDMQTTQDYPSSGANSRHDPRNPH >ORGLA01G0096500.1 pep chromosome:AGI1.1:1:7323803:7330222:-1 gene:ORGLA01G0096500 transcript:ORGLA01G0096500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calmodulin-binding protein [Source:Projected from Arabidopsis thaliana (AT5G56360) TAIR;Acc:AT5G56360] MGLQALLLLLLLRISASAAASRPPLDTLGIPPQDEAYFRGGVIRCRDGSGRFARDKLNDDFCDCPDGTDEPGTSACPEGKFYCQNAGHSPITIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKAARDKLKKKVATYKSGVVIRNQEIQKAKVAFAKDEAELAKLKGEEKILQGLVDKLTEQKKLIEKAEEEERLRKEKEEKRMKEEAEKQAADEKKASDASQEVDSQENHETVQEDESKVAEHHDGHAMSHDNHTPESESSVEQHDPESQDDISIKAAPADESPPEETSAAPTKEQESTPADSEGLSREELGRLVASRWTGEKVDEVSKDDKNEHEAEHDMPEHSEETHEDESDVPESAEDSYAGYHSEVEDDRHKYDDEDFSHESDDEYVDDHDDHVASYKSDDDQKGDDHSDFTASGQASWLDKIQQTVQNVLRTFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSRISTLTDKLKHDFGKEKEFYYFYDQCFESKEGKYVYKVCPFKKASQVEGHSTTSLGRWDKFEESYRVMQFSNGDRCWNGPDRSLKVRLRCGLNNELNGVDEPSRCEYVAVLSTPALCDEQKLKELEQKLEASSNQRDHDEL >ORGLA01G0096400.1 pep chromosome:AGI1.1:1:7315158:7320035:-1 gene:ORGLA01G0096400 transcript:ORGLA01G0096400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:I1NM74] MAVMEEQQEGAAGVMRRRPKTKIVCTLGPASRSVEMIGRLLRAGMCVARFNFSHGSHEYHQETLDNLRAAMESTGILCAVMLDTKGPEIRTGFLKDGKPVQLKKGQEITVSTDYSIKGDDNMISMSYKKLAVDLKPGSVILCADGTITLTVLHCDKEQGLVRCRCENTAMLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGKHAKSIMLMSKVENQEGVANFDDILAQSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPDLAVRTMAKICLQAESCVDHAAVFKSITASAPIPMSPLESLASSAVRTANSAKAALILVLTRGGTTARLVAKYRPSMPILSVVVPELKQTDSFDWTCSDEAPARHSLIVRGVIPMLSAATAKAFDNEATEEALGFAISNAKAMGLCNSGESVVALHRIGTASVIKLLTAN >ORGLA01G0096300.1 pep chromosome:AGI1.1:1:7311490:7312479:1 gene:ORGLA01G0096300 transcript:ORGLA01G0096300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAAGGLYYCHMCASTVSAVAAAEGEVEIKCPYCHSGFVEEIESARGAATGGGGAISSVWAPIIDGMVGGGGGDAVRRHRRSRRLADAAGADDGYYRDLALLDFSESRRRTAALLLLMQEFRERQLQRLESATATISAAAAEAGAVVGTSRDAEGVALADYFLGPGLDALMQRLGDGDAGRQGTLPAKKEAVESMPTVEVAAGGDCDSACAVCLEDYAAGERATEMPCRHRFHAKCIVPWLKMHSSCPVCRFQLPTDDDDDSSKSARGGAAHAGGGRRLSQPAPRIDGGGLGRLPAVMQELRSILSQPSPASTSGSSSHAQQHSDE >ORGLA01G0096200.1 pep chromosome:AGI1.1:1:7305321:7310045:-1 gene:ORGLA01G0096200 transcript:ORGLA01G0096200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine biosynthesis bifunctional protein (HISIE) [Source:Projected from Arabidopsis thaliana (AT1G31860) TAIR;Acc:AT1G31860] MAAPPLPRAPVSSSAAAASPGVRALLLLRVGGGGSGRCAGVAAAAAAAPGWRRPFPAASVAARSAGTTPGEVAVDPKVKAILDSVKWDSKGLAVAIAQNVDTGAILMQGFANKEALATTISTRKATFYSRSRSSLWTKGETSMNFINVHDIFLDCDRDSIIYLGKPDGPTCHTGAETCYYTSVYDALQGSKPNQDRQVVSTLYSLEDTISRRKEEIVTEGSGKPSWTKKLILDNRLLCSKISEEAGELNQTLLENEDESRTISEMGDLLYHAMVLLRVKGVRMEQVLEVLRKRFSQSGIEEKASRNKS >ORGLA01G0096100.1 pep chromosome:AGI1.1:1:7303104:7304540:-1 gene:ORGLA01G0096100 transcript:ORGLA01G0096100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin-1 [Source:Projected from Arabidopsis thaliana (AT1G08700) TAIR;Acc:AT1G08700] MDPAAGSPDPAPAPGATTSTAATVLDGLGAEVLAVMSPVSICMALVVLLISLLAPPSAPGSAAAAAQPPPPVTAATLVYLESPTDTPGQKLVGALLDAAVFVALVAVVTFVLVALYYYRCTGFLKNYMRFSAFFVLFSMGGAIAAAVLRRLGAPLDAATALVLLFNASAVGVLSVFASAVPIVVRQGYMVALAVIVAAWLSRLPEWTTWIMLIALALYDLVAVLAPRGPLRMLVELASSRDDELPALVYESRPTVGPASGSSSYASAMGSVEMQPVADPGRSGGNQYDRVEQEDDSSRAVVEMRDVGGSRSSIRERNLEREAPMAVSVSGHSSNQGGSSQHAVIQIEQHEEGETVPLVSAASANNAAPNEEHRESSSSDSGMEFEMFESTRGIKLGLGDFIFYSVLVGRAAMYDLMTVYACYLAIIAGLGCTLILLSICKHALPALPISILLGVTFYFLTRLLMEPFVVGSSTNLVMF >ORGLA01G0096000.1 pep chromosome:AGI1.1:1:7300140:7300970:1 gene:ORGLA01G0096000 transcript:ORGLA01G0096000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQKSREERAEAAAHRAADELHAARRDEPGGGGGGMLGTVQESARSLLGAVRDKIPGPGSGGAGAGAAAGEGKAAEAKGFAADKAEGARRALAGSAAARKGETDESAWQHGEDVRRRAAEKAEEARRRSEPQPSSEEKGRSATENIYGSAASAAEAFRQKMTMPEDVVEQKRAEAAAGGNKGTAAATATATNTGGEAAAEEVMMRVKAADQMTGQAFNDVGKMGEEGTGMAAGDGGRRR >ORGLA01G0095900.1 pep chromosome:AGI1.1:1:7291336:7295951:-1 gene:ORGLA01G0095900 transcript:ORGLA01G0095900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVSHASAAAPPPPPTGYAPFVDPAAAEAPPPAEKDAAADEEKVDYMNLPCPVPYEEIQREAFMSLKPDVFEGLRFDFTKMLNPFFGLSHSGFGCIVTILVRLSVSMGSMELPSQGADVIKVPTSNYEFGANFMDPKMMLIGRVSHDGRVTGRVKCDLLENLCLKINAQLTNEPHYSQGMFSFDYKGKDFRSQFQLGNNAFYGGNYIQSVTKNLSLGTEAFWLGQQRKSGVGFVARYDTKKMVATGQIATTGLVSLSYVQKVSEKVSLASDFMYNHMAKDVTASFGYDYMLRQCRLRGKIDTNGVVSALLEERLTPGVNFVLSAELDHWKKDYKFGFGMVLGE >ORGLA01G0095800.1 pep chromosome:AGI1.1:1:7288112:7290022:1 gene:ORGLA01G0095800 transcript:ORGLA01G0095800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NAD] subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:I1NM68] ITLPAIDAMKSHLLVPKMVFNVAGVPIEWEEHYVGTEVDPRTESFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTNGRERVSAIHKANIMRKTDGLFLKCCREVAEKYPEIVYEEVIIDNCCMTLVKNPGLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDIAGKQNLANPTALMLSAVMMLRHLQFNNQADRIHNAILQTISEGKFRTADLGGKASTSDFTKAVCDHI >ORGLA01G0095700.1 pep chromosome:AGI1.1:1:7279697:7280595:-1 gene:ORGLA01G0095700 transcript:ORGLA01G0095700.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFTMSLQYDCKENFLXLXGIKLAECPFFSLTNSKLVIISNNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKTTGEQ >ORGLA01G0095600.1 pep chromosome:AGI1.1:1:7269687:7274579:-1 gene:ORGLA01G0095600 transcript:ORGLA01G0095600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDDKAGVKEIPRSDISKRELLFHVFQEPAVIGSACVLLESAASVHSWCPLHPSSRQEAKFKQKTNKFWEYQEQSNTWVEISMPFNLMSCINDTCTKVGSIEQPERRHGRASISSQEEKDAEIDDNDQADRNDPVLPIRKRISLTRMSESSVWVTGQSGSIYERFWNGLAWVIAPHELPISVGYATATFIVNTTILALSEAGILYQLQLNEHAQPIWTEVIFNSEQQFIVLGEKTQSQAMHIRNGIVSYDGRKLFLSITHGSLVEVTELQPLRWTYHGHPPGGDVSYISDAGNARPGTVFTVSSTGDLYEFDRESRPSWKKHIWSEETAENVSLSSSVGCALHGLLGSNSVSLFLITK >ORGLA01G0095500.1 pep chromosome:AGI1.1:1:7257471:7260082:-1 gene:ORGLA01G0095500 transcript:ORGLA01G0095500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNSGEIEELPPPPPLPPNAEPIKTDDTKKLSKSKRALMARSGCGKKGQPIQLLTNHFKVSLKAADEFFHHYYVNLKYEDDRPVDGKGIGRKVLDKLQQTYASELANKDFAYDGEKSLFTIGALPQVNNEFTVVLEDFNTGKXLSISILSATFNDANIFFTSFPLLTXLPMLLGXXXXXXXLSXTLQQKFLXAPLLRPXEARNLKTPKKQFVLLILYXDSIPQNRVAFWFANPFSITILQILLTWVVVXWAVGDSIQASELHRVDFHSISMCPQRXLXNLVLWXIFYLPTRRLITQTKLTGQRPSVLXRIXGXKQVQQILNTRLLVXVRETAMNKCSLXSKEMVMVNLKVWKYLFTSTLXRIGAXSXDTLVIFPVSMWGNQNGQLIFQLSSALLCLCKGIPRLXVHYRGLRLLRSPGRNLKKGCQFCLMCXNAATMIQSLCXILVVFQLLEVLHKLLAGCCRPPSXKLEMVKIFLHVMGGGTLIIRGSLRLAVSRNGQLLTFLHGVILGILSETSSSVVAXKELK >ORGLA01G0095400.1 pep chromosome:AGI1.1:1:7254419:7257138:-1 gene:ORGLA01G0095400 transcript:ORGLA01G0095400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKCLAEFGIITQCVAPTRVNDQYITNVLLKINAKLGGLNSLLQIETSPSIPLVSKVPTIILGMDVSHGSPGQSDIPSIAAVVSSREWPLVSKYRASVRSQSPKLEMIDGLFKPQGAQEDDGLIRELLVDFYTSTGKRKPDQVIIFRDGVSESQFTQVLNIELDQIIEACKFLDENWSPKFTLIVAQKNHHTKFFVPGSQNNVPPGTVVDNAVCHPRNNDFYMCAHAGMIGTTRPTHYHILHDEIGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVSQFIKFDEMSETSSSHGGHTSAGSAPVPELPRLHNKVRSSMFFC >ORGLA01G0095300.1 pep chromosome:AGI1.1:1:7250159:7251211:-1 gene:ORGLA01G0095300 transcript:ORGLA01G0095300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHGDEGEPSAMAKPPKKLPMSRKGFGTRGQSIQLLTNHFRVSVRRMDGHFYHYHVEVKYEDGGPVEAKGVCRRVVDKLQETYASELAGREFAYDGEKGLFTAGALLQTKHQFVVVMEDASSSGRTTTRRSPGGDDGSPGGSDRKRMKRPMAVKKFMVEISFAAKVPMSAIAEVLRGQETENSMEALRVLDITLRQHSAKQ >ORGLA01G0095200.1 pep chromosome:AGI1.1:1:7249208:7249453:-1 gene:ORGLA01G0095200 transcript:ORGLA01G0095200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQQIQPGGSTNGPDRAKVEEDKMKLEFEMAAKILACEATYKKKITKLKKEADHVKSWFMGSLVVIAILMYNILEYMYQC >ORGLA01G0095100.1 pep chromosome:AGI1.1:1:7244310:7247086:-1 gene:ORGLA01G0095100 transcript:ORGLA01G0095100.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYQLCHLVPLQRYKKALSTLQRSMLVERSRQNPQERMFVLSGVLRDSDYNSVPMLRECGISIAQEFTQVAARVLPAPKLKSGDGEDIFARNGRWNFNNNRLIQPKRVQRWVVVNFSARCNAHHLAQRLIHCGNLKGLPVDPEDHVFQERSHMGRERAETRVNDMFQQLLSGDKPSFVLCVLPERKNCDIYGPWKRMCLVKYGIVTQCLAPTKINDQYLTNVLLKINAKLGGLNSLLQIERNQAIPLLSKTPTIILGMDVSHGSPGRDDVPSVAAVVSSLEWPLISKYKASVCTQSPRLEMIDSLFKLVGNEDHGIIRQGVTNGLLQQFSRTQAR >ORGLA01G0095000.1 pep chromosome:AGI1.1:1:7199867:7201525:1 gene:ORGLA01G0095000 transcript:ORGLA01G0095000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQLADYHLRMDSRSPKIPRRSPDSKDKDSDRNKERDAKNDWDSSRAYGSETDCKEEMCDSNKRKGLTMGEIVVDNSRSVDSCHETELHVLRDDRQDKAVEIKDILHDGVAKSDYAQFQIDLDSERRNGTGDNSRVDVLRDDKLDSGRDRNWSDRTREPEGSKDYVRNRQWQDSKEANDSEWKNAHERLDGGSFHGRAGYRRDSRGRSESIRGSSTYGGRYDSSDSIEIRPNNNLDFGREGSVSGRRYDVGAHRDATPGTNGDKSANPEADQSGSTSTISQFPQHGPKGDRSSRGRGRPNSRDSQRVGGTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGPLLPGVFVPPFPGPLVWPGARGVDVNMLSVPPNLPIPPVAAEHRFAPSMGAGPGHNIHLNQIGSGIGAPTNVSGLSFHQLGTQSREMAHDKPPAGGGWTPHRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIE >ORGLA01G0094900.1 pep chromosome:AGI1.1:1:7172821:7176824:-1 gene:ORGLA01G0094900 transcript:ORGLA01G0094900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSGAGAHRPSCPSAANCAKWAQTYLKYCLCSTRDGMALTLGLLSVISWGVAEVPQIITNYKHKSTEGLSLAFLMTWIVGDFFNLIGCFLEPETLPTQFYMALLYTITTVILTGQTVYYSHIYHRLKAKKARATSKPQRHQRADASLREKLLGPKVIGEIRNNSHLGATVPIPTSSPITVNTEIVRHRHGPSSLSEYYYTSARSLSSSPVPMSGTWSANYHQTNSPPEIDDQKESLVSEFSPAQYAASPLIKNSLSVVPWMSLLLGMSVLHFLVGTTHQEVPNGIVIPVGRRLLLLADDHADSSVSNGSGSGIGSFLGWAMAVIYMGGRLPQIWLNMKRGNAEGLNPLMFTFALVGNVTYVGSILVKSMDWSKLKPNLPWLVDAGGCVLLDTFIILQFLYFHYRKRHVPDEPDSADKV >ORGLA01G0094800.1 pep chromosome:AGI1.1:1:7157595:7160541:-1 gene:ORGLA01G0094800 transcript:ORGLA01G0094800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxiredoxin IIF [Source:Projected from Arabidopsis thaliana (AT3G06050) TAIR;Acc:AT3G06050] MASALLRRATVGGSAAAAAARWASRGLASVGSGSDIVSAAPGVSLQKARSWDEGVATNFSTTPLKDIFHGKKVVIFGLPGAYTGVCSQAHVPSYKNNIDKLKAKGVDSVICVSVNDPYALNGWAEKLQAKDAIEFYGDFDGSFHKSLDLEVDLSAALLGRRSHRWSAFVDDGKIKAFNVEEAPSDFKVSGAEVILDQI >ORGLA01G0094700.1 pep chromosome:AGI1.1:1:7153881:7156548:-1 gene:ORGLA01G0094700 transcript:ORGLA01G0094700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNAIQYAVVDAFAAEPFKGNPAAVCLLEGEDAAAAADERWMQSVAAEFNLSETAFLIRDPSSSAAAADAAPRFRLRWFTPVAEVNLCGHATLASAHFLFTTVLAKQQHAAAAMVEFVTRSGILTAKKVPAPPPPANDGGVPGEEKLFIELDFPMIDLVEYDSAETLSIPETLNGARVVSVWKSSTAGDLIVELSSGKEVADIIPNINEIKKCDGRGVIVTGPAPAGSDYDFFSRFFCPNFGIDEDPVCGSAHCVLAPYWGGKLGKQKLTAFQASPRSGTLYLELDGENRRVRIQGEAVTVMAGTLLA >ORGLA01G0094600.1 pep chromosome:AGI1.1:1:7153151:7153405:1 gene:ORGLA01G0094600 transcript:ORGLA01G0094600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVLLLSELLGGESTSVMAADWYMSGHSLREFRPVAAAPAAAAAAVAKCERPAAEAAGEKKKEESFEDLAAVSRIAVDVMWP >ORGLA01G0094500.1 pep chromosome:AGI1.1:1:7136145:7136762:1 gene:ORGLA01G0094500 transcript:ORGLA01G0094500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGVLVSLLFAAFSLPCLLLLLVLAEAGLRLASLALRGGRYAWPTRSDFLGYRIARRRRAAVSGGAGGADGEEEAQPTAECCDRLAVAVYRRGRDAAPVDCVFCLSRVDDGEEVRELRCRHVFHRACLDAWLVLPRATCPLCRDCLLPPPCEPPRSFPLDYYDDDDDVHFGFNDFSITSSTTTTTSAAAAAVAYPHGVALWPM >ORGLA01G0094400.1 pep chromosome:AGI1.1:1:7122863:7131400:1 gene:ORGLA01G0094400 transcript:ORGLA01G0094400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LA RNA-binding protein [Source:Projected from Arabidopsis thaliana (AT5G21160) TAIR;Acc:AT5G21160] MEEPNVGGGAAAVVAPEGADPAGGGGGGPGAPASPWRKTTPPPVAGEAVVMGAKSWPALEEARQKVAAAEAAARPGAGNAGGGGEPAKGAQAQQPSPPPPQSQAPNRTHKFDGHGNPNKNNQAYHRNGPKRRSPAANGTPSYPAAMPYHQHPGQPFYYPVIPSPVILHEYPYPPFAVPVPSHDPHAGKSGYENSMPAYVPVDQAGGNEGNRPVPPQPRGDLHAWRPPVGARPQPGLEGRGHFNHNWQNPQMFGTRENTSVPQGAGPRAFMRPMAHLPHTLGYINGPPYPGPMPPMYYYMPAVPMEPMRGPPRFVQNQPPPHPVLSPEAAELRAKILTQVEYYFSDSNLDHDNFLKSLMDEHGWVPISKVADFNRLKKMTTDIQLIVEALANSSLLEVQDGNMRRRSDWSKWVSLSGTSLPSPSSTSMDNTTGECNKDAYSEDEKKPHPRSVDCVGMGASDEPSHDTLSSSVTSLNKGLSNISIDNKPKSISACSLNSQKHEAAFRTGDVKVQKVNTKIKVPDSQRERGFCNDFPSDSPSFSGDQSTFLLDEELELEHAELSHDLYSHKRVDDEEDDFYVDDQDVNRLIIVTQDGPQAFSKEEISRINEGLYYYENHAYNQRSSQAGTIDIDSKPAGGSKGNPINIVNNGIEDSGQPIPRRRHNRGNRKAQSSHKQRFFPGNFANNTNNRSHYGGVSESPPSNSIGYFYGSTPENHSYRSSRLSSSPHGIPTGSSPVGSVPKSFPPFQHPSHQLLEKNKFQQQRYNKFKNRCIAERKKLGIGCSEEMNSLYRFWSYYLRDNFNDDMYKHFKKFALDDAAANYRYGLECLFRFYSYGLEKNFQRNVYEDFEQLTLEFHHKGDLYGLEKYWAFHHFRNQDSSPIIKHPELERLLKEEFRTIEDFKARKAAEKETGSSTAAAAGHNKADAK >ORGLA01G0094300.1 pep chromosome:AGI1.1:1:7115718:7119243:-1 gene:ORGLA01G0094300 transcript:ORGLA01G0094300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translin family protein [Source:Projected from Arabidopsis thaliana (AT2G03780) TAIR;Acc:AT2G03780] MLPLRGCHRRLLSLRGVTAPSLLPPITTTPTTSMAAPQSHSHPAKTLRASPPPPSTAGSAPKRSRTMATDAAATAHSASAGCSAMKAEFAKHAEYLNTLNDKRERLVKASRDLTMNSKKAIFQVHRISKNNKEEVLSKAENDLTVVVNQYIGKLVKELQGTDFWKLRRAYTFGVQEYVEAATFCRFCKTGTLLSLAEINDSLLELGDKSVEPLQINVLDYVLGVADLSGELMRLAIGRISDGEVEYAKNICAFVRDIYRELTLVVPLMDDNSEMKKKMETMLQSVVKIENACFSVHVRGSEYIPLLGSSADPDYSFFGASDFDQ >ORGLA01G0094200.1 pep chromosome:AGI1.1:1:7113093:7114565:-1 gene:ORGLA01G0094200 transcript:ORGLA01G0094200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKLDSKSPAAARSAAARAAAAAAAAAAAVAEPSSQPEALAEDPAPSSQPLGLSSEGAGERMMSREAGGGEEEEVEEVEVEEEVEVDEDEDEDVEGEGEEEEEAAERDADSIQALLNSFPKDQLVELLSAAALSHEDVLTAVHRAADADPALRKIFVHGLGWDATAETLTEAFSAYGEIEDLRVVTDRATGKCKGYGFILFSRRSGARAALREPQKKIGNRTTACQLASVGPVPPGGMATNPAPAVAPAPAQLALPPVSEYTQRKIFVSNVGADIDPQKLLQFFSKYGEIEEGPLGLDKVTGKPKGFALFVYKTLDSAKKALQEPHKQFEGVVLHCQKAIDGPKPNKGGGLGGLYGAGTSGGRKGAGGYGAHSHSLPGAAVGGHVMPSPVSSLTSLPGVAGGPGVNPALGQALTAILASQGGGLGLNNILGVGANGSGLPNPGASAGLGSSGLPGMPGAGGYLGGYGGGGGYGSTQPGGPGRNYMGH >ORGLA01G0094100.1 pep chromosome:AGI1.1:1:7106143:7108850:-1 gene:ORGLA01G0094100 transcript:ORGLA01G0094100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASVSASASGDPMAECPPAAAAAEGSDAMDCGGGGRSNARVAGVLRGFLAVQQRRAEAYSTLRRGFSEYMANGGELAFQQLCTSVTAEFNDCSKQVLEMVALLSTPEICRGDLANLLKDVQAHEKEKLHLTARIQVLKKAGRPSERLVNHADCRSSNMAQHVCVHVKEITEAAGTEDAEADAEYDGALKEAIQGVQEAVTSINEHMEEVRYEIDALGAEIIGNNLAEVEEAFPDTLLIK >ORGLA01G0094000.1 pep chromosome:AGI1.1:1:7101140:7101557:1 gene:ORGLA01G0094000 transcript:ORGLA01G0094000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRPAWHCSAARAAVALPPLPLAGSPQPHGTKRRPVEPASRRLPAAAAPARQSTGGSCEVGGGGRPARRRGESRRPRYGGGCEVSGGGEIGEAEGRQRECDAAEAAPGGASWKEIGGGV >ORGLA01G0093900.1 pep chromosome:AGI1.1:1:7091036:7091398:-1 gene:ORGLA01G0093900 transcript:ORGLA01G0093900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNPQPWRSTIITIRYALFYEHKGACAPICAPLRCIVATTVRRGQDKRGDNSRGWSRCAHLQRIVCMHVLMDMLTDLREICLCGYMVCGALGLLDLSYRDVSVDMWFEVLWSSWSCFSN >ORGLA01G0093800.1 pep chromosome:AGI1.1:1:7081134:7084241:-1 gene:ORGLA01G0093800 transcript:ORGLA01G0093800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRVDAAACDSWRPPPTPPPPHARRALALRVGGGGGGPAFASLTVREGGGGGEEGEVGEGKGKQQQLPAAGVLVRHPLAMLALVPNAVALFAAGAAAGAVAKTITAPLDRVKLLMQTHSVRVVGESTKKGIGFLEAIAEIGKEEGLKGYWKGNLPQVIRIVPYSAVQLFSYEVYKKFFRRKDGELTVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVQSGHSTMSQVAMNMLRDEGLASFYGGLGPSLIGIAPYIAVNFCVFDLMKKSVPEKYKSRPETSLATALLSATFATLMCYPLDTVRRQMQMKGSPYNTVLDAIPGIVERDGLIGLYRGFVPNALKNLPNSSIKLTAFDTVKTLISTGQKELEKLMQENQEKMS >ORGLA01G0093700.1 pep chromosome:AGI1.1:1:7075943:7078463:-1 gene:ORGLA01G0093700 transcript:ORGLA01G0093700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVDYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIANKA >ORGLA01G0093600.1 pep chromosome:AGI1.1:1:7073867:7075396:1 gene:ORGLA01G0093600 transcript:ORGLA01G0093600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAAACHSPARLVVTCSSSATPAPPXXXLRVAVVGGGPAGASAAEALASAGAQAFLLERNPSGAKPCGGAIPLCMLDEFAIPRDLVDRRVTRMRVLSPSNLAADFSRALPPGAHIPMLRREVLDSFLRRRAADAGATLVPGLVTSLSLPADPATDPYLVHYISSDSGGPSSSRCVLEVDAVIGADGANSRVAREVGAGDYSTAIAFQERIRLPDEAMAYYDDLAEMYVGGDVSPDFYGWVFPKCDHVAVGTGTVAAKPEIKRLQSGIRARAAGKVAGGRVVRVEAHPIPEHPRPRRVVGRVALVGDAAGYVTRCSGEGIYFAARSGRLCGRAMADEWRLTGAVTEAGIRAGYLRRWDDEFRPTFRFLDLLQRVFYGGNAGREALVEMCADEHVQRRTFDSYLHKRMAPAEPWGDLRLLWRTAAAMVRCGVLGREVERLRRLEQQAADQVLLRH >ORGLA01G0093500.1 pep chromosome:AGI1.1:1:7068738:7071832:1 gene:ORGLA01G0093500 transcript:ORGLA01G0093500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:modifier of snc1,4 [Source:Projected from Arabidopsis thaliana (AT3G18165) TAIR;Acc:AT3G18165] MASSSGTREVLMLEAPPAPFGAPWRAPPDAEAVDALPYIDGDYGDPAVKREVDRMVEEEMRRGSRKPADFLRDLPPVPAIGFENHPMLAKEYERVRAGKPPATIEMSRYGLEPPPANKRNDVAAWRQALRNAQSQLHHQIIRIENLELMLKYGVEVWKLQNRQMESVLSRMQNMAVEYNEKIETVNRERKFHQQNTGGQLHALTTEWQELCQKNIAIQAACVDLQNQIDQLKLGAKELGMPIDDSTGTNQQASSAI >ORGLA01G0093400.1 pep chromosome:AGI1.1:1:7060348:7062691:-1 gene:ORGLA01G0093400 transcript:ORGLA01G0093400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFKSSNGATTEKNLTLEEQQEKINDLRKELGEHSSEEIQDFLSDASCLRFLRARNWNVQKASKMMKSAVKWRVSYMPQKINWDDVAHEAETGKIYRADYKDKHGRTVLVLRPGLENTTSGKGQIKYLVYCLEKAIMNLTEDQEKMVWLTDFQSWTLGSTPLKVTRETVNVLQDCYPERLGLAILYNPPRIFESFWKIVKPFLDHETYKKVKFVYSSDKESQKIMADVFDLDKLDSAFGGRNPATFEYNSYAERMRADDIKMGSSFKSNGITLPQDHSHVSADKEANGGGSDASSEASFYSGTDSPNHEEGGSILA >ORGLA01G0093300.1 pep chromosome:AGI1.1:1:7050902:7052506:1 gene:ORGLA01G0093300 transcript:ORGLA01G0093300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYRVAPRPVYLSAAAAGGGDHGDGPRRLPRGVAGGGGAELDIFSAERYFNADDVVKKEEYRDEEQPRQEVAVDAASQSGRTVACSSEASWTSRSGLLSGHAQSAASAGAAAKLQMGGANGGGGAAAAAASAHRGKKPGSGQRWGLFSRDCPCAGRKAVTVDVASEPRSPATPRTHARFDNSHVVADSTIFKANAPPPPPPCEEEPVKMKISPGSSTFPPPLANSIFAAAPNRGGGGGGAAPFAAFPAPDIVGRRVVSSGGFTFPVAVGAAKVVSTVGDEQPRESLEVFRPIDEESVLADPPADHLATEGGRGGGGGGLAAGFARAPGVAAVATDEEAMSDASSDLFDLESFAASSSFPTTCRGRSSRRNSREDDDDDEDLPYGAAAAAAAAVEPALSECMYAPSEVSVVWSVATAEGGAFDAASVANFSSAASACCVEEFSFVPPPDSAATAGGGGHEGFTAAMSRSAARKKGGGFLSSCRCEKAVSVGPTPVRMVRPEVNVKTTGGGHAVGLAGGGAARYHPGRVRMPVRT >ORGLA01G0093200.1 pep chromosome:AGI1.1:1:7044181:7047416:1 gene:ORGLA01G0093200 transcript:ORGLA01G0093200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEASPPAPPEIPTRCHHCAGPLSKDMETSSWTVPPLVRDSFSMIGSAIGGTAGAFYGFNHTMPFVRRYIKGPMWMHFLVGAPPVIVFSSACAGLAGGTIPALAQLVSSSYHSATASSSFAHTTSRDEMHKARSSSTL >ORGLA01G0093100.1 pep chromosome:AGI1.1:1:7040965:7041497:1 gene:ORGLA01G0093100 transcript:ORGLA01G0093100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQRRRRRGGSLAVLLLLLLLGALLLSLSLLARVDAAAATVSSANLDWNEGEVAVATPLGQEAVAAAEEEGDRPPERVEMESINDYGQASANNRHNPHP >ORGLA01G0093000.1 pep chromosome:AGI1.1:1:7033508:7033783:-1 gene:ORGLA01G0093000 transcript:ORGLA01G0093000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASRAAMRSSDFQTAAVGIGFALSCGVLLVVMSLVLPLPRVYQAVMSDWALILFFAAGVQAHKRPIIWYPLADLILKPNNKPKPPAAXX >ORGLA01G0092900.1 pep chromosome:AGI1.1:1:7019287:7027771:1 gene:ORGLA01G0092900 transcript:ORGLA01G0092900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHARETFLMALTTFSFLATFPWLDLMAWRMDEYLSWIRPKLLRVAASLNGTTGCSARRRAAPRDEDKDSFMHLVSRYLISFFGCICKEEKEMIDWNKVERPTPEMVVPYDSLVQAPRDIPEIRNLLNKLAVLKLNGGLGTTMECVAPKCTIEVRSGLTFLDLAIMQTEIVEKYTNIEIHTFNQNKYPHIITEKFLPLSSEGSTGSHCWYPPGHGDVFFSLCKSGILDTMLSQGKEYVFIASSENLGATVDIEIESLTSTEILNHLIHNKNEYCMELLEIFQVPDENVAFGYRRVNLKAIKRLVKAEALKMEIIPNLKEVDGVKVLQLEKEAGSAIQCFEKAIGVTVPRSRFLAVKNTSDLFLILSDLYIVMDGTVTRNPARDNSTNPLIDLGSEFRKVDSFLDRFKSIPSIVALDSLKISGDVWFGSRITLKGEVTIAAQLGLKLDILDGSVFDNKHSTHSSGAIKYTMKLDEGADCASIDSALNRLNPGSTLILKKDDLYRYIDPIQAQNRAMFHSESNCIITATLKDNWFAKLVQGKLWLIILVFHQFKLRSRRRLRWGERRRRTLRSIHQDIQSYLDNYSDSDMWHLIVHSVARVISNVLEDVNRNYMKNHYLGFLAIHSTVFAIEVIGSYAVELNYDIEHYAEPPEL >ORGLA01G0092800.1 pep chromosome:AGI1.1:1:7008836:7011720:-1 gene:ORGLA01G0092800 transcript:ORGLA01G0092800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDKDPGIKLFGRVIPLAPEAEAAAAADGSDQPEAAAAAAEVEPAAQDEDHHKETEESKDDEMKVDVPQEEEDNEMKVDAPQEKKDNEVTADVPEEKGNDEMRVDASESIESIEPVSRSTLDNKKEDQGQMNSVEEKAASDSKDENEKTANDESGQDKVLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKSSSLHYRHLLMAPDCMMGSRVEISKSMNPEAFASAHSTPIQPIGRNETVLKFGPEVPLCESMASVLNIQEQNGTNAAAVPTGENQEDNSCISSITSHNVLPENAAQVDKNSTPVYCNGVGPVPQYYLGAPYMYPWNIGWNNVPMMVPGTSMPESASQSESCSTSSAPWMNMNSPMMPVASRLSAPPFPYPLVPPALWGCLSSWPATAWNIPWIRTNGGCMSPSSSSNSSCSGNGSPLGKHSRDSSLPLKEDKEEKSLWVPKTLRIDDPDEAAKSSIWATLGIKPGDPGIFKPFQSKGESKGQAASETRPARALKANPAALSRSQSFQETS >ORGLA01G0092700.1 pep chromosome:AGI1.1:1:6990811:6993381:1 gene:ORGLA01G0092700 transcript:ORGLA01G0092700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pre-mRNA splicing factor-related [Source:Projected from Arabidopsis thaliana (AT4G03430) TAIR;Acc:AT4G03430] RRVRGQRRRPLLQRRPRRRRRRRPRGGRGPGGHRPEDGLAPXGPARGAAEAGDREVPRVQPXNHRAIRRSEAEIGRFVSAGVGEHTGDWGLFASSQQEEAIXELCPGAXHPAREGPAGAGACHRIGPQEPCSRRWRRDAMGADSGYRSDSRRRRPWHRAFFEAGQVIRFGIRCYYCXSKGLPDXPEEYEDYXXCXNFXHKEGQVVAQVSDTDEPKSIHQDGLLLLGLKRLPASFRLLGSSSSVAAECPTNEDVWLKACRLASPDEAKAVIARGVKEIPNSVKLXLQAAKLETSDLNKSRVLRKGLEHIPDSVRLWKSVVELANEEDARLLLHWAVECCPFHLELWLALARLETYDQAKKVLNKAKEKLPKEPAIWIMAAKLEEANGNTESVNEVIERSIKTLQGKGLGIDREAWLKEAEAAEHAGSVMTCQAIVKNTIGVGVDDEDRKRTWVADAEECKKRGSVETAPAIYAHALSVFKFKKSIWLKAAQLEKRHGTEESLYILLSKATTYNRHAEVLWLMYAKEKWLAGDVPAAQTILQEAYAYLPNSEEIWLAAFKLEFENNEPERARILLSKARERGGTERVWMKSAIVERELGNVDEERKLLEEEEKINGLRKSRAVLTMARKKNPATPELWLAAVRAELRHRNKKEADALLAKALQECPTSGILWAAAIEMVPRPQRKAKSSDAIKRCDHDPHVIAAVAKLFWHDRKFDKARSWLNRAVTLAPDIGDFWALYYKFELQHGNADTHKDVVQRCVASEPKHGERWQAIVKAVENSHLSIEALLKKAVVALGQDENPNAVDP >ORGLA01G0092600.1 pep chromosome:AGI1.1:1:6986226:6988866:1 gene:ORGLA01G0092600 transcript:ORGLA01G0092600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Mediator complex subunit Med27 (InterPro:IPR021627); Has 112 Blast hits to 112 proteins in 38 species: Archae - 0; Bacteria - 0; Metazoa - 79; Fungi - 0; Plants - 23; Viruses - 0; Other Eukaryotes - 10 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G09180) TAIR;Acc:AT3G09180] MVFESFCDLKLLDKRKMKFAGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDEGFNHLHDGEPGLAKKPRLPASNGELEERTLSEILKNLENEVPNMKIFTYRRLDWSKRAASLATLMNDDFVDPSELNLQNMSKSGSGDTTPIDQVAIIELLAPSIFRAIVSLHPAGSTDPDAVAFFSPTEEHADKALQYFVSVEPTKSLSLLLRWIASYQTLFTKVCSKCGRLLLMDKSLALLLPPVQRPYHHQTSSVGSDPQDAYHIGCSSYDA >ORGLA01G0092500.1 pep chromosome:AGI1.1:1:6981137:6984409:-1 gene:ORGLA01G0092500 transcript:ORGLA01G0092500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57250) TAIR;Acc:AT5G57250] MPLAGGEPRPPPPLPSLVKLGRAVTPRRVDILLAALLRRRRHRLVGALASQAVANSVRPTARTHLLVASALLDSSRPRDAAARLALAGPATSSARRLWDALLRRACAVRGDARRALEVLSAGVEECGLVFSPSTYRVALTMLCARGDMETALKVFDVMAAAGCQVDDRVCSVIISGFSKVGKAGDGLEFYRRVRREFNGFEPGLITLTAFVDVLGRVGRTTEAAQLVREMEGKGLVGDAVLYGSLVHGYMSSGLLMKGLREHRVMLDKGIAADVVSYTTVIDGLCRERSVEKVMGFLDEMNRRDAKPNLITYTSLIGGFCKRNRLDDAFSIVRKLEQTGVVVDEYVYSILIDNLCKKGYLDRAFSLLEEMDKKGIKIGIVTYNSVINGLCKVGHTKKAVEIYEGIAADNFTYSTLLHGHIKVEDATGVMAIKGRLESSGVTIDVVTCNVLIKALFMIKKVDDACSLFLRMPEMRLRPNIVTYHTVIDMMCKLGETDRALQLFDDYKEDILFSSTIVHNCLIIALCNGGKVNMAEQIFNDLIQRNLRPDSCTYKKLIHTHFKEGGEHGMLNFILKVDGSEIDLFSSLCNYASAFLSTRDCYQGALDVYKLLRMKSFTVTSKTCYRLLKCLLRNGHEQTIQPLLSQFIKIHGLDEPRMINMLSCHLSKNSVGEAILFSNYMNNGRVPVSVLREAVYTLKREGRILDACNFLKEAEQNGYSVDLAMYSIVVEGLCKSGCLEKALDLCESMKEEGIQPNIVIHNSVLNGLCQQGCLTEAFRLFDYLESSEVLPTLVTYSILIAALCREGFLDDAHELFQKMSTKGIKPTTRVYNLLISGYCNYGLTEKALELVSHFEEIFLFPDAFTIGAIINGHCLKGDIESALGFFSEYYHKEMVPDFVGFMSLVKGLYAKGRMEESRSILREMFQCKEVAEFINSVGDKIQAESFVGLLFSACEQGRIDEVVTILNEVALMSVSSSDSNNCSTLSHLKNVETPVAYDRNMDNPGQVLCSTTYGVSSNCLHGISEGTVQPTIDGAENLCTPSDDTDIYYDNLLRNSFHDDFDTYYPAIASLCLKGELIKANKAIEAMIQNSS >ORGLA01G0092400.1 pep chromosome:AGI1.1:1:6977399:6979342:1 gene:ORGLA01G0092400 transcript:ORGLA01G0092400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:I1NM34] MATSMGCLLMLCLVSPLLLATSVHGNPWYGYGYGLFPQFYDHSCPKAKEIVQSIVAQAVARETRMAASLVRLHFHDCFVKGCDASVLLDNSTTIISEKGSNPNMNSLRGFEVVDEIKAALEAACPGTVSCADILALAARDSTVLVGGPYWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKRQGLNIVDVVALSGGHTIGMSRCTSFRQRLYNQSGNGMADYTLDVSYAAQLRQGCPRSGGDNNLFPLDFVSPAKFDNFYFKNILSGKGLLSSDQVLLTKSAETAALVKAYADDVNLFFKHFAQSMVNMGNISPLTGSQGEIRKNCRRLNNYYH >ORGLA01G0092300.1 pep chromosome:AGI1.1:1:6963384:6965179:-1 gene:ORGLA01G0092300 transcript:ORGLA01G0092300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G44970) TAIR;Acc:AT1G44970] MASSKTVLSAIFTASLCFTVALAFPAHHEDLHPVVQSPPKPVLSPDYYKATCPQADEIVVSVLKKAIAKEQRIAASLLRLLFHDCFVQGCDASVLLDDSEEFVSEKKAIPNKNSIRGFEVIDEIKAALEEACPHTVSCADTIALAARGSTVLSGGPYWELPLGRKDSKAAYMKLANKNLPPPNATLHRLVKFFERQGLDKVDLVALSGSHTIGMARCVSFKQRLYNQHRDNQPDKTLERMFYSTLASTCPRSGGDNNLRPLEFATPSKFDNTYYKLLIEGRGLLNSDEVLWTGRDPQIAGLVRSYAENEPLFFEHYVNSITKMGNINPLTGYDGEIRKNCRVVNKKI >ORGLA01G0092200.1 pep chromosome:AGI1.1:1:6952978:6959572:1 gene:ORGLA01G0092200 transcript:ORGLA01G0092200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGWETLASCPASVIHSLIKVLLVVTFMQWPATAIVVVPNSNCFTFDNDSRLVDFADLIGKNFEYNEKGSVPSDLVVQFCKDVQRRSQAGYTDFGRFISSRSFITGSQPIDFIQTFQYGDLVHCETTFEKMGRTSQVNIICGQCPNKECKGKQGCICSISYDELMCRVTVELAIPCPKSGPRVFKGFTVGFHPRSSELVYNGLTQLGFEQSHHEFSFQGEQSHVSLYLSAVFSLSGLVGKPSLKVNPVKGLDVTLTGSGTNGAMPTTLSPTILNVIWRCEIARSSPYEVNILIPVEGYDPIEFTLTKECGHIQEKESNPMRGWATFGIISCMYVCLELCKLASQQCFIVLSSLLCCGGFIYKTRVQHQSGLYALPGMTILSAFLDAVGGPSYMRADDHGGNHASQASWERMPGTSQAAEHGTKDRRYGSL >ORGLA01G0092100.1 pep chromosome:AGI1.1:1:6945765:6951179:-1 gene:ORGLA01G0092100 transcript:ORGLA01G0092100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSSAAAASGGGRGPAHHHRTRLLLLLLLAVAACASTAGFLLRGAMLDPCDVDARRGSGSSAAAVATTRTGAVAGNPLEFMRSKLVLLVSHELSLSGGPLLLMELAFLLRQVGSQVVWITNQRSEETNDVTYSLEHKMLSHGVQVLPARGHEAIDTALKADLVILNTAVAGKWLDAVLKDHVPQVLPKILWWIHEMRGHYFKLEYVKHLPLVAGAMIDSHTTAEYWKTRTHDRLKIQMPQTYVVHLGNSKELMEVAEDNVARRVLREHIRESLGVRSEDLVFAIINSVSRGKGQDLFLQAFYQGVQLIEQKKLKVPTMHAVVVGSDINAQTKFETQLRDFVVKNGIQDRVHFVNKTLAVAPYLAATDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIVVDGSTGLLHPAGKEGVAPLAKNMVRLASHEEDRVSMGRKGYGRVKEMFMEHHMAGRIAAVLQEVLQKSREHSHS >ORGLA01G0092000.1 pep chromosome:AGI1.1:1:6943457:6945194:1 gene:ORGLA01G0092000 transcript:ORGLA01G0092000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVVEGTTMVGWVVSGVAFWWTAFLLARGAFPKRSYDFCNRAVSAAHAAAAVSLACLSVADWSRPLSPLAAASSPPQMKALAVTLSYMVYDAACCYLNDDVRVDNTVHHLVSIVGIAAGLAYRRCGTEMVASLFVTEISSPLLHLREILKEFGIKDTDLNLLVDILFAVIFSVARMGFGPYLTYVTVTADNPILIKAMATGLQLVSAYWFLRILRMVRHKLGKKRPAPKVAGD >ORGLA01G0091900.1 pep chromosome:AGI1.1:1:6918884:6920323:1 gene:ORGLA01G0091900 transcript:ORGLA01G0091900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCINGGELHVRVVHRRLVKASDESIRPHVLAVSNLDLIPRTIQVSMFCIYPKPSTGGDFHDVVAAFAAGLPSLLNHFFPLAGRIVSNPCSGLPEIHCHNQGAELVVGEADVALASLDYGTVGASVGKILLPYAGDVALSVQVVSFACGGFTVAWGTNHVVVDGSALSMLVSAWSELARSGTLAAGARPNHDRSVFRPRSPPSYGASLDEAFTPLDGARQAADIARLREQARATRVQAVSAYLWKALAAVVGSRDARCRMVWWVDGRRRLTLSSSPELRAAMRSYVGNVTTFAVAEATVEEIQRKPLAEVASMARDAIAAPAYGEHFQELVDWVEEHKAGKQRYIDTASVGLGSPAVSVTAFASFEVDTDFGHGHAAMALPTSSSSARLCTGFVQIAARPGGGDGSWIASALLWPRLAAALESDERLGRIFKPVTAEYLGLRPASGSSAKRAGMITSKI >ORGLA01G0091800.1 pep chromosome:AGI1.1:1:6900146:6903407:1 gene:ORGLA01G0091800 transcript:ORGLA01G0091800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G19690) TAIR;Acc:AT1G19690] MALPRGVRSPKPMAAAAASASPPQPRPGHMLVLGTGFVGRYVSQRLLAQGWRVSGTCTSPAKKTELEMLGMDASVFDATSSRCCFFFFLLFLFFVPSSLTNLRSLQDATHLLISIPPIPGIGDPLLSSHSNLQTTLSNSNLQWLCYLSSTSVYGDCGGAWVDEDHTVNPKTESVKLRYAAEKGWLNVIDDLDLSAFIFRLGGIYGPGRSAVDTIAKSKSLSRRQKSRESKQYTARIHVADICQAILASMSIRSARRIYNVVDDDPAPRSEVFAFARSLVERKHPGLIMDSVVLPATQDRIVAAEKRVSNARLKEELGVKLLHPTYKSGLQSILDSWSVESSFSNRNVDV >ORGLA01G0091700.1 pep chromosome:AGI1.1:1:6888488:6891915:-1 gene:ORGLA01G0091700 transcript:ORGLA01G0091700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRDMVLPPGFGFHPKDTELISHYLKKKIHGQKIEYEIIPEVDIYKHEPWDLPAKCDVPTQDNKWHFFAARDRKYPNGSRSNRATVAGYWKSTGKDRAIKMGKQTIGTKKTLVFHEGRPPTGRRTEWIMHEYYIDERECQACPDMKDAYVLCRITKRNDWIPGNGNELDNSDPHPEPYDAPPSVISTELLNPAAEPVVGVEAAPVTVAEPDGVTTSAITANIPSPSDDINLDDWLNELFDPFFDPEQSLASADLSPDEQNVESSNLGALAPKVEQDYSSPNENVVDDTEYLLPEDVYNILHPGTDDFNMLQNPLDQYPIQYATDVWSGIQKEELWSPQANAEPSQSNEAADNGIIRRYRSMKTPETSVPQFKGKTQAKMRVGINKMATSSSESINQTIKFENSGRLVEHQKNQAHDVASTKRSDAGKPSTELSSNRGFLRGIRNAFAGCSDARWNMILVAGFAIGVAVVALHIGQRLGLSQRDQQHT >ORGLA01G0091600.1 pep chromosome:AGI1.1:1:6883954:6887177:1 gene:ORGLA01G0091600 transcript:ORGLA01G0091600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCIAAKERPQPCVTPIEVSAFRNVRHSPSWSFRWDNRTHIEDIMEMPALFSNHSSGSIRPETKSGSIAPTDGFSNGGSPSDMFNKLKCHKSDRKRESSKIARSDLRAGRSTTSNSSPEAKLSRKSLDTVSVASDSKMSISVPSTPPAISRADPSSSSRGHSLPTDADSMRKARRSPGYQLYRQVSDSKIPSLRSLNEGASPEGRPSSSMLSVCSNDLSAVGSHGESSDGWSMRTFSEMVASSQRERWSVDSELLGSVSSKMTRSNASNNPTTHSPDQEVCKLCLKLLKERSTWNAQELAVVAVLLCGHVYHADCLDSLTAEADKYDPPCPVCTHGEKCTVKLFGKLESKTKNKIPKNVIVDVNLDGSSKHQKESRREPRLGTSSSLKGSFSRPFLRRHFSIGSRPSQSISESESARKKGFWARHWRE >ORGLA01G0091500.1 pep chromosome:AGI1.1:1:6873913:6875702:1 gene:ORGLA01G0091500 transcript:ORGLA01G0091500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRTQPKETNNFPTNIFSMFSAVQSTSSTSTIEASSLKSPSVVIVASGIKMSESLPSTPPLLTSADPSSSRSRSLNVDENSTTKAHQSPGHQLCRQNLDNKVLSFKSFNESYLEEGRPLSSMPSVYSKDIIAGGSHESEKYDPPCPIRTHGEKYFTNLYGKQDSKIKNKISFEEWVNKEKGILEKAVERIALYPPSTGDVINRADVSSLQEDPRAD >ORGLA01G0091400.1 pep chromosome:AGI1.1:1:6867665:6870061:1 gene:ORGLA01G0091400 transcript:ORGLA01G0091400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKRSSFLLLVVVFALLLLTSMAAGGRKMLINKHQVQSMETSDDESMHQGQEDDEMLAMVHERILRQVKTNDYGNYDSPPTMAKPHAKEIPN >ORGLA01G0091300.1 pep chromosome:AGI1.1:1:6856250:6859995:1 gene:ORGLA01G0091300 transcript:ORGLA01G0091300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGGGGGVMAGPGVAGGGGGGGGGGVGGDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPVAGVAWFLDLFDYYIRTDERDAFSKELRLDTKVVFYFDIGENKRGRFLKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINNEASRLYVLPNHPNQQHLEPPERLPGLSDDVGAGFIAGHGSQSASGPEVDVERLVDLPPQEEISGMGMSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTGANVRTVESSQR >ORGLA01G0091200.1 pep chromosome:AGI1.1:1:6847974:6851882:1 gene:ORGLA01G0091200 transcript:ORGLA01G0091200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGALASTIVRQVLTKFGSSVWDELALLCTFRADLAAMEAQFATIRAVLADAEARGGAGGDAAVRDWLRRLRDVAHDIDDFLDACHTDLRRGEGGGDCSVCGGLTPRSFAMAHRLRSLRRELGAVAASKDRFSLSPDARPPASRQLPSVPPMRETISMVDEAKTVGRSADKERLMRLVLDAAGDDDDDDDDGVSVIPIVGIGGLGKTTLAQLAFNDRRANDEVFDPRIWVSMSAGFSLATLVQAVHPIVAAPSEWCDLATTTTTNLEAIARFLSMAFTGNKYLLVLDDVWSESHDEWERLRLLLRGGKRGSKIIVTTRSRRIGMMVGTVPPLMLKSLSDEDCWELFKRKAFEEADEELYPKLVRIGKEIVPKCGGVPLAAKALGSMLRFKRNEESWIAVRDSEIWQLDKEETILPSLKLSYDQMPPVLKQCFAYCSVFPRNHEIDKGKLIQQWVALGFVEPSKYGCQPVSDKADDCFEHLLWMSFLQEVDQQDLSKKGLEVDGRVKYKIHDLVHDLAQSVAGDEVQIISAKRVNGRTEACRYASLHDDMGSTDVLWSMLRKVRAFHSWGRSLDINLFLHSGFLRVLDLRGSQIMELPQSVGKLKHLRYLDLSSSLISTLPNCISSLHNLQTLHLYNCINLNVLPMSVCALENLEILNLSACNFHSLPDSIGHLQNLQDLNLSLCSFLVTLPSSIGTLQSLHLLNLKGCGNLEILPDTICSLQNLHFLNLSRCGVLQALPKNIGNLSNLLHLNLSQCTDLESIPTSIGRIKSLHILDLSHCSSLSELPGSIGGLHELQILILSHHASSLALPVSTSHLPNLQTLDLSWNLGLEELPESIGNLHSLKTLILFQCWSLRKLPESITNLMMLESLNFVGCENLAKLPDGMTRITNLKHLRNDQCRSLKQLPNGFGRWTKLETLSLLMIGDKHSSITELKDLNNLTGELRIECWSHKMDLTTAAKRANWRNKKKLSKLTLLWTIPCSVDDFENVETFLEVLVPPENLEVLEIDGYMGTRFPSWMMKSMESWLPNLVSLDLSNIPNCSCLPPLGHIPYLQSLHLRYMAGVHSMSSEILVKRQKCVLYQSLKELHFEDMPNLETWPTSAATDDRATQPEGSMFPVLKTVTATGCPKLRPKPCLPDAITDLSISDSSEILSVRKMFGSSSSTSASLLRRLWIRKSDVSSSEWKLLQHRPKLEELTIEYCEMLRVLAEPIRYLTTLRKLKISNCTELDALPEWIGDLVALESLQISCCPKLVSIPKGLQHLTALEELTVTACSSELNENCRKDTGKDWFKICHIPNIVIS >ORGLA01G0091100.1 pep chromosome:AGI1.1:1:6845512:6845925:1 gene:ORGLA01G0091100 transcript:ORGLA01G0091100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSHTNKVPIPTVTLELGYGEDKARTPCIDTTDCSKETHAKCLMAALNVNGGSNQAVVAFLTMTDMFKIIPTYVEPMDIFSARSTIDHKENIPMPHRRRMHLMVNGLAECLLASSSPSSSLFPYSPSLVGRAAV >ORGLA01G0091000.1 pep chromosome:AGI1.1:1:6843347:6844204:-1 gene:ORGLA01G0091000 transcript:ORGLA01G0091000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSGIRSFCHGVDSTSTTMQRRLVGADAASSSFLTVPTSTASSVGVAESEAAAAVTLEQMILQLDLEEAAARKAQQQQQPRRASCVNSSDGRVLRSARDALSQYPRFSLDGGRDAMYRASFSDHHHYYYHDAALASSSSGHRRSPPPCRGMPPTVAGESVVWCKPGVVAKLMGLDAVPVPVRGGGQRRGGAAATAGGRRKASGAPPLASVIAGGGGRKRRGRRTGREEEEEELEKERLFMALHGYDVAVARACHAGALHPSVAPNVSGMGRGAEDGWGFRLPH >ORGLA01G0090900.1 pep chromosome:AGI1.1:1:6833527:6838102:-1 gene:ORGLA01G0090900 transcript:ORGLA01G0090900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLPDTLSSLMGFNKHLTPSWIESVSHIIDGLSPTKPQMKVMVEKDENISDDNTESEAKVQKIQDELVSLNAQLKQITLQRREALNNYLDLKGNIRVFCRIRPFHHEESYSSRNLFTLDESNVFLKVAETKRKQYKFDKVFNQFSTQGDVFSEVEPVIKSALDGYNVCIFAYGQTGSGKTYTMEGKPTNLGVIPRGIQTLFNQASECNNRFLFTFSMLEIYMGNIRDLLAPRSKTNGLKNVPSLSIKSDPDGGIEIEDLVAVTVNSFQEVKRLYEMGTRLRSTASTMANSTSSRSHCLIRISLTSLNATERRKATSKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKPHVPYRNSKLTQVLRDSLGCESKTLMLVHISPDEGDLCETICTLGFATRVRSIRLESEEPPEMKARKETLLIDFGQKVNDLEHECEDIRRKIKNLEESMEHLTGPQPTIYSNFDMSHLSSEELKTDVSSNVRNSKNRREASSRLPRFMKPTASSQHRIGLNNRTPIINRLKPPVPPRRRPSSVYAESVMVPVNAAPWQSECSSECSMSLTSDMNWTPSIRDGTECSQDASEYEIKQVIFSEHEKSSHDQVTCYTDYPLAESRDIQIKIEEKGIVDIDNWLHQQIVEKTSTFRSKMVLDIPGVTEAEIHVSSIPSPTTMACTKEDSQVKDEVMGLTLQSSTDYVEDIKQSKTDNQFTAKELCTPPFKEFCSNNEVKGHKNEHPVYHGRPRRSLQEELENCTLEKPNMDSKSHRSHDDKHKTGNVLSYTGE >ORGLA01G0090800.1 pep chromosome:AGI1.1:1:6829976:6831487:1 gene:ORGLA01G0090800 transcript:ORGLA01G0090800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPPPPSLSPDLHRVLSLLPRLASPRHLLQAHAYLLPRGGHRHARVASALLLASLRLPLRDHAAALVRRVHPSVSLRAAARLRGRGGGGLAAQLHSLLVRAGHAADPHASASLVQAYCSCGSVASARRVFDETAASADVVSWNVMIDGYVKSGDLARAREMFDVMPGRNVVSWTMVIGAYAQMKQPEEAIEVFRRMQVEGIEPDGVALLSVLSACGDLGVVDLGEWVHRFVLRRGLCQEIPLMNAIIDMYVKCGSVKKALEVFEGMEQKSIVTWTTMIAGFALHGLGSEAVELFRRMEKENVSPNDITFLAVLSVCSHVGLTDLGRWYFKTMVSQYKIKPRVEHYGCMIDLLGRAGCLMEARGLVQDMPFKANAAIWGALLAAARTHGDTELGEQALLHLIELEPHNSGNYILLSNIYAEQERWDAVRELRISMRDRGLRNVPGASSIDVDGMVHEFTSRDGSHPSLHKIREVLCAINSNIKSVGHIALLPESLHDVEEG >ORGLA01G0090700.1 pep chromosome:AGI1.1:1:6816262:6818459:1 gene:ORGLA01G0090700 transcript:ORGLA01G0090700.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCSYEPGPAFEENSEEAMLDISQTESTELWLIQWPLNQLDASDFHGQELTLKLHRDGKLSSLESSSGKSYDLVSFAAQQPDATVFLPSGPEAKAVGKIARRVSLVRYPDPEELEKPGLGSLTPSSKKSAGSSKKTRSRFTSGSKNRSSQGSAQSLGQQSAEPAHKHNQKREDESSLGHSNVSGKSAEGSQVRGGDSGTTSEVPQTPVEKSKKKNKKVRIAE >ORGLA01G0090600.1 pep chromosome:AGI1.1:1:6800108:6806821:-1 gene:ORGLA01G0090600 transcript:ORGLA01G0090600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDRAVRGSSDRRLRTKYDNAVYVVQRAFALYPFEEVAFSFNGGKDSTVLLHLLRAGYYLHKSSSDGEVEMNTIQNCPVRTIYFESPCAFPEINSFTYETVSTYGLPLETIHSDFKSGLEGLLKERPTKAIFIGTRIGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWSFLLTCKVKYCSLYDQGYTSIGSIYDTVPNALLSDSTTGKSFRPAYMLSDGRLERAGRTKKNISSVSSNGTNSTEVEQTISRSASIIVVGDEILFGTVEDKLGAGLCKKLHAIGWRVSHVAVVSNEIDSVAEEVERCKSTDDMVFLVGGLGPLHSDISLAGVAKAFGVRLAPDEEFEEYLSQLIGDNYTGDRNEMALLPEGITELLHHKMLPLPLIKCKNVVILAATNVDELETEWGCLLDTQESGLVMAKSFVSKHLCTSLLDVKIAPVVAKLCIDFSDVYIGCYRISRSGPLVVSFLGKDNQRVEAAAEKLTNSFEGQFSQVDSCK >ORGLA01G0090500.1 pep chromosome:AGI1.1:1:6791592:6798805:-1 gene:ORGLA01G0090500 transcript:ORGLA01G0090500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFHVYEAIGRGKHSTVYKGRKKKSIEYFAVKSVDKSQRSKVLNEVRMLHSLDHPNVLKFYSWYETSAHFWLILEYCVGGDLKGLLEQDKKLPENSIHDLAYDLVKALQFLHSQGIIYCDLKPSNVLLDESGCMKLCDFGLARRLKDIEKTNPGDVPQPLKGTPCYMAPELFQEGGVHSYASDFWALGCVLYECYSGRPPFVANEFTQLVKSIISDPTPPLPDNPSRSFQNLINCLLMKDPAERLQWSELCEHHFWRSRMSIIPLPPQPAFDNMVDLSATPYLVERNGDKPSRQSTPPKPRDGLRKKDENSAKVFTPVKNVLSGKKNNAKPSCKADGLKGVNILRMSRVAKRNLQREKDKENYRRHPTEASENDTEVKIENNDMELDFGENPEGDAPDDNDGSDNPGSAEDEKLSTQGTDGNEENCMSNQMDMLTDEGPVKAETMIKTEQNCSENLDVVATPPSICMRKAQRAKTTSGAAAGSEPSDISAAFWHPTDLAVKPVMPGRKGDKAVETVPMLPFEALPAVDYIKLPREQMNAFNSQILQSLSGTFQVSEKQNIIKYLEMLSINSDAANIITNGPIMLLLIKMLRLSKTSVLRVQIASLMGLLIRYSTILDIELASSGIFNALSDGLRDKHDKLRRFCMATLGELLFYISTQSDQDTKEINAQESPLKDNRATASWQVPSSVIALVSSILRKGEDDLAQLYALRTIDNICSQGTDWTSRFASQDVISHLCYIYRATGKQENTRLIAGSCLARLARFSSSCIHLILERLAFKDIACTLIKGNSREQQISLNLLNSALVNSQIIPTMNRYIQSLTEEKQLVPGLISLIEQGTDVLRGKTLLFVALLCKNSRRWLPHFFCNAKLLSAVDRLGKEKEGFIHQCTEAFVQLVASLVPGVLDTVSSDIQQVMGGKRHGAATALTGRAHPKSIIHLFPVILHLLGSVSFNHRVVTSHVLLQLANLMKILEAPFQARDDFQMTLLRVLEAATEEPSVILNEHKIFTSRILPSLSVLYKGNKDGDARFLCLKILSDVMIVIFSDSSLTSNEQTVSDLEKISQKYFLPMYPSFAEDEDPIPIYAQKLLVMLMEHDYVKVSDILNEATVSRCFEFLLGDLSNANVSNVKLCFALASAPDMDTDILSQLQVVRRIGNLLEFVTAKDMDDFLEPTLELCRAFIIRGIGSDKFVALSKEPALLVDSAFSMSIAVDQQSCVMDICDFGGNMGIFLDLVGSSDPHISDLASDCLVLLLKAAPREATVGLLTNLPKLSVVLDLLKHGTCLRLTRLLYCLAFSCRQYLAHGMIVSISLSALMRVEALVSTFKGSHDGRLADAASYLGAELQRLPRCG >ORGLA01G0090400.1 pep chromosome:AGI1.1:1:6781765:6785612:1 gene:ORGLA01G0090400 transcript:ORGLA01G0090400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLACLFPCPQEVRDEDEEPRSGQRVSSVEYSKSSESCPLKTEGSIDMVGIRQNKGHGEATIFTLRELADATNNFSTECLLGRGGFGSVYKAFLNDRQVVAVKQLDLNGLQGNREFLVEVLMLSLLHHPNLVKLFGYCVDGDQRLLIYEYMPLGSLEDRLHDLRPGQEPLDWTTRMKIAADAAAGLEYLHDEAIPAVIYRDIKPSNILLGEGYNAKLSDFGLAKLGPVGDKTHVTTRVMGTHGYCAPEYLSTGKLTIKSDIYSFGVVFLELITGRRALDSNRPPDEQDLVAWARPLFKDQRKFPKMADPSLHGHFPKRGLFQALAIAAMCLQEKAKNRPSIREVAVALSYLASQTHESQNTAARHTLPGPSVPRVLDNQINQDTSLPSQHGVHMPPLAGTDHMVQEVKENCRSSSHRLGRGRVTPNGADRERALAEANVWVEAWRRQEKTSKMR >ORGLA01G0090300.1 pep chromosome:AGI1.1:1:6759907:6764406:-1 gene:ORGLA01G0090300 transcript:ORGLA01G0090300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPHAAAAAAGGGEGEGGASPDTGLEGPMWRMGLGGGGGGGGGGGGGDGDAAGRLPERPGEEDCVYYLRTGACGFGDRCRYNHPRDRGGTEFGGGARNAAALDYPERVGQPICEYYMKTGTCKFGTNCKYHHPKQDGAVLPVMLNNSGFPIRLGEKECSYYMKTGQCKFGTTCKFHHPEFGGVPMTPGIYPPLQSPSIASPHPYASLANWQMGRPPVVPGSYIPGSYTPMMLSSGMIPLQGWSPYPASVNPVVSGGAQQNVQAGPVYGMGHHGSSSTIAYGGPYVPYASSTGQSSNNQQEHGFPERPGQPDCQYYMRTGDCKFGATCKYHHPRELSAPKSGYMVNSLCLPLRPGAQPCAYYAQNGYCRYGVACKYDHPMGTLGYSPSALPLSDMPIAPYPIGFSIATLAPSSPSPDLRPEYISTKDQSVNQVTSPVAASEPVGSILPKGVFPADTMMRAQTNTTSGGSSSPGGGR >ORGLA01G0090200.1 pep chromosome:AGI1.1:1:6754214:6754764:-1 gene:ORGLA01G0090200 transcript:ORGLA01G0090200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVEAAQHTVLLNDWWHRSTYEQAAGLASVPIVWVGEPQSLLINGRSRVCGCGRFGAYFSWRPARCTLDGADVGFTYDSDTRRTCSQWGPHWINLSQGQTGL >ORGLA01G0090100.1 pep chromosome:AGI1.1:1:6746141:6746503:1 gene:ORGLA01G0090100 transcript:ORGLA01G0090100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSPYTPCSSILYSKSSIRASSDPPRQAGNPHTPATFVAVVNILSARARSLRSTLMPPPSLCDSLPWNCGHATSALLSIPSPPEPEARDTRPEVSVHFCLCSRAWRGADSGRRHLV >ORGLA01G0090000.1 pep chromosome:AGI1.1:1:6732495:6737232:-1 gene:ORGLA01G0090000 transcript:ORGLA01G0090000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYAAAEGGGGGGGGGGGSTDTGLEESMWRMGLGGGGGGGGEAVAAGRLPERPGEADCVYYLRTGACGYGENCRYNHPRDRAAAAVLNGGGKTTHSAEYPERPGQPVCEYYMKNGTCKFGSNCKYDHPREGSVQAVMLNSSGYPLRSGEKDCTYYVKTGHCKFGSTCKFHHPEIGGVSETPNMYPPVQPQPISSSHPYQHLAGWQMGRPPVLPGSFLSGSYPPMMLPSTVVPMQGWNPYISPVNQVASAGGHQTVQAGPFYGLSHQGPSAAVTYGSQYAPLSSSTMPSSSSKQEPAFPARPGQPECQYYLKTGSCKFGSACKYHHPQYLNTPKSNCMLSPLGLPLRPGSQPCAYYTQHGFCKFGPTCKFDHPMGTLSYSPSASSITDLPIAPYPLNYAVAPVAPPSSSSDLRPEYLLTKEFSANQSASPGTTCGPAGAMLKAYAPHMLIRPQTSGAGGMVTTHGGEL >ORGLA01G0089900.1 pep chromosome:AGI1.1:1:6723861:6724190:-1 gene:ORGLA01G0089900 transcript:ORGLA01G0089900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVEKQQATAGTAVAGGRRKALVHTPSGQVVSSYAALEARLTALGWERYYEDPSLFQFHKRGSLDLISLPADFSAFSSVHMYDIVVKNRDSFRVVDA >ORGLA01G0089800.1 pep chromosome:AGI1.1:1:6722138:6722692:-1 gene:ORGLA01G0089800 transcript:ORGLA01G0089800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKHKDRCGAGVAGGGEAGGAREGRPASYVPFPCSPPSPSAVGSGEHDDNDDNGAEDSDTGDISTHCENGLLMVIVKKRMLPEKKTTSAALLPVGRHLGGLDKDGEERKREGEEDWFADV >ORGLA01G0089700.1 pep chromosome:AGI1.1:1:6714525:6714938:-1 gene:ORGLA01G0089700 transcript:ORGLA01G0089700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARLSSAVAVVLLAFLLAAASASAAAMLVEGGVVGRAAVVMRRGGRTCRGTVGECMEYFGVDGEGEDELAAAAMGGGKRRVLQGGSGYIGYDALRRDSVPCSQRGASYYNCQPGAEANPYSRGCSAITQCRG >ORGLA01G0089600.1 pep chromosome:AGI1.1:1:6708124:6711273:-1 gene:ORGLA01G0089600 transcript:ORGLA01G0089600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT5G27720) TAIR;Acc:AT5G27720] MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRSDRRPPGVGRGRGRGDIGAKPGGRGIGRGQDDGGSKGGGGRGRGGIGGKGGIKGGGRGRG >ORGLA01G0089500.1 pep chromosome:AGI1.1:1:6696999:6705507:-1 gene:ORGLA01G0089500 transcript:ORGLA01G0089500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH type) helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G47680) TAIR;Acc:AT2G47680] MAMAEEKRVGVGEARAPLAVEALRGKIVEKVKGNRVTLIVGDTGCGKSSMVPQFLLEENMEPILCTQPRRFAVVAIAQMIAESRNCQVGEEVGYHIGHSNVSNLNSKRSRIVFKTAGVVLEQMRDKGIAALNYKVIILDEIHERSVESDLVLVCVKQFMMKKNDLRLILMSATADITRYKEYFRDLGRGERVEVIAIPSSPRSSIFQRKVLYLEQIVDILKMDSESLSTKYCSGPNAAADAGLKPDVYELIHRLLLHIHQNEPDIGKSILVFLPTYYALEQQWIRLLSASSMFKVHILHRSIDTDEALQTMKVSKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDSAKLVWVSKSQAEQRKGRTGRTCDGQIYRLVTGPFYNSLTDHEYPAILRLSLREQVLMICCAESRAMNDPHVLLQKVLDPPDSDVVEDALESLVQIRALDKPTSPRGRHEPTFYGCLLNSLPLSFDASVLALKFGDIGSIREGILISIMLDIQPLPIVQPFGHQQLCKMYRNNYFEEEGIDLQTGKKEAALVGNLCAFQFWQRMFKDKYRLDCLINVVNTHEPKASNGFVAKPEDEWCAFHNLVPTALNYISEIYDDIMGTLHRFRPSFLVKINPPMYLQPSEFHHMCLRHEVLELENVNSLPLEAENSHLDSHRRCAATPYVSAADFGTTTVVKTLKTLIKEMKTQSAADRVTYRELLHGYVQPALENEMCVFFLNGSCNRGDTCHFSHSSRAPRPICKFFLTLQGCRNGSSCSFSHDSGSLVSSSITSGICSQENRATSVCCKRLLPAAGDGHILVMNDKSLQFACKLCNYYDPTKIIACTPGPHSFESDSVTKGLKILQNLADPSYLFIGGEHKLSVPWTKLSRVFWFADIDSNESISEQVVLQKFFQHIAIKTLSEKMSDLQVMVIMNNAKFVQLQVERLARECFLFLGESFMFDEATLGWFSDTPNYPRGMQVSAPVAYIFSMHPPTGIQFGDYASELRKVLYSN >ORGLA01G0089400.1 pep chromosome:AGI1.1:1:6692750:6693094:-1 gene:ORGLA01G0089400 transcript:ORGLA01G0089400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPERAARITRFLKPYLLRMHFSNKYVSAQVVHTPTATVACSASSQEKLLRPNMESTRDVAAAAKIGKLLGERLLQKGIPAVSIHMKREQKYHGKVRAVIDSVREAGVKLL >ORGLA01G0089300.1 pep chromosome:AGI1.1:1:6676336:6677700:-1 gene:ORGLA01G0089300 transcript:ORGLA01G0089300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADGRQVTSPAMLRQLRQLRWEMCRAAYALDALRMRNAAAAAASRRRRRRCQPLLLSLGGDGDATVMESLEAALGGAKELVVLLAGCPRLSRQPYSAYLFMERCMFGRQMEKEQIVDFLLRPACSSAGDPNPGVLPVVGGPEVGKRTLVEHVCIDERVRQYFAKIHRLSSDDLMAAGDDDEHRRFGIDPSARSLVVVDVVGDVEEEPWRRLCSSVRRENGDGKVVIICRTAEHAARLGTAPRPVTLDNLRRPELWYMFRVLAFGGADPEDRPELVVIAAELFEGVKHFAMIAAVNAHARALRADMTARSWRRIARAAIDAHGGRGEHGPVRDEDDSYYLYRPSMDGPHCLFYDRRKLTTWTPAASTTPTVTMQDLLTGRVVPGVDTPRFDVLVWRSPIPPYCSYVATCDIGRTHQESVVDSGGRKRFEKRRPSANLEHDEWLDKKRPMYNGS >ORGLA01G0089200.1 pep chromosome:AGI1.1:1:6673590:6674390:1 gene:ORGLA01G0089200 transcript:ORGLA01G0089200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKQLVQVQPKKIEMWQWQPPPLHPGVVTMAKHKLQLEDLSQTCRRDHYCVRCVHAFCSHCCDDHHFVPLGSHIVIPIAGVDAATGKPVIPAHYPRRPDLPITDFVIGLITANDFAEEHPRDAYCMYCFIAFSTALCHHHHTCAADCVLRIVRSHDGRHCVRCTGDEPWFPYMESVLGDPVAVEEEEGDDGEVVAVLLLLPVLRRSSPTACVHCGGEVPKHMRRSVLCSPACDAAHQLEVAQRRERRDAVLAARRLAKLNIHAV >ORGLA01G0089100.1 pep chromosome:AGI1.1:1:6661585:6662145:-1 gene:ORGLA01G0089100 transcript:ORGLA01G0089100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTTKRRQRDWRRGFDGSELKIATMLWLDGEKAAPVIFRLDEEADDKGLGAADLTTMMGSSSSWQEXRPEGDNGDGSDVLGSSGSEEMTTTEMERWLSRVDGEEGAPLLAEFGEGVDAVPSLEQGHKGDGQGPSPNEGPNPGIYTVYIXCPTAWHAXYYLLCSLAXQSFYSRRHSFSTSDLHNSQT >ORGLA01G0089000.1 pep chromosome:AGI1.1:1:6643274:6644756:1 gene:ORGLA01G0089000 transcript:ORGLA01G0089000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPVAQLGKNSGASDAATGGGGEVADAMDAFLVAESSPRSSSSAAKETGLDGMEGAHDGGAAGGEAAAGGQGGGGEVGPDGDGADGVQEEALVARCVVCRRRQVADEDEGGVCSVCLRRRMLSSVVCVDDMSAVADATAGVGMLYYCPSCDAFGRGGVHDHEVLVLGMFRGDWCAWLTGAERMAPIFLGIESDRLPSPFLGRQYLLQPSPGTRCRVCSDIASLDGLYSRLATLCTISCWAHADHAAAAAAHPWVLSLLEVGCSAQPEQLLDLFCTTCREAFLYGDCHCDDHHDHLLPLVFHSRMGLCVQISRGHWLWSVWESIADAELAADILHSSATSTRLIPIRGRTAQRCRWCQKRLLDGGGTTCSLRCRLSPGHSIAYLPSQLRYGMAAAPSSLPFFLLHFSQTD >ORGLA01G0088900.1 pep chromosome:AGI1.1:1:6607797:6609165:1 gene:ORGLA01G0088900 transcript:ORGLA01G0088900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRHREVEVASGVRLHVAESGPEGGPVALLVHGFPELWYSWRHQMRALAARGFRAVAPDLRGYGDSDAPQGRDSYTVLHLVGDLVALIADLGRPQVFVAGHDWGAVVAWQLCLLRPDLVTAHVSLSVEYQPRHPRMSVLQAVRVLCGDDHYVCRFQKPGVAEAEFARLDLNHLFKMVFGMRKPATIILPQDKTFFDAIDSDGTCPPWLSEEDISYYADKFGKTGFTGGFNYYRCIDLDWELTAPWTGALINVPTKFIVGDLDLTYNTPGVKDYIHKGGFKANVPNLEDVVVLEGVGHFINQEKPDEVSEHICEFFSKFLM >ORGLA01G0088800.1 pep chromosome:AGI1.1:1:6603580:6606424:1 gene:ORGLA01G0088800 transcript:ORGLA01G0088800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVRHRTVEVASGVRLHVAEAGPEDGPAVLLVHGFPELWYSWRHQMRALAARGFRAVAPDLRGYGDSDAPPGRDSYTVLHLVGDLVALIADVGQPRVFVAAHDWGAAVAWQLCLLRPDLVTAFVALSVEYHPRNPTRSPVQTLRAVCGDGHYICFFQKPGVAEAEFGRSDIKCLLKKFYGMRKAAPLIIPPGKTLFDSIDSDGTCPAWLSEEDISYYAEKFEKTGFTGGLNYYRCIDLNWELTAPWTGVPIKVPTKFIVGDQDLTYNIPGVKDHIHKGGLKACVPNLEDVVVMEGVAHFINQEKPDEVSDHICGFFSKF >ORGLA01G0088700.1 pep chromosome:AGI1.1:1:6592060:6595457:-1 gene:ORGLA01G0088700 transcript:ORGLA01G0088700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASRTWRRGTPAGCRGGAVAAGRAPRPPGGASQAVASGVFQINTAVSTFQRLVNTLGTPKDTPDLRERIHKTRQHITQLVKDTSEKLKQASEADHRVEVSASKKIADAKLAKDFQAVLKEFQKAQRLAVEREAAYAPFISQAGLPQSYNSSEVNNGADKLAEQRTALLESRRQELVFLDNEIVFNEAIIEERDQGIQEIQHQITEVNEIFKDLAVLVHDQGQMIDDIDTHIENAVIATTQAKGQLSKAAKTQKSNSSLICLLLVIFGVVLLIVIIVLAA >ORGLA01G0088600.1 pep chromosome:AGI1.1:1:6588186:6589058:-1 gene:ORGLA01G0088600 transcript:ORGLA01G0088600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAVEDGRAGHPAEVGGELGDNHRRENGTVAAGGGRSHAPGFGANKNDTLLVVATLITALTYQTLHDHLTATAIAVTRPA >ORGLA01G0088500.1 pep chromosome:AGI1.1:1:6583471:6586261:-1 gene:ORGLA01G0088500 transcript:ORGLA01G0088500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPLRLRHIRRLAATDPRRRLALNQSPSPSSHPSSSRIYVTVLSPHPHDDPRRRNGSWWPWRILGPDELPASQHSSLLLRSGLSDAALFSRLIPAPAPSSGRVRVRDSKSRWSDFSTPALASHRLRSARQQGLCREEKVELWKVIAGSFGSFFEDFSNWYVLINQLEFWSRYSL >ORGLA01G0088400.1 pep chromosome:AGI1.1:1:6572692:6573372:1 gene:ORGLA01G0088400 transcript:ORGLA01G0088400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGAVEDGRAGGELGDNSRQNGVDDAELGETSRQKNGVDDAELGDNRRRENGGGRRSHAPGFEANKNDTLLVVATLITALTYQLGTNVPGGYWQDDAADGSHAAGDPIMRDKNRRRYWLFMAASWAGFGSSMLLTLGLLTGVQSRSRAVQWPFLVSYSSLVLTFITSQSRTPLAMDVVIWGAVMAVLTVGIKYRRLDRLRFWFCPPAPSSHDHDMNGRQQAVAAK >ORGLA01G0088300.1 pep chromosome:AGI1.1:1:6565296:6568605:-1 gene:ORGLA01G0088300 transcript:ORGLA01G0088300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:I1NLZ3] MASTGALLLLLLFVLACGGNGGAAAAVFSGCSFESQEEAEAFEAALLQQACFNVTAFGGGGGGGGGEGGCVSRLDTARGGAGSGPVPVLRAAVRDTLGEAVGAVAAVAGLASLSNHPREEMAVRDCVELVGYSVDELGWALDAMADPDGGVAAAEEEEDETEPETRRRRRRGARAEDDIHAWLSAAMGNQGTCLDGFHGTDGRLLRRVESAVTQLTQLVSNLLAMHKKLRDITPQHQHQHHHHPGNNNNKNGTADGAAAGGDDTGPSSDLPPWVTDVVDDVEEEVTATRGRGRSSSSGRKAMRVDVVVAQDGSGRWRTVSEAVARAPSHSRRRYVIYVKRGVYEENVEVRKKKTNIVIVGEGMGETVITGSRSMAAGWTTFRSATFAVSGAGFIARDMTIRNTAGPAAHQAVALRVDSDRSAFFRIAVEGHQDTLYAHSLRQFYRDCRVSGTVDFIFGNGIAVIQRTTISTLPPAAGQNAGSVTAQGRRDPNQNTGFALHACVVEAKYPTYLGRPWKPFSRVVVMESYLGAGVQPRGWLEWDGDGGELATLFYGEYRNYGPGANIGGRVRWPGYHVIMDAAVAARFTVRRFIDGLAWLPSTGVTFTADLNRK >ORGLA01G0088200.1 pep chromosome:AGI1.1:1:6562275:6562463:-1 gene:ORGLA01G0088200 transcript:ORGLA01G0088200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKPKALLAQSKQKKSPTQIGATTIITYIVLGALVVSSVYYALKYWQNRGPAAAEGIVGN >ORGLA01G0088100.1 pep chromosome:AGI1.1:1:6537857:6546373:-1 gene:ORGLA01G0088100 transcript:ORGLA01G0088100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGARASPVSVPLAAVPKAGGTYPPLTAHTPFQPPPAGPSLAGWMANAAAATSSVPSAVVAASSLPVPPNQAVPIMKRPTITDYQSAESEQLMKRLRPSGHGVDEATYPAPIPQPLWSVEDLPRTVACTLSQGSSVTSMDFHPTRHTLLLVGSTNGEITLWEVGMRERLFSKPFKIWDIQACSPQFQSVAKESSISINRVTWSPDGDLIGVAFAKHLIHLHAYQQPNETRQVLEIDAHSGAVNDIAFSRPNKQLCVVTCGDDRLIKVWDMHGQKLFSFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDHMGSRVDYDAPGKWCTTMLYSADGTRLFSCGTSKDGDSYLVEWNESEGSIKRTYSGFRKKSAGVGVVQFDTAQNHILAAGEDNQIKFWDVDNTTMLSSTEADGGLPGLPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRAFGNRPFEAFRSQYEASSMKVSGAPVVAGISPNIGRMDHIDRNSPAKPSPIMNGGDPASRSIDIKPRISEERPDKAKPWELMEVLNAQQCRVATMPETPDQASKVVRLLYTNSGVGLLALGSNAIQRLWKWARNDQNPSGKATANVVPQHWQPNSGLVMQNDTADTNPEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQRRITGLAFSNNLQILVSSGADAQLCVWATDTWEKKKSVAIQMPAGKTPSGDTWVQFNSDWSRLLVVHETQLAIYDASKMERIYQWIPQDALSAPISHASYSRNSQLVFAAFTDGNIGIFDVENLRLRCRIAPPAYLSSAAINSNPSVYPLVVAAHPQESNQFAVGLSDGSVKVIEPLESEGKWGTTPPTENGVPNGRTSTSSATSNPAADQIQR >ORGLA01G0088000.1 pep chromosome:AGI1.1:1:6530966:6535507:-1 gene:ORGLA01G0088000 transcript:ORGLA01G0088000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAADKERFAESKKELDALLADDSLATVPFLILGNKIDIPYAASEEELRYYLGLSNFTTGKGNVNLADSNVRPLEIFMCSVVRKMGYGEGFKWMSQYIK >ORGLA01G0087900.1 pep chromosome:AGI1.1:1:6524856:6526163:-1 gene:ORGLA01G0087900 transcript:ORGLA01G0087900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KXIKIRPSFFDNQRWRDHDGDDDNDGRGRRDLARGREYWKHGEAEYRCERTRSPRPRDKENSNRYRGWRNRGVEGDGEPTEPELTLPHQRENDAMVSKFQFLLAMHSPVLKDNLAAEEFNQTTTLMEKIQEPAREGDEAWSLLEPIPLSRVFARIKGDLPANVSLQETTRQEIEEALARMEVAAAVAATEEPLLSPQSLCWTAAHLPAANKADTLVLQSPGQNIVAEAAAMNTPRGSPEQNVVAEAATTNTPSGATTTTTTSVTPAQLALDSLFATPPMAVIPTPPPTRKVAVGRRVKLRKQYNIGAKRRSARIAKQPALPMMERCQRVLFKRMGWLPGGDDATSMEEVLAQYVAMFEGPLPQHTIAALTAVFGIDIEDDDNPTDSLVKMVGEGVDEAAAEIEEIIA >ORGLA01G0087800.1 pep chromosome:AGI1.1:1:6514984:6518469:1 gene:ORGLA01G0087800 transcript:ORGLA01G0087800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRFVRGISPAASAAPQPPPPPVAQRHHQQQPSRGVLHAPLLRLWPLGGGGGGGGGGGGGGGERVGAVGGAVRGEEARSQRAAEAEADERKQGNWVLQMLRVQPQWAEAADAEAAGVTGAAREGGQEALTAGRDGDECCASCGGGDDEGCCGVEEDDESDGKVFDRATFSRLLRKVSLGEAKEFSKMSYLCNIAYMIPKITPKLLRRYNLRFVTSSVQEKAKTGVDQKQELKTEEGESSDQESEVVENPEPGSNKKKESGLGVNPFGAYHVMSSAASYLHSRAMGVVPFGSRNDGKNDPTIMAIVNGESGEGLTMDEASFVATTNSVTSMVAAKEETRQAVADDLNSSRSCPCEWFICDDDQGSTRYFVVQGSESIASWQANLLFEPVKFEGLDVLVHRGIYEAAKGMYHQMLPYVKSHLRSSGKSATLRFTGHSLGGSLALLVNLMLLMRGAAPASSLLPVITFGAPCIMCGGDHLLRKLGLPRNHVQSVTMHRDIVPRVFSCNYPDHVANILKLANGNFRSHPCLTNQLQKLLYAPMGEMFILQPDKRLSPHHDLLPPDSGIYHLSDSSSSSGTSLRRLRSAMSTFFNSPHPLEILKDKSAYGPKGAVYRDHDVNSYLRSVRAVVRKEVRRHRETRRGRWRRLLLWWPLGAHGALAGAGVLLDAAVEGGRETARQARAHARLLVVLLVPAKLLVIGAFLVVRLM >ORGLA01G0087700.1 pep chromosome:AGI1.1:1:6511849:6512626:-1 gene:ORGLA01G0087700 transcript:ORGLA01G0087700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVSMTWCWHRSFLLMMAFVCSWSITCCKSDEAAAGAFHGQKRSTEDIVARAIICFSDRYIYSGCQGQYRLGPEGALRVPAAAAEAFCGGPCLAETRLVLGCVDGIMDSFRFYNGASVRDVRLALDRGCGLRGDFDVLRRLGGDGYDYGGGPRGLVTTPAPLLLGAVVAVLLWG >ORGLA01G0087600.1 pep chromosome:AGI1.1:1:6507290:6508471:-1 gene:ORGLA01G0087600 transcript:ORGLA01G0087600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGPAAFVNGEILKMFVGRRVRTVVQAQREEGGLLIGQSTDGHQLTIKGASGAPMSHYVEIIGIAEPNQAIRAEVCTDFGENFDPAPFNGLCKLANGQMKDLFL >ORGLA01G0087500.1 pep chromosome:AGI1.1:1:6504943:6505914:1 gene:ORGLA01G0087500 transcript:ORGLA01G0087500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHAHAAAGSPAATAPSSPTRRRCAGKDDVDDADDDGGGSEQFFFFSAPASPVHYILRSPPSSTTTKTAAAAHYAPGVDGDHGCGGGGGGGGGDFEFAARQHGAGNGAAAMSSAEELFVAGRIRVGCLSPIRQEEAGFGEQEEGCVDEGESGGQRPPPRRARSASPPRSPHLAKIAEPSDSFASSSSSSTSTSSSSSSSSAKSTRRRISLRDLLLGSTANSDSATGAAAAAAAERSSGFWPPSIWPSSRSKKTATLALPCPCPCPPSLQPARRSTSSERSSAPPPRRRATSLPYRQGLVLGCLGFGARSYGLAKSMHPLSSG >ORGLA01G0087400.1 pep chromosome:AGI1.1:1:6495071:6496049:-1 gene:ORGLA01G0087400 transcript:ORGLA01G0087400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAMPAAVTHDDLSLRKAQERRAARSSGRAAVALVSLSVLCGIVGFILCLAAEGSRSEASHYLMTVGGGGANAAANNGQVDVCFYNSSGRAPLAFAIGAFLLLAVAMFAEHAYMLLAVAAPDSSAAGLAVAEGHPRVPSDPARLTWQTCCLFFVTWICFGLAEVMLMVGIAVESGHVSDWRKPRAVCHRVRPGVFAAAGILGLITVVVGFVVYVTALQTNKLRAQHPVGGYYVGHGAPHPGMPPPPMPYGPHPHPHPPPPPAAAATAPSAPEITPAACQVQPSRAACVTKQCADAV >ORGLA01G0087300.1 pep chromosome:AGI1.1:1:6489017:6493491:1 gene:ORGLA01G0087300 transcript:ORGLA01G0087300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1NLY3] MSLRPSERVEVRRNRYKVAVDAEEGRRRREDNMVEIRKSRREESLLKKRREGLQAQAPVPASAATGVDKKLESLPAMIGGVYSDDNNLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSSSDDVREQAVWALGNVAGDSPKCRDLVLANGALLPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPSFEQTRPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDAQTQCIIDHQALPCLLSLLTQNLKKSIKKEACWTISNITAGNKDQIQAVINAGIIGPLVNLLQTAEFDIKKEAAWAISNATSGGSHDQIKYLVSEGCIKPLCDLLICPDIRIVTVCLEGLENILKVGETDKTLAAGDVNVFSQMIDEAEGLEKIENLQSHDNNEIYEKAVKILEAYWMDEEDDTMGATTVAAPQGATFDFGQGGGAAQFK >ORGLA01G0087200.1 pep chromosome:AGI1.1:1:6486776:6487309:1 gene:ORGLA01G0087200 transcript:ORGLA01G0087200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLAHATLLLVVAVVAAAACWTTAAGENEEVAEICKKTSYPEVCIATAGKQASKYGAAVDPLAVLNMQVDAFAMRTEAARKHLTEAVKTATPKAAKALDLCDSLYLDVEDNLGAARRAIGFKDAVTIRAMMGMAAQDMQGCDEQFRKVGEKNPMDHFNRSLLEMSEICRSLSNMI >ORGLA01G0087100.1 pep chromosome:AGI1.1:1:6474182:6481800:-1 gene:ORGLA01G0087100 transcript:ORGLA01G0087100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02010) TAIR;Acc:AT4G02010] MARIRRASSGRGLLEDARRKTFLNFLAVVFTLELITRINLIAQTSALNVVAPAISPSQSWRPVRSMLSKAKVDISISVSEQRRKKLYSSPATLSVHPPMSAPSYSSISGDSDLSFYSSDMSDNLVQHNRRSEAEISTPVDAAPPDAASNTSAAPSGLVQPPVSPHNACCSHNMVQKRGSQDCHCVYPVRVELFLRNVSLTSNWSDEFLGELASQLSLRVTQFEIVNFYVVGASGLNITMYIAPHTGISFSADQVTAMNYSLSQHTVQINPVLVGDYNLLNLTWFRPLALAPAPTFTISPKPSPSQASTVPRHSADTSNEKHMSLITIICIFIGALIAVLVIAMFICFCKLRKGKRKVPPVETPKQRTPDAVSAVDSLPRPTSTRFLAYDELKEATNNFDPSSMLGEGGFGRVFKGVLTDGTAVAIKKLTSGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRESSQNLLCYELVPNGSLEAWLHGTLGASRPLDWDTRMRIALDAARGLAYLHEDSQPCVIHRDFKASNILLEDDFHAKVSDFGLAKQAPEGRTNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGQENLVTWARPILRDKDTLEELADPKLGGQYPKDDFVRVCTIAAACVSPEASQRPTMGEVVQSLKMVQRSEFQESIPTPPARPNVRQSSTTYESDGTSSMFSSGPFSGLSPFETENISRTAFSEDLHEGR >ORGLA01G0087000.1 pep chromosome:AGI1.1:1:6470979:6472292:-1 gene:ORGLA01G0087000 transcript:ORGLA01G0087000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMGGTAGGAAAAGIVAAICVVVYSNKRSKKLGGGGADSHTSAWLPLYHSHTSGKSSGHITANIAGMCRHFSFAEIKAATKNFSNDLAIGVGGFGVVYRGVVDGDVKVAVKRSNPSSEQGITEFQTEVEMLSKLRHRHLVSLIGFCEEDGEMVLVYDYMEHGTLREHLYHNGGKPTLSWRHRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILVDDNWVAKVSDFGLSKSGPTTLNQSHVSTVVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLFEVLMARPALDPALPRDQVSLADYALACKRGGALPDVVDPAIRDQIAPECLAKFADTAEKCLSENGTERPTMGDVLWNLESAMHFQDAFDAAAGRPVPALDAAGGSSSHLDDGSTASINTLATSSTSHPHEPCVDVVLEPDDVVAERATFSQLVQPTGR >ORGLA01G0086900.1 pep chromosome:AGI1.1:1:6465307:6467775:-1 gene:ORGLA01G0086900 transcript:ORGLA01G0086900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT3G62330) TAIR;Acc:AT3G62330] MAREPSPEIDDELFNEVYGKAYSGPVATTTNNVTPRVNDEKRPLEREKSDEEDGPPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRRNADFFERVPARDKQVRDLFTERTISQIEKDVGCKIKMDEKFLFVSGKDRLILAKGVDAVHKIIQEGKGKNTSSSPKRDRSRSPVRTTSDIRPRHTDSRWSHSPRSAPRSQSKGYYNERPLDGRSHDDMPKFSKGSPQASANYGAKGRPAQSKSPCQPSYIDDSLRSNDGNNQYAATYVPNTWSTETRGTDSRLSLKFDLPSYPQTLEELEMEFKREAMELARARDKEEDEENYKHRE >ORGLA01G0086800.1 pep chromosome:AGI1.1:1:6455616:6458567:1 gene:ORGLA01G0086800 transcript:ORGLA01G0086800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNHSSSYSSLFEPLLALSSLLLRRLSAAVRHHRGRNTPPASSDVPPPPPPSSSPLELDSLYGRTVVVDVDAWLLLPAVDAFTFFMVVAVEAGGFLRGLLLLLVYPLLCLLLGDGDGGGGARARAMATVALVGLEEREVARVGRAVMPRLLMAAAAAEGAEAVRAARRSVAVSATLPRVMVEAFLREHVGVDAVVGPELRSVAGVVAGIMDDADAARVAARRLPALLGDEMDQGEADGAGAAVGLVGEGRSGGTVHYLFSRYYCKETFTATEADKRRWRPLPPGGECGGVKPLVFHDGRLAFPPTPSAALAMYAYLPFGVALAVSRIVALSLLPYGRATFLVGALTGVHYRLVGAGHDAGGGGGGGRLYVCNHRTLLDPIVVAAALGKPVTAVTYSLSRVSEMIAPIRTARLTRDREEDRRSMAALLARGDLVVCPEGTTCREGYLLRFSPLFAELGADVNPVALDARVDMFHGTSTTPAAKWMDPFYFMMNPKPSYCVEFLPRAAPAPAAEDGGDSIRVANRVQRQIGEALGFELTGMTRKDKYMMLAGNEGVVAAAAAAAAAAATTIKASR >ORGLA01G0086700.1 pep chromosome:AGI1.1:1:6452195:6453410:1 gene:ORGLA01G0086700 transcript:ORGLA01G0086700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKLAVPVPSPAPPRPPSVTFRCLRPRTPPTRAPATPARALENGGGGGGGGSPLGRAWPGVAAALFGAGFVLGPLLDGIHSRVGLQLYHNGAVDVGPLHTHILVPPLLGAFYSTVGMLQLFLDERVSPPAAAAGGSKATGSPQKTAASLVFLAVFIEASAEMYRAGVPSNVEAYVLFAGAELAWLLLDGTWLGFAVACLVGTACPLAEIPLIKLFDCWSYPNADVQLLGEGIVSWTTTCYFVYTPFLANLAKWVKAELAVDDAAR >ORGLA01G0086600.1 pep chromosome:AGI1.1:1:6446753:6446983:-1 gene:ORGLA01G0086600 transcript:ORGLA01G0086600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLRAIVIGVDVEERQLVSGAREAERSCSKHPAMPRRCGFFSDNDVEEERWLVSCLRWPGVDRQAAWMQTI >ORGLA01G0086500.1 pep chromosome:AGI1.1:1:6443094:6445909:1 gene:ORGLA01G0086500 transcript:ORGLA01G0086500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G26749) TAIR;Acc:AT5G26749] MPLGKYYCDYCEKQFQDTPAARKRHLDGAQHHRARALWYDAVRRQELHGGGGGAPPLFHQPGAAAIGVCQHFVRTGTCKFGDSCRYFHPKPPPANPGPAPSGPVSGPMAQQSNIQGSQPNFVGYQAADGSSFSGNILGGHTSWGNLPPSLRPPPEGGYPPFPFVDWG >ORGLA01G0086400.1 pep chromosome:AGI1.1:1:6439529:6442035:1 gene:ORGLA01G0086400 transcript:ORGLA01G0086400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGVAPSGLKNSSSTSMGAEKLPDQMHDLKIRDDKEVEATIINGKGTETGHIIVTTTGGRNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYMYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRLPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGIPSDIMAKLIPEHVKKQCSYAGV >ORGLA01G0086300.1 pep chromosome:AGI1.1:1:6413365:6413727:-1 gene:ORGLA01G0086300 transcript:ORGLA01G0086300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSKMMAVAAVVVLAVVGAAEARNIKAAAAAAESKDTVVQPTTFPPFDRFGSAVPAFGGMPGSSIPGFSLPGSSGSTPGGLGGFGSMPMFGGLGGGSPGLGGGMPGSPAAADKQAKKP >ORGLA01G0086200.1 pep chromosome:AGI1.1:1:6404930:6409310:1 gene:ORGLA01G0086200 transcript:ORGLA01G0086200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALDWTPPLPASLLTTTPRRLLEPSSTFPLPLLRPSPPPPPPISPPPPPPPAAAELPAADMDDACAVCAEPLEWVAYGACAHREVCSTCVARLRFVLRDLRCCLCITPCPAVFVTKAMGDRTKVIPDFSALRGAGGEGKAGEYWHHEATQTWFDDADQYRMISAMCRLSCSVCDSNKKEEEERTGKAAKAKRKSKIRSVDQLKGHLLDRHGLYMCDLCLEGRKVFICEQKLYTMSQLNQHIKSGDSEVDGSEVERRGFGGHPMCEFCKSPFYGDNELYTHMTREHFSCHICQRQHSGQYDYFRNYDDLEMHFLRDHFLCEDKGCLEKKFVVFESEAELKRHNGVEHGKHMPGAVDSSSSSMQNGIAAVGHGLGGQSDSSRVPLQSLSISSSSGQSSETRQSFVRNRVLQQACVPPLSRQEVHDARVGSVLQEASFPSLPAQSRKAPAHSQSSRTAARIGDQQFRPLSVTSNRNVALAQQGTRTLPENTHVSGLAQYSKRTENMHQAVQPQFLKNNSLIPSGSTSRPVHVPSSAGNERQDTFSNSQVLSSVEDILAANKALVEKMRAALGMDQDMFNAFKEIAGEYRQGVINSSEYLSYVKQFGLLHLVPEMARLLPDAQKQKELADAYYANLRLTSLQENGGGGTDNSKQGNQNKKGKGGVPDAIGTSNAATDPLKDKLLNTAIKFQSNYMPQEGCCGVQRKEGRTTDGSSQGLPLKGAWQSRGGQRLFMSKAKK >ORGLA01G0086100.1 pep chromosome:AGI1.1:1:6398656:6403055:1 gene:ORGLA01G0086100 transcript:ORGLA01G0086100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L3 plastid [Source:Projected from Arabidopsis thaliana (AT3G17465) TAIR;Acc:AT3G17465] MAAVSRGLLARLRHLSIAGPRLPPCRRPFSAEPLVSHPDDDDAAAGGGGGGGEGSGGGRIIEARAGVMGPDSRRTGVIGVKCGMSAMWDKWGAKVPITVLWIDDNVVCQVKTAEKEGFFALQLGAGQKKEKHLTKPEVGHFRAQGVPLKRKLREFPVTEDALIPLGTTITVRHFVPGQFVDVTGITKGKGFAGGMKRHGFSGMPASHGASLSHRSIGSTGQRDAPGRVFKNRKMPGRMGGVQRTVKNVWVYQIDPARNLLYLKGQVPGPQGSFVFVKDSIYKKPDIAKLPFPTYFSQEGESEELLVADLGDIDPFMVAD >ORGLA01G0086000.1 pep chromosome:AGI1.1:1:6391799:6395873:1 gene:ORGLA01G0086000 transcript:ORGLA01G0086000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pigment defective 320 [Source:Projected from Arabidopsis thaliana (AT3G06960) TAIR;Acc:AT3G06960] MLRRMRWMADGDGRWELDVETPATMEGAARPVPGDPLPLGLSRGPRVTRTKQLDFLHRFMASPLVPSFSASGAGLSVHHAHLVHLSHNLSFTILEQLHVQKLVAVVKEKLSNRQEVSWSNDIKRHLHDVMSLGVGTELLITPDTTLLLELYNLKKGDRGKAIFRHKLPHQNITLEASWPGLFIDKNGVYWDVPLSLSADLASVGSESGLSYHVLLQQNSGEPKCFGGGEETSDVPVALLPGLCAKAAVSIKKSIDVWRKKEDKLKNVQPYDVFLSEPHVSFTGIIGAVASGSFGDCSKRMSMQNEIQKSNAFKYFDERNKFAAFADLFASVNFTAQHGNFQRLLLDLTRVSARLDISSGSLFLRGTSQLAQDFFFSRRPDLETFCDVCPDVIVSLQQQIVGPFSFRVESTVTIDPKKQDHFVRVDDSVFAIDWALKVLGSAKATAWYSPKHQEAMVELRFFET >ORGLA01G0085900.1 pep chromosome:AGI1.1:1:6382823:6390797:1 gene:ORGLA01G0085900 transcript:ORGLA01G0085900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase [Source:Projected from Arabidopsis thaliana (AT5G48960) TAIR;Acc:AT5G48960] MADSIELARRLCLQPPARRTGTGRCRPRGSLGAPSLRVPRPPLSLSLSLWSSLRCRALDSTRPVAVEGGLGDEEDEDEEGESYFALTSSRLSRVDYLGESTKGDLNVRREHLDAFGGNGKSTLHGPIEEIAWQEAREAESLLRELGIADPFSVRHSPRGIFCTRTLNLRSISVIGYDMDYTLIHYNVMAWEGRAYDYGMANLKGMGFPVDDLEFDPDLVIRGLIMDKDKGNLVKADRFGYIKRAMHGTQMLSTSAVSEIYGRELVDLRKESRWEFLNTLFSVSEAVMFMQMVDKLDQGLVPAELGPLDYKGLYNAVSRALFRAHVEGQLKSEIMAEPERFVEPDPELPLALLDQKEAGKRLLLITNSDYHYTNKMMNHAFNRFLPNDMAWRDLFEMVIVSARKPEFFQISHPLYEVVTNDGLMRPCFKANSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEDEFDALIRSHVQKEQLITLIQQKEVVGDLFNQLRLALQRRTNSRPAQTLAATCMDDQELTESMQKLLIVMQRLDEKIAPMLESDGELFNKRWGWLSRTGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFQSQEQTLGHDVHSYSRRQQH >ORGLA01G0085800.1 pep chromosome:AGI1.1:1:6378889:6379292:1 gene:ORGLA01G0085800 transcript:ORGLA01G0085800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALGWSEGEVMRPESKPCSRLMRQTAGVFSVGGALSFWVLCRLHYGPRITVPRSLRWASCGAISVSSASALLVRLFSPECEPQNIAAYDKLGHKTG >ORGLA01G0085700.1 pep chromosome:AGI1.1:1:6368494:6369234:1 gene:ORGLA01G0085700 transcript:ORGLA01G0085700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVLIGGGGAAAGGGGHEADADREDDLPIPGFLRDVPEGLLLHPVRRRLLLPLLPLPPPPRRRLALVRPAAPRRPRRRRTADGRHALPRGVAACMAAQDYTSRLPRDAFCLPCGASFRADLCSHHDAHADAATGQPLADAVLRGIEEHGDGRHCVRCTGSEWWADLMGVVLGDPVLTGVDEEGAYYELLPVLKATESNCLRCGDDMEGKLRIGFYCSLDCFREDQRTIEERRQRRVARHAARHSRDN >ORGLA01G0085600.1 pep chromosome:AGI1.1:1:6359034:6359972:-1 gene:ORGLA01G0085600 transcript:ORGLA01G0085600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTDEEELLILRGFLDFTARRGTTFASHQYDTGPFYDEIRRRLSFDFTKSQLVEKLRRLKKKYRLCAARMASSPHAAAAGFAFRTPHEGAIYDLARHIWPPALKRDGTASDDDDINPAAAATAAVTMPVAMEDGFGGSAPTPTPTPRGRGGRRVRRRMAQEQEAAALPSAPALTSTDGAHQEPLVAAMENSFPQIAQLPPVSETAPMPVTANGANEEAVRSVLSPLLKEFITSFAVAGQTGPGMGLNMGFGGAGLNADIAGLGFGIAGPNPGVPSADRWRQQQILELEVYLKRIELVREQVTAALDELRSSEG >ORGLA01G0085500.1 pep chromosome:AGI1.1:1:6357091:6357993:1 gene:ORGLA01G0085500 transcript:ORGLA01G0085500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVIKADLIGRACKSEILAIVATIKGIKSMDIDAEKCTLTVVGIVDPVRIVRKLRKKCFSACIVSVEDDKPKEEKDPCKEAKEKLEKAWKEYCEKCNVKLKPGCPCSCSTPCQPCFPPRSPCSFPPISCYDRGICPPPCPPRGYGYGCYYEERYPGGECVIQ >ORGLA01G0085400.1 pep chromosome:AGI1.1:1:6353793:6354499:1 gene:ORGLA01G0085400 transcript:ORGLA01G0085400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVIRADLIGRSCKKDILHAVSKLQGIKSMDIDEEKCTLTVLGPVDPVKIVHRLKKKCFAAAVVSVEDDKPPDPPAPAPEPEKKKDDDDPCQCQCKEAECACVKVCVASCYHTPCSLPDCYFYKSYSYSYKPSPSFGFGYHLESGGHCIIQ >ORGLA01G0085300.1 pep chromosome:AGI1.1:1:6334353:6335396:1 gene:ORGLA01G0085300 transcript:ORGLA01G0085300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYNWLLLYAEWLRRRCYCFVRSCCCKRDYPVGMEEPAQEQRWLVQIRSKTLLSEVLRGIGANEARYSCRAVADGYVGFVEATVYGARGVGEPFVVRAQGILAIRPCDAEESAAHALISVIKKECSVEFDDINWFDMNRYHVETERLKRALGRARKKCNTLAKKARLLEIGWDRALDSLGSVNQICDDICSSVVGGPDADDLSHREVGVLYDVHRLGEYAESFVDEGLANLTSVAARYI >ORGLA01G0085200.1 pep chromosome:AGI1.1:1:6329051:6329989:-1 gene:ORGLA01G0085200 transcript:ORGLA01G0085200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMKDTNAAGPETVGNPDPMDQTEDNSMPSAQEQELAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKSGQQGAAKPKGPLEALRPKLQPTRQQQQRSRRLINTSSENEDGDGAGAEDMNIN >ORGLA01G0085100.1 pep chromosome:AGI1.1:1:6319590:6322790:-1 gene:ORGLA01G0085100 transcript:ORGLA01G0085100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGSSWSSLLLGAVAVALAVAAAPSLAGDPDYLQDICVADLNSEVKVNGFPCKANATADDFFSGVLASPGAAANTTTGAVVTGANVEKVPGLNTLGVSLARIDYAPGGLNPPHTHPRATEVVFVLYGELDVGFVTTANKLLSRTISQGDVFVFPRGLVHFQRNTGGKPAAVVSAFNSQLPGTQSIAATLFAASPAVPDAVLAKAFQIDDEEVDKIKAKFAPKKT >ORGLA01G0085000.1 pep chromosome:AGI1.1:1:6317244:6318119:-1 gene:ORGLA01G0085000 transcript:ORGLA01G0085000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLLVVLFLSLCCSPRLRGEAAQQWTSATATFYGGSDASGTMGGSCGYGNMYSAGYGTNTTALSSALYGDGASCGACYLVTCDASATRWCKNGTSVTVTATNYCPPNYSESGDAGGWCNPPRRHFDMSQPAWEAIAVYSAGIVPVRYARTPCRRGGGIRFGIAGHDYYELVLVTNVAGSGAVAAAWVKGSGTEWLSMSRSWGENWQSNAYLTGQALSFRVQADDGGVVTAYDVAPANWQFGSTYQSDVNFSY >ORGLA01G0084900.1 pep chromosome:AGI1.1:1:6309705:6310581:-1 gene:ORGLA01G0084900 transcript:ORGLA01G0084900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARMLVLLASLCALLLTASAAKWTPAFATFYGGSDASGTMGGACGYGDLYGAGYGTRTAALSTALFNGGASCGACFTIACDTRKTQWCKPGTSITVTATNFCPPNYALSGDAGGWCNPPRRHFDMSQPAWETIAVYRAGIVPVNYRRVPCQRSGGIRFAVNGHSYFELVLVTNVGGSGAVAQMWIKGSGTGWMAMSRNWGANWQSNARLDGQALSFRVQADDGRVVTAADVAPAGWSFGATYTSSAQFY >ORGLA01G0084800.1 pep chromosome:AGI1.1:1:6306939:6307577:1 gene:ORGLA01G0084800 transcript:ORGLA01G0084800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGSLRGKLHGCALRLRGDSLPVVVSVLAVAALCATALSRVVVFFLPLVASTSLCCAAAYLLVAASEPDQEGAAGAAAAKEVVLVRGDRAEVGVLQVFDGANATVYAAAADADAMRVGCFLHYRPRGAGGGGGWTERGVDEDGEEVVFAGRLTAVCGGDGDDVEEELAALRVDRLAEGVWDSYFGGWSRWNYVTDGHYYDEDATIFLDS >ORGLA01G0084700.1 pep chromosome:AGI1.1:1:6304551:6305681:1 gene:ORGLA01G0084700 transcript:ORGLA01G0084700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLAQSTAVAKVAASGCLRRSPNPSVTFQRSPSLLLSPAACRRRCRRGCSVSVDVRCALGAMVTPELKGGDVGVGVGGGSFDFQQYLSARADAVHDALDRAMPRGFPERLCESMRYSVLAGGKRVRPVLALAACELVGGDAAAATPVACAVEMIHTMSLIHDDMPCMDDDALRRGRPSNHVAFGEFTALLAGDALHALAFEHVARGCGDHGVPADRTLRAVAELGSASGTGGVAAGQVADKESEGLPVSLAMLEYIHVHKTARLLEAAAVSGAIVGGGADAEVERVRRYARCVGLLFQVVDDVLDMTSTSEQLGKTAGKDVEADKATYPKLLGVDKAREYAADLLAMAEAELDGFDAERAAPLRHLARFIAYRQH >ORGLA01G0084600.1 pep chromosome:AGI1.1:1:6301792:6303028:-1 gene:ORGLA01G0084600 transcript:ORGLA01G0084600.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLGMRFLSQKEVMAIGGYNAFLQTKLPPEHRIYDPDKETLESGMATFLTAFPRGFAIEVLDVYSGPPQIVFKFRHWGYMEGPFKEHPPHGKRVEFFGICIFHVDEEMKVEKTEYFYERGNFLASFLSTPAAAASAAAEAASGSGCPVMGGN >ORGLA01G0084500.1 pep chromosome:AGI1.1:1:6296327:6301235:1 gene:ORGLA01G0084500 transcript:ORGLA01G0084500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:I1NLV5] MAFEKIVVANPVVEMDGDEMTRVIWKWIKDKLIFPFLDLDIKYYDLGLPNRDATGDKVTIESAEATLKYNVAIKCATITPDEGRVKEFNLSAMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWIKPICIGRHAFGDQYRATDTVIKGPGKLKLVFDGREEQIELDVFNFTGAGGVALSMYNTDESIWAFAEASMNMAYQKRWPLYLSTKNTILKKYDGRFKDIFQENYETKWRAKFDDAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLIAQGFGSLGLMTSVLVCPDGRTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTTGLGHRAKLDDNKRLLDFVQKLEAACVRTVESGKMTKDLALLVHGPNVSRDKYLNTVEFIDAVAEDLRTRLSVTSKL >ORGLA01G0084400.1 pep chromosome:AGI1.1:1:6291120:6292517:1 gene:ORGLA01G0084400 transcript:ORGLA01G0084400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEVSGGRDKYRSFMYGEGEKDTVWRLGSPPNYDVVNKLFEEERTKEWPEGSLEEKVQRLLKTWEMELVHKVRPEDQKSVHSQKFCSSTNGMRFLNRKDLMAIGSYNAFLQTRLPPEHRIYDPDKETLESGMATFLTAFPRGFAIEVLDVYSGPPRIVFKFRHWGHMEGPFMEHPPHGERVEFFGICIFHVDEEMKVEKAEFFYERGNFLASFLSAPAAAATEAASGSGCPVMGGN >ORGLA01G0084300.1 pep chromosome:AGI1.1:1:6284415:6284819:-1 gene:ORGLA01G0084300 transcript:ORGLA01G0084300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAVLLMALALTLAAAGAGAELETAAVVRRRQSRFLASAKNSPPLSYYDCKRKPPSVCREPGSPGATCCKGACVDTESSFAHCGSCNHVCKYGETCCGGHCVDLLSDGKNCGDCFVRCPSKKCSFGLCDYAG >ORGLA01G0084200.1 pep chromosome:AGI1.1:1:6277991:6279230:-1 gene:ORGLA01G0084200 transcript:ORGLA01G0084200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLKPVALLLLILNLCMYVILAIIGGWAVNISIDRGFILGPGLRLPAHFHPIFFPIGNWATGFFVVFSLLAGVVGIASGLVGFSHIRHWNYYSLQPAATTGLLAWALTVLAMGLACQEISLDRRNAKLGTMEAFTIVLTATQLFYVLAIHSGSRGPVPVERTHAMAAGY >ORGLA01G0084100.1 pep chromosome:AGI1.1:1:6265919:6266935:-1 gene:ORGLA01G0084100 transcript:ORGLA01G0084100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSRSLKPFAASSIDGGVASTKPAAAPVVARFGMLTRFHAGYFRISLALSGQALLWRTLSDASTDPRALGPVVRSLPSAAFVLLWSLALLTLVALCALYAARCLLRFPAVRAEFRHHVAMNYLFAPWISWLLLLQAAPPLLLRPDARPYRALWWAFSLPILALDVKVYGQWFTRGRKFLSMVANPASHITVIGNLVTARAAARMGWHEGAVAMFAVGAAHYLVLFVTLYQRFLGSDSLPAMLRPVFFLFFAAPSMASLAWDAISASFDTCCKMLFFLSLFLFASLVIKLALHSKSLAFTPSYCICTQTPRMNVMSQHGIRSKPSHFLSNAQDKFHF >ORGLA01G0084000.1 pep chromosome:AGI1.1:1:6261475:6261897:1 gene:ORGLA01G0084000 transcript:ORGLA01G0084000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTVAKSSEEVPPARGLPLYLHPPFPRGPERRGEGAGLGCGGRAAHDDRYIVFSPILRLISSVVTFLFSIRVFGSQDFAFFFSVRLPMWCSVGVLHRWTATRRLPSPRGGSVHARCSPFRNLVEMSGSMFLFFPSGCS >ORGLA01G0083900.1 pep chromosome:AGI1.1:1:6256505:6258315:-1 gene:ORGLA01G0083900 transcript:ORGLA01G0083900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78530) TAIR;Acc:AT1G78530] MHTTMVALYITICSILFIVSKMLISFLLYKKWARKKRIIENSLTGGKMVMFRSAAMQSLSPKSFLTMIMGLSNKDIIGSGGYGTVYRLSVGEKAAFAVKKLSRGSAEMDRGFERELDTMGDIKHRNIVPLCGYYAAPHFNLLIYELMPNGSLDTILHGKEETRRALGWEARHKIAAGVARGLAYLHHDCIPHVIHRDIKSSNILLDHNMEARVSDFGLATLMKPNHSHVTTVVAGTFGYLAPEYFETGRATTKGDVYSYGVVLLELLTGKRPTDESFLENGTRLVTWVKETMEEKREEHAVDSALESSFPAEEVKLVFKVADKCLESEPCNRPTMAEVVKLLEQAKNTTA >ORGLA01G0083800.1 pep chromosome:AGI1.1:1:6226206:6228528:1 gene:ORGLA01G0083800 transcript:ORGLA01G0083800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADDSGGGGGRARRSVEVDFFSDEKKNMKKSRVSGGAAAEADDAKGPAAAGLAIKKEDLTINSNACARPCSLQLLPAGNNARSDRSMVVDDDAASRPDHEEKSRSSNELAAMQAELGRMNEENQRLRGMLTQVTTSYQALQMHLVALMQQRPQMMQPPTQPEPPPPHQDGKAEGAVVPRQFLDLGPSSGAGGEAAEEPSNSSTEAGSPRRSSSTGNKDQERGDSPDAPSTAAAWLPGRAMAPQMGAAGAAGKSHDQQAQDANMRKARVSVRARSEAPIIADGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRSILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGAAGLMSSNFLARTVLPCSSSMATISASAPFPTVTLDLTHAPPGAPNAVPLNAARPGAPAPQFQVPLPGGGMAPAFAVPPQVLYNQSKFSGLQMSSDSAEAAAAAAAAAAAAAQFAQPRPPIGQLPGPLSDTVSAAAAAITADPNFTVALAAAITSIIGGQHAAAAGNSNANNTNTNTTSNTNNTSSNNTTSNNTNSETQ >ORGLA01G0083700.1 pep chromosome:AGI1.1:1:6207858:6211702:-1 gene:ORGLA01G0083700 transcript:ORGLA01G0083700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAPMASTAQPQQQPQQQQEQQPVAAAAVPTPAPPASEAQPQKPTRVSLSYEEISKLFSLPIAEAASILGVCTSVLKRICRSHGIVRWPYRKLVSGKSGDDTKNAEREKAKGLLEISKVAKQKALSASGLSTVSPGAFQGVAKSQQGSSKAGQVSPPGKQNVLGGSAILSYGTQTKGIPTYMDDFKYGFPSSGLSLQTMKWWGTDSHTETTPAKDDNGEAPESANEASKGMTDDELDWGADEAEAEADADSAITTEPSAQLCSLRRKAVDDGRKLLTGKSCGGLELCRLNKRQKMALAQVFGASLPEQLRSKLG >ORGLA01G0083600.1 pep chromosome:AGI1.1:1:6204838:6205539:1 gene:ORGLA01G0083600 transcript:ORGLA01G0083600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSSFAVAAAAAGGAPWRGVVSAGRAAPRRRVALVVRAQSEPEVEPTKEETATSSSSPSPATTPTPSPAAAAPKANPAASTKLWDVLAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLDQAGSGSGLAWFAATAAVLSAASLVPLLRGETAEARSGGVMSADAELWNGRFAMLGLVALAFTEFLTGSPLVNV >ORGLA01G0083500.1 pep chromosome:AGI1.1:1:6203185:6203679:-1 gene:ORGLA01G0083500 transcript:ORGLA01G0083500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKTKERGGHRRSLHLLPRIQQLELHAHLEFDFIWSIGGGQMLAALPLAPNQVVGHAHEKAHGTQGRRLGLGCDLGGRGGCPHTRACLSVPCAREGEARDKEDIWVRIGRGEVVRSGGRQAMALWRRRLGKVRRSDRGIGRNHDHEAKGSRTWMMDETEYDE >ORGLA01G0083400.1 pep chromosome:AGI1.1:1:6201607:6202809:1 gene:ORGLA01G0083400 transcript:ORGLA01G0083400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAFGAAVAYPASGAPAALALAFILGYGALLFLLPFSVYALEFLRQEFPIARTPLSVQACVADTPVALSVAVLAVLNQARAGGGGDVALAACVVWAADVAAVLSLAWCLTHGGALAMALTRRKQYEESGKALERMMKGYNPKDPAAVLFALDLGEIRDASVRLAAAVSAACAVAGGVAVGGGGGGGMSYTGLSYAAAFFALPMLCLSYFQKTCAYPVDMPKHLAAYDRPHLKVIRYACVRFVAAVSAASAIAGGLVVGGVSWIGLSYAAVFFALPMCLLYFREKYGFSMSDMSSLLKWCNVSVPMAALALLFRLVTAARQAAAPDVRLVAIAGTVWAVDAAAIGFLGWRSTREMAKPILRANASEIFTSFVMVCLRYWLYLHVFYILGNGSQRCFQFII >ORGLA01G0083300.1 pep chromosome:AGI1.1:1:6200536:6200751:1 gene:ORGLA01G0083300 transcript:ORGLA01G0083300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQLAMRLLLPGLLIGLAVVTLILPVLLLLLMVLVMVVRVRQLTGGISAADLVVELAERLCGEHADVAVL >ORGLA01G0083200.1 pep chromosome:AGI1.1:1:6190605:6197583:-1 gene:ORGLA01G0083200 transcript:ORGLA01G0083200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKTLQGTQQQYAASGFPTQQYPTSGWTQSAAEILQLDNMDQDTSVVRNIIHRKIVEYLNERKEFCNFDLSFLMEIGKCIDRHLFEKADSKIKYMDLETLRTRLNAIVNSASFRGSMFHWNASAASSKLNSQQLPVMEVPIYHDRVTPGPNNLPSCAYNVSSTQGYNQYENCMGAANFAHSLADKPKQMPERLANTIFTSCASTLPKCSPSIDVLHTGHIKEHFSGDAYQNDSSQPSTSGSSSSLSAVWDQTTCSSAMRTLPMDSFSTVNGQNLSTNNKSLYPTTGQGPLLQQYVECEMKQETWSQSLEQSDQSNITTGNHDLYHAQIHPYINGEHKRDRCIQMKEKLGHTSDHEGFSREKSSNLSNHFMHHQQGFMTNYGACSPVSKTVDRAEQTSNSTVSKPTSPASDGSSGKHYPAKRLKVDVPHLVHVNEMEASKEQQPAANETYASAETVQSEVTNSPTKSPCCTSLGDNIACTDSVHGMDMVRLSGSAVQTEEEFRRENSDIEMKDAKVDLLDQTLSGDSLRARKRRGASVLYALTSEELKDHLCTLNHDTSQSKVPTEELLSVEGLPDQNTCNLCGMERLLFEPPPRFCALCFKIINSTGSYYVEVENGNDKSSICGRCHHLSSAKAKYQKRFSYAETDAEAEWWVQCDKCKAWQHQICALFNPKIVDPEAEYTCAKCFLKEKDNEDVDSLEPSTILGARELPRTRLSDHIEQRLSERLVQERQQRAIASGKSVDEVPGVEGLTVRVVSSADRTLQVQPRFKDFFKKEQYPGEFPYKSKAILLFQKNEGVDVCLFAMYVQEYGSACPSPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDFCKKRGFVSCSIWTCPSTKRDDYVLYCHPTIQKMPKSDKLRSWYQNLVKKAVKEGVVVERNTLYDFFLQPTNECKTNISAAWLPYCDNDFWPGEAERLLEKKDDDTSQKKETQLGRLLRVAKRDDRKGNLEDILLVHKLGERLRTMKEDFLMLCLQQFCKHCHHPIVSGSSWVCTSCKNFFLCERCYAEELNTPLKDRHPATTKQKHAFERIEEEPLPETDDVDPTMESKYFDSRIDFLKHCQDNQYQFDTLRRAKHSTMMILYHLHDSTCSSCHRAMDQCLAWRCLVCLGCNFCDSCYKQDGESLHIHKLRQKKDHHVLQKYTLQDYLEGLVHASRCFDRSCTSKLCLTLKKLFFHGVRCHTRARGGGGCHMCVFMWKLLFTHSLLCDNADCSAPRCRDIKAYIADRSMTDLSISG >ORGLA01G0083100.1 pep chromosome:AGI1.1:1:6179096:6179347:-1 gene:ORGLA01G0083100 transcript:ORGLA01G0083100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDAEDGDGKRVRLFVGQVPCSMAEEEILAVEAATASCMAKDSAVPSGGRWLGPAGTDAAVTDGAQRKHAAIAAPPAAGLLTE >ORGLA01G0083000.1 pep chromosome:AGI1.1:1:6171103:6173514:-1 gene:ORGLA01G0083000 transcript:ORGLA01G0083000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPHLFDGAAPKFRRDERMAAPPREATWVILACVPSVSSSDSDFEAGDHLAFDWRDPPGVSLLTLRQSDSVPVSPAPRDFCPDRDDHPYVVAVDSAGGLLLRGARRSAHDFGPGVALGFDPAPSCANDGGYILCHATLRMAYLYPPCSDEYRLFCAGNVGMIRRTADRDHPIRLLAELQIESGNGIHRATLLRYSHELGGWASTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKMPQLPTMYHSSRHVRAWELPHSICRGEDDETDVMLYFGHVSNLTDELDLNFSSDKADELLSTTGRLFINPRFQELRNATAFPKYLSFVIVKATDAYEALCLMRNFVSHVSMDGSNIVPRVSAESIV >ORGLA01G0082900.1 pep chromosome:AGI1.1:1:6169115:6170073:1 gene:ORGLA01G0082900 transcript:ORGLA01G0082900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVVPCPSAAAYGPGGCDGVGCSSSHNVWAHRVRHNGGVYRLYSSCVLLGHRDAFCSVCLDVFPGDAPFQDDFYNHIVSCSCCGVEPVAAAHLACLTDPSYFVCPACAAAAEGRAFTYAPSSGAPHALAERVLLVAARLAHESVARAVAAAREQAERLIREAAAARKRARDMVDVACRVLEAEARDAKEQAAAPPSPVLTKKTTPKNSAANRSSDKPLKINSIQKPALAFAAAAAAAAAAASSTPLSTPSPAGERKPMKRGRASANRGAKDDQRTLFGAFQP >ORGLA01G0082800.1 pep chromosome:AGI1.1:1:6151973:6153139:-1 gene:ORGLA01G0082800 transcript:ORGLA01G0082800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQMARLQEERAAEEMQMREAAKGRWVILVSVPHVAHDEFHFPPGTELRLNFGAPPFASRITVPRRIAPDRKAIDNYPYLAAADERHGRLLLYATQGPDPEPRPALDAFYLRPLGVHHGFAKAYFICDTTTREASRLPDPDHPFAILHPGNVGLLCYSISFYVAELQPAPASRTATLLLYRSDSDAWVDEELSYPPHGRPWGGNGVVSHQDRLWWVDLSYGLLTCDVVYGDDPPDLRYVPLPQDSELPAGTPDLEKRRCVGVSAGRLRYVQIDDDEHDGDPIVRMWTLLDEDAGEWGFDCAASFVAIWDDEAYKATKLPRQVPAVALIHPTGPGDVVYFFLRSRIFAVDVRARRLLEWRFFEMLHPPMRYHSSQFVRAWNLPTLFQ >ORGLA01G0082700.1 pep chromosome:AGI1.1:1:6144226:6145589:-1 gene:ORGLA01G0082700 transcript:ORGLA01G0082700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETPPMEKVDAAVKDRRWRKKDGRKWVLLACVPHVVRGDYFEVGMDNVIKAALAPRATRLVVHRSIAPRRKTIDDHPFVAGADCHGRLLLYASQGPEPEPPVLDGFYRGPLGEHHGFPKAYFICDTLKHKSTRLPDHGFPILHPGNAGLVAITKTIFHVADLHPIVGSDKAALLIYFSVPEIWATHVVDYPPRDRPWGGNGVVVHKTIVWWGGSLLRSPLLRHQHETSESALRPAPSGLRAAAGNAXPRXAPVRWVXRRRSPVHGDPRARVRRQTGGQHVDAGRSGCRDLASRLSAARQGYLERRGLQGDQAAAGDPHGGVHPPGAPRQRGLLLHALAAVRRQPVHAQGPGVAVLRDAEPADALPLVPIRSCLGSPKFWPDHACTL >ORGLA01G0082600.1 pep chromosome:AGI1.1:1:6132268:6141259:-1 gene:ORGLA01G0082600 transcript:ORGLA01G0082600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTSRERDTAAEAVVDEEEVGDAQPRQEWIMLAAVPDVRRSSRMFPPGTDFVLALKKPPHVSHVTVAARIAPGLQATPTRFPYVVAVDAGGAFLLCVTQRAREPPLATGADASVRARRRREFARVPAYFLCDAHTGMASRVPDPPVGGPLGDFHRVGLISRPCGGGGGGGGGGGGGGGEGVAYAIAELVPMLGTDHATLRLYWSATGLWLSKEVKYAGLGHPESWANDAVISHAQKLWWVDLSCGLLACDPFTEHQDLLFVPLPDGCVPPVAGTENDLIKHCCVTSSGGKLRYIQIHNRLGVPIISVWVLADPEHTTXDCECHLPFSEIWSRRWVSRITRKKSLMVAAVHPVHTGMLFFVHGKNLFTVDVKARRMI >ORGLA01G0082500.1 pep chromosome:AGI1.1:1:6127548:6131148:-1 gene:ORGLA01G0082500 transcript:ORGLA01G0082500.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSTECRWARSTQLPTNPAHELWGKHYMGQPTWANMGRPASREEVGEEEVRRLAMGDTATTSSQGGGCRGRMLGGSRRLDGGEIDGVLPEPHERQHSVALHVTSEDRLSALPDDILLEILQRLADDLRSVVRASALSRRWRHLPHMLPDIRLHARDFTQPDIGAGGRHNNAAGYTVDQTMAAYATARWLLEPTITTPQRVTKKSIDLAFFLRDPYLHSIGRAVGHAIDGGGGTEELDLTVEADIAIADLTDEHKVSLGRRFWSFFQVCPVVFRWLTRLTVDDCLSGPDDIPTLVNTCGRLRFLELRHCDVVDDAVLEIDAPRSQLVCLKLHHCNFRRVDLIRIWVKPEDPKLLSPIFGNLRNVHLRNIFNGCDLNWTLFLLDAAPSLNSMYISVCRL >ORGLA01G0082400.1 pep chromosome:AGI1.1:1:6125603:6126790:-1 gene:ORGLA01G0082400 transcript:ORGLA01G0082400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCRHTCEACECEYGAEKTNVTRKTSDFKHHNLSLLEMKGFEVEKRRRTDRIQQDEKEKESKIITGFALHRRVGSHRLDGGSVAAGGGVEDGELIEADEAVAVGGCVAEALEPXDPAVAVGVELDEASRRRRSPPRSGPPPPSPSPPPSRPQPFTAASNRSPLQGLTRTTAEAARSTALAGETPRSAANTAMDKLRRWWMETDVGGGLGRRCSRSPQWRRRGKARVRRRGRRGGGSEVNGEEAARSRRRRRGAWEEEEEGAVRLRKREGSRERKGR >ORGLA01G0082300.1 pep chromosome:AGI1.1:1:6120138:6120359:-1 gene:ORGLA01G0082300 transcript:ORGLA01G0082300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLLLLLLTPLMEARSFALMRLPLPTPAHALHAXSRCQLTGFGDMREKEKCLLXDSNLTRVAQEANAVVERR >ORGLA01G0082200.1 pep chromosome:AGI1.1:1:6116071:6117006:1 gene:ORGLA01G0082200 transcript:ORGLA01G0082200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAFGAAVAYPASAPAALARAFALGYGALLFLLPFSVYALEFLRPPYPIDRTPLSMITCATATPVALSVAVLTVLNQGRAGGDVAFAACVVWIADVAAVLSLAWCLTHGGTMGVALNRRGQYGEICKVQERMKKSNNHAAVDVRYAIRDAKIRFAVAVSAAVAVAGGVVIGGVSFKGLSYATVFFALPTGLLYFRDTYAYPTDHMPKQLEWCYVSVPMAVMLLSRLMLAARQATTLDIRLVAVTAVMLAIDFTAIGFLEWHSRREMPKPRGTRASAAEIVTSFVMVCLRYWLYLHVFYVIGNGSQRWFN >ORGLA01G0082100.1 pep chromosome:AGI1.1:1:6107683:6109870:-1 gene:ORGLA01G0082100 transcript:ORGLA01G0082100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVDEQISAGKQAKHKHWWTRNRKWMVMACVPHVVRGDRPRGFDCGIKLKIPPRATRLAVHRSIAPRLKTIDDHPYFVASTDHHGRLLLCASQGPEPEPPVLDAFYRGPLGVHHGFPMAYFICDTRTRRCTRLPDRPLPILHPGNVCLVGTASGGFIVTDLHPTPGDQQAILFMYNSASGVWKDRVVNYPPRDRPWGGNGVVVHQMMIWWVDLSYGHLADLAFDQLRRVVPGIHHDLRFIPLPDGCELPPGTADLDKCRCVGLRRDDLRYVQIHKRDGDPAVSMWTLDQLAGTWSFDCEASFKAIWNDEGYRATKLPPEVPTVALIHPEHPGEVAYFFLHSRVFGVDLRACSVLECEFFAMLNPPMRYHSSRFVRAWRLPVSNSASNNQKKGTYAPSERGIRAS >ORGLA01G0082000.1 pep chromosome:AGI1.1:1:6094804:6096545:-1 gene:ORGLA01G0082000 transcript:ORGLA01G0082000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLQRLLLLRLCTTSTSTPPHGITTSAAVAAAAAAAAYAYAEPLGLTRAPFSSSASSPAETMASASPPPSTWVILGSIPRVCGADEKGAGGGIPAGADLSLALKAPPRVSLLTIPSRIFPNATTSDNFPSVMAADPSGLLLLHADQGRAKGPTVIDRPGRQEFMWRQFVPGYFVLDAATATAVALPDPELVMHMGHMGLLASPDGGGRYVVAELQPILHADHATLLCFSSDVGEWVEKEVAYPFPPRQLAPNGAVSHSGRLWFVDLSWCLITCDPFAPAPALRFVPLPPGKELRCREAWGVLDKYRCVRVSAGKLRFVDMYKATAPHQRGPHKISVWTLADPDSEEWTLEHEASFAEIWADDSYKATGLPDKIPVLALIHPENPDVVYFFLEEHLFGVDVRARKVVGCEVYELVAPPSEVLATRFVRAWELPPALSSENDI >ORGLA01G0081900.1 pep chromosome:AGI1.1:1:6089968:6093350:-1 gene:ORGLA01G0081900 transcript:ORGLA01G0081900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPATLSTAAADVSHPALDAPAGSTVLAAPPCVSLDPAAPAHQQPADTVSAPPPPSSTWVILGNIPRVSTAADGELPVGADLSVALAAPPRVAILTISPDVFPEPPTPRFFPFVLAADTSGLLLLQANLGIPMSREVVDRPHRQGLRWRDAASRYFVLNATTASAFHLPDPEEPILHQALLGLIASPRGDGHYMVAELQPLIGCDKATLLCFSSEVGEWVEKSVRYPLPPRPLAPICVFSHHGRLWWVDLTWGVITSDPFADEPVLGFIPFPAGKVLQCREAWGVADKYRYVGVSAGKLRFVDTYTAPRRGVPPKVSVWTLADPDSTEWTLEHEARFDDIWADESYKATGLSKKIPVLALIHPENPNVVYFFLEEHLFGVDVRDRKVVECEAYELVAPPSCFIANRFTRAWTLPRALSSGISNWSNAINLAEKAKSRPSRRAAKKSSRMMGSPGDYHLVAKCRWNIVSFI >ORGLA01G0081800.1 pep chromosome:AGI1.1:1:6078021:6079303:-1 gene:ORGLA01G0081800 transcript:ORGLA01G0081800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78520) TAIR;Acc:AT1G78520] MARRAQPSASLLLFSLGLVLLYFSSGSTIRLAEGQKTWCVAKPSADDKVLTANLNYACSQVNCGVIQQGGPCFNPNNLVSHAAVAMNLYYAAHGRNAWNCYFQNSALVVQSDPSYGSCTYY >ORGLA01G0081700.1 pep chromosome:AGI1.1:1:6076477:6077166:-1 gene:ORGLA01G0081700 transcript:ORGLA01G0081700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFSRHAGFFASLKQVEDRLAAEQQPPPPPRQPETLPFSDTMTASPLFLGPATDTAAADRPGGESSGPAVDFLTLSKDEERLQEEPRGAAGEDDDEDNDEIGEDIARLMALLGLSPPRRGLEGGGGDDDSGGCDCSGGEGFLAKVVGVVGPKCDKEKRRVDGWVEHYFSGGECREPARLAHLLLAKAAASSSSSSWEGEGHRGASPFAFPATVKEFLDRDAPPRCTEE >ORGLA01G0081600.1 pep chromosome:AGI1.1:1:6052579:6053232:-1 gene:ORGLA01G0081600 transcript:ORGLA01G0081600.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRVLVKAGGKIRRGGGGNSCRRPQARAAAAVAAKAAAAASSAGEPSMLKERKVKERLRVLGRLVPGCRKLPAPALLEETADYVAALEMQVKAMRALADALAAAQLSSSTPQQAEAAADETEMER >ORGLA01G0081500.1 pep chromosome:AGI1.1:1:6044482:6049650:-1 gene:ORGLA01G0081500 transcript:ORGLA01G0081500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54860) TAIR;Acc:AT5G54860] MAAAAASSSSSPWAGRMAAAFGAPFLWLVCLIYFIQGFRSFVWTAVSYQMKDMMKLSPSTSQFLVLVAYFPWSIKPVYGILSDCIPIKQRKRTPYLIISSCLSLFPWLILGMSEPLRSSSNFLTALLIVQNLGSAMADVVIDAMIAEAVRSAGPEFAGDLQSLSWSSMAVGGIFGSLLGGYALSNLPIHVIYIIFSALPLFQLISCIFVEESPKGFESRMDNAAPKHVEDQTIDASPGKSSSESYKYEDTRRRKGARRNNKRKSLSKRPEDHDKNKSTNLRPYLSLKSAFFSLCTAFKQPTILRPMAWFFFSNVAIPNISTVMFYYQTEVLHLEASFLGTARVIGWFSLMLGTYIYNRYFKHKKLRNILMFAHVGLAIIGVLDILLVLRLHVHYGITDKYMVLWGSALADAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNFGSTLGSFLGAALASALSISSAQFDNLALGLGVQLIGTLLPVGFLFLIPKEVTGLTS >ORGLA01G0081400.1 pep chromosome:AGI1.1:1:6040854:6043531:1 gene:ORGLA01G0081400 transcript:ORGLA01G0081400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:I1NLS4] MLLGEKHRASSPTAMNHRSSRSHSILTVHVNGKDMSGNVTRSSLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSCLGDVITALAQKNSHIPYRNSKLTQLLQSSLGGNAKTLMFAHISPEADSYVETLSTLKFAQRASCVELGTAHANKESNEIRELKEQVENLKRALAAKELEKSSFKLKENTVVRERAKQVPERTPPRPRRLSLENTGIGKGSIPDRKGPKSPLSVTKLNRDHATIHDSIDGFNHHIMHQGSVMQMSATSSEDPVREETEKIITTVDTVPFCGLHPDAYISSKQSGLDTLLRTPCRSRNLNLEVGQTDEPSSSAKLEKMTSSNATKKGSHLRKSIQSSIGKLIHGSERRNVQHLGQATPAKIANSTNNDGPSSITPDLRLRRRQSLTGLPPPPSTMSRRSSLGGKSDIGSDKRGAKTPPPVNSAAKAKRWL >ORGLA01G0081300.1 pep chromosome:AGI1.1:1:6020915:6023164:-1 gene:ORGLA01G0081300 transcript:ORGLA01G0081300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGGGGGGGKQVLWEFHATGPRNISNPSWRDLIRSSWTDPNYRRIAVSCFVQAAYLLELDRQEKRNGESALAPNWWKPFKYKLVRPLIDSRDGSIYGVLLEWDQLAALSDLIVLRPNGAPKVVLAIRGTVLKQSTVVRDLEDDFRFFTMESLRGSVRFTGALEALKSAIDKHGSNNVCIAGHSLGAGFALQVGKTLAQDGIFVECHLFNPPSVSLGMGLRKLQEKAGKVLKRYVSGSSSNATELSHPTEDGGAASEIGEEKLIKEVKRWVPNLYINSCDYICCFYADRSGVATVTAEKHDGHSGTHSKLFVIAKGPKKFLEAHGLQQWWSDDYELQLAVHDSKLMYRHLKSLYVNES >ORGLA01G0081200.1 pep chromosome:AGI1.1:1:6017041:6019324:1 gene:ORGLA01G0081200 transcript:ORGLA01G0081200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDEREKAKGGGGGGGGGGGGGEYGTFQGPPSYPPPRPPVVGYPQPAPPPGLYGQGDPYYRPRGGYQGIPARDYEAGARGHGHDRLPCCGIGIGWFLFIVGFFLGAIPWYVGAFLLWCSRVDYREKPGYVACAIAAVLATIAIIIGATAGAA >ORGLA01G0081100.1 pep chromosome:AGI1.1:1:6011624:6011986:-1 gene:ORGLA01G0081100 transcript:ORGLA01G0081100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAFPSCCPIAANVVAGAHRIPPSELLHRPPLERMVVLAGDEHHPTLPLPSVVGEKLKNSGARSSPFDAARRLHCQCHSKHGHRVCHTTPLMNVAGGTRIRPSVTAAPPSAAAVAAPAP >ORGLA01G0081000.1 pep chromosome:AGI1.1:1:6003329:6008643:-1 gene:ORGLA01G0081000 transcript:ORGLA01G0081000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGELPIVYHIGIVLAALWAAGSLGFRHSVLFLLAFLYLYMVNARCAMKLRKRIQHEEMKSAYQRRLLSDAESVRWLNYAIKKMWPICMEKIVSQLLRPIIPWFLDKFKPWTVSKAGVQELYMGRNSPLFTSMRVLPETSDDDHLVLEIGMNFLSAEDMSAVLSMQLHKSVGLGMTANMHLTSMHVEGKILVGVKFVRSWPFLGRVRLCFVEPPYFQMTVKPLIGHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMLVIDVEKFVSTPSDNDWFSIEERPPVAYVKLEILEGTDMKPSDMNGLSDPYVKGRLGPFKFQTQIQKKTLSPKWFEEFKIPITSWESLNELAMEVCDKDHMFDDSLGTCTIDIHELRGGQRHDKWISLKNVKKGRIHLAITVEDISEEKGLEESSRKVDAELPISTSVNKFNADELPDEKQVLVDEVEHINIDGQEQPGGLYVHRPGTGVPKTWESRKGRARNPDTEIYKEVDKSKDAPTPKSSGQGGFFGSFFRKSLKKGSFHDIDPGIPTTPGPQSATELDLKIPQTPRPNLKEAGEKRTSIKIVVDEDAKPTRSVGDAENLTEDVAKVMEKNAGEPGRSLTSILSRKISRKKPEDKLSDIPEQTEAQASELVKQGPVPVEGKPIDGHPTTENGNGDGASGEAAETQTSAQTSQ >ORGLA01G0080900.1 pep chromosome:AGI1.1:1:5999124:6000928:-1 gene:ORGLA01G0080900 transcript:ORGLA01G0080900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-tyrosyl-tRNA(Tyr) deacylase [Source:UniProtKB/TrEMBL;Acc:I1NLR9] MRAVVQRVLSASVEVEGRVVSAIGPGLLVLVGVHEADTDADADYICRKVLNMRLFPNEKTEKAWDQSVLQRKFEVLLVSQFTLYGILKGNKPDFHVAMPPAKAKPFYASLVEKFQKSYSADAVKDGIFGAMMKVSLVNDGPVTMQVDSSSLQNPAQSSNGDVGSVGGNEAQVPKETS >ORGLA01G0080800.1 pep chromosome:AGI1.1:1:5997507:5998256:1 gene:ORGLA01G0080800 transcript:ORGLA01G0080800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGSGGAGACAVCKHQRRKCEPNCELAAYFPANRMNDFRALHLVFGVANLTKLIKANATEAARRRAAETLTWEARWRERDPSEGCYREVSCLRRDNAALRAENAALRCQADQCACCAGAAGVRHASSPTTLQHQLLLVSAYNGATAARPGNVVPHNATVVPGGFVAAAGGVRGANGNGAMSSVRPPPHHVQAPATQTVTGFVAHAQDDRYRAVSVCPPAANAAAVPRSGAAVRGQGDYRDKISDAAR >ORGLA01G0080700.1 pep chromosome:AGI1.1:1:5993806:5996433:1 gene:ORGLA01G0080700 transcript:ORGLA01G0080700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVAKLGTLALRTLSKPIASRLKNQAAVHPKFRNFIICIAQINHRVTTRIQRRIYGHATDVEITPLNEEKAVQAATDLIGEGFIFSVAVAALIFEVQRSARSEARKEEARKQELEELKQREESLSKDLEDLKLRLAEIEHLAKGRGLSGILNFKGVHGAESSKAATPA >ORGLA01G0080600.1 pep chromosome:AGI1.1:1:5988910:5990715:1 gene:ORGLA01G0080600 transcript:ORGLA01G0080600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEAAAISNPFAPLTNHQQEHPPPPPPAKKKRNLPGTPDPEAEVIALSPRTLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRSGKEPRKRVYVCPEKSCVHHNPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEETARLNAASGAAAAAAAATATSLCGQSYLFAGSGGPGMAGMRPNMMMPPAAAAAGGQLRAGQMLGPAEGGVGGDLCDGGVARHGGLSLWGGEALPSMGHIGVLASGGAATVPPQLYVDLFAPSSGAPPPQFDAAQLSWLYGNGKLSSSNASELTSATAAAKEAESVPSVFSNQQHAKPAAPTDMSATALLQKAAQIGAVTSTAAMPLVSPFEPTKPGGATASPADECGKFDGAALFAGASHHNANLGGAMSELTAAAGNVPYDVLSAVRHHAGLKDAGGVGREETRDFLGVGVQALCSSSIHGWI >ORGLA01G0080500.1 pep chromosome:AGI1.1:1:5985317:5985686:1 gene:ORGLA01G0080500 transcript:ORGLA01G0080500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLPSGGGRAAEAAAAATGPLKRQWQRXXXXXXXXXXXXXXXXXXIVDDIVDGQL >ORGLA01G0080400.1 pep chromosome:AGI1.1:1:5963438:5963848:1 gene:ORGLA01G0080400 transcript:ORGLA01G0080400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARSAAAMAAAAADEEREVRRAVEEKPVVVVGRRGCCMAHVARRLLLGQGANPAVLEVGDDADPAALVDAALQARRRKDGGDKAAAGDGGGGAAVAFPAVFIGGRLVGGLDRLMAMHMAGELVPVLKQAGALWL >ORGLA01G0080300.1 pep chromosome:AGI1.1:1:5953102:5956845:-1 gene:ORGLA01G0080300 transcript:ORGLA01G0080300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDEPLDFEKEDPLLPKARPAKRKKVIGLDDLLEDFFASGKDDLKAYGIESKHGSKGYNSDDEDKKVKEKEIKFRKFVEEYEEQSKELDAGDDVPQWGQRVFGCQKSPSVLSVTGVENCQLLKSFCANEHLGFDLNIEQGEGFLEGLLVDGWLLKLVLLHGSVEDSIASWALTKLLYSCNKKFRVAASDFWDSVLSLNEADKLSVDIGHFPSYSVLKSAILNYGYIFDNCTEVSTSESVIADDGPPQNIIEWLKITSACCKIRNVHSIFSSSEAEELLVIVISLFLDRQLEGLLLILGDCLSSLILYFNSSEWESSCLIVAQSISQRVTMDLNCLRIVDCITGTDYRSKFLRSQLALQFLKVSFGLKVANVEKILKSVMSINVKDKDCNFFSLYVYLVLMDNLLFSSDAFRDKHAIINSWRNYLRNCSTQIGCTDWRLYASKVRNKASYLLQGAVLRRSAGGASLPVQ >ORGLA01G0080200.1 pep chromosome:AGI1.1:1:5950286:5951515:1 gene:ORGLA01G0080200 transcript:ORGLA01G0080200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGHGGGGGEGTAPALELLDEYWFFSNTLGKNGRHGGGGGGGGRPPMLPRSPSTVSGGGGRPGKGVEAVGTSRLFASAGRRLLRTPSLPSPRVGMEIAKEDEEVVEEAPAAAGGGGDQEADAEDDDLNWSSIYEGVLRTRIAEEGVRSALRRAPSMPVTSSATGRDDDARREDTAAAATGSTTPGMSRLRHAHSTLERHCRSHTPTTKADRTPRTSGGGDGGHRRQPLRRELRSFSANQQPLVRHQSLFHDKKWKSSSDLESIEVQGFRDLGFVFDQEELRESLADVLPGLRGKPTPTGSGSASDNDDANTATTATGSDAVAAVRRPYLSEAWYHVRRPAPRSPAAAAMRLQQADARSAAEMKDQLRMWAQAVACNVRQEC >ORGLA01G0080100.1 pep chromosome:AGI1.1:1:5942298:5942645:-1 gene:ORGLA01G0080100 transcript:ORGLA01G0080100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGPLVEVKLEGIFVKLDGRPPGLLPEAQFAAFVRRHWREYSGPEEYAWRLRVFAANLTRAAAHQVLDPTARHSVTPFSDLIREEFEAWFTGLAANGDNEAADAGQGGGGRPPC >ORGLA01G0080000.1 pep chromosome:AGI1.1:1:5938451:5940410:1 gene:ORGLA01G0080000 transcript:ORGLA01G0080000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILSSLRGAEVANFRSLRVLQFLMFELKAVDLDNIYEFLRTYPPQSDGALCLPTTSDHGPFEYDLIDEQRQNPLEDGLANLEDKRIENHMRCFILMLCWLNLNVPMVAIVHPMSCLAKIHLNDVRITDEALRRMVILSPSLRVLTLFYCNGPLAMIIASSRKLSSLIIVECNNVRGVVVMPDSPLHNFHCMGSPLSLFNLSGGAHLLTDLLFCFNPPILGHQVLREWFRNNLPFLSNITSLSICSNTLQVVSFLRHPRANLDMAILDNFQSLTMLELTMLEFKAVGLDNIFVFLKSCHRPNLKKFLVTVDTSKPTLNCILIFQRSDRTIHDSDIHFEEDFPGSL >ORGLA01G0079900.1 pep chromosome:AGI1.1:1:5937550:5938221:1 gene:ORGLA01G0079900 transcript:ORGLA01G0079900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEQILDLLRLTMSSTRRCSPCSGVTPCSGGWWGTLCKSSSAPDTQLEKLKCLVERSARHLKIFFLVVDHYISLTRGEFVRCFLVSAEQSTVKHLYIHLRSSSARDRITFSFHYLNPLLQSLTLREINFSTRLFYWVSPPPALRPPHDYPPQLRPHHRXIAHWHGLLLSARSPRPQGALLHRLRDVSIWAPTQRFFTIVECEDLKIGSPTTQSPPLLPLRRQP >ORGLA01G0079800.1 pep chromosome:AGI1.1:1:5933264:5933788:1 gene:ORGLA01G0079800 transcript:ORGLA01G0079800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSWVILSCEPRVCGGGDDPVLPQGADVALSLAAPPRVAVLSVSRRVSPAEVDHCARCKSPFVLALDPSAGLVLLVAPPPPSPDDSGDLRSWTDRNGNERTFRVSLIPRPLYFVCDVAAATAPPTSPTRSASSSTTTSASSPRQEEAGGTTWSSSSRPSSATTRPPCSASRP >ORGLA01G0079700.1 pep chromosome:AGI1.1:1:5928824:5931143:1 gene:ORGLA01G0079700 transcript:ORGLA01G0079700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GASVVGDPELRAAGVRRRHRPAAGRRRRPLPRRAAARRGALGVPARLAGRGGPLRQVQVPLRPRARPLRGARPPRRAAATVPRRLRRPAVLDRPRRQRAHFRTKVWTLEYAVSFADIWASESYKAAGLPEKAPVLALVHPKNPDMVYFFVKDQLVGVDLRAKEVLEYETHKMTVPENARVFSYGLLPMELPPALSAGLSKEGAANNSSGVRSASLASPPSDPNGS >ORGLA01G0079600.1 pep chromosome:AGI1.1:1:5923920:5924660:1 gene:ORGLA01G0079600 transcript:ORGLA01G0079600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPMPLSWVQDSKMYFAKQRLPLEDLTQTCRRDRYCVSCARAFCSHCCASHHLWPGHHHIVVPVTVDAATGRPTFQNRDAEGHRMFPRVIADAIVSHDYATRLPRDAYCASCQVAFCAASCHHHYDHHRNGDDDPVPDSVLRIEEGGGRRCVRSTGSDWWLPYLESVLGDPVHEGEDERGEYYELLPILTRPPGSCAHCRRHIGIQHSSHCSMACYNSHQGEVAERRRRREARNAGRGIAKLQVE >ORGLA01G0079500.1 pep chromosome:AGI1.1:1:5904766:5907276:1 gene:ORGLA01G0079500 transcript:ORGLA01G0079500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLLPSTSWVILSREVYACGDGDGGGGGIPLPEGADLALELAAPPRVSKLAVSRRITPAKVSPFAKWKSFVIAIDPSAGLVLLLAPPPPGPGPGELRSFTDADGKVHTYHVTTMPTQRYFVCDIAARTAHYLPDPEGCVFNNDLSIIAAPGGGGKYLVVEFKFIVGGDKATLLCFSSETGLWEKKPVNNPLPRWIWRCFDVGSYAGKLYWVDTAAGLLFCHPFVDEPHMEYVPLPRVELPPEHDEDCHGCDYCAERAFVSRRFVRLSDGKFREAGTKVWTLEYAVSFADIWASESYKVAGLPEKAPVLALVHPKNPDVVYFFVEDQLVGVDLRAKEVLEYETHKMTVPENARVFPYGLLPMVLPPALSAGLSKEGATSACDNQLDVGILDLSNVRILEFSSPAS >ORGLA01G0079400.1 pep chromosome:AGI1.1:1:5899409:5902497:1 gene:ORGLA01G0079400 transcript:ORGLA01G0079400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSLLLSLLLVLVVVNVGVAVNQDGLSLLDARRALAAPDGALADWNARDATPCSWTGVSCDAGVGGGAVTGISLAGLNLTGSFPAALCRLPRVASIDLSDNYIGPNLSSDAVAPCKALRRLDLSMNALVGPLPDALAALPELVYLKLDSNNFSGPIPESFGRFKKLESLSLVYNLLGGEVPPFLGGVSTLRELNLSYNPFVAGPVPAELGNLSALRVLWLAGCNLIGAIPASLGRLGNLTDLDLSTNALTGSIPPEITRLTSVVQIELYNNSLTGPIPVGFGKLAELQGVDLAMNRLNGAIPDDFFEAPKLESVHLYANSLTGPVPESVAKAASLVELRLFANRLNGTLPADLGKNSPLVCVDMSDNSISGEIPPAICDRGELEELLMLDNKLSGRIPDGLGRCRRLRRVRLSNNRLDGDVPAAVWGLPHMSLLELNDNQLTGVISPVIGGAANLSKLVLSNNRLTGSIPPEIGSASKLYELSADGNMLSGPLPGSLGGLEELGRLVLRNNSLSGQLLRGINSWKKLSELNLADNGFTGAIPAELGDLPVLNYLDLSGNRLTGDVPMQLENLKLNQFNVSNNQLSGALPPQYATAAYRSSFLGNPGLCGDNAGLCANSQGGPRSRAGFAWMMRSIFIFAAVVLVAGVAWFYWRYRSFNNSKLSADRSKWSLTSFHKLSFSEYEILDCLDEDNVIGSGASGKVYKAVLSNGEVVAVKKLWGLKKGTDVENGGEGSAADNSFEAEVKTLGKIRHKNIVKLWCSCTHNDTKLLVYEYMPNGSLGDVLHSSKAGLLDWSTRYKIALDAAEGLSYLHHDCVPAIVHRDVKSNNILLDAEFGARVADFGVAKVVEATVRGPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVLLELVTGKPPVDPEFGEKDLVKWVCSTIDQKGVEHVLDSKLDMTFKDEINRVLNIALLCSSSLPINRPAMRRVVKMLQEVRAEATRPRLEKDGKLSPYYYEDTSDQGSSV >ORGLA01G0079300.1 pep chromosome:AGI1.1:1:5884886:5885467:1 gene:ORGLA01G0079300 transcript:ORGLA01G0079300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPTGGEETDGARRERRSGGRRHGGGSSGDHVTASKIVHTCSGRRIHVSLHVDDPPAVSRLYIHDPPPRRRQPTMAGHLRPPTRRGRCRPPQLHPLPDPRPLCRPGLRRARTLSRRMLRLHRRRHRCLSPVAHAASPLLHRRLLRPGRGRILQAVPTLATTDHARREHRLPLLLWRRRPRVRGGGHQELPR >ORGLA01G0079200.1 pep chromosome:AGI1.1:1:5879151:5882741:-1 gene:ORGLA01G0079200 transcript:ORGLA01G0079200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALGTLSGGAAGVAGLLRLRRATPSPAVATPFPAAAAARCAAAAVVPDGGQLVWGRQLRPALLLPAAGGLLQPPTSPSSSQAGRRQALRPPAAATSGEAKPAGFLEKYPALITGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLLVGVVYCLVSWTVGLPKRAPINSTLLKLLFPVALCHALGHVTSNVSFATVAVSFAHTIKALEPFFNAAATQFVLGQQVPLPLWLSLAPVVLGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISMIALIVCIPPAVIIEGPQLLQHGFNDAIAKVGLTKFVSDLFFVGLFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNRITTQTGIGTCIAIAGVAIYSYIKAKIEEEKRAKSA >ORGLA01G0079100.1 pep chromosome:AGI1.1:1:5873222:5877843:1 gene:ORGLA01G0079100 transcript:ORGLA01G0079100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDYYKVLGVDRGAGDDDLKKAYHKLAMRWHPDKNPTNNKKEAEAKFKQISEAYEVLSDPQKRTIYDQVGEEGLKGQPPPGAGGPGASPFYPGGAHSNSFHFNPRSADDIFAEFFGFRGPFSSMGGMPSVSGGMRGDPRFPGFGNEYFSSRFGGEGSTSMHQPSHQLAKPPPIENRLPVSLADLYKGVTKKMKISREIIDFNGRVSQQEEILQIEVKPGWKRGTKITFEEKGNQAPNMKPADIVFIIEEKPHDIFTREGNDLVITEKISLVEALTGYTARIITLDARSLSVPINSVIHPDYVEVVPGEGMPNPKGPNKKGDLKIKFNIRFPSRLTSDQKVGFKRLLGS >ORGLA01G0079000.1 pep chromosome:AGI1.1:1:5848181:5854464:-1 gene:ORGLA01G0079000 transcript:ORGLA01G0079000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVSTLRSPKADQRAGVGGHHVVGFVPAPSPADVADEVDAFIVDDSCLLEYIDFSCCDVPFFHADDGDILPDLEVDPTELLAEFASSPDDEPPPTSAASPGEPAAAGGAKEDVKEDGAAAAADYDGSPPPPRGKKKDEEERSSSLLEEKDAKNGGGGGDEVLSAVTTEDSSAGAAKSSSPSAEGHSKRKPSSSSSSAAAGKNSHGKRKVKVDWTPELHRRFVQAVEQLGIDKAVPSRILELMGIECLTRHNIASHLQAARKWGPQAVTPGTPCMPPPLPPAAMLQRFPVPPVPGMVPHPMYRPIPPPLPPQGNKLAALQLQLDAHPSKESIDAAIGDVLVKPWLPLPLGLKPPSLDSVMSELHKQGIPKVPPAASGAAG >ORGLA01G0078900.1 pep chromosome:AGI1.1:1:5840110:5846396:1 gene:ORGLA01G0078900 transcript:ORGLA01G0078900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleotide binding;protein binding [Source:Projected from Arabidopsis thaliana (AT4G28450) TAIR;Acc:AT4G28450] MRVKVISRSTDEFTRERSQDLQKVFRNYDPALRSQEKAVEYTRALNAAKLEKIFARPFIGAMDGHVDAVSCMAKNPNYLKAIFSGSMDGDIRLWDIAARKTVCQFPGHQGAVRGLATSTDGDLLVSCGVDCTVRLWKVPMLKMVDTNDAIGDASQPSAVYTWKHAFWGVDHQWDGNLFATVGAQVDIWDQNRSEPINSFEWGKDTVLSVRFNPGEPDVLITSASDRSITLYDLRMSSPARKLIMKTRCNSICWNPREPMNFTAANEDTNCYSFDARKLDEAKVVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVLLPRERRKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAASLRRTMIEAENRKEERRRAHSAPGSMPVQPFRKRRIIKEVE >ORGLA01G0078800.1 pep chromosome:AGI1.1:1:5838388:5839739:-1 gene:ORGLA01G0078800 transcript:ORGLA01G0078800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAARSLLRSSASLLRAAPARSASASSSAARPSLRRALAAPPRILRSPVELSVCVESLLPLHSATAAARMTSMLAVPGQGLGWLTEAETDGLC >ORGLA01G0078700.1 pep chromosome:AGI1.1:1:5833655:5836829:1 gene:ORGLA01G0078700 transcript:ORGLA01G0078700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAGAGGEQEAPSVEAAFAGQPPPPWWQQVTVRAVAVSVVLGTLFSFMAMRTGLTAGFVPSFNMSASLLSFFIIKSWTRLMARCGVASQPFTRQENVVVQTCVISCATLSIYGGFTSYLLAMNETVAKAAGGGTDGRNVYTLHTGKIVAFLFLVTFSSLFCTLPLRKTMIVDYKLIYPSGSAVAGIVNSFHTPKGATKAKLQVNAMFKSVAGSFAWAFFQWFYTGGDGCGFHAFPLFGLEAYKEKFYFDFSASLVGVGMICPHLINFSMLLGSISSSGFIWPALQAKQGEWYTDPSPTSFKGINGYKVPMGVSMVLGDCLFQLGAITVKAVQHYRKGRQEQKLAVDGAADDGGGGCVPDDDDENKWHATYDERRRNQVFLSDGIPDQFAVAGYVALAALSTALVPRIFPQIRYHHVAVCYAVAPLLAFCNSYTSGLMDWSLATVYGKLAIFVVGASVGAASGGVIAGLAACGVMMVIIGDAAELMHDFKTAYLTLTSPVSMFASQAIGTALGCVVNPAVFLAFRWLAGAEHPPGDPGSAYAAPMAVAYRGIAVLGVEGVGTLPRHAIALCAACFAAAVFLDTAGAAARAARWRVGGWVPNPMAMAIPFFVGPTFAIDMCVGSLLLMAWRRADRQGAATLAVVVASGLICGEGLWMLPSAVLAMLKVQPPICMKFLSRSQIQEVRQHFVLGAADIQPAVTLTHHHHQ >ORGLA01G0078600.1 pep chromosome:AGI1.1:1:5830816:5833053:1 gene:ORGLA01G0078600 transcript:ORGLA01G0078600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAAGEKPALRKPVFTKVDQLKPVTSGHTLTVKVVSATPVPGRARPGVAAPSRPPRIAECLVGDETGAIVFTARNEQVDLLKPGATVIMRNAKIDMFKGSMRLAVDKWGRIEATEPASFTVKEDNNLSLVEYELVNVTE >ORGLA01G0078500.1 pep chromosome:AGI1.1:1:5825976:5828269:1 gene:ORGLA01G0078500 transcript:ORGLA01G0078500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYVQGEDEVPVYESGAEIVQKLQEKWKSTAAPFPAMYSSVLGGIILDPAMMSVPLDDHMVHRGHGVFDTAMILDGHLYELDPHLDRFLRSAAKARIGTPFPRDTLRSILVQMTAASNCRRGSIRYWLSAGGGDFLLSSAGCAGPAFYAVVIPTDYSQCRHGVRAVTTSVPMKPPLFATMKNVNYLPNVLSIMDAEDRGAFASVWVDGEGNVAEGPMVNVAFVTAAGELVLPAFDKILAGCTAKRLLALAPRLVESGLLKAVTTRHIAADEAKRCSAEMAFVGSGLPVLPIVEWDDQLIGDGKVGKTMMALSDLLWEDMKSGPDRIAVPYK >ORGLA01G0078400.1 pep chromosome:AGI1.1:1:5818029:5818397:-1 gene:ORGLA01G0078400 transcript:ORGLA01G0078400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGRPGWLPSLGFAFLSFNCGMAIYRSSSDPSAVAFVVVAYLALIALFRCLHLLERAPAGGQARASMKAAVWGLSTLLTLMFSYKVAAIMPLWGAAGVWVMGLGTIVAGFYAFFVHREAP >ORGLA01G0078300.1 pep chromosome:AGI1.1:1:5802467:5802673:1 gene:ORGLA01G0078300 transcript:ORGLA01G0078300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGPSRCLAPRPSPNCHVAGGASGEGTQAEPLSMRAAPQLPLTAFNAVRADVRCRPTDARQSGLTSL >ORGLA01G0078200.1 pep chromosome:AGI1.1:1:5789299:5791353:-1 gene:ORGLA01G0078200 transcript:ORGLA01G0078200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASLATQPSPAACLPSLPAGGRLRLRLAPPPRAPPRLCKRAGVVLIAVGKLRVVTIRSAHSGVSNVSVETPPDNEASVTGAAYGFRGATTSLTNEMLTSSKKITLVRHGLSTWNAESRVQGSSNLSVLTETGAKQAEKCRDALANMKFDVCFSSPISRAKSTAEIIWKGKEEPLIFLDSLKEAHLFFLEGMTNADAKKEYPELYTRWREDPSNFKVNGMYPVRKLWGTAREAWKEILLTPGENMLVVTHKSILRALICTALGLPPERFRSIDVNNGGMCVFTVNKRGEAMLQALNMTAHMYSDHTYQY >ORGLA01G0078100.1 pep chromosome:AGI1.1:1:5787002:5787819:1 gene:ORGLA01G0078100 transcript:ORGLA01G0078100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:I1NLP1] MFSAKARWIVAVVLRVAAAGAAAVAAVLMAMSHDEVIVYGMEVQAKFRYTPSLVFFVAANAAVSACSLVVLLVPSSTSKLAARLLLMADVVLGMVLAGAFAAAGAMAELGKNGNSHAGWIAICVQVPLFCDRVRSALVAGSATIVLYYLMLMYSIYTLPMFP >ORGLA01G0078000.1 pep chromosome:AGI1.1:1:5776704:5781485:-1 gene:ORGLA01G0078000 transcript:ORGLA01G0078000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:I1NLP0] MAAIAAPPPQPHPPASAHHHHHHRRRARLPRLAAASSSSSSSRFRRRFSSSSSSSSPSSAPSPSPSSSSSSSYGGSGGGGGGEIHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVAGVAVELWWGVVERQGPGVYDWAGYLELAAMARRYGLRVRAILAFHQCGAGPHDPPWIPLPQWVLEEMDKLPDLSYTDRYQRRNKEYISLGCDILPILKGRSPMQAYSDFMRSFRDAFKEYLGAIVTEVQIGMGPGGELRYPSCPTETLSQAGFSSELGEFQCYDKFMQASLSARAQLIGMQDWGNGGPAGTDGSRQNPEETSFFRADGGCWNTPYGRFFLEWYSGMLLLHGERLCMVADAVFSGSGVTIAGKVSGIHWHYYTCSHPSELTAGYYNTLLRNGYLPITQMFARYKAALCCSCFDLRDEERNNSKSSPEGTLRQLMVAAKMCNLPLNGENSVTRLDDTSLNQVIRSSRLYSGGTSGTSFSFNYVRMNKSLFEFHNWNRFTKFVRQMSDARTFLARLEFRRGQHYLSSMSVVWVVSRACA >ORGLA01G0077900.1 pep chromosome:AGI1.1:1:5769982:5775024:1 gene:ORGLA01G0077900 transcript:ORGLA01G0077900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPSSSSPGAGEGGGGGIGGGGGDLWPFDSLTTSLLFSSVSASPQPLPASSSSWLTPPSPLWLFDERQLLPLDMGAPAAPATAPPAEAAAVVEEVHRTRSGNSDTTSKRVDQINSKWQFHLSIDDNTDSSCLFKERLTQALRYFKESTDQHLLVQVWAPVKSGDRYVLTTSGQPFVLDQQSIGLLQYRAVSMMYMFSVDGENAGELGLPGRVYKQKVPEWTPNVQYYSSTEYPRLNHAISYNVHGTVALPVFDPSVQNCIAVVELIMTSKKINYAGEVDKVCKALEAVNLKSTEILDHPNVQICNEGRQSALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGVKKSCLSFDGSCMGEVCMSTSDVAFHVIDAHMWGFRDACVEHHLQKGQGVSGKAFIYRRPCFSKDISQFCKLEYPLVHYARMFGLAGCFAICLQSMYTGDDDYILEFFLPPNCRNEDDQNALLESILARMKKCLRTLKVVGNGDTNEVCLQISNVLIIETEDLKTNVHFENSEGCFRESPESNGSQRAHEVDNDGNKVSIMSERHLLADDNSQNNGASVGRPNGSGASDSLHKSNKPPERRRGKAEKTISLDVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKQVIESVQGSDAAFNLTSITGPLPIPVGPSSDSQNLEKASPNKVAELSNLAVEGDRDSSLQKPIENDNLAILMSQQGFIDANNNLQLEADKASHSRSSSGEGSINSRTSEASCQGSPANQTFVCKPIASTFAEPQLIPEAFTKEPFQEPALPLSRMLIEDSGSSKDLKNLFTSAVDQPFLARSSNLALMQNSGTVTIKASFKEDIVRFRFPCSGSVTALKDEVAKRLRMDVGMFDIKYLDDDHEWVKLACNADLEECMEISRHSGSHVIRLLVSDVAAHLGSSCGSSG >ORGLA01G0077800.1 pep chromosome:AGI1.1:1:5755945:5758615:1 gene:ORGLA01G0077800 transcript:ORGLA01G0077800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITMSPSSVSSHHLDVDAASTSEDMSSLQEGLLFSDSLKDLRNLRSQLYSAAEYFEVFYRNNSQKSTVMTSLKDYTVEALVSTVDHLGFVSYKVDNLVKERSDEVNETEFRVSSVEQRVRICQQTIDQEGRSQQSLLIRAPKYHRRYILPGTDIVESAIHPVSEPPRYSRQHMSRKMHKSQSISTPVGRQSTMRSARSPSPSARGTHHRSRSLSPSRKAQAKSPSPQIISTQTKETRAGSPIPNSNPLARSATVARRPPVHPKHFRQTSMQLHSDWSNHKEQEKSSSKGRGFLKSLLTRRRWRNDESLYSYLDEY >ORGLA01G0077700.1 pep chromosome:AGI1.1:1:5744927:5749332:1 gene:ORGLA01G0077700 transcript:ORGLA01G0077700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:I1NLN7] MSSQGAGGGVGDPELFAELWRACAGPLVEVPQRDERVFYFLQGHLEQLQEPTDPALLAEQIKMFQVPYKILCKVVNVELKAETETDEVFAQITLQPDPDQENLPTLPDPPLPEQPRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSMATPTQELITKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLISGDAFVYLRSETGEQRVGVRRLVQKQSTMPASVISSQSMHLGVLASASHAIKTNSIFLVYYRPRLSQSQYIVSVNKYLAASKVGFNVGMRFKMSFEGEDVPVKKFSGTIVGEGDLSLQWSGSEWKSLKVQWDEVTNVNGPERVSPWEIETCDGTAPAINVPLQSATKNKRPREPSETIDLQSLEPAQEFWLSGMPQQHEKTGIGSSEPNCISGHQVVWPGEHPGYGAVSSSVCQNPLVLESWLKDFNSSNKGVSPTLSEISQKIFQVTSNEARIATWPARSAYQAEEPTSKLSSNTAACGYRTEEVAPNASKVVEGKKEPAMFRLFGVDLMKCTSISTTTDDKSSVGAGEASAKGTGSHEDSGQLSAFSKVTKEHIAADESPREIQSHQNYTARTRIKVQMHGNAVGRAVDLANLDGYEQLMNELEEMFNIKDLKQKWKVAFTDDEGDTMEVGDDPWLEFCQMVRKIVLYPIEDEKKIEPHLKLLSSANPEQDQKTGF >ORGLA01G0077600.1 pep chromosome:AGI1.1:1:5725748:5727235:1 gene:ORGLA01G0077600 transcript:ORGLA01G0077600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRCDASPRPGCETEMRRLALPLPTPVRRRGRRRVLFVAWLARVQGIQLHWPVRPAGYLPVPSPSPIFGCGNRHKKGSSAEVGVTERTPGDEPF >ORGLA01G0077500.1 pep chromosome:AGI1.1:1:5724180:5725040:-1 gene:ORGLA01G0077500 transcript:ORGLA01G0077500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRSEGSSSSSARQPYGSPIPYRVGPFEYEPAVLCRCELKAARWISWSVDNPGRRYFKCRNARXXXCDFYAWHGGPTSSFLREVLNDLRGAVHSLRREKADAVKEVEELRVKSEEQCRELASVGRELASVRELVSELDVKNDVLIDSKCRLEKERTVLIWCILSCMCVVILLVLGKN >ORGLA01G0077400.1 pep chromosome:AGI1.1:1:5715275:5715883:1 gene:ORGLA01G0077400 transcript:ORGLA01G0077400.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAHHRHVTHTASGRAHAVLLAPPLVLAAVSRAPQLPSSHLLLFLRRLRCLPGNRMRDEMPRLALRLPFPDEEALAREAGEVAAAEAEAEEAERREGELARRTPKRERRARSGGAGWTWRRKLWLIVLADLVLLFVLFAAWLAVCKGFSCIGR >ORGLA01G0077300.1 pep chromosome:AGI1.1:1:5712256:5713431:1 gene:ORGLA01G0077300 transcript:ORGLA01G0077300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:Projected from Arabidopsis thaliana (AT1G64500) TAIR;Acc:AT1G64500] MGCAGSKDVTVADVYRPPPTSVSLFDISAIEEPWLIATGKKNDEEEEEEDEEEEEEEEEEGKKPTTTVMPLPLLDKLDGYDLAPASWSEVSKALEDIKPALSSNTTTTENAKKKTKKKKKKKQPAPPPQPPTTTTTVLPEPVKAIEAAAKKAAAAPSARGANEEVDRRPPPPELTGRRVVKDNPFLMRDRENKGNDGGAAAAAARWRRRDPFEGYPERRPPGASGGGVVLYTTTLRGVRRTFEDCERARQAVEACAEAVSAAGGSPVVVDERDVSLHGEYLRELRGLAGAGDAPPRLFVMGRYLGGADACAELAESGKLREMMRWARARGEACAAKDGRGCEGCGGARFVPCWECGGSCKVVAAGATAAAADVERCAKCNENGLMLCPICH >ORGLA01G0077200.1 pep chromosome:AGI1.1:1:5702003:5706425:1 gene:ORGLA01G0077200 transcript:ORGLA01G0077200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSTPSKRPFQKNSSEQNGRGKWQKTKHNSSQQPQLIVQPGVPIFRILCPTSKSGNVIGKGGGIIAKIRQETGVKIRVDEVVPGCDERVIVITAIDKDREVSHVQTKDNDGGVACSVDGSHGMEKDHTKEEKDESNKEMDDSEKGLGKEEKDDLEKDHDKEDRDESGKDNDKKADDSSVAKDTNSEPEAQLELEKGMPLAVKAILLVFDRIFVNEMENGTGDASGERNHVSLRLLVLDSQVGWLLGKNGSVIKQMSTDSCCEIRVSKDKLPLCALPRDELCQITGELDSVRKGLNTVAQLLFTHPPKESDVPGAHNSGSSRSFFNQPDVLPPGMQPNLHLPFQGPNVAHLPNFPEALMHGHGPVPPEPLTFRLLCSSDKVGGIIGKGGNNIKSIQNDTGCEIKVLDTVPKSEDRIVFISGPAHPGDGISPAQNAILHVQRKIVPTSNTKEGPAICRLIVSPNQVGCLLGKGGSIIAEMRKLSGAHIIVLSKDKIPKGVPENDEVVQISGASEAIQEALMQITARLRNHLFRDRMASTVPNVQPPFGLVDPQFGPYAGNHDSISPRIFPNVPQFHKDFIGRPLDEMSAPWTMKGMQVVGDPISLPDIPGMAHRGMGGFPGPGQPSIVSTITADVMVPKLVLPSLCGEDGGCLNRIREFSGAKITVADPMGNAMDTAILISGTPDQMHAARSLIQAFVLSEPLAP >ORGLA01G0077100.1 pep chromosome:AGI1.1:1:5690900:5692753:-1 gene:ORGLA01G0077100 transcript:ORGLA01G0077100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMEADEDGANGGTGGTWTDEDRALTASVLGTDAFAYLTKGGGAISEGLVAASLPVDLQNRLQELVESDRPGAGWNYAIFWQLSRTKSGDLVLGWGDGSCREPRDGEMGPAASAGSDEAKQRMRKRVLQRLHSAFGGVDEEDYAPGIDQVTDTEMFFLASMYFAFPRRAGGPGQVFAAGVPLWIPNTERNVFPANYCYRGYLANAAGFRTIVLVPFETGVLELGSMQQVAESSDTLQTIRSVFAGAIGNKAGVQRHEGSGPTDKSPGLAKIFGKDLNLGRPSAGPGTGVSKADERSWEQRTGGGSSLLPNVQRGLQNFTWSQARGLNSHQQKFGNGILIVSNEATPRNNGVVDSSTATQFQLQKAPPLQKLPQLQKSHQLVKPQQLVSQQQLQPQAPRQIDFSAGTSSKPGVLTKKPAGIDGDGAEVDGLCKDEGPPPALEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKEMEVERERLIESGMIDPRDRTPRPEVDIQVVQDEVLVRVMSPMESHPVRAIFQAFEEAEVHAGESKITSNNGTAVHSFIIKCPGAEQQTREKVIAAMSRVMNSG >ORGLA01G0077000.1 pep chromosome:AGI1.1:1:5675448:5676054:1 gene:ORGLA01G0077000 transcript:ORGLA01G0077000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVITLILVVITVSTMLASPVECTNKIVGASPIGISPYNFTTMIDIFKVAMLVPMEDCTTNVEMCISETCSYIKKALDSVVAAALPAKKAETKEATVKMAGIAATMLDTARASGEKRQVAAVSIAFMLAADAIDAATPADKFRVMDETFKAAASPIS >ORGLA01G0076900.1 pep chromosome:AGI1.1:1:5670845:5673796:-1 gene:ORGLA01G0076900 transcript:ORGLA01G0076900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPETLDTLAGWFAQSLSPDAAARRAAEQSIESAKCSPGFGLALLGLASSPRHDPQSRLAASVQFKNLLRRRWPKPSPDGGGDDDADHLPPSDCAIIKANLLQLLLTAPPLIQAQLSEALAAAAASDFPARWESLLPSIVSSLGTALSAGDIPATNSLLAAAASLFSRFRNAFDSNFIRLDLKYCLENFAAPLLEVFLSTSRRLQAAAPTVTPPESRPVFECLRLCCEIFYSLNSIDLPEFFEDHMREWMTEFRAFLTTSYPPAIEADGAPDALRAAVCDNLQLYMEKYEEEFRGYLKEFVEAVWGLLMAPSVSPSRGQLAVTAIRFLTTVAESVHHALFGSPEAMKQICDSVVVPNLRLRDDDEELFEGNWVEYVRRDAEGSDADTLRRAACRLLRGLAANYREQVAALVSAQVQQMLAAYAADRTNNWKEKDAAIYLVISLMQKPGATGGGTPVVDMESFFTSVIVPELQAPDWESEPMLKATVLRFLKEFKDQIPKSTALALLPSVIRFLIHESNVVHSYAATFIENLLIIKDMVPVPSANVITRAPRYVAADINPYAQPIVQNLSKALSFPESYENPYLMKCLMRVLGIANIAGQIVHEITARLVGILMEVCNNPKNPDFNHYLFEALAAVIGRAGEQDPALLPVFEASLFPVLQRILVEDISEFWPYAFQIFAQLVNLSRPPLSQNYMQLFGVLLSNATWDRPPCVPALVRLLRAFLRKIPNELNQEGRLPNILVIFRSLLSRSSTEDSAFYMLNTLVENVSFDIMNPHINEIWSALFTRLQTRQAVKFVNSLVVFMSLVVVKYGPGVLVSSVDAIQPNIFMTILQRFWIPNLKFIKGTVEVKLTSVASTKLICESALLLDGAAAQTWGKLLDSIVALLSRTDQDGAQQDQNDGADADSQRTSGYSVSFVRLQYAGKSEDDLLKEVNDPKQFLVTSLATLSAQSPGRFGPIIEQNVDPANKGALIQLCAAYNTNIV >ORGLA01G0076800.1 pep chromosome:AGI1.1:1:5663757:5667335:-1 gene:ORGLA01G0076800 transcript:ORGLA01G0076800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESIQDGDDILLSGGDDDRNGGSIAEIQTRVDLGVAFGSEKLLNLEMLLMEIAHRATEIEPLVLDAGSISAESVQRVSEFDLLHCILDSEVKELEKLVDSIEVDIGNGGKMMASDEDPVSEVNSKLRDAAVSLNQMQDLISAIRRQSANFVNVIDPSQDNSGTSEDGGYENGHGSSRSAMPAEEQRNTLQLLNQSIASELDLGKKLHDSESVMEEVKLKLHHVEHESYFLEESVEAISERMFAAENASELFLGASKELIGKVNTIQFHLSASIRREGDLKSKLEQSLTESNGSNSTLEKMKQDSEKEVMPTQAQPDSEFLTLQDKIQQLEEWLRESKSGLPLTIASTGENEVGEDEMSTFENIVNDIKDAVFRAESRTQNAEAKCKQLSHANIQLDEELKSLKSQGSDRAGLLEEKLKESETQLLHAKASIEAIVERHSIFKSSMSDMEQVIDDLKEKYLKAETRAENAESKCTLLTDTNLELSEELSFLRGRVDTLENSLCKANQLKMSAAKDIGIKTKTITDLVAKLALEREHLHLQIVTLTKKNRMLAQKCKENITEVTLLNNKIAASEGELKTTKVMEEMVSDSSPTQTKVKRVANTLGEEEDEITAPPEDNSGACSTPNTE >ORGLA01G0076700.1 pep chromosome:AGI1.1:1:5661337:5663035:1 gene:ORGLA01G0076700 transcript:ORGLA01G0076700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAHLVLALLLPLALLPPAARGTEETPQYTTVHAESDFEVRRYRDTVWMSAPSDDISFHVATKLGFHRLFQYLMGANLNSSRIRMTTPILTSIVPGAGPLHSSAYFVRLYLPAKFQASPPVPLPELNLHPDRWPSHCIAVRSFSGYARDNNVVEEAEKLALSLSRSPWANSTNYPSKSAYSIAQYNNPFRIIGRLNEVWFDVDCKSTGVEAY >ORGLA01G0076600.1 pep chromosome:AGI1.1:1:5651058:5655714:-1 gene:ORGLA01G0076600 transcript:ORGLA01G0076600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:defective in meristem silencing 3 [Source:Projected from Arabidopsis thaliana (AT3G49250) TAIR;Acc:AT3G49250] MAVQPAERGVEAPPLTLSIFEFNSKVMQDELQKLALKVNHHEENIRFLKLELNAVEDSCADLGIKIGNYYSSMAAIANNDTSVEEAEQRTIQSILKQDETAAGIICQLKIRHYQHASKLPLMKDVLGVVATLGKINNDNLSRVLSEYLGLDNMLGVVCKTYDGVEGLETYDKEGMVDKTSGIHGLGRTIGKFLDGRFTVFCLENIRPFSGDFMIDDPQRKLLLHKPRLPSGEFPPGFLGFAVNMIHLDQANLSCLTAGGHGLRETLFYSLFSQLQVYKTRAELRNAIPLINDGAVSLDGSILRPNGSFCLGNRASLGIQFPVTANLGVSNLPVTITELEEQVKHKNWEKERLLEDIKRQEDLLNQVKDLFSKKKEQFMAYITQPGMLQRASQASPTIPSPATPGSNPFGSRPPHLRGR >ORGLA01G0076500.1 pep chromosome:AGI1.1:1:5649342:5650032:1 gene:ORGLA01G0076500 transcript:ORGLA01G0076500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCRGCGREEVERGCNGEGRIQGGIATVPGFGWWPIKAYRPCPGFVASGGRYRRQGQSMDDVASGRGKKVPSNNKKNTKARAER >ORGLA01G0076400.1 pep chromosome:AGI1.1:1:5646514:5646948:-1 gene:ORGLA01G0076400 transcript:ORGLA01G0076400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNRSYTLNLLQFKMIEMSFVPLLIFHLQARCNNWGGRWSHGADRVNEMMVDPLSQYHVCSSVIRHALSLPPTTLELYKGQLVYEHDEKGQPTLEFRETRNLRRLWLHRSLTRTMMHGLEEITKRELDEDDNVEAKGGWRDL >ORGLA01G0076300.1 pep chromosome:AGI1.1:1:5642081:5644176:-1 gene:ORGLA01G0076300 transcript:ORGLA01G0076300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:I1NLM3] MDVDSVECLSLPDWGVGAMDAADDVGLALHAHGALLAAARAAACSKGGGGGGAAAGSGVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCMFRPYNCPYAGSECAVVGDIPYLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKMVWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRITGRIWKEQQTPDGACIPNLCS >ORGLA01G0076200.1 pep chromosome:AGI1.1:1:5634279:5636494:-1 gene:ORGLA01G0076200 transcript:ORGLA01G0076200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGIAVSLSNNDALDLAVAQLDGDQSSYHHTPEVFLLYLAVPGVPLAKMQVLESDSVAAVKLRIQNSKGFVARNQRLVFEGRELSRNDSRIRDYGVRYGSVLHLVIRLSDPRRTAVRTVYGRKFKFQVDQRRNARYMKQEISRNVESPNGIGESVTLVNGEKLDESTLISTICETNTSDTDFLANKSENFNGNEIEESSEQLSISSDIGNNLQFDDAKEKYPLIEPVLVNPSVTLTPKITGMIEATLAGLEMEHTPVMSSEGTGGVYFMLDSSGQEYVAIFKPINEEPMAKDNPNGYPLSSDGEGLKRGTRVGEGAFREVAAYILDHPISGYRVSDELGFAGVPPTVLVRCLNGYVDQTKYDCAKKEPKIGSLQMFVKNSGSCEEFGPRAFPVQEVHKIAVLDMRLANADRHGGNILIRKDENGQIELIPIDHGYCLPESFEDCTFDWLYWPQARQPFNVETLDYIKSLDEEEDIKLLKLNGCEPSSKCVRVFRLSTMMLKKGAVRGLTPYEIGNMLCRENITTKSKIEEIVEEAEDVVLPGIGEKAFMEAISGIMDRHLNELFK >ORGLA01G0076100.1 pep chromosome:AGI1.1:1:5632198:5632395:-1 gene:ORGLA01G0076100 transcript:ORGLA01G0076100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDDLPLVEGLDDGRDLKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYLFQYP >ORGLA01G0076000.1 pep chromosome:AGI1.1:1:5628441:5630863:-1 gene:ORGLA01G0076000 transcript:ORGLA01G0076000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 2 [Source:UniProtKB/TrEMBL;Acc:I1NLM0] MNRQPPRRSSARIAAARGGSGDTLRERILLRPDGYIGSPEKRTQTFWVNDGYAMVPREVTYCPGLHRIFDEVLVHAASNKRRDPSMDTLSVEVDVVERRVSVFYNGRGAVPVELLDEKRGVYAPEMFFGHLHDDDEEDDRNKMTNDGGGAYGVKLANLFSTEFVVETADGCRMKKYKQVFSENMGKKSVPHITDCNQGENWTMITFKPDLARFNMTYLEEDHVTLMWKRVVDMAGILGDSVQVEWDGVRLRINSFNDYVRLYIDSPVSDRSGVGSPRVYEKLNDWCEVCLSLSDAGHFQQQVSFVNGFETLKGGTHVDYVTNQITTRVMNILNEYYKKSIFNVDDVKRHLWVFLNVFIDNPTFDSQTKEMLTTPPGRFGSKLELPDSFSEIALSGGLLRRLFGCSGPPDAKIVSFKY >ORGLA01G0075900.1 pep chromosome:AGI1.1:1:5624541:5627192:-1 gene:ORGLA01G0075900 transcript:ORGLA01G0075900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVCAERRLVDIVGVHANQMVPETEIQLGEEVGVVKFIKQFVENQNGKHVLGSHRVEGSVVHVEAPWLVDQQNRRREWXRVGADGEHVSALFLQFLVLKLWVAIRSNHYRGLAGLEVDVMIDGSGRWEAGWSAKRSSNSASKDERRSSACSAAQLRSRAKQSTSNTSVATYRRSPCMTPTPRMRSLSATTTRRPWRTGWRGRPMRWASLVVMKLCVEAGVNKGHHLLGRDMRHEAHRASAINTENRVERDVWFIGVVCVVPFAEISRGVVVLKPCLQCSANSAGERQRNWCPGTSQGHGLLEILGLLELDIDADVVGEPRCEELNLLAVGEEVGRAATQHQKALLVRRHRANLSEMLEFTQGIVADRRSILTVTELLERIPIRRTHVLLIMEVPFLCSSSEMTRKRPSTDFMETIQKDVNPSMRAILIDWLVEVSFYTKHFLV >ORGLA01G0075800.1 pep chromosome:AGI1.1:1:5618275:5619942:-1 gene:ORGLA01G0075800 transcript:ORGLA01G0075800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVWKTKVLTGLNKLFDKDGKKAAAAEFLKSFNKEEIGKEIDDKKTELEPKVVEVVESSPPEIKALLKDKKTASKIKKNGPAVTKFLEELAKIDFPGAKPVSDAVAKSGTTPLSPAIAFILEKVAPFVPKEEPKPEPEAEAAAETTSREVAVEEEKKEEEAAPAEPAAAAAEAAAPSTEVVEEKKEEEKPAEAAAPAAEPEKQ >ORGLA01G0075700.1 pep chromosome:AGI1.1:1:5606275:5611231:-1 gene:ORGLA01G0075700 transcript:ORGLA01G0075700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAFAFALQWRGGMRDPAGAAWPAETQRFPGMENSPLGSSSSSSSGGGGGGGSYFAVTSSQSSSAAADCAEILGRSAASSSHGGISLYRGWGFDSDAGLTPKICITGSTSAGLHQILPWLYYHKVIGVSHFFLFVEGEAAKPAVTSVLESIRGVKIIYRTKELKEKQDRSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIIMARDAGMDWIIHLDTDELIHPAGAREYSLRRLLLDVPDNVDMVIFPNYESSIERDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHLRPNGAHRWHNYMKTPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAFIIASTATEEEMRNWFREHVLWNDKDTNLKLLRKGVLTRIYAPMAIIRGLKESGVFTTAVTSAKAHAKFKSSNTDLKNKESIHPNITQGDHLQATVRKILEMVDAQEEAMPPMSPPGFLHQTVETAIS >ORGLA01G0075600.1 pep chromosome:AGI1.1:1:5602638:5605606:1 gene:ORGLA01G0075600 transcript:ORGLA01G0075600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidinol dehydrogenase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:I1NLL6] XXXRERERERERERERERESYTSRGHCVNPIVEDVRMRGDAAVKDYTVKFDKVALDDVVVHVSDLPDVELDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTVVLATPPSRDGSICKEVLYCAKKAGVTHVLKAGGAQAISAMAWGTVSCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVVAGDGVDLGAIEAEVSKQCSALPRGEFASKALGHSFTVFAKDMVEAISFSNMYAPEHLIINVKDAEQWEDLVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLSEEGLRSLGPHVAKMAEVEGLEAHRRAVTLRLQDIEATVTV >ORGLA01G0075500.1 pep chromosome:AGI1.1:1:5599850:5600923:-1 gene:ORGLA01G0075500 transcript:ORGLA01G0075500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLHLQSPFLLWSPAPPTPPLPPSPPSKTRRPPPPPPPPPFCPHLSVPCFGLPLPPPCPPPPGAIRFPLWHGAATIPASSVCRSVRGHFVEHLPHVEGRVPGDGEGAFAGVPPEMLPPKKRLLRYHPYAAAWTIQEMANHARGQGGFGGKRPAVPTPPGVEEDDGLRAELRRLRISRPALVLTKRLTPSDRSREKARLVLPEGLVRTSPLLGMLTAGERHLVLTGDGGGLPVPAFDRLGRAYAMALKRDRSPTCRSYRLTGQWSLFASRHAMHDGDAVEVRAFRPPAWQARLESRGEGGLGMALLLRRPRGQPTPPAAVNDAAFWSYRERGAADGLLLLARTAPRRGDGGVQITVP >ORGLA01G0075400.1 pep chromosome:AGI1.1:1:5594730:5599079:1 gene:ORGLA01G0075400 transcript:ORGLA01G0075400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGYVGVGQGSSSSSSSASCRAADVAAWGSSSQQQQKRQRCQGSSDDQVGLSTSNSLQMSEPEPRDFDNGENEEEDYYLDEDDCIYDDGDGYDYEFDGGDYFNQRLADKFDDLDLPPGVEATVPWLQKIITNEEQSSSKLTVEDESANKSANKSQLFKQFDTVKNFSDHHYAATSGDVTKRDWVKRIQHDWKLLEKDLPASIYVRVAEDRMDLLRAAIIGPKGTPYHDGLFFFDIQFSNSYPANPPSVYYHSGGLRINPNLYNNGKVCLSLLGTWAGSGCETWNPSQSTMLQVLVSIQALILNEKPYFNEPGYASYANSVSGERIAMEYNDNTFLHSCRTMLYSLRRPPEHFADLVTSHFRERGHTILAACRYYMEGHKVGSVVPEEEEPEYGDAGASTSSASASAAAAAALKPRPDKVDSVSRRPTFNDNLKTLFEELLMEFNVKGADTAKFLAEKVKKSSGATTTAPVGGARYAAEVVDEWMD >ORGLA01G0075300.1 pep chromosome:AGI1.1:1:5587886:5592691:1 gene:ORGLA01G0075300 transcript:ORGLA01G0075300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein [Source:Projected from Arabidopsis thaliana (AT3G16270) TAIR;Acc:AT3G16270] MDQSRRAVESYWRSRMVDGVTADDDKVAPVYKLEEICELLRASDASVVKEVADFVLKRLDNKSPVVKQKALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGHPDPLKGDSLNKAVRETANDAIAAIFSTEEPKPAVATEGLGKRIQGFGNTNFEPSRDDKKSFLSELSEVVGIGSASIKQGLSNFAASHSSMITNDNGGPYKSPNLRRSLTTETDKYGRYDPSEIQGESRSSSGASKNVSSGSWGPSPSSSAPTDDTGSSQTGVKTREERLLDTIATSSGVRLQPTRDALQIFLTEAAKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIITSYFCENSASVVRCCELPQVSLREKASKVLNLLVGEQPTGSNNFSETKTTVPAAQMPDLIDTGDQDDPGAQNSAQEGSERIMGNSTFTSSVDDFLGGEPIADISTTTSNGNGGDPFADVSFHETADTKDTNDLFSGMTVEEKATAALHDSSSINKNELPDIFGSSPEPFFQERVEDKGTVNDLMAGLNLNGTAQAQPGIKTESNNTVNVSQLFDMNSQTTNVANSAAMTGILGQSFYQQQQVPLQYNLPSQMLLNPAFAGQQLNYGAMSVLLAQQQQLLQNLGNFNAGLGHSSLNAMNSGNASVLPDIFNSSNQPQHVAVMSNSKKDETKAFDFVSDHLAAARGSKK >ORGLA01G0075200.1 pep chromosome:AGI1.1:1:5579053:5585928:1 gene:ORGLA01G0075200 transcript:ORGLA01G0075200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLPSPLRRLLPLSQTLAAATPAPLLHLSRRLFSSSSSSSPSPSPRAACLRALAYRGGQAGGGGRRGHHNHLLRRGNSTLGKRSKEKMGGGGGGGGGGGEAEVAFNKTRAEGKDGRKGRSMELKSRKLNPINTICYVQILGTGMDTQDTSPSILLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLTRVCSETAGGLPGLVLTLAGIGEEGMSVNIWGPSDLDFLASAMRSFIPNRAMLHTHSFGVEQNVSSSQSKDATVIVDDEVVRISAMFVKPRYNKEASCLNDSNLKPGDTAIIYACELPELKGKFDPAKAAALGLKPGPKYRELQLGNSVQSDAFDKMVHPSDVLGPSIPGPTVLLVDCPTKYHMHELFSLQSLIRFYEDSSEQAGSPKKVNCVIHLGPSSVTEALDYQNWMRKFGATQHIMAGHEIKNMEIPILKGSARISSRLHFVCPHLFPSSGFWPVEPINDVDSEKNKVSSLQACESVSAANLLKFHLRPYAQLGLDRSSIPSLTTYGDIVDELLSEIPEIKEVPEQISKFWQSNSVGKHMLMVEEPWITENSSVCNFVDENSNSGKLQDGTPLRASGWRKHPKDTPDIPCCVENATREDMEITFLGTGSSQPSKYRNVSSIYINLFTQGGILLDCGEGTLGQLKRRFGVSGADDAVKSLKCIWISHIHADHHTGVARVLALRSKLLKGVPHKPLLVIGPRPLERFLNAYSTLEDLDMQFLDCRQTLKPSIEAFLSGNATESATSQLGSTIFAPGSKMENYSRKPASPRDTTALTNLKDVLHESGLEVLYSVPVLHCPQAFGVVLRAKEKVSSAGKAIPGWKVVYSGDTRPCPALVDASRDATVLIHEATFEDSMKDEAIARNHSTTKEAIAVGTSAGAYRIILTHFSQRYPKIPVFDEVDMQKTCIAFDLMSVNLADLPVLPKVLPHLKLLFKDEMVVDESDEIQEAVM >ORGLA01G0075100.1 pep chromosome:AGI1.1:1:5547922:5549947:-1 gene:ORGLA01G0075100 transcript:ORGLA01G0075100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVAIFTSGEYTHVHAIYIEIFTLSLPISSHLVPERIREVELVMEFGRRKSFSFFEEDWKARPTAAARTPVHHHYARSPAREAAPHTPPRLSLSSVHGVELPGLVGVGGGGGGGGGVGGMCSPWVQSPLHGRVRFPPSPAAIYHCLSALHRLDGDVHALAVARGVLFTASDSGRVRAWAAPGCFNRGYLDVGRGRVPAIAACGGTLVTSHSRDHHVRVWTVCASAVCDHIRAKKAATLPAKGGILSFTKRRPPHHRDTVSCLVLHAVAGLLYTASHDHTVKAWKLSDGSCADSFVAHDGAINAMLINEADGCIFTGSADGTVKMWRRVYGGTTHALIIALRSELSPVNALTLCHAAAATGATRRCFLYAGSSDGYVNVWEKEASAGRPAHAGFLKGHRLAVFCLASGCGGRVVVSGSEDATMRVWRRDGKGGSTSHTCLAVIEGHRGPVRCLAVGGGEAGDVEGSMVVYSAGLDKSVKVWRIRVVGKEEEEDDDEDDLDDDDVDVVVGEEDAAETMSTAAGKVDTEARDEAAAAAAVVAEEEAEVVVGLGATPVLSPVWVEKRRTSRG >ORGLA01G0075000.1 pep chromosome:AGI1.1:1:5542855:5543750:1 gene:ORGLA01G0075000 transcript:ORGLA01G0075000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPMTKLELGHRGEAWEPGCLRAVAGELLFTFLFVFIGVASTITAGKAAGGAGEAAAVTAAAMAQALVVAVLATAGFHVSGGHLNPAVTLSLAVGGHITLFRSALYVAAQLAGSSLACLLLRCLTGGAATPVHALADGVGPVQGVAAEAVFTFTLLLVICATILDPRRAAPPGTGPLLTGLLVGANTVAGGALTGASMNPARSFGPALATGEWAHHWVYWVGPLAGGPLAVVAYELLFMDVEDAGGAHQPLPQE >ORGLA01G0074900.1 pep chromosome:AGI1.1:1:5537678:5538516:1 gene:ORGLA01G0074900 transcript:ORGLA01G0074900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALGHHREATDPGCLRAVVAELLLTFLFVFSGVGSAMAAAKLGGGGDTIMGLTAVAAAHALVVAVMVSAGLHVSGGHINPAVTLGLAAGGHITLFRSALYAAAQLLGSSLACLLLAALTGGEEAVPVHAPAPGVGAARAVAMEAVLTFSLLFAVYATVVDRRRAVGALGPLLVGLVVGANILAGGPYSGASMNPARSFGPALAAGEWADHWIYWVGPLIGGPLAGLVYEGLFMGPPGHEPLPRNDGDF >ORGLA01G0074800.1 pep chromosome:AGI1.1:1:5535034:5535333:-1 gene:ORGLA01G0074800 transcript:ORGLA01G0074800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTPPYLLPHFFYLLFSSLSPVMATSGDHLHLRRPDQASCKSCSSLSSSARGCQELSAGGFGGHELATTRSTCTKMSKHELGLSSSSSPAAPPSSPA >ORGLA01G0074700.1 pep chromosome:AGI1.1:1:5526817:5531935:1 gene:ORGLA01G0074700 transcript:ORGLA01G0074700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFACASLARFSRLARPLCAAGSTPRFLQESVNQDSIHSLVMEGAQGASNNSAKHKKRKSAVQRWRPISTEAAAPKAGLNEMSGPVSKQVEENSASDGTTNVVIEVSTYDASLPENKVATEDTMEDASFNKDIDRTNLSEKCSSSVQVDAPLMRFVKGKGGTMQKQIEDETGVKIIFPSSKEETCVVLEAKTTEDIRKASEKIAKVIEEAVKSPMLDYSHFISLPLAIHPSLVEKLNHFQFSILGTFSNVDSDKGEDLSEGSMDEIDHEQKQERSPSVSIKMQAHEESVRVKMDIKGSQPDFGIDKSIFIKPKTFHLTVLMLKLWNKDRIAKASDVLQSVSSQVMEALENRPISIQLRGLTCMKGSPARARVVYAPVLEVGEEGRLQRACKVITDAFVKSGLVLERDARQELKLHATIMNVRHRKSKRWNQRNDSFDARNIFRKYGEHDWGEYLIPEIHLSQRFKFDERGYYYCCSSIPLPTTEMQTE >ORGLA01G0074600.1 pep chromosome:AGI1.1:1:5524262:5525393:-1 gene:ORGLA01G0074600 transcript:ORGLA01G0074600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVCRSSGEEWTAKQLKGELEAFAATPYELQRRLVAAASAADSAAGVQSSFAMVSPSSAVFQVIIGAVGGGAAIGGAAAGGAAAGGAASEAPKSEEKKEEEKEESEDDLGFSLFD >ORGLA01G0074500.1 pep chromosome:AGI1.1:1:5521023:5523288:1 gene:ORGLA01G0074500 transcript:ORGLA01G0074500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCHHHQQQSNGQQLQRLEGIEEEGGAAEKWPPTTTVRPPETPTETMEFLARSWSLSAAEISKALKVLSGKAVSDDVYDAAAGDRKERRSPVTMDGRRHEQASKENETASMRANASSMAAAAQGGAMSPPISPRANLDVKLLRATATAVAAAGGRGGGGKTTMGTWIKEQKERKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSPPPDHPKNGAAAAPAPAAGAAGAKTAAAIASAAALVASHCVEMAQAIGASHDQILGAIQSAVNAQTSGDIMALTAGAATALRGAAMLRARLHKEIQAAALPGAGAGDTSGREPERDTSPFAFVSRGGELLKRTRQGTITVIIKMRSAHMAGTFIKTKKFVVLDICSEIPAWAGREVEEGSHRRGYFGIKTVERVIEFECRSKYEQHKWVQGITEMLNRRHTMKN >ORGLA01G0074400.1 pep chromosome:AGI1.1:1:5510485:5515728:1 gene:ORGLA01G0074400 transcript:ORGLA01G0074400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFKLGRKIGCGSFGEIYLATHVDTYEIAAVKIESSKTKHPQLFYEAKLYNALQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTVLRYKQGQKVQHGSRATITRATPGDLDKTAGVNGAFPHNEAREKTGPSHLAGSAAQLQVKRSTERGPHPNIQHTENITQDMTARKHLAASVLPGAEWRKDGNSRQLGQLDALHQKQSFVSNTGSSSGRFPTFLHDSPAN >ORGLA01G0074300.1 pep chromosome:AGI1.1:1:5507906:5508727:1 gene:ORGLA01G0074300 transcript:ORGLA01G0074300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAAATANQKQQKASSGRRAWRLLCLAVLWARKGSAVHSLCLFSNLRRAGVGLGVVGGGGRSERLRYGEPEYSIEETSSARVLRLIPCIAPAVPDTPGFYGDEDHYFFCRWDTEPECSGVGCYDYIENDVLKTEQIVQVGHGARGDVTILPTLVINNVQYRDLFCYDYNGEPSECGGVEDESFRDGAMDEXLLELSMPTPASARRSAR >ORGLA01G0074200.1 pep chromosome:AGI1.1:1:5504727:5505305:1 gene:ORGLA01G0074200 transcript:ORGLA01G0074200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSHAGGEMCMEGGGGGRARRAGGKKAATAEQQQQHKVAKQPQRGLGVAQLEKIRLHNQMVAALRSAAGGDAPYSPPPPQPQPFASPPFHLPPLQQDCYEAADHRRIAGGGGVLPYYEGMLPYGSGRLAAASPAFVAYEVKGDHHHGQYGSSEQQRQPQYYSWMSSSYDGYSGGRSSAGSSSEELDLELRL >ORGLA01G0074100.1 pep chromosome:AGI1.1:1:5496358:5496648:1 gene:ORGLA01G0074100 transcript:ORGLA01G0074100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVASRARRSSGPRGVAFCRWTNGLLHPRFVFPEAAAVAGSWAGSAQGTIRRELPRFSFWWLGCGIYRAFGIPMGARARASRSDRSFIRSM >ORGLA01G0074000.1 pep chromosome:AGI1.1:1:5483188:5485665:-1 gene:ORGLA01G0074000 transcript:ORGLA01G0074000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAAEGFISAKTWSAVRRANDRGGPFVGLVVPNAYEMDPVLNSPSFTPTPTLPSYLDIQGRRFRFGAIGGQNVVMVMTGLSMLNAGLTTQLLLSLFRVKGIVHWGIAGNADEGLQIGDVTIPEHWAHLSLWNWQRYGDGPENELPLEAAGDYTRDLGFLNFSDYTAAGPSPNELNSIWFQPEEIFPVSGTPEQRQHAFWVPVSSRYFSLAEKLEGMELPACVNATTCLPRAPRVTRVRRGCSANVFLDNAAYRQFLRAKFGCTPVEMESAAVALVAHQHAVPFLTIRSLSDLAGGGSSLGNEAGEFLAIAAQNAVDVMLNFVPLLADGGAAHDAVAADM >ORGLA01G0073900.1 pep chromosome:AGI1.1:1:5474740:5481317:-1 gene:ORGLA01G0073900 transcript:ORGLA01G0073900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:I1NLJ9] AAAVLDLFALDPALARHELAPAAFEALFAPRLLPVMRHFAARRASTAAAAAEAASQRGEDGSVETAAMSAMRVLSLMSGAQAQEMRDLEREYEKVLDANCIAYALYLKKILEAGDAAKETYSPPPPPPELVFGVGDDDQDGDVDDETTAETDEAVSSQNDVRYNPIWADADEAADLYPRQGSGKGRRELMRPPSLYPQRVPPHLIVQQQQSSPSPTGRGSPVARLRAGHSPATPSSDVSMEDSPSSSSELLAGREEKHTASPLSRPAGRARPRGEEDDDDDVAAMLSPEHASSVMGGDGGGDVARRPVVATPKDFVCPITSQVFDDPVTLETGQTYERRAIQEWLDRGNATCPITRHRLHGAHLPSTNYVLKRLIAAWRDQNPAASSSAPTPPPPATTTMDSPAAAAPFKISSPSPDATVSQASAPSPTSVIAQASLDSAVGELRAAVSCLCTSEELADSERSVLRIERLWREAAGAEHVVLAALAKPAVVNGFVEILFNSVSAQVLQVAVFLLAELASRDDAVVQTLTRVDSDVDCLVALFKKGLVEAVSLIHLLSPSPEQLVEMDMADALVATIRRGGGDDDGGAVVKMCVKPKAASVILLSQILVEGGRDSSSPAVAKSALVSERFIRSVAASLEAEQVEERVAAVRILLRCVAEDGHCRSSIVENSALAAVLDAFHVVGDADKFDIVRLLSELLKLKRRSAADRLLRTIKEASSFSMMHTLLVYLQSTTPEQSPVVAGLLLQLDLLVEPRKISMYREEAVDSLIQCLKNSDYPRSQLLAAETIMNLSGKFSSSGRPLSRSSLLKLARVKERHRPSHDLSISRGAGEDEMEEEKAAAEWERKAAYALVSHEFGLVFEALSGCLAAAKNGELFTASLVSAAWLVRMLPLLPDTGVLGAARVCLLRQLVLVLRSGKHGSDRALAMVALRSFMNDREGMHEITTYIKDVLRTLRELKKSSGLAFEMLKLLSDGQESSIDMWNHKELNHADCSSNGEVTSIAYFKSYIFSGHSDGTLKVWEGSENILRLVHESQEHTKAITSLAVLHSEEKLFSGSLDRTIRVWQLRDALRCVEVHDAKDPVQSLAVAAAMACFAPQGSGVKVLSWNNGGGAKVLNASKVVRSMALVHGKLFCGCNDGGVQEIDLASGTIGVIQQGSKRIIGKASPIYSLHLHGDLLYTGSTSLDGASVKIWSSSNYSLVGTIPSSVEVRSLVVSSDLVYLGSRNGVVEIWSREKLTRIGALQAGGGGGGGRVQCMAVDADGDVIVVGTSDGRIQAWGLT >ORGLA01G0073800.1 pep chromosome:AGI1.1:1:5473492:5474251:1 gene:ORGLA01G0073800 transcript:ORGLA01G0073800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESPAAAAAALRLAAVARRWLENPRDSLARSREEGCGDAFNTVVMPGFRVSLAEPGRLVCSFRVPAAVADADGRWHAGAMAAAVDNLCAAVVYTADGVHRFTISQAMSFFSPAAHGEEVEMDGRVAHRKGKLTAAVVEVRRKASGELVAIGRQWMTSTRARPEKNGESRSKL >ORGLA01G0073700.1 pep chromosome:AGI1.1:1:5471029:5472971:1 gene:ORGLA01G0073700 transcript:ORGLA01G0073700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAAAAPPAPAWMAAGARRWLEEAGVAFDGSDRRAFNALPLAGARVSLAEAGRAVCSLRVTAELTDAEGNWHPGAIAAAADDVCAAAIMSVEGIIKVSVHYDISYFSPAKLHEEVELDGRVVEQKGKMTAVTVEIRKKDSGELVAIGRQWMSTTRPKKDQASSKL >ORGLA01G0073600.1 pep chromosome:AGI1.1:1:5462279:5464588:-1 gene:ORGLA01G0073600 transcript:ORGLA01G0073600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAATRFIKCVTVGDGAVGKTCMLICYTCNKFPTDYIPTVFDNFSANVSVDGSVVNLGLWDTAGGFSDFDSRFGWGLLVAGQEDYSRLRPLSYRGADVFILSFSLISRASYENVQKKWMPELRRFAPGVPVVLVGTKLDLREDRAYLADHPASSIITTEQGEELRKLIGAVAYIECSSKTQRNIKAVFDTAIKVVLQPPRHKDVTRKKLQSSSNRPVRRYFCGSACFA >ORGLA01G0073500.1 pep chromosome:AGI1.1:1:5456475:5461122:1 gene:ORGLA01G0073500 transcript:ORGLA01G0073500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAVDQEGARVVGTNCMLARGGTGAVAPVLELTATPRQDAAAEAGVDEPAQHQCEHFSIRGYVALLQKKDPKFCSLSRIFHDQKKCDEHKASSSPFSVAKFRRWDCSKCLDKLKTSDNGTAPRTLPAKQNGTSDGCSITFVRSTFVPASVGSQKVSPSTQSSQGKNADRSTLPKSVQEGNDSKCNAPSGKNGAAEANTDSPMKDLQGPAQNYDVAANVSEDNTSVDVGALPEVPQITWHIEVNGADQPPSTPKLSEVVLKRNEDENGKTEETLVAEQCNLTKDPNPMSGKERDQVAEQCNLTKDPKPVSGQKCEQICNEPCEEVVLKRSSKSKRKTDKKLMKKQQHSKKRTAQADVSDAKLCRRKPKKVRLLSEIINANQVEDSRSDEVHRENAADPCEDDRSTIPVPMEVSMDIPVSNHTVGEDGLKSSKNKTKRKYSDVVDDGSSLMNWLNGKKKRTGSVHHTVAHPAGNLSNKKVTPTASTQHDDENDTENGLDTNMHKTDVCQHVSEISTQRCSSKGKTAGLSKGKTHSAASTKYGGESTRNGQNIHVLSAEDQCQMETENSVLSRSAKVSPAEHDIQIMSDLHEQSLPKKKKKQKLEVTREKQTMIDDIPMDIVELLAKNQHERQLMTETDCSDINRIQSKTTADDDCVIVAAKDGSDYASSVFDTNSQQKSLASQSTQKELQGHLALTTQESPHPQNFQSTQEQQTHLRMEEMVTIAASSPLFSHHDDQYIAEAPTEHWGRKDTKKLTWEQFKATTRNSPAATCGAQFRPGIQAVDLTSTHVMGSSSNYASRQPVIAPLDRYAERAVNQVHARKFPSTIATMEASKLCDRRNAGQVVLYPKESMPATHLLRMMDPSTLASFPNYGTSSRNQMESQLHSSQYAHNQYKGSTSTSYGSNLNGKIPLTFEDLSRHQLHDLHRPLRPHPRVGVLGSLLQKEIANWSENCGTQSGYKLGVSTGITSHQMNRKEHFEALNSGMFSAKWNALQLGSVSSSADFLSARNSIAQSWTRGKGKMVHPLDRFVRQDICITNKNPADFTTISNDNEYMDYR >ORGLA01G0073400.1 pep chromosome:AGI1.1:1:5427288:5434910:1 gene:ORGLA01G0073400 transcript:ORGLA01G0073400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMVDRATSDMLIGPDWAKNMEICDICNRDPGQSKDVVKALKKRIGHKNPKVQILALTLLETAIKNCGDIFHMHVAERDVLHEMVKIVKKKSDQNVKEKVLTMIDTWQEAFGGPRARYPQYYAAYHDLVRAGAAFPKRSDRPAPLFNGQSPAGRNMRSPDQQDEAESSAGNDFPALSMSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVLLVNATADEELMSQGLALNDDLQRVLAKHDAIAAGIAVRVEKKPKSLQALVDTEDSMNQDSKKEQALVDIEDPTTQETNKEPSQSANVQSPFEQLALPAPPVSNGSATPAPKSDLGVDLLSWDDNPSTTENSLALVPVTDPVVDSTPSQNALAIVDIFSQNNTTNNIAKPADPFGVNSSSTLPGSQPYNAPTQHPLQAQQQPQQVGLYPNGGAVNPGTSYPTSSGWNGQIANNATPPAQQTVNYDEQSGALPPPPWEAQSAPSGDMSNGGMQSHPVSNGQFGGMPSLPTPSNQMGGMQPLHPQMNQMGGPQAHQMYNNQQPGAMQPSQPAVTQMQSGFANQFGSMPPHSMPGMQFPGMQPSPMPGAQPVMMYAQPMMMPGMQFAAMPQPRMYGPQMSQYRLVQQQAAQYYSNSQGRPTYYAGMNDLSQKMYGLSMQDSSYMGMNSSPYSTTPSSSSSMGQPIKPSKPEDKLFGDLLSIAKTKQNKA >ORGLA01G0073300.1 pep chromosome:AGI1.1:1:5423607:5426751:-1 gene:ORGLA01G0073300 transcript:ORGLA01G0073300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit L [Source:UniProtKB/TrEMBL;Acc:I1NLJ3] MAAAAAEGGGSGGGAVYDPSYVPDSVKTFVAHMYRHVRDKNVYEIHQMYEGGFQRLSDRLFRDAPWPAAEAVSPYCDGDHVFLLLYRELWYRHAYARASSSSSSSAPLTAGQRAESWANYCDLFSVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKSDDELHQLKQFDKAWNVYGVLNYLQALVEKSMIAQILEREKEGLEQFTATDGYDYQGGSNVLKMLGYYSMIGLLRIHCLLGDYRTGLKCLAPIDLNQQGVYTIVIGSHISAIYHYGFANLMMCRYAEAIREFNKILLYILKYKQYHQKSPQYDQILKKNEQMYALLAVCLSLCPQHNLIDENVSTQLKEKYNDKMTKMQRFDEEAYAAYDELFSYACPKFITPSPPALDQPLTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTITIAKLAQYMEVDEATLRSILMTYKHKMHAVDNNGKIVSSADFDFYIKEDVIHVMESKPIKRHGDYFLRQILKFEEMIGELEKVQFD >ORGLA01G0073200.1 pep chromosome:AGI1.1:1:5414645:5421285:1 gene:ORGLA01G0073200 transcript:ORGLA01G0073200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLDLRRLLKKEKSAMNQKGDDLSMGKRLKALLTIDAILSTAVQTYNGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIVQMVNKLGPKKWSTIAQALPGRIGKQCRERWYNHLNPGINKEAWTQEEEITLIHAHRMYGNKWAELTKFLPGRTDNSIKNHWNSSVKKKVNSYMSSGLLTQVSCLPLNEYSANCNSSPAMTQQNSEDSGCFAVREVENSSGCSQSSLAKVSCSQVHDTTVPLGCDLQVNANFDKNEAHDSQSSMGPQACYTSAEAVASALPAVHCHVSSSNLDPDQHLQEDFAQGLNLDMTIDEMPTVPSFADNQTVCSIENHERSLEPYDVAMEVPLSMLSSDSGAEQKLHFMSEADFNSPNCLKSELWQDISLQGLLSGPDAVEADSFSRSNHQLDVYSSEADTHFLAPPYMPQTSNSSSVMGLADDQSPQMSVPPSLICSNAMTDDAPFDNRPGRKEMPLSQAEVVTQSSSSSGDAEMFANPGCSNDRHVPSSTMESIPECGDQQVTNAEEPEASLEKEPSLTQSVTAPDEQDKGALYYEPPRFPSLDVPFVSCDLVTSGDLQEFSPLGIRQLMHSTMNVCTPMRLWGSPTHDESTGVLLKSAAKSFICTPSILKKRHRDLLSPIPDKRIEKKYGTEKDRGVSDTSSTGIQTSCINATKDDALITTVLRIERSASSKSLEKKLVFSDENKENLGYTTEQTKDGKSAGNDEHMDEQTTGERSSATNVATNADLSGNLQPAGILIEHSGDDPISSDYGKNTMNQKLNTNVKSLSVCKEGVCAKSKPTELIVEKSSPCINVDYEYVNILADTPGIKRGLESPSAWKSPWFVDMHFQGSYFTSPADSYDALGLMKQINVQTAAALVEAREVLASGGQCDNISSDKENTGNPDAKKEPGTTKLQTKIMAEGRVLDFECTTPVRSSDKNAGSNLGRYLSSPIPSSHLLKSFR >ORGLA01G0073100.1 pep chromosome:AGI1.1:1:5407049:5407336:-1 gene:ORGLA01G0073100 transcript:ORGLA01G0073100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGASCLITLSPQLKDYEDTFSLTGKIQNFRELLYYSDGLNSEEKRGSRWLGTAANDVSLSDFSPAATTNSPLIMLWSLANLVRLGLVAPWISK >ORGLA01G0073000.1 pep chromosome:AGI1.1:1:5400619:5403449:-1 gene:ORGLA01G0073000 transcript:ORGLA01G0073000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDSFRSVVCRSLSKSLSSKTKESSYPEIAQCAVPCVVTLQPTVCRGCQGRDWSPSQSNREDMSMMLQKDYLMASSLSRRFAEDLLRGAMDLQDSLAMLEKFQTASRSMRVSNKKRRREGCERSPDTSGFRGALSEASNAKKMVGRSASSGLDGELTNSTDELKRVIKDSFYRKNILSVYPNDEQASMSQSLHYMPNKNLLSKPNEQKKAAPRSLPSCAPGQSEKSKSPSLVAKLMGLDGLPTHNSNTFKKDESMKTVSSPRALFDIEMPKVQQNDAHMSSPYSRKSNVFLYDSTVVNEIGSMKTIRREKGIEQPQTRAAKDIKVVSHTSRKQQIKETTEMGRRSSDKQRPHSTYRNREGRKDTKSKTGSASRSSANTVKRPDKKSMIASSSSSSTCRTRKPVARKAPSNSREKAVSSRSRKNSTIDDIVAYELHREFIQVDGPSTEHNATPSDESCQSVVDWDTEPSIDGIREDLSESYEASVTTSYAERTDSANGDPFHPSTHLVSKNEVEIKDEMSLLLLSDQPFLTRAAELIGIGEPGHLINRYKGIHKAQMGNHELFVDTATEQLERKHRQRNSLCYTGIWSQKCRTAPYFSLEALLTDIRDATRKLSIYTENDDGCTTKDTLYMKLEKDLRCTDASINSVWDMGWEDWIFMEETQCFIRDVGESILSGLIEEAALDMWVH >ORGLA01G0072900.1 pep chromosome:AGI1.1:1:5395440:5398531:-1 gene:ORGLA01G0072900 transcript:ORGLA01G0072900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G79870) TAIR;Acc:AT1G79870] MDSLGVLLVAPMNAYLEQELDRRCRLFRLWESPADRRDDYLRAHASSIRAVVPYAPHGVDAAMIDALPSLEIVSSYSVGIDRVDLDACRRRGVRVTNTPDVLTDDVADLAVGLAIAALRKIPQADRYVRAGKWKSKGDFTLTTRFSGKRVGILGLGRIGLAVAKRAEAFDCPISYHSRSEKPFPKYKFYPNVVDLAANCDVLVVACSLNPETHHIVNRKAIDALGPEGVLINIARGAHVDEPELISALLEKRLGGAGLDVFEDEPFAPEQLFELDNVVLVPHVGSDTEETCRAMADLFSGKRVGIIGLGRIGLAVAKRVEAFDCPVNYYQRTKQDHPGYTYYPSVAELAASSDVLVVACPLNEHTRHIVNREVMEALGPRGVLINIGRGPHVDETAMVAALADGRLGGAGLDVFEDEPNVPEALLGMDNVVLVPHVGSATHETRTAMADLVLGNLEAHVAGKPLLTQVV >ORGLA01G0072800.1 pep chromosome:AGI1.1:1:5391158:5392506:-1 gene:ORGLA01G0072800 transcript:ORGLA01G0072800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPATSESDMTSLATSSPSRSPKAAAAAYYVQISPSRDSHDDGDKSPSTQATPVYNNSPLDSPSHHSSSFGRHSRVSSASRFSGNLRSASGRSRLGGGGGRRRLGAKGWRDVDAIIDEEEEGAYDEFDDDDDGGYEPSRCCVLAFRFSLLALAFTLVCLIVWGIARHYKPGVLVKSLTVGNFYAGEGIDRTGVPTKLVTMNCSLQINVHNPSTMFGIHVSSTSIQILFSQIAIANGQLEKFYQPRSSHHVASAIVHGEKIPLYGAGETFALSNAGGAVPLTLDLVVRARGYVIGKLVRVTHTKRVKCPVVIDSGSSKPIRFTQSACSYT >ORGLA01G0072700.1 pep chromosome:AGI1.1:1:5388156:5390271:1 gene:ORGLA01G0072700 transcript:ORGLA01G0072700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNGVQLTLTSTVKTNGKIRINGEVLNGSHLKEKHEAGSNGALHPSNGQAKQPPSPPPPPPQKQKQKQKLLCTTCGKGHTCQAVIARTRQMRAMIDARRPHQAHSAFRHLVDDGHRPSLVTYTTLLAALTSQRAFDTIPWLLAEVEDAGLRPDSIFFNALINALVEARRMGEATNTFLRMGHSGCRPTASTFNTLIKGYGIAGRPEESQRVFDMMASGGAGGEAAVRPNLTTYNILVKAWCDAGRLEEAWRVVARMRASGADPDVVTYNTLASAYAKNDETWRAEELVVEMAQQAGLRTSERTWGIIVGGYCREGRLGEALRCVRQMKDSGVLPNVIVFNTLLKGFLDANDMAAVDDVLGLMEQFGIKPDIVTYSHQLNALSSMGHMAKCMKVFDKMIEAGIEPDPQVYSILAKGYVRAQQPEKAEELLRQMGRLGVRPNVVTFTTVISGWCSVADMGNAVRVYAAMRDAGVRPNLRTFETLIWGYSELKQPWKAEDVLQMMQDAGVRPKQTTYCLVADAWKAVGLVENANRALGSSSSSGDLLDADDDEEPYFPDNHGDDKLQSFERTNGHAKSDASRSMQVTRASMSLKTARSSSPSPSLLRRSCRLPVRSTWLCRKQLQMQCGVYGQSISSLKMVFLS >ORGLA01G0072600.1 pep chromosome:AGI1.1:1:5381613:5384577:-1 gene:ORGLA01G0072600 transcript:ORGLA01G0072600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein [Source:Projected from Arabidopsis thaliana (AT3G24570) TAIR;Acc:AT3G24570] MRRLWRWYQQCLATHPVRTQVVSSGILWGLGDIGAQAVTHYSAPGRSHHHHAKNPPEDKDKEFKIDWKRVGITSSFGFAFVGPVGHYWYEYLDRFILRRYQPKTFKFVASKVAADGLLFGPVDLLLFFSYVGLASGRSVEQVKDDVKRDFIPALVLGGTIWPAVQIANFRFIPVRYQLLYVNLFCLLDSCFLSWIDQQGDAPWKQWFTSFQKIEGQKGKV >ORGLA01G0072500.1 pep chromosome:AGI1.1:1:5375090:5381069:1 gene:ORGLA01G0072500 transcript:ORGLA01G0072500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEYCDQKPSNVLFDEETTVHVADFGIAKLLLGDDTSKITNHGKHAWNIWNRGKLKLRLRKSSLESDLWIDRLFVGEVAIRQWVNQAFSAKLVHVLDDKLQLDESSIEDLNHLLLPIFESCRQSLVGVDGPRWFDTANEGCLPAVLHTAELLIISLTVTALAAGASSSPSPSINSSSGGGAAADLAALLAFKAQLADPLGVLAGSWTTNVSFCNWVGVSCSRRRRPERVTGLSLPDAPLGGELTAHLGNLSFLYTLDLTNTSLVGPVPADLGRLRRLRSLLLGDNLLSAAIPPAIANLTMLELLHLGNNNLSGEIPPDLLHGMRRLSRIALHMNQLTGDLPPLLFNGTPSLTFVNLGNNSLTGGVPHGVASSPSSLPMLEYLNLRGNRLAGAVPPAVYNMSRLRGLVLSHNNLTGWIPTTSNGSFHLPMLRTFSISSNGFAGRIPAGLAACRYLQTLSISSNSFVDVVPAWLAQLPYLTELFLGGNQLTGSIPPGLGNLTGVTSLDLSFCNLTGEIPSELGLMRSLSTLRLTYNQLTGPIPTSLGNLSQLSFLDLQMNQLTGAVPATLGNIPALNWLTLSLNNLEGNLGFLSSLSNCQQIWIITLDSNSFTGDLPDHTGNLSAQLSIFSASENKLTGGLPSSLSNLSSLEQLQLPGNQLTGPIPESITMMPNLVRLDVSSNDISGPIPTQIGMLSSLQRLDLQRNRLFGSIPDSIGNLSELEHIMLSHNQLNSTIPASFFNLGKLVRLNLSHNSFTGALPNDLSRLKQGDTIDLSSNSLLGSIPESFGQIRMLTYLNLSHNSFGDSIPYSFQELANLATLDLSSNNLSGTIPKFLANFTYLTALNLSFNRLEGQIPDGGVFSNITLQSLIGNAALCGAPRLGFSPCLQKSHSNSRHFLRFLLPVVTVAFGCMVICIFLMIRRKSKNKKEDSSHTPGDDMNHLIVTYHELARATDKFSDDNLLGSGSFGKVFKGQLSCGLVVAIKVLDMHLEEVAIRSFDAECRVLRMARHRNLIKVLNTCSNMEFRALVLQYMPNGSLDMLLHSQGTSSLGLLKRLDIMLDVSMAMEYLHHEHYEVVLHCDLKPSNVLFDEEMTAHVADFGIAKLLLGDDTSKITASMPGTFGYMAPEYGSLGKASRNSDVFSFGIMLLEVFTGKRPTDRLFVGEVTIRQWVNQAFPAKLVHVLDDKLQLDESSIQDLNHLLLPIFEVGLLCSSDSPDQRMSMADVVVTLKKIRKDYEEKN >ORGLA01G0072400.1 pep chromosome:AGI1.1:1:5372117:5372611:-1 gene:ORGLA01G0072400 transcript:ORGLA01G0072400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLGYWIVYDLDAKELRTWYEERLLRDRGMARWPEYGAGDREEVRSLIARVREEYWRRMPEHRRQKFVARLEEERRLKEESDQRQQLAAAADERRGAQMAAVDDGKLRASSRSSTQPPHRRRRRRWAPPPPPPRLLGILLWILAAEIVLLFVYKFMLPSFRFF >ORGLA01G0072300.1 pep chromosome:AGI1.1:1:5361852:5364412:1 gene:ORGLA01G0072300 transcript:ORGLA01G0072300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLLYGGRDVAFAPYGEYWRQARRICVVHLLSARRVLSFRRVREEEAAALVARVRAAAGGAVDLVEHLTAYSNTVVSRAVFGDESARGLYGDVDRGRALRKLFDDFVELLGQEPMGELLPWLGWVDAVRGLDGKVQRTFEALDSILEKVIDDHRHRRREVGRQMDDDSGGGGGGGHRDFVDVLLDVNETDKDDAGVHLGTIEIKDMFAAGTVTTTTAMEWAMAELITHREAMRKAQDEIREVVGVTGRVTEDHMDRLPYLKAMLKETLRLHPPNPLLVPHVPLADVEILDCTVPAHTRVFINAWTIGRDPTTWGEHAEKFMPERFLNNNLDYKGQDFELIPFGAGRRGCPGIRFVVPTIEMALRSGNKDPHSPSDARGTAGTAMVKIRKSVRIKALSRADKDDPSYLKNPFERDRRKKSAKYMLYIITSRQYHAKIPSLCNGEKLYVHRCMYIFGPISIFITHYYKIDFFYETLLCYRRIITAPTCENHSLNDGLRTACIFVEHGLL >ORGLA01G0072200.1 pep chromosome:AGI1.1:1:5346285:5349671:1 gene:ORGLA01G0072200 transcript:ORGLA01G0072200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARKRRCATMAVSLVVVVVVVIAIVVPLLYLVLLPAWKPARRDDGDGGMRRRLPPSPPWGLPLLGHLHLLGALPHRALRSLAAAHGPVLLLRLGRIPVVVVSSAAAAEEVMRTRDLEFASRPRVAMAERLLYGGRDVAFAPYGEYWRQTRRICVVHLLSARRVLSFRRVREEEAAALVARVRAAGGAVDLVEHLTAYSNTVVSRAVFGDESARGLYGDVDRGRVLRKLFDDFVELLGQEPMGELLPWLGWVDALNGMEVKVQRTFEALDGILEKVIDDHRRRRREVGRQMDDGGGGDHRDFVDVLLDVNETDMDAGVQLGTIEIKAIILDMFAAGTDTTTTVIEWAMAELITHPDAMRNAQDEIKAVVGITSHITEDHLDRLPYLKAVLKETLRLHPPLPLLVPHEPSSDAKILGYSIPARTRIVINAWTIGRDQATWGEHAEEFIPERFLESGLDYIGQDFVLVPFGAGRRGCPGVGFAVQAMEMALASLLYNFDWETRVVDRRSEFGTSSLDMSEMNGLSVRLKYGLPLIAISRFL >ORGLA01G0072100.1 pep chromosome:AGI1.1:1:5337773:5340825:1 gene:ORGLA01G0072100 transcript:ORGLA01G0072100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSLAALLHSPLLLAVLLLVFSWLIVSSTKKRPPPPCGDGGRRLPLPPSPPGVPLLGHLPLLGTLPHRKLRSMAEAHGPVMLLRLGRVPAVVASSAAAAEEVMRTRDLAFASRPRVRMAERLFYGRDMAFAPYGEFWRQARRVTVLHLLSPRRVLSLRGVREQEVAALLDRVRRRCGGGGETVNLSDLLMSYAHGVISRAAFGHGGAHGFDGDEGGEKLRKLFADFEGLLGTMTVGEFVPWLAWVDKLTGLDAKVARTSAAMDGLLERVIADHRERRRSRGQAVGDGEADADHRDFVDVMLDVSEAEEGAGAGAGGVLFDTVAIKAVILDMMAAGTDSSFTTTEWVMAELINHPRVMRKLQDEIRAVVGTSSASAAAAAAATTGGGQVTEDHLGELPFLRAVIKEMLRLHAPGPLLLPRETVEDTELLGYRVPARTRVIINAWAIGRDAAAWGDSAEEFVPERWLDGGGGGGVEYAQQLGQDSRFVPFGAGRRGCPGAGFAALSVELALANLLYHFDWELPPPAASGIMATTRLDMDELFGLSVRLKADLNLVAKPWSPGAS >ORGLA01G0072000.1 pep chromosome:AGI1.1:1:5332815:5335092:1 gene:ORGLA01G0072000 transcript:ORGLA01G0072000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSLAAVLHSPLFLLAALLLLPVFTLLSFSSAKKPGDGGSRRLPLPPSPRGVPFLGHLPLLGSLPHRKLRSMAEAHGPVMLLWFGRVPTVVASSAAAAQEAMRARDAAFASRARMRMAERLIYGRDMVFAPYGEFWRQARRVSVLHLLSPRRIASFRGVREQEVAALLDRVRRRCCVRGGGETVNLSDLLMSYANGVISRAAFGDGAYGLDGDEGGEKLRELFANFEALLGTATVGEFVPWLAWVDKLMGLDAKAARISAELDGLLERVIADHRERRRLSQPDGRDGDGDGDENVDHRDFVDVLLDVSEVEEGAGAGEVLLFDTVAIKAIILDMIAAATDTTFTTLEWAMAELINHPPVMRKLQCEIRAAVGVPGASGGAEVTEDHLGELRLLRAVVKETLRLHAPVPLLVPRETVEDTELLGYRVPARTRVIINVWAIGRDAAAWGDRAEEFVPERWLDGGGGGEAVEYAAQLGQDFRFVPFGAGRRGCPGAGFAAPSIELALTNLLYHFDWELPPHADGAAAATAARLDMGELFGLSMRMKTTLNLVAKPWSSDV >ORGLA01G0071900.1 pep chromosome:AGI1.1:1:5328668:5331639:1 gene:ORGLA01G0071900 transcript:ORGLA01G0071900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFERLNSWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRTVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFECIARNAIKNEPEEEIYLPDTIDVGGAGRQQRSSGCEC >ORGLA01G0071800.1 pep chromosome:AGI1.1:1:5322935:5327241:1 gene:ORGLA01G0071800 transcript:ORGLA01G0071800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPPPPANQTATPPPANQTAAPPPASNNSSSPPAPGSLSPPSLTPPAAPSPPSTTPSSPAAAASSSGLTTPVVVGIVVGGLVVLLLVTILLVCLFKKKKRHHHHPPPPPPPPHLLHYYGHPPPPPPPPPPFKGDHYGGVYQNWQQNGPPPPPDHVLKKVPSHPSPPPPPAPLNVHSGGSGSNYSGGDNSQPLVSPGAALGFSRCTFTYEDLSAATDGFSDANLLGQGGFGYVHKGVLPNGTEVAVKQLRDGSGQGEREFQAEVEIISRVHHKHLVTLVGYCISGGKRLLVYEYVPNNTLELHLHGRGRPTMEWPTRLRIALGAAKGLAYLHEDCHPKIIHRDIKSANILLDARFEAKVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGQLTEKSDVFSFGVMLLELITGRRPVRSNQSQMDDSLVDWARPLMMRASDDGNYDALVDPRLGQEYNGNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLDDLNEGVRPGHSRFLGSYNSNEYDTGHYNEDLKKFRKMAFGSGNLESSQQTQPTEFVPNRSVSMGDARQTPETEMEMGSLKKDGDSNGTRDSQAS >ORGLA01G0071700.1 pep chromosome:AGI1.1:1:5308868:5314541:1 gene:ORGLA01G0071700 transcript:ORGLA01G0071700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13250) TAIR;Acc:AT4G13250] MAAAAVVHLSVHGRLRRSPELHARPYHRPSLLRCRAFKQEADNGGEEASSSPPPPTTAEARRRRKGPLYKLKAAIQGLAGSRSAAAEAYGGEYQRAVEKAEEIFFSVATQVGRYVITMMSSGVVLGVGFQLSGGDSQMNTLIWYSWLGGVIIGTMIGANSVLEEHCKAGPRNVVITGSTRGLGKALAREFLLSGDRVVIASRSPESVLQTINELEENIQEGLSVAKKKQREILLHAKVVGTSCDVCKPEDVKKLVNFAKDELGSIDIWINNAGTNKGFRPLVNFSDEDISQIVSTNLVGSLLCTREAMNVMQYQQKGGHVFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQASLLKESRRSKVGVHTASPGMVLTDLLLSGSSLRNKQMFNLICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWVRRGRWFDEEGRAVYAAEADRIRNWAESRARFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSSGGPLPGT >ORGLA01G0071600.1 pep chromosome:AGI1.1:1:5299889:5302885:1 gene:ORGLA01G0071600 transcript:ORGLA01G0071600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSLRRATARVEALAACAVIAHASAGMVACGCTGAAAILILTGQHWYMSNSDDESFVDKDKDCRRGTVAPLLKKGPWTSLENSILEKYIKKHGERNWKLVQKNTGLLRCGKSCRLXWINHLRPNLKKGAFSKEEKNKIINLHRKIGNKWSRMAADISSPCSSLFFLLLSEYISLETVYKSVVLXXFLHHKYVWXPLHGGEVRGILIFVVHPLVKLFNLKLVSFFFPIALQVCFATFTWRYGYYXYLXFNCWXSYFLXLNECYPFHLFQLIFGSFCITSLSXNMIIITNQINPNKHMXILSKSHPXNYLRNVAYFCLYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGRTDNEIKNYWNTRIKKCKNNRWLLYPANVCNDALNEDQYGSVDPSVREKLTNNQLEDTTSMYSAPQFSYASISNILDRRLASKDYDSIEDQRNRIEIAAEYEISLPVLKTTNNDIFPSASIFANHGISNGNLSALSTTDALQMELPLIQFDPNNQFIYSRDYAMHLTNLALLNDQSEELLNHIDVLNYVVMKEELSGGSLSPTINMPCEATAYSLELPLCKGYREQDLDFYTQ >ORGLA01G0071500.1 pep chromosome:AGI1.1:1:5293472:5294662:1 gene:ORGLA01G0071500 transcript:ORGLA01G0071500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDHRGGGGGGGRSSSRLRDRLARMFRPGALLRSTCNNHATASTSSSSSSAAAAAGGVVTASACSSSRALLAADAAAAVDYCGRESFLASSRRDYSDAAATALVGRTESFSTALDRLHRRGGGTPLPPSRFSVDASPKKEDAAAAAAAAKEKEMTTAAARDVQGFHYHHHRRHGSLGGGGGGGCGKSDKARRLLSNPYGFTTSDDADTDGDDVFSSDADDRGGRVVAGGGGGAKKGETEAFFSSSRSFSSDSSEFYTKKKKKNKPKKKSPSTASSKAAPPPPPPPPTTTRHQIRRKRRAARASSCVDTCGVRDGFRPVVSAAEEQVRRGFAVVKRSRDPYADFRSSMVEMVVGRQLFGAAELERLLRSYLSLNAPRHHPVILQAFSDIWVVLHGG >ORGLA01G0071400.1 pep chromosome:AGI1.1:1:5273855:5276131:1 gene:ORGLA01G0071400 transcript:ORGLA01G0071400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADESGVPPRPVAGDVHIPASRSAKEPIFMERHGGDLLIAMASSPDTTLAFHAARPVSISLPASPTGFDVARSGVELQRHAMTDAPCMLPLPGWPAMMAVAQPDRMIFRSQPIAVLGGAAALPQQSRSSQVQDGTSRDGAPRAAATTTGKARGRRDTSYDSFKTWSGKLEKQITTHLRGGRQQQQQQQQQQQPEQRRQDEEPEDDDAAAAAATTTASSSRSYSSMPRVQRFFAALEGPELDKLRVHHQQPSPCRLALVEQLACTLVRSRSQRAAVVVVLVSCRRQSSEALVLPSDKTWPFLLRFPVSAFGMCMGMSSQAILWKNIAISTSTRFLHITVKINLVLWCVSVALMCAVSALYACKVAFYFEAVRREYYHPVRVNFFFAPWIACLFLAIGVPPMVAASLPHWLWYLLMAPIVCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGATMGLREGPIFFFAVGLAHYIVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACLAWARITGEFGYGSRVAYFIAMFLYASLAVRINLFRGFRFSLAWWAYTFPMTSAAIASIRYSSEVKNVFTQSLCIALSVLATLTVTALFLTTLLHAAVHRDLFPNDISIAITERKLKPIAEIHEQQQQQRDKNATTAADIHPDSACIKDLEAGVPPPPSYAGDRSTTTTSTDPCPLGAGQF >ORGLA01G0071300.1 pep chromosome:AGI1.1:1:5262605:5266496:1 gene:ORGLA01G0071300 transcript:ORGLA01G0071300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPPGAFVVAPPPPPPQQQQQHQPWQWQPPFQPPAATSFWQRDNVREHVGRLQETIELATAVINELEEIAQARSSVDASTQGPDSSSAKLSSEPDGSSADRPRHFVELARAMKISQDTHESLATDAANYLCSQIQNLLAPIYPAVNQGGPWEERSAMIRLAQKLQKSKRNKRWRKRKRKHVAELFQKEHADYDRIDQEADEWRARQIAKDMAQRKVENMKQIAKKKANEERKRLESELELALMVEKLQELRSMRVQKMKKQGHFLPEEDDKYLERVKAAVEEEERQAASAARTDAAKDAILTAEESRKAVQRSNSREDDSDQAKSAPTLEQNQRDPGISGRNHHASQKTEHELHKDDSKGHGHYDSVSSLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRAGGSRIPGHWVQPPPPADEVWASYLVQPNCPSNST >ORGLA01G0071200.1 pep chromosome:AGI1.1:1:5253697:5259103:-1 gene:ORGLA01G0071200 transcript:ORGLA01G0071200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKHVVMSAVGIGIGVGVGLGLASAPWAGGGGQGARVGVTVERVEQELRRLVVDGADSRVTFDGFPYYLSEQTRVLLTSAAYVHLKQADISQYTRNLAPASRAILLSGPAELYQQMLAKALAHYFEAKLLLLDPTDFLIKIHSKYGGGSSTDSSFKRSISETTLEKVSGLLGSLSILPQKEKPKGTIRRQSSMTDMKLRSSESTSSFPKLKRNASTSSDMSSLASQGPPNNPASLRRASSWTFDEKILVQAVYKVLHSVSKKNPIVLYIRDVEKFLHKSKKMYVMFEKLLNKLEGPVLVLGSRIVDMDFDEELDERLTALFPYNIEIKPPENENHLVSWNSQLEEDMKMIQFQDNRNHITEVLAENDLECDDLGSICLSDTMVLGRYIEEIVVSAVSYHLMNKKDPEYRNGKLLLSAKSLSHALEIFQENKMYDKDSMKLEAKRDASKVADRGIAPFAAKSETKPATLLPPVPPTAAAAPPVESKAEPEKFEKKDNPSPAAKAPEMPPDNEFEKRIRPEVIPANEIGVTFDDIGALSDIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQKILVLAATNRPFDLDEAIIRRFERRIMVGLPSLESRELILRSLLSKEKVDGGLDYKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKKKREQGGNGSDASKMKEKDETIILRPLNMKDLKEAKNQVAASFAAEGTIMGELKQWNELYGEGGSRKKQQLTYFL >ORGLA01G0071100.1 pep chromosome:AGI1.1:1:5250317:5252395:1 gene:ORGLA01G0071100 transcript:ORGLA01G0071100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:I1NLH1] MAEEQPRAAAEGGGETLMEKIADKLHIGGDGSSSDSDADERKQPKPSAPPAPAEVATESFVDSAAAAAAEAKAKMFRLFGREEPIHKVLGGGKPADVFLWRNRNISAGVLGGATAIWILFELLGYHLLTFVCHGLIFSLGLLFLWSNASSFINKSPPRIPEVIIPEDLVVNIALSTRYEINRAFANLRQIALGRDIKKFLIVIAGLWLLSVLGSCCNFLTLVYIVFVVLHTVPILYEKYEDQIDSYGEKGWVEIKKQYAVFDAKVLSKVPRGPLKDKKH >ORGLA01G0071000.1 pep chromosome:AGI1.1:1:5239089:5240634:-1 gene:ORGLA01G0071000 transcript:ORGLA01G0071000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFTFLKFLRGGAVAGNKGGAVAATTIAASACEDGGGGGGGGGGGGEVDDDASFFDLEFAVPGDESAASDAEEERVEFNFSVAGDVASGGEVVAVDDAVAVAPGGECGEAKVVELVSEAAAPPASFLRPATKFRVLLLKLRKPKVPVPAECNGGGGGGSPAPKTNRFLIKFRVDDAPFVSLFTRDNSSRTSDAGAGAGAARPAVQALQTPEAAAITAEERRFAKEMLLKYLNKIKPLYVKVSRRYGERLRFASASEGEETDLEPDPSPSPSPSPSXAPTQPPTAAAAAAVAPAPPQPVVVACGVRAPRASVPAGLKQVCKRLGKSRSASSAVAAAPSPSPPPPPSTAGQQPQRRDDSLLQLQDGIQSAIAHCKRSFNASKGSESPLLRSMSDTRDGGRADTKDGGGGDGGA >ORGLA01G0070900.1 pep chromosome:AGI1.1:1:5223234:5223623:1 gene:ORGLA01G0070900 transcript:ORGLA01G0070900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARRRRRRSSATAHGGCGKQRRRQRRSRPMRWRSTELWDGVEMAHGDERRTPELRWREKRRRERERGLSLGALPAAAAREGDGGDDGAAPGGGTEQQRRWRLLTVEMAAAALDEGGEKEGGDQRYL >ORGLA01G0070800.1 pep chromosome:AGI1.1:1:5222178:5222441:1 gene:ORGLA01G0070800 transcript:ORGLA01G0070800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNSYHLATWADSAKTRNPTGPGSSHENMWLHVRLRSITYEVKSLIDQGNLPNYNSTQLNSRLTQRPYPATITQIHYHLTRFTQNH >ORGLA01G0070700.1 pep chromosome:AGI1.1:1:5218726:5219181:-1 gene:ORGLA01G0070700 transcript:ORGLA01G0070700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVDKAKGFVADKVARVEKPEAELAELSFQSVGRGGATLAGRVDVRNPYSHSIPICEVSYSLKSAGREVASGTMPDPGSLTAGDTTRLDIPVKVPYDFLVSLARDAGRDWDIDYEMRVGLTVDLPILGNFTLPLTKSGELKLPTLSDVF >ORGLA01G0070600.1 pep chromosome:AGI1.1:1:5208793:5210790:-1 gene:ORGLA01G0070600 transcript:ORGLA01G0070600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-methyl-2-oxobutanoate hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NLG6] MSFSRLLTPRILLDTTAVFPPSSSVVAPSLSRQLRCTRTGGSPPAPPHRLVARRAMSNGAAEPAIYGGGGGAQQAASSAAARRVTLATLRGKHRRGEPISMVTAYDYPSGVHVDAAGFDICLVGDSAAMVAHGHDNTLPISLDLMIEHCRAVARGAARTFLVGDLPFGSYEASTAQAVGSAVRVMKEGGVNSIKLEGSAPSRISAARAIVDAGIAVMGHIGLTPQSVSALGGFRPQGKTVESAVKVVEAALALQEAGCFAVVLECVPAPVAAAATSALTIPTIGIGAGPFCSGQVLVYHDLLGTFQTSHAKVSPKFCKQYGNIGDVINRALSKYKQEVETQSFPGPSHTPYKLAATDVDAFLNALKMKGLNVAADAAADAVEYTDEKEINGTPQLKVYA >ORGLA01G0070500.1 pep chromosome:AGI1.1:1:5205678:5207735:-1 gene:ORGLA01G0070500 transcript:ORGLA01G0070500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-methyl-2-oxobutanoate hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NLG5] MWGRRTGAGAGAGAGAARRVTVTTLRGKHRRGEPITVVTAYDYPSAVHVDSAGIDVCLVGDSAAMVVHGHDTTLPISLDVMLEHCRAVARGATRPLLVGDLPFGCYESSSTRAVDSAVRVLKEGGMDAIKLEGGAPSRISAAKSIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETALALQEAGCFSVVLECVPAPVAAAATSALQIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQFGNVGHVINKALSEYKQEVETRSFPGPSHTPYKIAAADVDGFANALQKMGLDEAANAAAAAAENAEKDGELPENK >ORGLA01G0070400.1 pep chromosome:AGI1.1:1:5200250:5204933:1 gene:ORGLA01G0070400 transcript:ORGLA01G0070400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like family protein [Source:Projected from Arabidopsis thaliana (AT5G62650) TAIR;Acc:AT5G62650] MPRGRSTTTTTTSSSASNPFHAFASTLPFLPSPSPSPTSASPSPSPPAPHLAVPLILPITSSSSASAAAASPESNRGARMAAAASGGKAGGGGGLGKGGGPAFVGQVFTMLDPSGNGLMAVTTRFELPQFLASRTPMWFKRILSPLKKSENGPVFRFFMDLNDSVSYVKRLNVPSGMVGACRLDVAYEHFKEKPQMFQFVPSEKQVKAANKLLKSLPQRRRRKKLDGVPVFSAQNLNIAVATNDGIRWYTPYFFDKNLLDNILEASMDQHFHSMVQNRHTRRRRDIVDDSLTSEMMEESADSFLEPPEVQELMNEIGPVGIPLNVVTKAAEIQFLDVVDKMLLGNKWLRKATGIQPQFPYVVDSFEERTAAAIDRAATASSSFTASKDADCFQISKQPETLESDVDNSNRGKHENSNNGQSHFPFSNLLPNIWPGHDRSFKAQGRDRAFRRCDASINNDLQANPLLPKITMVGISMGEGGQMSKANLKKTMEDLTKELEQAGEKTIFSDEKDPLFVANVGDYSRITKISST >ORGLA01G0070300.1 pep chromosome:AGI1.1:1:5193330:5199438:1 gene:ORGLA01G0070300 transcript:ORGLA01G0070300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding;GTP binding [Source:Projected from Arabidopsis thaliana (AT5G39960) TAIR;Acc:AT5G39960] MAAAILRAALRRSRPAAAALLHHRPLPSSRSLPPLPSLGSAGFGYSTVADESTAPVKPKGKARKNPMKQSRFDFTKVDAALLPTVILVGRPNVGKSALFNRFIRRREALVYNTPGDHVTRDIREGVAKLGDLRFRVLDSAGLETAATSGSILARTADMTGNVLARSQFAIFLIDVRDGLQPLDLEVGQWLRRHASGIHTIVAMNKSESLDEHGVLTAAAGEAHRLGFGDPVAISAETGLGMAELYETLRPLFEDYMFQLTNNGLNQDDPTSEAETEAHEGDESKLPLQLAIVGRPNVGKSTLLNTLLQEQRVLVGPEAGLTRDSIRTQFQFDNRTVYLVDTAGWMERSGKEKGPASLSVVQSRKNLMRAHIVALVLDGEKIAKSKSSMNHPEVLIARQAIEEGRGLVVIVNKMDLLRENRPLFDKVIDAVPKEIQTVIPQVTGIPVVFMSALEGRGRIAVMRQVIDTYEKWCLRLSTSRLNRWLRKVMSRHSWKDSATQPKVKYFTQVKARPPTFVAFMSGKVQLSDTDIRFLTKSLKEDFDIGGIPIRVVQRSIPRKETVKSNSRNTGPRINTRMRTDKRTTVCEPTTS >ORGLA01G0070200.1 pep chromosome:AGI1.1:1:5185170:5191750:1 gene:ORGLA01G0070200 transcript:ORGLA01G0070200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:smr (Small MutS Related) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G58720) TAIR;Acc:AT5G58720] MRPSKKKSKKKKPSPAAAAGAAAPTTPPAAADGGAGGASCSPRSETLTLAAAAAAAVASETESSSSCEASTCSSSSSSAFNPGSSGAASTSSLSAFSSSASTASSSAAGDERRDMAWLLDAFGTATIDQVDSAYREAGGDAFLAAGILGSSPETQPSPPPRPPDLSPRAGSGGRKASRRPKKVAVAATGMVADVIGKGYTRPATSPVNKTNAWKGNAWKDGSGGDRKYSVQEAEQFLCSMLGDNSELGMGVVRDVLGQYGCDVEKALDALLDISGMSSLQNMERCFPNAQINGMSSPNIFLGNGLSEEVSTAGIEKSSYQFTDEMRNMSYNRSDNEHGFFWGELQRSYLKVACEEPHSTTSSTRSTSSISKTPQQVLDSLFKIPEQRTYEPSSMDWKKVVKRLQSFNSPITSNNQEKPKNGNGYQEFRTVAGRHYDTMKTYYQKAAMAYSKGEKSYASYLAEEGKHYRELARMEDERASREIFEARNKHITNTVTIDLHGQHVKQAMRLLKVHMMICVCMPTTFLRVITGCGVEGTGKGKIKRAVAELVEKEGVEWHEENAGTIVLRLGGPREYRFLEHDSDSD >ORGLA01G0070100.1 pep chromosome:AGI1.1:1:5175611:5177669:-1 gene:ORGLA01G0070100 transcript:ORGLA01G0070100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT1G79900) TAIR;Acc:AT1G79900] MEFWPEFLASSWGKEFVAGGVGGMAGVLAGHPLDTLRIRLQQPPPPASPGITAAPGRPASAASLLRGILRAEGPSALYRGMGAPLASVAFQNAMVFQVFAILSRSIDQPSSMSEPPSYTSVALAGVGTGALQTLILSPVELVKIRLQLEAAGQKHRRPGDHHGPVDMARDILRKEGVRGIYRGLAVTALRDAPAHGVYFWTYEYARERLHPGCRGHGGEQESLATMLVSGGLAGVASWVCCYPLDVVKSRLQAQGYPPRYRGIADCFRRSVREEGLPVLWRGLGTAVARAFVVNGAIFSAYELALRFLASSSNDQRLVMEEN >ORGLA01G0070000.1 pep chromosome:AGI1.1:1:5171588:5171803:-1 gene:ORGLA01G0070000 transcript:ORGLA01G0070000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALRSPPPQGGLLMEPCEVGKPVEGSWLRRPRNQLKGSEGLEAQSRDPETPESEPRMASRSGHGHCSPSNHH >ORGLA01G0069900.1 pep chromosome:AGI1.1:1:5148995:5152031:1 gene:ORGLA01G0069900 transcript:ORGLA01G0069900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:I1NLF9] MDCFAETEGKRAHDPLYQRRAAAAATPATGVPVDDVDKVVDVPGAVIVGAGPAGVAVGALLGLRGVAYVVLERCGCIASLWRHRTYDRLCLHLPKRFCELPLRPFPASFPEYPTRDQFLGYLDAYAREFGVEPVFRRAVISAEYDGESWWVYTREVVAAAAGGEQAVLGCTMTVYRSRWLVVATGENAEPVVPEMDGAGRFKGQMMHSSEYRNGDGYAGKKVLVVGCGNSGMEVSLDLCNHNARASMVVRDTVHVLPREILGFSTFGLSMWLLRWLSVQTVDWLVLLLSFLVFGDTARLGIPRPSLGPFELKSVSGKTPVLDVGTLAKIKSGDIKVTPAIQCFQEHGVEFVDGSTEEFDVVILATGYKSNVPYWLKEKEFFSEKDGFPRKGNAWKGQNGLYAVGFSRRGLSGVSMDANNIVQDIVQRLHDMGYERSENN >ORGLA01G0069800.1 pep chromosome:AGI1.1:1:5133308:5134949:-1 gene:ORGLA01G0069800 transcript:ORGLA01G0069800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRPKPTPVNAPAEVVFDPSAPGARRPRRPGAPSSSSSTGEWHNFMGSSLSDMYRKPAAEKSDDTSDDDEEPDIDIGKLLKDVELFGASTWKERKQLENRKVVQLGGKAIKKHRTPLSVSKPAMKNQKKREEKKAEEERLLGIFRKRDSKNSKAQKTRPEDRVLRATQGHFKNGILDVKHLLAPPKPSGRDAPEQKMRMGKKNGKGKQKGGRRKRR >ORGLA01G0069700.1 pep chromosome:AGI1.1:1:5128677:5132474:1 gene:ORGLA01G0069700 transcript:ORGLA01G0069700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEQAPEPPPPPPPPVAAAERGMGFAERAVAAAGAAVVSAVLVNPLDVAKTRLQAQAAGVVYNPIWSDFRCYPWCNPGMNGLGPSCSSECFQYRGTMDVFYKVTKQEGVFRLWRGTAASLALAVPTVGIYLPSYDLLRNWIEEYSDHSFPKLRPYAPLIAGSVARSLACITCSPIELARTRMQAFKVSNVGGKPPGMWKTLLGVLALRQSINHPENIRSYHLLWTGLGAQLARDVPFSAICWTVLEPTRRHLIRIVGEQSNAAVILGANFSAGFIAGVISAGATCPLDVAKTRRQIEKDPARVLHMNTRRILHEVWSKEGISGIFRGAGPRMARAGPSVGIVVSSYEVVKHIMHRKHAEL >ORGLA01G0069600.1 pep chromosome:AGI1.1:1:5125367:5126729:1 gene:ORGLA01G0069600 transcript:ORGLA01G0069600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTTTTPKPVCAEEALALLNCAAESSYDRDKCLAALDALRACIAQKKVKKFSLAEASSTGTSETPKGK >ORGLA01G0069500.1 pep chromosome:AGI1.1:1:5122401:5124872:-1 gene:ORGLA01G0069500 transcript:ORGLA01G0069500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEEAEAVLETIWDLHDKVSDAIHALSRAHFLRAVRRHSKPAEDLVRVKGGDGVDDEAAALDAVAEEARSLHAIRAALEDLEDQFECFLAVQSQQQAEQDISLARLEQSRIMLAIRLNGHRGVNKKIIDEALDFVRNVCHGVWPSLSVNKPEKLGSHSGADSKNANFLGQMVASSVALARNSFSIKTLGGLLGHPGVLAIGMITLLQLHWLSSGQQSPSTCRYSYKMISQESSSQFETAMDTRISDLDVFLARG >ORGLA01G0069400.1 pep chromosome:AGI1.1:1:5106443:5107591:1 gene:ORGLA01G0069400 transcript:ORGLA01G0069400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMWPLEMEEEGFVALKRTEHVEVTSLAVAVEATPSAKGGKGKVVVGGGGAGGGVGPTRVRVFCDDFDATDSSSDEDEEEEVTARRRVKRYVQEIRLQRAAAVAVPPVKVKGEEVFPAAVSAKMAEAAKARVVLAAGRKRKAGGVDGAEPRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTFDTAEEAAKVYDTAAIQLRGRDATTNFNQSGDSASLDVPPEVAERVPQPPGASKNASPATSYDSGEESHAAAASPTSVLRSFPPSAVVATADTANKKQPPPPPLVVRETDESVDVFGCSFSDDAGFAGELPPLYTDFDLLADFPEPPLDFLSNLPVEPFSLAPFSSNNGSPDLHLDDAPSPAAAQVDDFFQDITDLFQIPVV >ORGLA01G0069300.1 pep chromosome:AGI1.1:1:5083106:5085523:-1 gene:ORGLA01G0069300 transcript:ORGLA01G0069300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NLF3] MAADGDGDGELVRRVRSPSSRGRMAPTLAFLLVLLLLLPGGGGGGAFLAAAASTDTVVPGKGMAGNQTLVSKNGRFELGFFTPGSGIHYFLGVRLRNMAEYSPTFWIGDRVGVIDLPGVSLEVFGDKLYIKEDGVSLWWSSVAGNGSSSSSDGGAVAVLLDTGDLVVRDQGNLSRVLWRSFDYPGDSLLPGGRLGLDAATGTNVSLTFKGFSHNGSLQVDASRRNGFVLTTDGIDSRGAFPDWMVTSQDNGSSLVLNHPDSPNSTEFLQFNLGLISLMRWSDSTAGWVARWTFPSDCKSGAFFCGDFGACTAGGGGGCECVDGFTPSYPDEWRLGYFVTGCSRSLPLSCEANGQTEHDDSFAILDNLRGLPYNAQDEPVTTDEDCRAACLNKCYCVAYSNESGCKLWYHNLYNLSSADKPPYSKIYVRLGSKLKSNRGLATRWIVLLVVGSLAVTSVMLGLVLLCRYRRDLFASSKFEVEGSLIVYTYAQIRKATGNFSDKLGEGGFGSVFRGTLPGSTTVVAVKNLKGVGQAEKQFRTEVQTVGMIRHTNLVRLLGFCVNGNRRLLVYEYMSNGSLDAHIFSEKSSLLSWHVRYQIALGIARGLAYLHEECEDCIIHCDIKPENILLDYEFCPKICDFGMAKLLGREFNSALTTVRGTMGYLAPEWIYGQPITKKADVYSFGIVLFEIISGRRSTETVKFGSHRYFPTYAAVQMNEGDVLCLLDSRLEGNANVKELDITCRVACWCIQDEENDRPSMGQVVRMLEGVVDMEMPPIPASFQNLMESEDSGIYSEESWNFRTRDQF >ORGLA01G0069200.1 pep chromosome:AGI1.1:1:5079340:5081781:1 gene:ORGLA01G0069200 transcript:ORGLA01G0069200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NLF2] MACSFLCKPWLPLTESAKPARSPAPSSRARTVVQQLAVLLLLLGGVGGGGGALLAAAASTTDTILPGESITGNQTLVSKNGEFELGFFNPGVGIHYFLGVRLRKLAAYSPTFWIGDRVYVVDLPRAALELFGDSLYIKEDGASLWWSSPSSSSSSSGGGRGGGAAVAVLLDTGDLVVRDQRNSSLVLWRSFDYPGDALLPGGRLGLDVATGENVSLTFEGFTHNGSLRADASRRNGFVLTTDGRDTRGAFPDWMVTTQDNGGSLVLNHPDATNSTEFLQLKVGQVSLVRWSGADAGWVPRWTFPSGCKSGGGFFCGDFGVCTTATGGECRCVDGFAPSDTKEWGLGYFVTGCSRSLPLSCDANGQTEHGDSFAILDNLQGLPYNAQDEPATTDEDCREACLNKCYCVAYSTETGCKLWYYDLYNLSSADKPPYSKIYVRLGSKLKSKRGLATRWMVLLVVGSVAVASAMLAVLLLCRYRRDLFGSSKFVVEGSLVVYSYAQIKKATENFSDKLGEGGFGSVFRGTLPGSTTVVAVKNLKGLGYAEKQFRAEVQTVGMIRHTNLVRLLGFCVEGNRKLLVYEYMPNGSLDAHIFSQKSSPLSWQVRYQIAIGIARGLAYLHEECEHCIIHCDIKPENILLDEEFRPKIADFGMAKLLGREFNAALTTIRGTRGYLAPEWLYGQPITKKADVYSFGIVLFEMISGIRSTVTMKFGSHRYYPSYAAAQMHEGDVLCLLDSRLEGNANVEELDITCRVACWCIQDREGDRPSMGHVVRMLEGVVDTEMPPIPASFQNLVDGDDSDIYEENWRLRTQD >ORGLA01G0069100.1 pep chromosome:AGI1.1:1:5076159:5077208:1 gene:ORGLA01G0069100 transcript:ORGLA01G0069100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEQSPHEAAIYRRGNGGNALLVGVYVDDLVITGTKDAEVAAFKEEMKATFQMSDLGPLSFYLGIEVHQDDSGITLRQTAYAKRVVELAGLTDCNPALTPMEERLKLSRDSTTEEVDATQYRRLVGSLRYLTHTRPDLAFSVGYVVALSSCEAEYMVASAASTQALWLARLLGDLLGRDIGAVELRVDSKSALALAKNPVFHERSKHIRVRYHFIRSYLEEGNIKASYINTKDQLADLLTKPLGRIKFLELCSRIGMAQLPHKTTHKT >ORGLA01G0069000.1 pep chromosome:AGI1.1:1:5060465:5069602:-1 gene:ORGLA01G0069000 transcript:ORGLA01G0069000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NLF0] MILNVIILFVDSYVAVASDTLFPGQSLSGSETLVSENGIFDLGLFPSAPAGTKHYLGIQYKNMSSNNPITFWLGNRIPITNFINATLYIDAGKLYIEELGSILWTSNSTRNESNTAVAVILNTGNFVIRDQLNSSVVTWQSFDHPADKLLPGAYLGLDMVMGTNILLTLFKPPYNCTLMIDQSRKRGFIMFIDGHDKYLGTFPEWMVTYEENGSLVRLNDPGIPNDTEYMKLQLGQLSLLRWLDNATISGWQSVWSHPSSCKVSAFHCGAFGICTSTGTCKCIDGFRPTEPNEWELGHFGSGCSRITPSNCLGVVSTDSFVLLDNLQGLPYNPQDVMAATSEECQAICLSECYCAAYSYHSACKIWYSMLFNLTSADNLPYTEIYMRIGSPSKRRMHILVFVLIFGSIGVILFLLMLLLMYKRSSCVARQTKMEGFLAVYSYAQVKKATRNFSDKLGEGSFGSVFKGTIAGSTIVAVKKLKGLGHTEKQFRTEVQTVGMIQHTNLVRLLGFCTGGTRRLLVYEYMPNGSLDSHLFSETSRVLSWNLRHRIVIGIARGLAYLHEECRDSIIHCDIKPENILLDAELCPKIADFGMAKLLGREFSAVLTSIRGTIGYLAPEWISGQPITYKADVYSFGVLLFEIISGRRSTEKIQHGNHRYFPLYAAAKVNEGDVLCLLDDRLEGNASLKELDVACRVACWCIQDDEIHRPSMRQVIHMLEGIVGVELPPIPASIQNLMDGYDSDLYSVEV >ORGLA01G0068900.1 pep chromosome:AGI1.1:1:5052598:5055810:-1 gene:ORGLA01G0068900 transcript:ORGLA01G0068900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLLCLAAFLALALLLVACAAEPDADRAALLDFLAGLGGGRGRINWASSPRVCGNWTGVTCSGDGSRVVALRLPGLGLSGPVPRGTLGRLTAMQVLSLRANNLSGEFPEELLSLASLTGLHLQLNAFSGALPPELARLRALQVLDLSFNGFNGTLPAALSNLTQLVALNLSNNSLSGRVPDLGLPALQFLNLSNNHLDGPVPTSLLRFNDTAFAGNNVTRPASASPAGTPPSGSPAAAGAPAKRRVRLSQAAILAIVVGGCIAVSAVIAVFLIAFCNRSGGGGDEEVSRVVSGKSGEKKVRESPESKAVIGKAGDGNRIVFFEGPALAFDLEDLLRASAEVLGKGAFGTAYRAVLEDATTVVVKRLKEVSAGRRDFEQQMELVGRIRHANVAELRAYYYSKDEKLLVYDFYSRGSVSNMLHGKRGEDRTPLNWETRVRIALGAARGIAHIHTENNGKFVHGNIKASNVFLNNQQYGCVSDLGLASLMNPITARSRSLGYCAPEVTDSRKASQCSDVYSFGVFILELLTGRSPVQITGGGNEVVHLVRWVQSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMVCVSRTPERRPKMSDVVRMLEDVRRTDTGTRTSTEASTPVVDVQNKAESSSAAH >ORGLA01G0068800.1 pep chromosome:AGI1.1:1:5050897:5052202:-1 gene:ORGLA01G0068800 transcript:ORGLA01G0068800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLPCAATSLLLLQLAVLASLSAAGHRRYHSIFNFGDSFADTGNKPVAYAWYSLPSNVMRPPYGETFFGHPTGRSSDGRLILDLIAAGLGLPFVPPYLAHGGSFGGGANFAVAGATALDAGFFHDRDIPGAGSKFPLNTSLDVQLAWFKSLTPSLCGTAQECEEFFGGSLFFVGEFGVNDYFLFLKKRSVRQTRSLVPLVVKTISMAIERLMKHGAKSIVIPEMIPSGCSPPAVTSFYGRAGPADYDARTGCLRERSTS >ORGLA01G0068700.1 pep chromosome:AGI1.1:1:5047839:5048852:1 gene:ORGLA01G0068700 transcript:ORGLA01G0068700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFLHVLVKDFTNHPCPYALHSINASGLFYPAAVRPNGSGEGTKLEEDYLPDRTVSFHHPSGSGGSMQFMSLGQSNNAIIGVDNECRTILYNTEWHSIRTMPSMHGCKWSPPVSLAVNNSLYVMELYPRQDGHVSFEVLAYGSQHAYGSQPVYGRMPSKPSRAYREDWYWRSLPPPPYVHYQGYEKDEAPPGYDISVEHPYKITASAVVGGGSGSSIWISTAGVGTFAFDTANDTWTKRGDWALPFRGNAEYVAEHGLWFGLSSQGDDLFCASDIAAASVSPPVVLDAWGLDHSKSYLVYLGNGRFCVGRLFHVEEGDTETERFVVLMGMEVEERS >ORGLA01G0068600.1 pep chromosome:AGI1.1:1:5040145:5040357:-1 gene:ORGLA01G0068600 transcript:ORGLA01G0068600.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQKDVLSVCCGGPGKYHYNTRIICGDEGATTCVDPSKSLYWDGVHLTEAAYHYIADDWLHAITLSARATS >ORGLA01G0068500.1 pep chromosome:AGI1.1:1:5037154:5037549:1 gene:ORGLA01G0068500 transcript:ORGLA01G0068500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARVAIAHSLVSVYGMLGDMRRLRTRPTTEAEIRRFHLPEYVDLIRNLTPKSYTNDVVLRQKAEDDHGIGLLGDDNDCPAFDRLWKYCRGYAGGSLAAARTLVNSASGSHRRRIVMFLFPFRSHIAPML >ORGLA01G0068400.1 pep chromosome:AGI1.1:1:5033302:5034122:-1 gene:ORGLA01G0068400 transcript:ORGLA01G0068400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIRSFVPYIIETISIAIERLIKHGAKSLVVPGMTPSGCTPLILAIFADQAGPDDYDPATGCLKAQNELAILHNSLLQQSLLNLQARHPDASIVYADFFSPIMEMVRSPGKFGFEDDILTICCGGPGTALCGDQGAITCEDPSARLFWDVVHMTEVAYRYIAEDWLRIIESPGNKIIXVFSESIXRYIQRTPNFSVLV >ORGLA01G0068300.1 pep chromosome:AGI1.1:1:5028697:5030224:-1 gene:ORGLA01G0068300 transcript:ORGLA01G0068300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLWLPAAVSLLLSSSLHVAGDAGGGAASLSVRRYDAIFSFGDSLADTGNNPVVFDWYSIFDPVTRPPYGSTFFGRPTGRNCDGRLVLDFVAERLGLPLVPPFLAYNGSFRHGANFAVGAATALDSSFFHGAGDPPGASPFPLNTSLSVQLSWFDSLKPSLCSTTQECKDFFGRSLFFVGEFGINDYHSSFGRRSMQEIRSFVPDIIRTISMAIEKLIGDGATTVVVPGMIPSGCSPPVLVTFADAGAAEYDASTGCLREPNEVATLHNSLLLDAVEELREKHPDVAIVHTDLFRHVSEMVQNPDKFGKQK >ORGLA01G0068200.1 pep chromosome:AGI1.1:1:5024322:5026649:1 gene:ORGLA01G0068200 transcript:ORGLA01G0068200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NLE2] MEKRSKEMFSLFSFVVIFLCLITNAIYSGSKFVHATDTLLPGKSLSGNQVLISKGGAFRLGFNCLSPPCYSDSTFGIWYIKSSTCRSLLVWAPVANFCIFNPWSSSFILSEDGKLNLIIDGSLSWSSNGVETSVSAVAILLDDGNLVIRDQVNSTMVFWQSFNNPIGILLPGGWLGFNRMTGKNVSLSSKYSTDGYDAYDTGNFILDINANEGRGFTINAPDFDSGNTYKIKYSGAFPRWMGVRADGGSFLLFNDADIYVQLYPDGNVTAAKLGDCGSVLWSAPENWCDFDSYCGSNSFCIIPSKESFFESPCYDFSDLGYLMNVSLNCRYNAPHKQNVSFHPMVGVYKFPQNEWSIEVRSIRECEAACYSDCSCTSFAFNKTCLLWYGELQNTIVFDSRSEGYLMYMRVVEQKQEKSEYKVAIIVVTVIGGLVLILISMILLWRGKRKLFTEKPVNSDSRLMIFSNSQLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVRLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSAKLTWNLRYCIAHGIAKGLAYLDEECRHCIIHCYMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVTDVEVPPVPRSLQYFVGMEDNNTQSAECN >ORGLA01G0068100.1 pep chromosome:AGI1.1:1:5018577:5022172:-1 gene:ORGLA01G0068100 transcript:ORGLA01G0068100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hAT transposon superfamily [Source:Projected from Arabidopsis thaliana (AT1G79740) TAIR;Acc:AT1G79740] MRERDVCWEYCDKMEGNKVRCRFCYKVLNGGISRLKFHLSQISSKGVNPCTKVKPDVIEKVKAVIAAKEEHRETQVLKRQRDTELSVRPRRIRDLPSQPTSPERATSPAITSTSDQTQFLALEVSTPVLKLSSVTNKARSAPQSEAERCIAEFFFENKLDYNIADSVSYRHMMEALGGQGFRGPSAEVLKTKWLHKLKSEVLQKTKEIEKDWATTGCTILADSWTDNKSKALINFSVSSPLGTFFLKTVDASPHIKSHQLYELFDDVIREVGPDNVVQIITDRNINYGSVDKLIMQNYNTIFWSPCASSCVNSMLDDFSKIDWVNRCICQAQTITRFVYNNKWVLDLMRKCIAGQELVCSGITKCVSDFLTLQSLLRHRPKLKQMFHSSDYASSSYANRSLSSSCVEILDDDEFWRAVEEIAAVSEPLLRVMRDVSGGKAAIGYIYESMTKVMDSIRTYYIMDEGKCKSFLDIVEQKWQVELHSPLHSAAAFLNPSIQYNPEVKFFTSIKEEFYHVLDKVLTVPDQRQGITVELHAFRKAQGMFGSNIAKEARNNTSPGMWWEQYGDSAPSLQHAAVRIVSQVCSTLTFQRDWSIIVRNHSEKRNKLDKEALADQAYVHYNFMLHSDSKMKKGDGDPIALDAIDMTSPWVEDSDSPNLAQWLDRFPSALDGDLNTRQFGGSIFGTNDTLFGL >ORGLA01G0068000.1 pep chromosome:AGI1.1:1:5016896:5017159:-1 gene:ORGLA01G0068000 transcript:ORGLA01G0068000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSRRPEITVVPAAGGGGAAAVDAVKAANKEPISPGSPSLASGAGKESLSRHEAAVVSLPAWKLDALCQESGSSPAVMRARFPYF >ORGLA01G0067900.1 pep chromosome:AGI1.1:1:5012476:5015727:-1 gene:ORGLA01G0067900 transcript:ORGLA01G0067900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDGDVARQLKQMTDFIRQEAVEKAAEIEAAAAEEFQIEKLQLVEAEKKRIRLEFERNEKQGDIKKKIEYSKQLNASRLEVLQAQDDLAMSMLEAAGKELLYITRDHHVYKNLLRIFIVQSLLRLKEPAVILRCRKEDRELVESVLESAKNEYADKANVYPPEIVVDRNVYLPPAPSHYEAHGPSCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPEIRRSLLGQVAA >ORGLA01G0067800.1 pep chromosome:AGI1.1:1:5007199:5009449:-1 gene:ORGLA01G0067800 transcript:ORGLA01G0067800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRFRSISLXCPSEDXAKSLFXRCRFGEFSWTLGWLICSCTDDXARKDLSEVLXSWGSRRCFXWKIACEASGILLVKPKELVAKYKDRNTACATSQEIPKYSTTYKIREHELQILLRMEIMKSELGPGIEEGSKQKMIKEICSLLQFIDINLQGDSFQSNSILEFAEKTIKIRYIESMEDVIKKIYTEMEFDLFDDEVECSESLPSSSNHDVDGSNSRRHRSNSAPHLLRRDHGGGSRHEERLARAEERRNRDRRLSSFTSWVPDLCRVWALKHPGKEPAAAAAAPPQSRQGASKRRKRRRAACTDMVCETPMTVAASGKRKPGDAAGSLATVSKALFHDDEAAGISSSSV >ORGLA01G0067700.1 pep chromosome:AGI1.1:1:5004242:5006628:1 gene:ORGLA01G0067700 transcript:ORGLA01G0067700.1 gene_biotype:protein_coding transcript_biotype:protein_coding EMLQDGIPFERDDTSKGFDFSSSQFTVQAAATAQMKVAWEVSGKEIPYYSIIYVLSKAYQNFTLFNDDIVNEPLQGIRTVIAPQEP >ORGLA01G0067600.1 pep chromosome:AGI1.1:1:4980091:4982680:-1 gene:ORGLA01G0067600 transcript:ORGLA01G0067600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVDDGGGGELDVFDAGRCGGGGYELGVAVGRRFGEAIRRRMSGDAVLRRRLLPFASTAPGRALVDALRDANRARKELLPFITEEEDQHHHREDEAAAVAADADDDCSDVLIVGESAAIAAHNEDANVALLGHTYVVKATSPDGSSSFTAYTYAGELPTCAFGFNSNGVRVSSPAMSVGHSYNLMDVRRRRIVNVETASGNRFSVREAAAAPFFHANMYRHLQVNQVQDENSMSRERRAAELSPDTKEKALSLLGDTADDKYPIYMTGPTLYTLCTVLVDLDEATMTIYKGNPKNRDAVRVFRML >ORGLA01G0067500.1 pep chromosome:AGI1.1:1:4975705:4977633:1 gene:ORGLA01G0067500 transcript:ORGLA01G0067500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASSTVFASSLPHHRATTRAPPTPPRIPRRARLPGRSVVSCLPKRGSEKLVVTRASDEEGPPEPAGQGRGGGRAWPSLDASSCGLALAAAAGVLMLQGSQQALAGTEFMGMQDVVGDLGDISTGFASAFLLIFFSELGDRTFFIAALLAARNSGAIIFLGTFGALAVMTIISVVLGRAFHYVDGIIPFSFGGTDFPVDDFLAACLLVYYGVTTLLDAASGDEEKMNEEQEEVEHQNFKLLGLVKSIILLISRKNTVWIEENSL >ORGLA01G0067400.1 pep chromosome:AGI1.1:1:4971433:4974516:1 gene:ORGLA01G0067400 transcript:ORGLA01G0067400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKGSIRIDICLKPKDVVPEDAKKPISEEGLSPRKWLHDVWDFARQDTNRVTFALKVGLACLLVSLLILFRAPYDIFGANIIWSILTVAIMFEYTVGATFNRGFNRAVGSVFAGVFAVVVIQVAMSSGHIAEPYIIGFSIFLIGAVTSFMKLWPSLVPYEYGFRVILFTYCLIIVSGYRMGNPIRTAMDRLYSIAIGALIAVLVNVFICPIWAGEQLHRELVNSFNSLADSLEECVKKYLSDDGSEHPEFSKTVMDNFPDEPAFRKCRATLNSSAKFDSLANSAKWEPPHGRFKHFFYPWAEYVKVGNVLRHCAYEVMALHGCVHSEIQAPYNLRCAFKSEILDATKQAAELLRSLAKDLNNMKWSLQTSLLKHVHVSTERLQHSIDLHSYLFTASQEDNYAKPQLKISRVVSFKNQSGEPESKTTETRTPMAMEVESYHEMMKRQQRKLHSWPSREVDDFEDDENVVSDLIPRMRALESTTALSLATFTSLLIEFVARLDHLVEAAERLATMARFKQQIAN >ORGLA01G0067300.1 pep chromosome:AGI1.1:1:4965423:4967363:1 gene:ORGLA01G0067300 transcript:ORGLA01G0067300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLQLPDLAAARPPAARRRIAAAAVVVAEARGGVKQQQQVAVGRVIRVADPVREGRLLLLPPPLFSVPVTPSESPAAARRREEDEEERRRYYLNMGYAIRTLREELPDVFSKEPSFDIYREDIVFKDPLNKFEGIDNYKRIFWALRFTGRIFFKALWVDIVSIWQPAENLIMIRWIAHGIPRVPWEAHGRFDGASEYKLDKNGKIYEHKVHNVAMNPPTKFKVLPVHELIRSLGCPSTPKPTYFETSSQSLSVEPGYLRLAWTRCYLLLCHMLSLPNLGEG >ORGLA01G0067200.1 pep chromosome:AGI1.1:1:4961638:4961877:1 gene:ORGLA01G0067200 transcript:ORGLA01G0067200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMAASGGQQAAGCGGRYFQMPLHYPRYRKEDYERMPEWQLDRLLSEYGLPVDGNLQHKRAFAIGAFLWGAGGGANAA >ORGLA01G0067100.1 pep chromosome:AGI1.1:1:4954788:4956902:-1 gene:ORGLA01G0067100 transcript:ORGLA01G0067100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKAAALEVVLAAKSSRIASLEARVSFLEAENARLRRAMADRSTGKGGPNLRRSEEMAGGRVARDVIEVSDGEEEEGMAVDVRKGRGAEEGMDAVATPRKRAALRVVIGESGDEYEIDDAKGDGDGDGGDHGSVSCGDNVGLEDDDVTTALPDRKRAAALVVTSDSEDEVESQGGHGRRGKDGSRKRALRGVRDDGNEDKGVTRSRKRALRGISDNEDEDEDEDGVDGARVVATEIESSDDDMIPIREVVKKMRKERASKGGGGFGETKGSSTPATRRSARLAKGQPKRAQSARRVLNFVEPKDCEESASDSDEDDDLDDFIINDSDCSENSANSAEPEESDASAPSEGSFSELEESDNEIDYKDVMACIGRKRNAKEWKYEAEMLSAFAAHPELCLKAVCALYRKQTKDEQEVKATILHNKQGFNQIDAARGSSIAEFLLDGDTFGPLKKTVHDLEQYDRYALEFCHKLAARYSKQLFSIYQNKEDPYFHP >ORGLA01G0067000.1 pep chromosome:AGI1.1:1:4936450:4941363:-1 gene:ORGLA01G0067000 transcript:ORGLA01G0067000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKATRSTRVDGVSGEAVIEEFERVTRDAANVQRETLRRILAENGGVEYLRGLGLAGATDPATFRARVPLATHADLEPYIDRIADGDASPVLTAKPATSISLSSGTTQGKRKYLLFNEELVKSTMQIYRISYAFRNREFPVENGKALQFIYSSRETRTKGGLTATTATTNVYRSEEFKATMRDIQSQCCSPDEVIFGPDFAQSLYCHLLAGLLAAGDVQIVSATFAHSVVLAFQTFERAWEDLCADIRRGEVSPSRVTSPAVRRAMAALLAAPNPGLADEVARKCAALSNWYGVIPALWPNARYVYGIMTGSMEHYVKKLRHYAGGLPLVAAEYGASEGWVGANVEPGTPPERATFTVLPDIAYFEFIPLKPVAGDGGYAEAEPVGLTEVAAGELYEVVMTTFAGLYRYRLGDVVKVAGFYNATPKLKFVCRRNLMLSINIDKNSEQDLQLAVDAAARAVLAGEKLEVVDYTSHADVSSDPGHYVVFLELNAADPAAVDGDVMQACCDELDRAFADAGYVGSRKSGAIAPLELRVLQRGTFQKVLRHYLSLGAPVSQFKSPRCVSRSNSGVLQILAGCTVNVFFSSAYD >ORGLA01G0066900.1 pep chromosome:AGI1.1:1:4925682:4926257:1 gene:ORGLA01G0066900 transcript:ORGLA01G0066900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCWASVAAALRRAPRRRTREPSLQEQLLAASERASVERLRERAGALQRELDAVAGETEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDRGHGPAHQGAPGHRHHHHAQVISILESDSNLMTMKTDSFLVWFL >ORGLA01G0066800.1 pep chromosome:AGI1.1:1:4922830:4924191:-1 gene:ORGLA01G0066800 transcript:ORGLA01G0066800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:I1NLC8] MVSNTIRVAVGILGNAASMLLYAAPILTFRRVIKKGSVEEFSCVPYILALFNCLLYTWYGLPVVSSGWENSTVSSINGLGILLEIAFISIYTWFAPRERKKFVLRMVLPVLAFFALTAIFSSFLFHTHGLRKVFVGSIGLVASISMYSSPMVAAKQVITTKSVEFMPFYLSLFSFLSSALWMIYGLLGKDLFIASPNFIGCPMGILQLVLYCIYRKSHKEAEKLHDIDQENGLKVVTTHEKITGREPEAQRD >ORGLA01G0066700.1 pep chromosome:AGI1.1:1:4901954:4902558:-1 gene:ORGLA01G0066700 transcript:ORGLA01G0066700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQQEVYFVFMNFDPVYERLRADRCRSKEGSATLDAYLSHKHDKLLAKLLQPDTYRKKSSLAIVDGFAVEITDAQASILRLAKEVRVVEKNQELA >ORGLA01G0066600.1 pep chromosome:AGI1.1:1:4894965:4896091:1 gene:ORGLA01G0066600 transcript:ORGLA01G0066600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1B/ribosomal protein S6 family protein [Source:Projected from Arabidopsis thaliana (AT3G18760) TAIR;Acc:AT3G18760] MPLYDCMLLVKPLVTREAMAELVGRVARRAYQRNGVVTDVKSFGTICLGYGIKKLDGRHFKEYQDYSGWVVQKNRCAAVNGLFALNNITLDSFEDPIYLNLVIGTLKTHFVTRHLNVSAIEGCHKP >ORGLA01G0066500.1 pep chromosome:AGI1.1:1:4883509:4887306:-1 gene:ORGLA01G0066500 transcript:ORGLA01G0066500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVAMKDSQDIQSPTELQSSAQGTNEVQSNQPNPMATDGPGGDSGSLSIASNDNRKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPAPPNVPLAPMQNGMHPMPVNNLPMGYPVLQQPMMPAPGQPHIDSMACGLSSGHVVNGIPAAGGYHPIRMNSGNDMVVDNGAPETAHAGATCSAMSSEMAVSPSSAASSNHAPFTPSEIPGITMDTSALDSTFVSDVENTGQLQLGPDGSSRDSIRSLGQLWNFSLSDLTADLTSLGDLEALENYAGTPFLASDSDILLDSPDQNDIVEYFADAINGPSQSDEEK >ORGLA01G0066400.1 pep chromosome:AGI1.1:1:4876141:4879186:-1 gene:ORGLA01G0066400 transcript:ORGLA01G0066400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:I1NLC4] MARGGRAAGVSMAHLLGIALVVLVVAAMAQVARGGGGGHDYGMALSKSILYFEAQRSGVLPGSQRITWRANSGLADGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMAWSVIEYGEEMAAAGELGHAVEAIKWGTDYFAKAHPEPNVLYAEVGDGDSDHNCWQRPEDMTTSRQAYRLDPQNPGSDLAGETAAAMAAASLVFRSSNPGYADQLLQHSKQLFDFADKYRGRYDNSITVARNYYGSFSGYGDELLWASAWLYQASDDRRYLDYLANNADALGGTGWSINQFGWDVKYPGVQILAAKFLLQGKAGEHAGVLQGYRRKADFFACSCLGKDATDNVGRTPGGMLYHQRWNNIQFVTSASFLLAVYSDHLAGGAVRCSGGGGAVAGAAELLAFAKSQVDYILGSNPRGTSYMVGYGAVYPRQAHHRGSSIASIRASPSFVSCREGYASWYGRRGGNPNLLDGAVVGGPDEHDDFADERNNYEQTEAATYNNAPLMGILARLAAGHGARARGRLGQSLQHGIAANHTSLPHGANHQHASPVEIEQKATASWEKDGRTYHRYAVTVSNRSPAGGKTVEELHIGIGKLYGPVWGLEKAARYGYVLPSWTPSLPAGESAAFVYVHAAPPADVWVTGYKLV >ORGLA01G0066300.1 pep chromosome:AGI1.1:1:4867734:4870218:-1 gene:ORGLA01G0066300 transcript:ORGLA01G0066300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:I1NLC3] MARRGGAAASSSMANLLGVALVLAATAQTSARGGGGGGRHDYRMALSKSILYFEAQRSGVLPGNQRIAWRANSGLADGKANGVDLVGGYYDAGDNVKFGFPMAFTVTMMAWSVLEYGKQMAAAGELGHAMDAVRWGADYFVKAHPAPNVLYGEVGDGDSDHVCWQRPEDMTTSRQAYRLDPQHPGSDLAGETAAALAAASLVFRSSNPGYANQLLQHSKQLFDFADKYRGKYDDSMPVAKKFYGSFSGYGDELLWASAWLYQATDNRRYLDYLANNGDALGGTGWATNEFGWDVKYPGVQVLAAKFLLQGKTGPHAAVLRRYQRNADVFACSCLGKGGGGGNVGRTPGGLMYHQGWNNLQFVTGASFLLAVYADHLTAAGATVVRCQAGPPARASELVALAKSQVDYILGSNPRGMSYMVGYGERYPRRAHHRGASIVSIRANPSFVSCKDGYANWFGRAGSNPNLLDGAVVGGPDGRDGFADERNNYQQTEVATYNNAPLMGVLARLAGGGRGGLAEAAIKRPDNQTLLPPLAAVASPVEITQLNATASWKKDGRTYRRYAATVSNRSPAGGKTVEELHIGIGKPHGPVWGLEKAARYGYVLPSSLAAGESAAFAYVVRGRAAAPPADVWVIGYKLV >ORGLA01G0066200.1 pep chromosome:AGI1.1:1:4866799:4867285:1 gene:ORGLA01G0066200 transcript:ORGLA01G0066200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSMASQTVAPLLLILMLAAAAGGASAAVQCGQVTQLMAPCMPYLAGAPGMTPYGICCDSLGVLNRIAPAPADRVAVCNCAKDAAAGFPAVDFSRASALPAACGLSISFTIAPNMDCNQVTEELRI >ORGLA01G0066100.1 pep chromosome:AGI1.1:1:4863535:4863777:-1 gene:ORGLA01G0066100 transcript:ORGLA01G0066100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAILVDIRRSSGPRRRRRLQPEEHKSPRSREIDRSETKLRVQIDYREPGRQAGPEIDRDAAPEPRTGHMGTPNRLGLI >ORGLA01G0066000.1 pep chromosome:AGI1.1:1:4860669:4861241:1 gene:ORGLA01G0066000 transcript:ORGLA01G0066000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMLPCLVQGRTTLPAAMNPKQRLYSLKLLVKALHKLKKKMMMKPNKGNKIGSSKPSSPAAPAEAASATAAAVAGGGVEAAIGSSKPKVSPRRAAQGGQRKGVVRVKVVLTKEEAARLLSLTVVGAGAGAGGGRRKTTAQIIAEIKRMEIRRAMATSSAAAAWRPALASIPEEQHHHSPRRSLDVQQVA >ORGLA01G0065900.1 pep chromosome:AGI1.1:1:4854818:4857719:1 gene:ORGLA01G0065900 transcript:ORGLA01G0065900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAISLLRPPREPQPRESEGEGERGRMMELRKRPRPRRVDPDFVSSPPASLLLPPPRKRARRQAAPPAAPAPAPAPARPSPAARRRPTCARVIIQSPVAGLQPSVCCPCEAPLRACRLPRASFLARRRPPFDWYEADMWTEVAKYLFGAELVRLSSTCRWFRRLLADEFIWRHAFLRDLSLLPAAADRYPPRPLHRSWRLLYAAAFNGAHSYWFRRSSRHIGAYRIGGFLLESPYMLLTAKLAVPQWLPPQEDGPQIAIEMTGACVLPNARPGIWITDFHLVRCPNCTLNKCAGVLQVLDARHCELFLEQGFWNGTWEYEDLGDHYNDEETPTAACAIFNASTRAHESISCVLHSKSWVRRCDDPQPKAHCRPYAVALNSNLLSNSNQGLVSRFQAMRDTTGNGQIVSIRITQQIY >ORGLA01G0065800.1 pep chromosome:AGI1.1:1:4851533:4854014:1 gene:ORGLA01G0065800 transcript:ORGLA01G0065800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family [Source:Projected from Arabidopsis thaliana (AT5G24170) TAIR;Acc:AT5G24170] MDALTRLHRALAGGDDDEEQPEDSILGDTEGICSLSPVQRVYGFAACLVAGLALMILSLVVFVRPIKFAVMFTFGNILAVGSTAFLIGPSQQLRMMLDPVRVYATAIYGGFVFLALIFALWIHSKVLTLIAIICEICALFWYSLSYIPFARRMVSDLMVKLCDTEL >ORGLA01G0065700.1 pep chromosome:AGI1.1:1:4849711:4850454:1 gene:ORGLA01G0065700 transcript:ORGLA01G0065700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVTDAPPPSRFSPDDLDNFAAPPPQPTPILVVSPANPSPAPRRLLILLISPTSLALLPHLPSPPPLHASLLLPELPLERSQPPIRVYLHAPSGALLAAAHGPAPVPAHRARAVARSLVSALQPEEVLVLDAVRSGAYRGRLAADEPVEGKLETRAARGRGGVGAARGVAALAPPGSVVDGLGAAVLAECEMRGKAASMVVTWPEGARPAEFGVMRRVAAELGVDPAKAAAGRVAGRAELDALYT >ORGLA01G0065600.1 pep chromosome:AGI1.1:1:4844601:4846691:-1 gene:ORGLA01G0065600 transcript:ORGLA01G0065600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPPAGEGSGMMMPAKRRRERVLPSRFKDSVLVLPTAAAKKGKPAEAAAEGGVVDGELYDVELEEGDPGMLWTGDERPVQTEEELYWACRNIRRSSTSTSGSFSSSIFSGSSAVTSLSNAGGGGGNGRPEGKPTVVVECKPKSDGGERKEDFYWPEEFVLGDVVWARSGKKCPAWPALVIDPLLHAPRVVLNSCIPGALCVMFFGYSNSGLRDYGWVKQGLIFPFVEYLDRFKGQTLYKIKASRFREAIEEAFLAERGLFELETDEGCSLEKSVNDQSIPDGLLEGSGSNNEQECQSEAQVVGKSPGCDICGNRLPCKIASKKKQEGERLLCRHCDKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDQTCIKMEDLENADYFCPDCKSKRXNISS >ORGLA01G0065500.1 pep chromosome:AGI1.1:1:4833280:4840130:-1 gene:ORGLA01G0065500 transcript:ORGLA01G0065500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NLB5] MLASRIPLKRCTAAAVVPLPGELEMEEGPTPPRRGWDSVVSLPLPPAKKGRPAKKAAAREGGDGEVYDVEVRAVEQQGATAAAFGAVETAVWPGDERPAQSEEELYRACRNISRSSSSSGFSGSVVTSLSNAGGSVAPEGKPVVVVECKPKREGGDKKEDFYWPEDFVLGDVVWARSGKKCPAWPAVVIDPLLHAPAVVLNSCIPGALCVMFFGFSSGGHGRDYGWIKQGMIFPFVDYLDRFQGQALYKLKANRFRQAIEEAFLAERGFFELEMDEGCSLEKSVNDQSVPDGLQEGSGSNNDQECQSEAQVVGKSPGCCDSCGNRVPPKIAKKKKQAGEQLLCRHCDKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDLTCINMEDLENADYFCPDCKSKRKTVPPVEQMNTPNSSECASTSKEKLPEMIPVFCFGMDGMYLPKKHMILCQCNSCKERLMSLSEWERHTGSRKKNWKMSVKLKSNGDPLVTLLDDIPCANVKSSTPSINKEELLKLLANSFRPVNARWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARDVQDFTNWVCRACELPKQKRECCLCPVKGGALKPTDIDQLWVHVTCAWFQPKVSFPVDETMEPAMGILSIPSEYFKKACVICKQMHGACTQCYKCSTYYHAMCASRAGYRMELQYSEKNGRNITRMVSYCAFHSTPDPDNVLIVKTPEGVFSTKFLPQNNEKQSGTRLVRKENLQEKVLPAKISDCPAARCLPYEMLKNKKEPGEAIAHRIMGPRHHSQESIEGLNACMDQKDEKSFATFRERLRYLQKIENKRVSCGRSGIHGWGLFAAKKIQEGQMVIEYRGDQVRRSVADLREARYHREKKDCYLFKISEDVVVDATEKGNIARLINHSCMPNCYARIMSVGDEKSQIILIAKRDVSAGEELTYDYLFDPDESEDCRVPCLCKAPNCRGYMN >ORGLA01G0065400.1 pep chromosome:AGI1.1:1:4826741:4832587:-1 gene:ORGLA01G0065400 transcript:ORGLA01G0065400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G54350) TAIR;Acc:AT1G54350] MGLPTAAAPACCFPSTSSSSPRLLLLPLQPPPPQPPRRRRRLVSPGVCFGSPLPLHARFHWPHAVASSSMRRRGRRRRATAPPAAAAAGEATGDGGGSGPGAEDKRVETDLKTLARRFWKVAAPYWWSEDKVQARLRLAAVFALTLATTGISVGFNFLGRDFYNALADKDQEQFTKQLLYYLGGFAVGIPFFVLRDYARETLSLRWRSWMTSYYMKRYFKNRTFYKIQSQSLIDNPDQRINDDLSAFTGTALAFSLTFLNAAVDLISFSNILYGIYPPLFIVLIVYSLGGTGISIFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAFENLSELLIASRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLDGNGSSLSKPDNIDGINIIFKSTGPTVLSSNGSLTQSNPSMVLEICNLTLLTPRSGNILITDLTMELKEKDHLLVMGPSGSGKTSLLRALAGLWTSGTGDIIYHVRGSMELQTSNSGPDEPSNIQSNGEELLQSSKQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWTEDVCHSSNNDPQSTDPLTFEVSTSDGVGSKSEMPTTDELIRVLEAVKLGYILPRFNGLDSMHDWASVLSLGEQQRLAFARLLLAKPTLVLLDESTSALDDMNERHLYSQIEAAGITYISIGHRKTLHKFHNKVLYISNSDSTDSNPRNWYLKPTEQMSIEESSSFAS >ORGLA01G0065300.1 pep chromosome:AGI1.1:1:4820193:4825048:1 gene:ORGLA01G0065300 transcript:ORGLA01G0065300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XRAVVVRPILPSCCTLAGVPPPPPPPPVLLSIRSSSRCRGRAHAPYDVVLHRSVVLSISSARDQAELASFWCYIRLGGGASAKRAAGQDDRSRSSLTAARGGGGETMSGRGRGDPLVLGRVVGDVVDPFVRRVALRVAYGAREVANGCELRPSAVADQPRVAVGGPDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPATTGVSFGTEVVCYESPRPVLGIHRLVFLLFEQLGRQTVYAPGWRQNFSTRDFAELYNLGLPVAAVYFNCQRESGTGGRRM >ORGLA01G0065200.1 pep chromosome:AGI1.1:1:4802839:4807072:1 gene:ORGLA01G0065200 transcript:ORGLA01G0065200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRALPPMPDTLSDAFAAAVLMSSTDKPDTLPPGRLSPVSPLTHSSSSKLPTPSSSSGSSGSLSVSRAPASALASRRSHSGEIPLPSDGPPRGSRPGHRRTGSGPLIFTSCSSSATSPLTNALPAGNICPSGRLAKPLSSCSAAATPPAPTPPRAARHDVLGSGTANYGHGSIVRSRSGGVVVAEEDAVVRRAMSSADPEELKKAGNEQYKKGYFEEALRLYDRALALCPDNAACRGNRAAALIGLRRIGEAVKECEEAVRIDPSYGRAHQRLASLHIRLGHIEDAQRHLSLATPQPDLLELHKLQTVEKHLGRCMDARKVGDWKSVLRESDASIAAGADCSAMLFASRAEALLRLNQLDEADLAISSASKLDYSSSCTSDNKFCGFLANAYLFYVHAQVDMALGRFDHAVSSVDKARIIDQGNVEVVTMHNNVKAVARARSLGNELFNSGKFSEACLAYGEGLKHHPVNPVLYCNRAACRFKLGQWEKSIEDCNEALKIQPNYPKALLRRAASYGKMERWAESVKDYEVLRKELPGDTEVAEAYFHAQVALKSSRGEEVSNMKFGGEVEAITGMEQFQMATSLPGVSVIHFMTPLNQQCCKISPFVNTLCTRYPSINFLKVDISESPAVARAENVRTVPTFKIYKNGTRVKEMICPSLQLLEYSVRHYGI >ORGLA01G0065100.1 pep chromosome:AGI1.1:1:4794289:4795267:1 gene:ORGLA01G0065100 transcript:ORGLA01G0065100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPFGDAVAGGGLYEYQGYHGGFAGGHGLGQPAGRAPALDDGETEGMDASAAAAAVAAMEMAKRNCGGGREEKAAMALKSHSEAERRRRERINAHLATLRTMVPCTDKMDKAALLAEVVGHVKRLKSAAARVGRRATVPSGADEVAVDEASATGGGGEGPLLLRATLSCDDRADLFVDVKRALQPLGLEVVGSEVTTLGGRVRLAFLVSCGSRGGAAAAAMASVRQALQSVLDKASSGFDFAPRAASLLGSKRRKVSTFESSSSSS >ORGLA01G0065000.1 pep chromosome:AGI1.1:1:4771411:4783119:1 gene:ORGLA01G0065000 transcript:ORGLA01G0065000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFGQWLPQSQTTADLYFSSIPIPSQFDTSIETQTRTSAVVSSEKESANSFVPHNGTGLVERISNDAGLTEVVGSSAGPTECIDLNKTPARKPKKKKHRPKVLKDDKPSKTPKSATPIPSTEKVEKPSGKRKYVRKKTSPGQPPAEQAASSHCRSELKSVKRSLDFGGEVLQESTQSGSQVPVAEICTGPKRQSIPSTIQRDSQSQLACHVVSSTSSIHTSASQMVNAHFFPPDNMPNGVLLDLNNSTSQLQNEHAKFVDSPARLFGSGIRQTSGTNSLLEIYAGMSDRNVPDLNSSISQTHSMSTDFAQYLLSSSQASVRETQMANQMLNGHRMPENPISPSHCIERAALKEHLNHVPHANAAVMNGQMPHGYRLAQNPILPPKHIEGYQVMENLSELVTTNDYLTASPFSQTGAANRQHNIGDSMHIHALDPRRESNASSGSWISLGVNFNQQNNGWASAGAADAASSHAPYFSEPHKRMRTAYLNNYPNGVVGHFSTSTDLSNNENENVASAINSNVFTLADAQRLIAREKSRASQRMISFRSSKNDMVNRSEMVHQHGRPAPHGSACRESIEVPDKQFGLMTEELTQLPSMPNNPQREKYIPQTGSCQLQSLEHDMVKGHNLAGELHKQVTSPQVVIQSNFCVTPPDVLGRSTSGEHLRTLIAPTHASTCKDTLKALSCQLESSRDIIRPPGNPIGPSSADVPRTDNHQVKVSEETVTAKLPEKRKVGRPRKELKPGEKPKPRGRPRKGKVVGGELASKDSHTNPLQNESTSCSYGPYAGEASVGRAVKANRVGENISGAMVSLLDSLDIVIQKIKVLDINKSEDPVTAEPHGALVPYNGEFGPIVPFEGKVKRKRSRAKVDLDPVTALMWKLLMGPDMSDCAEGMDKDKEKWLNEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPVKPEASEKPANVMFHTISENGDCSGLFGNSVKLQGEILVQEASNTAASFITTEDKEGSNSVELLGSSCGDGVDGAAGVYSNIYENLPARLHATRRPVVQTGNAVEAEDGSLEGVVSSENSTISSQNSSDYLFHMSDHMFSSMLLNFTAEDIGSRNMPKATRTTYTELLRMQELKNKSNETIESSEYHGVPVSCSNNIQVLNGIQNIGSKHQPLHSSISYHQTGQVHLPGIVHASDLEQSVYTGLNRVLDSNVTQTSYYPSPHPGIACNNETQKAYSLSNMLYDIDRSDKTTSLSEPTPRIDNCFQPLSSEKMSFAREQSSSENYLSRNEAEAAFVKQHGTSNVQGDNTIRTEQNGGENSQSGYSQQDDNVGFQTATTSNLYSSNLCQNQKANSEVLHGVSSNLIENSKDDKKTSPKVPVDGSKAKRPRVGAGKKKTYDWDMLRKEVLYSHGNKERSQNAKDSIDWETIRQAEVKEISDTIRERGMNNMLAERIKDFLNRLVRDHGSIDLEWLRYVDSDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLEMYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSGTPIAAETFHQTYISSRPVVSQLEWNSNTCHHGMNNRQPIIEEPASPDPEHETEEMKECAIEDSFVDDPEEIPTIKLNFEEFTQNLKSYMQANNIEIEDADMSKALVAITPEVASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGFNQREPDDPCPYLLSIWTPGETAQSTDAPKSVCNSQENGELCASNTCFSCNSIREAQAQKVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPIDVPRSWIWNLPRRTVYFGTSIPTIFKGLTTEEIQHCFWRGFVCVRGFDRTSRAPRPLYARLHFPASKITRNKKSAGSAPGRDDE >ORGLA01G0064900.1 pep chromosome:AGI1.1:1:4759632:4762434:1 gene:ORGLA01G0064900 transcript:ORGLA01G0064900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAASASAMARRAASWPRLLLLSRAFAAAAAEPKRVLVPVADGTEPVEAAATADVLNRAGARVTVATADPAGDDRGLLVEAAFGVKLVADGRVADLEGEAFDLIALPGGMPGSANLRDCKVLEKMVKKQAEQGGLYAAICATPAVTLAHWGLLKGLKATCYPSFMEKFTAEIIPVNSRVVVDRNAVTSQGPATAIEYALALVEQLYGKEKSEEVAGPLYVRPQPGVDYVIDEFNSVEWKCSGTPQVLVPVANGSEEMEALNLIDILRRAGANVTVASVEDKLQVVTRRHKFNLIADIMVEEAAKREFDLIVMPGGLPGAQKLSSTKVLVDLLKKQAESNKPYGAICASPAYVLEPHGLLKGKKATSFPPMAHLLTDQSACDSRVVVDGNLITSKAPGSATEFALAIVEKLFGREKAVSIAKELIFM >ORGLA01G0064800.1 pep chromosome:AGI1.1:1:4752181:4754221:1 gene:ORGLA01G0064800 transcript:ORGLA01G0064800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNFKMLNEEGQGDFVLLFAIDWPLVDISDFMYSTGTTKSYQVAFLCAFDEVADFYRSQLITSETRRGIVVATALEVDKASNCLSTIAATTMMFLHNRDYQRYALFEEVRNSLLKEPTLHDAIKIAVTYRKQELLQLEEQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA01G0064700.1 pep chromosome:AGI1.1:1:4739434:4745781:1 gene:ORGLA01G0064700 transcript:ORGLA01G0064700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQASPPTKKVLVPIVAGTEPVEAAVPIDVLRRAGADVTVASADDGELVVEVMYGVRIVADALVAGGDCAAAHFDLIVLPGGVPGAANLGGCAALEAMVRRHAAARGLYAAICAAPPLALASWGMLNGLKATAHPLFVDKFPPEVAAVDASVVVDASAVTSRGPATSTEFALALVEQLYSKNKAEQIAKEMLVRYDAGYTIDEVNSVQWKCNGTPKVLVPVANGTEEMELITIIDVLRRADADVVVASAENAGVEIVARHGMRIVADTTLDEAAADDQTSSFDLIILPGGTPGAKTMSSNEKLVALLKKQAAASKPYGAIGAATAHVLEPHGLLEGKKAADQDGGGECESRVVVDGNVITSGGTGTAMEFAVAAVEKLLGRDVAQRVAEGLLFA >ORGLA01G0064600.1 pep chromosome:AGI1.1:1:4732954:4735047:-1 gene:ORGLA01G0064600 transcript:ORGLA01G0064600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLDFDIGFSFDKFEENVKRFIELPINYLDTAVDTAVEFMEDIHERMFAPSPSDEANKANEILKDSFYDNAITGSSATSVRMEFVASNKELSSPSTSTVTAEDSFAGSVVADAPKTESILTKSPESDSSEGGNIEVNEQCMLPVDTSAAEISGGKSIDVDEEVLSGNSEKPSDSCTFEDPISIGKELILWRKPVEPQSPESSACDDAIIPEGTTVNCEEPEHSTKDTEESGKHGDLSKFSGVTISHDLTTDMSNDDDSNVWLDIDLQDDQEQTEADVSPVRQPKKTSFKSLQFRTPSANQYTDRNFWIFSIFCGI >ORGLA01G0064500.1 pep chromosome:AGI1.1:1:4725973:4727124:-1 gene:ORGLA01G0064500 transcript:ORGLA01G0064500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPVAIAVILLCTFLAFQAMAADAYGGGGGDGVTMQMFEEWMAKFGKKYPCHGEKEYRFGVFRDNVRFIRSYRPPAGYNSALRVNQFADLTNDEFVSTHTGAKPPCPKDAPRGVDPIWLPCCIDWRYKGAVTDVKDQGACGSCWAFAAVAAIEGLTQIRTGKLTPLSEQELVDCDTGSSGCAGGHTDRAFELVAAKGGITAESEYRYEGYRGRCRADDALFNHAARIGGHRAVPPGDERQLATAVARQPVTAYIDASGPAFQFYGSGVFPGPCGSGSGAAAAAPTTNHAVTLVGYCQDGASGKKYWVAKNSWGKTWGEKGYILLEKDVASPHGTCGVAVSPFYPTV >ORGLA01G0064400.1 pep chromosome:AGI1.1:1:4724318:4725240:1 gene:ORGLA01G0064400 transcript:ORGLA01G0064400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMRPRSSNASSTPVAAKKEKTATRWCSQSAQEKVVVVRVQGVRGQEDVVAESDKGTSRADATAAAAAAAPPVSSKRLRWWAVVLANIVFVLGGQSVGCFSAGYTTIRGGDSLWLATVVQSCGAPLIVLLLLYFQMGSPAPPLIEPSERWWRQSSAGAGLDGRLSPSAAGCVEKRGEEKK >ORGLA01G0064300.1 pep chromosome:AGI1.1:1:4717838:4721139:-1 gene:ORGLA01G0064300 transcript:ORGLA01G0064300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRRLIPSGFPAILRRLPATPRSQEPAISWQLLLLLPPRARSPLHCCRLCCIGRRMRGRAAVFAAVLVVFLVACTAAAAAAAITISRKHQHRPASGAAAKAACDVFAAGSWVVDESYPLYDSATCPFIRAEFDCRRYGRPDKEYLKYRWQPSPPCSTPRFDGVALLRMWSGKKVMFVGDSLALNQYESLLCMLHAAAPNARTTVTPASGKVDPLTTARFEEFNVTIVYYLTHYLVDIVNEKAGRVLKLDAIDQARNWLGADVLVFDSWHWWPRSGPTQPWDYIQEGNTVVKDMDRTEAFSKALNTWARWVDANLLQTNTRVFFQGISPSHYRGQDWGDTASATCMGQTRPVNGTAYPGGPIPQQAVLRSALAGMAKPVYLLDFTYLSQLRKDAHPTKYNGGIFGDDCTHWCVAGLPDTWNVLFYAALTGQH >ORGLA01G0064200.1 pep chromosome:AGI1.1:1:4705782:4710341:1 gene:ORGLA01G0064200 transcript:ORGLA01G0064200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYSPSKPVAFLLLLVGCLHYAQANPGHRRPKIDSIFSFGNSFADTGNFVELAAPLLPIMPFNNLPYGETFFGHPTGRATNGRIIMDFIADEFHVPFVPPFLGQGRQNFTHGANFAVVGASALDLAFFLKNNITNVPPLNISLSVQLEWFQKLKPTLCQTTQECREYFKRSLFFMGEFGGNDYVFILAAGKTLEELVPYVPKVVQAISAGIETVIKEGARYVVVPGELPNGCVPIILTLYASKSRGDYDARGCLKKQNALARYHNSALFEAVSRLRHRYPWVKIVYADYYKPVIDFLKKPARFGFNGSSTLRACCGAGGGPYNYDATAACGLPGAAACPDPAAFISWDGIHLTEAAYARIAAGWLHGPYAHPPILSALRH >ORGLA01G0064100.1 pep chromosome:AGI1.1:1:4702197:4702574:1 gene:ORGLA01G0064100 transcript:ORGLA01G0064100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRQTRRRETGAAERYREMGISAALSWPWDYSTACGVIVALLRIGYGCAAESPPSRSASPSSAMAHVPSPALSPSCSCSSSSLSLIFGYIVFPLAAAARTQGGGSARDLFIRREYGSTVLSR >ORGLA01G0064000.1 pep chromosome:AGI1.1:1:4700808:4701287:-1 gene:ORGLA01G0064000 transcript:ORGLA01G0064000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVAAAGDEATMLDKGDALHSSPRLRLLAPRVSSTPPPSAESADRGLSELVVRLHRSGFLPKNLLSSCSLTVVTLDSCALPHRDHTAVLPDWGRKGGGEIGEEEKEKWVPAVLLGHVQPSRTAGRPAARDREVGGGVGEEDREAGELVCSARCRSSI >ORGLA01G0063900.1 pep chromosome:AGI1.1:1:4695486:4698943:1 gene:ORGLA01G0063900 transcript:ORGLA01G0063900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSKPVAFVVLLLLACLHYAQANPSRRPLIQSIFSFGNSYADTGNFVRLAAPLLPVIPFNNLPYGETFFGHPTGRASNGRIIMDFIAEKFQVPFVPPSLGQGEDFTHGANFAVVGASALDLAFFLHNNITSVPPFNTSLSVQLEWFHKLKPTLCSTAQECRDYFRRSLFFMGEFGGNDYVFLQAAGKTVEQLVPYVPKVVGAISAGIEVSYIFTDICRDTNYTYNPQFTNGIDVNYCVIDAFIQAVIKEGAVQVVVPGQLPNGCVPIILTLYASKSRGDYDARGCLKKQNALARYHNSALFEEVSRLRHRYPWVKIVYADYYKPVIEFIKKPSRFGFSASSRLRACCGGGGGGPYNYNATAACGFPGASACPDPAASISWDGNHLTEAAYARIAAGWLRGPYAHPPILAAVRQ >ORGLA01G0063800.1 pep chromosome:AGI1.1:1:4692403:4694337:1 gene:ORGLA01G0063800 transcript:ORGLA01G0063800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPSNKMTLLLLLLLLLLGCTHHGQANMYTGHPKIDSIFSFGNSYSDTGNFVKLAAPVIPVIAFNNLPYGETFFGHPTGRASDGRLNVDFIAEDFGVPLLPPYLRESKKFSHGANFAVVGATALDLAFFQKNNITSVPPFNTSLSVQVEWFHKLKPTLCSTTQGCRDYFERSLFFMGEFGGNDYVFLLAAGKTVDEAMSYVPKVVGAISAGVEAVIEEGARYVVVPGQLPTGCLPIILTLYASANATDYESGTGCLRRFNELARYHNAALFAAVSLLRGKHPSAAIVFADYYQPVIEFVRMPENFGFSRSSRLRACCGGGGRYNYNATAACGLAGATACPDPAASINWDGVHLTEAAYGRIAAGWLRGPYAQPPILAAVRP >ORGLA01G0063700.1 pep chromosome:AGI1.1:1:4687540:4688833:1 gene:ORGLA01G0063700 transcript:ORGLA01G0063700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVIEEGARYVVVPGQQPTGCLPVVLTPYASPNATDYDAGTGCLWRFNELARYHNAALLAAVSLLRRKYPSATIVFADYYDPVIEFMQKPDDFAFSDSSKLRACCGGGGGPYNYNATVACGLPGTSVCPTPNTSINWDGIHLTEAAYARIAACWLHGPHAHPPILAAVRRRT >ORGLA01G0063600.1 pep chromosome:AGI1.1:1:4680394:4683413:1 gene:ORGLA01G0063600 transcript:ORGLA01G0063600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSPSNEMTLLLLLFLLGCTHYGHAGSDRPKIDSIFSFGNSYADTGNFVKLAAPVFPGIPFNNLPYGETFFGHPTGRASNGRLNVDFIAEGLGVPLLPPYHGESQDFSHGANFAVVGATALDLAFFQKNNITSVPPFNTSLSVQVEWFQKLKPTLCSTTQGCKDYFERSLFFMGEIGGNDYVFLYAAGKTVDEAMSYVPKVVQAISAGVEAVIKEGARYVVVPGQLPTGCLPIILTLYASPAAADYDAGTGCLWRFNALARYHNAVLFAAVSLLRAKHPSVAIVFADYYRPVIKFVQNPDEFGFSESSKLRACCGGGGGAYNYDVAAACGFPGAAACPDPDAAINWDGIHLTEAAYGQVAAGWLRGPYAHPPILAAVQRSDEGNPIQMATSMPASF >ORGLA01G0063500.1 pep chromosome:AGI1.1:1:4667646:4669290:-1 gene:ORGLA01G0063500 transcript:ORGLA01G0063500.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSNLFFKYSANGSKVPKTARLGFFREWSTESRNDPDGRIKRRRGPTKLANVENLPEGVKIIVKLDRFNAPCSQSSVVLGSYLGTLVRKPHLAPLNILKWNDKLYKRIHHPKLISEVQRKFAIDGRAKDWLLHQLDGKWRQYKSNLKKKYYKANLPMERVLQTVPQTVNESQWPTLVSYWYSEDSKNEVYMKLAEKRVDGQELTEADFEQAMLEVFGKDHSGRVRGMGPTITPTNYYGGRFSNMSGRSEQGSSSSNVNGFISFMVSYLAEKYPEDNLMSRLPPSLARLIPRQEVDQNQGS >ORGLA01G0063400.1 pep chromosome:AGI1.1:1:4659143:4661769:1 gene:ORGLA01G0063400 transcript:ORGLA01G0063400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAMAASRRVSVFVAALVCCSLVRLSLCGGGGGGQRAQNYTSMFSFGDSLTDTGNLVVSSPLSFSIVGKYPYGMTYFHRPTGRCSDGRLVVDFLAQAFGLPLLQPYLSRGEDVTRGVNFAVGGATAMDPPFFEEIGASDKLWTNLSLSVQLGWFEQLKPSLCSSPKDCKEFFSKSLFLVGEIGGNDYNYAFFKGKSLDDAKSYVPTVAGAVADATERLIKAGAVHLVVPGNLPIGCSSAYLTLHPSSNRSDYDSTGCLKTYNDFAQHHNAVLQDKLRLLRRSYPEARIMYADYYGAAMSFAQNPKQFGFRHGALRTCCGGGGPYNFNPKASCGVRGSSVCTDPSAYANWDGVHLTEAGYHAIANSILNGPYTSPRLL >ORGLA01G0063300.1 pep chromosome:AGI1.1:1:4652679:4654074:1 gene:ORGLA01G0063300 transcript:ORGLA01G0063300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKYLWIQIFVLLSSFSFSVETDYASIFSFGDSFSDTGNIVLIYGPARTDLVMTKPPYGMTFFDHPSGRLSDGRLIIDFIAQALGLPLLPPSFAANRSFEHGANFATAGGTALDRAFFVANNFTVMSPFNISLGDQLGWLDGMKPSLCGGKPGGCEGYFSESLFFVGELGWNDYSAVLLAGRGVDEARSLTPRVVGTIRAATQKLIDGGARTVFVSGITPMGCSSANLVLFAGSSEADYEPDTGCLRSLNQLSMEHNRQLRHALAQLGGARIIYGDFYTPLVELAATPRRFGIDGEEGALRACCGSGGGRYNFEFNMSAQCGMAGVTVCGDPSAYVNWDGVHLTEAAYHHVADGWLRGPYANPPLLSSSCSARAR >ORGLA01G0063200.1 pep chromosome:AGI1.1:1:4648055:4648381:1 gene:ORGLA01G0063200 transcript:ORGLA01G0063200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSAAARRLPRRGRERSGLPRKTASTSPSSPSLGPMSSPTRELPGVAVLNNDGFHISGGGGGAKLFTTTHVGGIARAEQKREKGRPLLPSPDRHGKEATSMAAPS >ORGLA01G0063100.1 pep chromosome:AGI1.1:1:4646356:4647693:1 gene:ORGLA01G0063100 transcript:ORGLA01G0063100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1NL91] MAPPPAALLLVVLLLVGFEALPTKSGYLPIPTANASLFFAYYEATHPLTPPASTPIILWLQGGPGCSGLTGNFFELGPYFVNHDALSLSPNPFAWNRRFGLLFIDNPLGTGFSAAPSPAAIPTNQSVVTAHLFTALQWFFALQPGFRSRPFFLTGESYAGKYVPAAGSYILAVNPTLPKRLRVNLHSVAIGNGLTHPVAQVATHADTAYFMGLINAKQRRELEALQARAVELTNAARWSEAADARELVLSLLENATGLATLFDAAKQRPYETGPVGKFVNRAEVKAALGARTDVEWEDCSDTVGAAMHGDVMKSVKPGVEALLRGTRVLLYQGIRDLRDGVVSTEAWMRELKWDGLTAFLDADRAVWRIGEELAGYVQRSGPLSHVVVYGAGHLVLADNGRAAQEMIEDWVLQVGLFGRHGGMKRAA >ORGLA01G0063000.1 pep chromosome:AGI1.1:1:4639758:4643503:1 gene:ORGLA01G0063000 transcript:ORGLA01G0063000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRIVLVLLLPAVSSCLPCRRRDDYDYDSIFSFGDSFADTGNGAVVFAEHSLFSPATKPPYGMTFFGQPTGRNSNGRLIIDFIAEKLGLPFVPPYLAHFVLNTSSSVQLGWFDSLKPSLCSPAQECKDFFHKSLFFMGEFGVNDYSFSVFGKTPLEVRSMVPDVVKTISSATERIIKRDGAKAVVVPGIPPLGCMPPNLAMFPSTDPAGYEPGTGCLRQFNEIAVYHNTLLQDAIKNVQKNHPDVRVIYADFFTPVIRIVQSPGTFGFTSDILRCCCGGGGKYNFNMSAGCGMPGATVCEDPSTHLFWDGHMTEAAYHFIADGWLNSINES >ORGLA01G0062900.1 pep chromosome:AGI1.1:1:4629298:4630710:1 gene:ORGLA01G0062900 transcript:ORGLA01G0062900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVSVAAVLVVLAALRPPRVAVAQKYAAIFNFGDSLVDAGNLVVDGIPDYLATARLPYGMTYFGYPTGRCSDGRLVVDFIAQEVGLPLLPPSKAKNATFHRGANFAITGATSLDTPYFQGRGLGHTVWNSGSLHTQIKWFQDMKASICKSPQECRDLFRRSLFIVGEFGGNDYNSPLFAFRPLEEVHTFVPDVVDSIGKGIEKLIEEGAVELVVPGVLPIGCFPVYLSIFRKQPEMYGRRSGCIRDLNTLSWVHNAALQRKIAELRLKHPGVRIMYADYYTPAIQFVLHAEKYGQCYAHFGFVFFLSLFFFLFSRGFFSFFLFWVLVSQFPRPVVSRRLASKVVSWVCYGNVTDADRWDPQPVTVVLF >ORGLA01G0062800.1 pep chromosome:AGI1.1:1:4618962:4621556:1 gene:ORGLA01G0062800 transcript:ORGLA01G0062800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXIIGATTMNFDFFQSLGLGNSIWNNGPLDTQIQWFQQLLPSICGNDCKSYLSKSLFIVGEFGGNDYNAPLFGGKSMDEVKGYVPQIIAKITSGVETLIGLGAVDIVVPGVMPIGCFPLYLTLYQSSNSDDYDGNGCLKSYNSLSVYHNGLLKQGLAGVQAKYPAIRLMYGNFYDQVTQMVQSPGSFGLQYGLKVCCGAGGQGSYNYNNKARCGMSGASACGDPENYLVWDGIHLTEAAYRSIADGWLSGPYCSPAILH >ORGLA01G0062700.1 pep chromosome:AGI1.1:1:4610864:4611215:1 gene:ORGLA01G0062700 transcript:ORGLA01G0062700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCGGDCRPIGWLLGLPFALLAVVVSFIGAIIWIVGLPISCICPCCLCVTVLLEVAVELVKAPLHVMTWFTSKIPC >ORGLA01G0062600.1 pep chromosome:AGI1.1:1:4607909:4608930:1 gene:ORGLA01G0062600 transcript:ORGLA01G0062600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRRLPPLSFPLPRGPTPRRLFATAASASTAASPLPWPGLHAWRRAPPSDLRTWGPHGPCASPDAADESGSEEASAGSSLAEMGALVLSTADPLAKARLTHAAFSRLVAGLPVGMAEAPDHPARPDKPIVVTQKEITTHKQMGVPLNAYMLHMLAHVELNAIDLAWDTVVRFSPLRDTLGDGFFVDFARVADDESRHFRWYSQRLAELGFSYGDMPVHNLLWRECAKSSNDVSARLAVIPLVQVPDHYLFLL >ORGLA01G0062500.1 pep chromosome:AGI1.1:1:4601139:4602948:1 gene:ORGLA01G0062500 transcript:ORGLA01G0062500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSRKSHAHASGPNSSKAAAAGGGGGGVAAAFDAHNGTHVRTVTFSLSSSSAARRELRRRLTAELAQVRATCKRLSSLPAPAPSSALSATDPSTPLPPHPPVSKHKSKKGNPSSNPGLSAEARRKLYAPVFKSCGALLARLMKHKHSWVFNTPVDASALGLHDYHTIITKPMDLGTVKSRLAAGHYKSPREFAGDVRLTFQNAMRYNPKGQDVHFMAEQLLNMFEEKWPEIEAEVAQLSPQPPTPSSAAPRKPKEIDNSKVLERSDSTVHAAGMEATPKQNTGRPPVLKKPKAREPNKREMTFWEKQRLSNNLQELPPEKLDNVVQIIKKRNLSLSQHDDEIEVDIDSFDVETLWELDRFVTNYKKSISKNKRKAENPVAGQDEMNHDIELEKTEHARLDEVEQDQMPPVQETLHNPEPESIDIEPPKENTADDNERYVGSSSPVHLEDQKGENAGRSSSSGSSSSDSGSSSSDTDTDSSSADGSDAAQSPRT >ORGLA01G0062400.1 pep chromosome:AGI1.1:1:4596410:4598262:-1 gene:ORGLA01G0062400 transcript:ORGLA01G0062400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGDSITELSFADGGWGAALADHFARKADVVLRGFSGYNTRWALRVLARAMEGAAAAADPAAVTVFFGANDASLPERKQVHQHVPLDEYQSNLRAICAYFKEQWPSTKIILITPPPIYEPARIRDMYGEDDPSKLPERTNEAAGTYAQACLTVAKELNHPVIDIWTKMQQFPDWQTSALCDGLHFTPLGNKILFDCVLETLESIGFSQGSLQPDLPLFHDIDPKDRLKAFEI >ORGLA01G0062300.1 pep chromosome:AGI1.1:1:4574079:4576473:-1 gene:ORGLA01G0062300 transcript:ORGLA01G0062300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIPYPWRLGYGIRRGGWTRIGAATVAAGKKAAGDLDPRHHHHRVTHGGDGGGVGGGGSGGQEEADEQQRQQHDHHRLLQLHHHQGVQQDQEPPPVPVFHLQPASVRQLSGSSAEYALLSPMGDAGGHSHHHQHGFQPQLLSFGGVGHHHHLHQFTAQPQPPAASHTRGRGGGGEIVPATTTPRSRGGGGGGGGEIVAVQGGHIVRSTGRKDRHSKVCTARGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKDAIDKLDVLPAWQPTAGGAGAGNAAAPPSSSTHPDSAENSDDQAQAITVAHTAFDFAGGGSGGTSFLPPSLDSDAIADTIKSFFPMGGTAGGEASSSTAAAQSSAMGFQSYTPDLLSRTGSQSQELRLSLQSLPDPMFHHQQHRHGGGGGGGNGTTQQALFSGAANYSFGGGAMWATEQQAQNQRMLPWNVPDPGGGGGAAYLFNVSQQAAHMQAAAAAALGGHQSQFFFQRGPLQSSNQPSERGWPETVEADNQMSHHQGGLSPSVSAAIGFAAPGIGFSGFRLPARIQGDEEHNGGGGGNGDKPPPPSSVSSASHH >ORGLA01G0062200.1 pep chromosome:AGI1.1:1:4557157:4557870:-1 gene:ORGLA01G0062200 transcript:ORGLA01G0062200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTALSGAPPAARSEDSWSQQQSYNFSGRVLLTAVVILFVIAVVFAVTRVLLYYLVVRPGGGGGGRRRGGLAGGILRSLNSLGVSGRRGLDASALAALPVTAYRKNGGGGGGGEGSNRGGPGATAADCAVCLSELADGEKVRELPNCRHVFHVECVDAWLRSRTTCPLCRAEAEVPKARASAAATATAQSSSSLGDGGITVVVTIHGGSDEAGGRSTALTGQPGSSNSPSCEAARN >ORGLA01G0062100.1 pep chromosome:AGI1.1:1:4537206:4542000:-1 gene:ORGLA01G0062100 transcript:ORGLA01G0062100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGFSIREYTASMRGAAGAEGRRLYGLGAGDLPPMEPRRFRWWADELAAAPPLPPRSPSPSPSPYPPPPPPTKPSRRTLGKARAPKKRSISDLFAAAPPLALPSSDSGGSGNDDDEALCAIMRRAREKKRKRRLQEEEEEAAGAASAPVAAAAAETRDSEGNFTRKEAHDKTNLPGGLDTPQASRRPDGVHHARTDEERSPDSKRRKKVKITNFDKNNNKKIDKKRYSESKRATNKVGKQHDLKKMLPLHSILKKYTKHTSVKMVKEKHGDPKGTEVIEVCRKSVKRVKFSEVNDVLGINKQNICKLFSDALASSSSSSTDMSSEGDKHIAAESCSSHMPETATKEASKSTDHEDSLELTSTQLSSYLFDLNEALPESTDLNYPYVSNPEEPNHEPRQHEPLDSDVQVIDEGGQNQQDLSLDSHGLQCQSVPESGLERARSSISPGTFLHGEFMEVSDTFFVGSSRKLTELAESHGDCSSGSVKDAMTKGKSPCALPNHTVQDSFQQHQRYYAFNLNLGGSQPSNEGEVPPQDCNASAGAASSSHAEMGVQQGCRPAPGQTVRLMGKDLAVSTTRGEYVSGTHSYTEDHPTKLFLELPRQGRPYLSLQAQSVPNVSANSASPSQSHIRYTAPQNLSHSFPTANALSGDRLQYDDRFSYLSGSQHHGNVLLGSPSLTSHGSAALRQNLPYVWSRYSDPSSSSTASPSAPILPTTAQHVTPSSVYHANLPRSYGVVSAGSSVHPHNSPSFTFTRPRRIVEEASGSRRDAACPSRNAENVAARAAIPEMPSSSSGGRHARRTGPMKLTPGAKHILMPSDTTGDGTSMPVYSCVSFGSKSGNASATRNMGAGLYKL >ORGLA01G0062000.1 pep chromosome:AGI1.1:1:4523702:4528733:-1 gene:ORGLA01G0062000 transcript:ORGLA01G0062000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAAFSIREYTASIRGAAGAEGRRLYGLGAGDLPPMEARRFRWWADELAAAPPLPPRSPSPSPSPPPPPTKPSRRTLGKARAPKKRSISDLFAAAPPLTLPSSDSGGGGNDDDDDEALCAIMRRAREKKRKRRLQEEEEEEEEAGAASAPVTAAAAAEMRDSEGNFTRKEALDKTNLPGGLDTPQASRRPDGVHHARTDGERSPDSKRRKKVKITNLEKNNNKKKIDKKRYSESKRATNKVGKQHDLKKMLPLHSILKKYTRHTSVKMVKEKHGDPKGTEVIEVCRKSVKRVKFSEVDDVLGINKQNICKLFSDALASLSSSSTDMSSEGDKHIAAESCSSHMPETATKEASKSTDHEDSLELTSTQLSSNLFDLNEALPESTDLNYPYVSNPEEPNHEPRQHEPLDSDVQVIDEGRQNQQDLSLDSHGLQCQSVPESGLERARSSISPGTFLHGEFMEVSDTFFVGSSRKLTGELAESHGDYAFNLNLGGSHPSNEGEVPPQDCNASTGVASSSHSEMGVQQECRPAAGQTVRLMGKDLSVSTTRGEYVSGTHFYTEDHPTKLFLELPRQGRPYLSLQAQSVPNVSANSASPSHSHIRYTAPQNLSHSFPTTNALSGDRLRYDDRFSYLSASQHHGNVLLGSPSLTSDANLPRSYGVVSAGSSVHPHNSPSFAFTHPRRMIVEEASGSRRDAACPSRNAENVAARAAIPEMQAQRTGLMKLTPGAKHILMPSDTTGDGTSMPVYSCVSFGSRRGNASATRNMGAELYKL >ORGLA01G0061900.1 pep chromosome:AGI1.1:1:4504122:4511978:-1 gene:ORGLA01G0061900 transcript:ORGLA01G0061900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKSTVERYKKANSDTSNSGTVAEVNAQHYQQESSKLRQQISSLQNANSRTIVGDSINTMSLRDLKQVENRLEKGIAKIRARKNELLYAEVEYMQKRIILQEVELQNDNMYLRSKVVENERGQQPLNMMGAASTSEYDHMVNNPYDSRNFLQVNIMQQPQHYAHQLQPTTLQLGQQPAFN >ORGLA01G0061800.1 pep chromosome:AGI1.1:1:4492966:4494046:-1 gene:ORGLA01G0061800 transcript:ORGLA01G0061800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARMKNTTMGCAFLLAAFAMAAAFVPVAESRTTPVEKTTTTQAEDGVKKPDCVPAFDPRSFPGHGGTTTPTPIPGHHGGGGSSGTTPSHGGGPSGGALPSPSHGGAAPSHGGGYGASPPVTPSPGGGYGGGSPAPSHGGGAYGSSPSTPSGGGSSPTPSHGGGAYGGGGGGAPSTPASHDGHGLIPTTPGTCDYWRSHPMEMWSALGRWPSSVGHFFGSGSGGAGTGMSIQDALANTRGDGAGELMREGAAALLNSMTRSGFPYTAEQVRDAFAAAAAGGSDGAAAAQAAAFKKANEGGRA >ORGLA01G0061700.1 pep chromosome:AGI1.1:1:4486711:4487613:-1 gene:ORGLA01G0061700 transcript:ORGLA01G0061700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVAGVAATAAAVAAAVVVLAAASCCEARDFYVGGRDGWTTNPAEPYNRWAERNRFQVNDRLVFRYNKEDSVVVVSQGHYDGCNATDPLLRDAGGDSTFVFDSSGPFFFISGDPARCQAGERLIVVVLAVRGNATATPTTPSPPPPPTVPAAPTPRPSPPPPAAGTNGTARAPSPPVPAPAPAGSPPPPPPPPPPAGGNFTAPSPAGGMNFTAPAPGTNGTAAPPPRPSSAPSVRGGALLMLLVVATAGAMALV >ORGLA01G0061600.1 pep chromosome:AGI1.1:1:4483526:4483966:-1 gene:ORGLA01G0061600 transcript:ORGLA01G0061600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRLRGVPVLIALVVIAAVALLPAAATDDMGGVVASASGRRKMAAAAATCDGAVGECDVDDEEEVEEMALMGAAGAAAGETLMRRSLAARRPTNRYVSYAALDANKVPCNKRGQSYYQNCASQKAANPYRRGCSAITRCARNTN >ORGLA01G0061500.1 pep chromosome:AGI1.1:1:4452278:4454481:-1 gene:ORGLA01G0061500 transcript:ORGLA01G0061500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERENSWLRRTKFSHTVYTRLDHQRAPIAPLGRDVEQKLQRFISMGKSVSMPVNRDEDAAAAFKHSVSLPTARSSLQIDKEKANKQKADFDIPSSPPVNSVNSKGLKARSLVKSPSSAMLLSYLNKAHPNRDSNLKKTDRPQHKLRSKSPLPNVAPSDVFREARASSRRFTSPPPKRRGSERSIYGKSFDRQLSDMSQSPDLCSTPVSSDKHKSLKDSSWTRRYFDNGGRRRVSAVDAAEVRRNHGVSMAQAVQTTVDWTLDPSKLLVGHKFASGAYSRLYKGLYDDKPVAIKFIRQPDDDDNGKMAAKLEKQYNSEVNALSHLYHKNVIKLVAAYKCPPVFYIITEFLPGGSLRSYLNSTEHHPIPLEKIISIALDVARGLEYIHSQGVVHRDIKPENILFDENFCVKIADFGIACEESMCDVLVEDEGTYRWMAPEMIKRKAYNRKVDVYSFGLLLWEMISGRIPFDDLTPLQAAYAVATRHARPVIPPECPMALRPLIEQCCSLQPEKRPDFWQIVKILEEFHSVLSQGGCLDSLKSSNSQDQKKRLLHWIQKLKPSHST >ORGLA01G0061400.1 pep chromosome:AGI1.1:1:4449161:4450773:1 gene:ORGLA01G0061400 transcript:ORGLA01G0061400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTMGGGGGGGRGGGDHHSPTSGKTPRGDYSALATSTPTKQSPRWPSPSAAASSLLPSGAAALLESRWALPAAFGVFLFLAVTLAVATSSLSVAASLPAFFPAAKQPLPLPPPSPPPGAGVARLAYLVSGSKGDLDRLWRTLHALYHPRNLYVVHLDREAAVSERLELAARVANSSMFRRVGNVEVIRRSNMVTYRGPTMVANTLHACAVLLRRSRDWDWFINLSASDYPLMTQDDILHVLSSIPRNTNFIEHTGYLGWKEGQRARPVIVDPGLYMARKQDIFYVEQRRELPTAFKLFTGSAWVALSRDFAEYVVWGWDNLPRTLLMYYANFVSSPEGYFQTVLCNAPRFVPTAANHDLHHIQWDTPPRQHPHPLALADRPAMERSGAPFARKFPRDDPVLDAIDADLLGGRGRANGNGTAGAGGGDMFVRGGWCVGAGGGCDEVGDDWVLRPGPGAARLDKLMDRIVRSEAFVNSQCK >ORGLA01G0061300.1 pep chromosome:AGI1.1:1:4434136:4439453:1 gene:ORGLA01G0061300 transcript:ORGLA01G0061300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53050) TAIR;Acc:AT1G53050] MAACRVRSPVPRAVEGEQVAAGWPPWLVSVAAEAVRGWVPRRAESFEKLDKIGQGTYSNVYRARDLEKEKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKFTESQVKCYMQQLLCGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVAVDLWSSGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQHPYVRRVSETFKDFPPPAVALVDVLLSVDPADRGTASSALQSEFFATKPYACNPSSLPRYPPSKEFDAKRREEEARRQGIAGGKQHKYDPERRTRESRAVPAPDANAELVSSLQKRQNQVNAKSRSEMFNPSKEDSASGFPIEPPRPTHPAESSQDSQRVYTRTFHSGPLVNQNKPSKAGRSENGDIHLSGVANASDFHVVVSTRSNIRSDNGNGTVVTQAEAFPHERRLSESINERFSSSGKYDQVFQQKDDRSSRVDGAIGYGSKGNKIHHSGPLICPSGNVDQMLKENDRQIQEVFRRTRVEKSRARRGHGHSGDGHHQFGLRPSDFGAAPVFPSSRSSYRAVQQ >ORGLA01G0061200.1 pep chromosome:AGI1.1:1:4431149:4431853:-1 gene:ORGLA01G0061200 transcript:ORGLA01G0061200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGATEQRATRCDWATGDAARRETGVDGGWWRGRQRCWRXCGDRRQAGAASQWAAAKVGARAGDGAETAAGRGGIAMGGQRWLDPSACKQRLWQHGGHDDGAAAARTLSRLVPPWLDPAVHDRISPRWAPARLFAGVSLATSLLPSRRLLWPVEVWWLMGGNAWMWSWRDDGLCWREAGMGLDVHGGLPRCWPSVFPFLRFPPFFGRTLFWSWGMLGGGRRLRFAVGVCGGLIAF >ORGLA01G0061100.1 pep chromosome:AGI1.1:1:4419757:4420071:1 gene:ORGLA01G0061100 transcript:ORGLA01G0061100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAYTTTTIKKLHQKYFKINRKIVRTLHTRLDSSQQFLPDALIICLLCACVQPLRGGRRRRGERRLQVRHQLLLHRLQVRQVKSRSNRTLAAGESPTLISPQ >ORGLA01G0061000.1 pep chromosome:AGI1.1:1:4407328:4408017:-1 gene:ORGLA01G0061000 transcript:ORGLA01G0061000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEQSSESSSTASTSSCGKKQQVAGKRKREDVGGGGEQAAAVAYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPCPEMAARAHDVAALSIKGARAVLNFPDLAPALPRPASLAPRDVQAAAALAAVMYHHKHPSSSTSTSSPPAAPPPDEHHPRHEPQQPESSREDDQQQQPAAAAAAAQMAVAELVFDELAPLWVEDVVEFGTSDHCWTAYDALDPIGFQPLLWEY >ORGLA01G0060900.1 pep chromosome:AGI1.1:1:4395145:4398046:1 gene:ORGLA01G0060900 transcript:ORGLA01G0060900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP35 [Source:UniProtKB/TrEMBL;Acc:I1NL69] MSASRPDHRRHHPPFLRDLSSPISSAVRLPPASLRRETQGSTTPPPPPLLFLDDLSHHSPSPRPATPPQAAAMSPSPPPPHRGGLFSSTPLRSNGSPSPAAWWSSSREEMAREGSPVDGVVQPQQQPSPTTASGQQSQQQKVTLITLPPPREVARPEMPKDSTPSAGRVDEEEWVTVFGFLPGDTNLVLREFEKCGIVLRHVPGPRDANWMHILYQSRHDAQKALAKHGQQLNSVLIIGVKQVDPWQRQYLNENTNENFQVGATDPFPSQHVAPSSFTTRNALAPLPSNSMPNGIGNGSGRGASGAIASPTKSVLSKVMDLMFGL >ORGLA01G0060800.1 pep chromosome:AGI1.1:1:4388080:4394803:-1 gene:ORGLA01G0060800 transcript:ORGLA01G0060800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11640) TAIR;Acc:AT5G11640] MEVATATEASAPAASGAASRRSHPFPWLDLAISEPYYFLHLVAFFSYFAARSTVPSADDGLLLRREIQAVLTFLVLFVVKIVKEENWETCIADSLLYAKGLLLAVTLVIDYWLTVSYLLGFVVIYAVAQQPPYDGLGHSNHLTPLQLEGLLTEEPTTRFWLVEFRTSFSGTCIEASSVLPELSNIYSNKNISFGIIDLGHFPNAAAKFGISMWDHLPTYILFDKATEVARFPEIMNESKVFVPKITKKLLCQHFDLDRRLIEYLSR >ORGLA01G0060700.1 pep chromosome:AGI1.1:1:4378790:4382614:-1 gene:ORGLA01G0060700 transcript:ORGLA01G0060700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKVAEILKDRPLWLRDCRSMDVVNVLPAGANGTIELLYMQLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMVAQKISMAALRYLRQVAHEDTRSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKASMLLQDVSHPSLLQFLREHRSQWADSNLDAFFASAMKPNFCNLPMSRLGGFSGQVILPLAHTFEPEEFLEVIKLGNASNYQDTLVHRDLFLLQMYNGVEESSAGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEAATPRSRISGVNGGGGCAAAAASSSSKAVMTIAFQFAFDGHLQDSVDAMARQYMRNIISSVQRIAVALSSSRLVPPGAGAAAAQLSPVTPEAATLPRWICQSYRFHFGAELIKSVDANSSNESILKAVWHHPSAILCCSLKAMPVFTFANQSGLDMLETTLVALQDMTPEKVFDDQGRKNLCTELPNIMEQGMACMEGGVCVSSVGRAASYEKAVAWKVVDGDGGGAHCICFMFINWTFL >ORGLA01G0060600.1 pep chromosome:AGI1.1:1:4369849:4376699:1 gene:ORGLA01G0060600 transcript:ORGLA01G0060600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLTKAMSSHRRRLLLLTQCDVLCRRYWIRGKRGKTKIGPDMTDAFTRVLTENAGLLAVRMLASRDPELGDKLTYTQVPEDQIDAAPGNCSYPKKHKQGEQELHVANDSQSSNKMEKLIIIKSSGSGSGDARHDDGGGEVETVRCACCGVAEECTAAYIGGVRAAFCGDWLCGLCSEAVKETARRDPAPGGGVAAALASHAAECRDFNATTRLNPTLSLAGSMRRIARRSFDKRTSASCQERRLGAAASKAVALARSASCDPRFCSLLAADVINGGAPPGDRCR >ORGLA01G0060500.1 pep chromosome:AGI1.1:1:4365872:4368464:-1 gene:ORGLA01G0060500 transcript:ORGLA01G0060500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:I1NL65] MQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEEDIPDMDTYEDSGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVEKYLFIFLKFMASVIPTIEYDYTMDFDLGSTSR >ORGLA01G0060400.1 pep chromosome:AGI1.1:1:4357872:4364082:1 gene:ORGLA01G0060400 transcript:ORGLA01G0060400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRLLSAPSHASAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDPKKVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGAGGAAHLPGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELGDKATEYQHDLRDMVLEKAKRLEELGWEEYTELYLKKH >ORGLA01G0060300.1 pep chromosome:AGI1.1:1:4355529:4356254:-1 gene:ORGLA01G0060300 transcript:ORGLA01G0060300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPWSATVLCAVDGCNHLDCHGGNPFRVALVNIDAAGTTHAALYSSETEAWSGLASIDHHPDAFVQARRPSVLVENALYFLCDNNTSIVKFDMATMTLSVVYKFDNTLIRGLQKRFTIDDKIRGLQLMTTSNNTLISENRDQKQGTMRQEALTTPSTPTTLLCFVCCSSAPPGGESLARFSFFLVTSSSSAANISNSRCACSMAVASPGTYSCFSCSAPWLSSAATISRTSCLDRAARYLV >ORGLA01G0060200.1 pep chromosome:AGI1.1:1:4353082:4353702:-1 gene:ORGLA01G0060200 transcript:ORGLA01G0060200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPNGEGAVAAAAAAPAPAPAAAVARGKRAEKPRRISMEGLQRAMSDLALELTKKQQVADAAAGGGGGGEKLPEITEQQQVVVEEARCECCGMQEECTPEYARRVRERYCGRWVCGLCAAAASAEADRRCRHGGAGRTTAPAEEALAAHMAVCGRFNRVGRANPVLMQTEAMREILRKRSRSNSPRDHGHGGLTRSSSCIPAITKD >ORGLA01G0060100.1 pep chromosome:AGI1.1:1:4341623:4345894:-1 gene:ORGLA01G0060100 transcript:ORGLA01G0060100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11650) TAIR;Acc:AT5G11650] MEGGGGGGEEMMMTSGATGRIVPVFRSVLSRRALLRLAVALHSLLLWVFLLVGGGGWRRRRGDAGEGAEAGRAVRTRRRAAEEEDVRRRRALAEEVAMVEDADGEGARRWETFVVPGARRNALFCRVWAPAAAAAEMRGILVIIHGLNEHSGRYLHFAELLTSCGFGVYAMDWIGHGGSDGLHGYVPSLDYVVEDIDVLLGKIVLENPGVPCFLLGHSTGGAVVLKASLFPHIRAKLEGIILTSPALRVKPAHPIVGAVAPIFSLLAPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLLHNLKKVTVPFMVLHGTADRVTDPLASQDLYNEASSRHKDLRLYDGFLHDLLFEPERDEIATDIIDWMERMLALQTV >ORGLA01G0060000.1 pep chromosome:AGI1.1:1:4337707:4338276:1 gene:ORGLA01G0060000 transcript:ORGLA01G0060000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQRPVQPGGGGAPPEEQWRGAVEAALPGTPASAAWPHVASFYAAHRYLPGIDVCERVGDGGEDGGLLLVPGCVRHVASSAAGLWAREELLEAPDHAARRLRYAVVDSNMGFGRYVATLRVLDGGGGCRIAWAFECDAVRGEGWSEAALVARLAASVDGMAERVQQAVAAEAEARAGEEEEEDGVAG >ORGLA01G0059900.1 pep chromosome:AGI1.1:1:4326977:4327627:1 gene:ORGLA01G0059900 transcript:ORGLA01G0059900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATATAAPEEEEGKKVMTRALEWEGCVVSPVPTATADEAWALLSDFLAFHRWHPRVAKCRPASPSAAASAAAALPGSVVRYCEGTPRGDGAPPDWAHETLLEHDAARRFFRYEMNDNNMGFGVFFATFRVVPDAGGGDADAPGCELRWEFEGDPVRGTPKEALVARLQAGLDGMAARVQEHLMSARAADAATIAAGGVEAADELNRDNYSIAV >ORGLA01G0059800.1 pep chromosome:AGI1.1:1:4323243:4323608:1 gene:ORGLA01G0059800 transcript:ORGLA01G0059800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRQPYRGGGRPVGEVDLGGSGSAGPTNGVAASQLAKLSRGKGAQQSSAR >ORGLA01G0059700.1 pep chromosome:AGI1.1:1:4307238:4307951:-1 gene:ORGLA01G0059700 transcript:ORGLA01G0059700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGFGKRLMHVLRAVYHMLRRGLCRKRLMMDLHLLLGRGKLAGRALRDVLLAHQPHGGAAAVAVMGGGAGVARGGDSSSSPLSASFFHHNPRDVEFSCTTTPSYAPGVFPFRFRGRGGSRHAGGGASNYGGLDASAVARVFEMLNADAAAAAGAGGETPLSSMPGATPSPLLALSLGRSPAGTRQLRVTDSPFPVEPPEGAVDGRVDDKATDFIEWFRRQLLQQQASAAPTPDYRG >ORGLA01G0059600.1 pep chromosome:AGI1.1:1:4290953:4297077:-1 gene:ORGLA01G0059600 transcript:ORGLA01G0059600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRGRGKRKQQQPPPPPTGPADARQPEGKQREEERKGMEGSDKGGAGDDGSPAPLPETVQIGNSPTYKLERKLGKGGFGQVYVGRRISSPTHGNRNSGANALEVALKFEHRTSKGCSYGAPYEWQVYNTLSGNHGVPRVHYKGKQGGFYIMVMDMLGPSLWDVWNNNSHSMSVEMVACIGIEAISILEKMHAKGYVHGDVKPENFLLGPPDTPEGKKLFLVDLGLATKWKDAGTGKHVEYDQRPDIFRGTVRYASVHAHLGRTGCRRDDLESLAYTLIFLLRGRLPWQGFQGENKGFLVCKKKMATSPESLCGIGPPPFRQFVEYVVNLKFDEEPNYAKCIALFDGIVGPNPDGRPLNTDGAQKLVYQVGQKRGRLTAAEDEEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADDRLAPHIQKGNEDGLFISSVSSCSNLWALIMDAGTGFTAQVHELSHYFLHKEWIMEQWERNYYITSLAGSNNGSSVVIMSTGTPYAQQSYKVSDSFPFKWINKKWKEGFYVTALATAGSRWAVVMSRNAGFTHQVVELDFLYPSEGIHQRWDSGYRITATAATCDQVALILSIPRRKPNDETQETLRTSAFPGQHVKEKWAKNLYLGSICYGRSVS >ORGLA01G0059500.1 pep chromosome:AGI1.1:1:4270805:4288390:-1 gene:ORGLA01G0059500 transcript:ORGLA01G0059500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:I1NL55] MNPHGGGNKMREPALPAAVGAELERLEARLGQLACAEARRQLAELGEPAAARVLRAIGEARQVRTLSGFIRHMANQERMKRNARGIPTAHSAACISGPCREEESISTPLYYNEVQMDAQTPNDMVEVGSPNQQMPLRLHDNGGSVGHIARVVPDLANPAVGSPYGRISSVLLQNQNCVEGYTPSRGMVSPASNQVGSPGHRMPSGLQRHMEIDSPIQPIVSTPERVSTPSPVRDLSRCVENMAGPSGSPPCPIWVMPQIPPAICPDTANVLREVVSPQMLALGELEFRKIFMIFAYLSWNKKGVKPPLSTPKSSKIEDVLSVNSIRSLKSMSMAQFESRIWSTFGHDNISSSDRAKNLDSGPGMSKVYRCNVEIRGGTVVKIFKGPYIENRRTHLQKVLGDDNVLVVNFMEISSDTETDLSTYLEHYHKVAEEGIVLGLRRYRFFLYKDGGKENKMDIVDCAASKLMGSNNTTEYPLLIQLRLFYNGSAVKGTVLVDKRLPPRTIHIRPSMLKIKTYPELSGVQSVNSLDIVSARNAKKSLSGVQSVNSFEIVSTSNRSGRTFTSNNLIALLHYGGVPEEFFMELLQTAIEEADNARFDYAGALNIAFNYADMEDSMPARMILSGIPLEESYLQSRLDFLSLLERKGIKNGKIPIDDCYYLMGTADPTGKLGPNEVCVILDYGQVSGDVLVYKYPGLHPGDIHVLKATYSSDIEKVVGNSKHAILFPTTGQRSLADEMANSDFDGDIYWVSLNPKLLEHFKPSKPWVPAITPNGTKQKGPEDFNESELERVLFHEFLKTRFAPSYARATAATNWLVYMDRLLTVSLDESEKKLIEKKMLKLVDLYYLALDAPKMGNKVNIPRDLMVKQYPHFMDRSPSYHSSSILGKIYDKAGDPKPLRSDNVQPTSISSLPCFAERDVPPAIKQLWQHRYNEYLADSSLLYAEEADEEEKKIKFQELYEKYKHLLYGASEFEETPRDLDDVFSEACAIYQIAYEKARSANNVARCGFAWKVAGRALCHFYTVKNEGNAVVCSLQLLRNFRFTKKYRK >ORGLA01G0059400.1 pep chromosome:AGI1.1:1:4251209:4256154:-1 gene:ORGLA01G0059400 transcript:ORGLA01G0059400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:I1QKQ9] MEDSMSARMILSGIPLEESYLQHRLDFMAQQERKGIKQGKIPIDECYYLMGTTDPTGTLRPNEVCVILENGQFSGDVLVYKHPGLHFGDIHVLKATYIRDLEKEYVGYAKYAILFPISGPRSLADEMANSDFDGDIYWVSKNPKLLEHFKPSEPWVQAIKPKKTKQKKPQDCNESKLERLLFHEFLKTRFTPSFALGTAADSWLAYMDRLLTDSLDEIEKKLIEEKMLKLVDLYYLALDAPKTGNKVNIPSDLMVKQYPHFMGRSFSYHSSSILGQIYDKAEDVESLRSCNVQSIGVSLLPCFMEREAPPAARHLWQHRYEEYLTDSTMLYRAMVDKEERNMKFQELYEKYKHMLYDASEFEQTQRDPDDVFSEACVIYQIVYEKARWSNDASRCGFAWKVAGRALCHFYALKNEGDTALCSLPLLRKIIKKDHRR >ORGLA01G0059300.1 pep chromosome:AGI1.1:1:4236446:4240917:-1 gene:ORGLA01G0059300 transcript:ORGLA01G0059300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEQVRMAVLLMLNCFVKATAPPPWPPSASSASFLDDLGDLGIAPLIRADEAATARASADFGNLSVAGVGAPRLAAAAAVLYPSXXXDIAALLRASCARPAPFAVSARGCGHSVRGQASAPDGVVVDMASLGRLQGGGARRLAVSVEGRYVDAGGEQLWVDVLRASMAHGLTPVSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVLELDVITGVGEMVTCSKEKAPDLFDAVLGGLGQFGVITRARIPLAPAPARARWVRFVYTTAAAMTADQERLIAVDRAGGAGAVGGLMDYVEGSVHLNQGLVETWRTQPQPPSPSPSPSSSSSSSFFSDADEARVAALAKEAGGVLYFLEGAIYFGGAAGPSAADVDKRMDVLRRELRHERGFVFAQDVAYAGFLDRVHDGELKLRAAGLWDVPHPWLNLFLPRSGVLAFADGVFHGILSRTPAMGPVLIYPMNRNKWDSNMSAVITDDDGDEVFYTVGILRSAAAAGDVGRLEEQNDEILGFCEVAGIAYKQYLPYYGSQAEWQKRHFGAKLWPRFVQRKSKYDPKAILSRGQGIFTSPLA >ORGLA01G0059200.1 pep chromosome:AGI1.1:1:4229964:4230266:-1 gene:ORGLA01G0059200 transcript:ORGLA01G0059200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISASSMLSILVIERVDERAGLSCLLSLLSLILVSSACERVLDDMRLWVVLNSAPCIAIPAMLFLFPP >ORGLA01G0059100.1 pep chromosome:AGI1.1:1:4226921:4228630:-1 gene:ORGLA01G0059100 transcript:ORGLA01G0059100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSPALPNSYTLPLALRAAASPRVASAVHAHALHLGLHAQHDVAGQILAAYSRLGRAADARRVFDAMPPGRTTFHWNALISAYSSGCDPDAARDAFARMAAAGARPDAVTWTALLSAHARSGKHADVLQLFGEMQRSGCEGNAESMAVALSACPYAGDLALAKGKAIHGCGVVKGLMHGYLFVTNSLICMYGKLGEMDDAKKAFRDATAKNTVTWNTLITSYAAAGLCDEALDVLAQMEQIGGTVAPNVVSWSAVIGGFASSGDTDRALELFRRMQQQWLSPNVVTMATVLSACADLLALRLGRELHGHAMKAELERHSLVENGLINMYAKCGKVSGARKVFDGMKTRDLISWNSMLAGYGMHGLCDEALALFTDMAGATVEPDGVTFVAVLSACGHAGRVTEGRRLFDRMVRAHKITPSMEHYTCMVYLLGRAGLLRDASELVETMPVRPDLCVWGALLNSCRIHGDAAMAEATIANVLQSEDQSTGNHMLITNLYAMCGMWDESKKVRVMTKEAGLRKNPGQSWIEVDNKVVAFAAGSAPPNLTGAEDVFGMLDDLYAEMEDEQR >ORGLA01G0059000.1 pep chromosome:AGI1.1:1:4224068:4226463:1 gene:ORGLA01G0059000 transcript:ORGLA01G0059000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLVRFFLTILANTFLHSPYQHSSPLESSQCSTPLGSESGGKRRRGRRGKQKGLGEVVSVHEKKEAKTSPFPLLLLCRATRRRITEVYDEMYQIVRAKRNDTGKVHEFINCLVDARNELLHKSEMVQRSCRIKKALLSNPCSRRANSYDRLCEQVHKLEAEHKRLKKDADIYNYIQEQLQMSESYKLLIELSALVEKAEREDALAAEAAEMTFEELLAQEKSDAAFWQRHRKLTSILPK >ORGLA01G0058900.1 pep chromosome:AGI1.1:1:4222362:4223058:1 gene:ORGLA01G0058900 transcript:ORGLA01G0058900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPHQLALIVLLLVALAALFLALLRGRCRCREGEAHQLPEQAEAGAGVAEGEEGGRERRKRRKARRRQRKGAGDDDAAGGEGDEALQLQLLRRRPRFPLASVAGALQRRITARYDDLARASQAHSLTIHQTFKIESDLGFDIMDLMMLVCTFSLVRQVLNQWFC >ORGLA01G0058800.1 pep chromosome:AGI1.1:1:4213316:4216662:-1 gene:ORGLA01G0058800 transcript:ORGLA01G0058800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFDGRAADPGSYRDRRSEGAFGGGTRAFAPTSKADSAAAAAAADLDGLPRFEKNFYVESPSVAGMTEEEVEAYRRRREITVEGRDVPKPVREFRDVGFPEYVLQEITKAGFVEPTPIQSQGWPMALRGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEATKFGASSKIKSTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQIKKIVSQIRPDRQTLYWSATWPKEVEQLARNFLFDPYKVIIGSEELKANHAISQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKDLINILEEAGQKVSPELANMGRGAPPPSSGHRDRYRGYGGGRSWS >ORGLA01G0058700.1 pep chromosome:AGI1.1:1:4202051:4209634:1 gene:ORGLA01G0058700 transcript:ORGLA01G0058700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAGWAAPAFAVAAVVIWVVLCGELLRRRRRGAGSGKGDAAAAARLPPGSFGWPVVGETLEFVSCAYSPRPEAFVDKRRKLHGSAVFRSHLFGSATVVTADAEVSRFVLQSDARAFVPWYPRSLTELMGKSSILLINGALQRRVHGLVGAFFKSSHLKSQLTADMRRRLSPALSSFPDSSLLHVQHLAKSVVFEILVRGLIGLEAGEEMQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKKMARLIQRIIREKRARRAAASPPRDAIDVLIGDGSDELTDELISDNMIDLMIPAEDSVPVLITLAVKFLSECPLALHQLEEENMQLKRRKTDMGETLQWTDYMSLSFTQHVITETLRLGNIIGGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDDTLYDEPYKFNPWRWKEKDMSNGSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWVAEEDHIVNFPTVRLKRGMPIRVTAKEDDD >ORGLA01G0058600.1 pep chromosome:AGI1.1:1:4194005:4194325:1 gene:ORGLA01G0058600 transcript:ORGLA01G0058600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDSMALFLLGFSGSCSFLQQKLDKLLNTRDTLLKLLNGVFCTNTFYMKVVLKYHINLFFKFVIIKTQLIICYYHLVLRETLNLYLHLQXIQTPSCIEICSFGEF >ORGLA01G0058500.1 pep chromosome:AGI1.1:1:4183594:4185030:1 gene:ORGLA01G0058500 transcript:ORGLA01G0058500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPPFAAGDSPPPTALLLPRTTTTAGAAPAPRRSSASSRLHLLLTAALAVATSYLLLILPRTPLSAAPAPAAAARAQVKLEKPVVILISSDGFRFGYQHKAATPHIHRLIGNGTSAATGLIPVFPTLTFPNHYSIATGLYPSSHGIINNYFPDPISGDYFTMSSHEPKWWLGQPLWVTAADQGIQAATYFWPGSEVKKGSWDCPDKYCRHYNGSVPFEERVDAILGYFDLPSDEMPQFLTLYFEDPDHQGHQVGPDDPAITEAVVRIDEMIGRLIAGLEERGVFEDVNVILVGDHGMVGTCDKKLVFLDELAPWIKLEEDWVLSMTPLLAIRPPDDMSLPDVVAKMNEGLGSGKVENGEYLRMYLKEDLPSRLHYADSYRIPPIIGLPEEGYKVEMKRSDKNECGGAHGYDNAFFSMRTIFIAHGPRFEGGRVVPSFENVEIYNVIASILNLEPAPNNGSSSFPDTILLPSE >ORGLA01G0058400.1 pep chromosome:AGI1.1:1:4175795:4176388:-1 gene:ORGLA01G0058400 transcript:ORGLA01G0058400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:I1QKP8] MSKYGTIPTSSSGAAPPPLPLGGGGGASPLDFFSRAKARGATALATRRPWRELADPHALGLPPSLADAYLRVRANLAHYAMNYAIVVLAVVFLSLLWHPASLIVFLVCMVAWLVLYFLRDEPIVLFGRVVGDGAVLAALAAVTLVLLLLTGATANIVSSLLIGVLLVVLHAALHKAEENVDDEVGRWYTPVPPQPAH >ORGLA01G0058300.1 pep chromosome:AGI1.1:1:4170283:4173161:-1 gene:ORGLA01G0058300 transcript:ORGLA01G0058300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAVGGGEQSMPEFWPEGSGILPMDERPPPPALRLPRGRRDGGLSGWLRSRCSSYAVYDDINIVLHMTAVHDEEESLVNLVHPFSCVFSTDEKGISHSSRAKNPTSSCCRLRFMVAGHSQCRGDMDQD >ORGLA01G0058200.1 pep chromosome:AGI1.1:1:4161901:4163606:1 gene:ORGLA01G0058200 transcript:ORGLA01G0058200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCSSWIHGYANANATAGNNGFMCGYAASCSPVEYQQQQQLVGSQIEHHLNQISMQMGMDDESAVYDGASMVDDLLMASSSAHHHAGAGSFQYSSPTSSSASFRSASVSCSPESSAAATTHFLGPPAPSAAAAGFHYQEVSSQAPLPLPLPPYEPQHGQYTTVLSPPPPAPELPATTTPATGGAFRRYARHLRPRRLPKPGRCGQRMFKTAMSVLTKMHVAATYNRQYYYQQAAAAAASASAAEAPPSGNQLQHMISERKRREKLNDSFVALKAVLPPGSKKDKTSILIRAREYVKSLESKLSELEEKNRDLEARLASRPAAAAKNDKGETAAAPAPEAGDETKRKDLVEIEVTTSGSGPGAADAAAAAGGDQETCTLNVDLRGGGGGGGMSTTDVVLRTLQCLREQIGDGASLVAMSTSAGSGGRPPRANLTLQLKV >ORGLA01G0058100.1 pep chromosome:AGI1.1:1:4155721:4156983:1 gene:ORGLA01G0058100 transcript:ORGLA01G0058100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICNEKICFLGHEIGXCKIHLQEHIAKKILQFRDAMNDKKELQRFLGIVNYARSHINNLAKLAGPLYAKLRKNGTKNTMNYNGVEFFTFGEDNKLRIFPPNFYKFKPRDHVIIDEVQECILDNFWYQYNNRKEEKGYILXILNSLSEYFHLINELMLVAENIEAIEQMPIYVVFEGRVPGVYISFEEIISQKIDAKLTRGISWKKYKDIEEALGQARKILGINYYLEPTSKEYIQKCKRARNKKIQSPHIIQI >ORGLA01G0058000.1 pep chromosome:AGI1.1:1:4145480:4147416:-1 gene:ORGLA01G0058000 transcript:ORGLA01G0058000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor SUI1 family protein [Source:Projected from Arabidopsis thaliana (AT5G11900) TAIR;Acc:AT5G11900] MAAEKPAPVRVLYCGVCGLPAEYCEFGPDFERCKPWLRANAPGVYPDELLASSSSAAADVDKVGERLQGVGISAADGSTSAGDASASKQEEVKRLPGGKVKKKDKQEVVIEKIVRNKRKCVTVVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVEFITDTWPDVPESAIFFIEDGRKVPAA >ORGLA01G0057900.1 pep chromosome:AGI1.1:1:4138671:4139534:-1 gene:ORGLA01G0057900 transcript:ORGLA01G0057900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKPNPPPAAAAAAAAGNGAGGPPKMYQRPIYRPQAPAAKRRRGGRSSCRFSCCCCFFYAVLVVLLLAFVAAVAGGAFYLLYRPHRPAFTLSVARVDKLSLSSSATAPALTDSIDVTLTAKNPNKKLVYLYDDFAVTAATAANAVPLGEGSVPGFVHDAGNITVIKATVSASALGVDPTTAATDIKKSGEFTITLDLETKAGVKVGGLKTKKIGVLVHCEGIKVAAPAPPPPPAKKKGGVKLSVADAPSPAASVDDTTPSPPPATTVARVCQVRIRVKIWKWTF >ORGLA01G0057800.1 pep chromosome:AGI1.1:1:4134821:4135285:-1 gene:ORGLA01G0057800 transcript:ORGLA01G0057800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEAAGGGERKRKRDGDAVRDDEEEEEEEEGVYEGIAEESVAELMRWLEMEISDAAPETETKTETESGDDPAGAAAPGFVTINGNEESCGPSFSAAASTVMASVDTRAGAPPAPPVPWPLPPAADVVPAEVVVDGVGEEWLVELLTSGPAVA >ORGLA01G0057700.1 pep chromosome:AGI1.1:1:4117583:4122708:-1 gene:ORGLA01G0057700 transcript:ORGLA01G0057700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLVVGYQCTYLWHIPXPCCVLYSTAGTDLGPSPVVANSPDAQDQTSSPPEPTIALGPVTLPTAPSAPSASPPVAKGAVSPAVPTRPQNAPTPVTPPKEYNAPPPVELTPPAPTHVAPVAPPQAAVENPAPVLPGTPALLPSVQAPAPSVARNPNLPIVQPPSVNNPPSRPIGSGNGVPPYPPPQRSLPAIPPSTSGVPRESVKPPVAPPIIAQAPRQQALAPSSDHSNGNSVPPANTSPPHKNSHIPRALPPKESSSQTGTAHKPPIRGSAPAETPLPQNTNMPAVPKNGSSVSHDRPPSTVAAPKPATSSRYHGRGGAPKKGEHLPFAPSYPPSHAQGPENSRAPRQSGAKRQKHHAPPPMFRGHTNLPAYSPSSAPVSSRTPTHSNKRPHISPTMPPIPPQPGPKAPSAHPIWALPPPPPNLDCNSLACPEPLTDPPAGAPCVCVLPIKVGVRLSVDLYSFFPLVSDFAEEVSSGVNMAQRQVRVMGANVAGDQPDKTVVLVDLVPMQVKFDNATAFLTFENLWSKKISLKPSVFGDYEILYVVYPGLPPSPPSAPESVGDGAFGNNRNARAMKPLGVDVGRPKKRVNGSLIAIAVLSTVIALIICTLAAWLLIIRFRGSDGLAQRFPHSALPKFSRSSGTGQTLLAGRYSSPSGPSGSLGSSIATYAGQAKTFKFAEIEKATNSFDDSTVLGEGGFGCVYQGTLEDGTRVAVKVLKRYDGQGEREFLAEVEMLGRLHHRNLVKLLGICVEENARCLVYELIPNGSVESHLHGVDLETAPLDWNARMKIALGAARALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPAGQENLVSWARPLLTNVVSLRQAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSDGDEGLGSGSFSQELAAQAAAIYDVTGMEAERVLLSEMFGSTPVFTPAADSGSFRKQSSSGPLMTGKNRKFWQRLRSLSRGSMSEHGASPDFETRSQCSNR >ORGLA01G0057600.1 pep chromosome:AGI1.1:1:4107271:4108884:1 gene:ORGLA01G0057600 transcript:ORGLA01G0057600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKDLAEIQQQQLLAAAEENMSNLTSASGDQASVSSHPAPPPAKKKRSLPGNPDPEAEVIALSPRTLMATNRYVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRNPKEVVRKKVYVCPEAGCVHHDPARALGDLTGIKKHFSRKHGEKKWKCDKCSKRYAVHSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAVTAAAAVAGQQQHGGGMLFSQVADVLDHQAAMAMGGHGLMQELCLKREQQQQFAPSWLTAQQQQQQLEAMAGAGNPAAMYGSARLDQEFIGSSTPESGGAQQAGLSFGFSSTSSAPPHPAASSAHMSATALLQKAAQMGATLSRPSSHAHMAPAAAASTHNSSSSAATTNAPPPPPTSNVSSTCVGAGGYGLAFEASHFIAADESSRGARSDRDTGNGVAGAGNDGLTRDFLGLRAFSHGDIMSMAGFDPCMSTTSASSAAPYDHHHHSNKPWHS >ORGLA01G0057500.1 pep chromosome:AGI1.1:1:4094122:4094499:1 gene:ORGLA01G0057500 transcript:ORGLA01G0057500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAAAAGMMRRGSLTIDPAGEEEAPAERVGRLVRESPVVVFARRGCYMAHVMRRLLAAVGAHATVIELEGGAAEEEEAALGGGAALPALFVGGDPVGGLEGLMGLHLSGRLVPRLREVGALCT >ORGLA01G0057400.1 pep chromosome:AGI1.1:1:4081573:4083096:-1 gene:ORGLA01G0057400 transcript:ORGLA01G0057400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTIDQAEIVKLLIEAIDMFLICTALLTFGMGMCIMFYGSRSIQKPGMQVDNLHLGSFNLKKLKEGARIQSITQAKTRIGHAILLPRRRRLLLSRLATSPRQPPPQPPAGLPREENRSEETERRKGEEGAAKNVPSCLVMPLTIVTRPRAINRASF >ORGLA01G0057300.1 pep chromosome:AGI1.1:1:4068986:4073223:-1 gene:ORGLA01G0057300 transcript:ORGLA01G0057300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTSHVTNAFSDSDSASVEEGGADADADVEALRRLSDNLAAAFRSPEDFAFLADARIAVPGGGGDLRVHRCVLSARSPFLRGVFARRAAAAAAAGGGEDGGERLELRELLGGGGEEVEVGYEALRLVLDYLYSGRVGDLPKAACLCVDKDCAHVGCHPAVAFMAQVLFAASTFQVAELTNLFQRRLLDVLDKVEVDNLLLILSVANLCNKSCMKLLERCLDMVVRSNLDMITLEKSLPPDVIKQIIDARLSLGLISPENKGFPNKHVRRIHRALDSDDVELVRMLLTEGQTNLDDAFALHYAVEHCDSKITTELLDLALADVNHRNPRGYTVLHIAARRREPKIIVSLLTKGARPADVTFDGRKAVQISKRLTKQGDYFGVTEEGKPSPKDRLCIEILEQAERRDPQLGEASVSLAMAGESLRGRLLYLENRVALARIMFPMEARVAMDIAQVDGTLEFNLGSGANPPPERQRTTVDLNESPFIMKEEHLARMTALSKTVELGKRFFPRCSNVLDKIMDDETDPVSLGRDTSAEKRKRFHDLQDVLQKAFHEDKEENDRSGLSSSSSSTSIGAIRPRR >ORGLA01G0057200.1 pep chromosome:AGI1.1:1:4061776:4064020:-1 gene:ORGLA01G0057200 transcript:ORGLA01G0057200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 6 [Source:Projected from Arabidopsis thaliana (AT2G26180) TAIR;Acc:AT2G26180] MGGSGKWVKSLIGLKKPDREDCKEKLQVPSVNGGGGGKGRKWKLWRSSSGDHGSLWRGSRGGGGHHRSASSDASDDASSAAADPFTAAVATVARAPAKDFMAVRQEWAAIRVQTAFRGFLARRALRALKGLVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKLLEARRTKLDILREAEEGWCDSQGTLEDVRVKLQKRQEGAIKRERAIAYAYSQQIEGATKCNQQPKPTSYGRLNQSGMLLKHQHFDKSNGNWSWLERWMAARPWENRLMEEHNQTNSSSPDLLSSKNCEDSFGILGDFSEPNSVKVRKNNVSKRVCAKPPVVSHHQRIKAQSISSLSTELHNDESSASSSSCFASTPISFSTFVTTEKTEDSIRARPNYMNMTESIKAKRKACNAQRTTAGKLMEDRKASGVELKVAQV >ORGLA01G0057100.1 pep chromosome:AGI1.1:1:4045884:4048986:-1 gene:ORGLA01G0057100 transcript:ORGLA01G0057100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAWPAVAAVKAKWPVSGGALSQMILGRCWGRGVTAVEPVGGDGVVRWDGAGDANRFRFDLDQPSAAAARAARPERGVSFSILYGFQEQGRGKDVVKLEEIGTAMISLEECCWEMQLQQQQKRGATQQQLVVVPIRVRKDGWASDAMLYVNVELVDANTPSENIGRTVSFRESRARTTTPAPTMRDNRKSLEAGTYHEVLDLKQLLDLADREHKVAVYSRSKRNSDSSSVSSMSSVSSSSTISISSASSSGGASPEPGLSSKRRLLPWRRRSRDFDKRSSESLSQELPMKYMDDDLAGSWETREFTSRDSETKLRTPVFFASIDQRDDSAGGESACTALVAVLAAALHANHPTMPTRPELDALIRDGSSEWRRLCDDEAHMAAFPNRHFDLETVLAARARPIAVQHDRAFVGFFQPESFASLSGAMSFDDIWREIAASAAAAGGEPGRADVYIVSWNDHFFVLKVEGDCYHVVDTLGERLFEGCDKAYMLRFDATSEMRSVSPPPSSPSPEEEVIVTGKECCREFIKRFLAAIPLREELEMERKGCADAPHRRLQIEFHFTVLKEEEQDQARR >ORGLA01G0057000.1 pep chromosome:AGI1.1:1:4038361:4045121:1 gene:ORGLA01G0057000 transcript:ORGLA01G0057000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKGSRTITKITIKGYDDRQHDEDPNSSSKVKLKKRKMSDLGPQWSKDELMRFYEAYRRHGKNWKKVSASVGGKSADTVEALYSVHRTFLSLPEREGTAMGFVALVTGHHNVSQDESKSHKGSDQTVRASGKVRKREATGQKEKEAPHAHRSYHERRTSGLSSFKKRYYGELVKNIPRHPSGKRTPRVPVIFPADMNVAHAGIPETENAINCTKKASGATNNELECSSHGSPGISESAKFVQGQTFLEAKGTGSLKKRRIEQSMEQGQTIKDEHETAMVAKEGNDLTEYQRLTNLFSPDEMLVLDVLESLVTVPSKISEPKINIPSGTLGRDDSALSHRREEGPSVKRSKQGKQVGECSASKTRNKRRKKLIAEEVPTEGVTSNHLDLPEERQVDATECALNSDLERGTVDLPESTANISTKIPDLPSQLKPEINMSRRSKRKSKSPCGSKYVVCNGADNLQARRLQHCLSSESLRRWCTYEWFYSAVDYPWFMDNEFVNYLNFANLSHLSRLTRSEWSTIRSSLGKPRRFSDHFLAAEKDKLENYRKKVRQYYALLSEDSWDSLPPDLARPFSIGQQVIVRHPSTRELCDGKVVMMEQDRYNVQFDRPDLGVDEVKDTDCMPVNWLDNLPDDLKKRSFLSNNSHNRVEVEQIPKLTSKENWDHISGEAEPSKTMHITSDEQVEIAVDIERLSNKSTSGNCGPLQPLQSVDDNVRSRGLSEHRNGHNDELDSYITSFVQMSLAQAKQMVDEAMKEISENGKSSLEETGISNEACKGPEPESAANSELPRNLIFNCIATLLAIKHFSEGRHPPPNIAGVLERACLMLRPSCAENLPIYNEIENFIAVIKNQILALVPSASSNARLPMYM >ORGLA01G0056900.1 pep chromosome:AGI1.1:1:4030466:4033978:-1 gene:ORGLA01G0056900 transcript:ORGLA01G0056900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G21300) TAIR;Acc:AT3G21300] MATAAAAAAAAAFSPLRRRLPLHHRGRRLLAVAAALSPEPPAPTPTTSPPPPPRKGYFPKRNEVLELTCEGLAFKGKGVCRVDGSTFVLLCDGALPGERLLARVRRIRRGAFAEAAKLRTLEPHRDAVDAPCPLAADCGGCKAQSLAYAAQIRHKHLQVRELLVNFGKFDPRKMESSEPDAILKPIVPCDEIFRYRNKMEFSFGTKRWMQREWKEEKDDEVVKEEKVEGDGYSLGLHAPGFFDKVLHVEKCLLQSEPADKVLAIVQETWLDPALGLTPYDVHKHVGFLKHLMIRTGRNITTGAPEVMVNFVTSCYKPELLEPLVNNITKIPEVVSIMNNVNTSVGNTSVGEEEYTLYGKPTITEMLRGLTFQISANSFFQTNTKQADVLYKLIGESAGLKGDGSEIILDLFCGTGTIGLTLARRAKHVYGYEVVPEAISDAQKNAKLNGISNATFVQGDLNKINETFGKEFPKPDIIISDPNRPGMHMKLIKWLLEVKAPRIVYVSCNPATCARDLDYLCHGVEEKDLKGCYELKTVIPVDMFPHTPHIECICVLELC >ORGLA01G0056800.1 pep chromosome:AGI1.1:1:4026434:4028078:-1 gene:ORGLA01G0056800 transcript:ORGLA01G0056800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSAIRAAAGNAASLLGPHLRSLELDASEGWGHPDDATWVEEGEFDEGGDLHLTAREAVVAWADTAAGNALREVDVADYWPQSCWRKAEALPVISHFCHSLLKLRLKNAWLSVDGLKIMPNLTHLTLEFIRLDDEDLNKLNECFPCLQILNLIGVGGLKDPKIHLHQLKTCHWEVSNVPRSLTIRAPNLVRLELKCVRPDMLILDTPSMFTLKLTVDKLGPNVQADGLVSLKNLWIESLDLKSLLQVFAENHDITTLELELPTSTNKYELFEAVKPEYLLQLFAGISEVKLAPRFSCEMTHCLMLCTSNQFRSCLRRLLFHLPPLKDVPHLAPLFNNCAPSCEVTILFHADSSNDIRQAATSVWTLRYPGIRWQWGTWN >ORGLA01G0056700.1 pep chromosome:AGI1.1:1:4024659:4025855:1 gene:ORGLA01G0056700 transcript:ORGLA01G0056700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPGSPETAELPAPMVLEPPPPPSDEVAAEEEEAGVKPDRQSSSSSSSSSSSEEKEVSKDVAVETSVVVPSVVAASPDDEAAAVASGDGGDVIKHDDEAVVRPDDWASWPQQPAQTVDDVAAATTQAAPEIQTMSQQPAAVAGFDPERIPKSIFQAKPPGSSSQAEWSVASNESLFSIHHGARPSGDLCGFYAGESRSHFDYFYDEAMAGAAGDHTSDWKLATVAEGSPGGSARSDATDGGGGAAKQKAAIDFRRHESGSAGSSSNFSFAFPILAETTTSPRKRDYGGMYHPLKKEAEQQQAASPASAFEEMTTEEERRRRRSWWCCCCGECCGCCWFACSWSSCCCCCRWRWCSRCSCSCPTFCRRN >ORGLA01G0056600.1 pep chromosome:AGI1.1:1:4022472:4023495:1 gene:ORGLA01G0056600 transcript:ORGLA01G0056600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEGRTVAAAAGGGGLGGGARTEAEGLACPRCESTNTKFCYYNNYNLAQPRHFCKACRRYWTRGGALRNVPVGGGTRNKVAPAPCTGRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVAYELPFLPPPPPLPLAAVDPDRRLLDLGGSFTSLLAPAQLHNGHFTTGFLLGTMSSAPPPPPPPPATSTPSPAPAAHPPVSDSIWAMGWPHLSI >ORGLA01G0056500.1 pep chromosome:AGI1.1:1:4017899:4020249:1 gene:ORGLA01G0056500 transcript:ORGLA01G0056500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFNLTLSRDTARPQTDRIGGILGGKEYSAWHMQFALCLANLDGLLHRCAIGAAHNSLCRVLLLHEVWEWEGEAAAAAAAAEAAAEDRALRGIWAVGLLCRWQPQPQECSRKLTISCTPLH >ORGLA01G0056400.1 pep chromosome:AGI1.1:1:4010674:4012274:-1 gene:ORGLA01G0056400 transcript:ORGLA01G0056400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLLPAAAFAGSAPPLSQVATSAAHGEDSPYFAGWKAYDEDPYHAVDNPDGVIQMGLAENQVSFDLLEAYLRDHPEAAGWSTGGAGAGSFRDNALFQDYHGLKSFRKAMASFMGKIRGGKARFDPDRIVLTAGATAANELLTFILANPGDSLLIPTPYYPGFDRDLRWRTGVNIVPVRCDSANGFQVTVAALQAAYDEAAAAGMRARAVLITNPSNPLGTTVRRKVLDDILDFVSRNDIHLISDEIYSGSVFAAPDLVSVAELVEARGGDGIAGRVHIVYSLSKDLGLPGFRVGVVYSYNDAVVTAARRMSSFTLVSSQTQKTLAAMLSDEAFAGEYIRTNRRRLRERHEHVVAGLARAGVPCLRGNAGLFVWMDMRRLLLGGGGVGGELRLWEKLLREAKLNISPGSSCHCSEAGWFRVCFANMSLDTLDLALHRISRFVDTWNGTKQQASCQQQEQQ >ORGLA01G0056300.1 pep chromosome:AGI1.1:1:3998893:4001258:1 gene:ORGLA01G0056300 transcript:ORGLA01G0056300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNDGDDVQSLYRPVHACESLKAKEPIEQTIFFVGEKIRSSQDWLLISKGRTKRKGICGPQRAGTLNSGPKIIGPKGPWASLRQKPSPIFLAGNEPKLEQKPTECHSKLPRIQEMKSPKAGFIIVSSDETDITA >ORGLA01G0056200.1 pep chromosome:AGI1.1:1:3990718:3993244:-1 gene:ORGLA01G0056200 transcript:ORGLA01G0056200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSVSIDVERISFGGKEHRVRTRYGSVSVSVFGDEDKPALITYPDVALNYMSCFQGLFFCPEAASLLLHNFCIYHITPQGHELGAAPISSDVPVPSVDELVDQVADVLDFFGLGSVMCLGVTAGAYILTLFATKYRDRVIGLMLVSPLCKAPSWSEWLYNKVLLNLLYYYGSRGLVKECLLQRYFSTEVRGNGQDPESEIVQACRSLLHERQGSNVWRFLQAINERHDLTEALKKLQCRTLIFVGENSQFHDDAVHMTTKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFLMGYGLYRPSQLDSSPRSTLNPFCISPELLSPESMGVKLKPIKTRISLKV >ORGLA01G0056100.1 pep chromosome:AGI1.1:1:3989207:3989689:1 gene:ORGLA01G0056100 transcript:ORGLA01G0056100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRDAGWQGDFGTFSFFLLLSREIYVCLFAFVQWCFCWVDGLHNPGLTRLAVLLIHGRPRSMLAVSLIAPSSYWRCFISNWPHNTQMISKLVFSVCFFVLFLRKVSLGMRCAERTNHLLKSTEINRQGDVYIGRNTSNHKSSKFGYAKVNRGIERLNI >ORGLA01G0056000.1 pep chromosome:AGI1.1:1:3987395:3988292:-1 gene:ORGLA01G0056000 transcript:ORGLA01G0056000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWWGASRHQGRKMEGRMASSSSPIPIPSLSPSSSHQRSPPLTPRLLAHACGRKVMKAISLKGVLRTEINPSLDKFTVVGDVDSRVLVQKLSKVGKIAEVMAPPPPSPAAPSEEGKKSNSNGGEKPTSPADEKSARKDEGKDGKGNKSPATAAACKQECSKCTAGKEAATRADEAGRAGGKTASSKDATTKSSGDGDKSEPAAVAVEYQYHHHYNWAEPAMVVPVHLPYFAANATPYYAGGYYPMPPPMSVLRHPS >ORGLA01G0055900.1 pep chromosome:AGI1.1:1:3984410:3984883:1 gene:ORGLA01G0055900 transcript:ORGLA01G0055900.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAGKGGRQREARGGGRRTRWEAALDDPDDDLDDDLDDDDGGPDDLDDDDPDNGDLGDDDDGAPDDDDGGLGTGHWGRRVALAEGGENACGPRLERRFWLAKVGQRGEKFGQPVS >ORGLA01G0055800.1 pep chromosome:AGI1.1:1:3979067:3980603:-1 gene:ORGLA01G0055800 transcript:ORGLA01G0055800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCTGQRSLQESGGGYGGGGAGGVRLFGVQLHVGGAPLKKCFSMECLSSPSPAYYAAVAAAASNSSPTVSSSSSLVSVEEAGEKMANGYLSDGLMARAQERKKGVPWTEEEHRKFLVGLEKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQSSLTQKKRRSSLFDVIEDAEKAPSVNERLKLRHETTSVPAEMGFPALSLGISSMAQPEAMLLPPPSLTLTPSCSSPAVSSSSSEQPRKIHPSLMVAKPQVQLQLQPPDLELKISTVRQNDQPSSSPRTPFLGTIRVT >ORGLA01G0055700.1 pep chromosome:AGI1.1:1:3964088:3964459:-1 gene:ORGLA01G0055700 transcript:ORGLA01G0055700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGSAGAAAVVVRGDGSASVAEVVIRCGGSAWAVEVNARGGSRRRSSRAVDESTSASVVLINPTALRLLCPKHFARLPKDASATIAPVGHTXRPPPAGELAPSALRAAAIATSDKEVEELE >ORGLA01G0055600.1 pep chromosome:AGI1.1:1:3956043:3957224:-1 gene:ORGLA01G0055600 transcript:ORGLA01G0055600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGVSSVPPWSHLPVSGVDVLGGGGGGGGGGGDEMTPYLIAALRDYLPANDVGVGADDEEEAAAMAAAVDAYACDEFRMYEFKVRRCARGRSHDWTECPFAHPGEKARRRDPRKYHYSGTACPDFRKGGCKRGDACEYAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPAQQSSPRSVASSPLAESYDGSPLRRQAFESYLTKTIMSSSPTSTLMSPPKSPPSESPPLSPDGAAAIRRGSWPGVGSPVNDVLASFRQLRLNKVKSSPSGGWSYPSSSAVYGSPKAATGLYSLPTTPLASTATVTTASSFMPNLEPLDLGLIGDEEPVQRVESGRALREKVFERLSRDGAISGDATAFAAAATAGVGLDVDWVSDLIN >ORGLA01G0055500.1 pep chromosome:AGI1.1:1:3935964:3936931:-1 gene:ORGLA01G0055500 transcript:ORGLA01G0055500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDREAVKRGPWSPEEDDALRDYINRHGTAGNWISLPNKAGLRRCGKSCRLRWLNYLRPDIRHGAFTDEEDAIIISLYSKLGSKWSTIAAQLERRTDNDVKNHWNTKLKRRLAAAAACTPLLPLPAPPPLAATHTSPSSSLLLLPPLAVPTVKTEAYTCDDFLQQLPPTATAATALRDPFADGAATDGGSTSASAASSGSNWSADTGVVVVGGGGGGLFPEFCMSSDDLAGAATAEDDHFIGGGYYYPLDPSLSSSLV >ORGLA01G0055400.1 pep chromosome:AGI1.1:1:3927850:3930833:-1 gene:ORGLA01G0055400 transcript:ORGLA01G0055400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGFVCSIRHVEGFMASPHSQEVKRWVLSDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEYALKGELYKELQRCKHFSERRSATYIASLARALIYLHGKHVIHRDIKPENLLIGSQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDYHVDIWSLGILCYEFLYGVPPFEAKEHSETYRRIVKVDLKFPLKPFVSPAAKDLISQMLVKNSAHRLPLHKLLEHPWIVQNADPSGVYRG >ORGLA01G0055300.1 pep chromosome:AGI1.1:1:3923358:3926687:1 gene:ORGLA01G0055300 transcript:ORGLA01G0055300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:I1NL13] MEAVGVAPAPAGVPEKKLLEVKESRKAAPAAPSTSMAAKWAMKKKLVGGDAGYVLEDVPHLTDYLPELPTYPNPLQDNPAYSVVKQYFVNTDDTVTQKIVVHKTSARGTHFRRAGPRQRVYFQSDEVNAAIVTCGGLCPGLNTVIRELVCGLYDMYGVTSVVGIEGGYKGFYSRNTVALTPKSVNDIHKRGGTVLGTSRGGHDTGKIVDSIKDRGINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIEKRLKDNGHMVIVVAEGAGQDLIAKSMNFVDTQDASGNKLLLDVGLWLSQKIKDHFKKKRNFPITLKYIDPTYMIRAVRSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVEHLKHDDDEHHLHNTQLLEGESSPVKDSSKCNGTAAPV >ORGLA01G0055200.1 pep chromosome:AGI1.1:1:3909187:3914054:1 gene:ORGLA01G0055200 transcript:ORGLA01G0055200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAASGLGAIKRHGLDGQMLNVAIRCASTSVAQRSSGGFWTWLTGARSNALPPPDFTLPGVTIPPPLPDLVEPGKTKITTLANGVKIASETTPGPSCSVGVYVNCGSVHEAPETLGATQLLKKMAFTTTTNRSHLRVVREIEAVGGNVKTSANREMMSYSYAALKTYMPEMVEVLIDCVRNPAFLDWEVKEQIMKLKAELAEASSNPETFLLEALHSTGYSGALATPLIASESSVSRLNTNVLEYFLAENYIAPRIVLAATGVDHDELVSIAEPLLSDMPGVTGPAKPKSTYVGGEYRRTADSSNTDVALAFEVPGGWLKEKEFVTVSVLQTLLGGGGTYSWGRHGKGLHSSLNHLANEFDQIRSIAAFKDVHSNTGIFGIHTSTDAAFVPKAIDLATRELTSLATPGKVDQTQLDRAKATAKSAILMNLESKASATEDMGRQVLAFGERKPVEHLLKAVDGVTLKDITALAEKIISSPLTMASHGNVLNVPTYDSVSGKFRSK >ORGLA01G0055100.1 pep chromosome:AGI1.1:1:3907509:3907748:-1 gene:ORGLA01G0055100 transcript:ORGLA01G0055100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEQENSLALSVCYASQLGCSLHPENRPPYTVLLSVYIQLDVEAGFNPFSKNTVPFGGVHLEQNRFIFLLFKLLLLIP >ORGLA01G0055000.1 pep chromosome:AGI1.1:1:3897803:3903591:-1 gene:ORGLA01G0055000 transcript:ORGLA01G0055000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSPTLLALSFALLLLILLLYYLSSLLYSQLATRRRLTHACARSLPDAYESGMNRGHISSSELIDAKLEERRISTAKHCPSCGNKLDCKPDWVGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMVSGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSDRGGGAAATASAVTTAAVQQDQQRRRDSGSGSCSSTRDHEVSATSYSTAGYAVAAAVEMQHLKHAADHFSFAPFRKSFEEVGISGDQVHSNQLGRSEQQHAGQEQQPHRPLLATTTAVPATAFLISRPTNPVSNIVPPAMQHASVVLDHDQFHVPAILLHHDKFQQQQQKLDRRSAGLEELIMGCTSSSTKGEASIPHSQETEWPYQPYWTPDNQDHHG >ORGLA01G0054900.1 pep chromosome:AGI1.1:1:3890004:3891218:-1 gene:ORGLA01G0054900 transcript:ORGLA01G0054900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRLVSFLAVAAALATTCHGWGGGAGDVVSSSAAALSFVDRLRQMMIPAAVGDGDYCDSWRVGVEANNVRGWTATPRKCDNYVENYMRGHHYRRDSKVVVDEAAAYAEAAVLSGDPAADANATWVFDVDETALSHVKFYKNHGFGYHRTDEPAFMEWLIAGRASALPNTVTLYKKLLLLGVKIVFLSDRPDTPELRNATATNLIKEGFDCWDELILRSENSTATGSVVEYKSGERKKLEEEKGMVIIGNIGDQWSDLLGSPEGRRTFKLPNPAYYIDNYKRAGAAVAITASSSSSSS >ORGLA01G0054800.1 pep chromosome:AGI1.1:1:3883511:3884422:1 gene:ORGLA01G0054800 transcript:ORGLA01G0054800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFISAYLMAYLAGNSSPTAEDLTTILESVGCEIDNAKMELLLSQVSGKDITELIACGREKFASVPSGGGGVAVAAAAPAAGGAGGAPAAEAKKEDKVEEKEESDDDMGFSLFD >ORGLA01G0054700.1 pep chromosome:AGI1.1:1:3879320:3881233:-1 gene:ORGLA01G0054700 transcript:ORGLA01G0054700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETILVGDDLMREPPSPVIPKEIASHVLQGVEPCDGILRNLFLCLQINDIEPFCQDEIVFYRQCAAKRDKEIREKMVDSEYKLGISMPLEEGKERTTQLQLEVTLLERRMILASGLEGMEGFCQRWSLHGQLEDTRKRMEALVRGMEKGGSQNSQAQGS >ORGLA01G0054600.1 pep chromosome:AGI1.1:1:3874435:3875645:-1 gene:ORGLA01G0054600 transcript:ORGLA01G0054600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTRDNLHDKINQQTNRPKIHNYSAMDTVGWDENTSGNTGPLDLSAEGDNGNEPLFNDTDGNRGRDGICPSHDKWYLQFILTHTVPVHFLSITL >ORGLA01G0054500.1 pep chromosome:AGI1.1:1:3860417:3862134:1 gene:ORGLA01G0054500 transcript:ORGLA01G0054500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRSLWGGGGGKKEQGREHGRTAAAPPPPDRKRWSFAKSSRDSTEGEAAAAAAAVGGNAAIAKAAEAAWLKSMYSDTEREQSKHAIAVAAATAAAADAAVAAAQAAVEVVRLTSQGPPTSSVFVCGGVLDPRGRAAAVKIQTAFRGFLAKKALRALKALVKLQALVRGYLVRRQAAATLQSMQALVRAQAAVRAARSSRGAALPPLHLHHHPPVRPRYSLQERYMDDTRSEHGVAAYSRRLSASIESSSYGYDRSPKIVEMDTGRPKSRSSSVRTSPPVVDAGAAEEWYANSVSSPLLPFHQLPGAPPRISAPSARHFPEYDWCPLEKPRPATAQSTPRLAHMPVTPTKSVCGGGGYGASPNCRGYMSSTQSSEAKVRSQSAPKQRPEPGVAGGTGGGARKRVPLSEVTLEARASLSGVGMQRSCNRVQEAFNFKTAVLSRFDRSSEPAAERDRDLFLQRRW >ORGLA01G0054400.1 pep chromosome:AGI1.1:1:3854064:3856547:-1 gene:ORGLA01G0054400 transcript:ORGLA01G0054400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:I1NL04] EVEVEAEKVVAELRERCATPASLLWDVAAAMAGEMGAGLEKEGGSRVKMLLSYVDKLPTGREEGLFYGLDLGGTNFRVLKVHLGGSKKHVVNSESREVSIPPHLMSGTSSELFGFIAGELGKFVAEEEEGTDMPNGKKKELGFTFSFPVRQRSVASGTLVKWTKAFSIDDAVGEDVVAELQTAMVKQGLDMHVAALINDAVGTLAGARYYDEDVVAGVIFGTGTNAAYVEKANAIPKWEGELPNSGDMVINMEWGNFYSSHLPVTEYDEALDKESLNPGEQIYEKLTSGMYLGDIVRRVLLKLSLQSGIFGSIDNSKLKTRFHLRTPHISAMHHDETPDLKIVAEKLHQILEITHTSLETRKMVVEICDIVARRAARLAAAGVAGILMKLGRNGGIDNQRSVIAIDGGLFEHYTKFRECLESTLGELLGEEASKSVAVKHANDGSGIGAALIAASQSR >ORGLA01G0054300.1 pep chromosome:AGI1.1:1:3851184:3852235:1 gene:ORGLA01G0054300 transcript:ORGLA01G0054300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1NL03] MAWRRGFGEEDGAAAAGESGLELCLGLPAYFSSSSSSKPSEGSTAAPAFALRSNGTNASKPSRAAAAVPVVGWPPVRSFRRNLASSSSSSSKQAPPPPSSSPQNGDKASKDGGAEKGMFVKINMDGVPIGRKVDLTAYGGYAQLSAAVDKLFRGLLAAQSAAADGEADAAAAGEMVGGGEYTLVYEDDEGDRMLVGDVPWQMFIATAKRLRVLKSSDLPPPSLMRAAGSRKRAAADS >ORGLA01G0054200.1 pep chromosome:AGI1.1:1:3834971:3836981:1 gene:ORGLA01G0054200 transcript:ORGLA01G0054200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G21360) TAIR;Acc:AT3G21360] MVAPAGSFFQEAALPEQRLVEGVAFPAVLVPSDAATAAGGGLDAFLDAVRSERASTVEPLLRGAGAVLLRGFPARAAADFDRAVDAFGYPELPYVGGAAPRSNVVGRVFTANESPPDQKIPFHHEMAQVPTFPAKLFFFCEVEPKSGGETPIVLSHYVYKRMKEKYPEFVEKLEKDGLIYTRVLGEGDDPSSPIGRGWHSTFLTRDRSIAEERAAKLGMKLEWTEDGVKTIMGPIPAVKWDESRGRKIWFNSMVAAYTGWKDARNDPVRAVTFGDGSPLPADVIAGCGEILEEECVAVPWRQGDTLLIDNWAVLHSRRSFEPPRRILASLCKNPE >ORGLA01G0054100.1 pep chromosome:AGI1.1:1:3830188:3833158:-1 gene:ORGLA01G0054100 transcript:ORGLA01G0054100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPTTETETGTGTRSHRRRKGSSDGSRAVHRADASRRGPTPTKETEIPGRPPKRSSSTLSLDDAGASNPASTWAILNRYGARRDSFRGDDRDRTTSAVSYTSGGDQISVSFELVKPPETSLLTLDWPQGPRPSAGTTSYPYVIAAHDNVVLFQIISPDKCARPSAIDYFVYNASRSSSSNHHPSLTRLPVSYWRRGDTIRPRIMSREGTCILSCSSKNSSSFVVAELERRSCQSSETNVYLFASGSDDWRVFRNVPIRHGDALAHLCCWTTDAVLSCHDRYMIWVDYLVAGMIVANVEHPGRVDPPEPVLWYVPLPVDPVADIDRGRGCPQASRSLCATHHGIKFVNVNQHGGSSSRSFSITLWSWHEDQTWREDATLDAAQLWELDSENRLPNVRPEFPVVDMENPYAVCFLLNERYHTADPNATTWMIKVHMKKKVLLDCTGYSNKGSSSTARRMSEGLSFISSEMPSYLSGKTIKRFLEGPLWPATHDAIEIEENGKMGFPKKQKKYTVPHQHRSRERCTGLPSCIPKENRDGPGPTCVCYAI >ORGLA01G0054000.1 pep chromosome:AGI1.1:1:3822935:3824695:1 gene:ORGLA01G0054000 transcript:ORGLA01G0054000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGAQLRATGRIGLEPKRADLVPVHSFERAHTHVVAYQKRGSDRCIDARMSVSSIGSSSLACHGASAVGYGLMHGQTIKAKKPVMQSRLSSPDSHERNHTTTMGWTPPPMGWVKANVDGSFIQSSEAASAGIVIRDHTGSVLLTSWRIISHCGSAEEAEATACWEGVNLAAEWVKKPLILETDCANLKIRRERNRVAHDLAKFAMRTNHSAVWRMQAPSCVFGALANDCNHIDE >ORGLA01G0053900.1 pep chromosome:AGI1.1:1:3814779:3819633:-1 gene:ORGLA01G0053900 transcript:ORGLA01G0053900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:I1NKZ9] MLSARAAATAAAAAASPLWKRGEGGSSGSGSGCTSCREVRRRAAAVRVRAAAPRRVEAVAMESAAETEKEEVAAAGGGVEDMATEEVPVTPWAFSVASGYTLLRDPHHNKGLAFSEKERDAHYLRGLLPPAVVSQDLQVKKIMHNLRQYSVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRQPQGLYVSLKDKGKVLDVLRNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEQLLNDEFYIGLRQRRATGKEYHELMEEFMSAVKQIYGEKVLIQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLLSSLKVVGGTLAEHTYLFLGAGEAGTGIAELIALEISKQTKAPIEECRKKVWLLDSKGLIVNSRKESLQAFKKPWAHEHEPVTTLLDAVQSIKPTVLIGTSGVGKTFTKEVIEAMASFNERPVIFSLSNPTSHSECTAEEAYNWSQGRAVFASGSPFDPVEYNGKIHVPGQSNNAYIFPGFGLGVVISGAVRVHEDMLLAASETLADQATQENFEKGSIFPPFTNIRKISARIAASVAAKAYELGLATRLPQPRDLEKYAESCMYTPVYRSYR >ORGLA01G0053800.1 pep chromosome:AGI1.1:1:3811738:3812805:-1 gene:ORGLA01G0053800 transcript:ORGLA01G0053800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPAASSAMQWSIDLDRALRSRHSATRLRAVDAVAPRLRELGASPPAAVPPAVASALGVLPSEPRLFAHTILLRLATHFATADNAVRARILRALLLLGLDGSLPMTMACVAAEPQHQVAVLARVKAAYDAGCPRARALALRMFGCLAHLAHHSLHLRSLILSTFSSSNAPQVKAALFAAACFSRLSVDFSYITLEALRRLISSPTSQPQIIMAAIKIFPKLDCTLAVIHRVHEVFMDIRVSCLTSFFNLFLFTLKLPNICIAFSLLRIHCFNNTPYAYQSCAHTPRNHQGKKI >ORGLA01G0053700.1 pep chromosome:AGI1.1:1:3807311:3808300:-1 gene:ORGLA01G0053700 transcript:ORGLA01G0053700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSGILEKDSYRLCKFAVAYLLRLRGDTGGIATGEDSVSPLHEGMQFLSNILQRVMELPFVLPKYFFRVRPCFGAELHLYDSNPENRDGISVPSGFQLSLTLCLQWKCVLERSDIDISKLYCVLAASSASSCLDVTGTRSKQFEIRKKTAGMVGLNTKLMQFVQNDLGKKREKKRRKKVHVEQKDMVTAFARFEASDSGVGFSSCLLDVSEFPQGSYKMKWHACCIDKDGAYYSLLPLNDGTAFSVRSSPMQ >ORGLA01G0053600.1 pep chromosome:AGI1.1:1:3802150:3806181:1 gene:ORGLA01G0053600 transcript:ORGLA01G0053600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSISRTNLNCISLGDPDTKKSVALLKQACLDSGFFYVVDHGISQELMDDVFAQSKKFFDLPINEKMELLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGIEVPEDDPQANRPFYGPNQWPSDEVLPRWRKVMEQYHSEALRVAKSIARIIALALNLEEDFFDKPEMLGEPIATLRLLHYEGGQKTGHAFLPFSILLHKKHNEFCRLMFLFSSGKVSNPAKGVFGAGAHSDYGLITLLATDDVVGLQICKDRNAQPQVWEYVAPVKGGFIVNLGDMLERWSNCIFRSTLHRVVLDGRERHSIAFFVEPSHDCVVECLPTCKSESNPPKFPPITCSAYLSQRYEDTHADLTAYSSNKA >ORGLA01G0053500.1 pep chromosome:AGI1.1:1:3789488:3793508:-1 gene:ORGLA01G0053500 transcript:ORGLA01G0053500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16350) TAIR;Acc:AT3G16350] MTRRCSHCSNNGHNARTCPARGGGGGGGGVRLFGVRLTSPPEVAMKKSASMSCIASSLGSGGGSGGSSPAGTGRGGGGGGEGAAGYASDDPTHASCSTNGRGERKKGTPWTEEEHRMFLMGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQTNSSRRKRRSSLFDMVPEMPMDESPVVVEQLMLHSTQDEATSSNQLPISHLVKQKEPEFARHLSDLQLRKHEESEFTEPSLAALDLEMNHAAPFKTKFVLTMPTFYPALIPVPLTLWPPNVANVGDSGTNHEILKPTPVNGKEVINKADEVVGMSKLTIDDGSSNSIEPSALSLQLTGPTNTRQSAFHVNPPMAGPDLNKRNNSPIHAV >ORGLA01G0053400.1 pep chromosome:AGI1.1:1:3784341:3786820:-1 gene:ORGLA01G0053400 transcript:ORGLA01G0053400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYLLIAALIASSHALAAHGAGGGVPVAAAAPLPFPGDLAASGKLRTDPNATVPASMDFGNITAALPAAVLFPGSPGDVAELLRAAYAAPGRPFTVSFRGRGHSTMGQALAAGGVVVHMQSMGGGGAPRINVSADGAYVDAGGEQLWVDVLRAALARGVAPRSWTDYLHLTVGGTLSNAGVSGQTYRHGPQISNVLELDVITGHGETVTCSKAVNSDLFDAVLGGLGQFGVITRARVAVEPAPARARWVRLVYADFAAFSADQERLVAARPDGSHGPWSYVEGAVYLAGRGLAVALKSSGGFFSDADAARVVALAAARNATAVYSIEATLNYAANATPSSVDAAVAAALGDLHFEAGFSFSRDVTYEEFLDRVYGEEEALEKAGLWRVPHPWLNLFVPGSRIADFDRGVFKGILQTATDIAGPLIIYPVNKSKWDAAMSAVTPEGEEEVFYVVSLLFSAVANDVAALEAQNRRILRFCDLAGIGYKAYLAHYDSRGDWVRHFGAKWDRFVQRKDKYDPKKLLSPGQDIFN >ORGLA01G0053300.1 pep chromosome:AGI1.1:1:3778693:3781477:1 gene:ORGLA01G0053300 transcript:ORGLA01G0053300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKIGCMITHGPWIFVGITKSVEAWNTQTGMKSSLHGPSGLVCSMTIKDEMLFAGTGDGRIMAWKIPDKKGDSGPVAILSGHERQVISLGVSATRLYSGSLDKTIKVWDLKTLQCVQTLSEHKAAVTSVLCWDEKLLSCSLDKTVKIWAASKSGDLQVIYTHSEEHGVRTLFGMHRVGKTPVLFCSLHNSNCIRLFDLPSFDEMGKLFSKKEVRTIELAAGGLLFTGDAAGELKVWRWAPEEEPATPALVKSSMQKHCSVVE >ORGLA01G0053200.1 pep chromosome:AGI1.1:1:3776888:3777731:1 gene:ORGLA01G0053200 transcript:ORGLA01G0053200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSSLASRLGPGGPTRWSPYARAPDPGHGAGRSSVPPGGGGNSRPPLRVAPATARLLGHPARKGATAPPGSRCRAEAEAEATAASDAACPNPPRRGCEETNPNPKPKRNPKPAERGEEPPVRAGGCGGFAFLCALAGHTEAISGISLPVGSDKLYSGSADGSVRVLDCNSGKVAGLSALGTPFRLFMHDSS >ORGLA01G0053100.1 pep chromosome:AGI1.1:1:3751100:3752363:1 gene:ORGLA01G0053100 transcript:ORGLA01G0053100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRRRRDCVNLEPFFYDEAATVAEAAAAAERREREEQEKAREAAANARRWAAHNAALAGIREYDPAEETYIYTRYHYADLSEFDLDEESRLPPMRHTAATYAPPARALHFLCDMINVLAVCIILPSSDRSDGGGVGFPISVYGSVIARDQLDYKCVHLFRRCRDDPQLITSEDELSLILTGPHRGLVLYDALYIEVDLKMKVKGDQQQGCKDKRLSKGLIVLDGVLLSTNLSDHLRAAVKTATLDRRSTMPCAVQVTYAYVTRAVEATVSVELLHDQGG >ORGLA01G0053000.1 pep chromosome:AGI1.1:1:3747712:3748806:1 gene:ORGLA01G0053000 transcript:ORGLA01G0053000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGRGGGRRLWVKERDSEWWDMVSSPDYPDSEFRKAFRMSKATFEVVCDELAAAVAKEDTMLRAAIPVRKRVAVCVWRLATGEPLRLVSKRFGLGISTCHKLVLEVCAALKAMVMPKVVRWPEAGDAAAIAAHFEAISGISGVVGAIYTTHIPIIAPKSNVASYYNRRHTERNQKTSYSMTVQCVVDSTGAFTDVCIGWPGSNSDEEVLEKSALYLHRGVPGLIQGQWVVGGGSFPLMDWMLVPYTHQNLTWAQHMLNEKVAAVRGVARDAFERLKRRWGCLQKRTEVKLLDLPTVLGACCVLHNICERSGDAVVDADDCAFDLFDDDMVAENAVRSTAAAQARDAIAHNLLHSGGGASFF >ORGLA01G0052900.1 pep chromosome:AGI1.1:1:3735577:3740872:-1 gene:ORGLA01G0052900 transcript:ORGLA01G0052900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMADAAPAPAVAAAATAEPLAAVAEEGEEGGEAAVGSTLTMERVAAAKKFIENHYRSQMKNIQERKERRFRLERQLESSQVPREQQINLLKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSSNIYAMKKLKKSDMVVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDSEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMGDDNLRESMDIDSSFSETTNGRRWRSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLKFPEDSKVSPEARDLICRLLCDVDHRIGSAGADQIKAHPWFRGVAWEKLYEMEAAFKPQVNDELDTQNFMKFEELPNCSK >ORGLA01G0052800.1 pep chromosome:AGI1.1:1:3730813:3731556:1 gene:ORGLA01G0052800 transcript:ORGLA01G0052800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISGVFRPALDRIRPSIASAAVFSFSRVVSFTGSFNSRTGLLSSGDGEEETPSSQAHDATASTSSTDGGGVFDIEAAASTTPERAVRDDDGGGGGGDADEPKRVSKIVQTVCLFVASASLAMSVNLPASGAGGALYGATLAFVCLGLFTSLGLSMYTIVSRPGDAAVARVQKWAMVLAMASVPASFTLRLCATLPAETLESAWLIFFLLAGAAALYLTLAWKLAGGVRAQHRSVDEEPQVAGDHV >ORGLA01G0052700.1 pep chromosome:AGI1.1:1:3722041:3722493:1 gene:ORGLA01G0052700 transcript:ORGLA01G0052700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAVLSGVGQAKSVVSATTQTKTESPPPQPQPQPDVAAEMEEYYWDRRRPMSLDPPELRDGLRRLREAERAMGVDERAAAAVFARPGQKRAVSEIPEGWSAEWDDSLEMVKRYKCNYWENPNFADLLRDHGPLFARAAAAMNDMERCD >ORGLA01G0052600.1 pep chromosome:AGI1.1:1:3718346:3719095:1 gene:ORGLA01G0052600 transcript:ORGLA01G0052600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSSSSTAVPEEIEQWLVLGKQALWVEDFSGTCQRECFCASCFHAFCTHCCWFHHEPTIHMVFPVAADAAGRPVYATHGPDGCRVHPDFVEDVLAAQDYATRLPWDAFCLLCRTAFAAAACPDHHRHHHDPSLPDAVLRVERRGGRHCVRCTGSEWWFPYVEQILDDPVEDDGDELLLPVMTRRPGSCKQCGDPDTGYLIAVCSSSCSESYRRDLAGRRQRREVRQAARAAAGDQAKQLIDGLRISNY >ORGLA01G0052500.1 pep chromosome:AGI1.1:1:3712774:3715739:-1 gene:ORGLA01G0052500 transcript:ORGLA01G0052500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAS/LOV protein B [Source:Projected from Arabidopsis thaliana (AT2G02710) TAIR;Acc:AT2G02710] MEGAEAEERRLAASLTARYSDWVVEALDELPGSFILTDPAMPGHPIVYASRGLASLTGYPPREVLGRNARLFQGADTDRAAVAGVREAVRGQRPHQVSILNYRRDGEAHWVLLHLAPVFHASDGRVLHFLAVQVPIAPPASRRRTPPCRAARRPVVFAACREEARVEEECPCASHAGEVFVDVDKRGQDAEEPRVASEHEKEKALSTANSIFSALNRYSKLTGLVVCGKRCDSVGIPALSSSLNLSLGRIKQSFVLTDPHLPDMPIIYASDAFLSLTGYSREEILGCNCRFLNGPGTSVEVLEQINQHICSEQACTVHLLNYRKGGSSFRDLLHISPIRNASGKVAFHVWVHLDEGAKYDFNGLTPEVWQLGAVGAVRVAVRSLSASGSLLRPSQ >ORGLA01G0052400.1 pep chromosome:AGI1.1:1:3704186:3704845:-1 gene:ORGLA01G0052400 transcript:ORGLA01G0052400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGLCHETSYAYSYPASNTSSSLCFPPLMADHIVDGGGGGGCSFGEFLELGHSVYSLPLPPPPSQPVVVAGGNNDQYGVSSSAAAAATTSRIGFRTRSEVEVLDDGFKWRKYGKKAVKSSPNPRNYYRCSAAGCGVKKRVERDGDDPRYVVTTYDGVHNHATPGCVGGGGHLPYPTSAAPAWSVPAAAASPPPAHAQAWGAPLHAAAAAHSSESSF >ORGLA01G0052300.1 pep chromosome:AGI1.1:1:3703257:3703712:1 gene:ORGLA01G0052300 transcript:ORGLA01G0052300.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFAETGTGGWGFVARDSKGSFLEGGAGSLSWMGNALHVEALAMMKSLEKVSQQGMTKIEVKTDAQMLGKALISQDLNRRPDGVIFRKLRAFLRSNFDVFSISVFPRSCNKVADCLASFGVGIVATSSKCFWSQAPTFVSPLVSGDLPGDTG >ORGLA01G0052200.1 pep chromosome:AGI1.1:1:3697944:3699899:1 gene:ORGLA01G0052200 transcript:ORGLA01G0052200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVESPPVRDEKKVDVAAIGGAPPIVFESFAPSTQRDSTIIKKEEKMEAAKAEMGEVREENERLKTMLTRIVSDYKSLHTHFLDVVKVKEQTAAEIAGDDDDHEPDDLVSLSLCTRPNAAAARRKGHERTPSSGDGGDDGRLSLGLSCARGGVASDDDDDKQASRRALPPMPVLNLSSDSSGDAAGAGAGEPTQPNKASRSSSGGGDGADDEVLQQQQAKKARVSVRVKCDTPTMNDGCQWRKYGQKISKGNPCPRAYYRCTVAPNCPVRKQVQRCADDMSILITTYEGTHSHPLPPAAAAMASTTSAAAAMLTSGSTTSTMHSGGGVHHHLPFASAVGGGGGVGLLGPTTISTATSCPTVTLDLTAPHSLLHPSSASPYAAAAAAYESSRALPAAWSSGYLAYGGAAAAQPYYAKGVAPSPFGHHFGMMGMAAAAARPAPEQLFGGQTTSPYLQRAIGGGGVAPAAVTDTIAKAITSDPSFQSVLAAAITSYMGRGGGAAAPNK >ORGLA01G0052100.1 pep chromosome:AGI1.1:1:3687299:3688612:1 gene:ORGLA01G0052100 transcript:ORGLA01G0052100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSLHNFYQGVSLFLFVLSIDAQLQGARLAKVKVDGTLNAPCATSVLYPEDGGNLHCFTAHTACAVLDVLGPPYDDGSGRHCQHYNVSSSAPSAGDSKPLPGDDGYAWLEECEPPDNFHLVGSTYMGPRIVDN >ORGLA01G0052000.1 pep chromosome:AGI1.1:1:3684786:3686932:1 gene:ORGLA01G0052000 transcript:ORGLA01G0052000.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCRGSSRRAARSSVPPTARCPRPPGSRGSSPFLIAFQRQMSA >ORGLA01G0051900.1 pep chromosome:AGI1.1:1:3676406:3681503:1 gene:ORGLA01G0051900 transcript:ORGLA01G0051900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPPPARSLADLDGDVLAHCARSLVARDVASLAVACRPLRASAYCDAVWYRLFRNQWPSQQVPREALGLRELYIRRHTEVHQMKFVDPISAFYYPNPTGVTPSHLMLDRNYIWLSQGLMVRRLRVDCPEIELVETYKSHGARITCMRLFPLIDIPLFRGDSQTNEKALVTSSADRTVRLCWKGHSRCFRGHSGPVTALSDKLLGDGEFKLLASGGEDCTVRLWSMSTRGKNHPLISTFHGHEKALSLLSVARHKPSLLVSCSKDSKVKLWDTMAPPSSGSSSCVGSTHLSTNSAPIAVKCHESLCYIAAGSEVTTIDLRTMKKASVLALRDHRILSCEMLPSEWLICTGIKNKALLWDIRKSQELPNIVAELQSESDEPVTFLHLDPYKVITGAPSDGQVHVWETQTGHFANTLSCGEPAKSADRSTLSAMAVDGCRIAMAGSSAEVDMVHYRDFLMSSVPVSLPGNSKEVSRFWGPQEYSDDEDEDEDDSDDEDDSDEEDHDHAWLVD >ORGLA01G0051800.1 pep chromosome:AGI1.1:1:3666096:3670353:1 gene:ORGLA01G0051800 transcript:ORGLA01G0051800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGSFKVTRISEGAVKPAAATPEETLPLAWVDRYPTHRGLVESMHIFRSGADAAPGVIRDALARALVFFYPLAGRIVEPEAGSPAIRCTADGVYFAEAAADCSLEDVRFLERPLLLPQGGPRPLPRRRPLGRRAPQHHHDDAGNLALALQITKFTCGGFVMGLRFNHASADGMGAAQFINAVGDMARGLPEPRVKPVWDREKFPNPSIKPGPLPGLPVLALDYIVLDFPTGYIDGLKAQYKAHSGKFCSGFDVLTAKLWQCRTRALNLEPGATVKLCFFASVRHLLKLDRGYYGNSIFPVKMSAPSETVLSSSVMEVVDMIRQAKERMAVEFFQFAKEETEQDPFQMTFNYESIYVSDWSKLGFAEVDYGFGPPKFAGPLVNNDFIASVVILKAPLPLDGTRMLASCVTKEHSEEFVRGMKEDLP >ORGLA01G0051700.1 pep chromosome:AGI1.1:1:3652413:3658259:-1 gene:ORGLA01G0051700 transcript:ORGLA01G0051700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGGEGDKSAALPLEALLALGLDQRTAENALVNSKVTANLAAVIAEAGISGCDKTVGNLLYTVATKYPTNALVHRPVLIDYIVSTKIKNPAQLDAALSFLTNTGPDSLDTGKFEEACGVGVVVSIEEIKSTVNEVLKHNMEAILEQRYHINVGNLCGRVRKMHPWGDAKATKDEIDKKLAEILGPKTDADNVKPVKKKKEKAAKVEEKKAAVVTAAPPSEEELNPYSIFPQPEENFKVHTEIFYSDGNIWRAHNSKEILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVHWMGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLIAEGAATLRMKQDMQNDNKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSLENITHSLCTLEFDIRRPSYYWLLVALGLYQPYVWEYSRLNISNTVMSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTASRAMVVLNPLKVVITNLEDEKVIDLDGKMWPDAPADDASSYYKVPFSRIVYIEKTDFRLKDSKDYYGLAPGKSALLRYAFPIKCTEVVYGDNPDEIIEIRAEYDPSKTTKPKGVLHWVAQPAPGVEPLKVEVRLFDKLFLSENPAELEDWLGDLNPNSKEVIKGAYAVPSLATAVLGDKFQFERLGYFAVDTDSTPENIVFNRTVTLRDSYGKAGPK >ORGLA01G0051600.1 pep chromosome:AGI1.1:1:3646862:3650840:1 gene:ORGLA01G0051600 transcript:ORGLA01G0051600.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPSGMAGSVVIRDGRFADFVAGLQEALRDNDGGGVIDQIEEAVKKATMEFNQMISQPVTVITVDPAVVTTLIAPAAAAGALIYGYMRWKGISIASLMYVTKQNMANAVASMTKHLEQVQSSLAAAKRHLTQRIQHLDDKLDQQKQISGQIKEEVTGARLKLQDIGSEMQKIKQVAHGLGGKLDSIEAKQNYSLAGVMYLVEFIEQNGGRLPRSVEHLQRTARLSGITGDQKQLQGLGQLLAIESATPVGSGLHCTSARLFKAVA >ORGLA01G0051500.1 pep chromosome:AGI1.1:1:3637785:3643852:1 gene:ORGLA01G0051500 transcript:ORGLA01G0051500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 [Source:UniProtKB/TrEMBL;Acc:I1NKX5] MTDGHLFNNILLGGRAGSNPGQFKVYSGGLAWKRQGGGKTIEIEKSDLTSVTWMKVPRAYQLGVRTKDGLFYKFIGFREQDVSSLTNFMQKNMGLSPDEKQLSVSGQNWGGIDINGNMLTFMVGSKQAFEVSLADVSQTQMQGKTDVLLEFHVDDTTGGNEKDSLMDLSFHVPTSNTQFLGDENRTAAQVLWETIMGVADVDSSEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSIVRLFLLPKSNNPHTFVVVTLDPPIRKGQTLYPHIVIQFETEAVVERNLALTKEVLAEKYKDRLEESYKGLIHEVFTKVLRGLSGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRSEYHNLFNFINGKHLKIMNLGDGQGATGGVTAVLRDTDDDAVDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPTDDSGGEDSDASESGGEKEKLSKKEASSSKPPVKRKPKGRDEEGSEKRKPKKKKDPNAPKRAMTPFMYFSMAERGNMKNNNPDLPTTEIAKKLGEMWQKMTGEEKQPYIQQSQVDKKRYEKESAVYRGAAAMDVDSGSGGNESD >ORGLA01G0051400.1 pep chromosome:AGI1.1:1:3634483:3637404:-1 gene:ORGLA01G0051400 transcript:ORGLA01G0051400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14240) TAIR;Acc:AT5G14240] MADYHFVYKDVEGASTEWDDIQRRLGNLPPKPEPFKPPAYAPKVDADEQPKSKEWLDAREPDELEDLEDDLDDDRFLEQYRRMRLAELREAAKAAKFGSIVPITGSDFVREVSQAPSDVWVVVFLYKDGIPECGLLQTCLEELATRYPATKFVKIISTDCIPNYPDRNVPTILVYNNSAVKGTYVGLHKFGGKRCTPESVALALCQSEPVLNDGQSGGDSSRDNVIEGVRRKFIEKVVAQHEEREEDDDSD >ORGLA01G0051300.1 pep chromosome:AGI1.1:1:3633405:3634047:1 gene:ORGLA01G0051300 transcript:ORGLA01G0051300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVAASPDESLTAPLLEPAASPRDGPSVEVRLYRRGAGPVAVFRSALVGPRRDRLQVRAIQAEHGLRALFAFKPESSLRGLRIRSGPAAAAGCSAVPFRDGAVIALDGEPKGSWTKPAAVIVAGVLVPAVMVAVAVKGVPEPLRSSRVVNAVFPPWILASAVIIYARVRTRPRAAAP >ORGLA01G0051200.1 pep chromosome:AGI1.1:1:3629607:3630032:-1 gene:ORGLA01G0051200 transcript:ORGLA01G0051200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALHIDGDDVVLDVGNLALAGQASGGGGGDDGDAADNALMRLPRALGAVGVLTGAMAAAAAVYGSPPAGTVLARGGGMGYYVGLGGAFAAGVAEVWAAMWMAGDCPGRSAIGTRLLCFAVVPFLIVVSLGGFSVHVKN >ORGLA01G0051100.1 pep chromosome:AGI1.1:1:3624555:3628590:-1 gene:ORGLA01G0051100 transcript:ORGLA01G0051100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RH39 [Source:Projected from Arabidopsis thaliana (AT4G09730) TAIR;Acc:AT4G09730] MAMAGAAGRCLMLTRPSPLLRLRLLRAALTTTTTAAGASASPAAVTAPTEPDAAREAPSRHELLLERLRQRHLKGVPAATPRPAQREKGRGGGGAQELQQKRRVEVVESFEELGLGEEVMAALGEMGISKPTEIQCVGVPAVLAGTSVVLGSHTGSGKTLAYLLPLVQLLRRDEAMLGMSMKPRRPRAVVLCPTRELTEQVFRVAKSISHHARFRSTMVSGGSRIRPQEDSLNMPVDMVVGTPGRILDHIKDGNMVYGDIKYLVLDEADTMFDQGFGPDIRKFLAPLKNRAAKPGDQGFQTVLVTATMTKAVQKLIDEEFEGIVHLRTTTFQKRVATARHDFIKLSGSENKLEALLQVLEPSLAKGNKVMVFCNTLNSSRAVDHFLTENQISTVNYHGEVPAEERVENLNKFRNEEGDCPTLVCTDLAARGLDLDVDHVIMFDFPSNSIDYLHRTGRTARMGAKGKVTSLVAKKDVTLATRIEEAMKKNESLEALTTNNVRRAAVNPQYPSTKGRPSAIKVVNQKGRRGVALQTKSSRVVKDTTSSRRRSPIKSQPRSKSTSSGKAKPVRSAKPSKSSSPSLKVAKSRPRPEGRKGDALNKLGSKLSVVGFRGRSSGKSAQAS >ORGLA01G0051000.1 pep chromosome:AGI1.1:1:3621793:3622293:-1 gene:ORGLA01G0051000 transcript:ORGLA01G0051000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAMFGLETPLMTALQHLLDIPDGEGGAAGKQGATGGPTRAYVRDARAMAATPADVKDLPGAYAFVVDMPGLKSSDIKVQVEEERLLVISGERRRGGGEEEKEESCKYLRMERRMGKFMRKFVLPDNADVDKISAVCQDGVLTVTVEKLPPPEPKKPKTIEVKVA >ORGLA01G0050900.1 pep chromosome:AGI1.1:1:3617466:3620527:1 gene:ORGLA01G0050900 transcript:ORGLA01G0050900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex II protein family [Source:Projected from Arabidopsis thaliana (AT5G11010) TAIR;Acc:AT5G11010] MAGASPSARAEVVVPPDWAAATATAAAASSEPAPPVVVVCGPKNAGKSTFSRLLLNSLLPRYGRVGYLDTDVGQPEFSPPGCLSFHVVDEALTDLLNPTLRECERCCFFGDISSKRDPETYLNCLFHLYDYFVEKYRSGASEPLPLIVNTPGWVKGAGFDMLVEMLRYICPTIVVQIRISAQSKNLPDGMFWLDCGQTGPNMINIDAPFHDALNRSLLIQKDSYGMRERRLIEYFKQCFPSDISLTTNKELAYALTSLPPYEVSISDVMVIHLHCQVPPSEVWHSLNATIVGLAISCGTIEAGRSIPWCAGLGIIRGIDVQRGILYVITPVPLEHLQRVDLLLQGLIEIPKSLLQVRGCVSPYMPTNVLHRISERDINT >ORGLA01G0050800.1 pep chromosome:AGI1.1:1:3613600:3614079:1 gene:ORGLA01G0050800 transcript:ORGLA01G0050800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDLSKKPQREQDGDNALLPCVIAGFLVAGHVAACTYRAAAEPRDLAFVAAAYTMLALLLYCVGRFEALAADGSSPAAAVARERLRLPVWALSTALTVLFSSRVAPMMPPPLNALVVAMSVVVTVGGFCLLFLGNAGEDDVDDDDDEDEAASDQDEEV >ORGLA01G0050700.1 pep chromosome:AGI1.1:1:3608790:3610456:1 gene:ORGLA01G0050700 transcript:ORGLA01G0050700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVPRRLEANSVGMADWAGLQTDILGVIVKKLAVPDYLRFRAVCTSWNHLCRDVFNCPRIDPWLMLPTNALNDSKFLCVPERKNQTIRLPSTVTIFGSTWIPVGSSHGWLIFYSPSHGTMQLVNPISGMQFNLPPIGRRAFSKAMLLDMNDTNFTVAVILRDQKGYKVTRKGSNSWSSVESKHDLVDIFKHRRQLYTVDIYGTVQLWAEPPRSWPDEDAPQVNDPYHNLIHYPHQHGKLNCLVESPAGDLMRVKRQSNDKFVVWILDKGTFSWEKVDNIRDFALFVSYYSSVCYRAKDHLNLKSNCVYFIDSYSNLCAFNLENRTKELVEALEPAHAHGHPEPHAVRRRPEGQRYMWLIPSLR >ORGLA01G0050600.1 pep chromosome:AGI1.1:1:3604503:3606444:-1 gene:ORGLA01G0050600 transcript:ORGLA01G0050600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVGSGSVRDLPPSKRFKYVGGSSLGMAPCLPAKKRLCPPMLEAPAAAAVPVCLPAKKRAYAAAAAMEGGGFALCLPAKKRAYAPPVDDAVAPACLPAKKRIHAPPPPPPDSGASPSAPTTKRVDTLPPAAADKAAISPSIPVPVRKRVHAPQPPPAPAPEKAAVSPSIPVPAKKRVSAPAAAAATDASPRVPFKDLVNTLPPPRDAAVSPSIPAKKSARAPPSPKDTAAPVSVCLPSNKRVMPPFLPPSPPPSKESDGARVAAVKEAKPQGSNKRGATTNSSVANGVEDDYARAEASKIQEKPKIPEKPINHEEIKEQVSKKQRSNTCRESKDQECNQSCSAISAKQSEVEALEKACKAIDLNEAAREEDSWDGERVAREPTQEAMAAAAAAEEEVEEEDDGVHCAVCGSTDGDPSDPIVFCDGCDLMVHASCYGNPLASSIPDGDWFCSVCTAAAAKKSKGNKPPPPPPRCCLCPARGGAMKRTTDARWAHIACALLVPEVFFRDPDGRDGVDCSRVPAHRFATACYVCESGGGCALECSQPRCGLGFHVSCGLDAGLCIEYQEAKAGGGGGGVVAGFCLEHTKLWEKQQLTGKYKIVSRGQK >ORGLA01G0050500.1 pep chromosome:AGI1.1:1:3596104:3597120:-1 gene:ORGLA01G0050500 transcript:ORGLA01G0050500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWRYLCFVENISSVSLILFFHLAAGSDQFCHCQGCLGKYTLLRDEENPRLAMFERRLPCFGCGIGWSSFLLGFLCPLIWYYAATLYCCKYYNKDPRERPGLAASAVAAAIFTTATIITLTTMLIICVYK >ORGLA01G0050400.1 pep chromosome:AGI1.1:1:3593271:3594788:1 gene:ORGLA01G0050400 transcript:ORGLA01G0050400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPWLLGFVVKYPEIMASAACFLLLFCRFRRRSKRIPTNWPVVGALPAIVANAGRVHDWVTEFLRAAAMSHVVEGPWGSPGDVLITADPANVAHMFTANFGNYPKGEEFAAMFDVLGGGIFNADGESWSFQRRKAHALLSDARFRAAVAASTSRKLGGGLVPLLDGVAASGAAVDLQDVFMRLTFDLTAMFVFGVDPGCLAADFPTVPFAAAMDDAEEVLFYRHVAPVPWLRLQSYLKIGHYKKMAKAREVLDASIAELIALRRERKAADANATGDADLLTAYLACQDEIGMDGAAFDAFLRDTTLNLMVAGRDTTSSALTWFFWLLSNHPGVEARILAELRAHPPSPTGAELKRLVYLHAALSESLRLYPPVPFEHKAAARPDTLPSGAAVGPTRRVIVSLYSMGRMEAVWGKGCEEFRPERWLTPAGRFRHERSCKFAAFNVGPRTCLGRDLAFAQMKAVVAAVVPRFRVAAAAAPPRPKLSIILHMRDGLKVKVHRRQED >ORGLA01G0050300.1 pep chromosome:AGI1.1:1:3589169:3590813:1 gene:ORGLA01G0050300 transcript:ORGLA01G0050300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFWTFILLYPEIFLAIICFFWFSLFRLIRQRQKSNLPVNWPVFGMLPFLVQNLHYIHDKVADVLREAGCTFMVSGPWFLNMNFLITCDPATVNHCFNANFKNYPKGSEFAEMFDILGDGLLVADSESWEYQRRMAMYIFAARTFRSFAMSTIARKTGSVLLPYLDHMAKFGSEVELEGVFMRFSLDVTYSTVFAADLDCLSVSSPIPVFGQATKEAEEALLFRHVIPPSVWKLLRLLNVGTEKKLTNAKVVIDQFIYEEIAKRKAQASDGLQGDILSMYMKWSIHESAHKQKDERFLRDTAVGFIFAGKDLIAVTLTWFFYMMCKHPHVEARILQELKGLQSSTWPGDLHVFEWDTLRSAIYLQAALLETLRLFPATPFEEKEALVDDVLPNGTKVSRNTRIIFSLYAMGRIEGIWGKDCMEFKPERWVSKSGRLRHEPSYKFLSFNTGPRSCLGKELSLSNMKIIVASIIHNFKVELVEGHEVMPQSSVILHTQNGMMVRLKRRDAA >ORGLA01G0050200.1 pep chromosome:AGI1.1:1:3586914:3588018:1 gene:ORGLA01G0050200 transcript:ORGLA01G0050200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVADEVTEAMEQVEGEREEADAVADEAAEVMEQAEGEREEAIDAVAGEAGEVMEEVVEGEREEANAMADEADEAMEPEGEREEEADAVADEATEPMEQVEGEGEDGDAAAQRPALPLGRVKRIIRVDRDIKKVTNEAALLIAAATELFVGSLAAGAHRAXXXXXXXXXXAVHVRAAAREHRPTADFLLDCLPAAEEAAPARAAAAGPSRGGGRGEAKPLPRGTRRIDAFFQKTT >ORGLA01G0050100.1 pep chromosome:AGI1.1:1:3574154:3578318:-1 gene:ORGLA01G0050100 transcript:ORGLA01G0050100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKNQRKPGEASWPKVVLKKWLNLKSKDSEFNADEEDDDDGSDVDEQENCGCDGGEERRRADGDLADENVEGGAPYRLRRRNSETLRAQYINTKELKLCVGTWNAAGKVPPGDLDIADWLGAGAGEPADVYVLGFQEVVPLNAGNVFGAEDARPAQAWEELIRSALQRARPPASSRPRYKCYSHPPSPSRGDATAAAAATDDDELFPGTDTDTDTNTDEDSLFSSPAESEQQNVAATPRRLTRLNHFTAAADAAAAMDDSGDEHQQRTLLKTLSRSDRVGLAWPEQPLDLLAKHATATASTTMPSSRSFRTYNSFRPSRAAAAADQSNDDLAMIADLDMDLAAARKRRSPYVRIVSKQMVGVFLTVWVRRGLRRCVHNVGVSTVGVGAMGYIGNKGAVSVSMSMYQTMFCFVCTHLAAGEKPADLHKRNADVQEIHRRTHFAGVGMPRNIYDHERIFWLGDLNYRIDVAYERAHELISTMDWTQLAEKDQLKRELRKGRAFDGWTEGVLEFAPTYKYELNSAKYIGDDQRGGRRTPAWCDRILSFGKGVKLMSYGRAELTMSDHRPVVATYAAEVEVFSSRKLQRALTLTDAEVEAGTVVAVPDHLAGF >ORGLA01G0050000.1 pep chromosome:AGI1.1:1:3569336:3573039:1 gene:ORGLA01G0050000 transcript:ORGLA01G0050000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10530) TAIR;Acc:AT3G10530] MASAKPAAEQKAMEGGEQEALDEMEKKVHKYSRGKAAYLGTLRDKKLKGQLAAREKLYGHSAKAAVQTEKWLLPTEGGYLEPDDDGLEKTYRYQQTSLREDVDLLSSRKPFDMILPLLGPYTLGYTGNGRYMVVGGRKGHVAMMDMLNLELVKEFQVRETVRDVAFLHNEQLYAVAQKKYPYIYNRHGTEIHCLKEHGKSLKLQFLEKHFLLSSINSFGQLHYQDISTGEMVANYRTGLGRTDVMRVNPYNAVIGLGHAGGKVTMWKPTSVKPLVTMLCHSGPVTAIAFDRGGHLMATAGVDRKVKIWDLRKYEVINSYATRAQSLDFSQKGLLACSNGSQVEIFRDTGGQDYKIYMKHRMVKGYQIGKVLFRPYEDVLGIGHSMGLSAILVPGSGEPNFDTFVDNPVETSKQRREKEVQSLLNKLQPETIMLNPNMIATVRPSRKKEKKTKKEIEEEMEDAVEAAKSIEFKKKTKGRSKASKRAKKREEEVLKAKRPFLEQHKENNGRPDKKQRVSEEIELPKALQRFAKSRQ >ORGLA01G0049900.1 pep chromosome:AGI1.1:1:3566088:3567890:1 gene:ORGLA01G0049900 transcript:ORGLA01G0049900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSASFDRVPEEARRILHRLAGELWGGDVDPAALAVSQLKGAMTNEVFRITWPGGGGGEGEGEGDHRKVLVRIYGQGVEVFFDRADEVRTFECMSRHGQGPRLLGRFPNGRIEEFINARTLSPADLRDAEISSLIAKKLREFHDLDMPGPKNVSLWQRLRRWLEEARGRCSPEEARQFSLEKLGDEIAMLDIALSGVDQRVGFCHNDLQYGNIMIYEETRQVTLIDYEYASFNPVAFDIANHFCEMSADYHSATPHVLDFTKYPGIDEQRRFVQTYLSSSGENPSDAEVEHLLGLIAKYSLASHIFWGLWGIISGHVNKNIDFEYQEYARQRFDQYWKTKDQTLGSKSN >ORGLA01G0049800.1 pep chromosome:AGI1.1:1:3549286:3563992:1 gene:ORGLA01G0049800 transcript:ORGLA01G0049800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIEPLMVLAVRFATRMIKCYGLLKQNKDAPDNSVKLHEMVFPFGGNXKYPEPNLCKEPEFYSDGXXQKLIENRCRSITEHFTANCCSGWCFSRTIRWYRISXXARVNTCDVXVSFWXQWTISFNSSXILYXCYYASCHXYXSSCNTKYSRKYQRYDEPVGNEXSGLENCSKRSTAVWXTTFGCPSTATIAPEGIIFQRSRRCFLXSSXNRKVHRIXPVNEGXNWIGCRNPGKTRRXHRVRPQTTYARYSQEATXAANCRGDEEAWVHEFKXVEDAGDNIIDXGLLLPPALANWENYDISIYLVFVHLVPIYKRSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIILDQPCHAHAYAHFSWESQFEYFVAHNDVLEVCKLLDSIPDSVLLEGILRVNVDNSQATYNTLSDVATHDYKMYLFDSEEIEPVCMEIPHVKVFRSLCNHESTSWMRMIMLQELAKKHIFMKEYWQSTTEIIPILARAGMLVNTSELCSDVLHGAYHQDCDGALHKLVLRFCVQYNTPNLLDLYLDYCNLALEKDSXXXXXXXXGDCKWAQWLLFSRVKGYEYEASFCNALWNLSQEMVRHNNLAAIEIDEILYTVDDMAERIGEMSALATLMYASTPIQKSICTGSVNRNRGVSSQCTLENLSPHLQQFPTLWKTLLSSCFGQDGYGCLNCSPTNAGGDTSLLQMLPCWFPKSIRRLVQLFEQGPFGMQLLSNAPSSEELFTHSVTDYIYNTAGYSEANALSLEASIQKSVEEELYSSLEEKDLRVEHHLHRGRALAAFRHLLGKRASQLKSANASQVISVQSSVQADVQLILAPLSQTERPILLSVAPLAITNFEDSTLVASCTFLLELCGLCANMLRLDIAALQRISSYYKSFQQNKQCDLSSPRSPGLHVLSHGADLAPTLARTLAEDYIQSDHLHVLEQKQPSKAPKREHSSQPLKAILHHLEKASLPVLEEGRTCGFWLLNGIGDASLYRTQQNEASQHWNLVTEFCQAHHLPLSTKYLALLANDNDWVGFLTEAQVSGFPIEVVIEVVLFLILESRLRTHILTILKNMMSARRKSSSNVSSGSDSSFLAVDGDNSMELFSVLAVCEKQKNPGEALLNKAKQMQWSLLAMIASCFSDVTPLSCLSVWLDITASREMSLIKVDDISSKIAKNVGSAVEATNKLPSMSRNVEYRYNRKNPKRRRFLEASQESFTSGFSSCGPSPTATPNFPNFDVQQKILKPISEETKIPVDIDERFASLSSMVAVLCEQQLFLPLLRAFEMFLPSCSLLPFIRSLQAFCQMRLSEASAHLASFSARLKDETSHAQLNSSKEESAMAGWVAVTVVKAADAVLSTCPSIYEKRCLLQLLAVVDFSDGGSSAAYFRRGYWKIILAEPSVCKDGDTYKWNDSMDDASLLASLEKDGRWEEARTWARQLESSDVAWESTFDHVTESQAEAMVAEWKEFLWDIPQERAALWSHCQSLFMKYSLPPLQAGSFFLKHAEAVGEEIPARELHEILLLSLQWLSGTMTNSSPVYPLHLLRDIETRVWLLAVESESQCKADGEYAPSSVTQNLATGNNTNIIEQTADVITKIDNSMSSPRMRITERNGIRDNNTPSFHQHLQLFESNGEGVHNTRARRRSKTNTLLRRVAKDSVESSINDSGDNSNSFNSSKIAGQARSLLSEEEFAKMEVSLSGWEQNVRPVDMEKAVLSLLEFGQITAATQLQQKLSPSYVPEELVLVDIALRVANNSSNGEISLSCFDPEALSILQSLGSNTTDPSEIHSFYEYNSAPVMEKLAMKCGEGRGRALVRRIAAVVQTAKVLGLPFSEAFEKQPIELLQLLSLKAQDSFDEAKFLVETHTMPASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPPVVEVXXLLEVGKAMSIRTRNWPCFDAFGYDWPXSTTCLRGCMIFXVTKTILXXXXXXXXXKCANNSLFXSARDYLVGKYMRFVSKLEIEIRLVSVIFNISFLDVKSVHPIYKATPFIILSIKQXIXSXSNKPQNTSXLDREALSAQFDDKCPILCIXALXHEAXGCFSPXVTCRTIHEQLVISIXQRKEEXXAPXGYASPCXDGXGSFNNXCWPKDTPGVCACFSPITSDPYTXPSVDWSFGNQCSADFRRTIXVPRGPHCCXSIQHKSADGMGSSFLEPNAETRSNXAICGXVCVGAAPAATNATRACKILPGGGCSQGGPVTLLGLAFARRLACRVGETSWQIVQEPSPSNEGYEAEAAVGNSCNGIXXRSXRMQXCFGQGSGERWPSNPEEGPWWYIPPSHV >ORGLA01G0049700.1 pep chromosome:AGI1.1:1:3545173:3546915:-1 gene:ORGLA01G0049700 transcript:ORGLA01G0049700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVRAAAADAAVTFLWVLCVSTLGASTAAVTSYLRIHEGIHYALLVTVSLLSVLLFAFNLLCDALGGASFNPTALAAFHAAGLSSPRHSSLFPLALRFPAQAAGAVGGAMAISELMPEQYKHMLGGPSLKVDLHTGAAAELVLTFVITLAVLWIIVKGPRNPIVKTWMLSISTVCLVLTGAAYTGPSMNPANAFGWAYVNNRHNTWEQFYVYWICPFVGAVLAAWVFRAVFPPPAPKPKAKKA >ORGLA01G0049600.1 pep chromosome:AGI1.1:1:3538332:3540906:1 gene:ORGLA01G0049600 transcript:ORGLA01G0049600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRPPYVLLERVVFFGGRELPDGTWKDAAGIVIGWSRARLLSRREAMEAMEPHPFLADPPQVSSLRMMSPTPAHAQQLGSIRDGDIASTHKGIVVIYAGFYRPGCSDDLGGCYLLYDAPTNALTAIPPLPDSPRFPTLLHLGRTAVLVDDSRSADDYILADIVTNSGLGLPEATIFAWSSLTMKKSGGEWVKSSIPRLPLPAHLCGPKHLFQIDLAFSLDSGRICWVDLLQGILFCDRILAPDGPKLGFIPLPTGYCIDVHHRLRHQMMPLARRSMACVSGAVKFVALVGLEDIHCPPNEVMLKTWVLSPDFKEWKEDSRSLSVEEMWASESFKQMGLPCVVPVSPVLSLTQDGVMYTILNVIEQVPAQVDEFGIVVVDDDLVPIANYMIRFDIRRNKVLSSTKISQHGELQWLIPNLIATDFTAYLQDHQRAEEAGKVGASAKGKRKQMEYY >ORGLA01G0049500.1 pep chromosome:AGI1.1:1:3517534:3517755:1 gene:ORGLA01G0049500 transcript:ORGLA01G0049500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDGDSIWYTMEVLCAYGMRNRIWKESKFGTIGYVKFVSCTRGFPKVFRTPSMSLVRGFRMPTSCINRGGA >ORGLA01G0049400.1 pep chromosome:AGI1.1:1:3498982:3503728:-1 gene:ORGLA01G0049400 transcript:ORGLA01G0049400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GREARRERDVALHRVTWRREGVRYRLSSSHSTAPSSSLQRRPRHRRRSAAPVIVVTTPFPSSSSSQLPPPQQPRRQGGKAAKRRGGQPRRCSVSRQQGGQAALGLLLAGSLLRRIAVLXXXXXXXXXXXXXAKAKVKAAGQLVACGSLGVCPAVMCACEVQLLYKLGDNTLDEVKEVVHVKQDAPPPSSFLPNSSVCTYKLHYLSTTCAQVRTIAQVIIVVHAITQGLQSLFVKACGVNISVQVDLSNTKVDYLINSACQKTRVNVKDTYAVXCGKILEYNKPMTFDEKFDDIHANTWFYTVDLVPALQRQTRTNPPVLPWLSVRYFSDFASYNIQKVLNHITAMHRENLSYNGAFNSDNIIFHNGAVTIQGVLTVQFNGVTCAKDFAKLYSIFIAKFTPHGCLHFANNFLKHAQNRFMLHEVEAAFSNCLEEYLPHVLKAIARLAQHNPQGRQYLVDVKQQSGGAVNESYLAR >ORGLA01G0049300.1 pep chromosome:AGI1.1:1:3491804:3492112:1 gene:ORGLA01G0049300 transcript:ORGLA01G0049300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDTHPGEDRAGKGEGAHIAAVGGDRGAHTAAATTGLDKERASGIGYGGERGGGGKTTSSTTTDLGDGRDRGSGGDVQGAQIDQGEERPGHASGGSNMHGA >ORGLA01G0049200.1 pep chromosome:AGI1.1:1:3481284:3482837:-1 gene:ORGLA01G0049200 transcript:ORGLA01G0049200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTACSAPALAWGLGLGGFGFGSALSLFGSGGGIAGTREGSWTPERRGEEEKEEERVLGSRPVAFKVSAPSMSESESCSCSCRFAKSEGIQSHLVAGSLKSTGREIPDNLFDGSNVLKFLKNYCFRQHDESQGIIIVSPATQLIPLINLFSL >ORGLA01G0049100.1 pep chromosome:AGI1.1:1:3479137:3479379:1 gene:ORGLA01G0049100 transcript:ORGLA01G0049100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALGLVELQRAVPLALLDPLRVRACPLHEPVVLRRGDQDAAGPELPPLLRHANGLTHGRSRPSLPALANSHVPWNEPR >ORGLA01G0049000.1 pep chromosome:AGI1.1:1:3474275:3477829:-1 gene:ORGLA01G0049000 transcript:ORGLA01G0049000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:I1NKV0] MAATGDSAAAAGEVRHLLAHLDSHQQLLASCHDVWSRALAHFASLDEDLAARSASLDDALAAAGASTSESLAALEXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPADVRGALRWMCGRMDAPALWRFMAARRRELAAVRKEVGPAVAASVDPPRLVLDALADFLAAEDGAGEDQFWVLGILLRSLFDSDGRKPPEIGDTLVERAAGVAKNWSEKFGIKMELYAPDNNEVEMTEAPLVENATATEKKEEHVDEEEEEEEEEDPEEMVPASEEEADAEEVEKEEEDPEEVEKEGGEAEAKVANAAKTGEVEKRKVEEDKKASGREVKEGEKGGQAEVQIFLQMVAAFGLKDRYDVDFLRRLLVDNGRRRELARIACVLGFEDSLRDVIEEFIKSGNEIEAIHIAHEAGLLERFPPVPLLKSYIKRITNKTQVALRGGRHSNSVVEEANNSECNAYKSIIRCVETCQLTSAFNLDGIRKKVARMEKEKADRRKPSGMNRFQNNKRARGASGPQSFPPSKYSRGSNSNYGSSFRNPASHSFPYTDRAGFVGRAPGARPHFAPGSSMGTRRAGVLYGGPGATFGAGHGYGAGAGHQSYHH >ORGLA01G0048900.1 pep chromosome:AGI1.1:1:3465997:3471118:1 gene:ORGLA01G0048900 transcript:ORGLA01G0048900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQQAPEPAGLQLLLQPEVVAPNHPPPAPPAPAAVPAPPQPQGEARYRRPLVRLQAVPNEDHVPDNYGDGPDELGITPAVYQALERHLPPDLAGAPAEVKRYFMRSVLRNYVPSPSQRIRTQNQREYRERILSAYQPLHPELYTNDPSTFILPAFLQAINGNTEESITSIMMEPAPGVFAFPMLKPSFCQMLMSEVNNFLRWAQSANQSIMRPTSLDRHGRGAALSDFGLQEMLDNLMKDFISPMSTVLFPEVGGNTLDSHHTFVLEYGEADGARGFHVDDSEVTLNICLGKHFTGADMYFRGIRCGNHVNSGTHDEEYFVHPNVPGQVLLHHGSHRHGVFSVTSGRRVNMVMWCKSSVFREMKKFMTDFSGFCRECQFQRTARQVQHLQELTMPFNFCQLP >ORGLA01G0048800.1 pep chromosome:AGI1.1:1:3455992:3460704:1 gene:ORGLA01G0048800 transcript:ORGLA01G0048800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSVSQIKRLLGRKYSDPELQRDIAAFPFRVSEGPDGFPLVHARYLGEERVFTPTQLMAMVLSNLKGIAESNLNTAVVDCCIGIPVYFTDLQRRAVLDAATIAGLCPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVCIAGYKKGQLKILSHAYDRSLGGRDFDEVLFKHFAAKFKDEYKIDVYQNARACIRLRVACEKLKKVLSANPESPMHIECLMDEKDVRGFIKREEFEKISAPILERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKILTDFFGKEPRRTMNASECVARGCALECAILSPTFKVREFQVNDGFPFSIAMSWKPDSQNGDNQQTVVFPKGNPLPSVKALTFYRSNTFQVDVTYVDTGDLQISPKISTYTVGPFNPGKGDKAKLKVKVRLNIHGVVTVESATMLEEEEVEVPVAATTEPPKDSAKMETDDAPNEAASGTDVNMQEAKAPADAAADGAENGAPNSEEKSVPMETDAKVEPSKKKVKKTNVPVAELVYGALGTTELQKAVEKEYEMALQDRVMEETKDKKNAVESYVYDMRNKLYDKYNDFVTAEDKEAFIAKLQEVEDWLYEDGEDETKGVYVAKLEELKKVGGPIEARYKEWMDRGPSIDQLAYCINSFRDAALSKDPKFDHIEMEEKQKVINQCSEAEVWLREKIQQQDALPKHANPVLLSSDLKKKAETVDRFCKPIMMKPKPAPKPQTPPQTPPTETPAGGAQTPEQQPQGAEAAGEASEGGASESTGEQMETDKPEGTEAA >ORGLA01G0048700.1 pep chromosome:AGI1.1:1:3447642:3451675:-1 gene:ORGLA01G0048700 transcript:ORGLA01G0048700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKRARPPASSPVDPPASPPAPEDPRVLLRRSWELASVLHFLRVFEPVIEGNLGLSAEEIETALIANNHDLARIHIALIKGIPPTIKNLKVDDGWITATAKKLSDWWTWVAEGANPFKINPGKEIDTYKQQDPIKRLLILKALCEVRSEQNDAVWYVNDEMKRGVDISNFRKEKLGSSSNGTIYWYDGDSTIGHRLYSEYVTLDFKRNLKGKNGCLTKPVINIQWETVATNLDEFVEILEKLCSKGRPESAIGEHLKTEIIPDVEKLQKKKERDLKRQQKKDELLAYATSFQTRSLRERRPVSYNYSDYDRSIKEAIKAAAKSKESDPQEAGKKEKRASHQGNKGANGGSDIGPEQKEDGQEDAGGSDVNPEQDKDGGQEDVESLDDLSSDEDEDRDYNGKDDDSSGSDGENDVGSDGENDAYDPNKGDTEEEEVFVPRKRTRLASRRFDKPPQGLRRSRRNMKNDEDVMRPGQLTPRSMTKKTMRQRPTSISKQFSLSGSEDDREMIVADSEEESGSP >ORGLA01G0048600.1 pep chromosome:AGI1.1:1:3436783:3446406:-1 gene:ORGLA01G0048600 transcript:ORGLA01G0048600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS homolog 7 [Source:Projected from Arabidopsis thaliana (AT3G24495) TAIR;Acc:AT3G24495] MQPRRRQQQQQSILSFLQKKPAAAAGEEGATPERPPRPPAASVAGIMERLVRPPRQQQQGRDQNASQARHVEQRALPVKNQTTSNECSSALFLESCNAGDNKAAMLIAEEGSNMTPLQEPLKSLWPSKDDFVRASTLSPELGLDQNLPPHCPKKLPFESSNNNCIGANSSFEEFDVQTPQDTSKTVFWRSSRGADTPLTESDSDQTPLQHPSKFSFVSPNGEYVRGATLFALDSNYTPRRESSEKLSSGPSDLPYIKATKLFTEFDSNGTPSQNHLKKLSSVPKNDKRIGAGAELFSEFDPSPLKPETPVMRAVIPRLKRVQEDQRVTTNDSCSPFWGPNKKVKPAQCSPVENKVHDEMAESARSKFEWLNPSNIRDANRRRLADPLYDKTTLFIPPDALRKMSTSQKQYWNIKCKYMDVVLFFKVGKFYELYEVDAEIGQKELDWKMTISGVGKCRQVGISESGIDVAVEKLLARGYKVGRIEQMESADQAKSRGSNSVILRKLVHVSTPSTVGDSNIGADAVHLLSLKEITLASNGSRVYGFAFLDYAALKIWVGSVHDDDTFAALGALLVQVSPKEIIYETSGLSKETHRLIKKYASAGSVKMQLTPLYGLYFSDVSEIQTLIDSRGYFKASTSSWLSALNSSVNKDAVICALGGLVSHLTRLMLEDALKNGEVLAYHVYRTCLRMDGQTLVNLEIFSNNFDGGSSGTLYKHLNHCITPCGKRLLRRWICHPLKDIDAINERLDIVEGFIQNCGLGSVTLEHLRKVPDLERLLGRVKSTVGLSSAVLLPFVGEKILKRRIKTFGMLVKGLRVGIDLLDILQRQDHGISALSKAVDIPTLSSLGELIHHFEEAIDDDFPRYQDHSVKDDDANTLAMLVDLLVGKASEWSLVINALSTIDVLRSFAAMALSSFGTTCRPNILLKGKAPVLQMKGLWHPYAFAESVNGLVSNDLSLGQDLSGQNRFALLLTGPNMGGKSTIMRATCLAIVLAQLGCYVPCQSCELTLADAIFTRIGAMDRIMSGESTFLVECTETASILENATEDSLVLLDELGRGTSTFDGYAIAYAVFRHLVEAVRCRLLFATHYHPLTKEFASHPHVTLQHMACMLKPRNGGEKELTFLYRLTSGACPESYGLQVATMAGLPRSIVERASAAGEMMRSKIAGNFRSSEERAEFSTLHEEWVRTIVAIGGVKDAHLDEDTMDTLFCIFHELKAHFRKRR >ORGLA01G0048500.1 pep chromosome:AGI1.1:1:3417553:3419592:-1 gene:ORGLA01G0048500 transcript:ORGLA01G0048500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRAEAEAEGEERGGGVLVRVGFGFGLAAMLRRMVVSDTDAGGGGGEAGRVRGGGGGGAALFAVPRLFVGLAAKRGAGDGGEPASRSPTSPLDPKALLLRSPRSPRTWWDAEPVGLALAAAAADDAAKNSLLLSPRVGPLKSFASLPKDCGGGHSPRPGELAKAMSCAAAATATASAAGGMSVPCGVFFYGDLKSGPEATRSGGAHPNAKRRSFDLGGGKIPGPGSLPASIGGVRRFIGSVSASEIEQSEDYTCIIARGPNPKTTHIFGDCILEPQTMDASASAAAMDVTEAPTESYWVVKCDAGAAPASGGGGGGDFLSSCFTCKKKLEGNDIYIYRGEKAFCSANCRDQQILIEEEAENNTTIVSSPRSSCSSLHEDIFMAGMFVAK >ORGLA01G0048400.1 pep chromosome:AGI1.1:1:3410860:3415191:-1 gene:ORGLA01G0048400 transcript:ORGLA01G0048400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLLAPSPAPRPLAFRCRRGGRARRGAAIVASSSASSSSSSGDAGPSHSAAAAGAYVLARRGVLLGVSALPLLRAREAAAAAAVATPNSGDLATETKDIQKPDEPQPGETQAESPLPEALQPESSLPVTQEQTPGNPLSGLLNAIAVAASGVLAGLYGTSQQEKKALESVVSSMESKLAENEAAISLMRENYEKRLLDQQTAQKKQAMKFQEQEASLLDQLSSTKKTVTSLSEEFRREKTLAEELREEIRRLESSLAQAGDDKDVLEAKLKEKLGDVNILQEKVSLLSQEIDNKGIRIRELSSLLSSKEADYRNLCSFSDQTKESLELAEAKIQQLEEEVHRTRNDLSSKISSIDLLNEELQALNSAKNEAEEKLSELTKDYTDLKASSEARESRNSELLLEKDNMIKQLDGKLSDALSDSSKDREIIASLNKELDATKAMLENEVAAVKSLRESLQSTEEALTDSRSEVSKLSVELDEANRMNQDLVLQISKLQDEFNEMQEGLTNKLGEVESVSKALSDELVSVKEMVHKGQEELEATSNELASIVEARDNLKKELLDVFKKLESTSQELVDERKTVTTLNRELEALVKQLQMDSEARKALEADLDEATKSLDEMNRSALSLSKELEETNSRKDTLEAEKEMLSKALAEQQKITTEAHENTEDAQNLISRLQTEKESFEMRARHLEEELALAKGEILRLRRQISTSRSQKAKTLPNTNASPEVNQAPDEQPVNDNQNTSKVAAGSQYTAKRTTRRRKGGSST >ORGLA01G0048300.1 pep chromosome:AGI1.1:1:3394909:3396462:-1 gene:ORGLA01G0048300 transcript:ORGLA01G0048300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGFLLLVVFAVAIAGGAVAAAADLDGGDGGGGVAAEWRFPSSRMRDAYVALQTWRREAIFSDPGNLTADWVGPDVCNYTGVFCAPLPWDRREVAVAGVDLNHGDIAGYLPPELGLLADLALLHLNSNRFCGVLPATLRRLRLLHELDLSNNRFVGRFPEVVLDMPALRFLDLRFNDFEGGVPRQLFDRPLDAIFLNHNRFRFDLPDNFGNSPVSVIVLAHNSFGGCLPASLGNMSGTLNEILLINTGLSSCLPPEVGMLREVTVFDVSFNRLAGPLPSAVAGMRKVEQLDVAHNLLTGAIPQAVCELPRLKNFTFAYNFFTGEPPSCARAVPRYGDRRNCLPNRPAQRTLRQCAAFFARPPVNCAAFQCKPFVPALPPPSPPPPSPPPPSPPPPSPPPPSTSPPPPSPPPPSPPPPSPPPPAPIFHPPQPPPPPPPPAPQPHPPCPELPPPPPPPPPCGGATPALSPPPYYPGPWPPVHGVPYGSPPPPPLQHHSSWPPIHSVPYGSPPPPPLH >ORGLA01G0048200.1 pep chromosome:AGI1.1:1:3382191:3386661:-1 gene:ORGLA01G0048200 transcript:ORGLA01G0048200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAAGICSGLAYKARHGQTKTNALIWEFMSLVQRKHTHELSLSGVRSWGSMTLGSGGMAAEEGGGNGEAAARGERCVVVAVEETCCACAQLVVGPPNPMMARYVYAFVFLATNLLAWTLRDFGHPVLAELRRLRGSCQGAGYCLGAEGVLRVSLGCFVSSLQFNSDFSLRFSLHFVREDRAFRSRGVSGDPARQCHPVHHLAERLLPVRDQPEEMVQVVSIAAYVGSILGVVLMYVWYAPRPSCKLNILFITVTLVLVQLMTGVSLSSKVKAGYLAPGLMGVYIVFLCWTAIRSEPHTEICNKKAEVATSADWVNIASFVIAVIVIVTATFATGIDSKCLQFKKAESEQPEDDDIPYGFGFFHFVFAMGAMYFAMLFVGWNANQTMEKWTIDVGWASTWVRVVNEWLAAIVYISSPVTGEGNASNQQSILSNDKLLLNMQKGQQPLEYTQTS >ORGLA01G0048100.1 pep chromosome:AGI1.1:1:3375644:3378894:-1 gene:ORGLA01G0048100 transcript:ORGLA01G0048100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAASNARPPTVQIRGQPVNQKTSCCSS >ORGLA01G0048000.1 pep chromosome:AGI1.1:1:3367790:3369253:-1 gene:ORGLA01G0048000 transcript:ORGLA01G0048000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NKU0] MPAMEEEAVANEAHHFLVVTYPAQGHINPARHLARRLARAAPGARVTISTAVSACRKMFGDAAAAGAGGELVDEGGVRYAPYSDGYDDGFDRAVHDSASYMTQVRVVGARTLAAVIEGFRAAGRPVTRVVYTLLLTWVADVARDHGIPVALYWIQPAAVLAAYFHYFRGTGGVDRDIAAAAAARDRMAPVRVPGLPPLRLRDLPSFLAIADDDDPYAFVLDAFRDIVAVLGRGDSPTVLANTFDAMEPEAVASLRQHGVDVVPVGPVLSFLDAAKSGGGGGAITTTTSNDLFKQNDTGYLEWLDARPAGSVVYISFGSLSTMSRRQIAEVSRGMSASGRPFLWVLRKDNRGEADDVAIAGGVVVEWCDQVRVLGHPAVGCFVTHCGWNSTLEAVASGVPAVCVPQWTDQGTNAWLVAERLGAGVRAAVSEVDGVLEAGELRRCIDAATSEAVRASAAAWREKARAAVADGGSSEKNLQAYVGKIRAN >ORGLA01G0047900.1 pep chromosome:AGI1.1:1:3357990:3364462:1 gene:ORGLA01G0047900 transcript:ORGLA01G0047900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGSDRPSATDATESVQGLDGEETLSERASVGAGQGPSSQNGGGKEEPSSSSSAGSKRKRTSLSSDRVELNGLDAAGTSSGDSTWSEDSFNGRHRRSLPRNKDGHLEHSVNSGEVAVIRQPRGVLRLRKLAQNVSIKSGTGGRKVLRSNGITKATQVQRRKRRKSQTLKENRVGSNDPINCLKVENGTCDQDNSTNFCSENDVSVEKQPNLSGEPSKHVHPTKESSVHVQEEDNVNLEENAARMLCSLSDNMCASSLRKSAKSLNRSSKAYFVQHSEHFKDSCNKDKGMAGPARLLRNRDGKASSKKRRPRRHFYEVSPHDVDPFCIVKERIRVFWPLDEIWYFGLVKEYDPMTRLHHVRYDDKDEEWINLQNERIKLLLLPSEARHKSNRRNPRSIFKPKYEVDEREDIDRNSTGSSESGPIISLLSRSNHARSATSSNTNKQNHTHSDISPVMFDQKQSMLCSDDRPGGSPANAGEEIPEDRTTLKDSRFRFVYSRKRSCRRKNGFLNTSEQDSDLKYRKVTGALFASVAGWGSVTENVTSRRHGILVLSQPLKSIYKIMSEACHVWLLNALVLLHRGAMVSLWPAVHLEILLVDDTLGLKHLLLETSLRSAVSLFCLLVGCFNSYSKASTRNESKMLCTSVRVRISGLHGRSQVVFLMFSFVGVKYPKWKHLQGQLQHHHIKRGLSKVNCACADIKQLTNGTDQRVCTSTEHFSKGLSLDAQDSLFFTESKYSNVDPIIFCLDERSKSVQNHLDVAAAPSLLFFHHLKLRSESNLTSKSLPEFMPITLEEDQQSLPQHTSDLVHLAASASEVCSVYVSPSNTGSLDMGTAGCINHSGSASSKLNTAKRTVSLDCNSRGIGGANITSRSFPDQIMDGSLSAVCPPQKYQKRCSSISIPGDNISDPPDDKLLNKEEKAMQPTSDLVQELNEYPIGRVTPTAPRTPYHRNRFTSLSRTFGDGSKLWQEDIMVTGFAGGSKKPRTQVSYSVSPRSDEPGSKHKGHFRKIQSHSSAKRLPDNSRSEQSSPESLACVANVLVTVGDRGWREYDTQITIDSDGQSERRICVKLAEGTKYAHKVLQVLQPGATNRYTHAMIWKGGTEWCLEFPDRSQWLIFKQMHDECYSHNIRAASVKNIPIPGVCFAEAHDDHDAVSFVRSQDYIGHIGTDVEMALDESRVIYDMDSDDEVWVSRWRKLGKDSTSVTDDLFERIMDKFEKLAYSHNCNELTIDQMKELDSDNIPLDTIKVIHDYWQDKRKKKGMPLIRHFQSAMWKIHEQQLQEWESTALRIQGSSNGYQVKLPPKPALFAFCLRPRGLQPQIPYKGPKQRSHKKLMSSGSFSREQDGFYRPGRKYSEYVGDGRACESYDGGSIYSPTGYSPRFSVKTESPRAFDGLERSSTPRFLRTNSVKRTASFAFSEDHQPSPSFRHQRVKRGAPDHWNAVIHEWQSSKHLLLGASQSPRVDIEELKQRDASSAAQHAVAMAKLKREKAHLLMHKADLALHKATVALMMADAIKASSRDSSRDGRRDFRDD >ORGLA01G0047800.1 pep chromosome:AGI1.1:1:3341766:3354725:1 gene:ORGLA01G0047800 transcript:ORGLA01G0047800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2410 [Source:Projected from Arabidopsis thaliana (AT2G25660) TAIR;Acc:AT2G25660] MSHCLRASPFLSPPPPLLHPSRRRRHRQGGCIHTSPGTRPLVARARFDPPPLLRLKVSDSSDCPAPHHPHSQHQPLLPTRRQQQQPPPPYQALVASLAPLWREGLFLVRCSVFAAALSVAAALSWYAQLRARSFVESRLLPAACAALGEYLQREVHLGRVRSVSPLGITLHTCSIGPHAEEFSCAEVPVMKIRVRPFASLRRGRVVVDAVLSEPSALVAQRKDFSWLGLPAPSEGSPKRHSGEEGIDYRTKTRRLAREKAAEQWNEERDKAAREAAEMGYIVPSAQSISPSIDEMMEDDGPVDTGKSSPHLCPDEMHRKDHHIDAGIDSGSKHADLEKSFGVKARIPGISFWSRMIPNPSRRRYRRKAHSKLISDTDNSSQQRILRRSAYAAVAYFQNECSGNPDDSLPGPGESSSDGGHTNGGGEEGSPNDGPTEYSETTSMDYGELPPEKSNFASTMLIGNTDVLNGSSHNQQPSQISSHSWENNEQVSEASVLKKRKNISEDDYRQEFDFGAFGSCTYAHNWLSFWPFQLKGFPVGFNAPSASLNVQIQKLRSLFAIGPGDNSAELSQGVGQIHPGAVQQTLPITLDSVYFNGGNLMLLGYGDQEPREMKHANGHIKFKNSYNRVHVHVTGNCMEWRQDRTSQGGGYLSTDVFVDIAEQTWHANLNVVNAFAPLFERILEIPVVWNKGRATGEVHLCMSKGDSFPSIHGQLDVKGLAFQILDAPSSFSDIVATLSFRGQRVFLHNASGWFGDAPVEASGDFGLNPEDGEFHLMCQVPSVEVNALMKTMKMRPLMFPLAGAVTAVFNCQGPLDAPVFVGSGIVSRKSLSVSGMLPSAASEAVMQNKESGAVAAFDHIPFTHVSANFTFNLDNCVADLYGIRACLLDGGEIRGAGNVWICPEGEGDDSAMDINLSGSILLDKVLHRYIPGGIQLIPLKIGELNGETRLSGSLIRPKFDIKWAAPNAEDSFSDARGNIVIAHDYIMVNSSSVSFDLNTRIQTSYIDDYLLHKEMYQRKKIMPLIVEGVDLDLRMRGFEFAHIASSIPFDSPRPLHLKASGRFKFQGKVVKYSQLVDEKNHGAIQGTIDQSKLENDVSRLVGEISLSGIKLNQLMLAPQSTGFLSISPDSVMLNATGRPDENFSIEVNVPLFFGTHEAIQDGRLLSIFLQKGQLRSNICYHPENLTSLEVRNLPLDELEFASLRGFVQKAELQLNFQKRRGHGLLSVIRPKFSGMLGESLDIAARWSGDVVSFSFHITMEKSVLEQANSKYELQGEYVFPGTRDRFPMENQSNGFIEKAMGGHLGSMMSSMGRWRMRLEVPGAEVAEMLPLARLLSRSTDPAIRSRSKELFMQTLHSVGFNAESLRDQLKALEMYPDWLDDDTIEDITLPGLAELRGYWRGSLDASGGGNGDTMADFDFNGEDWEWGTYKTQRVLASGSFSNNDGLRLDKLFIQKDNATLHADGSILGPLTNLHFAVLNFPVGLIPALVQAIESSTTDSIHFLRQWLTPIKGILHMEGDLRGTLAKPECDVQIRLLDGTIGGIDLGRAEVLASVTPTSRFVFDANFEPTIQSGHVNIQGSVPVTYVDSNSIEEDLEGGDGKQGIIRIPVWAKDRGLTNDISETRIMRDKPDEGWEFQLAESLKGLSWNMLEPGEVRINADIKDGGMTLITALSPYSNWLQGYAEVLLQVKGTVDHPVVDGSASFHRATVASPFLRTPLTNFAGNVHVISNRLCISSMESRVGRKGRLSMKGTLPLHNSEPSANDKIELKCEVLDIRAKNILSGQVDSQLQVTGSILRPDVSGMIRLSHGEAYLPHDKGNGAVATRLSSNKSISVPAGFDQRTVSRDVSHFLGSLSTSPDCQQSETERTPEHGSFKPNIDARLNDLKLTFGPELRIVYPLILNFAVSGDLELNGMVHPKYIRPKGVLTFENGEVNLVATQVRLKNDHLNVAKFEPDLGLDPILDLVLVGSEWQFKIQSRASMWQDNLVVTSTRSVDQDVLSPSEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGKRLQASVVRQMKDSEMAMQWSLIYQLTSRLRVLFQSTPSNRLLFEYSATSQG >ORGLA01G0047700.1 pep chromosome:AGI1.1:1:3338095:3340311:1 gene:ORGLA01G0047700 transcript:ORGLA01G0047700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRRGAAAEEVKLNTGNVFAALETLKKKKKGGDKAKGGSSSSSGRKREQQGAQPSSQQQQQKEVFWAPAPLTTKSWADVEDDDDDDYFATTAPPRPVWGTGHGDDGAKEEDEEDDDDAVHAALQEEVESEDEDLDDEVDDGAVDEPEHEVDDTSAEPAGKKAAVPVAPPKDTERQLSKKELKKKELAELDAVLAELGISGGSSNAAQDENNAEKKGSNQTGDGDAPAPSESKSSKKKKNKKAKEAKESQEPADGTEETASAEPDEDTTSVDVKERLKKMASMKKKKSGKESDTAAKIAAAEAAARSARLAAAKKKEKNHYNQQPVR >ORGLA01G0047600.1 pep chromosome:AGI1.1:1:3332388:3336265:1 gene:ORGLA01G0047600 transcript:ORGLA01G0047600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:syntaxin of plants 32 [Source:Projected from Arabidopsis thaliana (AT3G24350) TAIR;Acc:AT3G24350] MNPGRPAPASFRDRTNEFRAAVESAARYASSSAAAAPSSSSGGGVGGPLDVSRGAASAHSEFNRRASKIGLGIHQTSQKLARLAKLAKRTSVFDDPTVEIQELTAVIKKDITALNSAVVDLQVLCNSQNESGNLSKDTTNHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQMFSSSAANNASNPFVRQRPLVTRDGPESSVPPAPWASDSATTPLFQRKKTNGDHGASSSSSQPFMQQQLVQQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTLANVEGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA >ORGLA01G0047500.1 pep chromosome:AGI1.1:1:3324561:3325883:-1 gene:ORGLA01G0047500 transcript:ORGLA01G0047500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSVERLGQRRVVPAEPTPVGPLRLSWLDRYPTQMALIESLHVFKPALDRAIGGDDVAVGPARTIERALARALVHYYPLAGRLAFSDSGEVCVDCGDAGVWFTEAEASCSLEDVDYLEYPMMVPKDELLPPTPAGEEERELVLLVQVTAFACGGFVVGFRFSHAVADGPGAAQFMAAVGELARGAGGVSVEPVWGRDAIPDPAAAVIGSLPDPAGAKRLEYLAVDISADYINHFKNQYNTEAHAAAAGVAGVARCSAFEVLIAKAWRSRTRAAGFEPDTTVNLCFAMNARPLLHASLPRGGAGFYGNCYYIMRVSAPAGKVAGSSVTEVVKIIKDGKRRMPSEFSRWAAGDMAGGDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVRPWAHKPGARLITQCVTPDRVAAFHEGLLDLN >ORGLA01G0047400.1 pep chromosome:AGI1.1:1:3322769:3323463:1 gene:ORGLA01G0047400 transcript:ORGLA01G0047400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWYIRKSIAPLLLAKHSSRTSSSAVNGGSISFGAADSTTLARSTAARRIHLTTPSCFDNNDDSGHLAVLSSFDNNDRYLVAPRHGQAKRPVRRXHEKHLDARLGDASESKPPQPISLVKPFPSNQSQPKLETNPFYPLQPNPLAGLVVLPGYN >ORGLA01G0047300.1 pep chromosome:AGI1.1:1:3313077:3314881:1 gene:ORGLA01G0047300 transcript:ORGLA01G0047300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGNASHRDHTIDILRNDATFPSTSHQDNHNNLDELHQTRGPLNDVPHVPESSASATPASISRNASFARRDQGHRQPNPLNSGFWISIELIVSLSQIIAAITVLSVSRNEHPHAPLAQWLIGYTIGCVATLPHLYWRFLHRNRQNTEQESTNQVSSERDVYEPNSYVVVSSAHGSEVVDSGNNGGVARIASPRVYALVACFKLALDCFFAVWFVVGNVWIFGGRTSLHDAPNLYRLCIVFLAFGFIGYALPFILCTMICCCLPCIISMMGIHEDLDFNRGATAEAIDALVAYKFQSKKFQDGEAGEDNGGVLAAGTDKERTISAEDAVCCICLSKFSNNEDLRELPCNHVFHLECVDKWLKINALCPLCKADLGGSTNAPDSSSRSSHDSNNSRVRNDVESQQ >ORGLA01G0047200.1 pep chromosome:AGI1.1:1:3301659:3303023:1 gene:ORGLA01G0047200 transcript:ORGLA01G0047200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAPSSVLVLLGVVLLVAGGRLCECAASGGGFSVEFIHRDSPRSPFHDLAFTAHGRALAAARRSVARAAAIAGSASSSASGGGAADDVVSKVVSRSFEYLMTVNLGSPPRSMLAIADTGSDLVWVKCKKGNNDTSSAAAPTTQFDPSRSSTYGRVSCQTDACEALGRATCDDGSNCAYLYAYGDGSNTTGVLSTETFTFDDGGAGRSPRQVRVGGVKFGCSTATAGSFPADGLVGLGGGAVSLVTQLGGATSLGRRFSYCLVPHSVNASSALNFGALADVTEPGAASTPLVAGDVDTYYTVVLDSVKVGNKTVASAASSRIIVDSGTTLTFLDPSLLGPIVDELSRRITLPPVQSPDGLLQLCYDVAGREVEAGESIPDLTLEFGGGAAVALKPENAFVAVQEGTLCLAIVATTEQQPVSILGNLAQQNIHVGYDLDAGTVTFAGADCAGSS >ORGLA01G0047100.1 pep chromosome:AGI1.1:1:3294650:3296654:-1 gene:ORGLA01G0047100 transcript:ORGLA01G0047100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:I1NKT1] MSVETERSSTESSAASGLDFEDTALTLRLPGSLAAAAAPDPDRKRSSPSSSDAADAVDNSSPLAAAADAPPAPKARVVGWPPVRSFRKNALAAKFVKVAVDGAPYLRKVDLEAYSGYDQLLRALQDKFFSHFTIRKFADDERKLVDAVNGTEYVPTYEDKDGDWMLVGDVPWKMFVETCQRLRLMKSSEAVNLAPRAAQ >ORGLA01G0047000.1 pep chromosome:AGI1.1:1:3288997:3290354:-1 gene:ORGLA01G0047000 transcript:ORGLA01G0047000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLGELEEVLELTDMAEFQKCMVPLFRRIASCLNSSHFQVAERALFLWNNEHLFDMISQNRQVILPIIYPALERNTRWHWNQSVLNVTLNVKKMFLDMDERLLLACQNNFQAEEEKRAATEERRRLMWEQLERNAAAACHPHPVITTTDSSFPSPPSSTPLVAPTVT >ORGLA01G0046900.1 pep chromosome:AGI1.1:1:3286758:3287353:-1 gene:ORGLA01G0046900 transcript:ORGLA01G0046900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPPAAQEMSYSDHVKKRHEDKGCLYACLFTLCCCFCCYETCECCLECLCCC >ORGLA01G0046800.1 pep chromosome:AGI1.1:1:3284207:3286149:1 gene:ORGLA01G0046800 transcript:ORGLA01G0046800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF92, transmembrane [Source:Projected from Arabidopsis thaliana (AT5G19930) TAIR;Acc:AT5G19930] MDHGGGGGGGVWIRAAVAVAAGGAIAARAVRRKSVDSTAVFVGVPAMVAHTVAGYRFAGMLLVFFFTASRMTRVGEKRKRALDPDFKEGGQRNWFTFRVEASDFGSDCSRVGECGKSLERQNSYLVHTTLIGRKQVLSNSGIASVLVVLIALVTGGSDRCLDTRESSLVTALIGGVIGHYACCNGDTWSSELGILSKAEPRIITTFKRVRKGTNGGVTIDGLLAAAAAGFSIGLTFVLLGFLTTQCSSDVFWRQLLVIPLATAAGLCGSLIDSLLGATLQYSGYCSLRKKVVGVDGPTVTRISGRNILDNNGVNVVSVFLTTLITALACVYIF >ORGLA01G0046700.1 pep chromosome:AGI1.1:1:3279334:3283031:1 gene:ORGLA01G0046700 transcript:ORGLA01G0046700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1767) [Source:Projected from Arabidopsis thaliana (AT5G19950) TAIR;Acc:AT5G19950] MAAAAETPGSSASPHQERLLQSLSARGWCFRDPSNEIIQELLHASPSPSPEAVETELVDVDLRLFGGKSLPDRAAAAATGRRLSYLHGPIVLQVVSVRDIYRSIIDVSFKNPQQHRLLRFVLTDGISEAVAIEFFPIPFIIEDIAPGTKICLENKIPIHNGILCLSAKNISIMGGVVQSLYEEWQMNQKFSGLSRPSLRLSQNDDGVGPPPFEKLDVEARPSRTSRSQTYSDNKARKPEVGRQNVSVSSSGKPVNESSSDENKESAVEPKQSISDGRPKEVSEAIPVQNQAAAQKLLQKMTQAVPEDRYGRGHRFKGKGRQEDTPVFTLDEWEKRKSAGLKSTAQSYIDDTSRDEELARQLQEQLDLEDSYGVPESSDADRVRMSMFSFSGPEETGGGRRDFRGQGRGRARGRGRGRGRGRF >ORGLA01G0046600.1 pep chromosome:AGI1.1:1:3272897:3277503:-1 gene:ORGLA01G0046600 transcript:ORGLA01G0046600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80360) TAIR;Acc:AT1G80360] MGSFGRLARRAVETEAPVMVKMQELLRGNKDVMSLAQGVVYWQPPEAAMNKIKEIVWEPSISKYGSDDGLPELREALLEKLRRENKLTKSSIMVTSGANQAFVNVVLTLCDAGDAVVMFAPYYFNSYMSFQMTGVTDILVGASNPETLHPDVDWLEKVLQENNPIPKLVSVVNPGNPSGAFIPKPMLERISELCRNAGAWLVVDNTYEYFMYDGMEHYCLEGNHIVNLFSFSKAYGMMGWRVGYIAHPNEADGLHAQLLKVQDNIPICASIIGQRLALYALEAGPEWIRERVRDLVKNRELLMEAMSPLGKDSVKGGEGAIYLWAKLPEKCSDDFEVVRWLANKHGVAVIPGSASGGPGYIRVSFGGLKESDTRLAAERLRRGLQELVTEGMVQ >ORGLA01G0046500.1 pep chromosome:AGI1.1:1:3254726:3264084:-1 gene:ORGLA01G0046500 transcript:ORGLA01G0046500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic drug resistance 4 [Source:Projected from Arabidopsis thaliana (AT2G26910) TAIR;Acc:AT2G26910] MWAAEAAFARSGSWREEEDEQEALRWAALQRLPTVARARRGLLRSPAPGEERVQGDDALCEVDVAGLSPGDRTALVDRLLADSGDVEDFFRRIRSRFDAVQIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRVKLPILDDVSGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKVSGNITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNEGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILISEGQIVYQGPREYAVDFFAGMGFRCPERKNVADFLQEVLSKKDQQQYWCHYDYPYQYVSVSKFAEAFKTFVIGKRLHDELAVPYNRHRNHPAALSTSNYGVRRLELLKSNFQWQHLLMKRNSFIYVFKFIQLLLVALITMTVFFRSTMHRDSVDDGIIYLGALYFAIVMILFNGFTEVSLLVTKLPILYKHRDLHFYPPWAYTLPSWLLSIPTSLIESGMWVLVTYYVVGYDPQFTRCLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPAWWIWGYWISPMMYAQNAISVNEFLGHSWSQQFANQNITLGEAILTGYGLFKEKYWFWIGVGALFGYAIVLNFLFTLFLTLLNPIGNIQAVVSKDDIQHRAPRRKNGKLALELRSYLHSASLNGHNLKDQKGMVLPFQPLSMCFKNINYYVDVPAELKSQGIVEDRLQLLIDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDVNTRRVFVEEVMELVELNALSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGQLIYAGPLGSKSRNLVEFFEAIPGVPKIRDGYNPAAWMLEVTSTQMEQILGVDFAEYYRQSKLFQQTQEMVDILSRPRRESKELTFATKYSQPFFAQYAACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAVKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKRIPAWWRWYYWANPVSWTLYGLLTSQFGDLDQPLLLADGITTTTAVDFLRDHFGFRHDFLGVVAGMVAGFCVLFAVVFALAIKYLNFQRR >ORGLA01G0046400.1 pep chromosome:AGI1.1:1:3243650:3243946:-1 gene:ORGLA01G0046400 transcript:ORGLA01G0046400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLKSNDENDNNLRSGGGLGSARWIHHHWPRLGQIPSVHGSDVDDDRSGLGRGISNDRSPGMASTKSVAAIMGMVAAMMTNCNGGDFDWGLGLWFL >ORGLA01G0046300.1 pep chromosome:AGI1.1:1:3224495:3225579:-1 gene:ORGLA01G0046300 transcript:ORGLA01G0046300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVDVGAGDAAARVARAAEQWGAFLLVGHGVPAALLSRVEERVARVFSLPASEKMRAVRGPGEPCGYGSPPISSFFSKLMWSEGYTFSPSSLRSELRRLWPKSGDDYLLFCDVMEEFHKEMRRLADELLRLFLRALGLTGEEVAGVEAERRIGERMTATVHLNWYPRCPEPRRALGLIAHTDSGFFTFVLQSLVPGLQLFRRGPDRWVAVPAVAGAFVVNVGDLFHILTNGRFHSVYHRAVVNRDRDRVSLGYFLGPPPDAEVAPLPEAVPAGRSPAYRAVTWPEYMAVRKKAFATGGSALKMVSTDAAAAADEHDDVAAAADVHA >ORGLA01G0046200.1 pep chromosome:AGI1.1:1:3207880:3216106:1 gene:ORGLA01G0046200 transcript:ORGLA01G0046200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:I1NKS2] MDLLRSHLHKVRIPEPGSRIHKDECCVSFDTPRSEGGLYVDMTSFLGFGREHVAWNYEKSGNPVYLHIVQRRKPEPDDEADRPLKKPTLLAIGVEGGFTDQEPEYDEAFEIVILPEFTSLPFPSIDLPEKVRIAVDKVILAESADRKQQLASWVADKKKVSAHAMDLKQLDNGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRRLWDGSGGNNHAIEHYEQTKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDHNTNYDWNRIQESGKDAELLYGPGYTGLVNLGNSCYMASVMQVMFSTHPFISRYFEKQSLKAAFAIAPADPTLDLNMQMTKLAHGMLSGKCSVPNQEGQEGIHPRMFKTVIAAKHPEFSSMRQQDALDFFLHLIDQVDQANTGNHELNPFTGFKFIIEERLQCPSGKVSYNKRSDYILSLNIPLHEATNKEQLEAFHEKKAAMDLDGKEVSNEEIVRPRVPLEACLASFSGAEEVPEFYSTALNSKTTAIKTAGFKTFPDYLVLQMRKFVMEAGWVPKKLDVYVDVPDIIDISHMRSKGIQPGEELLPEGASGDNKAEPVHPVASEDIVSQLASMGFNYLHCQKAAISTSNTGVEEAMNWLLSHMDDPDINDPISKDSQAAEQTVDETSVQTLVSFGFQEDVARKALAASGGNIERATDWIFSHPEAFSSVPTDSSTSNMEDDDAHIPDGSGRYKLMAFVSHMGTSTHCGHYVAHVLKDGRWVIFNDSKVAASVDLPKDMGYLYFFQRI >ORGLA01G0046100.1 pep chromosome:AGI1.1:1:3197429:3205406:1 gene:ORGLA01G0046100 transcript:ORGLA01G0046100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQQSAWEAEKMLDVYIHDYLLKRNLQSTAKAFQAEGSVSSDPVAIDAPGGFLLEWWSVFWDIFIARTNEKHSDVAASYIETQSIKAREQQPSQLQQQEAHSQQSSQQIQMQQLLLQRHAQQQQQQQSQQQPQQQRRQQKQQQRSESSHLPTSAHNGLVSADPPTRQSTSAASSLSAKMYEERVKNSVQRDTLDEAPAKQRFTENIGQLLESNSSSMLKSVAITAQASGQIFHGSTGGVSGTLQQVQARNQQLQASTQEIKVDTNAAVHMRAAGADGSLIGVPGANPAGNNLTLKGWPLTGLDQLRSGFLQHKSFMQSPQPLHHLQFLTPQQQQLLLQAQQNMTSSPGEMDSRRLRMLLSSRNIVPGRDGQSNAYTEVIPSVGPSLQNMCSPVQRMETDMLMKKIAAIQQHQQSSNQQQLLQHSLLSQQPPISNHLPGQQEKMGAGSVTIDGSLSNSFRGSEQVSKNQNGRKRKQPITSSGPANSSGTGNTAVPSSEPSTPSSQSPGDTISMPSLHHNASLSKALVVYGTSTAGTMGSPSNQLADMDRFVEDGCLEDHVDSFLSHDDADRRDGSRMESTKGFIFREVSSVQASTNKVVCCHFSSDGKLLATGGHDKKVVLWHAETLKQKSVLEEHSLLITDVRFSPSIPRLATSSFDKTVRVWDADNQGYSIRTFTGHSASVMSLDFHPNKDDLICSCDGDNEIRFWSINNGNIVRIFKGGSSQLRFQPRHGGYLAVASENAVSILDVETQACLRRFEGHTKHVDSVCWDPSGEYVVSVSEDTVKVWSVNAGSDDRCVQELSCTGSKFHSCAFHPSYSSMLIIGCYQSLELWDMSENRTMTLAAHDSLITALASSSSGLVASTSHDKFVKLWK >ORGLA01G0046000.1 pep chromosome:AGI1.1:1:3179072:3182353:1 gene:ORGLA01G0046000 transcript:ORGLA01G0046000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSLQLVGALLLPLLAVVSSFDPFHRDANPMGGGAGQGPFIPHEYVRFADVKRQCKSVLSSAAELTFDANRANGLMPELSFVKGDWKHDGDGDGGGGAPLLPFDGTDVAEDAAAGAARDPLPLASFSLTHVDAARRGRTALNVSGVLGVAISRNGTGPEMGPYVSPEFKVWPGNTELKVLLEGVYTENDDGESVLCMVGDAVLPARGGDAANPWGWAKHSDRDRFQPPITKDGNILLVLRYPKTLTLTTRAVHGELTSTNGKTHAAYFDAVHLLSQLGAYSNYQFGSEELVGTACKPHPYRDDVLAGGGGDRGLYKGTSFCGILDRFTSEDVLAVVPNWRCNTTDDALCRRLGPFETDKAVDATDGGFAGVRIVMQEVRCEPRTDGGEISARVSAVFRAVPPWEHAYTAAKRSGLGGATLSAEGVWRASSGQLCMVACLGVGAKACHSRVSLYLQTTFSATRRSITVGQITSIGGGAAHFPPLTFQRTVHPMELWSRFGVTGGEPLSLAYSYTKTKQAGEFLRRSEPFDFGTVIAKSLLSYPRKSGDAADETTSLSNLAEELTLHVAAVPDPFPRGRFEWPFLQLEVLSLGSLVGRASPATFPGTPAAVGQSMASSSSSTTTKLDATAILNVSAELTISGDAYVNVSTLSLEGVYNPVDGRMYLIGCRRIQAPWRAFSAMGGVEEGMDCSIEVRVEYPPTTARWLINPTAKVHIASTRGGGDDPLRFNATALQTLPILYREQRQDILSRRSVEGILRVVTLAAAIAAEFSQLMYIKSHTDVMPYVSVVMLGVQAVGYSVPLITGAEALFARIAASSGDGGATPPPSYEVDKSQLYWTIDCVVKILILAAFLLTLRLVQKVWRSRIRLLTRSPLEPGRVPSDKKVLVYTSGAHLVGFAVVLAAHYVSVLARPVRSEASYMDARGEAHALREWAVTLEEYIGLAQDMFLLPQVIGNVVWRINCRPLKTGYYAGLTAVRLLPHVYDYVRAPAINPYFAEEYEFVNTSLDFYSRSGDVAIPLAAVALAAAVYVQQRWNYKIISKTVKTQQKKLQHLGSRVYERLPSMSSANFEAELVAGVNEGVGHGLRRDASLS >ORGLA01G0045900.1 pep chromosome:AGI1.1:1:3173477:3175378:1 gene:ORGLA01G0045900 transcript:ORGLA01G0045900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G03500) TAIR;Acc:AT2G03500] MASSSSDLTLDDHHHLTAVAAASGQATQKLQEFLSRLEEERLKIDAFKRELPLCMQLLNHAMEAYRQQLEAYQMGSQHGAAAAAAARAPLVLEEFIPVKNIGIDVVAADKAAAAAAAAGGNSVSSEKASWMVSAQLWNAPASASAVDTAAKGPQTPKEHSEHHPLDTSPKLITALDGGGGGGAFLPFSKDNAMGDGSAAAAAALPELALAPAEKAAAAITIAAGEVDKKPYAHDNGVVARSREAQNGGKPPSTPPDGQAVPPPPQPHRKARRCWSPELHRRFVNALQILGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPMPSPAPPTAATPQLVVLGGIWVPPEYATQAAGPAIYGAHPATQPHYTAAVAAQEYYHHHHHHLQHHPAAAALVHHRAVAPPPPLPPQQQLAPPYSAKSSASARLGSPDSDGRGSGGGGAAAASGAGRDMSESIEEEGEGEEREDDDDDEEMAATNNAHAVDGDDDDDEINTTTTTSAGAINY >ORGLA01G0045800.1 pep chromosome:AGI1.1:1:3154352:3157322:-1 gene:ORGLA01G0045800 transcript:ORGLA01G0045800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFTEEEKAVDDSLGYPKAYARLCRGGGGGGGGLPYGHGPPHGFLPYVLHPHEAMRAKDLNEMFPVADAEAAPTANPRGFANMLWKQLDHLGNAGFDPALFRVDAYGNVLYLHADSASPLAWDIDHWFPCARGGRTVPSNLRIVQAQVCRKKHNKLEFLVPWWDLQLGISVNQFLSIFASKNSDFRNRAFAFLFVDGASEELTSMQAVEAHAFPHHFSDLTKKLGLAPAAIVSSRGSDSSVLKSLDANRPLRPNYPLIAAKKFTGEKDENFNVPMSNHGPNSTKENNNPDADGYISNPYLSIATARDSLRQREEAKKKQAELTELENEATELKQKNEEERVAIQDMEALLIKRRRRVEKCRRLAEAQSNYKAVLEKMIRDAMHQSVVYKEQLRLNQAATSTLMARLEAQRAMCDSSETELRRKYQQKDELEKQIKPFTDQARKRYRVDDDGLLEERHSLEERHSERVKYFPGIRLRSRSPLKQELRVFLEEDQRASDAYISLEEEEIAGETSTMGNFRNSPFKVINFPRRSMEDNTVDTQRGRASVREKLEHLAIKERQRGRRRERTMSSRGSRATSTPVRSRDGNGKGKAAMVQCESETERSQTVSVPRTSSVPPSPPYRVTGMYGTPRYPAEKSVLLKKNNVIHRQGVGRSEGDANMNHTGKGTVDKWLQMLMEDQQQQEDPAAAYHSSEDHNTADEIASDEHQMQSRIDDKSCRNEITECSDEIVEVGGEGATEQQDRCRNSFEIKERGEEKKIWFPRSDSSRGFRSLPSSPSKILGMRRGVECMSRKPKVVGDDNGRYGYEDSVSTSSSKFLTRCKQAIKKAVNK >ORGLA01G0045700.1 pep chromosome:AGI1.1:1:3153198:3153874:1 gene:ORGLA01G0045700 transcript:ORGLA01G0045700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKQAHASTSKEERKSLCRLIDARKLTAEAAAHAVQNDRLPVRSVLQVLFSEHGKLNRLAELSGGASSFGAPSPAFDLPGARCPSKREVLAQHHELRRLREDFARLQVQCSALQAQVDRLSTERRRRGGGGSGGGGGFFKWSTFWFGGMSADVARVEDSESGIERRTPASGKKGRGGAAGAAAATPTPKWRKSMS >ORGLA01G0045600.1 pep chromosome:AGI1.1:1:3148098:3149508:1 gene:ORGLA01G0045600 transcript:ORGLA01G0045600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSSSQGQSPRTPSPRATSATAEHTRSSSEPWLVAAAVASTCDDSCVNDVENFARTVAAAKSRQLVGSSAASRPDMLASVLSHYAAKWLPDVVAASSSSSSPATSASGRFLPPESPTATWLKKRLLLESLVAALPPDPPAPGGGGGAADDGITCDFLLKLLRAGSMVGADAALLQELESRAARRLDQATLGAVMIPAFGHGYACGTLLDVPLVLRLVRGFLKDAGAGGGGAAKAGGGGGAAAARVARLVDAYLAEXALEAGLRPAEFEELARAVPAHARPADDALYRAVDTYLKVTHTYSPFHLH >ORGLA01G0045500.1 pep chromosome:AGI1.1:1:3143126:3145129:-1 gene:ORGLA01G0045500 transcript:ORGLA01G0045500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15930) TAIR;Acc:AT3G15930] MVRALSRARSLLDGIPHRRGHAASSSVSGHGAEEAVAGYVRMLAGGARPDGYTFPSLLKAAAAAAARGAAAAAAPVGGAIHAHVVKFGMESNAHAASSLIVMYAARGDGAAARAVLEAACLATGGGAPVMWNALISGHNRSGRFELSCCSFVDMVRAGAMATAVTYVSVLSACGKGKDLLLGMQVHKRVLESGVLPDQRVENALVDMYAECGDMDAAWVLFEGMQMRSMASWTSVISGLVRSGQVDRARDLFDHMPERDTIAWTAMIDGYVQVGRFRDALETFRYMQICKVRADEFTMVSVVTACAQLGALETGEWARIYMGRLGIKMDVFVGNALIDMYSKCGSIERALDVFKDMHNRDKFTWTAIILGLAVNGRGEEAIDMFYRMLRALQTPDEVTFVGVLTACTHAGLVDKGREFFLSMTEAYNISPTVVHYGCLIDVLGRAGKLKEALDTIDKMPMKPNSTIWGTLLASCRVYGNSEIGELAAERLLELDPDNSTAYILLSNMYAKSNRWKDVRRIRQIIMEKGIKKEPGCSMIEMNGIIHEFVAADRSHPMNKEIYSKLENVLTDLRNAGYVPDVTEVLVEVTEEEKQKVLYWHSEKLAVTFALLTSESNVIIRIVKNLRMCLDCHNAIKLISKLYGREVIVRDRTRFHHFRHGSCSCKDYW >ORGLA01G0045400.1 pep chromosome:AGI1.1:1:3140109:3141774:1 gene:ORGLA01G0045400 transcript:ORGLA01G0045400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NKR4] MSSAGHLVDQQRKSTTMKAHFVLVPMMAQGHMIPMTGMARLLAEHGAQVSFVTTPVNAARMAGFVTAVEAAGLAVQLVKLPFPATEFGLPDGCENLDMIQSRDLSRNFMEACGALREPLTARLRQLCPPPSCIISDMVQWWTGEIARELGIPRLTFDGFCTFASLARYIIFRDKLLDNVADEEIVTFSGFPMLLELPKARCPGSLCVPGMEQIRDKMYEEELQSDGNVMNSFQELETLYIESFEQITGKKVWTIGPMCLCDRDSNMMAARGNKASVDEAKCLQWLYSKKPGSVIFVSFGSLASTAPQQLVELGLGLEASKETFIWVIKAGNKFPEVEEWLADGFEERVKDRGMIIRGWAPQVMILWHQAIGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFLNEKFVVNLLKIGLEIGVKGVAQWGSEHKEVRVTRNAVETAVSTLMNDGEAAQEMRMRAKDLGVKARRALEEGGSSYDNIRLLIQEMGNKQNASG >ORGLA01G0045300.1 pep chromosome:AGI1.1:1:3137041:3138818:1 gene:ORGLA01G0045300 transcript:ORGLA01G0045300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NKR3] MSFASHAGAGAGDQQHRCCSRTVHFVLVPMMAQGHTIPMTDMARLLAEHGAQISLVTTPVNAGRMAGFVAAVEEAGLPVQLLELPFPAADFGLPDGCENIDMLQCKDDMRKFLEACGALREPLMARLRQHDLPPSCIVSDMMHWWTSDIARELGIPRLTFSGFCTFASLARDIVYRNNLLRDLTDEEEVVKLSGFPTPLELPKARLPGSLCVPGLEEIREKIYDEEMRSDGKVMNSFDELETLYMESYKQVTDKVWTIGPMCLCHRDRNTMAARGNKASLDEVKCLQWLDSKKPGSVIFVSFGTLVSTAPQQLVELGLGLEASNKPFIWVIKAGNKFPVVEKWLADGFEERVIDRGMIIRGWAPQMMILWHQAIGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFLNEKLVVDHLKIGMEVGVKGVTQWGSEQKEAQVTRNSVETAVSTLMNEGEAAQGMRMRAKDFGIKARRALEEGGSSYNNIRLLIQEMGNEQNASG >ORGLA01G0045200.1 pep chromosome:AGI1.1:1:3133779:3135992:1 gene:ORGLA01G0045200 transcript:ORGLA01G0045200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NKR2] MDDSTAGHAGGGGYTTAAAAAAHFVLVPMMAQGHAIPMTDMARLLAEHGAARVSLVVTPVNAARMAGFAAGVEEAGLPVQLVELPFPAAEFGLPDGCENVDMLPSKDLFSNFLLACGALREPLAARLRQRRPPASCIISDMMHSWAGDIARELGVPWLTFNGSCTFASFARDIIYRKNLLESLTDDEIVKVSGFPTPLELPKARCPGTLCVPGLKQISDKIYEAETRSDGRIMNSFQEMESLYIESFERTIGKKIWTIGPMCLCHRDSNAMAARGNKASMDDAKCLQWLDSKKPGSVIFVSFGSLSSTDPQQLVELGLGLEASKKPFIWVIKAGKKFPEVEEWLADGFEERVKDRGMIIRGWAPQMMILWHQAIGGFMTHCGWNSTLEGISAGVPMITWPHCSEQFVNEKLVVDHLKIGVEVGVKGVTQWGTEQKEVKVTRTAVETAVSMLMDEGEVAQEIRMRAKDFGMKARRALEEGGSSYNNIKLLIQEMGNKQNASG >ORGLA01G0045100.1 pep chromosome:AGI1.1:1:3125686:3126684:-1 gene:ORGLA01G0045100 transcript:ORGLA01G0045100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELHFLVVPLIAQGHIIPMVEVARLLAARGARATVVTTPVNAARNGAAVEAARRDGLAVDLAEVAFPGPEFGVPEGLENMDQLADADPGMYLPLQRAIWAMAAPLERLVRALPRRPDCLVADYCNPWTAPVCDRLGIARVVMHCPSAYFLLATHNLSKHGVYGLAAGDGSRSRCRTSRCAPSSTRPRSAASSSGLAWRRRSATRSRPSAPPMASSSTRSATSRAPSSTATRRRSAGGRGPSGRPSAASPTLRRSRSSSSPAASRRRGGRSSGPSRRPRPPPLPPPP >ORGLA01G0045000.1 pep chromosome:AGI1.1:1:3099438:3118180:-1 gene:ORGLA01G0045000 transcript:ORGLA01G0045000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRTPFKGVVTDIEGRVTWYKHDWVAGFRSGFRILAPTMYIFFASALPVIAFGAQLSRETNGILTTVETLASTALCGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKNQQALGERLYLAWAGWVCIWTAIMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIIEEFKVPRDADHSSPIYQFQWLYVNGLLGVIFSIGLLYTALRSRRARSWVYGQGWLRGFIADYGVPLMVIVWTAFSYTLPKDVPSGVPRRLFSPLPWESSSLQHWTVAKDLFSVPPAYIFAAILPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTANEGLMNRASSLEIYGKIQGVFIEMDCEKNVVLYGKCSSKSTYRSKIVLQTDSVDKELKSLKDAMLQEGDKEGTLAEEFDPIKHIEAHLPVRVNEQRLSNLLQSLLVGACVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERIRLIFIPSSRRYKVLEGPHASFMESVPSKTITVFTIFQLVYLLICFGITWIPIAGILFPLPFFLMILIRQHVLPKFFEPNDLRELDAAEYEELEGVHHDHTLEDGASDSGSCGSRDDAEILDELTTNRGELKHRTFSHREERHLQVNYWHEQYCAECARD >ORGLA01G0044900.1 pep chromosome:AGI1.1:1:3066865:3071272:-1 gene:ORGLA01G0044900 transcript:ORGLA01G0044900.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILKSLGIEKGKTQDAVLALNKHGIGVLHAAACQGHLNVCKFLVEELGGDVNIAGKEDITPFMAAAESGDVPTVQYFLDHGGDVTKADVRGCTVLHHAAGTGCCKVTEFLLSKGIPVDIDCGLGTPLFHASNNGKDKTLKILLDHKADPNVIINNGAGSPLMSSLIYRSLKCMKLLIKAGADVNGKGTVVTPLMLAASQGGYTNFIQFLLKAGANPNIPDDLGWLPIEHAALRDCREEVEMLFPLTSPIPNVPNWSVNGIIAHAKVKNTKPMDQHQKESRKVVLKAQADLVFKQKNYAAAAKIYDLAIAHGPTAVLYANRSICRLLMGDGEGALSDAYRCRMMRPNWAKACYRQGAAHMLLKEYKHACDALMDAQKLDTGNVEIERELRKARELMAKPPDEQ >ORGLA01G0044800.1 pep chromosome:AGI1.1:1:3063032:3063448:-1 gene:ORGLA01G0044800 transcript:ORGLA01G0044800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASGAAFSVRPSPAAARPCVAASASAAGAARFRGDGSGGGGGGGGGGKWWAPLLGWSGQPDYIDAQPAAREEARPNPMAAAEQRGGGGKRFGVLTEEKARQLRARMMETESFHDCMYHSAIASRLASAAPADDGKH >ORGLA01G0044700.1 pep chromosome:AGI1.1:1:3057036:3057984:1 gene:ORGLA01G0044700 transcript:ORGLA01G0044700.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSTRQGFHPSLSQTAPPQNPRRVAGTFVEGHRCRACKTSSSDSTSTANLAARPETTSHPGTQLKETNGAANDQRTGRRNNSARHPYRTTKLPGIDWKQLSLRREEHLRRGERAPHRQRASEEQLLKKGRTGSGGPEGREMEAPSPSPAKAAAGQQAGQDHAAAGTGAAVVADETAPELLRPRAPASIKTVAGHAKTRRRAAIVTPARSGGATGSGDALDGAAPPSPRGAPPPRRRHDLAVPPLSSPHPHRGKMEPREMALPPPSQRVARLCRRRAPAAAKQGGGRRRGGGG >ORGLA01G0044600.1 pep chromosome:AGI1.1:1:3052433:3055290:-1 gene:ORGLA01G0044600 transcript:ORGLA01G0044600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGGTSSVASGGGKRLEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAVFGGFPSTAWFDVADLSEDAPDDVEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCYAHGKYGNGNPYPVNLTVSVGLSGWLPCARSLKNKIESSQEAAQKASSIPLLLCHGKADDVVLYKHGEKSADALKTTGFSNVVFKSYNRLGHYTVPEEMDEVCKWLTANLGVSSSSSA >ORGLA01G0044500.1 pep chromosome:AGI1.1:1:3049208:3051302:1 gene:ORGLA01G0044500 transcript:ORGLA01G0044500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVSTALMRGLVRSSRAPRVAAVSQPAIQQFRNYSSGLGGDSSAKGDSSSTRVAADPDTHQDFQPTSKSSNMSFDDIVARDIKENPVLIYMKGFPESPMCGFSALAIKVLKLYDVPISARDILGDLKLKECVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQKGQLKDVLGDIAQKHEQKESS >ORGLA01G0044400.1 pep chromosome:AGI1.1:1:3041626:3043646:-1 gene:ORGLA01G0044400 transcript:ORGLA01G0044400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G26700) TAIR;Acc:AT2G26700] MAAIKEESDYDSSRSSLTAPDSRRSWISDIGSSSSVSARSFGGGGDTPASSCRYKPHKANQAEWEAIRRLRAGAGRVGLEHFRLVRRLGSGDLGNVYLCRLREPWSSSSMTTTAGGCLYAMKVVDKDALAFRKKLRRAEVERDILRTLDHPFLPTLYADFEASHYACLVMEFCPGGDLHVARQRQPGRRFTISSTRFVQFYVAETVLALEYLHMMGVVYRDLKPENVLVRGDGHIMLSDFDLSLKCDVVPKLLRPAKSAGAGGKPPLPPPSSCVPPTIQPVLSCIFRGVHKCHHAKECAGGGAAAGNYGDGDEEEAETETAEPEVVVVEPVAARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFMYEMLHGRTPFKGESNEKTLINIIKQPVTFPRLAAAAAGEWEEMKTAQDLMLQLLAKNPKKRMGSTMGSAEVKRHPFFKGVNWALVRSVRPPEVPAPPAPAPKKVMMMSKKERQEPYNYRPEDHFDYF >ORGLA01G0044300.1 pep chromosome:AGI1.1:1:3035364:3036365:-1 gene:ORGLA01G0044300 transcript:ORGLA01G0044300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGMEWEVGRRRDAEDVIVLSPGPPARRRPPPVKAVEPDSGGFAYEPPEKLFYKTRVCETFVTSGRCMFEDGCTFAHGDEELRPSLTACAGGWRKPSPSLAAPPVAVAVAPTPPPAQVVHELLARGSGSGGGHRAITKVCFEFRDKGTCYFGETCAFPHVSAAEIRQGSRLSSMSSSSWEMPARRSVAVTVPRTFVSVPPVAPPPPPPHYRVNNNSSSSSGYNAASMAAAAPPAASDANLVAQQPPPEQGGRKMTRLEMLSLKKMTGIYGDWLEGYEHP >ORGLA01G0044200.1 pep chromosome:AGI1.1:1:3032282:3034874:-1 gene:ORGLA01G0044200 transcript:ORGLA01G0044200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent oxygenase [Source:Projected from Arabidopsis thaliana (AT4G25600) TAIR;Acc:AT4G25600] MGSGIGAVLVLVAAWLAFAPPGALASSRRFDLSIAQEKLVNSTGGSTASSSHLVYPSKSKRLSWHPRIFLYEGFLSDMECDHLVSTGRGNMESSLAFTDGDRNSSYNNIEDIVVSKIEDRISLWSFLPKENGENIQVLKYGVNRRGSIKEEPKSSTGGHWLATILIYLSDVKQGGETVFPRSEMKDAQAKEGAPSQCSGYAVRPAKGNAILLFNLRPDGEIDKDSQYEECPVLEGEKWLAIKHIHLRKLDSPKSSLASEDECTDEDDRCVRWAASGECDRNPVFMIGSSDYYGSCRKSCRVC >ORGLA01G0044100.1 pep chromosome:AGI1.1:1:3025485:3029364:-1 gene:ORGLA01G0044100 transcript:ORGLA01G0044100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase [Source:Projected from Arabidopsis thaliana (AT5G20080) TAIR;Acc:AT5G20080] MAALLLRRLAGTHRGRVPLAAAAAVAGGAALFCASSPPIIALMEEKGEDAATKVALNPDKWLEFKLQEKATVSHNSQLFRFSFDPSTKLGLHVASCLITRAPIGEEVEGRRKFVIRPYTPISDPDSKGYFDLLIKVYPDGKMSQYFASLKPGDVVEVKGPIEKLRYSPNMKKQIGMIAGGTGITPMLQVVRAILKNRDDNTQVSLIYANVSPDDILLKRELDRLASSYPNFKVFYTVDKPSNDWRGGVGYISKDMALKGLPRPGEDSLILVCGPPGMMNHISGDKAKDRSQGELTGILKELGYTADMVYKF >ORGLA01G0044000.1 pep chromosome:AGI1.1:1:3023453:3023788:-1 gene:ORGLA01G0044000 transcript:ORGLA01G0044000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSQTFRRSGSSGLVWDERLMLDGHSERDQEDGALELRHSRSVGSIGLQRRHGDGAGHTRCNNSQAFHTRRMPPAQDPPSPKVPGCIFCGIFRKPVLSEPSKPRRF >ORGLA01G0043900.1 pep chromosome:AGI1.1:1:3015727:3016539:-1 gene:ORGLA01G0043900 transcript:ORGLA01G0043900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEQEQEKQQAKTSTTSSLPSSSERSSSSAPNNLKEGGGVESDEEIRRVPEMGGGGGSASSGAGADERQGKEDGKQQGGGGGGGGAAAGGGQEQAPPARKRGRSAGDKEQNRLKRLLRNRVSAQQARERKKAYMTELEAKAKDLELRNAELEQRVSTLQNENNTLRQILKNTTAHAGKRGGGGGGKGGDGGGGGKKHHFTKS >ORGLA01G0043800.1 pep chromosome:AGI1.1:1:3009119:3014664:1 gene:ORGLA01G0043800 transcript:ORGLA01G0043800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAAPMPLAAMAASGRDDGDDVPLFFLHAVGAAAHLVVAVAVAARMVFGWWCRRGKDGEVRGGGGGGGGGFRWRWVAASATWVLGGFGVILAAYEGYLGGGGGGGWSRGAVVEEADAAARAVAWLLLAAYLQFRFGRRREERFPAPLRLWWALFLLLSLLAVAVHAVTGLDGRPVPAHSWALDAVSVLAAVALLFAGFLGRREPGGSAIEEPLLNGGASATAAGENNSNNCAADASMFTGAGFLSVLTFSWMGPLLAVGHRKTLDLDDVPGLDPGDRVAGLLPPFKTNLEALAGDCSGRKVTAFTLSKALVRTVWWHVAVTAFYALVYNVSTYVGPYLIDSLVQYLNGDERYASKGQLLVLAFIVAKVFECLSQRHWFFRLQQAGIRARSALVAVVYQKGLVLSSQSRQSRTSGEMINIISVDADRVGLFSWYMHDLWLVPLQVGMALFILYSTLGLASLAALAATVVVMLANVPPGQMQEKFQQKLMDCKDVRMKATSEILRNMRILKLQGWEMKFLSKIIDLRKTETNWLKKYLYTSTIVTFVFWGAPTFVAVVTFIACMLMGIPLESGKVLSALATFRVLQEPIYNLPDTISMLIQTKVSLDRIASFLCLEELPTDAVLKLPSGSSDVAIEVRNGCFSWDASPEVPTLKDLNFQARQGMRIAVCGTVGSGKSSLLSCILGEIPKLSGEVKTCGTMAYVSQSAWIQSGKIQDNILFGKQMDNEKYDRVLESCSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGELASKTVVYVTHQIEFLPAADLILVMKGGRIAQAGKYDEILGSGEEFMELVGAHKDALTALDAIDVTNGGNEASSSSKTASLARSVSVEKKDKQNGKEDDANAQSGQLVQEEEREKGRVGFWVYWKYLTLAYRGALVPFILLAQILFQVLQIASNYWMAWAAPVSKDVEPPVSMSTLIYVYVALAFGSSLCILVRALILVTAAYKTATLLFNKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTSIAYQMGSVAFSIIQLVGIIAVMSQVAWQVFVVFIPVLAACFWYQRYYIDTARELQRLVGVCKAPIIQHFAESITGSTTIRSFGKENQFVSTNSHLMDAFSRPKFYNAAAMEWLCFRLDMLSSLTFAFSLIFLVNLPTGLIDPGISGLAVTYGLNLNMLQAWVVWSMCNLENKIISVERILQYMSIPAEPPLSVQDDKLTQDWPSEGEIMLNNVHVRYAPHLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIVDPTIGQILVDSIDICTIGLHDLRSRLSIIPQEPTMFEGTVRTNLDPIGEYTDSQIWEALDRCQLGDEVRRKELRLDSPVIENGENWSVGQRQLVCLGRVILKRSKILVLDEATASVDTATDNLIQKTLRQQFSDATVITIAHRITSVLDSDMVLLLDNGVAVERDTPTRLLEDKSSLFSKLVAEYTMRSTHT >ORGLA01G0043700.1 pep chromosome:AGI1.1:1:2990241:2992190:1 gene:ORGLA01G0043700 transcript:ORGLA01G0043700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTTAGVKCGGGGAALPLSTLNHVSLVCRSLSTSLTFYRDFLGFVSVRRPGSFDFDGAWLFNYGIGIHLLQAEDPESMPPKKKEINPKDNHISFTCESMEAVQRRLKEMGVRYVQRRVEEGGVYVDQIFFHDPDGFMIEICTCDKLPVVPLDAAAAHSIFDGRSPPPVACKRPVVKQPSATKLGSIAAAGCVGEVIVVDATNGAISAAAGAMS >ORGLA01G0043600.1 pep chromosome:AGI1.1:1:2979327:2980751:-1 gene:ORGLA01G0043600 transcript:ORGLA01G0043600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEITEGVRNLAVEGEPAAAAAAAGGGGEGAQRRAAGSSSNRIQVSNTKKPLFFYVNLAKRYMQQHGDVELSALGMAIATVVTVAEILKNNGFAVEKKIRTSTVEINDESRVRPLQKAKIEIVLEKSEKFDELMAAAAEEREAAEAEEQA >ORGLA01G0043500.1 pep chromosome:AGI1.1:1:2977166:2978413:-1 gene:ORGLA01G0043500 transcript:ORGLA01G0043500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative thiol-disulphide oxidoreductase DCC [Source:Projected from Arabidopsis thaliana (AT1G52590) TAIR;Acc:AT1G52590] MATTSACCYRPLSAPPLHRRRGPPQQQQQLRTAVGGRRRRPSLQVAAATGGGPSVKAATDAEFFQNSDTRPIMLFDGVCNLCNGGVRFVREHDPNRSIRYIPLQSESGRKLLQRSGRAPDDISSVVLVEKDRSYIKSEAVLRIMGYLNLPFPQLATFLNFVPLFLRDFAYDNVADNRYAVFGRSESESCEIL >ORGLA01G0043400.1 pep chromosome:AGI1.1:1:2974219:2977008:1 gene:ORGLA01G0043400 transcript:ORGLA01G0043400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPEXGSLIMEGDIPGTGLEKIPLIPQKISELLAHSNSTVNLLEMSRNGRIIAINDTGNPVVPQRIWVEAKYTFVLLLVLTRSSEAQKRPLYNVLDFNATGDGKTDDTKAFLAAWEETCNNEGWPILIIPGGRTFLLKKIKFNGSCKSPIKIQMDGNIVAPNYIWTSEADNLITFYSVNNLTLDGNGQIDGKGAIWWTCYTEKKCVYRPVILAFAACNNLSVTNIHLTNSADKHMTVYRCSQVHVHNVTIVAPGDSPNTDGITMAISDHVYISNCSIQSGDDCVSMLSYTTDVNITGITCGPGHGISVGSLGRFETALVERITVSNCNFIGTTNGVRIKSWQGGMGQAMGFIFENINMTAVQSPIIIDQFYCPQGNCPLKDGGVAISDARFINIHGTSSEQEAIKILCSQSVHCQGIYLSNINLSWENHTALANATILNANGTVEGPVVPKVVFS >ORGLA01G0043300.1 pep chromosome:AGI1.1:1:2968879:2969757:1 gene:ORGLA01G0043300 transcript:ORGLA01G0043300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISEMSALFFLFSALLRSSLVHSQGLQIGFYDNNCPDAEDIVRSTVEKYYNNDATIAPGLLRLHFHDCFVQGCDASVLISGASSERTAPQNFGIRGFEVIDDAKSQLEAVCPGVVSCADILALAARDAVDLTGGPSWSVPLGRRDGRISSASDAKALPSPADPVSVQRQKFAAQGLTDRELLIG >ORGLA01G0043200.1 pep chromosome:AGI1.1:1:2961714:2965170:-1 gene:ORGLA01G0043200 transcript:ORGLA01G0043200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:I1NKP2] MAQMLLHGTLHATIFEAASLSNPHRASGSAPKFIRKFVEGIEDTVGVGKGATKVYSTIDLEKARVGRTRMITNEPINPRWYESFHIYCAHMASNVIFTVKIDNPIGATNIGRAYLPVQELLNGEEIDRWLDICDNNREPVGESKIHVKLQYFDVSKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPKIPLADGKNYEPHRCWEDIFDAISNAQHLIYITGWSVYTEITLVRDSNRPKPGGDVTLGELLKKKASEGVRVLMLVWDDRTSVGLLKRDGLMATHDEETENYFHGSDVNCVLCPRNPDDSGSIVQDLSISTMFTHHQKIVVVDHELPNQGSQQRRIVSFVGGLDLCDGRYDTQYHSLFRTLDSTHHDDFHQPNFATASIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLLQLRDLSDTIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPDTPEEAAKAGLVSGKDQIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSYAWKPEGIKPEDIGALHLIPKELALKVVSKIEAGERFTVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYTDITEALQAKGIEANPKDYLTFFCLGNREVKQAGEYQPEEQPEADTDYSRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLATRQPARGQIHGFRMALWYEHLGMLDDVFQRPESLECVQKVNRIAEKYWDMYSSDDLQQDLPGHLLSYPIGVASDGVVTELPGMEYFPDTRARVLGAKSDYMPPILTS >ORGLA01G0043100.1 pep chromosome:AGI1.1:1:2957014:2959555:-1 gene:ORGLA01G0043100 transcript:ORGLA01G0043100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGGGGGGGGGGGGEGGSASRVGDWGVDLGEGWDWRSIPKLLSSACLFICSGGCFGCCDKAVRHVGQLSKSSITPDQNYTIGDELWSTTTIEVEQSWALDQQGVGSSQGPTEFVNHGLVLWKEIRKDWTAKTRQIPETKQIREPILSWNAAYESLLGSNKPFHQPIPLHEMVDFLVDIWEQEGLYD >ORGLA01G0043000.1 pep chromosome:AGI1.1:1:2950868:2955024:-1 gene:ORGLA01G0043000 transcript:ORGLA01G0043000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLGWEMASATARGPRYAPPDPTLPKPWRGLIDGNTGYLYFWNPETKAVQYDRPTAPPPSSPPAQQPPERPRNSDPAESQAQAGASRTQNAAPADDRARNDHLNDHFERRTEAAGSHAQNVPFTEQNTRSNPSSQPCSAAGVYPAQNVFSEAASGDRTSPEAYRAKHEITIVGNEAPAPFMTFQSTGFPPEILREVSAHNLHDYLMHFLVSFSKEIRRSLCVHTTYVITTLDVHLSRLFKSTIYVKVQQAGFSAPTPIQAQSWPIALRNRDIVAVAKTGSGKTLGYLIPGFILLKRLQHNSRDGPTVLVLSPTRELATQIQDEAKKFGRSSRISSVCLYGGAPKGPQLRDLERGADIVVATPGRLNDILEMRRVSLHQVSYLVLDEADRMLDMGFEPQIRKIVKQVQPKRQTLMFTATWPKEVRKIASDLLSNPVQVNIGNTDQLVANKSITQYVDVITPPEKSRRLDQILRSQEPGSKIIIFCSTKRMCDQLARNLARQYGASAIHGDKSQAERDSVLSEFRSGRCPILVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGVAYTFFCDQDSKYASDLVKILEGANQSVSQQLRDMVSRGGYGGRSRRWASSDDSYGGRGYDSGYTSRSTDNYNSGYGSQSGNGSSFHSSFHNSNSGNQFGDTSGFQTSFHNSSSNNQTSDNPSFHASNNDQPGDGLSFHARFYSSSRGSDQSRTNNAGFRDRSRSPPSNRNHEDPGSKAVGVSNW >ORGLA01G0042900.1 pep chromosome:AGI1.1:1:2948193:2950162:1 gene:ORGLA01G0042900 transcript:ORGLA01G0042900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAAAASRATAWSTARHGAARVTASASFSGGGGIVAGAALPLRVRGGQLMSLPLLSGGRAVTARVAAAEAPLPADDADAAAGRERGALAETAQLGAMIVAWYLLNIYFNIYNKQVLQPLPFPYTITAFQLAFGSLVIFLMWALKLHPAPRISISQLAKIAPLAAGHMLGTVFTNMSLGKVAVSFTHTIKASEPFFTVLLSAFFLGETPSLLVLGSLVPIVGGVALASLTELSFNWIGFWSAMASNLLYQSRNVLSKKLLGGEEEALDDINLFSILTILSFLLSLPLMLFSEGVKFSPGYLRSTGLNLQELCVRAALAGFCFHGYQKLSYLILARVSPVTHSVANCVKRVVVIVASVLFFRTPISPVNALGTGVALGGVFLYSRLKRTKPKNA >ORGLA01G0042800.1 pep chromosome:AGI1.1:1:2942051:2945223:-1 gene:ORGLA01G0042800 transcript:ORGLA01G0042800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGKHGESSPPSDRRPLHFAAFLLLADAALVALIVAFVPYTKIDWDAYMAQKVDAFVEGERDYTKIEGDTGPLVYPAGFLYVYSAIKLLTAGQVFPAQILFGVLYIVNLSLVLLMYVKTEVVLPWWALGLLCLSKRVHSIFVLRLFNDCFAMTFLHAAMVLIMYHKWYLGLVIFSAAVSIKMNVLLFAPSLLLLMLKAMSIKGVFFALLGAAALQVLLGMPFLLSHPVEYISQAFNLGRVFIHFWSVNFKFVPEKFFVSKELAVALLVLHLTTLLVFAHYKWLKHEGGLFHFLHSRFKDATSIGQLIFAKPKLSTLNKEHIVTVMFVGNFIGIVCARSLHYQFYSWYFYSLPFLLWKTRFPTFVRVILFLAVELCWNIYPSTAYSSLLLLFIHISILFGLWSSPAEYPYANGKK >ORGLA01G0042700.1 pep chromosome:AGI1.1:1:2938497:2940993:1 gene:ORGLA01G0042700 transcript:ORGLA01G0042700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLRRSPRIKELNEKVQVNNDQVPATKPIVLQDSNTRKRKGKLPIGQARDKNAQRTPPHQLNLQSSRQMNIGGSAYMSHLLGINPTQVHDQFGFSSASFGQSSSLPQNQATYSGILPREGQTTAYLYYPFLSSFTPDASTPSGSTSKQGTPVAHDNTTEQLRKLTEANTIGGSNPRTSSHLLNHHISSFFPVTPLPNTNHGSLAPLQPPRNEQPPLPPYVSSEITTTGNRPAESFFQPPPPPPPRPLDTGAGDNDDMAAVERGPRCDLQTVDLLSLVESVGTPGFLANSARVLGSMHEPRRGVAAAAARGGAAPPPPEPSLVLGLGDGNGDGREKAWSYWNNSSAMARTMERKRRSETATAMATATQASQALPVKTTLGLGEDAMKRRP >ORGLA01G0042600.1 pep chromosome:AGI1.1:1:2932410:2934948:-1 gene:ORGLA01G0042600 transcript:ORGLA01G0042600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTMAVDTTASEYWLNWRFMLCAVWVYSCMVLACFLIWKYEGPSSQDGNGDGGEDSEDARPPRAASGVVYLEDCWKPCLEQIHPGWLLAFRVVSFFILASLLAVDVVVDGWSVFLYYTQWTFLLVTLYFGLGSVLSIYGCYQYSYKNGDNRSGADHGTYIIAPAGESVYEQSIKNPCYSKMHGGKEIAGFWGYLFQIMFQTNAGAVMITDLVFWFILYPFLAYNQYDMNFLLIGTHSINVVFMIGDTAMNSLRFPWFRIAYFLLWTGAFVNVQWLIHASISIWWPYPFLDLAFPKAPVWYLVVAVMHFPCYALFALVMRLKQSLLERWFPHSYTCV >ORGLA01G0042500.1 pep chromosome:AGI1.1:1:2930878:2931324:-1 gene:ORGLA01G0042500 transcript:ORGLA01G0042500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKAAIHRAVLRFVAFYYPSIPFIGFGGIAYMFCFCAMPDDTFSGCVRRRDLWRLTPLLLCAAYMSLLALVSMHTRLFLPRAPNAVLTDLLDVGTVRVGIPLAWLACVGTGAGFTFAIALDCVFVVLIARVLAIWSRLVRTYLHSGD >ORGLA01G0042400.1 pep chromosome:AGI1.1:1:2926030:2928625:-1 gene:ORGLA01G0042400 transcript:ORGLA01G0042400.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFESAPVDGGACTDADGRRDDRQDGHTPCRAVVSGRLLPIYPIFTTSGAAYALCTALQYPTFSGCVRRDLWRLTTLTLWAAYMSLLALVSMHMRLLLPRAPDAVLTDLLDVGAARIGIPLAFLACLAASLGVTRVAIALDCVFVVLIAHVLAIWSRLVRTYDQNMVMNNXSVLNARPTPCSRTSSTSA >ORGLA01G0042300.1 pep chromosome:AGI1.1:1:2918959:2923113:-1 gene:ORGLA01G0042300 transcript:ORGLA01G0042300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGFSTKLTAFFWPWRFSPWRLSPRPPRRCRARICRPRELLPRRLWRPRPRCTTSPTQAAAAGRLRSATGFRYLIGTSPRRHLPVLHRRRHRRPLSSSHHLLAWWSLWLYLXYHISTKSTQEILRGQXKCMVPPPCWLDQLTAXFGXRIQXWPAKRILTLLLSXCMHYFACRHSLTXCVSVLVKELLNRYGYILGAFFIGCLSAISIVVHWDLYPSKVVKIIFRCFGGISLLSCHYILLNDILGSVPKRSQKVATGFDLILSCITNLVTFIITFHDHPEHTTSLIISGVGFVLNVVEIVLLVIVTIMGYFFPLSEHPDADVEASRHISATRVTGVGMIPLEDALGTPETDDFIAVLTHEPQVPQFEVSYLILQVRNGFEIYSLRSMVNPNVQIITRVLFVEVIQRTMMRIERDHYIQILLQASGIAAAEPYPLMLRDVEIHYQTIRNQHEALP >ORGLA01G0042200.1 pep chromosome:AGI1.1:1:2911963:2916306:-1 gene:ORGLA01G0042200 transcript:ORGLA01G0042200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVDAAAPGGGEGAGGVRSRCGRGALHLAAANGRTHVCRFLLEGLGLPVDALSASGAPPSRPAAAARSSHVSSMLAERLISCFVFDAAAHAGETPLLLAATFGHTSTAAYLLDRGADPSTPDPNGGDTPLHWAAYNGDRELAKLLLLRGADVGAANPRGTALHVAAARGHAAVVSVLLNHGADPNKIANIVFTPLVSSLLGGSLECMKLLIQAGANVNGAGFNGATPLLLACSRTGSIGFIKCLVESGADPNIPDELDRLPIEIAAIQAEREVIEVLLPLTHQVPTLLDWSVGGIIRYVKYPAYKEWARNASCKRKDELKLQGNSAFNNEDYDAAILLYSMAMKFDNTDAKLYSNRSACWLNLGIGDEALSDAQICSKMQPDWAKGYYRQGMAFSLLQDYASASYVLRRALKLDPQNATVAKALRCYENKIYMTENVLEKRGDVLETACPRMEESGLAFVHQKKQDWSPAAAGRSVPDATFQIDKKILPVWVKIWGVPRRPLLGCSWMYLPPVNNVPESSAPEEQQITVLGSE >ORGLA01G0042100.1 pep chromosome:AGI1.1:1:2907522:2907872:-1 gene:ORGLA01G0042100 transcript:ORGLA01G0042100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARRLKMLVLVSLVPLALRATSLLAGHVAPPCSPESLRPEHQPAGAGDGVTMGGGGASASSYRRNRRRMEGGLAAAAVAFHARRFRPHGGGGGGGGFEADKRLAPTGSNPLHNLR >ORGLA01G0042000.1 pep chromosome:AGI1.1:1:2903998:2905044:1 gene:ORGLA01G0042000 transcript:ORGLA01G0042000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKRVYANQSTVELVIGVLSREGALARMAALVERIHGKKCAPGVVAHVALTLKIFEEGRTEQGILLLRRMLQRNMVFDNIAYSLIVHAHCQAGDLKSTCEQRDDMVRHGCRLNSFVYTCLIRVHCRAGDVDEAMQLFEEMISIGLKPYDATYSHLTAGCFRQGRMKEGSEYMDKMLHQGSVPDIGTCNDMLEALCDSGHVSKANELLTALMDKGFVPDQNTYLRMTNGYGKVGDAQGIIKIYHEMEHRGLNIGVDVFSSLIRALCKCGYLKEAEKFLAILERKLLAPTSEIYDLLISGNCEKGNTKKALWFYDRMMIGNDKLVPSADTFMMLVRRVIKPKSTCSPNC >ORGLA01G0041900.1 pep chromosome:AGI1.1:1:2892940:2895604:1 gene:ORGLA01G0041900 transcript:ORGLA01G0041900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRAGCCVRFIGCCLAADGDAALSQSAAALHRMASAFLDAHGEPLVLLDHRVLCSHGVVTVGHSQAFAAAMRQRREEIPPAPFRILLQEEYVGWAEVAACANGNKIVDKKSWRASVRNMLDAVYRMICQVLASAVRSVWAIGTYMLPLSAAEFFRRRLPASAVPEKFSWRGVISTFRFQIFPDTCAIVACSVCIEAQHRLEFERLHGQGTFILELPDSTRKLRRFCLERKAWFKGKGAHIDSLLSLIQETGGVPAISTTNTRSSLLLPLHSYDYFSLRGCWTNLTPQQAAQLIFTGGPCIGSLWVDGSYTSKHHYSDDNDDDEEDMLVYRGW >ORGLA01G0041800.1 pep chromosome:AGI1.1:1:2886504:2891272:1 gene:ORGLA01G0041800 transcript:ORGLA01G0041800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGLARDAAAAYLRRGGAPARVFSAASRAPGPVVANPGGELRAFGLFRSPMARRADAFEVPSAAGRHGAQGVWSRSSVPALVRAGAPNSRALPFLVGRVVRGFYPQLSGHKLVKGLGMGSTLAATFCSQKVAYAEEVAEQPSEGLIGPSTKHQISKLWTIIRKYQLPVGLIALIALGWQNPLGLFINVLLILYSSRPSPYSIYLFLQEVRHGEMHQNRAFWKEEAVLTRKVDTKDYKLFSIGTVESADREVLHVIGILGNWWIYRASYGNVENRSFSRGLYWKEFPHLTLEQNLFVMGGRKIGVAVDFSSCSKAALRWASTNLTRSGDQLVLIHVNSSYHNEQGAVQLWEQSGSPLIPLAEFSDPHVAKTYAVSPDKETLEILNQMSNQRGVEVLAKILYGDPAKKLYEAVDLVPLNCLVVGNRGLSTLKRALMGSVSSYIVNNATCPVTVVKENI >ORGLA01G0041700.1 pep chromosome:AGI1.1:1:2874783:2880357:-1 gene:ORGLA01G0041700 transcript:ORGLA01G0041700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGCSSNRLRQTIVVALLLLASSSCLASAKHRGNGTTTTVPFHGKDELRRYRKIMAQVARLKKASVKTIQSPDGDIIDCVPAHLQPAFDHPKLRGQKPEAEPEERPKVGGAAAAEAEEEAVFPQAWTDGGESCPEKTVPVRRTRRRDVLRSSSAVRFGMKQPRAAGVVRRDSTSDGHEHAVGYVTGDQFYGAKASLNVWSARVATAAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDNNPRFFTYWTTDAYQATGCYNLHCSGFVQTNNRIAIGAAISPTSVYNGRQFDISLLIWKDPRRGHWWLQLGSGPLVGYWPSSLFTHLGGHANMVQFGGEVVNTRPSGSHTPTQMGSGHFPREGFNRAAYFRNLQVVDWDNNLLPAAALRLVADHPSCYDIQGGYNRAWGNYFYYGGPGRNVRCP >ORGLA01G0041600.1 pep chromosome:AGI1.1:1:2857712:2861185:1 gene:ORGLA01G0041600 transcript:ORGLA01G0041600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGLSRRRRPDAAALLCCVVAVVAACMVGGALAADAQGAALLAWKRTLRGGDTALPDWNPADASPCRWTGVRCNANGRVTELSLQQVDLLGGVPDNLSAAMGTTLERLVLAGANLSGPIPAQLGDLPALTHLDLSNNALTGSIPASLCRPGSKLESLYVNSNHLEGAIPDAIGNLTALRELIIFDNQLDGAIPASIGQMASLEVLRGGGNKNLQGALPPEIGNCSKLTMLGLAETSISGPLPATLGQLKNLNTLAIYTALLSGPIPTELGRCTSLENIYLYENALSGSIPAQLGGLANLKNLLLWQNNLVGVIPPELGACTGLAVVDLSMNGLTGHIPPSLGNLSSLQELQLSVNKVSGPIPAELSRCTNLTDLELDNNQISGAIPAELGKLTALRMLYLWANQLTGTIPPEIGGCAGLESLDLSQNALTGPIPRSLFRLPRLSKLLLIDNTLSGEIPPEIGNCTSLVRFRASGNHLAGDIPPEVGKLGSLSFLDLSTNRLSGAIPPEIAGCRNLTFVDLHGNAIAGVLPPGLFQGTPSLQYLDLSYNAIGGTIPANIGMLGSLTKLVLGGNRLSGQIPPEIGSCSRLQLLDLSGNSLTGAIPASIGKIPGLEIALNLSCNGLSGAIPKGFAGLARLGVLDVSHNQLTGDLQPLSALQNLVALNISYNNFTGRAPETAFFARLPASDVEGNPGLCLSRCPGDASDRERAARRAARVATAVLLSALVALLAAAAFVLFGRRRQPLFGGGSTGPADGDGKDADMLPPWDVTLYQKLEISVGDVARSLTPANVIGQGWSGAVYRASIPSTGVAIAVKKFRSSDEASVDAFACEVGVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGGGAAIGAAVVEWEVRLSIAVGVAEGLAYLHHDSVPAILHRDVKSDNILLGERYEACLADFGLARVADDGANSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEIITGRRPIEAVFGEGKTVVQWVREHLHRKRDPAEVIDSRLQGRPDTQVQEMLQALGIALLCASTRPEDRPTMKDVAALLRGLRHDDSAEARKAGSGSAIKWADPRQPGSPTKPMAQAQAHSHTSSLAYSTTGSV >ORGLA01G0041500.1 pep chromosome:AGI1.1:1:2846468:2850028:1 gene:ORGLA01G0041500 transcript:ORGLA01G0041500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGGGDAVDGEASGARFEWDEDSQLYYHASTGFYHDPVAGWYYSGRDGQYYIYDNGNYVPWTPDVGNEPKTHNPCDESNQDFLEPSSGLEQDIPDNQNETQRPPSEWMEETLINMFLSGYSNAEVNADISLGDSQINDGDSSETAENKPGNFASENAPSSPCDASLHQTEDELQNENSTAVHESLSEEEEKWLAQYGQVEQEIDDQPLFPSIDLWDWDMVKESVSKGQPMARLVGRLVKGSSKPHPSLPARGGLLRTAPVHEVHLDLVRVSSGKIYRLRNPSRKYLASLSSYDSSNPTKDWGFPNIYANSDNDLDKQSSAQCQSEVMDVFSMKGVSAASAKEHKINAYRDRAAERRILHRGIGIGPGQKQSSSTNFYEHEETSEGMDLMGGASVDMNFRSSGLNSAKKMMENMGWKEGEALGKSTKGMVEPIQPTVNKHGAGLGWKQTR >ORGLA01G0041400.1 pep chromosome:AGI1.1:1:2834461:2837632:-1 gene:ORGLA01G0041400 transcript:ORGLA01G0041400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSKAKDDLIGDVVAVDGLIKPPRFTLKGKDLAVDGHPFLLDVPANIRLTPASTLVPNSDVPAAAAGSFLGFDAPAAKDRHVVPIGKLRDTRFMSIFRFKVWWTTHWVGTNGRDVENETQMMILDRSGTKSSPTGPRPYVLLLPIVEGPFRACLESGKAEDYVDMVLESGSSTVRGSVFRSAVYLHAGDDPFDLVKDAMRVVRAHLGTFRLMEEKTPPPIVDKFGWCTWDAFYLKVHPEGVWEGVRRLADGGCPPGLVLIDDGWQSICHDDDDPGSGAEGMNRTSAGEQMPCRLIKFQENYKFREYKGGMGGFVREMKAAFPTVEQVYVWHALCGYWGGLRPGAPGLPPAKVVAPRLSPGLQRTMEDLAVDKIVNNGVGLVDPRRARELYEGLHSHLQASGIDGVKVDVIHLLEMVCEEYGGRVELAKAYFAGLTESVRRHFNGNGVIASMEHCNDFMLLGTEAVALGRVGDDFWCTDPSGDPDGTFWLQGCHMVHCAYNSLWMGAFIHPDWDMFQSTHPCAAFHAASRAVSGGPVYVSDAVGCHDFDLLRRLALPDGTILRCERYALPTRDCLFADPLHDGKTMLKIWNVNKFSGVLGAFNCQGGGWSREARRNMCAAGFSVPVTARASPADVEWSHGGGGGDRFAVYFVEGRKLQLLRLDESVELTLEPFTYELLVVAPVRAIVSPELGIGFAPIGLANMLNAGGAVQGFEAARKDGDVAAEVAVKGAGEMVAYSSARPRLCKVNGQDAEFKYEDGIVTVDVPWTGSSKKLSRVEYFY >ORGLA01G0041300.1 pep chromosome:AGI1.1:1:2826087:2829941:-1 gene:ORGLA01G0041300 transcript:ORGLA01G0041300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSQFMDKQILGLAASASTSSGVGVGGGGPELLDLMSPNPQEEGEDRLRRRHSSSNGSADDVLPSYDFQPIRTTAPSAAASASAAPASWGSLDSGSKAASASYNLKGKSAGVLEPHVLKKVSHEEDRSNFGTVTMADIDRTMKKYSDNLLHALEGVSSRLSQLEGRTHHLENSVDDLKITIGNYNGSTDGKLRQLENMLREVQAGVQILRDKQEIVETQLHLAKLQPPKSDALASDNVGSSQTDSHQHAVAPQQAAIQPQHQALTSSQPLALPALPAPNAPPPPPTLQSQPPSQYPGHLPHSQVPPVPPSAPVPSVPTLPRDPYYAPPAQPIETMHQQYQAPPVPQPQAPPAPPQQYQTPPQFPQYSQPPQSGMVNPSTPLPPAAPQQPEEAMSYAPPQSYPPNVRPPSPYMPPPSGPAPPFYGQNQSMYEPPVGRPNSGPPPSYGAGGYGPQVGSGFSESYGYSGSPSHRGNAGMKSSPSPFAPSGPSSGGSGNYGRLPTAQILPQAVPINSSPSGSSGNRVPVDDVVEKVATMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNDSDVQQPQKGWFGR >ORGLA01G0041200.1 pep chromosome:AGI1.1:1:2821567:2821797:1 gene:ORGLA01G0041200 transcript:ORGLA01G0041200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQWWENMANVCGVPKKGLRSLILLVVWEIWKERNRRIFDHKEMATSFLLTKIKEEVGLWVLAGAKCLREFAPHLV >ORGLA01G0041100.1 pep chromosome:AGI1.1:1:2811819:2815678:-1 gene:ORGLA01G0041100 transcript:ORGLA01G0041100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRVGTRAVEGRFQASNGGGGGGGGMAPSSRLVAAHREAKPRSSHSAAPWKLPRRRAGAMPLWRVAVFASVALNVATLALLLHHYATSPPPHHHHHDAGLATRSSDAAVHRRARTASSMAPSTGKPAVTTDSVINLDHGDPTMFEEFWRETGDAAEVVIPGWQTMSYFSDVTNVCWFLEPELDRQVRRLHRVVGNAAVDGYHVLVGTGSTQLFMAALYALAPDAAAAAAGEPISVVSTAPYYSSYPAVTDFLRSGLFRWAGDADAFKGDSYIELVCSPNNPDGAIREAVLDPKTGNGRTVHDLAYYWPQYTPITKRASHDIMLFTVSKSTGHAGTRIGWALVKDRAIARKMTKFVELNTIGVSKDSQMRAAKVLAAVSDGYERRPEQTKETMTTPLRLFDFGRRKMVERWSMLRAAAAASGIFSLPEETSGFCNFTKETAATNPAFAWLRCDREDVEDCAGFLRGHKILTRSGAQFGADARYVRVSMLDRDDAFDIFINRLSSLK >ORGLA01G0041000.1 pep chromosome:AGI1.1:1:2793496:2807800:-1 gene:ORGLA01G0041000 transcript:ORGLA01G0041000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G27670) TAIR;Acc:AT3G27670] MASSSSAAAAGAGAGAVALGRLVDRTRVPDPTLQRHAVAALFRHLLTSVPPPLPSAAHDALSSLLASPHPAVAAHAAASVARLAASRADLLSPELALPLLIAPLSASPSPRLASCFVKAVAALVSCALRSGSAASRFLPHDHPFVQALASGADGARAELQRQAARLVAEGVHGVVGFLRPFVMFAAVRKGDTAFVKDLIGALAAAAVAAARPDSAVPVLKLLAECLLHFGRGDGEEVRLWLSSVECLVDAYVVLLRKFAHAQMATYDAQANSVELIEMLLSQCSLHHQFMRNTSVVLGLSKHLFLAQKDLGLCYLPEISVVLSSLAFILSGLEFEHEQLSGLKLLAFLIEWRHENALRTNEAVHHFSEEIVCVLPVINLVISPSKSVKSVASHVLSRFHVLVLDLLASCSSEQQDSSMVHHISKPTSIVPKLVHHLWSQSSSSGFIFTKYITSRGLAESAGNSTEPNYWTHQINEYLSALRKEKLSLDSLSSKKIQSVAISSLLSSAVSVLVMHPKIGTSAAQSLALLGATDPKLGMPLLVLILFYTKVLYSNNNCDANILLSLLESLPSLAVHGFVLPLSLQLISSMLKKDVKSVLYPIAVRLLCKMWTVTDWAFQNLQGTLDPENFSNSVDDREVFTSIAASVRDVCKQNPDRGVDLILSVSACIESRDSVVQALGLESLSYLCEEDVVDFYTAWKVISKQLLDFSIDPTVSHGLCILLRWGAMDSEAYPGTSKHLIQILWSIGTYREKNVDPLWVKARGTAFQSLSHYKISLIKDAIPDFWRINYECFTTERNLEVLKAMDNFQAEIINFEHINRRRLTTDKITTVHKFEKLLDAFPQAVFKGKSAHHRFPGAALLTLNFTPKDILHEGKSKDLPRVHAAYEQALVEMAESMYISRNMVVALLALHSWKSFVSNWMQAVIACLDTKESSKLNKASKAADDIFKILCKCVPVSTPSVVVSIALAIGALCLVVPPTAHLVISSASDFLLRWLFQYEHEHQQWSTALSLGLISNCFHPTDKRSKLQVINGLLEVISKTESYLVKGACGLGLGYCCQALLARADNAADSELEATTQLTERASVEEILHTLTTSLVQLCPFSCYSLKKLSICGIKSLEGMEEKYVSLEDDPWAVAGLVLGLGNSVVSLYRLGAYEAIIEVKNILISWIPDVDSSSLLFDEEDSASLCMGSCLALPSVLAFCQKVELLNDDLDALFNRYTSLATNLLSLKKSGTIFQNLLMAICIGAGSFLSSILNDGVHAMKFTDVKDLLDTLKHIYTHPYPPLVHLGGMLGTVNAFGAGAGDLTGICRQPTNSQIKHEKESSLVRGPVLTSSVGETLSTSMIQEIFLLAKDAEDDHIKDYAAWAISFLRSRWLSKNQIIFDDDCSQRNSSDSNQSTSFSDESLVWNLSQWLRDLNFEKPDSMVSTSTVATVLRCLSKAPRLPSIDWGVIVRRCMNVEAHIPDMLTNHRDPKLLREECLYISLAHASHISPLLHFIDDLTDLSRFRRLEINLQSILLQYLSTLMKLFSLSRLDKLSEDLIEYLYSPTSSYLDYSSEQRSMLRTSFWKGICECLVEDVSEESSGFSCIKKCIQSLSPLLSLHKDGQPEFIEEWSAAIKCLTVAQKGLLGDMLQVEISSSFNELEHIDVAKKIIIRARMCSSGCGSVDELGNIKTTILSTRLDGVWWNVLVEVAGALYYADSRMKKQWLLDALDIGCVTAHPSTVLHFVGLLCGSCCIYMPLLIVNPTNVLSDLPVTLPSFLSSSIWDDLRNSVADKLWLLTARIYTWAEQLTHSVGLARHDHIHGSEAEMAIFLANILRCTCIAVEDHLAVEKKLKLANLEAL >ORGLA01G0040900.1 pep chromosome:AGI1.1:1:2784700:2785458:-1 gene:ORGLA01G0040900 transcript:ORGLA01G0040900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTSNSAISSVVASAVATTTPGAGSPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKVFGASNVTKLLNELLPHQREDAVSSLAYEAEARVKDPVYGCVGAISVLQRQVHRLQKELDTAHAELLRYACGEVGIPTALPVTAAPRLAAAMPAPGQLAAAVSAGMYGGGRRLGVIDGGGIAPPSPHTAGCYYARNNNNAGSIGADVAPVLPYASVANWTVNAISTTTTNSGSESIGMDHKEGGDSSM >ORGLA01G0040800.1 pep chromosome:AGI1.1:1:2768231:2768618:1 gene:ORGLA01G0040800 transcript:ORGLA01G0040800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSFAPRSSSLLLLLITLLLVVVSRPQYSLDASALSDHLAVGDAPPRPPPQHGAGRRLLSTQNQVTPPPPAPVSSPPIGPAPTLPPPPPPAK >ORGLA01G0040700.1 pep chromosome:AGI1.1:1:2764982:2765887:1 gene:ORGLA01G0040700 transcript:ORGLA01G0040700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGRAVEQQQSGCCSEKKKKLRKGLWSPEEDERLATHIARFGVSCWSSVPDLAGLQRCGKSCRLRWMNYLRPDLKRGRFSHHEEELILALHDKLGNSWSQIAARLPGRSDNEIKNFWNARLRKKLRQTESSSSATTAAGAAATGHRRGEADRSRPPPPPPPASFNTFSHDDRTTPAPAAHIAAAAAVEAPAAMTTTGGAVGGGVLVDNSGGHRDAVAEAARGHVGGGDDDGFLAVLLGEYYLDAGGHGDGFSFLGGGGGGHVFS >ORGLA01G0040600.1 pep chromosome:AGI1.1:1:2753153:2753998:-1 gene:ORGLA01G0040600 transcript:ORGLA01G0040600.1 gene_biotype:protein_coding transcript_biotype:protein_coding NXLLHRXFGFSIXQGWHCICVNCAGLRRSGKSCRLRWMNYLRPDLKKEPISDREAETIISLQKLLGNRWSVIAAKMPGRTDNEIKNYWNSRIRKRQSAAAGSGGCPTPGTVMEKEPTANAATVAAAPEAASPPPPPIPARLPMFSCQLLDGGGGGAATTQSPPNAGSSDISEVSACGGNGGGEDSSRDYCFSGGDVDGDGDMVHLLALDDLDLLVDVPGLLDVDAWDCELYRADYSTSSSM >ORGLA01G0040500.1 pep chromosome:AGI1.1:1:2749356:2751373:-1 gene:ORGLA01G0040500 transcript:ORGLA01G0040500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVSLISAMFRRMFASAGLRPGSAVVDDDGTTLHFWAHSSLLILPSKNGAAAAAAAAGRRPVVVLVHGFGPDPTWQWGAQVGPLSRHFDLVVPTLLFFGASTTRAAPRSDAFQAAAIAALLTSGGAHLPGLGGERRELHIVGTSYGGLVARHLARALSTTAGDGQVEVGKVVLCDADLAKGAEDDAALAAKGGVAEVTELMAPADGKAFRRLMALCVHRPPKYIPDFLVRDLLRKYFADKREEKIRLIKEIVTEEQDSQLNSPLPQEILIIWGEFDQIFPVEKAHKVKEMLGEKATVKIIPNTGHLAHQEDPKMFNDILLKFLLPSPAVANGAK >ORGLA01G0040400.1 pep chromosome:AGI1.1:1:2744341:2747687:1 gene:ORGLA01G0040400 transcript:ORGLA01G0040400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAGHGGRRRRAWRWAMRAAASAVVWTAVVQLASITGLWRPRVLADCGGGGGAATGLAALAGEDRVAARLSPPALVPRRIYKSNGYLLVTCNGGLNQMRAGICDMVTIARYLNLTLVVPELDKRSFWADSSEFGDIFDVSHFINSLRDELMIVKELPMKLKLKTKRRLYSMPPVSWSNETYYLKRVLRLARKHKVIHFNKTDARLANNGLPVKLQRLRCRVNFEALRFTPQIEALGRKLISTLQKSGQFVVLHLRYEMDMLSFSGCTHGCSDEETEELTRMRYAYPWWKEKEIDSEVKRFQGLCPLTPEEITLVLKALGFKKDTLIYIASGEIYGGERRLAALKAAYPRLVRKEKLLSPEELQPFQNHSTQMAALDYMVSIASDVFIPSYDGNMARVVEGHRRYTGYRKTILLDRVKLVELLDSFQGGAMSWNEFSAAVKKAHQHRMGQPTERKVIPGRPKEEDYFYANPQECLGSREGLRDIL >ORGLA01G0040300.1 pep chromosome:AGI1.1:1:2739073:2742383:1 gene:ORGLA01G0040300 transcript:ORGLA01G0040300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVSTSRGLPSPSKLGTFESPHTWQMSGPTGQAEAGSSDDQDIRLLSVSWNQDFGCFAAGTSNGFRIFNCDPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNAHYPPNKVMIWDDHRSHCIGEFAFRSDVRAVKLGKDYIVIVLERKIYVYNFTDLKLLHQIETQSNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKVTRMISAHDSHISCMALTMDGLLLATASMKGTLIRIFNTMDGTRLQEVRRGLDKADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDASNEQRSLEGPRMDHQNSSSSIDPLIQTNTGSNASSSLSFMKGILPKYFSSEWSFAQFHLPEVTRYIVAFGAQNTVMMVGLDGSFYRCSFDQVNGGQMLQKEYFRFIKADLTPLRTSAP >ORGLA01G0040200.1 pep chromosome:AGI1.1:1:2733622:2736653:1 gene:ORGLA01G0040200 transcript:ORGLA01G0040200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQVQQQQQAAPQQQPPPAAAAAAGRRYGVHFSASSFIQAPLSALLEYSGILRADPGGGPHQVGGGGGGGEVSIRIVGSGEAAGAASERGEEGVVEDEAGAAPQANPSTSAAAAATAGGGEAGRESSSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKMSVLVGITILFVVHVFGVYWCYKNGDLVRPLVALAPKEIPPFWHAIFIILVNDTMVRQTAMIIKCMLLMYYKNSKGRSYRRQGQMLTVVEYFLLLYRALLPAPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLTALRALSHKDFHYGSYATSEQVSATGDMCAICQEKMHTPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >ORGLA01G0040100.1 pep chromosome:AGI1.1:1:2726145:2729180:-1 gene:ORGLA01G0040100 transcript:ORGLA01G0040100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPPATAPPHSPAHHFPPPPSSSSSSSSSRFFTTTRPDARLLQLHARRLVVGGARSFAAGVGGGGSSKLAPLGQGVKGLGLGRPLDAAKNAAARYREAVGLQVEAFWRRNYMLLVGAGAVVVCIALWRVMFGIASTFVGLSEGMAKYGFLALATAMVAFAGMYTRARFTINPDKVYRIAMTKLNTSAAILEVMGAPLAGTDVRAYVMSGGGPKLKDFKFRLGGKRCFLIFPIKGSERKGLVSVEVKKKKGQYDMKLLAVDIPMASGPDQRLFLVGDEQEYKVGGGLISELRDPIVKAMAAEKEFDYLDEREDAEDEAREREEAERRQQEEEAEALRREEERLREEYEERKRREAENLEKTT >ORGLA01G0040000.1 pep chromosome:AGI1.1:1:2722473:2723867:-1 gene:ORGLA01G0040000 transcript:ORGLA01G0040000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:I1NKL0] MGSCVGKERSDEEDKIDFKGGNVHVISNKENWDHKIAEANKDGKIVIANFSAAWCGPCRVIAPVYAEMSQTYPQFMFLTIDVDELMDFSSSWDIRATPTFFFLKNGEQVDKLVGANKPELEKKVAALADSA >ORGLA01G0039900.1 pep chromosome:AGI1.1:1:2711426:2713375:-1 gene:ORGLA01G0039900 transcript:ORGLA01G0039900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMQRMPTRKSHSWWWDSHISPKNSKWLAENLEEMDKQVKDMLKLIEDEGDSFAKKAEMYFERRPLLVTHVENFYRMYRALAERYDNVTGELRKNIPSSLQSQGSLSISESDSETQSAPPTPKPYSEETTPKQKRKPRAAGFDVFLGSGGSSDISKKGSDGSSSSSSESDSEVDELREDNGDGSPFALNERIAELEDELQEAREKLEALEEKNTRCQCEKLEEKLKDSHSEISSLQKELEGQLAHHDHEIEKCKKELEHVHEKYSHDKSTLETEIIKLQGIVKNFEGDLAKMSQEKLQLEAQVKELEQASRSLDDSSAQIMKLQEIIKDLQRRLDNDSNEKKMLEERAIEFEQVRKELEGSRTEVAELQATINNLKADLGRALEEKSQLESRINDLEHTIACNLEEFSQEKSSLGAEIQKLKEANASLEGKLTSTESQLQQLHAEKSEASISSEKQISDLNQAMADLETKLELLSSEKTTVDNKVASLLTDVTARDEKIREMDSHLHQLHLEHVKLIAEADAATKAVSELRARVSELEEEVEEQKLMVSDGAEGKREAIRQLCFSLEHYRHGYQQLRQLLQGHHKRPLVMAN >ORGLA01G0039800.1 pep chromosome:AGI1.1:1:2710392:2710604:-1 gene:ORGLA01G0039800 transcript:ORGLA01G0039800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAALLGPPAAFYPHVWGSGGEGPTRRLPTSVLASPPPPLAGAPPILGHPLCTSPTSIATACNSSRSCID >ORGLA01G0039700.1 pep chromosome:AGI1.1:1:2705800:2707652:-1 gene:ORGLA01G0039700 transcript:ORGLA01G0039700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLERNPTKKRHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLQLIEEDGDSFAKKAQMYYQRRPMLITHVENFYRMYRALAERYDNVTGELRKNIPTRLQYQGSLAGSDSELQRSPSPSPEPQKSWTREQSPRAAGFDVFLSNKSNGSPSPASRKEPEDLASQSESDAKSEDGEDDGIAYTLHQRVLELEDELNTVNQKLHDANEKLEVLEEKSLRCHCDSKENGNGADQSAINEKLQSSQEEINNLKNSLEVLSEEHSRLLGQNKKLEAEIVNLKEEIASDRQQYEEKLSRSDAEIDKCRQELADASEKLLQEKLSNSSVTAELQETIESIRIKLEEVSEEKLLVENKFKQLEEANSEAEKYNQELSHATEKLSEEKFRHEAEILALNQAIENLKSKLESIAKEKSLLKSWFADLEQVVERGRRIFPE >ORGLA01G0039600.1 pep chromosome:AGI1.1:1:2699641:2701795:-1 gene:ORGLA01G0039600 transcript:ORGLA01G0039600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLANICRAGLPSIDRALVSALVDRWRPETHTFHMPCGEITITLQDVAMILGLPIAGHAVTVNPTEPQNELVERYLGKAPPPDRPRPGLRVSWVRAEFNNCPEDADEETIKQHARAYILSLISGLLFPDASGDLYTFYPFPLIADLENIGSYSWGSATLAYLYRAMCDACRRQSDGSNLTGCLLLLQFWSWEHFPIGRPDLVKLKYPNVEELEDERDRPTVGLRWVVGVCARRAAPARCYEHFTNEFDLLTDDQVVWCPYREERMKELQLAPICTQDSHLWLTRAPLLYFFMVEIYMPERVMRQFGLHQVCPPPLRDTSAELHWCRRGRVHNDWAQKHKSFVDMWEAKEQDVVMEDRPYDHSSYMDYLRWYRRSTRIRLCTPKRISNGHKGGASGGSAISDSEDPFRASQLRYTPRAHLIHSVTDKLTVLAKEAASQKGCSRGKCRAFVDQVTRTCVEVIGELGGSSLCDIVDLVPCSSRAATTAAEPEAEQQRDKEEDIHHSMAPDQETESGLGSEKRSRSRTRRTQADRTVQTRSTGKRKRGRSGSR >ORGLA01G0039500.1 pep chromosome:AGI1.1:1:2696760:2698646:-1 gene:ORGLA01G0039500 transcript:ORGLA01G0039500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66631) TAIR;Acc:AT5G66631] MSRPPPSAARVALYLRRARLIDSLRLRLRSPSSPPPPPPPDDPVVALHAIRAAPTPASAISVFRALPPPQPLPLFQALASRLAAFAALPDLRSHLASFPLPPPPLARLRLLAAAGDHASALDAFASVPAKPHRPAEAHNLLMGLHVRAADHAAAVGAFRAMVREGALPNARTYTVVIEHLASAGFVDQAVEVFRLLPSLRARRTTRQYNVLAEALASAGKFDQLRWLVREMVAVDGVMPGPQMRAAIAAMREAGHAEGTEDFVEELSPNERIGYAVDDVDGEGDSDDEEEEGDDDDGVDGRDKGRGRATLKPWLDPRELARALEGWEPREVAELEAAGIVWTPRLVCKLLRAFKKAEMAWEFFCWVACRPGGYAHDRHTVARMVAILARAGHVELVERLLGKVRADGIVLPFATVRLVVDFYGLSKKADAAIRVFREADSICGAVSRPNLALLCSSLLRTLAKCRRAADAMELLEEMMSRGVLPDLQTFSGLMEHLAGAGELKGVHRLLGLVRQCELQPDGYMYSVLIKAYCKKERAALALKLFDEMRGAGVAPDAPTKALLVKSLWREGKLREAAQVEERCEEAAGDGLPEASPGHVWTASAADLKKVSDIYYGCFTQPAAQTVSTP >ORGLA01G0039400.1 pep chromosome:AGI1.1:1:2695633:2695992:-1 gene:ORGLA01G0039400 transcript:ORGLA01G0039400.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRIPISKQSIASTSSTASASASTDRGRRSFSIRGELELQHLGGRRPPELPRNPRASPVAAASPSPLTPPAAAVPFSAASGAAAALSHLVGAWTAARGFTHLFLKLHALTLIVPISQPRG >ORGLA01G0039300.1 pep chromosome:AGI1.1:1:2688172:2695407:-1 gene:ORGLA01G0039300 transcript:ORGLA01G0039300.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLVTFGRLCYACLFLNWEQSRKSTQSRQRQYFEQKKRQQQSPGVQSQVDVSGTGSQAYHDQAPRSLDVINLNNLATPISHSSGPETVDNVVPPLDCTLLSASPIEGLKKITSACSNLKEPYSQPRLSSSSDHQDGAASANPYKEPLGCKIPPPKSNSVKKQNPNVELNNEISLFDLVSDEGPNNKSTARPAREAHVSFSVKGLGHVKMETPPQSPRSTKRTLPLPPKVMRYTQKKARRYIPFDATKQLDSIINDINVLKERKFSEKRTCPLDESGYERSKQSNCYFPHSFENHNNKFFPEDEDMFCEPKAEECWRSKHGRLDDNLTDENSERLWKMEPFNSEDRFPTPIVEQFDTRDYGFKDRYSPEQRTSTRTNTRYETSATHDLFSDQSMMDNGNDTVLFDWERHPPIKKKSNLNSTFGPSAWSFDMVDDSEKRRSPRSEESCSSAAVMKDGSCKKPSLSVKCEENKMNEKDDFHISFDNLDIPKMDAHLDGVSLFDNLEEHHKRTDDQNNLEAGYWSDKATEKQRTREPSCRLSLKEKFSNWGSTSPTTHRKGRTGLSNPSSCTVLHEDKPFNSASEMSTYQTAGSSSPERRPASKVSPVFHRPDNAIFDDDIHLQSSVSDIFGDRIEFSKPNCSMGLQSDIDMSTFLAEKVDKRKEDNFDTSKNQNADMFLPNKSVSSVRQNVGGQHRSCAQQPGKDSLLQGFSPGIDFQDSRLNSFWEDGLADNGTFQGDIELTDLLTRKNSDKNEDRIEKLSKPETKMLTETPQAYPDHRNEMRETETCSDGSEVTNPPGVQKQTSVATQVPANLGCLQETSREMLKVHAHTECVKREKIEYPCVDFSTPLHLRNKIPDADHSKSNFMFHSPFVGEEVGIEKKIIASVSPNNSDVQYKVMLEHRVLRRLCVQKIVVDTPIKNKLDKDNHFRMMEDGYHVLSKSV >ORGLA01G0039200.1 pep chromosome:AGI1.1:1:2686055:2686860:1 gene:ORGLA01G0039200 transcript:ORGLA01G0039200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPPPGRPAWSITVRLRHRGWLDLRAAAENVVLPGWGRGGERLSLLVRLRRGLRLAVTSRCGRAPPEKNPRACRIFRFLRSKLARFPSIWRRKKPPPPARATAASQLWRNRATMAWPAHGGRRWPARTTATAALYLVAALAVVMASTAAVRAMNGYGRGYYEPVGHSKSWRFLVAKKIARLLELEPRLYAWLMKISLNRLLNW >ORGLA01G0039100.1 pep chromosome:AGI1.1:1:2678053:2680563:-1 gene:ORGLA01G0039100 transcript:ORGLA01G0039100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALCMKLFEHKPFDARTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRNIQLSLSVLLFGVGVATVTDLQLNAVGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFIVGPFLDGFLTNQNVFAFDYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNILGILIAVIGMVSYSYFCTKEAPPKPTEASPQLNQVKESESDPLISDSLSTAENGGNAGDDEALKVPMWSSKYSKA >ORGLA01G0039000.1 pep chromosome:AGI1.1:1:2675983:2677059:1 gene:ORGLA01G0039000 transcript:ORGLA01G0039000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVSQCTCICKAKIPFCFDYFASGHGVEPALHRDVRREQRRQLRRLHRRHPQQGGQPEALLPQPPRPAAGGAAAAAAPLVPRRAPGVADRRAHARHARRQPLPGGIPEQRREVVGAHPGHPRRRPRRRRRHLRRLRRLVPRPPRRHGQAHRRHARPAADGAGGERARRAQAGRPRQRRGAAARHGRGGGAAPDGARGDAVPDRVEAGRRAHAPQGGEQERRHHRRDEEAGERVAGPLGGHARHGRAAAGEVRTAEGHGRGHGGGGGGDGGDPAVRRGPRRDDGGEGAPAVPPWELDFDAAGFFSPCLSQ >ORGLA01G0038900.1 pep chromosome:AGI1.1:1:2662369:2666391:-1 gene:ORGLA01G0038900 transcript:ORGLA01G0038900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYKPLVLPLMLILLHTQLIIVPSSSAATYANHTGALPPAVVPCLPDQASALLRLKRSLSITKNSSSTFRSWKAGTDCCHWEGIHCRNGDGRVTSLDLGGRRLESGVESSVLKEPNFETLIANHKKLRELYLGAVDLSDNGMTWCDALSSSTPNLRVLSLPNCGLSGPICGSFSAMHSLAVIDLRINDLSGPIPNFATFSSLRVLQLGHNFLQGQTSFYGEIPSSIGNLKYLKNLGVGASQFSGELPSSIGWLKSLNSLEISGTTIVGTIPSWITNLTSLTILQFSRCGLTGSIPSFLGKLPQHISNFTNLSTLFLNSNNLVGTMKLASLWGLQHLRYLDISDNNLVVVDGKVNSSSTHIPKLQILALSGCNITKFPDFLRSQDELLWLDLSKNQIHGAIPSWAWESWNDSGVASLILAHNKFTSVGSNPFIPLQIDWLDLSNNMFEGTIPIPQGSARLLDYSNNMFSSIPFNFIAHLSHVTLFNAPGNNFSREIPPSFCTATELQYLDLSNNNFSGSIPSCLIENVNGIQILNLNANQLDGEIPDTIKEGCSFHALYFSGNRIEGQLPRSLLACQNLEILDAGNNQINDIFPCWMSKLRRLQVLVLKSNKLFGHVVQSLTDEESTCAFPNAIIIDISSNNFSGPLPKDKWFKKLESMLHIDTNTSLVMDHAVPSVGLVYRXKASLTYKGHDTTLAQILRTLVFIDFSNNAFNGSIPEIVGELVLTHGINMSHNFLTGPIPSQLGGLKQLEALDLSSNQLSGVIPQELASLDFLEMLNLSYNKLEGKIPESLHFSTFTNSSFLGNNDLCGPPLSKGCINMTILNVIPSKKKSVDIVLFLFSGXGFGLGLAIAVVVSWGIPIRKQATRHATERIRRGSDSGGRRSGNAASWEEERLVYGVYRPRRWATPWEGKKVHDEEDDLAVDRSEISPAPVEKSNGAGSWPSITYTTPTGRATPAAARRSAAPPPLLDGSTIGPRSLAIL >ORGLA01G0038800.1 pep chromosome:AGI1.1:1:2656777:2660329:-1 gene:ORGLA01G0038800 transcript:ORGLA01G0038800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F target gene 1 [Source:Projected from Arabidopsis thaliana (AT2G40550) TAIR;Acc:AT2G40550] MVGPQYDLVGNPLGAVRSTFERAAAESGGHEPVAAFRGKDWGATEVFRSFLFEQGGLDKVPVLDESNLGLIKPNTLVRFRGMVQDMLGNEFYVGAFKDGSTWRTNKFSDSSPFSMPHPCDSHLWERHLFHCVPVPGQNSWTRESSPGPDLRRIASCFTSEQREKRKRGADNDAMDVSESGSGETSSSSKKTKDDGVKISNSSVEMSMNEEHLVPEMNGGDHHIPGSSFSCLVKVYDMPESQVKLNDVSEFIGVYTFDPELAAPNDNSDDIMFDLMEDVTAQLPPSKVPRLHCLVWRNLSAHDFLPRPPAVEPSPILLRGIRQSLLSHFTLVLGKDELAAQCLLLHLLSRLRNKVDVVTVGRLSLNFTGFNRESVSIFGNQLNNLFQRLLPYSQVIPLSIEYLNTATLQPRKDNQSGRLVTGVLQLPQGTHLTFDETLLQSGSLTSKGVENTMLLKNLMESQKVEYDFEFYKLEMATDVQLLILSEGKSNILPSDLIVPFRPSSVPAVNASSEELESWRWYLATVRSLPQSTETDTYQMIQDEMVNAMRDDRSLGCSELSRWLTMAQIMAASFGEKSLSMEHWQMVKELERLRKERLQ >ORGLA01G0038700.1 pep chromosome:AGI1.1:1:2654178:2655997:1 gene:ORGLA01G0038700 transcript:ORGLA01G0038700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIRSFAFVLVLAFSVAVAESRDSFNVLAHKSFPLENKKTGLTSANGKLCQLCEQYSTEALFYLQQNETQTEILSILHHACANVGPLKQQCITLVDYYIPLFFLEVSVVTPEKFCESVHLCRKGTMLRLPSRGDTCGICHHVLVEVLIMLKDPDMQLEIVEIFLKACSKADNYVQQCKKMVLEYTPLILVKSQKFLETTDVCSTIHACKTGTQASAETMLLSAAS >ORGLA01G0038600.1 pep chromosome:AGI1.1:1:2646038:2646778:-1 gene:ORGLA01G0038600 transcript:ORGLA01G0038600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKKEKEEEEEASKLQLKPAGSKVFSKLLSRESAAAAPSFRVYYGVASAGSVPFLWESQPGTPKNAMSDAVLPPLTPPPSYYTAGKVSAGGGGGGGGRKYGKHGILRLFVLPKIRLRRGGRPVSGSPTSSCASSTSSSSSSASFYSSYSLSFRSTQSPTCSSMRSLQGHGGGGRAFGDDDDDDDDGGDDDMAASTACFRVRHESFRAIKNCRVAMTVRSAISSVAAGAGAGGHGSSAAVAQKAA >ORGLA01G0038500.1 pep chromosome:AGI1.1:1:2632177:2632551:-1 gene:ORGLA01G0038500 transcript:ORGLA01G0038500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSTRARGAPRRSGPQIWTWRRRRPASHPAPRRQALPARRRRRRAEAVARPRRRRRGGVRASVACEPSWVGLGALLVGFFRMCIMGSWAVSFLGPTFWRFCWALGLMNSAPPFIGLGFYLPV >ORGLA01G0038400.1 pep chromosome:AGI1.1:1:2628598:2631106:1 gene:ORGLA01G0038400 transcript:ORGLA01G0038400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIYRFLCGLCSPSPEYQPHGAHPAVAALGRDIQQFEATSQVPDGLSRHVVSSKKAQANWYKKLIVTWKKARPAPRTPEEAARLVVTTLKNHQKADVEGFLVFYGLPIPNAAATASTPAPHTAHVPKPQGCKFELHTLPVDAKAVADGDTITVYIDTADPRESGNVPREIQKAAAERTRARAARDYQKADGLQKMIADAGYRQVPNARGEEVLAKKYRIRLRGIDAPESAMPYGKEAKEALLKMVQGKSLKVYVYDEDRYGRCVGDIYSDGVFVQEQMLKKGCAWHYTAYDQRPELAKWEKQAQSGRKGLWAASRPQKPWEWRRDKRNGTA >ORGLA01G0038300.1 pep chromosome:AGI1.1:1:2623990:2625315:1 gene:ORGLA01G0038300 transcript:ORGLA01G0038300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPRTSKKRKTGDERSAVTLPEHVVTEVLLRLPARSLARLRCTCRSWNAEVSLPGFQDRHHALAAAKLTFLEPAPTHMGSYRIRRGLSRRTLPWLSNCFDCPRVIGSKPCWGLVLIARPCEAYSVCNPTTGEILHLPRSHRPHCATGMGFHAHALAREFKVVQLGIDEEVVGKLHAIVLTVGDARGWRAISSFQLGLGFTDDDACIDRDVQPVFADGCLHWSFRTNYLDKPHGVLSFSLADESFRRVPQPPFSMVDLVPVHLNGVRNYRLLRAKGIRSGSGEEVAMPVGKTLAELDGRLCMVRDVRHRSDHDVLLEIWKLQDYDTGSWSLDYRVDLPAPGQRQRQLLTAPWLVVPLTYLGGSRPGDKKRKLLVATTAHEAHVYDPDSGTLRTVASIDSSGDDDDSIRLFLYQESLLRLPGMQHDLGNIKFVQLSNSEHM >ORGLA01G0038200.1 pep chromosome:AGI1.1:1:2616387:2619111:1 gene:ORGLA01G0038200 transcript:ORGLA01G0038200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNLPLDYEPPFFESYDDMRKRCPLPLHTTLSDYSQDLISLHDVKSVLSNNPFHSNKVFAMDPKSKIQQGHLFCITKKDVNFYFDSGASHHMCDDDKLFKNLHEVPTEHQDTVYDASGDPVCLHMSGEVIYDQIKLSPVFYHSTLKFKVISLGELDDSNTLMYVGDKRIKIFDVNKGEMIGEGYLHEKRNEYIVRSIICRKEEQQAITRSMLSSTAIDEKNLWVVDSLCCNHMTGIKGLLSDTRHEVQSFVTPRGAFLSSKIGNVKTSTVTLFNVLYCKGLRQNLISEGQLDRDGYSCTRLAHKCKIQWRGTQEVVGLAHQDENALYYVDYFHYVSDPSLKHTRNDGECIQKLKRPRQDGSSPSSRKRTA >ORGLA01G0038100.1 pep chromosome:AGI1.1:1:2605279:2605791:1 gene:ORGLA01G0038100 transcript:ORGLA01G0038100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLRCCLACVLPCGALDLVRIVHLSGRVDEYGRAVSAGEVLAAHPNHVLSRPCSSQQGAAGRILIVSPESELERGEIYFLIPAASVPDAKRRTSTGGGGAGRGHHVRSKSEGSAVAADRLGSPAGSASPETTRMMRAQKQQHQHRRRMSTGSHASPWQPHLSCITEDP >ORGLA01G0038000.1 pep chromosome:AGI1.1:1:2598714:2599043:1 gene:ORGLA01G0038000 transcript:ORGLA01G0038000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWRKRMLMRIKQRQVDENEEVAGEVLVGRSAPTASTPSPRRSMQVATTLTRASRWWLCARLRGARLWRCPRRRLRLSLRLPPPSNGGSVLCVANEINEGKSVICTGY >ORGLA01G0037900.1 pep chromosome:AGI1.1:1:2592891:2596747:-1 gene:ORGLA01G0037900 transcript:ORGLA01G0037900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIISRRMSAGKKTQTENEFRELPEKELGGVVFCCNNNTFDECFTKQLFGLPQRNILYVKNVKPGLPLFLFNYSNRQLHGIFKATSTGQLNIDRFAWMSEQSNDAKTNAKTTPFPAQVRFSTRTECPPLPENKYKSVIINNYRKDIPSHFRFELDHRQTRDLISLFLSAPVRANKNKLSIPKPPATAHTVPNPWNRPLPFLTAKAPVVSDKVKSESNVKDVDQFNVSSHSHDIAPHTLPDVEVDLASTSTTSRSNLNKDASGCVDLVAGLIKEDKESVDDGQHAKMALPVKLQELSSLQQKGANFLEDAPISTSAQSIRQDTRFAATLPKDSSNATSQCDTSLKDTSFVQCHEYAELYQIINDLSKKTEEMEKMKIDSDQEILLLKKLVKVMERKVEHLEQQLEKSHSSSAPLFGVTNDDVEGPSILLTGGHNGINWLSSLDSYCPATDILETLMLMSSARAYAAVATLKDHVFIFGGWNGIRSLWYNTVECYNRGANKWIGLPCLNHEKGHLAGATLNGKIFAIGGGDGSQSFSEVEMFDPAAGKWIYNLSMQQPRCAPAAAELNGVLYVIGGYDGNMYLQSAERYDPREGFWTQLPRMRTRRGSHSVVVLGDSLHALGGLNRNTTFSSVEIFDTRANSWRRGSPLSVPRAHGCAVTVDGNAYLIGGIQSSEEYVETVEVYKEGQGWSISGSKAFGKRAFACAVAI >ORGLA01G0037800.1 pep chromosome:AGI1.1:1:2589445:2591398:-1 gene:ORGLA01G0037800 transcript:ORGLA01G0037800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLPLDVWGWITNLPPFSQWRSNAMSLCICPTPSASESLQPSVNLSVVKTPPTQPSFVTFSIFANYRVPISLWTSKPVHLKSNTQQSLDEQGMLELFVDIVDWVLRSGPNKKPSFQFPRAQIHGNLKDVFNIVFLSLAFLVCIYEAPHALRCRCLESLRTQLTGPKCKDAAKTFVRMLGANLEDQWMQTMNLAVTNWIVEQRSSHHSFGVPSPLFSYALSASGLWKVQLYCPLIAMNMEDPAVPTQDERLLFSLTYQQLEGVIQLAYKTIRRDNWIDVEIKVDNIRCDVDSLVSEILMTERGHGSEEKHFPSRVMLQITPMQQSDVLSVSVSKSSDNPTHEFGLEKGIEGSFDPPNTFGLKASVSESLTLTMKPWKFEQSVHGNTTTLNWFLHDGVNGREVYSSKPSKLSLLQPRAWFRDRYSTVYRPFTKKGGVIFARDEYGDSVWWKICGAALGKTMDWEIRGWIWLTYWPNKQKTFHSETRRLEFRECLQLPLMKSP >ORGLA01G0037700.1 pep chromosome:AGI1.1:1:2588134:2588979:1 gene:ORGLA01G0037700 transcript:ORGLA01G0037700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRFVSCNVQLCGIIELPHWVRKKRTRRKSDGPDSIAETIKWWKEQNQKLQEENSSRKAPAKGSKKGCMAGKGGPENSNCAYRGVRQRTWGKWVAEIREPNRGRRLWLGSFPTALEAAHAYDEAARAMYGPTARVNFADNSTDANSGCTSAPSLMMSNGPATIPSDEKDELESPPFIMANGPAVLYRPDKKDVLERVVPEVQDVKTEGSNGLKRVCQEQKTMEVCESEGIVLHKEVNISYDYFNVHEVVEMIIVELSADQKTEVHEEYQEGDDGFSLFSY >ORGLA01G0037600.1 pep chromosome:AGI1.1:1:2575975:2586107:-1 gene:ORGLA01G0037600 transcript:ORGLA01G0037600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWQVWGKPDGSLVWVPASDGPPSAQPLPPAAAAASEAPLPRPDPQPVATALGEARYGDAIPEGPGAADGCSVPSMADLFNQALDKLVAADRMAEAIEDSGKGAVFCTGLGGSVVVSERAVERAKALVGEVAEETSNERRQPFGDGSNLECELGESNVSFKGGVHKDSLSPMFQTGSGKMVSLSKGSIQKARAVLEGNAENSSVIAVQSMFHTGLVRPDPVSRSSTDNAMTVLEGQTNPKQGDVADVYDKENFPLFQTGSGKVVSVSVASIQKAKAVLEQNNTVENMEDFGRPDQSLIFQTGSQRPVLISERSSSVVKDGGAENIGHGYTNVSITTFQTETPRSVLMSGGLTMNDRSVTPEGGVSMQGNFLGADGHLPLFQTGLGRSISVSKGSIKRASALLEPRNITKELEDEAHSDDGCATPMFKTGSGRSITASENSRKKAHVVLEGEEPVKNVNNDTGEAIAPMLHVGMQKFAPQNRNSSHKAITLMEQGSSMKEEYIDRGNEPPMFRTGSGKSVLISHSSVQKARAVLEEEGNMKKENQKQLSNVDKYIPIFTSPLKTSCARTVHISSVGVSRAATLLGLEENTLSTQLLGHVGDKLGTKITVERKNSEHQFGVASVSGISGGCPISSGPAENQVLMDPHQHFAFSKTTFSDSSEQAIRFSTAGGRTMAISSDALQRAKNLLGESDLEVSPNNLLGHSSASACKENIQNSTGLRKEGEPVLLKSRGNNKTEPAQFSIPAKPDRKHTDSLEYAVPDVTLANGNSIRLHAARDFHSINEIPKIPKPSSRCSFGTENASDTKDKARRLQMPSGPLIDITNYIGTHSVNTDYLAGEKRRFGGRNSISPFKRPRSSRCTIFFTLLTYNSCDQTEDVTDEVKLMDAKKAEKYKFKTDTGAEEFQKMLLACGASLTYTTKEWVSNHYKWIVWKLASLERCYPTRAAGKFLKVGNVLEELKYRYDREVNNGHRSAIKKILEGNASPSLMMVLCISAIYSCPDLNNSKPEDDRAHTDDDNSENKSLRAAKRNMSTKIELTDGWYSLDASLDLALLEQLEKRKLFIGQKLRIWGASLCGWAGPVSFHEALGTVKLMIHINGTYRARWDETLGLCKHAGVPLAFKCIKASGGRVPRTLVGVTRIYPVMYRERFSDGRFVVRSERMERKALQLYHQRVSKIAEDIQSEHGEHCDNTDDNDEGAKICKMLERAAEPEILMSSMNSEQLLSFSYYQEKQKIVRQNEVAKKVENALKVAGLSSRDVTPFLKVRVMGLISKHSATKSGRREGLITIWNPTEKQKSDLVEGQIYSVTGLLASSYFTEVSYLSGRGSSTAWTPLATAQTTNFEPFFTPRKAVELSHFGEVPLTSEFDIAGVILYVGNVYLLNNQNRQWLFLTDGSKFISGEKYEEQDDCLLAVSFSSKTTGEDSAFFNYALSGNIVGFSNLVKRDKDQMRHVWVAEATESSTYSLSHEIPKKSHLKEAATSAEKWASNSHPMIQHLKERVLQIVGDSGG >ORGLA01G0037500.1 pep chromosome:AGI1.1:1:2564052:2570873:1 gene:ORGLA01G0037500 transcript:ORGLA01G0037500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLSMRSSMSMRSRRDLPPPQQTIEKLENMVAEGNYYEAQQMYKSTGARYIAAQKYLEALDILQSGALVQLKHGQVTCGGELAIMFVDTLVKAALPYNEETFDRIRKMYDAFPRISVPHFLGDDYDDDGQKLSEAISAAKVRSESCSSFLRAAIRWSAEVGASRSGSPELHVMLAEYIYSESPETDMTKVSSHFVRGNDPKKFASMLANFMGKCYPGEDDTAIARGVLMYLSQGNLRDANLLMDELKDQLKSADLEIPKTDLIQFIKYLLPTLERDAYPLFRTLRQKYKTSTDRDPVFEELLDEIAAKFYGIRSQSALEGLFGDMFRV >ORGLA01G0037400.1 pep chromosome:AGI1.1:1:2561009:2563617:-1 gene:ORGLA01G0037400 transcript:ORGLA01G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT2G30170) TAIR;Acc:AT2G30170] MAASSTATRLTPPRLHAPTTPSPHLPLRRSRFSPLRAAKLEAVLSIGTHLIPHPRKAETGGEDAFFVNGDDGGVFAVADGVSGWAEKDVNPALFSRELMAHTSTFLKDEEVNHDPQLLLMKAHAATTSVGSATVIIAMLEKTGILKIASVGDCGLKVIRKGQVMFSTCPQEHYFDCPYQLSSEAIGQTYLDALVCTVNLMEGDMIVSGSDGFFDNIFDQEIVSVISESPGVDEAAKALAELARKHSVDVTFDSPYSMEARSRGFDVPSWKKFIGGKLIGGKMDDITVIVAQVKAVMIPDDEGVDEEKGQGDEQGGAVAVASSEQKEDXITT >ORGLA01G0037300.1 pep chromosome:AGI1.1:1:2556434:2559626:-1 gene:ORGLA01G0037300 transcript:ORGLA01G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:I1NKI3] MSSSSSSSLAAAAARKRALTEQRFSELSPALSPEVVKALKGGGFRRCTPVQAAAIPLLLSHKDVAVDAATGSGKTLAFVVPVVEILRRRTSPPKPHEVLGIIISPTRELSSQIYNVAQPFFATLKGVSSMLLVGGFDIKAELKKLEEEGANILVGTPGKLLDVMERLDTLNYKNLEILILDEADRLLDLGFQKQITSIISKLPKLRRTGLFSATQTEAVKELAKAGLRNPVRVEVKTEVKPTSKDGAQQELGPSKTPLGLRLEYMICEASNKSSQLVDFLVQNTGKKIMVYFATCACVDYWAIVLPLLDLLKGSPIIPYHGKMKQDPREKALASFSALSSGILVCTDVAARGLDIPHVDLIVQYDPPQDPNVFIHRAGRTARYDQEGDAIVFLLPKEDTYVEFLKRRGVPLTERECSTNAVDIVPQIRSAALEDRNVMEKGLTAFVSFVRAYKEHHCSYIFSWKDLEIGRLGMEYGLLQIPSMPEVKHHSLSLEGFTPVKDVDVTKIKYKDKAREKQRQKTLKRKAEELAQRPEIERRRKAPEKPEKPKRKKTGKQRQAVQTKEDMDELANEYRLLKKLKRGVIDEDEYEKLTGFGESDDEDSSDGGDSDLDERKERGNKVLKKVKQKGKAKGSRRFEGRSKQKSRRR >ORGLA01G0037200.1 pep chromosome:AGI1.1:1:2551905:2554351:1 gene:ORGLA01G0037200 transcript:ORGLA01G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGGPGAPPPYHGGGGGGGGGGGGGYTGSAAPEPAAKVKQCDANCDETCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKNKGDACLAYEDPSAAHSAGGFYNNYEMRGYKISVAMAEKSAPRAPAYGHGGGRGGYGGGRRDNFRDGGGHGPNRHQGGGSRSRPY >ORGLA01G0037100.1 pep chromosome:AGI1.1:1:2547628:2548206:-1 gene:ORGLA01G0037100 transcript:ORGLA01G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFHLAILVSLLAAGATANNGYATPSPPPPPQHTPSPPATPPPAHQSSDKVLVRVEGKVYCQSCEHRNSWSLDGARPLRGAEVSVTCRDAKNRAVWWRLAVADEGGYFLAEFRVTGASDFLGADPRGACYARLLSSPDRKCDGLTNINAGMVGAPLRDEGKRWPGQGYDNVVYAAGPLAFRPANCPPKHY >ORGLA01G0037000.1 pep chromosome:AGI1.1:1:2544723:2545274:-1 gene:ORGLA01G0037000 transcript:ORGLA01G0037000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLPLAILVSLLAVGATANNGYTTPSPPPPQQYTPLAHSDKLLVRVEGMVYCQSCAYRNTHSLDGAVPLPKAEVSVTCHDAKNRIMECKRAIADESGYFLTELGVTKVSDFFMGDPRKACHVRLQASPDFKCNNPTNINYSSIEGAPLHDEDKRWTGQGYDNIVYAAGPLAFRPAICPLKH >ORGLA01G0036900.1 pep chromosome:AGI1.1:1:2542155:2542715:-1 gene:ORGLA01G0036900 transcript:ORGLA01G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQLPLVILVSLLAAGATANNGYTTPSPPPQQQYTPPPPADSDKLLVRVEGMVYCQSCAYRNTHSLNGAVPLPKAEVSITCHDTKNRVMECKRAIAAESGYFQTELGVTKVSDFFMGDPCKACHVRLQASPDFKCNNPTNINYSDIKGAPLRDEDKRWTGQGYDNVVYAAGPLAFRPAICPPKH >ORGLA01G0036800.1 pep chromosome:AGI1.1:1:2539621:2540184:-1 gene:ORGLA01G0036800 transcript:ORGLA01G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLPSSFLSRSSCPSWPSAPLPANNGYTTPSPPLPQQHTPPPPAHSDKLLVRVEGMVYCQSCAYRNTHSLNGAMPLPKAEVSVTCHDAKNHVMECKRAIADESGYFQMELGVTKVSDFFMGDPSKACHVRLQASPELKCNNPTNINYSSIEGASLRDEGKRWTGEGYDNVMYTAGPLAFRPAICPPKH >ORGLA01G0036700.1 pep chromosome:AGI1.1:1:2537253:2537828:-1 gene:ORGLA01G0036700 transcript:ORGLA01G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLPLAILVSLLAAGATANNGYTTPSPPPPPPLPQQQQYTPPPPAHSDKLLVRVEGMVYCQSCAYRNTHSLNGAMPLPKAEVSVTCHDTKNRVMECKRAIADESGYFQTELGVTKVSDFFMGDPSKACHVRLQASPDFKCNNPTNINYSDIKGAPLRDEGKRWTGQGYDNVVYAAGPLAFRPAICPPKH >ORGLA01G0036600.1 pep chromosome:AGI1.1:1:2531210:2531785:-1 gene:ORGLA01G0036600 transcript:ORGLA01G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHPLAIIMFVSLLAAGATANYGYTTPSPSPPPPPPQQQYTPPAHSDKLLVRVEGMVYCQSCAQRNTHSLEGAKPLPKAEVSVICHDAKNRVMVRCRRAVTDDNGYFRAELDETKVSDFYMGDPRKACYVRLRASPDFECNNPTNINYSSIEGALLRDEGKQWADHDYYNVMYATGPLAFRPAICPSKH >ORGLA01G0036500.1 pep chromosome:AGI1.1:1:2528380:2528952:-1 gene:ORGLA01G0036500 transcript:ORGLA01G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFLPLAILVFVSLMSTGATANYGYTTPSPSPPPPPPQQYTPSAHSDKLLVKVEGMVYCQSCVQRNTHSLEGAKPLPKAEVSVICHDAKNRAMVRCRRAVANDNGYFLAELDETKVSDFYMGDPRKACYVRLRASPDIECNNPTNINYSSIEGAPLCDEGKRWADHGYYNIMYATGPLAFRPAICPPKH >ORGLA01G0036400.1 pep chromosome:AGI1.1:1:2525770:2526342:-1 gene:ORGLA01G0036400 transcript:ORGLA01G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHPLAVIVFVSLLAAGATANYGYNTPSPPPPPPPQQQYTPPAHSNKLLVKVEGMVYCQSCAQRNTHSLEGAKPLPKAEVTVICHDAKNRIMVRCRRAVANDNGYFLAELDETKVSDFYMGDPRKACYVRLRASPDFECNNPTNINYSSIEGAPLRDEGKRWADHDYYNIMYATGPLAFRPAICPPKH >ORGLA01G0036300.1 pep chromosome:AGI1.1:1:2524361:2524846:-1 gene:ORGLA01G0036300 transcript:ORGLA01G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLGVADVILNSKLIRGENGITLLQSHYVKKILNRFGYIDSKPSPTPYDPSLLLRKNKRIARNQLEYSQIIGSLMYLASATRPDISFAVSKLSRFTSNPGDDHWRALERVMRYLKGTMELGLHYSGYPAVLEGYSDSNWISDVDDIKATSGYVFTLGGGAVSW >ORGLA01G0036200.1 pep chromosome:AGI1.1:1:2518687:2519256:-1 gene:ORGLA01G0036200 transcript:ORGLA01G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHPLAILVFMSLLAAGATANYGYTTPPPPSPPSQQYTPPAHSNKLLVKVEGMVYCQSCAQRNTHSLEGAKPLPKAEVSVICHDANNRVMVRCRRAVANDNGYFLAELDETKVSDFYMGDPCKACYVQLRASPDFECNNPTNINYSSIEGAPLRDEGKRWADHDYYNVMYATGPLAFRPAICPPKHH >ORGLA01G0036100.1 pep chromosome:AGI1.1:1:2515886:2516449:-1 gene:ORGLA01G0036100 transcript:ORGLA01G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQLPLAILVSLLATGATANYGYTTPSPSPPPPQQYTPPAHSDKLLVKVEGMVYCQSCAQRNTHSLEGAKPLPKAEVSVICHDANNRVMVRCRRAVANDNGYFIAELNETKVSDFYMGDPRKACYVRLRASPDFECNNPTNINYSSIEGAPLRDEGKRWADHGYYNVMYATGPLAFRPAICPPKH >ORGLA01G0036000.1 pep chromosome:AGI1.1:1:2513415:2513984:-1 gene:ORGLA01G0036000 transcript:ORGLA01G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFLPLAILVFVSLMAASATANYGYTTPSPSPPPPPPQYTPPAQSDKLLVKVEGMVYCQSCAQRNTHRLEGAKPLPKAEVSVICHDAKNRAIVRCRRAVADDNGYFHAELDETNVSDFYMGDPRKACYVRLRASPDFECNNPTNINYSSIEGALLRDEGKRWADHDYYNIMYATGPLAFRPAICPPKH >ORGLA01G0035900.1 pep chromosome:AGI1.1:1:2504216:2504516:-1 gene:ORGLA01G0035900 transcript:ORGLA01G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDMSKEMRAVHPIAIGLIVLSYHHVFEEMRLSAAAMYRLMAVYLHM >ORGLA01G0035800.1 pep chromosome:AGI1.1:1:2499546:2503123:1 gene:ORGLA01G0035800 transcript:ORGLA01G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTHRCLHSHKLPLLILLIAIVVILIQPYSIHAAANSSNTTIRCLTSQSSALLQLKSSFHDASSLSSWQPDTDCCRWEGVTCRMASGHVVVLDLSDGYLQSNGLHPALFNLTLLTNLALSGNDFMGAQLPDSGFERLSKLVSLDLSATNFAGQIPIGIGNLSSMLALDLSNNPNLYLSEPSFQTFIANLSNLRELYLDEMDLSSSGSTWSSDLAASAPQIQILSFMSCGLSGFIDPSFSRLRSLTMINLRLNVISGMVPEFFANLSFLTILELSGNAFEGQFPTKIFQLKRLQFIDLYWNDKLCVQLPEFLPGSRLEVLDLILTNRSNAIPASVVNLKYLKHLGLTTVEASMNSDILLIRELHWLEVLRLYGGSGQGKLVSFSWIGSLKHLTYLELGNYNFSGLMPSSIINLTNLTSLTLYNCSMSGPIPSWIGNLIQLNNLNFRSNNLNGTIPKSIFALPALQSLYLDSNQLSGHLEDIPIPSSSSVYDIDLSNNWLHGPIPKSFFCLPNLEYLNLESNHLTGIVELRPFWRLRSLYFLGFSNNKLSVIDGEDSPSQYLPKIQHLGLACCNLTKLPRILRHLYDILELDLSSNKIGGVIPGWIWEIWKDTLGSLDLSNNAFTSLENSPSLVTFTHLSHLNLSFNRLQGEIPIPAISLPYGVVVLDYSNNWFSSILRTFGRYLNKVAYINLSKNKLKGFVPISICSMTKLQFLYLSDNNFSGFVPSCLVEGRSLRVLNLRGNKFNGMLPKGIKEGCKLETIDLNSNQIEGRLPRTLSNCKSLELLDVSNNHILDLFPLWLGNLPKLRVLVLRSNKLYGTIKGLHNSDLTRDHFSSLQILDLANNTLSGQLPPKWFEKLKSMMANVDDGQVLEHQTNFSQGFIYRDIITITYKGFDMTFNRMLTTFKAIDFSNNSFVGVIPGTIGSLVSLHGLNMSHNNFTGAIPQQLGNLAQLESLDLSWNQLSGVIPHELTFLTSLAWLNLSNNNLTGRIPQSNQFLSFSNSSFEGKRGNLLYLLEISLNLLCFGFGK >ORGLA01G0035700.1 pep chromosome:AGI1.1:1:2481199:2481699:-1 gene:ORGLA01G0035700 transcript:ORGLA01G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDRSRETKLVRLPIPAGIWPAKLGGEMLRWVSSVSISNLVAGSRDALKSLPPRLRYLSDVRLKTAASRPPLCRRRPPRSREVTRPSSQRTPAQRQQSVPARHDRNAVADAVVAENDRFSWSSAAAWSGKHGSELAIKVYMRRRTSTSKNGSFSRWATRGDILAGG >ORGLA01G0035600.1 pep chromosome:AGI1.1:1:2477735:2478400:1 gene:ORGLA01G0035600 transcript:ORGLA01G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDNDEGQILEHTTNTKIALLYQDITVVNYKGGTLMFTKILTTFKVIDLSDNSFGGPIPKSLGKLVSLCGLNLSHNAFTGHIPSQLNSLTQLESLDLSWNKLSGEIPPELASLTSLAWLNLSYNNLTGRIPQGNQFGSFSNSYFEGNVNLCGRPLSKQCDTPCSTSPSASAPSDTNSFWQDRLGVILLFILSGLGFTVGFILAVWFQSLCHIERWIRKHR >ORGLA01G0035500.1 pep chromosome:AGI1.1:1:2473478:2474998:1 gene:ORGLA01G0035500 transcript:ORGLA01G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISTKQRLPTPILILLICCYSIHTAANNDTTVPCLPDQASSLLLLKNSFINNANLSSWRAGSDCCHWEGITCGMASGRVISLDLSGLNLMSNRLDAALFNLTSLRNLNLASNYFWRAELPVSGFERLTDMIHLNFSHSNFYGQIPIGLACLMKLVTLDFSSNDGLYFDEPSFQTVMANMSNLRELHLDEIEIFGSTWSVVLADNIPQLEILSLFACRIAGPIHSSFSRLRSLKVINLGYNFGLPSKVPEFFAELSSLSILEIAGNSFEGQFPTKIFHLKSLRTLDLSHNPNLSINLPEFPDGNNLETLGLAATNLSYHISSSFANLKSLKRLGMSTARTSKELPSLLDKLPSLTKLELQGSESGLEKAVLSWVGNLKQLTALELVSYDFSESAPSWIGNLTNLKFLWIWDCNFSGSIIPYQIGNLAKLETLDFRGCEFFGQQIPPWIGNFTKLANLEMDSCGFSGSIPSTIGNLTQLESLRITSNPQLNGKSLYHGYFFLHTYAI >ORGLA01G0035400.1 pep chromosome:AGI1.1:1:2466941:2467849:-1 gene:ORGLA01G0035400 transcript:ORGLA01G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRGLPHVHIILWLKKTGALTADEVDKFISAQLPDPSVDPIGFDAVSAFMIHGPCGEGHPSCACMVNGECSKNYPKEYCEKTTILQNGHVRYARPKNRISTKKNGVAVDNAFVVLHNVDLCVKYQAHINVERVSRDGMEKYLFKYFTKGFDCSKVGLQRKRASGESSTCTKGVNEIQDYLECRCIAPNDAAWRLLQFEIHHTNPSVERLPVHLPLGNSVVYNEDDSLEQVLQNPWNQITKLTAWFEANKTYPEAVCYTYAEFPEHFTWHADGKYWDYRRGTGNVGRLANVGPNQGDSYYLRMLL >ORGLA01G0035300.1 pep chromosome:AGI1.1:1:2462646:2463317:1 gene:ORGLA01G0035300 transcript:ORGLA01G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENDNDEGGILQYGININVKGPYQDITTVSYKGGMLTFTKILTTFKLIDLSDDNSFGGPIPKSLGKLVSLRGLNLSHNAFTGHVPSQLNSLTQLESLDLSWNKLSGEIPPELASLTSLAWLNLSHNNLTGRIPQSNQFGSFTNSSFEGNANLCGKPLSKQCDTPGSTSRNASATSETSSFWQDRLGVILLFIFSGLGFTVGFILAVWFQSFFHIERWTHKHR >ORGLA01G0035200.1 pep chromosome:AGI1.1:1:2458389:2459375:1 gene:ORGLA01G0035200 transcript:ORGLA01G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSNLRELRLDNVNILSGRSTWSVILADNTPQLEILTLCQCGISGSIHSSFSRLRSLKMIDLRNNWELTGKVPEFFAELSSLSILDISGNSFEGQFPTKIFQLKSLRTLDLSMNRDLSINLPEFLDGNNLETLSLTWTNLPYHTPSSFANLKSLKSLAISTTGTSKELLPSLIGELPSLKELEMWGPEWSLEKPAVLSWVGNLKQLTDLTLGWYDFSQSTPSWIGNLMSLATLEMLDCKLSTTIPHQIGNLANLTSLRFEDCDLPGQKIPSWISNFTKLRNLQMNSCGFSGPIPSTIGNLTQLEYLTISYNNQLNGKTIYHDCFSYL >ORGLA01G0035100.1 pep chromosome:AGI1.1:1:2441217:2442077:-1 gene:ORGLA01G0035100 transcript:ORGLA01G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:I1NKG1] MALNPLFTVTFNVSGSGSDNYGDFIAGIRKRVANPRHFSHNRPVLPPVEPDVPPRRWFHVVLRTQTSELTLATRADNLYLEGFRRGSDGTSAWWELTRGLIAGATYLGFGGSYRELLGHTDNMVGVTLGPQQMTQAVDTIAGLAASGGGGGGVARQRAGEALATLLLMVNEAVRFLTVAELVGGFMNPRAVRKSGTITADMKEQVNGWKVLSRALLTMDALQLEDSNSASKHNKVDTKKMEQEKKAWEAADKLAVEAAKAVRILLFVEKVPAGMTKATALQLFRGN >ORGLA01G0035000.1 pep chromosome:AGI1.1:1:2433339:2436413:1 gene:ORGLA01G0035000 transcript:ORGLA01G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTMTRYKLLFMTLLLLLLHTQLVVPSSSATSATYTNHTGAPPPAVPCMPDQASALLRLKRSFSITNMSVIAFRSWNAGEDCCRWAGVRCGGGDDDGGRVTWLDLGDRGLKSGHLDQVIFKLNSLEYLNLGGNDFNLSEIPSTGFERLSKLTYLNLSSSNFAGQVPARSIGQLTNLISLDLSFRFKVTELFDMGYLYTGAYSHEWQLVLPNLTALVANLSNLEELRLGFLDLSHQEADWCNALGMYTQNLRVLSLPFCWLSGPICASLSNLRSLSVIDMQFSGLTGRFPDFFANLSSLSVLQLSFNHLEGWLPPYIFQNKRLVAIDLHRNVGLSGTLPDFPVDSSLEILLVGHTNFTGTIPSSISNLKSLKKLGLDASGFSGELPSTIGTLRHLNSLQISGLEVVESFPKWITNLTSLEVLEFSNCGLHGTIPSFIADLKKLTKLALYACNLFGEIPQHIFNLTQLDTIFLHSNSFTGTVELASFLTLPNLFDLSLSHNKLTVINGESNSSLTSFPNIGYLGLSSCNMTRFPNILKHLNKNEVNGIDLSHNHIQGAIPHWAWENWKDAQFFFLNLSHNEFTSVGYTIFPFGVEMLDLSFNKFEGPIPLPQNSGMVLDYSNNRFSSIPPNISTQLRDTAYFKASRNNISGDIPTSFCSNKLQFLDLSFNFFSGSIPPCLIEVAGALQVLNLKQNQLHGELPHYFNESCTLEALDFSDNRIEGNLPRSLASCRKLEVLDIQNNHIADYFPCWMSALPRLQVLVLKSNKFFGQVAPSVGEDSSCEFPSLRILDLASNKFSGTLSEEWFTRLKSMMIDSVNGTSVMEYKGDKKRVYQVTTVLTYKGSTMRIDKILRTFVFIDVSNNAFHGSVPKAIGELVLLNTLNMSHNSLTGLVPTQLSHLNQMEALDLSSNELSGVIPQELASLHFLTTLNLSYNRLVGRIPESTQFSTFLNNSFLGNDGLCGPPLSKGCDNMTLNVTLSDRKSIDIVLFLFSGLGFGLGFAIAIVIAWGVPIRKWSLLGQRVP >ORGLA01G0034900.1 pep chromosome:AGI1.1:1:2427210:2430545:1 gene:ORGLA01G0034900 transcript:ORGLA01G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIILTQTPSVPGRLLLLLQFSFLLLLSSASNAVTPAGVPCRPDQAPALLRLKRSFTVTNNSVTAFRSWRAGTDCCGWEGVGCAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNLAHNNFGGSKIPSDGFERLIRLTHLNLSSSGFTGQVPASIGNLTSLVSLNLSTYFMIVEIPDDAYETLISQTANSIWLIEPNFETFISKLTNLRDLHLGYVDMSNSGAQWCDALANSSPNLQVISLPFCSISGPICRSLSLLHSLAALNLQHNNLSGPIPDFLSNLSNLSVLQLNHNELEGWVSPAIFGQKNLVTIDLHHNLGISGILPNFSADSRLEELLVGQTNFSGLIPSSIGNLKFLKQLYLGASGFFGELPSSIVVVDGEYNSSVSLPQIVLLYLPGCSMSKFPIFLRHQYEINGLDLSDNEINGTIPHWAWETWNYISLLGLSGNRFTSVGYDPLLPLQVDLLDLSNNMLEGSIPIPRGSSTSLKYSNNGFSSMPSNFSAHLRDVTFFMADGNEISGNIPLEFCSAKSLQLLDLSYNNFNGSISSCLMDSVSTLQVLNLKGNELHGVLPDDIKEGCSFQALDISGNLIEGKLPRSLVACKNLEVFDVGFNQISDAFPCWMSTLPRLQVIALRSNKFFGQVAQSAVEKNSCEFPAARIIDLASNNFSGPLPQDQWFKKLKSMMIGYSNTSLVMDHEVPRVGRYKFSTTITYKGSAVTLTKILRTFVFIDVSENKFHGSIPGTIGELILLHALNMSHNFLTGPIPSQLGHLNQLEALDMSSNELSGVIPQELASLDFLAILNLSYNKLDGRIPQSPHFSTFSSISFLGNKGLCGPPLSTGCSNTTSLNVIPSEKNPVDIVLFLSAGLGFGLGFAIAIVVAWGIPIRKRSTVRQRAL >ORGLA01G0034800.1 pep chromosome:AGI1.1:1:2410523:2413538:-1 gene:ORGLA01G0034800 transcript:ORGLA01G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GARDVFTVPGDFNLTLLDELEAEAGAGHGGVRLVGCCNELNAAYAADGYARARGGGVGACAVTFTVGGLSAINAVAGAFSENLPVVCIVGGPNSNDYGSNRILHHTIGLPDFTQELRCFQTVTCYQAVVNNLEDAHEQIDAAISTAIKESKPVYISISCNLPSIPHPTFSRHPVPFFLSPRLSNQMNLEAAVETAAAFLNKAVKPVLVGGPRLRVAKACKSFVELADACGYPVAAMPSAKGLVPEHHPRFIGTYWGAVSTPFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIGHGPAFGCVLMKNFLHALSARLKKNTAAYENYRRIYVPPGEPPLSEPGEPLRVNVLFQHIQKMLSANSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQEVSTMLRWGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGVVEAFHNGEGKCYTAKVRTEEELKEAIEEAQGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >ORGLA01G0034700.1 pep chromosome:AGI1.1:1:2407235:2408426:1 gene:ORGLA01G0034700 transcript:ORGLA01G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECQPLQLQEGPILLPRQANSAPPAVPPEMSSSSGSGRSATEARALKIHSEAERRRRERINAHLTTLRRMIPDTKQMDKATLLARVVDQVKDLKRKASEITQRTPLPPETNEVSIECFTGDAAAAATAVAGNHKTLYIKASISCDDRPDLIAGITHAFHGLRLRMVRAEMTSLGGRVQHVFILCREEGIAGGVSLKSLKEAVRQALAKVASPELVYGSSHFQSKRQRILESHCSIMSI >ORGLA01G0034600.1 pep chromosome:AGI1.1:1:2402839:2403230:-1 gene:ORGLA01G0034600 transcript:ORGLA01G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAEKTAELQDPEIRAELDRRARDDGKTVIKSGTGGKSLDAQERLAEGRKKGGLSRTTESGKERADDDTGAVLIEPDDKMLKEAKKNLGRK >ORGLA01G0034500.1 pep chromosome:AGI1.1:1:2393303:2398442:-1 gene:ORGLA01G0034500 transcript:ORGLA01G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQGDGGDGREEDGAKVGLPALDISLAFPQATPASIFPPSASDYYQIDDLLTTEEQSIRKKVRAIMEKEIAPIMATYWEKAEFPFHAIPKLSSLGVAGGTIKGYGCPGLSITASAITMAEIARVDASCSTFILVHSSLAMVTIALCGSEVQKQKYLPSLAQLTAVGCWALTEPNHGSDASSLITTATKVPGGWHIDGQKRWIGNSTFADVLVVLARNANTKQLNGFIVRKGAPGLKATKIENKIGLRMVQNGDIVFNKVFVPEEDRLPGVNSFQDISKVLAISRVMVAWQPIGISMGVFDVCHRYLKERKQFGVPLVAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGHASLGKGWTSRMAREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPAALAKARL >ORGLA01G0034400.1 pep chromosome:AGI1.1:1:2384761:2387019:-1 gene:ORGLA01G0034400 transcript:ORGLA01G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHHGNHSLLPEMVQPNNEQLNQPLPLGQKLFVHAGNDAALKIGPSYHGNVAIRSNDLPSSSRVAQYSGHRVKNTGTLHNSYVHYPAGSSGGHVSYNPQTEPVITYPHRSEGEFARGSSQIDNRTAAVKRKNPVIYPEYSINGDGYCAGSSSSTQFSNYPQPAPFSESLHRQMPPSVGPINWNDQSLVNQEGSQRNVRARHNFNNISLEPRPVHSTSNVSQSTSMKRNGPSFSTRMRTMPSGASGMHSGEMPYTMGSSNSSVPVPTLQGSSSSAIFASGVFAPRHVHGDTVPSYIHLPSVASSSSTAIPHEVIIPSYQPATSATTSTPMRASQPLPVRAVASSRHARNVLIGHANSGRNRRARSSYYGIQPLMIDAQQLIMMQQFALRESREAQDPHRAMRLDIDNMSYEDLLALGESIGNVCTGLVDEKISGCVREVIYCSSDEQQNDQDDGKCAICLEEYKDNSLLGILKCNHDFHTDCVKKWLKEKNSCPICKSAAA >ORGLA01G0034300.1 pep chromosome:AGI1.1:1:2376323:2377203:-1 gene:ORGLA01G0034300 transcript:ORGLA01G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPHPPHRNGNHVPAPSGESFAKFYECWISEQSRDLAALRSAASAATNPAAPPDDAELRRLVDRVLGHYEHYYRTKSAAASTDVLRMFSPSWTSTTENLYLWCGGWRPTAALHLLYSKSGAQLETQLPVFLAGGGLGTGDLGDLSAEQLQAADQLQRITISKEREIENTAANAQESLATVKMVELAGGGGMDAEGMEMEMRSKADGMRRVLEMADGLRMETMREVVALLRPSQAVHFLIAAAELHLAVHEFGRRKDGDGAASPPPA >ORGLA01G0034200.1 pep chromosome:AGI1.1:1:2368546:2373500:-1 gene:ORGLA01G0034200 transcript:ORGLA01G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors;zinc ion binding;sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT5G05660) TAIR;Acc:AT5G05660] MPFSYAAAASGSASSSRKPVPVAAAAARRPAPSPSNPSAVSDSDPSSYSSGEETDLTASDPAAASVISSYLSVAGDGADLSKVGIFLSSAARRRSPPCLICFDPIRLSDPVWSCSASCFALLHLHCIQSWAHQSSSAAPSPTWGCPKCRFPYPKSQTPTSYLCFCSKTVDPAPDPWILPHSCGDVCGRRLNADRDSGCEHNCLLLCHPGPCPPCPAIVPNAMCFCGSHRETRRCSHQRYSCSGKCNKRLGCGIHRCPVDCHDGPCPPCAVRGKHKCECGETMEERLCSERVFQCKRECGGMLQCGKHSCERGCHAGKCGGCPLQGRRTCPCGKKDYPSLDCDAEAATCGSTCEKVLGCGRHKCPERCHRGSCVETCRLVITKSCRCGGLKKEVPCYQELTCERKCQRLRNCGRHACRRRCCEGDCAPCPEVCDKRLRCGNHKCLSPCHRGACAPCPLMKTISCNCGQTFFEVPCGTEKNQKPPKCSKKCNIARLCRHKLECRPHKCHYGACPPCKLICGEELSCGHRCKLRCHGPIAPPNPEFTLKPIKRKKEKHIDSTPGTPCPPCQEVVLVPCFGQHLGQERAILCSKRRQFPCQNLCGNPLNCGNHYCTKACHVLQIPLSQPEGDQLAILSLASASAFAEPCEECNLPCQRVREPPCSHPCPLPCHLNDCPPCKALVKRPCHCGAMVHAFECMYYNNLNATKQQKVRSCGGPCHRKLPNCPHLCSEICHPGQCPSVDQCMKKVNVRCACNNLKKEWICQDVLKEYRQSGRDPKQIPKNQYAVGLLACGEDCVKKVKAADSELHLRKIQEIKTPAVEVENVPKRRKKRNRGQESVESSKFQEIKAVALKFLLVIFLCIIVVAGLYLLWKGVYRLSDWMNDMEEQRARQRHLKPGRL >ORGLA01G0034100.1 pep chromosome:AGI1.1:1:2366112:2367285:-1 gene:ORGLA01G0034100 transcript:ORGLA01G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEFGLAAAAAAAASATVDAASGRSSRVSALRSVEDIFSDFRARRSAIVRALTEDLEKFAALCNPDLDCLCLYGNSDGTWEVAPPPEMVPPELPEPALGINFSRDTMYRSDWVALLSVFSDSWLLAVAFFHGARLDRDDRQRRILNLY >ORGLA01G0034000.1 pep chromosome:AGI1.1:1:2350644:2353709:-1 gene:ORGLA01G0034000 transcript:ORGLA01G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHHDPAAAASAPIVRMLLLVLLQIQLVAALSLLPPASAPPPAALPCRPDQESPLLRLKSSFSATDMSTAAFRSWRPGTDCCRWDGVRCGHGDGRVTSLDLGGRQLESGGGLDPAIFHLTSLEYLSLADNDFNGSPLPSSGFERLTELTHLSLRSTNITGVVPAGIGRLVNLVSLDLSTDFEIIDTFDDTYVFKMNSSLDAQQLAVPNLESLVANLSNLRELNLGLVNLSENGARWCNALVDSCPKLQVLRLSCCALSGPICATLPRLHSLSVIDLSFNSLPGLIPDFSNFPNLTAVQLRRNDLEGFVSPLIFKHKKLVTIDLYHNPGIYGTLPNFLSDSHLENIYVGGTEFNGIIPSSIAELKSLKNLGLGATGFSGELPSSIGNLRSLKSLEISGFGLVGSIPSWVANLSSLTVLQFTNCGLSGSIPSSVGNLRNLGKLLLYNCSFSGKIPSQILNLTQLEILSLHSNNFIGTVELTSMWKLLDLFVLDLSDNNLVVVDGKGNSSTASIPKLGALRLSGCNVSKFPNFLRSQDEIEYLDLSYNQIDGAIPQWAWENWVEMEILSLRNNKFTSVGHDPFLPLSDMKALDLSENMFEGPIPIPRGYATVLDYSGNRFSSIPFKFTNYLSDVSFFKAGRNNFSGRIPPSFCSAMSLQLLDLSYNSFDGSIPSCLIEDVDKLEVLNLKENKLRGEFPDNIKESCSFEALDFSGNLIEGKLPRSLAVCKNLEVLNIGSNQINDSFPCWMGTLRKLQVLVLKSNKFFGHVAQSLGEERGTCEFQSARIVDLASNKFSGILPQEWFNKLKSMMIKDSNMTLVMDHDLPRMEKYDFTVALTYKGMDITFTKILRTLVFIDLSDNAFHGSLPEAIGELVLLNVLNTSHNSLTGPIPSQLGRLTQLESLDMSSNELSGEIPRQLASLDFLTVLNLSYNKLEGEIPESPHFLTFSNSSFLGNDGLCGRPLSKGCINITSLKVIPSKKNSLDVLLFLFAGLGFGFGFSLAIVVIWGIPIRKRSRVRQRAL >ORGLA01G0033900.1 pep chromosome:AGI1.1:1:2345914:2346859:-1 gene:ORGLA01G0033900 transcript:ORGLA01G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phloem protein 2-A1 [Source:Projected from Arabidopsis thaliana (AT4G19840) TAIR;Acc:AT4G19840] MGASPSREEAPSNSSFSGNGNAMAVASSASSPGSNQAQSKRAPALHMFQEIVAEKDFTASSLEDQIYTGIFLAGKTKKYWVDERTRNNCFMLFPRGLSITWSEDPRFWTWKPLKEGSSDADTDTQIEVPSLQNVCWLEIHGKLELSYLTPGVHYEVLFEVMLIDPAYGWSVPVNLQLKFPDGTVQQRKENLEEKIRMKWLELKVGEVKTQQGQKGEIEISMFEYDGGLWKRGLLIKGIKILPKQ >ORGLA01G0033800.1 pep chromosome:AGI1.1:1:2337338:2339734:1 gene:ORGLA01G0033800 transcript:ORGLA01G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:I1NKE8] MAEAAVLLAAILLALHPLPMSLSAAAGGDGGGGDSGTAEAAADRITALPGQPRVNFSMYSGYVTVDAAAGRALFYWLIEAADPASAPLVLWLNGGPGCSSVGYGASEELGAFRINPDGRSLYLNPYPWNRVANMLFLDSPAGVGYSYSNTTSDLLTAGDNKTAHDSYAFLVNWLERFPQYKYRDFYIAGESYGGHYVPQLSQLVYRNNKDVEKPILNFKGFMVGNAVIDDYHDYVGTFEYWWTHGLISDDTYQKLQVACDFESSAHASEACNKIYEVAEAEQGNIDAYSIYTPTCKKTSFLKRRLIRGNLPWLPRGYDPCTEKYSTKYYNLPEVQKAFHANVTGIPYAWTTCSDDLFYYWKDSPRSMLPIYRELIAAGLRIWVFSGDADSVVPLTATRYSIDALYLPTVTNWYPWYDDEEVAGWCQVYQGLTLVTIRGAGHEVPLHRPRQALKLFEHFLQDKPMPQPLHSIQSF >ORGLA01G0033700.1 pep chromosome:AGI1.1:1:2335107:2335880:-1 gene:ORGLA01G0033700 transcript:ORGLA01G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSLPRLTLTPTPRANPGRRSARPTPASITCGPRDNRGPLQRGRSLSTEAILAVQSLKRLTSSDRSPAAAAAAASTSLGRLLKGDLLAAMAELQRQGHWSLALAALHVARAEPWYRPDPALYATFVSSSPATEDGAAAVDALVEAFLEEKERGGGFVDGEEDVYKLTRLVRALVAKGRARAAWRVYEAAVRMGGCEVDEYMYRVMARGMKRLGFEAEAAEVEADLREWEARISPPARDVLDEMRARERSSTTAA >ORGLA01G0033600.1 pep chromosome:AGI1.1:1:2330262:2334747:1 gene:ORGLA01G0033600 transcript:ORGLA01G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:I1NKE6] MADDSASPSPSSASPLQHHREALKSSVRNTAASRRREQAIAIGKERREALIRAKRVCRAPISGSDEAEMEEGDMVVDEEKACLEAKTAHAVEELKSALSIQGKGVQKKKIEALRDLRRLLSQPEVPLVDTAIKAGAVPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGAELRSTLLAQGALRPLTRLMFSSKGSTARTAAWAMSNLIKGPDPKAANELITIDGVLNAIIASLEKEDEELATEVAWVVVYISALSDRGISLIVRSSVPQLLIGRLFSSENLQLLIPVLRGLGNLIAADDYMVDSVLTVGNNIIDQALSGLIKCLKSDNRVLRKESSWALSNIAAGSSEHKKLIFASEATPVLIRLVTSAQFDIRREAAYTLGNLCVVPTGNCELPKIIVEHLVAIVDGGALPGFIHLVRSADVDTAGLGLQFLELVMRGYPNKQGPKLVEMEDGIEAMERFQFHENEQMRNMANGLVDEYFGEDYGLDE >ORGLA01G0033500.1 pep chromosome:AGI1.1:1:2327384:2329603:1 gene:ORGLA01G0033500 transcript:ORGLA01G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein [Source:Projected from Arabidopsis thaliana (AT2G37110) TAIR;Acc:AT2G37110] MGAADDANHRHEEASPLLPPGGGGAGAGGKKLHQSPPPSPPEAAKCCADGVPVVMGEPLGAPAPPRESWNSGVLSCLGRNDEFCSSDVEVCLLGSIAPCVLYGSNVERFAARPGTFANSCLPYTGLYMLGNALFGWNCIAPWFSHHTRTAIRRRYNLEGSFEAFTKQCGCCHGLVEDEGNREHLEVACDLATHYFCHPCALCQEGRELRRRVPHPGFNGRSVLVMTPPKEQTMGRGM >ORGLA01G0033400.1 pep chromosome:AGI1.1:1:2324454:2326948:-1 gene:ORGLA01G0033400 transcript:ORGLA01G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLIAGLAVAAAALASRYSIQAWHAYKARPIVPRMRKFYEGGFQPAMTRREAGLILGVRENVHPEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDVLLGKTKGGRSVF >ORGLA01G0033300.1 pep chromosome:AGI1.1:1:2320260:2321138:1 gene:ORGLA01G0033300 transcript:ORGLA01G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPQREQMVLMVPELLLVVATSEGALASIELACNLLDSIEFDVLVDIAHGVPFNTTGGGEQPLYMGGGCGGRGGGWRSFSFGVPMDSTGGGEGSFSVDAPAYEPFTFGVPMDTSGGGVEHTGGDGAATNVAADHKGHKAGIDYWANTLASAFATDGPLNSAHREITRLITLHGVAAHLLIRCLELHDFPHGDEAAWQRWWEHHDAFVPRAHDALLRLSSATSASAAAEDFLRLRSALSPGRNDWPSEAKQLVRDARRDIGEARDAVILMRDAAVREFFETWMILKRSQASR >ORGLA01G0033200.1 pep chromosome:AGI1.1:1:2318953:2319552:-1 gene:ORGLA01G0033200 transcript:ORGLA01G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding REMEMEVEVELTWMALFQRRVVMADAHCHKLHGLLRGLFGVLDGQAWREMVAVAEETRRMLESASTELGLAIANMGAATLLAPGGEAPRAWAPAAPLRSVDDGGIDVPRVWLVHFRLQVAAETARRLHDRLEATRVHVCAAEHLVALEEDDDGGDDDMAPWMHGLSASEQIDGLMELRETLNLAVDLVAMTAMAREEVF >ORGLA01G0033100.1 pep chromosome:AGI1.1:1:2317322:2317954:1 gene:ORGLA01G0033100 transcript:ORGLA01G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACAYNPRKRERERSLGEEERWMKLARTVPAILMRIGTSRKAIKSTMKGMKAMKAAKRGDGGVFSDQMRHASEHLDGAHGRVARLIATHAEAGHLFVHCAAHIGGLKGGGGGAPAWQAWEGHRADAVLHARDARWWLCRAGGAVEAALDVFRVVEGRSGSGSHRPREAKRLRRRARDDVSKARHALTDMRHAIVLEFFDAWMVLNQNR >ORGLA01G0033000.1 pep chromosome:AGI1.1:1:2316491:2317051:-1 gene:ORGLA01G0033000 transcript:ORGLA01G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEENPWKPLFWWRVDAINQRVRVVREDLAAVEQQLASRVDKLSVASCAGDKLLMEASRALGLAVCYMEAARLLARHRGGLIAQGRIPSRHGRVHDDDLAVRRALFYLRLAKARAEEACDALDRCRGHLGAVKMLLRRREAPAGVADHVDGERASAVGDLEAARQLMVDTDAFISAALGDRSDVD >ORGLA01G0032900.1 pep chromosome:AGI1.1:1:2313835:2315127:1 gene:ORGLA01G0032900 transcript:ORGLA01G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWEELARTVPATLMQVGSGMEAVRKIQVAHRKIQGRAGLMRNIRFGMPAAIAMSLFDDPAPVGVCPTVTLEEARCEISRGAARHAMADHVFVRYVELLGIQHEPPCTSRDTHHREAIRFTAMALEKVREAASLAEAAKDAVDIAETLLPQPDLKTEWALAAQDLAERADYEATQALEFVKRARDVVALEFFDTWTILRRGRARSAEMKEWRELALKVPGTIMLIGDAELETLELIQAAVSKFQKHVELLQEVRHGTATATAVDNFTDPDPEGALPTELLENARRGMSKSAVRHAKAHHIFARYAAFLGIQGDEEYRSWDSKHQEAAGSMVAALKKVIDAVSDAEAAKDAVAMVGILPYQCPLWELWALRAQNQTSLSSFNATLAILDVRQAREAFFVEVLRAWLILRRYGSLQLLDDSASLWSSSTPA >ORGLA01G0032800.1 pep chromosome:AGI1.1:1:2312854:2313423:-1 gene:ORGLA01G0032800 transcript:ORGLA01G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEAWRERFRQRVAEVDDLFVEAFELLVDNARIHLEAQMLVGDAAAAARARIQLAQGALEDASGKLASAMSLMVGAKLLVLRGGSHDPLMPYHDIGHLGDEYAAEKNACAKLRGAEREAEEACARIGMCSGHLETISLLLDHENLPGVNDLIENERLDAAVDDLLAAIGKVESGKKMANDARLDVGAN >ORGLA01G0032700.1 pep chromosome:AGI1.1:1:2308839:2310107:1 gene:ORGLA01G0032700 transcript:ORGLA01G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWEELAWRLPVTLMRVSSGMEDVKLIEVALGKFQKRAAMMGRILDGTPAAIAEQEFDDPAPVGECPKVSLEKAHREISHSAASHAMARGVFFLCAVHLRTQDEPPFLHWDAWHQVAIGHFERAMRSITDAMGHYAAAKDVVVVNEAFLPQEDVWRRWASAAKLLIDRAASLTTQALDEARQAHHVVALEYSEACSGMEDVKLIEVALAKFQKRAAMMGRILDGTPAAIAEQELDDPAPVGGRPTVSLEKAYREISYSAARHAMARGVFFLCAVHHRTQDEPPFLHWDARHQVAIGHFERAMQSITDAMGHYAAAKDVVIVNETFLPQEDVWRRWVSAAKLLVDRAASLTTLALDEARQVHHVVALELSEASSILRQWRARLVQIVSDSM >ORGLA01G0032600.1 pep chromosome:AGI1.1:1:2307856:2308416:-1 gene:ORGLA01G0032600 transcript:ORGLA01G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAWRARFRERVVEAASRMARMERVQGHLAAAQGHLALAAPLLADNAAAAAARDRIQRVLGALGEASSDLAFAMSVMNGAKLLVFSDVIGIEQLGDQYFPEGNAGVVLHDSVEDVEEAFAMVDSCRSHLDAVLLLLDHPRLPGVDGLIQEELAAADGDLQAAIGNAELGTELAVGARQDVSGAN >ORGLA01G0032500.1 pep chromosome:AGI1.1:1:2301707:2302279:-1 gene:ORGLA01G0032500 transcript:ORGLA01G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAWRARFRERVVEAAGRWERVREGLATALAHVTSPMLAADEEAAAAARTRIQLAMGQLEDASRDLASAMSLMKAADLLALHGDSVNPSTFLGGIGHLGAQYLAERIAVTKLREAWEDARDAYTNVEWCRSHLDAILLMLDHPHLPSVDGLIEEERAAADGFLQAAIGRAELGNERAVDARQDVSGAN >ORGLA01G0032400.1 pep chromosome:AGI1.1:1:2299991:2300563:-1 gene:ORGLA01G0032400 transcript:ORGLA01G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAWRSRFRERVVEAAERWESVGESLATALTHLKSPMHAGDEEEAAAARTRIQLAMGELVDASRNLASAMSLMKVAELLALHGGSVNPSTHLGEISLLGDQYLAERNAGIKLLEAGKDARKAYISVDGCRGNLDAILLLLDHPRVPCVDDFIEEELFVAGDNLQGAIGNAKLGTERAVGARQDVSGAN >ORGLA01G0032300.1 pep chromosome:AGI1.1:1:2294813:2296409:-1 gene:ORGLA01G0032300 transcript:ORGLA01G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNTNNNSNSSSSSEMPGKKARKPYTITKPRERWSEEEHERFLDALIMYGRDWKKIEEHVGTKTTIQIRSHAQKYFLKVQKMGLAAGLPPQYPRRRLVMQQQQSSPAGSSSVAAPAILHGQPQCLPPHHNVAVQSSIGWDCPGVLPPATNDMQNLEWASTSGAAAWGNHHGLIEPTAAFDSFPGESSFMGAASFSNMSMDWTGTTSEMVTASIVQDETIELPLSPDDMQFAQVYRFIGDIFDPDSPCPVETHLQKLKNMDVITVKTILLVLRNLEDNLLSPQFEPIRRLLSTYDPNRGLSGHL >ORGLA01G0032200.1 pep chromosome:AGI1.1:1:2292190:2293043:1 gene:ORGLA01G0032200 transcript:ORGLA01G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGHDMHGGGQNGGVKGLVSKLVGGGGHGGGHGGGGHGYPPHAGAAHGAYPPQHGAYPPQHGAYPGHGYVPGAYPSNAAPHGGHMGSYHTGHGGGGGGRRYGGKHKGGMFGGGKWK >ORGLA01G0032100.1 pep chromosome:AGI1.1:1:2286919:2289241:-1 gene:ORGLA01G0032100 transcript:ORGLA01G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRDRYDDRYGGNTRLYVGRLSSRTRTRDLEDLFGRYGRVRYVDMKHEFAFVEFSDARDADEARYNLDGRDFDGSRMIVEFAKGVPRGPGGSREYMGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNRCYRCGDRGHIERDCRNSPKNLKRGRSYSRSPSPRRGRSRGRSYSRSRSRSYSRSQSPRRDSRNERRSRSPRDSRSPRGSPRDSRSPRGGPRDSRSPKGSPRDTQSPRGSPRDSRSPRRSASPPNGRNRSPTPNASRSPAPRDSRSPMRADSRSPADHERRDMSPAANGQSPSPRDYEDNGNHRASPRGSASP >ORGLA01G0032000.1 pep chromosome:AGI1.1:1:2283613:2286309:1 gene:ORGLA01G0032000 transcript:ORGLA01G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRSALGVLEILSVLCISLVAAYTPVDNYLISCGSSVDTPVGQRLFVADDSGTVVLTSPASDAVKASPSAVSGLRDDAAMYQSARVFKAPSSYSFRIRDPGRHFVRLHFFPFVYLGYDLATASFKVSTQDAVLLDGFAPPAAARGNASTTTTTTTPAAAVCEEFLLDVARDTLVVTFVPLAGRLAFVNAIEVVSVPDDLIGAADSSLSTSDSTGQQLNPAVMPLQTVYRVNVGGPAVAPESDTLWREWTIEQPFLVSTVTTAVTKKVSYNRTLNYLPGQATADDAPAIVYATGRELIIMNGSVFDGMKQMAWQFDVDGSASYLIRFHFCDIVSSVPGRLHMNAYVDSSNAIQDLDLSAIGNGTLAFPYYRDFVLAASTPSGKLAVYVASTSQKITTPAAILNGLEIMRILTTAGNVAVVEPTTPPGTKKKNNLAVVLGSVCGAFGFVSVAAALVIVLRRKEEKEELRTPTTSQPSTAWMPLLGRISFRSAPPSAVGSRSPSFTIDTNANTPGGGATPGMAAAASSSPSYRFPFAALQDATGNFDEGLVIGEGGFGKVYAAVLQDGTKVAVKRANPESRQGAREFRTEIEMLSGLRHRHLVSLIGYCDEQDEMILLYEYMEHGSLRSRLYGGGAATATATALSWAQRLEACAGAARGLLYLHTATAKPVIHRDVKSSNILLDDGLTAKVADFGLSKAGPDMDETHVSTAVKGSFGYVDPEYVRTRKLTAKSDVYSFGVVLLEALCARPVVDPRLPKPMVNLVEWGLHWQRRDELEKIVDRRIAGTVRPAALRKYGETVARCLADRGADRPAMEDVVWSLQFVARLQEVDGLDASDVSSLNMVHQLMPPTSLHARQRSAGESETGRTDADEDSSVVDDDYTDASMRGIFWQMVNVRGR >ORGLA01G0031900.1 pep chromosome:AGI1.1:1:2271605:2280483:-1 gene:ORGLA01G0031900 transcript:ORGLA01G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEAGGRSGVARAVLGYADAVAHHAGQAVAEGAKILNDRMSTQNYKSVKKMVKRLEEAAVSSRGEDRLQVLRHWLRALQEVEAQLGGLDGAAEQNAHSSEPNTSKPPFARVLFYDADIGGAPMNFRDVFLYSQALEGITLSMILQAPNEEEVPLLLEIFGLCLTGGKEINNAIMSSIQDLAKSFSNYHDEVLVKREELLQFTQSAISGLKRNSDIMRIDAEAVELWKKLNEKETSRVQQTQEDHAKTTERTSSTTVESFKEALSEVRFCSIMEELLLKKKTSTAGDSLEIRSQKVDKLKVLATSLSNSSSKAEKRILDHRRQKEEALNFRAKKENEVSAVEKELTAEISELEKQRDELEARLKKVNISLNAAIGRLKQTREERDQFDEANNQMIFSLKAKDNELSKSITSCNVEAGVVKTWINFLEDTWQLQSSYNEQKEKRTNDELERCTDNFLKLTKYHLSTFKEILSPSIERICTYVDNLAVLQSRDVSTEHDNEELSEKTSPQKSLEEEYLETEKKIVIAFSITDHMKKLFYSEQGVNSRRDDEDVRNLFSEIEKLRERFESVERPTLDIEVRRAKVPTKEPSPVQVPSTPKAETVVSPKSPAKPDQPLDLDSELAKLELEFGQVNKYSPEEISGWEFDELEEELRADISKSQ >ORGLA01G0031800.1 pep chromosome:AGI1.1:1:2266373:2267401:1 gene:ORGLA01G0031800 transcript:ORGLA01G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:I1NKC8] MEFKKPTIGISSFLLLLLVSAAAAARPTRQLVADDGTVAAPAVAPAAADVAAGVADAPVAAANADVPAAAAAMGPVAGAAAAGAPIGASTIPVAAGAGAVGMNGGGGGGGGDHPMVFFMHDILGGTNPSARIVAGIVDNTAVTGQLPFARPNGAVLPLNSGVNVKSGAAGAIDNNNIPFLTGLGGATNAAFTSNSNNNNNGVPVFAGGSLPQGTTLQKLLFGTMTVVDDELTEAPGLGSPAVGRAQGFYIASSEEGVSQTVAVTAMFKEGEFADSISFFGVHRTADSESHLAVVGGTGKFAGAKGFAKVAVVRPGGVAATAAEHETDGVETVLQFTVLLIMY >ORGLA01G0031700.1 pep chromosome:AGI1.1:1:2262471:2264966:-1 gene:ORGLA01G0031700 transcript:ORGLA01G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:I1NKC7] MPPLLYNLFVLIVVFLGGGAPACSAATDTVKPGHVVGGKDKVVSNNGKFALGFFKAPAPNQEKWFLGIWFNTVPNRTTVWVANGGEPIMDAADAGSPELTISGDDGDLVALHPTTKSIAWSTNVSAKNSTSNSNNTAAVLLNSGNLVLQDTSNMSQPRTLWQSVDHPTDTLLPGAKLGRDKLTGLNRRLVSKKSMAGPSPGAYCFEVDEDTPQLVLKLCNSSVTYWSSGPWNGQYFTGIPELIGNSPGFHLGFFDNSREEYLQFNVSNEAVVTRNFIDVDGRNKQQVWLDSSQSWLTLYSNPKVQCDVYGVCGAFSVCSFSLLPLCSCMKGFTVGSVKDWEQGDQTGGCVRKNQLDCVGSNTSSSDSTDKFYSMSDIILPDKAESMQDVDSSDECMKVCLNNCSCTAYSYGSKGCLVWHTELLNAKLQQQNSNGEIMYLRLSARDMQRSKKRRVIIGVVVGACAAGLAVLMFILMFIIRRNKDKNRSENYGSLVAFRYKDLRSATKNFSEKIGEGGFGSVFRGQLRDSTGIAVKRLDGRSQGDKQFRAEVRSIGTIQHINLVNLIGFCSDGDSRFLVYEHMPNRSLDTHLFQSNGKFLDWNTRYQIALGVARGLCYLHESCHDRIIHCDIKPQNILLDASFLPKVADFGMAKFVGRDFSRALTTMRGTIGYLAPEWISGTAITPKVDVYSYGMVLLELVSGRRNSARSEEECTTTTTTSTSTDSDGNYSVYFPVQASRKLLDGDVMSLLDQKLCGEADLKEVERVCKIGCWCIQEDEVDRPTMGQVVQILEGVLDCDMPPLPRLLQRIFERPSSVSTSTPVFLFVGSPERQ >ORGLA01G0031600.1 pep chromosome:AGI1.1:1:2261374:2261649:1 gene:ORGLA01G0031600 transcript:ORGLA01G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARGRKERAPRGYVPILIGGQGEERERILVRTEQLKQPHFLALLDLAVQEFGYEQRGILCIPCTTKAFRSIVGAATPTAAAVAGGEIKS >ORGLA01G0031500.1 pep chromosome:AGI1.1:1:2257540:2258553:-1 gene:ORGLA01G0031500 transcript:ORGLA01G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHAAPAPHVVEDYRGVIQLLSDGTVVRSDAGAGAGALLPPEDFPDVPGVQWKDLVYDATHGLKLRVYRPPTAGDAERLPVLVCFHGGGYCLGTFEKPSFHCCCQRLASELRAVVLSADYRLGPEHRLPAAIDDGAAVLSWLRDQAMSGPGADSWLAESADFSRVFVAGESAGGNMSHHVAVLIGSGQLTVDPLRVAGYMLLTPFFGGVERAPSEAEPPAGAFFTPDMSDKLWRLSLPEGATRDHPVANPFGPDSPSLAAVAFPPVLVVVAGRDILHDRTVHYAARLKEMEKPVELVTFEEEKHLFLSLQPWSEPANELIRVMKRFIHKDEGSNNF >ORGLA01G0031400.1 pep chromosome:AGI1.1:1:2254155:2255057:-1 gene:ORGLA01G0031400 transcript:ORGLA01G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPYQDDVPGGVQWKDVVYDATHGLRVRVYTPRTAAAAAAGDDGGKLPVLVYFHGGGYCIGALDQSICHGFCLRAAYELPAVVLSVQYRLAPEHRLPAAIDDGAAFISWLRGQAALGAGADPWLAESADFARTFISGLSAGANLAHHVTARVASGQLAAVDPARFAGYVLVDPFLAGVERTAAEANPPADVSTLTVEMADQMWRMSLPVGATRDHPVANPFGPESPSLEAVALPAALVVASGGDVLYDRVVDYAARLKEMGKAVELAEFEGEQHGFSAAKPSSPATKEFIRVLKRFVHQGI >ORGLA01G0031300.1 pep chromosome:AGI1.1:1:2239813:2240652:1 gene:ORGLA01G0031300 transcript:ORGLA01G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRLSMKLLIDTKAQKVCFAEAGSDVIEFLSCLLCLPMSTIINMLTKERMVGSMGNVLDSVEKLDSKYVISSQSKERFLSPTVAPTVLCPLQQLLLDAKLNVNASFFTCEGKSTVVSYSTTKVPCGYFSVSKGAVCPVCSTQMHRAIPHVKTVGFVVGTATYTVRDDLSMTPASSVSSVSLLAQCGVKDLSALQERTVKIGKEEALEILLASLKSKTVLTDVFLPKRKVSCKREASGLL >ORGLA01G0031200.1 pep chromosome:AGI1.1:1:2235226:2236051:1 gene:ORGLA01G0031200 transcript:ORGLA01G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKTLSIKLLIDTKAQKVCFAEAGNDVVEFLASLLCLPMSTIINLLTKERMVGSMGNVLDSMEKLEDKHVTTNQSKQRYLSPTAAPNALCPLQQLLDTELNANTSFFTCEGKLNSTSYNATRFACGYFSVVKGSICPLCYTPMHKAIPHVNTSRVMAGTGTYTIKDDLSMTPASSVSSISLLAQCGVKDLTTLQERTMKIGKEEALEILLASLKSKTVLTDVFLPKKKESCKREVSA >ORGLA01G0031100.1 pep chromosome:AGI1.1:1:2231801:2232609:1 gene:ORGLA01G0031100 transcript:ORGLA01G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELSIKLVIDTKAHKVCFAEAGSDFVEFLSSLLCLPVSNIINLLTKEHMVGSIANVFDNVEKLDADHVISNESKEPYLKPMVAPGALCPLQQLIDAQLNTDTSFFTCEGKLNHYHGIRVACGYFTVMKGSICPKCGYAMEKAMAHVKATGFVVGTARYTVKDDLSIVPASSVSTISLLAQCGVKDLSTLQERTVKIGKEEALEILLASLRIKTVLTDVFLLKKKVSCKREAAT >ORGLA01G0031000.1 pep chromosome:AGI1.1:1:2228186:2229080:-1 gene:ORGLA01G0031000 transcript:ORGLA01G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTSKAATLTMKLLVDTKAQRVLYAEGSKDVVDFLLSLLAVPLAGVTKLLTAGDMVGSVGNLYGSVVDKLGADYACRGDVKAALLAPTAALRLGSPADADNGGGALYRCSGCACSRSCYNFVTKVNGTPCPVCKRKMATEVSLVEPDDVSGAGAKVVTSPAAPSGEASSSKAGYAPGKVTYTVMDDLTVAPSSTVSAVAALVALGVTDIRGLQEKTVEVGYDEGLAVLKASLQSKTVLTDVFLGARRTAHRRHPMLV >ORGLA01G0030900.1 pep chromosome:AGI1.1:1:2226324:2227192:-1 gene:ORGLA01G0030900 transcript:ORGLA01G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKTSSKALSMKLLVDSKAQRVLYAEAGKDVVDFLFSLLTLPVGTVVKVLSKDSMVGSIGELYASVEDLDATYVRSADARNVLLAPAGGFDTGKLLQLPETAAPLATKLYRCSSCDYNECYDYVSTVSGLRCQIARCPGKMTVAMKLVVSSTSTTATGSASGGEAAQPAYAVAGTGFVQGVVTYTIMDDLRVAPMSTISGITLLTTFGVTDITSLQEKTVQIGYTEGLAMLKALLQSKTVLTDVFLGKKRKA >ORGLA01G0030800.1 pep chromosome:AGI1.1:1:2217268:2222924:-1 gene:ORGLA01G0030800 transcript:ORGLA01G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEAARRISRRRCERLIAEAINAAAAPPASTELYRGSASRFGDCCNYVSTGLVTYAVMDDLKVTPMSTIALVKSGVTHIKSLQEKTVXIGYTEGLAMLKASLQSKTVLTDVFLGKKRKKWPFVLVLLLLFILLAGKPQA >ORGLA01G0030700.1 pep chromosome:AGI1.1:1:2215004:2215862:-1 gene:ORGLA01G0030700 transcript:ORGLA01G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETTSQKASSDLMSMTLLIDTKAQRVLYAEARKDVVDFLLSLLALPIASGIKLLGKGSMVGCVGNLYASVEKLDDAFVQADAAKDSLLSPVVLSPAASSNTSVLRLPAPSSAQSSKSFFRCSYSSNACRSFVTNASGTKCPNCGNQMATACTYVAGGQDQNTQNAAAEGAKGGGFVQGIVTYTVMDDLTVAPMSSISSITLLNRFAVKDLGALKDKTVQLGYTEGLAILKASLQSKTVLTDVFIGLKPAS >ORGLA01G0030600.1 pep chromosome:AGI1.1:1:2212806:2213891:1 gene:ORGLA01G0030600 transcript:ORGLA01G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding YHFRPFITHNQTPIDLVSSSAMSGSGDAAPPPHVVEDFFGVIQLLSDGSVVRADDSALLAMPELQDVPGVQWKDAVYDATHGLMVRVFKPAAAAAGDDGGKLPVLVYFHGGGYCIGALDQSPFHTFCLRAADELPAVVLSVQYRLAPEHRLPTAIDDGAAFFSWLRGAGSADPWLAESAELARTFISGVSAGANLAHHVAVRVASGRQPVVDDVDPVVRVAGYVLLDAFFGGVERTAAEANPPADVSLLTVEMADQFWRLALPAGATRDHPVANPFGPESPSLEAVALPPALVVASGGDVLYDRVVGYAARLKEMGKAVELVEFEGAQHGFSVIQPWSPETSEVIQVLKRFVHKAIRPAEG >ORGLA01G0030500.1 pep chromosome:AGI1.1:1:2209797:2211060:-1 gene:ORGLA01G0030500 transcript:ORGLA01G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAAVPSSCASADAAPSTTPTIKLLIAKEAQVVLFAEAGKDVVDFLVGLLAMPVGAVVKLLAGENALGGVANVYASVRRMDAAYMQSAEARDALLNPAPAHPCLAATAGGFPSLVQPPRVQAPYVAPPPPPPPPPGHPAYQCSTIRPTTPSLSSLKAAFPPFGAGMSSDTGCRCSTCLAAAQTGKGFVRDVVTYTVMDDLTFMPMSSISSIALLSKLGVEDLSALEEKTVKIGYQEGLEILKASLQSKTVLTDVFLNRKKKARAGDKHHRSGDKNVDARATSEKKDAAAAVQMEKSAPPMPHDFDV >ORGLA01G0030400.1 pep chromosome:AGI1.1:1:2195992:2204632:1 gene:ORGLA01G0030400 transcript:ORGLA01G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class II (D, K and N) family protein [Source:Projected from Arabidopsis thaliana (AT4G33760) TAIR;Acc:AT4G33760] MASSLLRASPLSLLSRLKPLNPSPTASHLRRLLLLSTASSTSSASCSPSPLRTLAATDAATPPSDEDAAAAPAAEAKLERLQPLQWPARDALCGELGAGDAGRRVRLCGWVALHRAHAGLTFLTLRDRSGTVQVTTLPEYPEVYAVVNKLRVESVVAVEGVVRSRPTEAINTDMKTGAIEVAADNIYVLNSVTRSLPFPITTADTVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKHIRRFLEDRHDFVEIETPVLSKSTPEGARDYLVPSRVQPGMFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMEIAFTSMEDMLKLNEELMRHIFQEVGDIKLPNPFPRLTYTEAMNRYGTDRPDLRFDWQLKDVSDAFLGSSFKIFADTLENGGVIKALCVPGGAKEFSNTDLKKGTVYTEASKAGAKGLPFLKVMDNGELEGIGPLVSSLKPEKKEQLLKHLDAKSGDLILFALGEQSAANRILGRLRLFIAHKLEVIDTSAHSILWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQNTEIAMQAEEKFGYLLECFDMGAPPHGGIAYGLDRLVMLLAGENSIRDVIAFPKTTTAQCSLTKAPSPVDPQQLKDLGFRT >ORGLA01G0030300.1 pep chromosome:AGI1.1:1:2194061:2194528:1 gene:ORGLA01G0030300 transcript:ORGLA01G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:I1NKB3] MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKGEKGSGDGKKDRAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >ORGLA01G0030200.1 pep chromosome:AGI1.1:1:2175149:2181757:-1 gene:ORGLA01G0030200 transcript:ORGLA01G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59900) TAIR;Acc:AT5G59900] MVKLLADILHHTPPSTWPSALAAPLLRGRLAAAHVSSLLLLPPSLSRPDLSRRFLLLLPPHLVSPVSLSLLALSFLSSSPSSSSSSFSSRHAASLLLSLASSAPSASSSFSSLSHASSLSAFPPGAASTAAALLASSYLRLRRARDAAAVLGLSLSSGITVNQYTASQILFSLVKIRQFALARDLFDKMLQSGVHLDEYVYTAGIRAYCESRNLDGARGLVVRMESEGVKASAVPYNVLMYGLCKNMRVQEAVEVKNVMVNIGVTADEVTYRTLVYGFCRMEELEMALRITHDMIRLGFVPSEANCSFMIDELRKKELVEEAFSLACKLGDLGMVPNVFAYNALIDKLCKNERFDDADRLFKEMAGRGLEPNEVTYAILIHALCKRGMIEDALCLFDKMRDKGIKVTVYPYNSLINGYCKQGSLDRARGLLSGMVKEGLTPTAASYSPLIAGLCRNGDLSSAMELHREMAERGIAWNNYTFTALLNGFCKDKKMDEAARLFDKMIDSNVIPNEVTFNVMIEGYCLVGNIRKAFQLYDQMVEMGLKPDNYTYRSLISGLCLTSGVSKANEFVADLENSYAVLNNFSLTALLYGFFREGRFTETYHLWDEMAVRGVKLDLVSFTIIVYAALKQHDKEKSCVLFREMKEQGVKPDDIFYTCMIDALSKEENMIQALNCWDQMVVDGYSPNTVTHTVLINNLCKSGYLGSAELLCKEMLAGNVLPNKFTYNCFLDYFATEGDMEKAKDLHSAMLQGHLASIVSFNILIKGLCKAGKIQEAIDLMSKITESGFSPDCISYSTIIHELCKMGDINKAFELWNEMLYKGLKPDVVAYNIFIRWCNVHGESDKALGIYTNMIRSGVQPNWDTYRALLSGISLMLHYDFSCFRGTKQYIQISVYELMDSTHNKSHRRIWFVDDHKSGEIILEFDGRLNKWGVISFRSDVKVKKLSPGPQVSFPTHQGENVPTLLFSPYLPATKFLFLPGVGGGFPGGEATANPFLAGVVDGVPRGDAAVIPFLSVTGVGVDVAPGSDVAAIPLLSGAGAGVAPGGDATAILFLAGVGVGVVPGGACTSTTAAGASPTASSIWGRRPSAPRTAASPSSSSTSLLQICSKFESLNTYRKSGFRIFSNEGYALNVWYALKV >ORGLA01G0030100.1 pep chromosome:AGI1.1:1:2171989:2172450:-1 gene:ORGLA01G0030100 transcript:ORGLA01G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:I1NKB1] MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKGEKGSGEGKKAGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >ORGLA01G0030000.1 pep chromosome:AGI1.1:1:2166882:2170154:1 gene:ORGLA01G0030000 transcript:ORGLA01G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVLLSLISVLTIAGGSTDEATLLAFKAGLSSRTLTSWNSSTSFCNWEGVKCSRHRPTRVVGLSLPSSNLAGTLPPAIGNLTFLRWLNLSSNGLHGEIPPSLGRLQHLRILDLGSNSFSGAFPDNLSSCISLINLTLGYNQLSGHIPVKLGNTLTWLQKLHLGNNSFTGPIPASLANLSSLEFLKLDFNHLKGLIPSSLGNIPNLQKIGLDGNSLWGEFPPSIWNLSKLTVLQVYENKLKGSIPANIGDKLPNMQHFVLSVNQFSGVIPSSLFNLSSLTDVYLDGNKFSGFVPPTMGRLKSLVRLSLSSNRLEANNMKGWEFITSLANCSQLQQLDIAENSFIGQLPISIVNLSTTLQKFFLRGNSVSGSIPTDIGNLIGLDTLDLGSTSLSGVIPESIGKLADLAIITLYSTRLSGLIPSVIGNLTNLNILAAYDAHLEGPIPATLGKLKKLFALDLSINHLNGSVPKEIFELPSLSWFLILSDNTLSGPIPSEVGTLVNLNSIELSGNQLSDQIPDSIGNCEVLEYLLLDSNSFEGGIPQSLTKLKGLAILNLTMNKFSGSIPDAIGSMGNLQQLCLAHNNLSGSIPETLQNLTQLWHLDVSFNNLQGKVPDEGAFRNLTYASVAGNDKLCGGIPRLHLAPCPIPAVRKDRKERMKYLKVAFITTGAILVLASAIVLIILQHRKLKGRQNSQEISPVIEEQYQRISYYALSRGSNEFSEANLLGKGRYGSVYKCTLQDEGEPVAVKVFDLKQLGSSRSFQAECEALRRVRHRCLTKIITCCSSIDPQGQEFKALVFEYMPNGSLDEDMSAKVGDFGISKILPKSTTRTLQYSKSSIGIRGSIGYIAPEYGEGSAVTRAGDTYSLGILLLEMFTGRSPTDDIFRDSMDLHKFVAASFLESAMNIADRTIWLHEEANDTDGTNASTKRRIIQQCLVSVLRLGLSCSKQQPRDRMLLPDAASEIHAIRDEYLRSWMVENEQSTLN >ORGLA01G0029900.1 pep chromosome:AGI1.1:1:2162519:2163814:-1 gene:ORGLA01G0029900 transcript:ORGLA01G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDDMAALTEDILLQIFSRVGSIKDLFKFAVTCRRWLRRFTDPAFLRGLCLDSGEGHRARLLGFFFQQTRFYRCEKMISMRVAQQSSVCPPTFLPAPWSPLGLTDRTLTSFLATDDDTFNYAEPLAARCGIVLMRLVPRTALMIACSHLLGVCNPITGECHVLPPLNLSGLHRYLTSYAIITSTDSDLDGKQPPSSSSSGRSTFSQLYLVVQHKKDCNEYFYSYSAATRSWSAPTMCVDGRRFSLVGERSAVVHKGAAHWLFIDRVSSATQDDILYKLTAAVDTSEISLTRLPFGAGGSPLLCVSGDGKLSVACVFPIHMRVWTQQDDTPATWLRTVIRIPLAVPYPDYSHICQPREKWFNFNRGSMLVLYRSNGVFILDLEKKVMEKVMDCLLPLFSDKLNRTAVAYEMDLVEFFVLQLSGLCRGSTG >ORGLA01G0029800.1 pep chromosome:AGI1.1:1:2159880:2160341:-1 gene:ORGLA01G0029800 transcript:ORGLA01G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:I1NKA8] MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKAEKGSGEGKKAGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >ORGLA01G0029700.1 pep chromosome:AGI1.1:1:2155190:2158491:1 gene:ORGLA01G0029700 transcript:ORGLA01G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMIMMSLRLELSLLFILTIVAAVAGGGSSSRDEATLLAFKAAFRGSSSSALASWNSSTSLCSWEGVTCDRRTPTRVAALTLPSGNLAGGLPPVIGNLSFLQSLNLSSNELYGEIPASLGRLRRLQILDLGGNSFSGEFPANLSSCTSLKNLGLAFNQLGGRIPVELGNILTQLQKLQLQNNSFTGPIPASLANLSSLQYLHMDNNNLEGLIPPELSKAAALREFSFEQNSLSGIFPSSLWNLSSLTMLAANGNMLQGSIPAHIGDKFPGMQHFGLADNQFSGVIPSSLFNLSSLIAVLLYGNIFSGFVPTTVGRLQSLRRLYLYGNQLEATNRKGWEFITSLTNCSQLQQLVISENNFSGQLPNSIVNLSTTLHKLYLDNNSISGSIPEDIGNLIGLDTLDLGFTSLSGVIPASIGKLSNLVEVALYNTSLSGLIPSSIGNLTNLNRLYAFYTNLEGPIPASLGKLKRLFVLDLSTNRLNGSIPKEILELPSLSWYLDLSYNYLSGPLPIEVGTLANLNQLILSGNNLSGQIPDSIGNCQVLESLLLDKNSFEGGIPQSLTNLKGLNILNLTMNKLSGRIPDTIGRIGNLQQLFLAQNNFSGPIPATLQNLTMLWKLDVSFNNLQGEVPDEGVFKNLTYASVAGNDNLCGGIPQLHLAPCPIIDASKNNKRWHKSLKIALPTTGSILLLVSATALILFCRKLKQRQNSQATFSGTDEHYHRVSYYALARGSNEFSKANLLGKGSYGSVYRCTLQDEGAIVAVKVFNLQQSGSAKSFEVECEALRRVRHRCLIKIVTCCSSINPQGQEFKALVFEYMPNGSLDGWLHPASSNPTPSNTLSLSQRLNIAVDILDALDYLHNHCQPPIIHCDLKPSNILLAEDMSAKVGDFGISRILPESIVKTLQHSNSTVGIRGSIGYIPPEYGEGSAVSRLGDIYSLGILLLEIFTGRSPTDDLFKDSVDLHKFASAAFPDRVLEIVDRTIWLHEEAKSKDITDASITRSIVQDCLVSVLRLGISCSKQQAKERMLLADAVSKMHAIRDEYILSQVVKK >ORGLA01G0029600.1 pep chromosome:AGI1.1:1:2153276:2153728:-1 gene:ORGLA01G0029600 transcript:ORGLA01G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:I1NKA6] MAPKAEKKPAAKKPAEEEVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >ORGLA01G0029500.1 pep chromosome:AGI1.1:1:2142007:2142474:-1 gene:ORGLA01G0029500 transcript:ORGLA01G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:I1NKA5] MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKAEKGSGEGKKDRAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >ORGLA01G0029400.1 pep chromosome:AGI1.1:1:2137081:2137866:-1 gene:ORGLA01G0029400 transcript:ORGLA01G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGGIIAALPEDVLLQVLSRVASVKSLFMLAATCRRWLRRFTDRAFLLDLWGGQRAGDLLGFFFHLQRIKVSTFGFLPVPSSPLRPLASSGSSNSVQPLAARRGVLLMRLPITRLLFLSNPVTGKRHVVPRLEEYSDLGPYKVTSYAIVVSDDLAGKPQQPASSGRFTFSQLLVTTKHANSITMYVNSYSAAGGWAAPAEFLDLLRFSLAGRSTPSAVVHRGAAHWLCTDDVASATEATACTSSAWRWACRPQPRRAFP >ORGLA01G0029300.1 pep chromosome:AGI1.1:1:2132806:2136103:1 gene:ORGLA01G0029300 transcript:ORGLA01G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALASFLLLLLSVFMSIFLHFMAVRGADGEDAAALLAFKAVAVGNGGGNGVLASWNGSAGPCSWEGVACGRHGRVVALSLPGHDLSGTLSPAVGNLTSLQKLDLSYNWLHGGIPASLGQLHRLRELDLSFNTFSGEVPRNLTSCTSLEYLALGSNKLAGHIPSELGNTLTQLQVLGLDNNSFVGHWPASLANLTSLGYLSLRMNSLEGTIPPEFGSNMPRLYFLDICSNNLSGALPSSLYNLSSLMGFDAGNNKLDGSIATDIDEKFPHLQSFAVFNNQFSGEIPSSFSNLTNLTSLQLSMNGFSGFVPHNLGRLNALQNLQLGVNMLEAGDIKGWEFVESLTNCSKLEILVLSNNNFTGQFPISIANLSKTLQKLYLGGSRISGSIPSDFGNLVGLRSLYLFSTEISGVIPESIGKLENLTTLYLNNNSLSGHVPSSVGNLTNLMKLFMQGNNLEGPIPANLGKLKSLNVLDLSRNHFNGSIPKEILELPSISQYLNLSYNSLSGPLPSEVGSLTSLNELILSGNQLSGQIPSSIKNCIVLTVLLLDSNSFQGTIPVFLGDIKGLRVLNLTMNKFSAVIPDALGSIHNLQELYLAYNNLSGPIPAVLQNLTSLSMLDLSFNDLQGEVPKEGIFKNLSYLSLAGNSELCGGISHLNLPPCSMHAVRKRSKGWLRSLKIALASIAVVLFLALVMVIIMLIRRRKPVHRKKGQSLTPVVEEQFERVSYQELSNGTKGFSQNSLLGKGSYGVVYKCTLFDEEIVVAVKVFNLERSGSTRSFLAECDALRSVRHRCLLKIITCCSSINNQGQDFKALVFEFMPNGSLNGWLHPKSDMPIVDNTLSLTQRLDIAVDIVDALEYLHIHCQPPIVHCDLKPSNILLAEDMSARVGDFGISRILTESASKTQQNSSNTIGIRGSIGYVAPEYGEGSAVSTLGDVYSLGILLLEMFTGMSPTDDMFRDSLDLHSFSEAAHPDRILEIADPTLWVHVDAEDSITRSRMQECLISVIGLGLSCSKHQPKERMPIQDAALKMHAIRDDAYLMFSGSLSVDMEEETKQISSDLKQQ >ORGLA01G0029200.1 pep chromosome:AGI1.1:1:2126945:2128767:1 gene:ORGLA01G0029200 transcript:ORGLA01G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15200) TAIR;Acc:AT3G15200] MPPPASAILSAACRSSRTLFVLRRLGFLGSCTPTVRQNDSEELPGAGLHPRFHQSVLPIHCVLGSLRLCHSTALDGSKDVHVSEIVKILKSRDGDSELADVLNQFADEMDEDVVLKVLQKQRSNWKVALSFFKWAAGLPQYKHGSRAYTEMLDILGRMKKVRLMRQLFDDIPVESRQPVVTNRMFAVLLNRYAGAHKVQEAIDMFYKRKDYGFELDLVGFQILLMSLCRYKHVEEAEALFLQKKDEFPPVIKSWNIILNGWCVKGSLADAKRVWNEIIASKLKPDLFTYGTFINSLTKSGKLSTAVKLFTSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNDRGCQADVATYNTLIKHFCKINRMEKVYELLDDMEVKGVSSNNMTYSYILKTTEKPKDVVSLMQRMEKSDCRLDSHTYNLILNLYVSWDYEKGVQLVWDEMERNGSGPDQRSFTIMVHGLHSHGKLDEALQYYRTMESRGMTPEPRTKLLVKAIRMKKDEPATEEQPLTRKNLKLDPISRLFHVRK >ORGLA01G0029100.1 pep chromosome:AGI1.1:1:2125412:2126191:-1 gene:ORGLA01G0029100 transcript:ORGLA01G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSLSVSGILARHPVLFYAATWTAVATAAVSVAAFAPELAFVWAVTPSTGAGAAPLAAECPGGIVLPLDGPPWDAACVPAALFGRVTLDVLVPPVFAAAVVSAALWFTKAVGVWEDDDDEDDSNEATMLDKCSVKVYTDSKIDSLSWPSLL >ORGLA01G0029000.1 pep chromosome:AGI1.1:1:2122371:2125090:1 gene:ORGLA01G0029000 transcript:ORGLA01G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLITGKAGASGFGSGSTAEQVTAGVDATGLTAIVTGGASGIGLETSRVFAMRGAHVIIAARNTEAASVVRKKIIEENPKAHIDVLKLDLSSLKSVRAFADQFNSMNLPLNILINNAGVMFCPFGLSEDGVEMQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVNLSSVAHLHTYPKGIEFDKLNDEKTYDDKMAYGQSKLANILHAKELSRRLKEEGANITINCVHPGLIMTNLMRHSFFLMRVLQFATYILWKSVPQGAATTCYVGLNPQLKGVTGQYFADCNVEKTSRFARNDALAKQLWEFSEKLIKSSSK >ORGLA01G0028900.1 pep chromosome:AGI1.1:1:2113065:2116938:1 gene:ORGLA01G0028900 transcript:ORGLA01G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARAADHLEALSLEIERKLQKALNSNSQRLQLLQQLFADIALKVDDRARDVILSTNDDGIAPVDEREDTRLCFYEILANHFVKVPESGRRILDLIVQLWSQSFAANIFALLFHRWLFEVPLDGKEVSLRYSSALVQGATNVFWIDIQTNTRHFLSLYNYLLEEVALVPDQLSKISVQAGRGLFLLLSRFMLFYDQDHLLASFLEHFPTFPNSFLVGGPADYYVIELTDQLQKLKVEPVLLHYLSRLTILQGLELRMSTSTRLKACLYSFTSPGGPTYPTRAVRHAAWNTLDLLFPVGRYPRHVISLFFRLLYPWYWPSSCWNFIMTCVKTVYYYILNLIVSSWENMRRPNHQRMHRD >ORGLA01G0028800.1 pep chromosome:AGI1.1:1:2104757:2112046:1 gene:ORGLA01G0028800 transcript:ORGLA01G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGLENPLLGGGAVSSPDSRRRRPCTALAIAAAALLALAACVVLHLSSGGDDRSRVVSGGGGGVRLSPHEVEAGVGAVATDDGRCSEVGAAALRAGGHAVDAAVAATLCLGVVHPMSSGVGGGAFIVARDAASGDAVAFDARETAPAAATPDMYAGNPTSKYKGALAMGIPGELAGLHAAWSRYGRLPWKDLFAPAIKLARDGFTVVPYLEIALKKTERDVLADPGLRAVLAPEGRILAAGEVCRNPALADTLEAIASGGVEAFYGGAVGERFVADVRRAGGIATVDDLRAYKVEVSDAMRSDAMGYTFLGMPPPSSGGVGVALILNILSGYKSLEFLKGFLGLHRFIEAFKHMLAIRMDLGDPDYVNITGNVSEMLSPAFADKLRQRIVDNTTFPPSYYFPKWSQLDDHGTSHLCVVDGDRNAVAMTTTENHLFGAHLLSPSTGIVVNNQMDDFSVPAEGTPPPDNLPPAPANFIAPGKRPLSSMTPTIILKDGQLAGVVGGSGGPFIIATVVQVFVNHFIVGMHPLAAVLNPRVYHKLVPNEVVYENVTVVDGEVFELSGEAREFLRRRGHRLTSTDSGAVCQFIVQDLLTPVAAAGDENVFHGMLTAVSDPRKDGRPAGM >ORGLA01G0028700.1 pep chromosome:AGI1.1:1:2097835:2102217:1 gene:ORGLA01G0028700 transcript:ORGLA01G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGLENPLLGGGPVSSQGSRRRRPWTALAIAVALLAVACVVLLLLSSGGAEQGGDRSRVVSGGGGGGVGLSPHEVEAGVGAVAADDGRCXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRTGEKLKQVTHTNDMYAGNPTSKYKGALAMGVPGELAGLHAAWSRYGRLPWKDLFAPAIKLARDGFTVVPPVAGALKEAERDVLADPGLRAVFAPQGRILAAGEVCRNPALADTLEAVASGGVEAFYGGAIGERFVADVRRAGGIATVDDLRAYKVEVSDAMRADAMGYTFLGMPPPSSGTVGMALVLNILDGYKSLEFLKGFLGVHRFIEALKHMLAIRMALGDPDYVNVAGNVSQMLSPAFADKIRQRIVDNTTFPPSYYFPKWSQLNDHGTSHLCVVDGDRNAVAMTTTVNSYFGAHVLSPSTGIVLNNEMDDFSVPAERTPDHLPPAPANFIAPGKRPLSSMTPTIILKNGQLAGVVGGSGGTNIIATAAQVFVNHFIVGMHPLAAVQHPRVYHKLVPNVVVYENETVVGGEVIELSGEAREFLRRRGHRLRSTGSGAVCQFIVQDLLAPVGSAADRRQHGGGNVFHGMLTAVSDPRKGGRPAGM >ORGLA01G0028600.1 pep chromosome:AGI1.1:1:2092284:2095530:1 gene:ORGLA01G0028600 transcript:ORGLA01G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:63 kDa inner membrane family protein [Source:Projected from Arabidopsis thaliana (AT2G28800) TAIR;Acc:AT2G28800] MAKALLSSSLLPSLQPRAAAARLPMLTLPSLRRHGGRRASACRVRASLHGLDSIAGLDLHAALERAEAALYTLADAAVVAADAAAGGGGGGGGGGGEAAASVAQKNGGWFGFISEALEVVLKVLKDGLSAVHVPYSYGFAIILLTVIVKAATLPLTKQQVESTLAMQNLQPQIKAIQQRYAGNQERIQLETARLYKQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGAGISWLLPFVDGHPPLGWHDTICYLVLPVLLVASQFVSMEIMKPPQTDDPSQKNTLLVLKFLPFMIGWFSLSVPSGLSIYWFTNNILSTAQQVWLRKLGGAKPVVNQGGSGIITAGRAKRTSAQPAQPGERFKQLKEEESKRKGNKALAAGDSDLSASTSEDEESDDETTEEQGGPEERYNSSSNKKLPNYSGKKGKRSKRKRMVQ >ORGLA01G0028500.1 pep chromosome:AGI1.1:1:2088516:2090159:1 gene:ORGLA01G0028500 transcript:ORGLA01G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLLTTLSMENTNSHPCTRLSMDPAGSHAASGDSSGGGGGGGSTGAGGGGGGGGGDRELFIIPRRESAHPGPPDINLPLSADPSPPPPPHPPSWGIDQFDMLDVGLGTQTYESEVALTLPKLTGNGNTAVGVGARKCAKRGDSIWGAWFFFNHYFKPALVEKPKGKVTRDSSGSVSGFEKSDLRLDVFLVQHDMENMYMWVFKERPDNALGKMQLRSFMNGHSKHGEPSFPFSADKGFARSHRMQRKHYRGLSNPQCLHGIEIVSSPNLSAVPEAEMKRWAELTGRELNFSIPPEASDFESWRNLPSTDFELDRPLPPSSKITHGSHSHKKALNGSGLNLSTPPSSDDGMDLSPKCAKRRKDFFAHGADEDCVMANNSCSDREQEIEVHTGEPSWMHEFTGVAKHASGPVTAAKTIYEDDEGYLIMVSMLFSDPHSVKVSWRNTLTHGIVKISCVSTARMPFVKRHDRTFKLTDPFPEHCPPGEFVREIPLATRIPEDAKLEAYYDETGTGLEIMVPKHRVGPEEHEVQVCMRPPHLGENDLLLS >ORGLA01G0028400.1 pep chromosome:AGI1.1:1:2079769:2082520:1 gene:ORGLA01G0028400 transcript:ORGLA01G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQHSRISWLQEGDADLYTLLSPACDVPSTAQLHPTTRTQRSRMTIREPLRRGFDTVVTLVAWTIWKECKGRVFNQQQRTWVDIVKGMVAETALWRQANSAIPALILRRDFGSQNRPYSTFIVCFPVGMVCEVVLIYIALPFMEMKALQYQASEKSDKWSFSFNYFYANLFFMASFATATAQVATVSSANK >ORGLA01G0028300.1 pep chromosome:AGI1.1:1:2074557:2075921:1 gene:ORGLA01G0028300 transcript:ORGLA01G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNASGVRRLYLSLYNWIVFIGWVQVSWFMILALLKNGYDAVYAAVEQHLLFAQTAAIMELYNFFVSLLMEIERC >ORGLA01G0028200.1 pep chromosome:AGI1.1:1:2063992:2068363:1 gene:ORGLA01G0028200 transcript:ORGLA01G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:I1NK92] MPEEAAGMASVLRRLYLSVYNWIVFIRWVQVSWSMILALLEKRYEAVYAAVEQHLLFAQTAAIMEILHSIVGLVRSPVSSTLPQITGRLFMIWGILRSFPEIHTHIFVTSLLISWCITEVTRYSFYGMKESFGFTPSWLLWLRYSTFIVCFPVGMVSEVGLVYIVVPFMKASEKYCLRMPNKWNFSIKYFYASVFFMVLYAPVYLHLFHYLIVQRKKALAKSKTT >ORGLA01G0028100.1 pep chromosome:AGI1.1:1:2058603:2061441:1 gene:ORGLA01G0028100 transcript:ORGLA01G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:I1NK91] MAADGSMVRRLYLSIYNWVAFIGWAQVLCYTILALLDKGHEAVYAAIERPLLFTQTAAILEVLVFPSSLPLFIRENWRLRGLVRSPVSSTLPQITGRLFITWGILWSFPETQSYIFVTSLLICWCIIEVTRYSFYGMKESFGFTPSWLLWLRYSTFIACFPVGVVSEICLAYTVLPFMKASEKYCLRMPNKWNFSFNYFYANVFFMALYVPVVPYLFHHMIAQRKKALSKAKTT >ORGLA01G0028000.1 pep chromosome:AGI1.1:1:2053340:2055271:1 gene:ORGLA01G0028000 transcript:ORGLA01G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:I1NK90] MTGMRPSMLLSSGICCLHRLLPSWRSPVSSTLPQITGRLFITWGILWSFPETHSHILVTPLIISWSITEVIRYSFFGIKESFGFTPSWLLWLRYSTFIVCYPVGMVSEVGLIYIAFPFMKASEKYCIGMPNKWNFSFDYFYFSTFLMALYVPVFPYLLRHMVAQRKKALSKAKTT >ORGLA01G0027900.1 pep chromosome:AGI1.1:1:2048344:2051309:1 gene:ORGLA01G0027900 transcript:ORGLA01G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:I1NK89] MAGVGSAVRRLYFSVYNWAVFFGWAQVLYYAVTTLLESGHEAVYAAVERPLQFAQTAAFLEILHGLVGLVRSPVSATLPQIGSRLFLTWAILWSFPETHSHILVTSLVISWSITEIIRYSFFGMKETFGFAPSWLLWLRYSTFMVLYPTGISSEVGLIYIALPYMKATEKYCLRMPNKWNFSFDFSYASILSLAVYVPGSPHMFTYMLAQRKKALAKAKAA >ORGLA01G0027800.1 pep chromosome:AGI1.1:1:2043624:2047396:1 gene:ORGLA01G0027800 transcript:ORGLA01G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G39550) TAIR;Acc:AT2G39550] MAEPEQPEFARERHVLFLEAMASELPADYASQEVNHLTLAYFAVAGLSLLRELDRVNKDQIAKWILSFQVHPKTDNELDNGQFYGFCGSRTTQFPSTNMKDPCHNGSHLASTYSALAILKIVGYDLANIDNKVLLSSMRNLQQPDGSFMPTHIGAETDLRFVYCAAAICSMLKDWTGMDKEKAKQYILSCQSYDGGFGLVPGSESHGGGTFCAVAALCLMGFIQVDLASNLQEPSSIDVLLLLEWCLQRQAADGGFQGRRNKSSDTCYAFWIGGVLKIIGAYRFIDHGALRSFLLSCQSPYGGFTKFLYDQFPDIYHSYYGLAALSLLEEEGLEPLCTELGILSAAL >ORGLA01G0027700.1 pep chromosome:AGI1.1:1:2038078:2041495:-1 gene:ORGLA01G0027700 transcript:ORGLA01G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G55360) TAIR;Acc:AT3G55360] MKVSVVSRSGREVVRGGVELKDSAKVADLQDAIYAKTKKYYPARQRLTLPIQPGKSGKPVVLSAKASLSEYCEKGSGSLTVVFKDLGPQVFYSTLFFFEYLGPLLIYPMFYYLPVYKYFGYEGERVMHPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPVSNVFRNCAYYWTFGAYIAYYCNHPLYTPVSELQMKIGFGFGILCQIANFYCHILLRNLRSPSGNGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYIFLVVAASIMTNWALGKHRRLKKLFDGKEGRPKYPRRWVILPPFL >ORGLA01G0027600.1 pep chromosome:AGI1.1:1:2028821:2033210:-1 gene:ORGLA01G0027600 transcript:ORGLA01G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLHHQDSAFYGKELHGRRWSILQFFGFRRRLRSTKMISDKKQSQGKGSGGSRRRGSYVPLKDEDTSVMDDDKHTETTKKNKSSKKSSGKGSLGSLILKKLYGKEGHKEKMLPVAPKLLRTLSIHYLESNEYVLDGESASNGDGSSQSIMPNSTDTNIQNATFNTQDGCDNDTSSSLLKRGDIHVKQRSHRSISMDGVLHKVPYGHKASEDVIKEGLSRSASATYDRDGLKSYTVTAAKRPVNQGFRRSRSLTESLEKYSHLLDSISSNQSKRRLTSSKSTRDHSLDAPAVMTGLQSLVMTAENLVIPEHALAPYVPERIIVDGDVDAAMDEISGHKDVDGSENTVLIEEYIVDKKCGVSVSTEANICTPPLLSEVIDITQGHVATCDDDQVHSSTEDNMCTVHSKSEEIDVPEEHGTTCDDDHTNLSTEAGMHTTKVSEDGRISEEHTTTSDDKQIHSSDVLKSREGTFCVPRPSQEIEAEINLSCEQETDSPMSVLDMTFPDDPASPVKYTILDDSSLKPRVLHLSDTDDSADMDLNTSTSMELSTAGLGHKNVQESDSDELSSLQADPKNEDGLIYVKNIFMKSSFRNEVLFDEWCSQNITVLQEEDCQHYEAAAAAFDFTEMSADQLLLSDLTNEVLLDIYKKYSVSKSLLSRFSSFDRPKPVGNHALKELWSKVSCHLDEQPHSSIEIDTILSNDLSKNDHWVNFQRDADHLGNMLADFVFDRLLTEFTLQLAKF >ORGLA01G0027500.1 pep chromosome:AGI1.1:1:2022827:2024272:-1 gene:ORGLA01G0027500 transcript:ORGLA01G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCQCGSGCGGCKMYPEMAEEVTTTQTVIMGVAPSKGHAEGLEAGAAAGAGAENGCKCGDNCTCNPCNCGK >ORGLA01G0027400.1 pep chromosome:AGI1.1:1:2011686:2014494:-1 gene:ORGLA01G0027400 transcript:ORGLA01G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDALAWKFLEKLGQLIEDEVIMTLSVKRGIESLKKNLEFFNAVREDAEALAMEDPGIDSWWKNMRNVMFDVDDIVDLFMVHSQKFLLPPRPVCCNQPLFSSFAKFSFDHRIAKRIDNINEKFEETKMNKEMFGFERTNRQQVQITIVDRSQTSTVDELEVVGEDIRRAVDDMVKMIVSNYNDNRSTVFGIQGMGGIEMHATYTHRVNKMNDYDGLELLMKMSLGPYEQRREFSGVGYQIVKKCDGLPLAIKVVAGVLSSKKTKAEWESIRDSKWSIHGLPKELGGPLYLSYSNLPPELKQCFLWCALLPSNFGIHRDAVAYWWVAEGFVTKMHGYSIHEAAEEYYHELIRMNLLQPKPEFVDKWMSTMHDLLRSLGQFLTKDHSLFMNMENSKALLNLRHLVISNDVKEIPAIEELKCLRSLLIFNNKNFKTINKDIFRELKHIRVLVLSGTSIQVIPESVGNLLLLRLLDLSYTKIKKLPESIGNLTSLEYLSLHCCRHLDSLPASLMRLSNISFLELEQTGIDHVPKGIAKFQKLYNLKGVFESASGFRLDELQCLPNIQRLRIVKLEKATPGGAFVLRNSLRLRELWFRCTMGANTHDITHYQMNEIERIQQVYEMLSPSSSLIYLFFEGFPGVRFPDWLCSEPEYKMPNLGHMYLNECISCSELPPAGQMPELLILQIRCADAVVNIGSELLGKGVSSATHITIFPKLKLLHIIDMSNLESWSLNTGNLRGRSEQLVLMPCLKRLFLNGCPKLRALPEDLHRIANLRRIHIEGAHTLHEIDNLPSVLWLKVKNNRCLRRITVGADGRDIFPDETLYN >ORGLA01G0027300.1 pep chromosome:AGI1.1:1:2006951:2007997:-1 gene:ORGLA01G0027300 transcript:ORGLA01G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGMAEEVTTTQTVIMGVSPSKGHAEGLEAGAAAGAGAENGCKCGDNCTCNPCNCGKLKQATS >ORGLA01G0027200.1 pep chromosome:AGI1.1:1:2003058:2003315:1 gene:ORGLA01G0027200 transcript:ORGLA01G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDLVAVCIRARQRPLGEGSPKGGWATEGCGSRPPALSLLQSGFIKLLVRSTLSNALQGKEASKEGKTLILAVLDIWRKRVKTSRG >ORGLA01G0027100.1 pep chromosome:AGI1.1:1:2002002:2002481:1 gene:ORGLA01G0027100 transcript:ORGLA01G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTRRRTTPHSCAIPVLTISTPLVLKDADLLPVDSGGYPKSSLSRTAPHRCAVPMLAISTPLILDDADLLPTTAVVTPNPLARCCRRRLASSPRTLGCSSASGRGDNAPPFHLPRRPPLPPLLVCEAVPSVVDGGAVPASMGDHGRGGGERDEGGRRD >ORGLA01G0027000.1 pep chromosome:AGI1.1:1:1994233:1995372:1 gene:ORGLA01G0027000 transcript:ORGLA01G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSQKKRRSKGKDGAVAPVGLRLNRLGRASPREAAGKPVYLAVEHDDDQEVPAHSIVELGGGGEAHLVLHNVRGMSFATVESRYGPRIVGVGGKLFTTVYDPKTSMEIPGPFLVEPKLRPVLIPRGSKLYALSRTPSVVPGLDFLPWFVYLDLNYVLVAPHDARTMGWHHLPPPPIFPVRLNPLEYRDPPEVRVASYAVVGSHILLSVQQDKGTCAFDMDTNQWDMVDANNLPFIGKAVPLGGHLFIARSIANGGAAAVYDIRVFPLQPTSSGSHKTELSILNIPVVSKGIVPGQLFCSLGKGIFSSIDVRSAATPGPDAKLHKARIVHRTYSQVGGDDTEDNNYTVITKQHRQIYKLIDRTRHLAHPSPVVAALTM >ORGLA01G0026900.1 pep chromosome:AGI1.1:1:1992670:1993125:-1 gene:ORGLA01G0026900 transcript:ORGLA01G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEHGGPGAASEAPRDLMPPAKSTHASCSRQQTMSLADGDDNAGDLSELVRARTSSGAARRNAEAGGAMSSSMLSAIGSSIYGFVRIRPCRRRSDSLGPPCPVRRRRCPRREVHRGGRDEDGNGDGVREEDGESDWSGMVTILEFSSGM >ORGLA01G0026800.1 pep chromosome:AGI1.1:1:1985207:1986406:-1 gene:ORGLA01G0026800 transcript:ORGLA01G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMAERQHKKRKPEKMAGARTSGHDKEEEKKVKAPSVYLVVGHEVSWATYSVFKVDPYAAAKRGGGGGDEEDPAPVPIPRRLARISAKFCMSFAPVPVRSWIVGVGGDSADEDYAPETIVFDTETRAVIRGPNLLSTKLHPVVLTIGHKIYALARYPSVTGQLDFVPWFEVLDLSQARVVDGHLESCEWKPLPRPPFFPWDLTPLQYLSPPMVTVESYVALASCILVSLSQQEGTHMFDVEKEQWSKLDDNSLPFVRGAVPHGPIFLGLSRAKKTITAYNITVVCQPRSGGTAPSLSIVEFPVVSDREEEILSTPIFLSLGSPGFCSLKSWSDHPTTDDPCTRARIKLMAYHTEEPISQECIESSHGLLIPNQWKQVYEICDSSRELIWQCLITAMSL >ORGLA01G0026700.1 pep chromosome:AGI1.1:1:1965853:1966173:1 gene:ORGLA01G0026700 transcript:ORGLA01G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASSRACLLHSAMQRKLLYQITISKVLHAEGRGVDGVLHADGLEVAAAPAAGAVGEVNTELVARLKEAAQEVAVEVAAGVAGHLFVAYTCWWLGRRRGRGNGRGR >ORGLA01G0026600.1 pep chromosome:AGI1.1:1:1950872:1955802:-1 gene:ORGLA01G0026600 transcript:ORGLA01G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDGVRRGKEEALGAGGGQLCPECGYEYPNANPNPRLRRSHSKNCRRKMKMPVVAEEAGVAVHKRRRGLAVENASRGGGGDKVVHEGSSEQGCSAKAKEISAEPKLMADDPMSSHEKHMICHRHYKLYPTKVAPQCNDLSFGPFLGLRRKAMIVTKGIKVMSGETLDYKPEGGRIMFLFEAGVVDELHASAIRDPIGIYLKDKNETMCIGSITKAQPRARLWLMLSEEMKLFHNSERRSVYFNGYEFYPMYKRLHPLETYRKLWVVEVKPFEDASFNPVEDERKYYLLKVFSTAIPKEEIDVHVRSNKEVKRLGVISSYDTSFSCKLDFEDQFTLSHTSQEEDVVFYGYTLRHVHSFEYRELPISCPSLLCTVKISDDPEYRASKALTAKREPEMTIVPYVPDTVTYCGDSR >ORGLA01G0026500.1 pep chromosome:AGI1.1:1:1935766:1947773:1 gene:ORGLA01G0026500 transcript:ORGLA01G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteolysis 6 [Source:Projected from Arabidopsis thaliana (AT5G02310) TAIR;Acc:AT5G02310] MAGMDGGGGPSDAPPELSTQELIEQFSNVPGIVAPLQKLILFGVPQEQLQEHQEGLLIYLEEHKELIPEIAKLVLSVGADLLEARKASNKDGDSSNSEACDEILSWLQWLMFNNEPHAMLDDLERSTAGERAVCGSVWGQNDLAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDTTAWKREGFCSRHKGAEQIKPLPEELASSVGPVLDVLLQFWKERICLVEGPPHAEGDGGNSCKRVAEELTTCVANMLLEFCSCSESLLSFVAQRIRECPDLLDALTKAERLLDKKAVKKLHELLLKLISEPAFKYEFAKVFIHYYPVTFGEVIKGCNDSLLEEYPLMPTFSVQIFTVPTLTPRLVREVNLLGVLLGCLTDLFLSCIGEDGHLQTNKWTNLYDATVRLLEDTRYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHGEDENENLSAPFVLGHYLGVCHGSLVKGAFAPPEQHESTDVTICSTATKSIESSENQRLAKVGRVSQNSSVCNLGSKDSSSVGGLPPPAAWLILQCLKAIEGWLAPGIALRGKLSFLDASSSDPHNFMALLEEPLTSNKGKPNINIAEVNAKINEEPRPGDIADIPESFSSSVQDPDNLMLIDQIGLPHPSNMAGKRKMHESSNAADTQLHPENAISYTMTDGSLLYAHPDSRIEELGIINTVGWPHVVFDVSSQETSFHIPLHRMLSFLLRKAMGKCFGEDVKPGHCSVVQTNEFFSQVLRGCEPYGFASIVMEHPLRLRVFCAQVRAGMWRKNGDAAILSAEWYRSVQCEGNNVTRLSRLEQGLESDLFLLQCCAALSSPELFVKTIQERFGLSNYTSLDLAEQNEMLYLSLQLRKKPQPFSFVCGFMADSIPFYSQIVKSLPRALSSSDQLQNVLDSLAVYSNPSGMKQGKYVLRKTFWKELDLYHPRWNSRELQIAEERYYRFCKVSALNAQLPQWTHVFRPLHNISKIATSKAVLQIVRAVLFYAVYSDPLSVSRAPDNVLVTGLHLLWLALDICESESKRYANQYGMDIVQHDDESWVVLSSYAEEAFPILTYSTELVPPVSGKVKNESMLTLLVSLMHKYKEENDISFSGSKYCNIPSLIESLLKKFANLSKECFSAIRQMAPHIVPSMPQDTSCKQNPGSSDSMDKKAKARQRQAAIMAKMKAEQSKFAESMKSSGSEGQDVPMSEPDVSCSTGVVSEESLPVCSLCRDSDSKSPLCYLILLQRSRLATFVEMGHPSWENPTKSNKISGSIRREESADPSGASSFSSEEFIADTAVEPSFDSDAMEVDAFLDFSNEQHPLIRYISSLPGVYSNSNAGDTTSLETIEDDVCKTILDHMFGPNNVETKDDEQTVNTSNLSIGSKKNRSPRSSVLGTYVNCLSTKHLHPSKSSGSVTRNRFGPVDCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFETGHIVDPDLGELLCPVCRRFANSILPASPDFSSKSLRKTMPSVQIPSEAPPASSWMTTSNLQFPHALWLLETAGKIVGQSKFLKALSGKVNDTTEPALDPSLRRLCMLYYRRSHNSFSASERLNPSLFLWDTLRYSVVSTEIASRGRIASYSESKSCIESLRHELNSSNGFILSLLFRVAHSARNLNRLEVLLRFEGLQLLASSICSGTSGDKDLLNATKRKGIMPPMGDPTSEGGVFPDIQFWKQCADPVLAQDPFSSLLSTLFCLPVQFLMSTEFFIPFVHLFYVVCVVQALITCYGEETFDRSSFSNCLLNDVCQTMTTIDIAREYFVSKHIDPSCHPKDMVRRLTYPYLRRCALLWELLRSSSSAPLYDSSNIWEGSSHLYLSNSAADSLAVELNGIRELEDLFQIQSLDPILQDESVHMLALKWSQHFCEDYKTRKYRGVHFSTPAVPFRLMELPPVYQVLLERYVKMQCPDCGSVPDEPALCLLCGKLCSPSWKPCCRTGKCLNHASQCGAGVGIFLLVRKTTILLQRSIRLAFWPSPYLDAFGEEDHDMHRGKPLYLSQERYAALTYLVASHSLDRTSEVLRQTTISIYGSD >ORGLA01G0026400.1 pep chromosome:AGI1.1:1:1926443:1929649:-1 gene:ORGLA01G0026400 transcript:ORGLA01G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFFVGGNWKCNGTTDQVDKIVKILNEGQIASTDVVEVVVSPPYVFLPVVKSQLRPEIQVAAQNCWVKKGGAFTGEVSAEMLVNLSIPWVILGHSERRSLLGESNEFVGDKVAYALSQGLKVIACVGETLEQRESGSTMDVVAAQTKAIAERIKDWTNVVVAYEPVWAIGTGKVATPDQAQEVHDGLRKWLAANVSAEVAESTRIIYGGSVTGANCKELAAKPDVDGFLVGGASLKPEFIDIINSATVKSA >ORGLA01G0026300.1 pep chromosome:AGI1.1:1:1921668:1925048:-1 gene:ORGLA01G0026300 transcript:ORGLA01G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMYFLMDKEEKHRKRAELELEVSELEAALDKETRLGRILHCSLQGRVVCHCCLSTLVPNKIRGLLAELAIVEDEIFYLEKKVDDLRLRLHRERKWTDQCIFQQQQQNWPQNRHQRHSICSLGGRRELQGAELLPRLPCPGSDEALECESKASVGSASSKGEEVEQIRRSSHSFENLKLPERKICLSGPNKLSEELIRLTVNIFHKLNKTTDAAELEMSSTSKLNISCIGPRSLVPKSSAITGAAISTLKNRRMSQGGDGAEKEIGCHKRFVEFTKSSFDVSRISSCLVDIKNLRILMQRLCNVDPSFLTNKQKLAFWINIYNFCIMHAYLQHGLPPSPDKLLGLLNQASVNVGGTVLNVLSIEHLILRHSPEGKQGIMDERERDLQLSYGLGYPEPNVVFALCRGSRSSPALRVYTAEDISNELERAKVEYLESSVRAASKKKVVVPKLLHWHMRDFADDVASLLEWIYSQLPGRSSSTGQLKRTIRELLGAAGAGGKAAVAKAVEVEPYSAEFHYLLPL >ORGLA01G0026200.1 pep chromosome:AGI1.1:1:1916189:1919712:-1 gene:ORGLA01G0026200 transcript:ORGLA01G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDMDDYIDPYEEAEAEAAAEAAGLTSAAAAEDSSDEGDSEDDSEAESDYEERSYGLLRSGNHRVRNPDGTFRCPFCPGKKKQDYKLKDLLQHADGIGASSKRRRHGRERAFHRAFARFVRTDPSFAQDLAAINGIATTADAISNSSAAGAKEKADANGDTDGSASVAAAAAGNRREEERFAWPWSGVLAAGAGFNAEDFVDRVAMFGLDDVVPLVVDDAEGADSFAIVRFANGWGGFGDALTLENHFNKNKLGKNEWDARGSAGDAVKGEDGETDVKVYGWVAREGDYNAGNVVGRYLRKHTNLTTIDEVSKSESEKSGKMVAILATQIEAKNRFLQDLETKKNATELSIARLEEDNRKLHDAYNEEMRNLHRRARDYALRVFQDNENLKLELDSRRRELNSRAKQLEKITAENASDRKKLDDQKQKAKDDNSELELASIEQQRADEDVMKLVEDQKREKEDVLARMLQLEKELHEKQQLELEVTRLNGTLQVMKHLEGDDDGDIHDKMEKLSERLEHEKKRLEELSGELVKKERESNDELQEARKELIMGLEDMLTGRTAIGIKRMGELDEKPFQNACKRKYGNDDYETKAAELVSSWQEEIKKPSWHPYKIITVDGEDKEVVDDDDTKLRHLWIEYGDDVCNAVKTALSEVNEYNASGRYVVPELWNFRKGRKATMKEVLKYIFGQIETTSKRRRP >ORGLA01G0026100.1 pep chromosome:AGI1.1:1:1911809:1914400:-1 gene:ORGLA01G0026100 transcript:ORGLA01G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREDAAAAAAAGVTDEAKLCNLMEQEVSEDEYVQVGGVLERRKRKATVTPGKLQISKIETPHKLQISEVQTPHQLQISEVEIKSKEVQALVLAQDSQRISQENENLRLQLALKTKELEHEENQKLRLQLELKNKDIESLKKQNDELKAEIEYYKKTTKPPRVARRCRWCEEYTTHDYRNCPQRRSY >ORGLA01G0026000.1 pep chromosome:AGI1.1:1:1908752:1910400:-1 gene:ORGLA01G0026000 transcript:ORGLA01G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAAGGGRGDLSAAVSDEVNAWSVRFKNAAVDDGGDDDDDVDDDGEEEGGEEGKGPGGGETEKDSCVEKERPRRSRGEEVSEDDYVQAGGGLERRKRKATVTPQKVQTSKVGIKNKKVQAQYLSDLAKEAERLSQENENLRWELKFKTKDLEHAVQTVEWKN >ORGLA01G0025900.1 pep chromosome:AGI1.1:1:1905398:1907327:-1 gene:ORGLA01G0025900 transcript:ORGLA01G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEAETHNAGGGELLVWPWTGILATTTDDDDATADAASTLAFHAHQHFAGVPTTALQEATAGDGHHHHFLVLHFGKSWAGLRDAMSLPGRFPGAGRREXRRRRRGEGATAGAVYGWLAGEDATSGAVYGWPAGEDDLHDGDGVVGRFLRDAGGAARSAEDVERDEGRQDMEAIYAKLNQLEKQLEQRQSLESIIRQMNMNLQAGGSLRKEDHEHIYSIMMCLRTIVDEEKEMLVDSCAEIMKRLRTNSDELEEYRQELIKGVENMTITASTIIGIKRMGELDERPFHLACKRKHREDDPRGKAAMLISYWQEELKNPSWHPFNIIQVDGEDKGVVDEDDRKLRQLCKDYGDSVCNAVKAAMAELNEYNPRGRHTMNELWNFREGRKATTKEVVKYISDQLKTNSSQSDN >ORGLA01G0025800.1 pep chromosome:AGI1.1:1:1903379:1904676:1 gene:ORGLA01G0025800 transcript:ORGLA01G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:I1NK68] MVSNISVGASGALFGLLGSMLSELITNWTIYENKFAALLTLVIIILINLAVGILPHVDNFAHLGGFTSGFFLGFVLLVRPQFGYINQKNSPLGLPMGTTKSKYKTYQIILWVIATLILISGFTIGFILVLKGFNASEHCSWCHYLSCVPTSKWSCNTPNNYCMSSQLGNQLNLTCESNGKTEAYTLNNPNSTEAIKHLCVHLCS >ORGLA01G0025700.1 pep chromosome:AGI1.1:1:1896258:1900150:1 gene:ORGLA01G0025700 transcript:ORGLA01G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPYIDEDGEPLMDPYDTRDPSPEPQQQPYDDLEDDLGDDWNRGRSPTPVHGDDGAGSSSKPRKRLLKKGGGGGGGGGGGGHGMPGDGLDDWGEEAAGLADDDVDPEADAAKKRKGSSALRDLARGGGKEKKEKKRRKEDGREREGGRGMGMAREKRGGSGGKGFGGGGGGGHGDQDEGEREIQELWDTIAGGDSEDDQEGVRTLDDDNFIDDTGVDPADRYGSDNDGHSPRHYPQAEEGEEDDEIERLFKGGKKKKKNDRPRADIGLIVEQFIAEFEVAAEEDANLNRQSKPAINKLMKLPLLIDVLSKKNLQQEFLDHGVLTLLKNWLEPLPDGSLPNMNIRTAVLKLLTDFPIDLEQYDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKELVDKWSRPIFNKSTRFEDMRRYDDERAPYRRPQMKKPSSSSSGMESRDDDLDADFSQRKSGQGGARQHASRPEASPLDFVIRPQSKIDPEQIRARAKQVVQDQRRLKMNKKLQQLKAPKKKNLQASKLSVEGRGMIKYL >ORGLA01G0025600.1 pep chromosome:AGI1.1:1:1868170:1869398:1 gene:ORGLA01G0025600 transcript:ORGLA01G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGGDNLNLELGMVEAQVAGGEDPAAGTPPETSTVKALRGVGFLTLVISPGTLVYKPPHGLLFQHHALAYYLTLVGIFFAGVVEVWTAFWVSETAGVGGGRRALGRAVLWASVVPLAAALGIGGYTVLANVPS >ORGLA01G0025500.1 pep chromosome:AGI1.1:1:1847916:1848810:1 gene:ORGLA01G0025500 transcript:ORGLA01G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAGRDNLEMLQVSSYSLEIGAAEAAGAAGEEYRSSGTPAAPAGQFVKELLGVGSLTLAMVAGTLVYKPPHGLLFQRNVVAYYLTLVVIFLAGVAEVWTAFWLSEAAGDGRRGALGRAVLWASVLPLAAIAGIGGYTVLFHSWNRRNISLASLERTTQKFKVKHDGCL >ORGLA01G0025400.1 pep chromosome:AGI1.1:1:1838731:1840615:-1 gene:ORGLA01G0025400 transcript:ORGLA01G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGNRGSXTSQRQSSSDVVAVTXLLPTANRPAPCRLPAAILLLVGHARHPHRFDLKLVFEINQDMVVAVTRRDEAEANVDAALWVLGLATISTAITLATTTTPLQADLGNNGAACYSYLALAVVTFLAGVAQVIAFVWVSDDPRRAGAAGNWFFVYASVASLVVAVSALAVL >ORGLA01G0025300.1 pep chromosome:AGI1.1:1:1834139:1834471:1 gene:ORGLA01G0025300 transcript:ORGLA01G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVARLDQADGAASKIATARALAAFGLATASLAINLAATRGPPSCFGDSAWYSHLALVGSFLSGVAQVAAAVWVSDDPIGRHAVGKKIVYASVAPLAIAVGLTGAALLW >ORGLA01G0025200.1 pep chromosome:AGI1.1:1:1802420:1805720:1 gene:ORGLA01G0025200 transcript:ORGLA01G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLLLGDARREPEASALGALGVAAISTATTLATAAFEPPPGGFGTTTYNHLAVAGLAGTFLGGVALVGTSVWVSDNPAARRGTGKKLLYAAVPPLLAAVVLSVAALLWGMLSCKKTRCICSDFRGMYNLSKSSKRNAS >ORGLA01G0025100.1 pep chromosome:AGI1.1:1:1789388:1789723:1 gene:ORGLA01G0025100 transcript:ORGLA01G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDNIARREAEADGGASKIAAALGLFGVATASLIINLVAAFDPPQGFAESACYHLALVGSFLAGMGQVGAAIWVADDPRSYRAAVGKKIMIASIAPLVVAIGLTGEALLW >ORGLA01G0025000.1 pep chromosome:AGI1.1:1:1782616:1782954:1 gene:ORGLA01G0025000 transcript:ORGLA01G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGALMGADRREPEARGGAAALGAVGIATVSVATTLAAVFQPPPGGLLADTFYRLALSGTFLGGMTLVGASVWVADNPAARRAAGKKLLYTAIPPLLAAMGLSVAALLW >ORGLA01G0024900.1 pep chromosome:AGI1.1:1:1777211:1778459:1 gene:ORGLA01G0024900 transcript:ORGLA01G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASSVASAVEKLQAAAQDAANSSSRSAAAFSEQAHQVLVPRAAGRVVSLSTCTKISAVSFAVGVVVGFTLKRRLRRWAARLLKRIKDDD >ORGLA01G0024800.1 pep chromosome:AGI1.1:1:1774042:1776338:1 gene:ORGLA01G0024800 transcript:ORGLA01G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:scarecrow-like transcription factor 11 (SCL11) [Source:Projected from Arabidopsis thaliana (AT5G59460) TAIR;Acc:AT5G59460] MAAKRELSSTLRNLKFMQRAAVAQKVEEKPKVEAAAAAEEEVVTVPSGGVGSSVKVARKCVVIMEGNPHPGAAKGRMSFLNFNPSIDKLTQEATDGRQSQLASPSNNHQDGSNSSRTDEVSRTRFSDFNIHSSESISLNELKRKQPELEMETPPSHRQPKTTGKSIDGDSSSQSNGRGSHKSNKREKLDWNLLRPRKSK >ORGLA01G0024700.1 pep chromosome:AGI1.1:1:1771551:1773484:-1 gene:ORGLA01G0024700 transcript:ORGLA01G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEEYLNLQSATFAQLYRCLPISLLKKENADDGNRVFMPVSALDRLGYLHIEYPMQFQIQNATTLQTSYCGVLEFTADEGFIHIPTMMMEHLGLRENDLVLLRSTSIPKATFVKLQPHTSDFHKLSEPRYLLEYNFRNFFCLTTGETIAVAAGDRFYYLDVVETRPADAVCVIETDCEVEFDQALDQAEPAAAMQVDGVGAGEPEPARFTGFRMRMDGKPVEEEKKTMPPPATAAAPPKRGLRFGSSAPAAGGGVKEAKSGEKDDGNRFTGKKYSLQF >ORGLA01G0024600.1 pep chromosome:AGI1.1:1:1756138:1759522:-1 gene:ORGLA01G0024600 transcript:ORGLA01G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 5 [Source:Projected from Arabidopsis thaliana (AT3G12380) TAIR;Acc:AT3G12380] MTECECNPSFSRARMSELLFETYGVPSIAFGIDDVFSYKYNQKLGNCGEDGLAISCEHGTCHVVPFLKGEPVLGACCRTNVGGFHITDFLRQLLSLKYPYHSASITWEKAEELKKEHCYVALDYMSELQIFKNNKEEAEEKTRYWQLPWVPPPVEEPPSEEELARKAALKEKAGQRLRDMAAAKRSQKIAELEKQLSYLEELMEQLDGAEEEEATAILGRSGYLSQQEIKSAILKATQSLRKAKGESNGNEEKADASGVDKYPLVSVPDETLTPEQLKEKKKQILLKTTTEGRMRAKQRRAEEEALREKQEEERRLENPELYLEELRARYSELSDRVDQRKRQKLNGGKTNGNHNSSGGVGRGERLNAAQKERMRLLTSAAFDRGKGEDTFGTRDEDWLVYKKMSKDNNDDDDGNDDDESELARIASKIQDMDPTFVNKAEAVQQTPEPPKVRTLTAEDYRISIGIERFRCPEILFQPGMIGIDQAGIDEMVSISLRRLMEDEAVKERLCQSILVTGGCSLIPGMIPRLESGIRQFRPYLSTLKLVRAADPLIDAWRGAAAFAASSKFGRHTFSLADYREHGENLFHRYNIVYSL >ORGLA01G0024500.1 pep chromosome:AGI1.1:1:1753613:1755075:-1 gene:ORGLA01G0024500 transcript:ORGLA01G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIRKLKRGISTKRGDHPVQNGQNPVDEWEEQRRYWSSPRAPPVSPMGSPRTPNGSQKKPVLGKVKSKAKKWMHLLHHKKKPQEDMMWTPRAGPSADDSKEHNDADYGSPSTARHPHSSCESACAPEVFLEASSRQNSPLPSPTAHKEQPYFKVSSRFESEMKEANEMLRESKQLRVNTTKPKTVTFAPILEQGPEPVKNDWHSKELSETATEIFSHAYAIVCEAVLRMVSIIQGAMSSYNIDRRQMLEKIVSFKRYMMLKLAPGEGDKVLSEVITEAVLDMFDAWCENVERPLVQRAKEVYSWFLPERREELPPVPLSTHPCVYEDAEEFYSLEN >ORGLA01G0024400.1 pep chromosome:AGI1.1:1:1751143:1752960:1 gene:ORGLA01G0024400 transcript:ORGLA01G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Vacuolar import/degradation protein Vid24 (InterPro:IPR018618); Has 318 Blast hits to 317 proteins in 131 species: Archae - 0; Bacteria - 0; Metazoa - 80; Fungi - 184; Plants - 51; Viruses - 0; Other Eukaryotes - 3 (sourc /.../I BLink). [Source:Projected from Arabidopsis thaliana (AT2G37680) TAIR;Acc:AT2G37680] MPVRVVDTATPSSHPTSGQDANAGHPSPPSCSLLSAGRCYAGTQNVSTIQKEEAWKVNVRINDCDLEQGYLCGTMEAVNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSVDFSNYAYIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGYYYDPNSSPFQKLELKCTNEKDSGFTFSSYELQ >ORGLA01G0024300.1 pep chromosome:AGI1.1:1:1748326:1750161:-1 gene:ORGLA01G0024300 transcript:ORGLA01G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G44920) TAIR;Acc:AT2G44920] MAIPTILGSLKLTPSPPSSASASATPVRSSSSSLHFHLANAGAAALVAASLLVADPALAFKGGGPYGQQVTRGQDLTGKDFSGQTLIRQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVSKVNLTNANLEGALATGNTTFKGSNIYGADFTDVPLRDDQREYLCKIADGVNTTTGNATKETLFCK >ORGLA01G0024200.1 pep chromosome:AGI1.1:1:1744031:1747009:-1 gene:ORGLA01G0024200 transcript:ORGLA01G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSVNPSRRVADGGLPSVGGLLHPKSRSPPVLTIALVVLGVIILIAYFNSGSGVTVTSREAVSRSEGSCTPEVMQALPYLKKAYGNELHKVLHVGPDSCTVVSNLLKEGKVEAWGVEPYDLEDTDSSCKSLVRKGFVRMADIKFPLPYRQDSFNLVIVSDALDYLTPRYLNKTLPDLARISTDGLVIFAGNPGQQKAKVSELPKFGRPAKLRSSSWWSRYFIQTGLRENEGPLKKFEQAASKNKYKPDCQIFHLSS >ORGLA01G0024100.1 pep chromosome:AGI1.1:1:1742002:1742223:-1 gene:ORGLA01G0024100 transcript:ORGLA01G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPFLYRAIVHYANGGQTPIGNPFTNESPSASPRAPYYVRLAGGAADSGRLRFAEVPVFPSPASRDAQLL >ORGLA01G0024000.1 pep chromosome:AGI1.1:1:1739140:1741092:-1 gene:ORGLA01G0024000 transcript:ORGLA01G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSAAAAATTAAAFLPGVSSAARAGTPLLSLQRQPLAGSLRAAAQGSSASSGQVVMESKVKAKKKKGSGAGNLPGAIDAEIREAQDYLAIDEQEPVPENFPFEILDEEGMSVVILKRDYKDEKIEVIVSMPNLEGGPEFDDEEDEGEGKNASKDDEDEDEDEDESAGDSSVSLKVTVSKGSGPKLEFTCTAFREEITIDDMLIVENAAAEGDEKFPYEGPEFTELPVNVQKGLFKYLEQRGITLPTTNYMHDYMVTKQTKEYVGWMTKLKDFVRQ >ORGLA01G0023900.1 pep chromosome:AGI1.1:1:1732894:1734909:-1 gene:ORGLA01G0023900 transcript:ORGLA01G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLFLLWSLSVVRLGLRVKAYLSTNRSRGLTKENQVVLDYIEHEPPSSTGVRSCKGNYDPETMKEYIYLVDGKEVEKVEFGQQVKQVAYGVPETVDVEKVWQCKGKLLKLSDGGGDRGVARRRDLCLSFALFKMLRLRFAVDHVGRFALPFQEGKSWDFVVKGLLADDQDLDRAYRVVEAELGFLFDFFYARYPSIKNSLAPDLAVYVAILTTSLFTLFSPDLLRYRPLRPGPGDGGDASNIIIHGFNLDLLVTRLVIVWYIFLESYQFFTFIFSDWHKVKMMCRYVRNESWHRALMEVPLKVLCHFSTITRYWKGTIGQYFLLDNIHPHWIKTFLSWFSIEAEALDSWLMARSIRLTPEVSHAVLRELKNCNGNITDGRMWLYQKGIIDMDLDRDVLLGNPYASYILKWHIATSICDYGLSMENKATTTDDEFARNHEVAMKLSGYCAYLLAFQPELVQDNTYRSTSTVQGTLQNARDFLGGCKSHGEKYKKLIELGRSKIVMDHEMAQKSKDIIYSYDSDEEKVKKMIELDNSTSNDTVNVLKILSQGASVAVYLVDRMEDTRERWKVLAAFWANLMLYISPSDRAVAHATRMATGGEFITILWALLTHAHVVDPLQSRGGNSGLHMQLEEEERRRPLIEEQEMELVTRRKLREEQERNMQMQGQPPIQP >ORGLA01G0023800.1 pep chromosome:AGI1.1:1:1725445:1727746:-1 gene:ORGLA01G0023800 transcript:ORGLA01G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFAAARRAATSTLPLLRASASAAGTNRGAAALLRPLAAAAAARPQPRSMPFSSAPSTRPSSDGELLRIIDAEIKFAEESDDHDRVEEIPDKFPFKISDEKGFNSITLTRTYQGENIEVLVSMPSLVTGDEPDRENEADEDRNEDDQEEETQKAPKSSIPLTVTISKGEEGPSLEFICTAYPDEILIDALSVMPSESGEDEMITYEGPDFNDLDENLQRAFHKYLEMRGITPMATNFLHEYMINKDSREYLIWLRRLKDFVRN >ORGLA01G0023700.1 pep chromosome:AGI1.1:1:1718346:1721399:-1 gene:ORGLA01G0023700 transcript:ORGLA01G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVDGGRAAMGRRHIGTVAHLAAGGFAGAVSKTCTAPLARLTILFQVAGMHSDVAALKKYSIWHEASRIVREEGFGAFWKGNLVTIVHRLPYSAISFYSYERYKKFLQRVPGLDEDSNYVGVARLLSGGLAGITAASVTYPLDVVRTRLATQKTTRYYKGIFHAVSTICRDEGVKGLYKGLGATLLGVGPSIAISFTVYESLRSHWQMERPQDSPAVVSLFSGSLSGIASSTATFPLDLVKRRMQLQGAAGTSSVCKSSITGTIRQIFQKEGLRGFYRGIVPEYLKVVPSVGIAFMTYETLKSLLSSIDEDDES >ORGLA01G0023600.1 pep chromosome:AGI1.1:1:1680597:1682524:1 gene:ORGLA01G0023600 transcript:ORGLA01G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAEDIYTQDGTVDVKGNPATKKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQESAIAANNVTNWSGTCYITPLLGAFLADAYMGRFWTIASFMIIYILGLALLTMASSVKGLVPACDGGACHPTEAQTGVVFLALYLIALGTGGIKPCVSSFGADQFDENDEGEKRSKSSFFNWFYFSINIGALVASSVLVYVQTHVGWGWGFGIPAVVMAVAVASFFVGTPLYRHQRPGGSPLTRIAQVLVASARKWGVEVPADGSRLHETLDRESGIEGSRKLEHTGQFACLDRAAVETPEDRSAANASAWRLCTVTQVEELKSVVRLLPIWASGIVFATVYGQMSTMFVLQGNTLDASMGPHFSIPAASLSIFDTLSVIVWVPVYDRLIVPAVRAVTGRPRGFTQLQRMGIGLVISVFSMLAAGVLDVVRLRAIARHGLYGDKDVVPISIFWQVPQYFIIGAAEVFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTLLVTIVTHVTTRNGAVGWIPDNLNRGHLDYFFWLLAVLSLINFGVYLVIASWYTYKKTADSPDDKAEHAGAN >ORGLA01G0023500.1 pep chromosome:AGI1.1:1:1666880:1668304:-1 gene:ORGLA01G0023500 transcript:ORGLA01G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRDVCMEVLPPMDHYASRGNWFMARKWSPEENKQFERALAGLDLRCPDWDRVARAIPGRSALEVMNHFRDLELDVQQIENGMVPFPVYGAAAAGGAFTLQWDGAHGVGDFRNAYRFGGGGGGKRHFGRTPEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVQTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLTDDRPPSPSQSSLISNQSNTSTLTAVVAPFSSTADVKPQNAANASFNSPSRTLGMAGYGMGLQDQGLQCGGPLHDQLAASRSILF >ORGLA01G0023400.1 pep chromosome:AGI1.1:1:1659679:1662905:1 gene:ORGLA01G0023400 transcript:ORGLA01G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGAEIKDEMEEAPPLLLDEAARPRRVALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTNHEGVPQEFHGAKVIGSWSFPCPMYGKVPLSLALSPRIISEVAKFKPDIIHASSPGIMVFGALAIAKLLGVPLVMSYHTHVPVYIPRYTFSWLVEPMWQVIRFLHRAADLTLVPSVAISKDFETAHVISANRIRLWNKGVDSASFHPKFRSHEMRVRLSDGEPEKPLIIHVGRFGREKNLDFLKTVMDRLPGVRIAFIGDGPYRSELEKMFEGMPAVFTGMMQGEELSQAYASGDVFVMPSESETLGQVVLESMSSGVPVVAARAGGVPDIIPEDQEGKTSFLFTPGDLEDCLGKIQLLLTDKEFRDNMGMTARAEMEKCDWRAASKKIRNEFYNAAIWYWRKKRAELIKPLQWLAQMFLPAPEANRITQH >ORGLA01G0023300.1 pep chromosome:AGI1.1:1:1655574:1657329:1 gene:ORGLA01G0023300 transcript:ORGLA01G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Kinase binding protein CGI-121 (InterPro:IPR013926); Has 275 Blast hits to 275 proteins in 139 species: Archae - 0; Bacteria - 5; Metazoa - 98; Fungi - 109; Plants - 42; Viruses - 0; Other Eukaryotes - 21 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G34412) TAIR;Acc:AT4G34412] MKSFPVAGGRSVSLGLFSDVSNSRELLELMQSGKLEPEVAFLNASLVPDVFPVLAAAHKAVVSQGRESLTTRTLHSELVYNYSGSKHITESLKRCGISEDTSYILAARFDASNEEIKAVEKLICGTEIDLAELETRANQPQILKHYKITPQELSISTLPDAVVCRIAARDAL >ORGLA01G0023200.1 pep chromosome:AGI1.1:1:1651106:1655215:-1 gene:ORGLA01G0023200 transcript:ORGLA01G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ethylene-dependent gravitropism-deficient and yellow-green-like 2 [Source:Projected from Arabidopsis thaliana (AT5G05740) TAIR;Acc:AT5G05740] CAICRIRNRRFVCQAMTETEPDGDGNGDEEKEELGDDASSPSVDSVTQENGSAESETNADNTKDETVNTEPLSSSDTVQNIDGDATPASDAQENVEVVDVAVGSPLPGMKQQLDESVRIPKATIDILKDQVFGFDTFFVTSQEPYEGGILFKGNLRGQPAKSYEKITNRLQNKFGDQYKLFLLINPEDDKPVAVVVPRQTLQPETTAVPEWFAAASFGVVTIFTLLLRNVPLLQDNLLSTFDNLELLKDGVYGALVTAAIIGVHEIAHILAARDTGIKLAVPYFVPSWQIGSFGAITRIVNIVRNREDLLKVAAAGPLAGFSLGFVLLLLGFILPPSDGLGLVIDPAVFHESFLVGGLAKLILGDALKEGTKLSINPLVLWAWAGLLINAINSIPAGELDGGRIAFAMWGRKISSRISSLAIGLLGISALFNDVAFYWVVLIFFLQRGPISPLSEEITEPENNYISIGVAILLFGLLVCLPYPFPFDPSQLTDFDL >ORGLA01G0023100.1 pep chromosome:AGI1.1:1:1646234:1650181:1 gene:ORGLA01G0023100 transcript:ORGLA01G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVAMAAAAGDGDGRGRTLGAVIKEKDEELALFLEMRRREKERGAAAAAAAAEQLLLSGDRVNVARDGMLLVDQPPPPRPQPPAEHKAAAYRMTGGFRRAPGGADDFLNSDGGDKNDYDWLLTPPGTPLFPSLEESKKSPASQTGTPKTRPTALKSRLANHPDPPSRTTLPLRATSSNNLNSAATTRRPSSSGGHTSNSSRPSTPTGRPALTNTSKGSRPSTPTSRATVPSKSGRPAPRSSTPTSRSTLTSARSTTPSRTSGPAARTSIPSGRASAPASRSSTPTSRSSIPATRSSTPSSRPSIPAQSKPVSRSSTPTRQPSATSTQHGSLAAPVRSSSISKPTPTMSKSSSPAKTIASTPSRGSSPTVKSRPWKPSEMPGFSLDAPPNLRTSLPERPTSATRGRPGAPSSRSSSVEPGPAARPRRQSCSPSRGRTLNGSVPSGSSVPAVRRSHLNSGDSVNPVQMGNKMVERVVNMRRLVPPKHDDQRSSLNGLSGKSSNSPDSSGFGRTLSKKSLDMALRHMDIRRSIPNNLRPLMTSIPASSVHSARSGSTRSRPISVSDSPLATSSNASSEPSVNNNLMCLDSIEIDDELCSDRAGQYRR >ORGLA01G0023000.1 pep chromosome:AGI1.1:1:1635154:1639047:-1 gene:ORGLA01G0023000 transcript:ORGLA01G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:damaged DNA binding 2 [Source:Projected from Arabidopsis thaliana (AT5G58760) TAIR;Acc:AT5G58760] MGPTTRARFVHNRRRRGPYAAPDDDDEEEDQQEASSSSSSSDEGEEDAEEEGSGEVDDDDGEAAEPSGKEEEVSPVAAAARSGRKASITISLKKVCKVCKSTGHEAGFKGAVYIDCPRKPCFLCKMPGSGHTTLTCPHRVAMEHGVIPASRRNTNTSLDYVFQSQVKGKIPMVKPQFLIPNQLECGNIKFHQRRVTCLEFHPTKNNVLLSGDKKGLLGVWDYVKLHEKITYDSVHSCILNSMKFDTTNDGLLYTASSDGTISSTDLDTGIGSSLLNLNPNGWNGPSTWRMIYGMDFNSDKGLLLVADSFGFLHLLDRRLKARIGDPILIHKKGSKVTSLHCNPAQPEVLLSSGNDHYARIWDTRKLEPNSAFASLAHGRVVNSGYFSPQSGNKILTTCQDNRIRVWDYIFGNLESPSREIVHSHDFNRHLTPFKAEWDPKDHTETVAVIGRYISENYNGIALHPIDFIDTSTGKLLAEVMDPDITTISPVNKLHPRDDILASGSSRSIFIWKPKTESDATEERNREKAKEFVYGSGSRKKSNGKHENSSDDDSDGSCDGKKKKKAKKTRFTHTIKGKGKSKV >ORGLA01G0022900.1 pep chromosome:AGI1.1:1:1629804:1634396:1 gene:ORGLA01G0022900 transcript:ORGLA01G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT5G01510) TAIR;Acc:AT5G01510] MLSAAAAAAADARFHGGAPRRRRPRLRSCLASPPASGGGGGDCRESEKARRLLVERYQDGVSKRYILDGNSKLQIHQEKNEYMANTLEEEEKEDANSSIPRAIKDFVLPAGFPGSVSDDYLEYMLWQLPTNVTGWICHTLVTSTLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKAVGRGFRDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLLGLSIGVFIMDTSGIKSSYPTLALTWLGVRLLHLWFRYQSLSVLKFRTVNLKRARILVRSHVAKHTVPDYVTCNEEENILTWERFLHPQISFGVPMERMLGEEESSDVVDRLLKLYRNEKYILFLNPIGTGGAAFFVTFKEAATSMSVLRSLWQAHWLDKNQLRQDDVFSWLGESVLALEHGFPDFLKQMEIAGWDQNQIILKVPKEPIMVMEHPDQEV >ORGLA01G0022800.1 pep chromosome:AGI1.1:1:1614219:1617719:-1 gene:ORGLA01G0022800 transcript:ORGLA01G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNFKEQAIEYVKQAVQEDNGGNYVKAFPLYMNALEYFKTHLKYEKDPKIKDAIAAKFTEYLRRAEEIRAVLDGHIGGGGGGDSEQAKLRGMLRSAIVAAKPSVKWSDVAGLESAKEALQEAAILPIKFPHFFTGKRRPWKAFLLYGPPGTGKSYLAEAVAAEVDSTFFSISSSDLVSKWMGESKKLVANLFQMARENAPSIIFIDEIDSLCGQRGECNENEASRRIKTELLVQMQGFDNSNDKVLVLAATNMPHVLDQAMRRRFDKCIYIPLPDLKARKDTFKIHIGDTPHSLTEDDFESLAYQTEGFSGSDIAVCVKDALFQPVRKTQDAKFFIKADDDTWTPSEQSQPGSIQTTMQELASKGLAAKILLPPISKIDFDEVLVRQGPTLDSSLANETILLKRDSGITIRSMVNDGDREADADGRQWRRNGEKEGQRGISTTAPP >ORGLA01G0022700.1 pep chromosome:AGI1.1:1:1604147:1610807:-1 gene:ORGLA01G0022700 transcript:ORGLA01G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIKEAITAKFTEYLRRAEEIRAVLDEGGGGGGANGGDAAVATRPKTKGKDGEGGGDDSEQSKLRAGLNSAIITEKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLNESDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDMWMPCGPKQSGAVQTTMQELASKGLAAKILPPPISRTDFEKVLARQRPTVSKKDLEVHERFTKEFGEEG >ORGLA01G0022600.1 pep chromosome:AGI1.1:1:1590283:1591401:1 gene:ORGLA01G0022600 transcript:ORGLA01G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSFSSTSSGASTATTESGGAVRMSPEPVVAVAAAAQQLPVMKGVDSADEVVTSKPAAAAAAAAVAQQSSRYKGVVPQPNGRWGAQIYERHARVWLGTFPDEEAAARAYDVAALRYRGRDAATNFPGAAASAAELAFLAAHSKAEIVDMLRKHTYADELRQGLRRGRGMGARAQPTPSWAREPLFEKAVTPSDVGKLNRLVVPKQHAEKHFPLRHAASSDSASAATGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRAGDTIVFSRSAYGPDKLLFIDCKKNNAAVAATTTCAGDERPTTTTSGAEPRVVRLFGVDIAGGDCRKRERAVEMGQEAFLLKRQCVVHQRTPVLGALLL >ORGLA01G0022500.1 pep chromosome:AGI1.1:1:1570042:1570287:-1 gene:ORGLA01G0022500 transcript:ORGLA01G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELGTVDFATDNSGTQNFGPVFGHNRSNRMLLRKGPEHGLVEDGRQRRQIASMDDDLSKMKGAGLDSLRIREGGGKLLVV >ORGLA01G0022400.1 pep chromosome:AGI1.1:1:1561684:1562664:1 gene:ORGLA01G0022400 transcript:ORGLA01G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAAMVVFSCNSGSGGSSSTTDSKQEEEEEEEEEEELAAMEEDELIHVVQAAELRLPSSTTATRPSSRYKGVVPQPNGRWGAQIYERHARVWLGTFPDEEAAARAYDVAALRFRGRDAVTNRAPAAEGASAGELAFLAAHSKAEVVDMLRKHTYDDELQQGLRRGSRAQPTPRWAREPLFEKAVTPSDVGKLNRLVVPKQQAERHFPFPLRRHSSDAAGKGVLLNFEDGDGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRPGDTVAFSRSAAAWGTEKHLLIDCKKMERNNLATVDAIAVDDDARVVVKLFGVDIAGDNTR >ORGLA01G0022300.1 pep chromosome:AGI1.1:1:1544989:1545462:1 gene:ORGLA01G0022300 transcript:ORGLA01G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSSELRHKYNVRSIPIRKDDEVQVVRGSYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKARGRAADKAKGKFTADDVAAAAGGAAATGASLQEID >ORGLA01G0022200.1 pep chromosome:AGI1.1:1:1538619:1543898:1 gene:ORGLA01G0022200 transcript:ORGLA01G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Leucine-rich repeat domain [Source:Projected from Arabidopsis thaliana (AT1G25570) TAIR;Acc:AT1G25570] MQLLLLPLLVAAAVGLARAAATDDPFLSGAPGNHSYNIDCGGAADFVSVFGRRWLADQFFSAGGQAGMVAEPHRFPQPQERTLRFFPPSSAGKSSCYSLPLPPGRYYLRLFSVYDNYDSKLRTPSFDVSAAATLVLSFRSPWPEPASRYGAYSDLIFPSATSPTSDICFYSLSTDAPVVASIEVAPVHPLAYDGATTGADLILVNYGRLTCGNNLFGPGFTNDSDAFSRVWQSDIDFRNNDLNYDAITAGGRKIFGSNQPPNYFPTKLYTSAITTGGDASNEIEYLMPVDTRMSYMVWLHFAEIDAGIGSAGQRVFDVMLAGKNVTRIDIFKQVGGFTAFKWTYIVENLTSSTMSVKLVPVVGRPILCGLENYAMVPLETRTVPNQVAAMKALKDSLKIPARMGWNGDPCAPRTWDAWEGVTCLRKDKGLVITQLDLASQGLKGYITDEISHLTDLVSLNLSYNSLTGSLPPGLGQPSLATLDLSSNQFTGGIPGTIGSSKLQTALLNNNQLDGQVPERLYSIGVHGGVIDLSGNKGLCGVPTLPACALFWEKGGLNRTGKIALGASLGFVLLVTLIVVYILCIRRGPYDYDFEFPQDLTSISAISAKRNRYQRAKSVMLAEMEAHGPDGYYTNGGAH >ORGLA01G0022100.1 pep chromosome:AGI1.1:1:1527347:1528858:1 gene:ORGLA01G0022100 transcript:ORGLA01G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPILLPLLLLLLLAAASPRPVLSRRHASPPLATETLDVAASLSRARAAVSAEAVPLHQSAAAAVSTEVVGEEHEEGHLALRLHSRDFLPEEQGRQRHASYRSLVLARLRRDSARAAAVSARAAMAADGVSRFDLVPANVTAFEASAAEIQGPVVSGVGLGSGEYFSRVGVGSPARQLYMVLDTGSDVTWVQCQPCADCYQQSDPVFDPSLSTSYASVACDNPRCHDLDAAACRNSTGACLYEVAYGDGSYTVGDFATETLTLGDSAPVSSVAIGCGHDNEGLFVGAAGLLALGGGPLSFPSQISATTFSYCLVDRDSPSSSTLQFGDAADAEVTAPLIRSPRTSTFYYVGLSGLSVGGQILSIPPSAFAMDSTGAGGVIVDSGTAVTRLQSSAYAALRDAFVRGTQSLPRTSGVSLFDTCYDLSDRTSVEVPAVSLRFAGGGELRLPAKNYLIPVDGAGTYCLAFAPTNAAVSIIGNVQQQGTRVSFDTAKSTVGFTSNKC >ORGLA01G0022000.1 pep chromosome:AGI1.1:1:1511321:1511908:-1 gene:ORGLA01G0022000 transcript:ORGLA01G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKHISRGAVPEDRGTLSSPARGPMCPQHSSRGSCWGIGGRDVLRAGTISXLGGLGKRIDEREGSGRLICRRAIHFSRRATARLQPALAIKNAVCGARTPQSIPQRSLRFPLDAYAARDLCLLGSRSISASLQRAISGCSQATGVGGQVQRCAPRPGSGGHGDVVLVKQQVHACQAALPGALELGAAAWHPRPXR >ORGLA01G0021900.1 pep chromosome:AGI1.1:1:1509687:1509944:-1 gene:ORGLA01G0021900 transcript:ORGLA01G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPFSSCFGGKNELDFGETSRDPDYHPLSTTPSGNSYQGGDATETRYAYQQQRKPAAAPSTDGSAKPPPLAAAGWSNNKVAHHA >ORGLA01G0021800.1 pep chromosome:AGI1.1:1:1502879:1503178:-1 gene:ORGLA01G0021800 transcript:ORGLA01G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKLGTCFGVGVGGGDDYYRGYTTVATDETGRKANNDVARKPVTAAAAATRDVYGRAADQPSPKPPAAARNSKVADDTGVKQPAAAAPVISRYPGHVY >ORGLA01G0021700.1 pep chromosome:AGI1.1:1:1498237:1500952:1 gene:ORGLA01G0021700 transcript:ORGLA01G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGENTDGRREVQLGPHTIQTHGVRLARNHLHDWVVLILLAAVVIALHFAPPFSRFVGKDMMTYVSYPVKQSTVPAWGVPIISIVCPVIIFLSVYIARRDVYDLHHATLGVLYSVLITAVVTTVVKNAVGRPRPDFFWRCFPDGKQLYDQVTGDVICHGEKSFLKDGRKSFPSGHTSWSFAGLGFLSLYLSGKIKVFDRQGHVAKLCIMILPLLIASLVGISRIDDYRHHWEDVFAGGLLGFIMAMLCYLHFFPPPYHHQGWGPYAYFHMLEELQVANSHNAESQQSVCGHHVELSRQHNSRTSRNDLEAGRV >ORGLA01G0021600.1 pep chromosome:AGI1.1:1:1483870:1485990:-1 gene:ORGLA01G0021600 transcript:ORGLA01G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPAAGVGVGGGGAGAGYPESTESSPRSRGGDSWDEPFPSSAAAAAAAAGGGRLRLMCSFGGRIVPRPTDKSLCYLGGETRIVAVERNASLADVHARLSRSLLGGQPFTLKYQLPNEDLDSLISVSTDEDLDNLVDEYDRIAATSSGGGSSRTSRIRLFLFPAKPESSSSLGSLLDDSSKSESWFVDALNSAISGSLDGIPRGISTDSASVNCLLGLEDDSSTHSRGGPPNSAPAEEQRANQQKLAAAAAVAAARHPHDVQSVPDSPMIDKNSSFGSTSSAPSLSNLPPIRVRPEDRPPDSRLAPQVPVEDHFAQMGISDQPVQPVIGGYMQPPPQVPIPNMAVPSISPSDASSRVFSDDDKSDHGSGGGRKPQPPKPEVPPVADPTNRAMYYNDRSPPAELKRDMPVGTDAASYRVPVPAQDAAAAAAAAAAAAQQPPAGYVYAQMHAPPPQQQPPPPQQQQPVPQQYVTAQNQHFIHNPATGTFIPIQSYYHHPVPQQAPQPPMPQPVPQPQQSHAFDPNTGMYYIPMRTNAPQAYSMPPGAAAVPPPTLVDTTPKPTVPIPQMAVKQPELQQPGLYRTTAAPTPVPASNAPAYAGMGYHHVIQTHHHPAPQPAATVAGNFGYDYGDPTRAQVFYSQAAAPPTLPPQYQPMGSPDAGQADLKQARAS >ORGLA01G0021500.1 pep chromosome:AGI1.1:1:1479001:1480175:1 gene:ORGLA01G0021500 transcript:ORGLA01G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:I1NK25] MAITVRTHRTTKLFGRERPLHDALGGHKAADIVLWRERRVSASIVAGATVAWYLFEVAEYHFLSLACYLAMLGMLVVFIWANASAFFNLPAPRIPEIFVSERTTRQVILALHSRLTWFVHRLYDIACGKDIKMFILTVFSLFIASVIASCFSSLTLLYLVVLGTMTLPVMYENYESEVDHLVSTAVHDLRSHVGDMDSGVFKKIPRGRGATAN >ORGLA01G0021400.1 pep chromosome:AGI1.1:1:1473958:1476709:-1 gene:ORGLA01G0021400 transcript:ORGLA01G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 77, subfamily A, polypeptide 5 pseudogene [Source:Projected from Arabidopsis thaliana (AT3G18270) TAIR;Acc:AT3G18270] MDSAISTSSSFSPLRLSQNHGSIMSGSTRAQVLPVGRQQQQPPAGGATRLRAVSPSPSPTPPAPQPAETFGFDALKEAFSVDVVAAEARPLNVPLAAPFTIASSRLDAVSNVAVRVELRSGAVGWGEAPVLPSVTAEDQPGALAAATRACGALAGAPAAPLGAVLQDVASALPGHDFASARAGVEMALIDAIANSIRIPLWRLFGGASDSVTTDITIPIVTPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTANQAIEALDRLNEMGVTPVLFEQPVHRDDWEGLRDVSIVAKEKYRVAVAADESCRSLLDAQKIIDGNLAHVINIKLAKLGILGALEVIDAARKARIALMIGGMVETRIAMGFAGHLAAGLGCFSFVDLDTPLLLSEDPVFGGYEVSGPVYKFTNARGHGGFLHLDNNGLK >ORGLA01G0021300.1 pep chromosome:AGI1.1:1:1470838:1472333:1 gene:ORGLA01G0021300 transcript:ORGLA01G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPDLARILPRVLIVSRRTVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVAGVHTLLDSFEPIHGVLLCEGEDVDPSLYGGGGAGSGGLSAEQLDAVRSLHPSDAAIDHEKDSIELRLARRCLERNIPFLGICRGSQVLNVACGGTLYQDVDHELPAAAAAVRHINYDNYDGHRHPVRVLPGTPLHEWFAESLDGEDSRLTVNSYHHQGVRRLAERFVPMAFAPDGLVEGFYDPDAYNPGEGKFIMGLQFHPERMRKAGSDEFDYPGCPMAYQAFVRAVVAYQEKLAAAAAMPASPKLNQEMEKQRKVLVRSFSLAKNLYVSGAEAGTPRPAEQRDLDAGAEFLEQSNTAALSVQQEKRLKQMGATVRNASGYINRLKLNEEREAAARALMAKMSIDQLSDLASFYHIMGTICSEVLDRKLHSAAPAP >ORGLA01G0021200.1 pep chromosome:AGI1.1:1:1467832:1468089:1 gene:ORGLA01G0021200 transcript:ORGLA01G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASEDSGKQTETGVRAWSIQVQESPPLDGNRSARDAASQAAGRRQFRAPQIPPASASIVYVTDLPVVRRWIRTLQHPMLPCMHSPS >ORGLA01G0021100.1 pep chromosome:AGI1.1:1:1462545:1464606:-1 gene:ORGLA01G0021100 transcript:ORGLA01G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLNAKLYDKYTALKKRKLLDEGLDQKRAADIKELQQAMKDWVADLQGENERLIAKLTQKEQQLVEVQTLLLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPDTTAEMIIENQTPIPPAKKTPKSKSRERNIHSIEKATVPCNGFQEEGRDLDSCRRHMSISGSATEESSSTCMFHMLAESVVGMKFSVKNQTEGFSLSVSHEASGYSFTLTWVDQPGGGEWSYQYSSLGTLDRIAMGWMKEDIKFSRAMCPVFFQQISRILRQG >ORGLA01G0021000.1 pep chromosome:AGI1.1:1:1458108:1460600:1 gene:ORGLA01G0021000 transcript:ORGLA01G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCGRRFAAGGAPATARRCNLELFLEATTPVVPTTTCSSKKSMNGWKQSDEENALPLFSLGDLWDGFRESSAYGIAVPIVLNGCSDGVVQYYVPYLSAIQLYGRLRRHFYHSRPSGEDSDGDYCQDTGSEEMSDLEHDSCPSSTDAFSVQDTTCESSTSEASSDESESTRVSHEQLIFEFLESEPPYQREPLADKICSLARGFPELNTLRSCDLSPTSWMSVAWYPIYRIPTGPTLRDLDACFLTYHPLSTQLTGGICPEPKGNNSGVPVTTAMCLPTFAMASYRLKVAAWAPGGRDRQLVASLSHAADAWLGLLGVHHPDHRFFAARRVVSRR >ORGLA01G0020900.1 pep chromosome:AGI1.1:1:1452084:1455722:1 gene:ORGLA01G0020900 transcript:ORGLA01G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPLLLLLPFLAACLLAPRHAAAAVVTSCAPRRCGNVTIAYPFWLPDSPSSSSAPCGPAAFQVNCDNGSRASLARSFRSGYKILGVSYANRTVVVANDNVQTDASGCPVPKIDVSASLSLAPFTASPANSQLVFLFNCTSSSRPPPAGFVNVTCPGAKAVVRLDTSYNNTAARVVAGGCDYAAVPVVGVPGASPTDYPQLLRGGYMLEWRAPAGDCMACNASGGQCGYDADTEAFACICSDGSSRPGICDAKKSGNKVILIVSLSICATGLVLLACIAIVYKCRRRMQNRFSFLNAMDGASRTDTAKVEKLLQSYGSLAPRRFRYSELKKITKSFSQRLGEGGYGTVFSGALADGRAVAVKFLHHSKPNGEEFLNEVVSIGRTSHVNIVSLLGFCLEGSKRALVYEYMPNGSLDKYIYSTSAAAAEAEEAEATASPDRDVLEWKVLQEIAVGVARGLEYLHDGCNTRIIHFDIKPHNVLLDEGFRPKIADFGMAKLCNPKESILSMADTRGTIGFIAPEVFSRGFGDISTKSDVYSYGMLLLEMVGGGSNVKAYAEKGASGAFFPLWVYDHLLEDGGVLQSVAAAAAAATAGGGAGTPGGEEIARKMALIGLWCIQTVPANRPSMGKVLEMLERSVHELAMPPRPYHSNSSSPSRPSSYPSSASDFTQRSRLSTPGSTA >ORGLA01G0020800.1 pep chromosome:AGI1.1:1:1447010:1450352:1 gene:ORGLA01G0020800 transcript:ORGLA01G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKLLFFPLLATLLLLCHHAHAVCEPATCGDLTIKYPFWLSGPDLNRSSLAIAACGHPAFELWCSRDGVASLRGSQILVLGIDYTNSSFVAGHKRVADGGDGVCRTDFNISSSLALSPFTISSSNRAICFLYSCNGTEPPEIDGLVNATISNCSKPIYAYLGGSYDRDKPPAIKAGNCTYSYLPVLWPESPVNLTAGTNYSPLFKKGFVLEWQKNGFGDCDACNASGGQCRYNNDSAAAFACLCSDGELRGTICAGSKKTGRRTILIVLTAAAAGLLLPCIYVLIWHGKGKQLRYFLYTKTSSTSERNIEALIISYGSIAPTRYKYSEVTKITSFLNYKLGEGGYGVVFKGRLQDGRLVAVKFLHDSKGNGEEFVNEVMSIGRTSHINIVSLFGFCLEGSKRALLYEYMPNGSLDNYIYSENPKEILGWEKLYGIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTSSSGD >ORGLA01G0020700.1 pep chromosome:AGI1.1:1:1439992:1440988:1 gene:ORGLA01G0020700 transcript:ORGLA01G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNWRFETFRRSGDAAVAASCATRAQPVVGESCAPAAXGGLTIKYPFWLRGRQPAYCGHPTFAVTCDDDPTGATATPPSLNGSYLRVLAIHYGNSSVVAFHANLVDSSACAATRFNMSSILVLSLLAVSRANSELLFSANCSRTPPTGSLPVNCTGFSGGGEWFMSLNRMYDPGGPKRAVDTVGCLYSVVPVLPWSELRSAGDYAGFVRRGFLLEWAAVPGDCAACNGSGGECRYDAGAMAFGCFCPGGRLQPATCGE >ORGLA01G0020600.1 pep chromosome:AGI1.1:1:1435184:1435960:1 gene:ORGLA01G0020600 transcript:ORGLA01G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTAAAAGLLLPCIYVLIWHKQGKKLRYFLCTKTSSTNERNIEALIVSYGSIAPTRYKYSEVTKITSFLNYKLGEGGYGVVFKGRLQDGCLVAVKFLHDSKGNGEEFVNEVMSIGRTSHINIVSLFGFCLEGSKRALLYEYMPNGSLDNYIYSENPKEILGWEKLYEIAIGIARGLEYLHHRCNTRIIHFDIKPQNILLDQDFCPKIADFGLAKLCHTKESKLSMTGARGTIGFIAPEVIYRSIGIXXXXXXXXXXXXXX >ORGLA01G0020500.1 pep chromosome:AGI1.1:1:1430691:1431574:1 gene:ORGLA01G0020500 transcript:ORGLA01G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPYLLFRLVLVTADARRGGEGSRGGRRRRLPGQPEMRRPEYLLSVLDHPGPGGXAVWSSGFPGILQXLQRRRNSSKLCGQRGFDIINISYGDRTLLVFDVHRFARLNSSTDCSLPVFNTFAKLPLTFTISPSNLNFVFYNCTKAPPAEQQQQLGLVETRCGNNTFARLGGRFDGESDYDKYYLEGCSSNSTVFVPVLVPPDGKANASRYEELVRGGFLVTWDLPVTSSENHSGWRPANHVLXTSEXIVTSHNKMSXLLXAH >ORGLA01G0020400.1 pep chromosome:AGI1.1:1:1425836:1426938:-1 gene:ORGLA01G0020400 transcript:ORGLA01G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFFFALVVVSAWWTAFMLAVAAREAEERGSGCPAKCGNLNISSPFWITQSQMDRPCGSLDFQVDCNQSTGVGTLRTSSIFGFQIINISYGERTLLALDRRKLDDLTSLNRCQIPSWNTSAKLAVPFRISSAANLDLVFYNCTKAPPAERHEQLGLVETRCRNNSFARLGERYDDRSNYDAYYLEGCRATFLPALEPPGGKANASRYEELVRGGFLITWDLPAIIQSIFSATTENSQS >ORGLA01G0020300.1 pep chromosome:AGI1.1:1:1421693:1424058:-1 gene:ORGLA01G0020300 transcript:ORGLA01G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDKCREFRKSEEHGSRSKSHIIRIACGSGGGILLIVSIFIFAWHKRKKRKQTRDLKDLMHSSSSMQSYSKDLELGGSPHIFTYEELEEATAGFSASRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYRRVEQFLNEVDILSRLLHQNLVILYGCTSRSSRDLLLVYEYIPNGTVADHLHGPRAGERGLTWPVRMTIAIETAEALAYLHAVEIIHRDVKTNNILLDNNFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLIELISSKPAVDMSRSHSDINLANMALNRIQNHEVDQLVDPEIGYETDSETKRMVDLVAELAFQCLQMDRDSRPPIKEVVEVLNCIKNGECPAEKMNKNASPKEDSHLLKDNLQYSPDSVIHRFHSQSTNHSVASNSSG >ORGLA01G0020200.1 pep chromosome:AGI1.1:1:1419016:1419486:-1 gene:ORGLA01G0020200 transcript:ORGLA01G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTLYRPRVKSFWVLVRRLLCCRRKSYRPDYAAAGEEDDGEKSSLLLTSRSSLEELLVSDDADDDGAIDDAAVTCRNASLCAKKDGQAPVVVLPPPGLHHPVMARPAHGMVTTSSGGGRDGAAVQCRRRFMFGGLRRRLMMRRPWRPVLVAIPE >ORGLA01G0020100.1 pep chromosome:AGI1.1:1:1415687:1416139:1 gene:ORGLA01G0020100 transcript:ORGLA01G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFSLDLWDPFDSVFRSVVPATSDNDTAAFANARIDWKETPESHVFKADLPGVKKEEVKVEVEEGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRFRLPENAKVDQVKAGMENGVLTVTVPKAEVKKPDVKAIEISG >ORGLA01G0020000.1 pep chromosome:AGI1.1:1:1412622:1413074:-1 gene:ORGLA01G0020000 transcript:ORGLA01G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFSLDLWDPFDSVFRSVVPATSDNDTAAFANARIDWKETPESHVFKADLPGVKKEEVKVEVEEGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRFRLPENAKVDQVKAGLENGVLTVTVPKAEVKKPEVKAIEISG >ORGLA01G0019900.1 pep chromosome:AGI1.1:1:1405446:1405895:-1 gene:ORGLA01G0019900 transcript:ORGLA01G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFADFWDPFDGVLRSLVPATSDRDTAAFANARVDWKETPESHVFKADLPGVKKEEVKVEVEEGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRFRLPENAKVDQVKASMENGVLTVTVPKAEVKKAEVKAIEISG >ORGLA01G0019800.1 pep chromosome:AGI1.1:1:1402141:1402641:1 gene:ORGLA01G0019800 transcript:ORGLA01G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVKLFDTLAFDAWNPFSIFGTTVAADAWLASDTSAFANTYIESRETAEAYVFRADLPAGVKKEEVRVEVDEGNVLVITGERSVRREEKGQRSHHIERSCATFFGRFHLPDDAVVDLVRASMDGGMLTVTVPKVVTDKQPAIAAAAPVPAVVAPAAEAKAIEASP >ORGLA01G0019700.1 pep chromosome:AGI1.1:1:1395264:1395716:1 gene:ORGLA01G0019700 transcript:ORGLA01G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRSGNVLDPMSVDFWADADPFGAVRSLAERCPVLTNVRVDWKETPTAHVFTADLPGVRKDQAKVEVEDGGVLVISGERAREEDVDGKNDERWHHVERSSGKFQRRFRLPRGARVDQVSASMDNGVLTVTVPKEETKKPQLKAIPISG >ORGLA01G0019600.1 pep chromosome:AGI1.1:1:1391358:1391903:-1 gene:ORGLA01G0019600 transcript:ORGLA01G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTTEKKMPQQQQVERPTALAPADAEIERVFTRFDADGDGRISPSELAAVTRAIAPPPSESAGGREVAAMMNELDTDRDGFVDLGEFAAFHGRGRGDAEHEAELRAAFDVYDVDGDGRITAAELGKVLGRIGEGCSAEECERMIASVDVDGDGCVGFEEFKEMMCRDAAATGGADKAKTE >ORGLA01G0019500.1 pep chromosome:AGI1.1:1:1388984:1389463:1 gene:ORGLA01G0019500 transcript:ORGLA01G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEREKLPLPTVPLLPPAAGDRAPLLSLLMLPAVPVPPAAARISSPTRLRRSSPVPVRSTGAATSFLSAHPALPFYFLSRRRRRRRRRRVQQRPPAALSRRQPATAQLRPSAPATVAPPAPLSPSAPLRPWAAAQPLYSTPLMACCSVPLLCSALTQHR >ORGLA01G0019400.1 pep chromosome:AGI1.1:1:1381455:1383659:-1 gene:ORGLA01G0019400 transcript:ORGLA01G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTKGVVFDVNLLENSTLEDGLAGWAAVGECTALSVHNEEPEKVPTETINTVADDYKPSGRYILAAGRAGEEDGLRRAVAGALKPRVTYRVAGWISLGDGAEGSHPVRVNLRLDDDDECVVEGGAVCAQAGRWTEIKGAFRLKASPCGATVFVQGAPDGVDVKVMDLQIFATDRRARFRKLRKKTDKVRKRDVVLKFGGAGSISGASVRVMQMDSSFPFGACINGGVIQNPAFVDFFTKHFDWAVFENELKWYWTEAQQGQLNYADADALLDFCDRYGKPVRGHCIFWAVDNVVQQWIKGLDHDQLTAAVQGRLTGLLTRYAGRFPHYDVNNEMLHGSFYQDRLGDDINAFMFRETARLDPGATLFVNDYNVEGGNDPNATPEKYIEQITALQQKGAAVGGIGLQGHVTNPVGEVICDALDKLATTDLPVWLTELDVCESDVDLRADDLEVVLREAYAHPAVEGVMFWGFMQGHMWRQDACLVNSDGTVNDAGERFIDLRREWTSHARGHIDGDGHFKFRGFHGTYVVQLATATGKMHKTFTVEKGDTPLVLDMDV >ORGLA01G0019300.1 pep chromosome:AGI1.1:1:1375620:1378308:-1 gene:ORGLA01G0019300 transcript:ORGLA01G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTEMCCWRPQETTHLVMNHVEHCEDGGGLAVAGWTPSGSCTLSVHDDPAPETPPPHPLSATEDDADEPRPRPSGRYVLAAHRAGERDGLCRELSRAPAAKVTYRVAGWVGLQGAGAADGCCHAVRVEVCTDDGRPVGGGVVVAEAGKWGEIMGSFRVDDDEPPRCAKVFVHGPPAGVDLKVMDLQVFAVNKIARLRHLRKKTDKVRKRDVVLKLGRRTGGTAIRVVQVENSFPIGACINKTAIQNPAFVDFFTKHFDWAVLENELKWYYTEAVQGQVSYSDADELIAFCDRHGKPVRGHCIFWAVENAVQPWVRALNGDHLRAAVEGRLRSLVTRYGGRFPHYEVNNEMLHGAFFQQRLGDDINARMFRETARMDPSPALFVNDYNVESANDPNATPERYVELVTDLQKRGAAVGGIGVQGHVTHPVGDVICDALDKLAVTGLPVWITELDVSAADEAVRADDLEIVLREAFAHPAVEGIMLWGFMQGNMWRSHAHLVDADGKLNEAGHRYVGLRQEWTSHARGQVDGSGHFKFRGFHGKYVVQLTTGAGEMKHQQFDVGKGDGPLVLDMDL >ORGLA01G0019200.1 pep chromosome:AGI1.1:1:1369135:1371011:1 gene:ORGLA01G0019200 transcript:ORGLA01G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like [Source:Projected from Arabidopsis thaliana (AT1G73805) TAIR;Acc:AT1G73805] MAAKRLHDGYGQEDQPDDKRVRRMPSFSTVIREALMVKQMQTLFVALEPLLRRVVQEELQAGLVRSPRYIERMSPETPPAQPPMWKLAFRFKPQLPIFTGSKIEDVNGNPLEIILVDVDTGAPATISQPLRVEVVPVLGDFPTDDREHWTAEEFQQRGIVKERSGKRPLLTGDVSLTMRDGCVAVNELQFTDNSSWVRCRRFRIGVRVVPGSYDGPRIGEAMTEPFVVRDHRGELYRKHYPPVLGDDVWRLEKIGKEGAFHRKLTQHNVRNVQEFLRLLTVKPDELRAIMGDGMTDRMWEVTTSHAKKCVPGDKVYMYSTPHGTVYVNSIFELVKVELAGVEYQLHQLNRAQKVFVQQLLLAAYEQRNNLQEADAMALHCNDVPLLQNAAEITIPALGDTQLWIQNSLNSQEIDFQVDEIPQANFALQWTGQMYNISG >ORGLA01G0019100.1 pep chromosome:AGI1.1:1:1355207:1358522:1 gene:ORGLA01G0019100 transcript:ORGLA01G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGEYLRQFVEETAWYNEIFLSHVVPGDWWRALPHPLQSWLRNGLGGYLIYFACGFLWCFVIYYWKRHAYIPKVDADSIPTIEAMKKQIIVASKAMPLYCALPTLSEYMVENGWTQCYVNISEVGWPMYLVYLALYLIFVEFGIYWMHRELHDIKPLYKYLHTYHHIYNKENTLSPFAGLAFHPLDGILQAIPHVFALYLIPTHFRTHIALLFIEAVWTTNIHDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTVWMDWMFGTLREPEDILKKD >ORGLA01G0019000.1 pep chromosome:AGI1.1:1:1334648:1336812:1 gene:ORGLA01G0019000 transcript:ORGLA01G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:leucine-rich repeat transmembrane protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G68400) TAIR;Acc:AT1G68400] MPRNSGGGASAILLPLFLSALLLRCFVCYADGGGGGSLDADVAALSDFRLAADRSGALASWDLAANPAPCGTWRGVSCAGGRVTRLVLEGFGLSGDAALPALARLDGLRVLSLKGNGLTGAIPDLSPLAGLKLLFLAGNSLSGPIPPSIGALYRLYRLDLSFNNLSGVVPPELNRLDRLLTLRLDSNRLSGGIDGIALPVLQDFNVSNNLLTGRIPVAMAKFPVGAFGGNAGLCSAPLPPCKDEAQQPNASAAVNASATPPCPPAAAMVASSPSAKPAGAATSGKGKMSCAAVVAIVAGDFAVVGLVAGLLFCYFWPRLSGRRSARRLREGEKIVYSSSPYGATGVVTAAGGTFERGKMVFLEDLSSGGGKRFELDDLLRASAEMLGKGGCGTAYKAVLGDGSVVAVKRLRDATAAAASKKDFEHHMAVLGRLRHPNIVPLNAYYYARDEKLLVYEFMPNGSLFSLLHGNRGPGRTPLDWAARMRIASAAARGLAYIHHASRRGSGTPRLAHGNIKSTNILLDKAGVGRLADCGLAQLGSSPAAAAARSAGYRAPEAPPPPRPWASQKGDVYAFGVVLLELLTGRCPGSELPNGGVVVELPRWVQSVVREEWTSEVFDLELMKDKGIEEEMVAMLQLALSCASAAPDQRPKIGYVVKMIEEIRACGEASPSHESMDESSGVSVSDSPAVSEGGAISQ >ORGLA01G0018900.1 pep chromosome:AGI1.1:1:1331132:1332774:1 gene:ORGLA01G0018900 transcript:ORGLA01G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPMAATHSGSSLLKAVPMLLLFSSGFILGMISIANFPKFYESPFLSPMLHSLVPSSSRPIMQPSPSPETPCVWPPPLPSSTPTPAPSPPSTPTGLMGFLAPSGVTHNMTDEELLWRASMAPRVSRAPYSRVPKVAFLFLVRAKLPLRLLWEKFFAGHGKELYSIYVHSDPPFAASLPTDSVFYGRMIPSQRTTWGDANLVEAERRLLANALLDLSNERFALLSESCIPIFDFPTVYAHLTGSNDSFVDCFDNAGARARYRPALFAPHNITAAQWRKGSQFFEMDRALAVEVVSDERYFPAFRDSCAGRRGCLIDEHYIPTLVSLLRWRRNANRTLTYTEWRPRRPHPRSHGARDVTEELFGKMRGGAGNCSYNGKASDVCFVFARKFSPDALAPLLELAPKVIGFG >ORGLA01G0018800.1 pep chromosome:AGI1.1:1:1324994:1326342:1 gene:ORGLA01G0018800 transcript:ORGLA01G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSHSGSRLLKAVLFLLIFSLGFIMGMISMANFPNFYGSPLLSPMLLSVSSLAPSSTPMPTPTAPSPSPETPCVWPPSPPSPTDPSASLPSPTERPAAPMGLTAFLAPTSVVHTMTDEELLWRASMAPKVSRTPYSRVPKVAFLFLVRNQLPLRPLWEKFFAGHNQSLYSIYVHSYPPFAASLPTDSVFYGRMIPSQKTTWGDSNLVEAERRLLANALLDMSNERFALLSESCIPIFDFPTVYAHLTGSNDSFVDCFDNNGAMARYRQDVFAPHNITQAQWRKGSQWFEMDRALAVEVVSDEAYFPAFRGCRHCVIDEHYIPTLVSLLRWRRNANRTLTYMEWRPRSPHPRSHGARDVTEELLRKMRSGAANCTYNGAPSDICFVFARKFTPDTLGPLLDLAPKVMGFG >ORGLA01G0018700.1 pep chromosome:AGI1.1:1:1317857:1323634:1 gene:ORGLA01G0018700 transcript:ORGLA01G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G25375) TAIR;Acc:AT1G25375] MGSPSYRLAAAITIPSTDEFLLVRQPPPPSPAPAPEEEEYLYRGYVDSELYDLPSAPLRPLAGELRSDVAIRGADSVAGRLDISRLDVSASLDQICDQFGLPSGICGEWRLLKYVEEAEFGPDAGLNTVLIIGSLESKLEALQDSWRWMSKECASGLLSGAKSGGTRIGPYAYIGLLKPELPSNWTVAPALPCQEYPPGITLVPMKSNTLQPFRTTNLVVVVATDTPGGSTCSDCVVYGDALLIDPGCCSQVHGKLAELVNSLPKKLVVLVTHHHHDHVDGLSVVQRCNPDAVLLTHKNTMSRIGKGNWSIGYTAVAGGENICIGDQQLQVVFAPGHTDGHMGVLHVNTNALIVGDHCVGQGSATLDNRAGGNMKDYFQTTYNFLDMSPHVLIPMHGRINLWPKHMLCGYLRHRRAREVSILQSIENGARTLFDIVSKTYADVDRKLWIPASFNVRLHVDHLNSQNKLPKSFSMDKFEVSCGTNFMLWWAVAYVQARSSPAILAATALAGGLAIAYALKRNSGNEP >ORGLA01G0018600.1 pep chromosome:AGI1.1:1:1312150:1316645:1 gene:ORGLA01G0018600 transcript:ORGLA01G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastidic GLC translocator [Source:Projected from Arabidopsis thaliana (AT5G16150) TAIR;Acc:AT5G16150] MAGTGASVKMIRCVVKCGGQQQHVVVAARGDRKSPAIAAPPPATVRMPGRVLCCGMRSRGADLAGVEMAAGPQPQGGVSGLFRGPRYSRVRATATGASSLVDPEDIPLEKVQAKSSGSVLPYVGVACLGAILFGYHLGVVNGALEYLAKDLGIDENAVLQGWVVSTTLAGATAGSFTGGALADKFGRTRTFILDAIPLAVGAFLSATAHDVRTMIIGRLLAGIGIGISSALVPLYISEISPTEIRGALGSVNQLFICIGILAALVAGLPLAGNPAWWRTMFGISIVPSILLALGMAVSPESPRWLFQQGKLSQAETAIKKLYGREKVAEVMYDLKAASQGSSEPDAGWLDLFSKRYWKVVSVGAAMFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDKQGRKSLLITSFSGMAASMLLLSLSFTWKALAPYSGPLAVAGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISTVYLGFASVCALAVVYIAGNVVETKGRSLEEIERALSSAS >ORGLA01G0018500.1 pep chromosome:AGI1.1:1:1306649:1307236:-1 gene:ORGLA01G0018500 transcript:ORGLA01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSSSSKPILGRAMAILALPLTPISKAKGPIARNLLLFKKRGAAGKARRMLSSYGYKPHRHYNYDYVGEYQFSPSSSPLIAYPPGVSSWRRATKKRRSKARMILASLLCGGDGDLDVAVLDGLPRADEPRAAVEWEECRRDGGGAYGEGDQYEEEEEEEDDDEGVDGRAERFIERFYEEMRLQRQRSLVQRLL >ORGLA01G0018400.1 pep chromosome:AGI1.1:1:1302348:1305538:1 gene:ORGLA01G0018400 transcript:ORGLA01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAIVEKRPAPFVGGGGGCAGGVLLHLLDWHRRLARKRRLFSPRRLLPTSLRSSPRRLPSPPQASHPPPAPRLSSAATAAGVAAPGVGVVARLMGLESWPATGPVGAPPAARRPQKQRKVEVASPTPRADEPDVVLVLPPSQRPPPLSPAARNHHGADLPARSPRRSRLVHAAATKLLEPSARASSRASARLALAYACSSPQHRMDGHSNALQSSSMPDDFLSRSDSLPLERSSRLQPVVAQPPVLPAETEWDNVITSSRHEMHSIDTISSSDAADVVSGDAIVVLRSGFDDANVSRSSSGADAMPKDHKARTDRLSNCSRMRSSGAGVRAGEERSLRKRGTHSLQDVEGNIGSRSLVSSTHPAAGSARELMSGSRRAAHHGSGQRRELMGTITPQRSSRREVMGSSNPQRNTRRSSIDRSGLTSTTTSRIAVSTVSGQKRGSRKNVGRDNAACNREVNNPVAFASSSSVNPVTRNSSQSKVSEKRGCRRTQVISTSCSTRLPVVESSPSVVGSSEKEEFSRLLKAKINELGLSDRIESSDALSGKLTASVLQELISALTNDTNTSDSQHSNYSNAYNSQHSNYSDAVDCLNNNMSACNSNDQSHDFQNCYQRDREVESSATCMNNEPNQPSPTSVLEACFSNDTSSLGSPTEKNEGKEYFVSIENKMEDLFNLESDIVDLAISIDKTKTDAEEIFHGIDKMSSVHNLMARDFKLLEARLHSIGEAISNAELLLGNSPLSTKTSNLSLHCFIIEMLEVIMDLFGGSKSLGLTEEKKYQQTNFIFDCIIESVNSKFCDFGKCGYKAWLRLPLSLTEDLLKREISKEICNWRETRETTPNRVAEKELDQMTPRWDACQVEAFDISIAIEHDILEALVDEFAFDQW >ORGLA01G0018300.1 pep chromosome:AGI1.1:1:1283535:1287748:-1 gene:ORGLA01G0018300 transcript:ORGLA01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVATPASARLSPLTTSSPEPCRRRRLLLSAAAPLRRTRLRRRIAVVASVPDPAARPAEYTPWLIAGLGNPGSKYHGTRHNVGFEMVDRIARDEGITMNTIQSKSLLGIGSIGEVPVLLVKPQSYINYSGEAIGPLAAYYQVPLRHILVMYDEMSLPNGVLRLQRKGGHGRHNGLQNVMECLDSSRELPRLSIGIGSPPGKMDTRAFLLQKFSSEERLQIDTALEQGVDAVRTLVLKGFSGSIERFNLVQKYKFHSV >ORGLA01G0018200.1 pep chromosome:AGI1.1:1:1272492:1273295:-1 gene:ORGLA01G0018200 transcript:ORGLA01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSPRLKLFGFDVSEEETAEHEEQYSAEPENAPVCGGNGGGGGGGSDSSSSSTTTTTTATAGGDGRRYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQAAAAAAGRVAGGAAALYPRANPMVSAFAPTPHLLGGGEAGPTSWVYFSPRAAAVAGGAQGQQFHVSHGCVFPARGAGPAAVAAASPAVFSYTPATSAAAVAAASAPYVTTDEHHGRRIHASSAASLARYPGPAMVVAEPVATVPEDTCLGLDLQLSLAPAGL >ORGLA01G0018100.1 pep chromosome:AGI1.1:1:1263606:1263815:-1 gene:ORGLA01G0018100 transcript:ORGLA01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXCSIAISDSGPPEEPLPDDRRRIYSVLAVLGVSLAVSMAVLALFYIFGSESPSPPSDPNQE >ORGLA01G0018000.1 pep chromosome:AGI1.1:1:1258597:1259319:-1 gene:ORGLA01G0018000 transcript:ORGLA01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAPELIGPRISFSHDGVVATAGSAATPARSDTSLVVVMSSWRPRLPEPEFDFANAAAADVAPADRLFAGGKLLPVPPLPPVHPKPSPCKQPQSASGGGVKPTSYQRRPGSWTSPFTRSCSVNSATTATATAAPRSGSFSCPSFPLMRSRSAGSAAAAQGGVVSGGGHHRPPQHKKAGATAAAYYYGGSRNGSSGHGVRVSPVINVPSIGTSMVNMLSYLLCDCGNKTTKNRGFGLNC >ORGLA01G0017900.1 pep chromosome:AGI1.1:1:1251138:1251640:-1 gene:ORGLA01G0017900 transcript:ORGLA01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLLVILAVQAALIMGIFAAVAKENAVGESKAIDINPGQLKCCSNCNFSFSGLYTCDDIVKKCDPVCKKCAVVKTYPVKMFKCTDTFLGMCGPSCKH >ORGLA01G0017800.1 pep chromosome:AGI1.1:1:1246073:1246201:1 gene:ORGLA01G0017800 transcript:ORGLA01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIKSPIVGFLLYSVKEIDLRKEAYPEETEFKENAAWQVIY >ORGLA01G0017700.1 pep chromosome:AGI1.1:1:1243847:1244059:-1 gene:ORGLA01G0017700 transcript:ORGLA01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESKGNTETNGGKLKCCSSCNFSFSGLYTCEDVVKKCDPVCKSCAVVKTHPVKKFKCTDTFLGMCGPPCKH >ORGLA01G0017600.1 pep chromosome:AGI1.1:1:1240448:1243419:1 gene:ORGLA01G0017600 transcript:ORGLA01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWVQRLLTTATTAALLLLAACCAASALDAFHVPSVQAQAHVTKINRFHKQLNGNDKVTLTFNVSANLESLFTWSTKQVFIFLTAEYENSKNSLNQVSLWDHIIPDKDKANLQVEVKSKYPLIDQGSSLRGKKVQLVLHWHVMPKAGVMIRDRMALSEFNLPDSYTS >ORGLA01G0017500.1 pep chromosome:AGI1.1:1:1232954:1233970:1 gene:ORGLA01G0017500 transcript:ORGLA01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQQQMLLKKVMAKKPKTKRLSGFGLKPSAAFSRPHVPSAAASLQPSRRVRVVFEDPDATDSDSDDDEDAGAASKKRYFELFIGKPASSTKQASPASTVAAYANIGKVGSTCYRGVRLRKWGKWAAEIRNPFTGHREWLGTFDTADAASAAYQSASRNFAEEKRRRGVASSASPASSATPTPTASSSSSTSAAPFAHPSPSSVLEATKPAPKPESPPLPEQAATPLLVEATNETAELPDDPEFYKDILRGLQLPDIDPMDFRAGLDALDISDVPAYMNGEQDVLFTEDMLLGDFAEEDDLDLDDIGDDFCEDFPEIPSGYDFGRGDMFRQVDFCV >ORGLA01G0017400.1 pep chromosome:AGI1.1:1:1224481:1225011:1 gene:ORGLA01G0017400 transcript:ORGLA01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNNNNNKKKRARDAEDEADEAKRLRAEDLLDMLDDDTDAGGAAGDLASVMRSFEEEIVAGDVAGDVAPTTQPELGFLLEASDDELGLPPATASSSEEEAGAGEPEDAIGFGGQIWGFEDEIGGGGYAGFALTSPEAVAAAAAAAEWDDDGFDAGLFGFGDEVSALRHETMPAV >ORGLA01G0017300.1 pep chromosome:AGI1.1:1:1216817:1217206:-1 gene:ORGLA01G0017300 transcript:ORGLA01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITCSLAHKALEARARPKSSDHKQVGPTSWTNTSPHPPIDSTTKVXKQTGQQKGGASRKARRSGLPEEELKVEDRATETSESSMFPTRSKKSFVAEATSPPRTIGLCKRPSLATETTTSNFTTNAAKSWGE >ORGLA01G0017200.1 pep chromosome:AGI1.1:1:1198719:1199243:-1 gene:ORGLA01G0017200 transcript:ORGLA01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRAQQPKLQEGQDGAGAAGNANPKPQRRAKQPRQPKAASAAAKKAAAAAAARESSSSSVGAGAAVTSAASSSCSSGADMAPTVPDVCGGGGGGAGYEAGAATTVEWDLDGGLSNGSSWWTFGVEEEKLLGWFPFVEEDFRCLGARGDAEMAFDDDIWRIHQIYEIPNYAAK >ORGLA01G0017100.1 pep chromosome:AGI1.1:1:1194960:1195360:1 gene:ORGLA01G0017100 transcript:ORGLA01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNARIGVSVSVFLSLACLPCRPPPPQPVTTTTTTAIPRPCRPPWLDWTISVSVSLYERIPADTAIRYGVIRACAMVHVIIQCYKDQGTRRERCKGAQSPPESFLVAPNDWQQLE >ORGLA01G0017000.1 pep chromosome:AGI1.1:1:1186592:1190792:1 gene:ORGLA01G0017000 transcript:ORGLA01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMLASLSFSSRAAISCIPLCLLFLTLASSNGVFAAAPPKVGSGYKLVSLVEHPEGGALVGYLQVKQRTSTYGPDIPLLRLYVKHETKDRIRVQITDADKPRWEVPYNLLQREPAPPVTGGRITGVPFAAGEYPGEELVFTYGRDPFWFAVHRKSSREALFNTSCGALVFKDQYIEASTSLPRDAALYGLGENTQPGGIRLRPNDPYTIYTTDISAINLNTDLYGSHPVYVDLRSRGGHGVAHAVLLLNSNGMDVFYRGTSLTYKVIGGLLDFYLFSGPTPLAVVDQYTSMIGRPAPMPYWAFGFHQCRWGYKNLSVVEGVVEGYRNAQIPLDVIWNDDDHMDAAKDFTLDPVNYPRPKLLEFLDKIHAQGMKYIVLIDPGIAVNNTYGVYQRGMQGDVFIKLDGKPYLAQVWPGPVYFPDFLNPNGVSWWIDEVRRFHDLVPVDGLWIDMNEASNFCTGKCEIPTTHLCPLPNTTTPWVCCLDCKNLTNTRWDEPPYKINASGQTARLGFNTIATSATHYNGILEYNAHSLYGFSQAIATHQALQGLQGKRPFILTRSTFVGSGAYAAHWTGDNKGTWENLRYSISTMLNFGIFGMPMVGADICGFYPQPTEELCNRWIELGAFYPFSRDHANFASPRQELYVWESVAKSARNALGMRYRLLPYLYTLNYQAHLTGAPVARPVFFSFPDFTPCYGLSTQYLLGASVMVSPVLEQGATSVSAMFPPGSWYNLFDTTKVVVSRGEGAVKLDAPLNEINVHVFQNTILPMQRGGTISKEARATPFTLVVAFPFGATEAEAEGAVYVDDDERPEMVLAEGQATYVRFYATVRGKAVTVRSEVELGSYSLQKGLLIEKLSVLGLEGTGRDLAVHVDGANATAIATSRPYFAGAEAELHGHLDVEGHKKSVMVEVGGLALPLGKSFTMTWNMQIEA >ORGLA01G0016900.1 pep chromosome:AGI1.1:1:1172581:1172964:-1 gene:ORGLA01G0016900 transcript:ORGLA01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTTAKRKRPAASDIADDAPTTIDEVSDAEVEEFYAILRRMRDATRRLGARPPPPRAPAWRPSFSWEDFADAPPKQAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >ORGLA01G0016800.1 pep chromosome:AGI1.1:1:1160587:1163556:-1 gene:ORGLA01G0016800 transcript:ORGLA01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRRGGGERETETEEDETWKLRVGDDFTVPERFHRKPPFFSRIFPAGSHGKHRKIAKYYKKQENLLKDFSEMETMNEIGSLDQNAPTEEELRQMAKGERLAINLSNIINLILFIGKVLASVESLSMAVIASTLDSLLDLLSGFILWFTAHAMKKPNKYSYPIGKRRMQPVGIIVFASVMGTLGFQVLIESGRQLITNEHQVFDHRKELWMIGSMSSVAVVKFFLMLYCRSFKNEIVRAYAQDHFFDVITNSVGLVSALLAVRYKWWMDPVGAILIAVYTITTWARTVVENVGTLIGRSAPAEYLTKLTYLIWNHHEEIRHIDTVRAYTFGTHYFVEVDIVLPGDMPLSHAHDIGESLQEKLEQLPEVERAFVHVDFEFTHRPEHKAEV >ORGLA01G0016700.1 pep chromosome:AGI1.1:1:1157435:1157737:-1 gene:ORGLA01G0016700 transcript:ORGLA01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPATTPLPRRRLALILCLAWALWLHGGGGGISLADAFQAPTPARRSSGSSYAVGSRPVPAAAPRWSSSSSSSASEAAARFADDKRRIPSCPDALHNR >ORGLA01G0016600.1 pep chromosome:AGI1.1:1:1145251:1146266:1 gene:ORGLA01G0016600 transcript:ORGLA01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDNCAIRPCLQWIRSPDAQANATVFLAKFYGRAGLINLITAGPEHVRPAIFRSLLYEACGRMLNPVYGSVGLLWSGNWQLCQSAVESVLRGMPIAQPPPSATAVPPLPTCDIRHVGARRGDVHGAAAGPVADLHRLDISSRAKFKRPGGAAAAAHRSDHAAFELVFSKPAAAMAVDVIRQAQPLNWAPGALSHESASHDAAPPESEGHSNDTADTVDGSHVSQSEPEPRATSAATEVHDAGLDLTLGLPPPPPLPVQKTEPADSDGGSQQQHDHRKEKPVELGLAISTSVAAQ >ORGLA01G0016500.1 pep chromosome:AGI1.1:1:1138864:1140110:-1 gene:ORGLA01G0016500 transcript:ORGLA01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRVAGPMGVMSKQQQEAMAEEMMELRRGPWTLEEDNLLMNYIACHGEGRWNLLARCSGLKRTGKSCRLRWLNYLKPDIKRGNLTPEEQLLILELHSKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLKVDANSTVFRDAVRCYWMPRLLEKMAGAATDPTPPPPPPLHHHAAMATASSSPFDFDTHLQQAEAATACVVPNNVYHHHIDPSPSTSTSAGSTAAAAAFQPLPCFSELRWDQQMQSSGELDDGAAGVFVDSASALGSLSLDGLDLGPAEYYSDSTLLDYLNSSCTGSAMMTMMTASGNAGSYNYCGGAMDGGDTWRSDEVCQAAARKLGEWGGGI >ORGLA01G0016400.1 pep chromosome:AGI1.1:1:1124774:1129853:1 gene:ORGLA01G0016400 transcript:ORGLA01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:I1NJX4] MAGPTPPPPEEEPSSPSLRLRCAVQHYEWGRRGEASLVARLSDANADDHGPDPARPYAELWIGTHPSAPSSLLADGLLRDWLARHPAALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKDLAEVLHALRPATYKDGNHKPEMAIAVTEFRVLCGFAGIQELKDVLRTVPEVEDLVGPEDAAKLLSVKEYHGVNEVKSCLRSAFTKLMTASKEAVSEAITKLIFRLNAESKVRTLTEKENLVLSLEKQYPEDVGVLSAFFFNYIKLSPGEALYIGANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQVFPEILRGVPVQPYVRRYTPPFDEFEVDCCSLPPGELVVISPVPGPSVYLVMAGEGEIQVDSMPNGEKSKQGDVFFVPAYTEVKFSASGPECMQLYRAGVNSRFFN >ORGLA01G0016300.1 pep chromosome:AGI1.1:1:1116445:1119733:1 gene:ORGLA01G0016300 transcript:ORGLA01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMPWSCGWCGRWRRSSGGRGGGADRESNGGGGGGGGAASGREEEQWSLFIELPVLEAATRGFSDDNLLGRGGFGPGVLENGQEIAVKKLSLESRQGVREFLNEVRLLLKVQHRNLVSLLGCCASSGHKMLVYPYFPNGSLDHFLFDRKKSVQLDWPKRYQIIVGLARGLLYLHEESPVKIIHRDIKASNVLLDEQLNPKISDFGMARLFLEDATHVNTFRISGTYGYMAPEYAMNGYLSTKTDVFSFGMLVLEIVSGRKNIDKHLDAEKTDLLNYTWKLSEEGRQMEIVDPAMAERDADEARLCVQLGLLCCQAVVSDRPDMYTVHLMLSSDSFTLPKPGKPAIHGRVGRWMSTTTTASSAASTANTATTLGTDTMTTRASASAAALGGIAEDDSRNSISISFTTEGR >ORGLA01G0016200.1 pep chromosome:AGI1.1:1:1113885:1114424:1 gene:ORGLA01G0016200 transcript:ORGLA01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGNLLTLTLGALLLAGIPISSAAVTPNSNIRLPTDGGDEWPSPPWDCCDKLKQSPLRIWPPKYKCLDEVDHCAAACEDCKRADGGGYVCRDWYWGVNPGPKCTGGGGEEAVRSRPWKCCDDAVCTRSMPPTCSCQDKVRSCSGGCGKCVQVESQPPRFRCLDRYHGFPGPKCHNQPA >ORGLA01G0016100.1 pep chromosome:AGI1.1:1:1111203:1112299:1 gene:ORGLA01G0016100 transcript:ORGLA01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYCVTGGTGFIASHLIRALLAAGHTVRATVRDPEDEAKVGFLWELDGASERLQLVKADLMVEGSFDDAVRGVDGVFHAASPVVVVGNSSSNNGKPNDDDEEEVQQRLVEPIVRGASNVLRSCARASPRPRRVVFTSSCSCVRYGAGAAAALNESHWSDAAYCGAHGLWYAYAKTLAEREAWRLAKERGLDMVAVNPSFVVGPILSPAPTSTALIVLALLRGELPRYPNTTVGFVHVDDAVLAHVVAMEDARASGRLICSCHVAHWSEIVGSLRERYPGYPIPAECGSHKGDDRAHKMDTAKIRALGFPPFLSVQQMFDDCIKSFQDKGLLPPHA >ORGLA01G0016000.1 pep chromosome:AGI1.1:1:1106247:1109364:1 gene:ORGLA01G0016000 transcript:ORGLA01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLTRPFLRPSPPSPPPPRPTRRSSRAHCLAPSASARGPSFRRPYTSVLVVPTGVGAAVGGFAGDALPVARALGAVADCVISHPNVLNAAMLYWPMPNTLYVEGYALDRFAEGSWALQPVHQNKVGLVLDSGIEEELRLRHLQVADAARASLGLPVVEYIVTDTPLEIKTWFDPKCGKSTGSVGNSDSLLRAVEALVNRSDVNAVAVVARFPDDDPEDSDCYREGKGVDLLAGVEAIISHLIVKKFKIPAAHAPAVLPPVLSLSVSPRSAAEEIGHTFLPCVLAGLSNAPQYVMRRNGNMDNGCIVASDVDSVILPKDACGGDGTIAFARTATKNKPLIITVQENETVLDDTPDKFNIEALNVQNYWEAIGVIAAHKAAGLGEKFGQNSFWVPEISEI >ORGLA01G0015900.1 pep chromosome:AGI1.1:1:1102117:1106045:-1 gene:ORGLA01G0015900 transcript:ORGLA01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 6 [Source:Projected from Arabidopsis thaliana (AT1G32500) TAIR;Acc:AT1G32500] MSSPSPALCAAASCSTPLRVASPVVRFRRPGAPAPVVSAARASSAAAPAVSDDLVLRIAEQLEDSVAASSPLLDPLRSASALSLLSTRWPTRRSNEAYRFTDISYLRSLPISLPSRDAPAVAPPASPYASHVHFSDGVLTSSSGAHVSALADLPPGHARDRAAAALAASAGFADKDLFFDFNAVGAKDVVVVHVPEGVSMADDPVHIMFSYSGCGDGSMIMSNPRVLVVAEKGAEVAIIEEHFGQEDGGCYWANPVMEIIVEEDAKVVHSYVQQQSFAAAHTKWTVVQQNTSSNYEFVEVSIGARLNRHNLHIQQLGPETNTQLSTFHFSAQNKQIHDLHSKLILDYPRGRSQQIHRLIASGTGNGVFDGNIKVNRYAQQTDAGQETKCLLLSSKALVNVKPNLQIIADDVKCTHGAAISGEHDPNAIYFLQARGIDAKTAADALNFAFGAHVINQIPFKPIEKKTLAHFKALLASSRQNDE >ORGLA01G0015800.1 pep chromosome:AGI1.1:1:1095031:1098025:-1 gene:ORGLA01G0015800 transcript:ORGLA01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIQQLAAVLLAAVVVVAAARDEPAAAKNYQTQWDTVMSILNCKSDSLIPSYICSVISKSRWGWASDDPNDDDEYTPDHPLPAPAAERRRWPVMTSLNLTKYVDALPRIAKIQGYGIRHGRPVPIKLTIGMYSKTWQFHRDMPPTPVFVYGQSLQTATFPGPTIVARQGVPLAVEWQNHLPDAHILPWDPKVPTAIPKNGGVPTVVHLHGGAHPPEFDGHAFAWFTRDFAENGSTWTRKTYTYPNVQAPGNLWYHDHALGLTRVSLLAGLLAAYVIEKPELEAPMNLPCGDHDLHLVIADREFYTNGSISIDREWKPEYFGLVITVNGKAWPYLSVHRRRYRLRILNASNARYFNVTLSNGALPFTVIGSDSSYLSRPVTVSNLVLSPAEIFDVIVDFSRLPAAVTEIEMLNTAPYPFPNGTQTDPNLDGKVMLFKVAGKGKVDDMPDKSKVPEHGVPYASVAALPPPTTTRYIVLYENQTAPGNLYINGLRLEDPVTETPKSGTTELWQVINLTQDNHPLHLHIATFQAIKMTKIEGFQVFKDCMIKNNNTATCNLDQHAVGPVVPVPEEEKTWKNAVKIPPEFMTSVVVAFRLVEANQPYPFDATTEPGFVYHCHILDHEDNAMIRPLKLLP >ORGLA01G0015700.1 pep chromosome:AGI1.1:1:1083291:1085067:-1 gene:ORGLA01G0015700 transcript:ORGLA01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKRILAMYVYVQQFHRDMPPTPVFVYGQSLQTATFPGPTIVARYNVPLYVTWENHLPDAHILPWDPTVPTAIPKNGGVPTVVHLHGAAQAPDSDGHALAWFTRDFAENGSTWTKKTYTYPNVQPAAGNIWYHDHALGLTRASLLAGLLAAYIVEWPELEMPFNLPSGEFDLHLVIADRQFNTDGTIYMNSTGVEPKIHPQWQPEYFGEVITVNGKAWPFQAVQRRRYRLRILNASNARYLNIRFSNGLPFTVIASDATYLSRPVTVSNLLLSPAEIFDVIVDFSLVVNPNATDIELLNSAPYPFPTGTPTNDSLDGKVMAFNVSAKWQLNDDINTPTPADNSTTVPEIGVPFAKVTALPPTMKTRYIVLYENMTTNDPNTGKTMNLYINGLRLEDPPTETPISGTTELWHVINLTPDNHPLHLHLAEFQAVQMLQLVDPDMFKTCMLQHNDTVICNLSQHAVGALQPVPEEEKTWKNVVKIPPAYVTSVVVAFRLVHNNMPYPFDATAAPGYVYHCHILDHEDNAMIRPLTLLP >ORGLA01G0015600.1 pep chromosome:AGI1.1:1:1079006:1081272:1 gene:ORGLA01G0015600 transcript:ORGLA01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGENRARVVALVVAVVVVVVGVAGNVAAAQAAVTAADLQRVAGSLQMYVDALPQMAKIRGYGFQRGQAVPINLTIGMYQKTWKFHRDLPATPVFVYGQCPDSATFPGPTIMARHDVPLFVRWENHLPASHILPWDPTVPTAIPKNGGVPTVVHLHGSAHPPQSDGSAFAWFTAGFAEKGPAWTQATYRYPNVQPPGNLWYHDHALGLTRANLLAGLLGAYVIEKPEVDTPMDLPCDDDDLHLVIADRSFNVDGSLYMNSTGVAPNIHPQWAPEYFGEAITVNGKAWPFLVVHRRRYRLRILNASNARYFNVSLSNGLPIHVVGSDASYLSAPVTVSNLLLSPAEIFDVVVDFSQSPTAEVELLNSAPYPFPTGAAPGPLNGKVMKFVVQPNGPLDPPDNSTVPDHEVPYASVTALPPTTMTRYIVMYEYLTPTGQSTHLYINGLRLEDPVTETPKSGTTELWQVINLTGDNHPLHIHLGMFQAVKMQQLVNLQAFTDCMTAVNDAVKCNVDQHAVGPVVPVPDHEKTWKNVIKVPPGFVTSVVIAFKLVDTNQTYPFDTTAEPGYVYHCHVSATLHATCPFYCVNIFFXSNIYVL >ORGLA01G0015500.1 pep chromosome:AGI1.1:1:1072318:1075184:1 gene:ORGLA01G0015500 transcript:ORGLA01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDARSAAENASRRHHHHHRAHAITDRSERGLPEEHSRGSVDERFVFPWMGILVNVPTEWKNGRQVGESGNRLKEGFARFCPHKVIPLWNHRGHTGNAIVEFAKDWTGFENALAFEVHFEQQGYGRRAWMGNKYRGSQMFGWVARADDHNSPGPIGVYLRKNGDLKTVADLENEGTRKTDKLVANLASQVEVKKRHVEELESQYNETTASLERVMEQREQQLQAYNEEIRKMQDLALRHSQRIMDENKKLRSDLESKMQLLDSRSKELDKLAVQSNSDRMNLEKEKEKNDIKTKHLKMATLEQQKADESVLKLVEEHKREKQAALDKILKLEQQLNAKQKLELEIQQLQGKLEVMKHMPGKEDSEAKSKIHELSEELKDKYAEMESIESLNQALVIKERQSNDELQHARKVLIEGFQDIIVGRTNIGIKRMGVIDFKAFTNAYKQRSLEEDADVSAAELCSLWENEIKNSDWHPFRVVMVDGKEMEILSEDDGKLCELKEEHGEEICAMVTKALLELNEYNPSGRYPVPELWNFKENRKATLKEVVQYILNQWKKNKRKR >ORGLA01G0015400.1 pep chromosome:AGI1.1:1:1066026:1070462:1 gene:ORGLA01G0015400 transcript:ORGLA01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRFLGVCLLVAVLVLRAAVLGRGDDGGRLLDPGKLEMFVDELPDMPRMRGYGVAEGGKLVAGNLTIGMYETMWKFHRDLPATRVFAYGTSKETATVPGPTIEAMQGVPTYVTWTNHLPPRHFLPWDPTLTAAAPGSGVPAVVHLHGGVQHSGSDGHSLAWFTAGFAATGPRFSSPAAYEYPNQQPPGNLWYHDHAMGLTRVNILAGLLGAYRVASPAEEAALNLPSGEAFDRNLVLFDRDFLADGSLFMNRTGNNPSVHPQWQPEYFGAVVVANGKAWPYLRVRRRRYRLRILNASNARFFRLSLSGGLRFVHVASDSVYLARPVPTRAFLLAPSEIADVVVDFAESGNATAIVLRSDAPAPYPGDPGDKAETVPVMKFVIDDDDDALSTEPDTSSVPARLTSPSQYAKPDAREAVLTRRIAMYEYTKEGTDEPTHLYLNARSYMDPVTETPREGTSELWDVINLTDDNHPLHVHLALFVALEQRSLRDVDDLKECMMARGSGGGGADACGLERHLAGGRKHVVPKQERGWKNVFKVRPGTVTRLLVRFRPLSPPDSRRFPFDVAAGPGYVYHCHILDHEDNEMMRPMKIVR >ORGLA01G0015300.1 pep chromosome:AGI1.1:1:1062763:1065172:1 gene:ORGLA01G0015300 transcript:ORGLA01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKVELAVLLLVLVGVAAGIRPPSAPPPVTEDTLQKVAGSLEMYVDELPQMPKIYGFSMRHGHPSPIRLTIGMYQKKWKFHRDLPASTVFVFGTSAATAKFPGPTIEAAQGVPLSVTWQNYLPARHILPWDPTVPTAIPRRGGVPTVVHLHGGAHPPQSDGSAFAWFTAGFGETGPAWSTPTYTYPNAQSPGVLWYHDHALGLTRANLLAGLLGAYVIRNPAVEAPLGLPCGDEFDRVLMLADRSFYADGSIYMNYTGIIPNIHPQWQPEYFGEAITVNGKAWPFLAVARRRYRFRIINTSNARYFNLSLTNGLPFTVVGSDTNYLSKPVTAASLLVSVAETFDVVVDFSQSTTSEAELVNTAPYPYPDGQAPNDLNGKVMKFVISPAKAKDTSRVPAKLLDYVAVAEEEAVQRRYIVMYEYEDAATGNPTHLYINGKRLEDPATETPRPGTTEVWEVINLTPDNHPLHLHLATFQATRVRGLVDEDAFKGCMAKLNDAVRCNVSRHAVGEEVAVPEHEKGWKNVVKIAPGYMTTIVVKFFMVDSGKPYPFDATAEPGYVYHCHILDHEDNAMIRPLKLIK >ORGLA01G0015200.1 pep chromosome:AGI1.1:1:1051996:1056196:1 gene:ORGLA01G0015200 transcript:ORGLA01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 23 [Source:Projected from Arabidopsis thaliana (AT2G16920) TAIR;Acc:AT2G16920] MENLPNGSANIAEKNQDNEMSTDAGEPEEVADIFIYREDVVSLKSKEDTRGLVLEVAGEYDSEGSITDDDTDTEEHEHKNSHRTENGGADGDNVSNGVDVDSQSSLPDNKVRVLWIDGVEKTEDIDSVVVMDRSFLHGDIVASATDPTGQMGLVADVSLVVDLQGPHGEIIKGVSSKDLRRIREFNVGDYVVSGPWLGRVDEVLDNVNVLFDDGSVCKVARADPMRLRPALGPLNPNASCPFYPGQRVKAVNSSVYKTSRWLNGLWKASRLEGTVTKVETVAVIVYWIASAHFATNQESVPPEEQNPKDLTLLSCFSYANWQLTDWCLPNQYTSSCTDDSLIESSEIKDSDDIPESSDVKTELTQKTDMDENPGRMDGDSSADGSNMVYEDNTCLAKQSESGTIASTVPKEGSQDNATYRKKLRKVFVKKDKRTRRRDESFERALLIANTYTKVDVIWQDGTKECGASSTSLIPIHSPNDHEFFPEQYVVDKVGNDVDDSSETKRVGLVRSVNAKDRTASVSWFKPSLHPEEPREIECNEIVSAYELDGHPDYDYCYGDVVVRLPSVSLPVESTNRENTMELDNVNSTEVSATPVADAEEQFPQKESSLEFTSLSWAGNIIGFEDGDIIVIWGDGSVSKVGPHEIYVVGREDDGASLDDGTASDGASWETVDDNQTDLPDDSAQDDSQNVADSNIERENGSFNSQDGSSVATGPLSVAFGFVTRLASELFARESGDDIDKAEGENNVATSESTVVTTNDASGGKSVDVDMADKPGDSDGFKHFDVQQCPPDHHYLENMAQGTGGRKWVKKVQQEWNILEKNLPDYIYVRVFEDRMDLIRAVIIGASGTPYQDGLFFFDFHLPPEFPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSLKSMLYILRRPPMHFEDFAKSHFSKRGKYILKACEAYLQGNGVGTLTDDACTTERSKEQPCSVGFKLALAKIMPRLITALKDAGANCDQYEHLGKTETAQEEH >ORGLA01G0015100.1 pep chromosome:AGI1.1:1:1042336:1047071:1 gene:ORGLA01G0015100 transcript:ORGLA01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLQETYACSPATERGRGILLAGDPKTETIAYCTGRSVIIRRLDAPLDCWAYPDHAYPTTVARFSPNGEWVASADASGCVRVWGRYGDRALKAEFRPLSGRVDDLRWSPDGLRIVVSGDGKGKSFVRAFVWDSGSTVGEFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANYYEGPPFKFKHSIRDHSNFVNCIRYAPDGSKFISVSSDKKGLIYDGKTGDKIGELSSEGSHTGSIYAVSWSPDSKQVLTVSADKTAKVWDILEDASGKLNRTLACPGTGGVDDMLVGCLWQNDYLVTVSLGGTFNVFSASNPDKEPVTFAGHLKTVSSLAFFPQSNPKTILSTSYDGVIMRWIKGVGYGGRLMRKNNTQIKCFTAAEEELVTSGYDNKVFRIPLNGDQCGDAESVDVGGQPNALNLAIQKPEFALVTTDSGIVLLHKSTVISTTKVNYTITSSAVSPDGTEAIVGAQDGKLRIYSINGDTVTEEALIEKHRGAITCIHYSPDVSMFASADANREAVVWDRATREIKLKNMLFHSARINCLAWSPDNRLVATGSLDTCAIVYEIDKPASSRITVKGAHLGGVHGLTFVDNDSLVTAGEDACIRVWKLVPQ >ORGLA01G0015000.1 pep chromosome:AGI1.1:1:1039551:1041349:-1 gene:ORGLA01G0015000 transcript:ORGLA01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLARHFLPHLRLGLPARCLGDPSSSPSALAIARCRNVAGPSTPPIWALGGTRFFADDRSRYDLFGKRRPGDEEFRKAWQENVDEEDCLWTGSEDEDEEENDTKMEREIKKVKKQAKENANLIDADDSDELRSICSESDEDDMALWSGSEDDDDDDIPTDAHPNERSDSYIDKVFEFDEAPKYRTISELLKAEKEPPELSPGKQARKLAVENALKKLKKGPDGRYINVFDVVTDIDILIGAFENIVSGPEYAELREGGPKKLNIQFFKDIQARMRDPNFKFSPELKLKPKSKLVPRKKWQKAQARKRKNEKR >ORGLA01G0014900.1 pep chromosome:AGI1.1:1:1033384:1035252:1 gene:ORGLA01G0014900 transcript:ORGLA01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEDFKLVKIQTHVLRVNIHCDGCKHKVKKLLQKIEGVYSVALDVDNHKVTVTGNVDSDTLIRKLTRGGKHAELWSQQKGGSNQGHKGSNNQQKQQQQQGQQQQHGQQQQKQGANPSKDGNKNNNILKDQGKQGGVGGLIQGLKAFKNQHSKNQLPELDSEDDDLYDDEDDEFDDDYEDDLRFLGDKMSQLGFLRQQAAAAAAANVKNKNANTATVNNHHNNVNGKKGGGGGGGAGGGNHHQNNHHQNQKNPNVINMAAANAKMANGAQKNTGAINGMMGLNHGLGTAGATPGFQGYTSFNHPSYASAGYGGLQQQHLQQQQQSNNLMASMQGYHHHPAATAAMMNNLRGLNNNMMMMHQPQQQPQMMYHRSPQISPYTAYYNPYSYYYHPGAAGYHPASNGDVETMFSDENTKGCVVM >ORGLA01G0014800.1 pep chromosome:AGI1.1:1:1030780:1031793:-1 gene:ORGLA01G0014800 transcript:ORGLA01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGTKELKASRVDEKANSDMTTDGSSYPSLQYCIVFCEFYSLQYYAAVDFASRYAGCSWGYVVWKAVDGVAAIASENQEFRIATGSTSRQLLIVRSAVEQLCTCTALLPPTGKKGAGNRWAPRWQCLQ >ORGLA01G0014700.1 pep chromosome:AGI1.1:1:1020604:1021603:1 gene:ORGLA01G0014700 transcript:ORGLA01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:I1NJV7] METTSTGSSRQRSSVATIDLDALDCTICYNPLQPPVFQQCGVGHVICSSCHGKLLDTSRCHMCSRDGGYRRCVAVDHILYAITVPCPNAAHGCAARTPYHDSHGHAAGCPHAPCFCPEPGCGFAAGATAALLAHFTGTHGWPATVMWRRRAAVGVPLQEGKRVLSLLDDDGRGSHLFLLNVAQAGEAGLVGTVLAVEAAAAAAAHGHGDAPRFECKVSFDRRGTGWRQSSTFGVRSTNLSGGLPADGFAFVAPNPPPAAASVTITLFDISSGEPGSALRPVLPRSRRSRTRLSATTTAAVAVFLR >ORGLA01G0014600.1 pep chromosome:AGI1.1:1:1018646:1019002:-1 gene:ORGLA01G0014600 transcript:ORGLA01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEDRISQQQRSLYYRVGVQSCWAADRSRAHNCITKGTRKPRLGPHITGATWELGRNPKTHRSRLAPEGTPRQRDPLHLLQQLGGELFIWSKGEYESTQQAMGNKCLMQASKKGCCFCN >ORGLA01G0014500.1 pep chromosome:AGI1.1:1:1013865:1015974:1 gene:ORGLA01G0014500 transcript:ORGLA01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:I1NJV5] MEISSSLNKRKLGEAQHDGDRVVIKKRQSVTMDMEVLHCPVCFQILRPPVFQCDLGHLVCSPCRDNLPAGGKCPSPSCSGTPSVRCVAMERVVNSVEVACAYAEHGCPDKIAYANITEHEKTCPHAPCFCPEPGCGFAAASAAALADHFTAPRHNWPSHKLSYSQLFELRVHPGKNVLIGEEDGALFLLNVSPAAEHAVVSLFSVQPHHGASGFGRSASHFGCSVEFSCFLGHLQCSTLVTVTSSSLSDGMPEELFFSVPELQDSVDGDAGVGVDIRITIDEAVPLFSCVDGMEDDDDEDCDDDVDANNGDDDENDGDTSDDEDEDDEEGTKLNFLVLSRTAWNPASDAQXNSFCFEGHCEASTLEAVKISSLSDGLPKDRFFSVPKQQDGDAGVVLGITIDDVEDVEDEDSDEECE >ORGLA01G0014400.1 pep chromosome:AGI1.1:1:1012157:1012822:1 gene:ORGLA01G0014400 transcript:ORGLA01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:I1NJV4] CSRTSFEHCLGMERIVESAVVPCTYADHGCTNKITYFNKKSHEQACSYEPCFCPDSGCGFSGSVATLWKHFTTQHKWPSTEFKYYTPFDLRVKPGAHFLRAGDGQLFVMNMVSVEPVGHGVSLVCIQPNTSESSFRCNVVFSSFTGHHQISTLESVRCSSLSDGLPKNYFCIVPKSPGGGAAVLLRITIDTSWCSKSRTSRRRRRTMTTMMRMTRATTRMGI >ORGLA01G0014300.1 pep chromosome:AGI1.1:1:1008423:1008980:-1 gene:ORGLA01G0014300 transcript:ORGLA01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAMTTSTLLFLLLAGLTAAALGTADDDTTTNTIRLPTDGGSAQQAPTKKKPWKCCDNIERLPTKTNPPQWRCNDELEPSKCVAQCEVCQEAPGPFPGPLICSDVYWGADPGPFCTPRPWGDCCTNTTCTRSIPPICRCNDKVKKCAAACKDCKRVKSSKPPRYVCQDQFTGQPGPKCKHSCEN >ORGLA01G0014200.1 pep chromosome:AGI1.1:1:1006139:1006711:-1 gene:ORGLA01G0014200 transcript:ORGLA01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDTMATSSILFFFLLGGLTVAVAAHGTANDDTDTIRLPSDGAKSPKMPTEKRPWKCCDDIEEQPARIFPPFWRCNDELEPSQCAAQCEVCQDQEASPGRLICGDVYWGADPGPFCTPRPWGDCCDKAICSRSYIPICSCADEVESCAAACKDCQPVESSSSSSEPPRYVCHDRFKGEPGPRCTPDEHK >ORGLA01G0014100.1 pep chromosome:AGI1.1:1:1002811:1002975:1 gene:ORGLA01G0014100 transcript:ORGLA01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMDDLSGQKQICVIRDVCGHHRLGFPSGSGLLCCWAARLWESEKLKKALGAD >ORGLA01G0014000.1 pep chromosome:AGI1.1:1:979603:979884:1 gene:ORGLA01G0014000 transcript:ORGLA01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVNRYQSQETVLVAQEDRISQQQRSLYYIVGVQSCWAADRSRAHNCITKGKRKPRLGPNITGATWELGRNPKTHRSRLAPGRTPRQRDPLHLL >ORGLA01G0013900.1 pep chromosome:AGI1.1:1:973658:974422:-1 gene:ORGLA01G0013900 transcript:ORGLA01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTTMATSTILLFLLAGLAAAHGDGDTTIRLPSDGAKASRPRPAKPWDCCDNIEISPLMIYPPLYRCNDEVKQCAAACKECVEAPGGDFNGGAFVCSDWFSTVDPGPKCTAALDGPSMERPWKCCDNIKRLPTKPDPPQWRCNDELEPSQCTAACKSCREAPGPFPGKLICEDIYWGADPGPFCTPRPWGDCCDKAFCNKMNPPTCRCMDEVKECADACKDCQRVESSEPPRYVCKDRFTGHPGPVCKPRAEN >ORGLA01G0013800.1 pep chromosome:AGI1.1:1:967948:968700:-1 gene:ORGLA01G0013800 transcript:ORGLA01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTTMATSTILLFLLAGLAAAHGNGDTTIRLPSEGDAPPQPAKPWDCCDNIEMSPLKIFPPLYRCNDEVKQCSAACKECVEAPGDFPRGAFVCRDWYSTVDPGHMCTAPDQPTTKRPWKCCDNIVQLPHMIFPPFWRCDDELEPGKCTAACKSCREAPGPFPGPLICEDVYWGADPGPLCTPRPWGKCCDKAFCNKMNPPTCRCMDEVNKCAAACKDCQRVESSEPPRYVCKDRFTGQPGPMCKPRAQN >ORGLA01G0013700.1 pep chromosome:AGI1.1:1:964512:965291:-1 gene:ORGLA01G0013700 transcript:ORGLA01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNSMATSTILLFLLAVGGLAAAHGDTIRLPSEGDAPPQPAKPWDCCDDIEMSPLKIFPPLYRCNDEVKQCSAACKECVAAPAAGDSPCGGGAALVCRDWYSTEDPGKPCTPEREWPERTTKKRPWKCCDNIRRLPPRIHPPFWRCDDELKPGQCFAACKACREAPGPFPGPLICDDVYWGADPGPFCTPRPWGDCCDNTTCTKSIPPICSCGDKVAACDGACKDCQPVASSSEPPRFVCKDQFTGQPGPKCTPCTQN >ORGLA01G0013600.1 pep chromosome:AGI1.1:1:957992:958576:-1 gene:ORGLA01G0013600 transcript:ORGLA01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKMKNTMAAASILFFLLAGLAAASHGSTEDDTTTTTNTIRLPSDGGTLAATRRTRPWKCCDNIEQLPVRTNPPQWRCNDELEPGQCFRQCEACRDPPGRPFPGRPLICDDVFWGDDPGTSCAPSSEWPWGPCCDFAICTKSIPPICHCSDEVESCAAACGQCEMVDSWSWRPLFVCRDSFTGDPGPRCTPAMDN >ORGLA01G0013500.1 pep chromosome:AGI1.1:1:955717:957287:1 gene:ORGLA01G0013500 transcript:ORGLA01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:I1NJU5] RRRDPTTGPESPVYLEGSRWSLSPAYQPSSRRPRDEEGCTYSRRRGRTGSRCNSPPRRRRRPRSCRSRSRTRSYECEDSRCCHMRPSPHSDDREDDDDEQEGSWYHPPADNEFTVRIDGVGADDGIFQCDGCFAMLSSPIYECANGDVICERCSYDDGGARVCRKCGTMELARSRAIGHLLRCIRFACKNRRYGCPSFLPRLDMDEHELSCDHEPCFCPICRCGFAGAADSLARHLTARHGWGCLRVAYGEAAVVPVQSPTILRADDGRIFHLSCTRERGGGGGTAMSMVCIRPDHVAGAEEEFTYEVRTACQRLQMQAAVEGTSLRYGMKDAVQARVTVPDDMLLRQGDAVQVFVRKATSAAGAANNN >ORGLA01G0013400.1 pep chromosome:AGI1.1:1:933544:938996:-1 gene:ORGLA01G0013400 transcript:ORGLA01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WQTTPGLLPVCCWNAWCVSWKQQGXPAVARAETQMLRPQSRPADGAGVECRXWVPRRAPSVKPVAFLADPPQASSLHMLLPPPARTTLLGIGEISGTHKGIVVIYAHRCYLLYDASNNHLTAIPPVPDSVFVPLGRSAVLVSAAGADDDDYILADIVTSCSRRGINPALPKATIFARVKNGGEWIQSSIPHLPLPPHLCGPTYFFHIDTAFSFAGTIFWVDLLKGILICDEILSSPQGPRLVFVPLPHCIDAHDKIRHCFSPDGHRSIGRVSGAIKFLALIGYCEEASCPANEVKLKTWSLSPDFKHWKEETTLTVGDIWASESFNQMGLPHVLPFSPLLGVNEDGIMYAVLNHVKEEPIPRLNEFGDSLGIQLVPKANYMIRFDMLQNKVLSSTKISKKPTLRWFTMTFLASDFSAYLQDRQNYFIVVSLIQYKLPSLVLTNEKMLKQQARFLXXPVAERFVKEKIKYFCREPDPLTSEGRPTALVRPFGIRRSRASIADRLGPYGRKNSPRGIDATSEPXQPAPLGSKWGRGGLCAVAATAAACTSRARDLAGEAQAVAVTPTATTCFLAAPAAVARRRRRWLIGCRSRGPIFLQHMGXV >ORGLA01G0013300.1 pep chromosome:AGI1.1:1:932993:933202:1 gene:ORGLA01G0013300 transcript:ORGLA01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGGAPGALSLSASGSVPRVRRWVRYPTGGFLFVPDAYWRASGGSVSVTVHVKKLPPPELEEDTTAA >ORGLA01G0013200.1 pep chromosome:AGI1.1:1:926299:926640:1 gene:ORGLA01G0013200 transcript:ORGLA01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSCVRANAAAGPRYVCKVWTQAPPDAETGVKDTIMMEANVRSFSVPGEVAMDDGTVLCVPPRMLHGASMEMPLRVRIDKLGAGATNRSAIAAQTKKXFFLAHLMDQGDAXKTS >ORGLA01G0013100.1 pep chromosome:AGI1.1:1:912071:912289:-1 gene:ORGLA01G0013100 transcript:ORGLA01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQRSLYYKVGVQSCWAADRSRAHNCITKGKRKPRLGPNITGVTWELGRNPKTRRSRLAPGRTPRQRDPLHLL >ORGLA01G0013000.1 pep chromosome:AGI1.1:1:893419:893978:-1 gene:ORGLA01G0013000 transcript:ORGLA01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding REVTFDNATTAWLNYTCTVPDSDLCSGPRTITPEIYSQLVLAANVSYALYHYAPLMLNLQDCKFVRNTFSSIASQYCPPIWRDLSLVSAGLALIASGLTLGLLLMLFADRPQREEVSELPSGSRITPVDCSP >ORGLA01G0012900.1 pep chromosome:AGI1.1:1:881042:883255:-1 gene:ORGLA01G0012900 transcript:ORGLA01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDRLLTESTLDAAIRKQVADLQAETVAIDYCCDGDGDGGSARKMVECRICQEEDWDTSMEAPCACCGSLKYAHRKCIQRWCNEKGDTVCEICLQQFKPGYTAPQQLFHYGSIPMNFRGNWEVARQDLHDSQIITMMPSERDFMDGYEDYLPIRTRSSTLCCRTVAIIFMSLLVLRHTLPLMIGGDGEYSLALFSLLVLRTAGILFPILVMVRALATFHRRRRQQERREMYMTSSDSEEEEDYSDTDPAQPIHSQTRLVPIY >ORGLA01G0012800.1 pep chromosome:AGI1.1:1:877418:880370:1 gene:ORGLA01G0012800 transcript:ORGLA01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:I1NJT8] MAGIRWPPEDPEIFPSRMVTGGGGGGAGGGPPGPPGEMASDDDRSVAADSWSIKSDYGSTLDDEQRYADAAEVLLASSSSAAAASGPAAATTASVAANPSGDFSFDKDVPDSADMEPPLLGLPNYHQDGSYAEYLANFQERSHTDDWFGTENMDVLVSWTKNLCSNKDLRSCSVLDIGTGSGRLSQQLAKQGFSDLTGIDHSEGAIEVARNLAIRDGFEHINFLVDDVLESKLERRFELVMDEGTLDTIGLHPDGPVKRMMYWQSVAGLVSPGGILVITSCSRTKDELVQEVESFNQRKLSAMGSEGAQASDTAVFKYIDHVQTYPIVDSSCITTVAFSHS >ORGLA01G0012700.1 pep chromosome:AGI1.1:1:875280:875885:1 gene:ORGLA01G0012700 transcript:ORGLA01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITPSCIPLAPVAGGSSSTACKIIHVDGTVTRLPRPVRASELMVDYPGQFVCDSGRLAVGCRVPGVAADELLEPRHAYFLLPMDMLYSVLTDEEMAALSSFHAATAASSSWKRIATGGGRRRDGSHGGRSSETTDHEGDDGSDDGARFFPVLSLQLHAAPEAAAAAAAGVKPSGGGGGVKRHRSWHPRLEAIDEVPCTGG >ORGLA01G0012600.1 pep chromosome:AGI1.1:1:866115:872903:-1 gene:ORGLA01G0012600 transcript:ORGLA01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 3A [Source:Projected from Arabidopsis thaliana (AT4G11420) TAIR;Acc:AT4G11420] MATFAKPENALKRAEELIHVGQKQAALQALHDLITSKRYRSWQKPLERIMMKYVELCVDLRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMQLSNEKAEQARNQAQALEDALDVEDLEADKRPEDLMLSYVSGEKGKDRSDREHVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHKAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYLDTRVEQLKIATELSLWQEAFRSVEDIHGLMSMVKKTPKPSVLVVYYAKLTEIFWISDCHLYHAYAWLKLFYLQKSYNKNLSQKDLQLIASSVLLAALAVSPYDHKYGASHLELENEKDRNLRIANLVNFSLDSKRENREVPSRASLFSELAAKGVIACASQDVKDLYNLLEHDFLPLDLVSKAQPLLSKISKIGGKLSSAPSVPEVFLSQYLPALEKLTTLRVLQQASQIFQSVKIDMLSRMIPFFDFSVVEKISVDAVKHNFVAMKVNHLSGAVHFGKMDIESDCLSNHLSVLADSLNKARSFIHPPVKKPSKLGENLTSLAAVVENEHKRLLARKSIIEKRKEDLERQILEKEKEEEKKRLSVLKKSAEDERIRLLNDVKLREQERIRRQLVEKEKIEAEELLQKQIKEIAKRGGKKPVLQGEVTKEAVMELAMNEQFKERQEMEKKLQKTGKQMDYLERAKRQEEAPLIEQAFQKRLEVEKILHEQEQLREIELSKQHHAGDLLEKYRLSRMLEHKNIFQERIVQRREAEFSRLKKERDERTSQLISSRKRERDTVRKLMYYLNLEEQRLQRLREEEEARKREEEERRKREETERKAKLDAIAAKQLQRERELEEKKEKQRMEALMGRGAGAAEPARTPDAAPVAQPAQPVAAPAAAAAAAAPAAGKYVPKFKRGGDGGSSAGGQRPAVAPEQDRWGSRDDRPRPDMRPLRQEAPPARQDAAPPARQDGPPGTWRPSRYSSSSSSSTWSSRRN >ORGLA01G0012500.1 pep chromosome:AGI1.1:1:862854:863711:-1 gene:ORGLA01G0012500 transcript:ORGLA01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKFLQLLEEKKKRILEKKEAPLKWEQKLEAAAKAKADAEAKEKKLKSRKHRRRGHSSSDSESDSDSDGDRKHRKRKDRKRHRKHGHSDSDEAKRHKRRSKRRSSDSSDDTDSDEYDSGSEEENRRKKRSHRRKHRRHSSRSDSDASDYSSDDEERRSSKKDHSSSRSHRHHHHRSSGDDSGSESEERGRSRHKKHHISSDEDATSDSNNHKHRRSRSLEESSDDAAAGEYEKVRNGKRPHKTGHRRHHHRHHHHHHDHRSSSEPNDKKRQDGQKALEGGNVD >ORGLA01G0012400.1 pep chromosome:AGI1.1:1:859840:861840:1 gene:ORGLA01G0012400 transcript:ORGLA01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSAPRRLHHLRPHHLSTAAALAAAPPTPTEWTTAPVASVGAATADASLFHVSLDLSSRADLLASHVAAGQFLPFRLPAAPYPIFLAISSSPPAPGLASSFDFLVKRLPGTPSACLCDLRPGDLVHVGGSVVGRGFEVGRIADARDVLVFATGSGISPIRSLIESGFGENENIDVKLFYGVRNLQRMAYQERFTNWESSGIKIIPVLSRPDDQWTGERGYVQNAFSRMKKVVNPSSMGAILCGHKQMSEEITRALVADGVPKDRILTNF >ORGLA01G0012300.1 pep chromosome:AGI1.1:1:854361:858558:-1 gene:ORGLA01G0012300 transcript:ORGLA01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSASLLPPPSTFAPVLSPLRKPPPQHLAIRGSPHRRRGRRLSLAASSAASPDLEKEPSPSPSPSPQEKSPGDLSAVAESVKVLKEAAKTRKVPSPELLAALAKIKKAKLDTSTFFETLGGTQSPGRTWMLIFTAKGRLEKGQYFPVTAVQRFDAAGKRIENGVYLGPVGSLTFEGRLSWKKKILAFIFERVRIKVGPFGPLEIGLGGGDDGREPSTKDPFFVWFYVDEEIAVAQGRGGGVAYWCRCKRVP >ORGLA01G0012200.1 pep chromosome:AGI1.1:1:849315:852321:-1 gene:ORGLA01G0012200 transcript:ORGLA01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alanine-tRNA ligases;nucleic acid binding;ligases, forming aminoacyl-tRNA and related compounds;nucleotide binding;ATP binding [Source:Projected from Arabidopsis thaliana (AT3G16565) TAIR;Acc:AT3G16565] MGTEAAAPARAMGPTKLAYFDDMWALSSTATVVSLLQEEGGRRAVLVDSTVFYPQGGGQPADTGVISAGGGARFIVDDVRMKDGVVVFHYGRFEDAGDGCNSGFSEGQSVSLEVDAERRNLNSRLHSAGHLIDICMSNIGLSHFDPGKGHHFPDGPFVEYKGVIPPDQLQDKKNELEKEANELITKGAKVLAYILPYEEAAQLCGGALPSYISKDSTPRIVKFGDYPGGPCGGTHVADISIINNIKVTNIRVKKGLTKVSYSVSL >ORGLA01G0012100.1 pep chromosome:AGI1.1:1:840126:848773:-1 gene:ORGLA01G0012100 transcript:ORGLA01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT4G04350) TAIR;Acc:AT4G04350] MAVAMMQTPLQLRLQPRPPAVVAARHRRRLQSGFPPPNPRRGGGWCRCCANADAGKAQAQARRAYPYDEIEPRWQRHWEEHRTFRTPDIGHGLDTSKPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMQGFNVLHPMGWDAFGLPAEQYAIETGTHPKITTERNINRFRSQLKSLGFSYDWDREISTTEPTYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVIDGVSERGGHPVIRKPMRQWMLRITSYADRLLEDLDELDWPESIKEMQRNWIGRSEGAELEFSAVDKEGHDLGANLLVYTTRPDTIFGATYVVLAPEHSLLSSLISEEQRVHVEEYIELTARKSELERTDLQKEKTGVFSGSYAKNPATGEIVPIWVADYVLGSYGTGAIMAVPAHDSCDHEFALKYKLPIIKVVSPLNGNCDSEEAYADDGIMINSSSSSSGLNIDGMLSKDAALKVTEWVEANGFGKKKVNYKLRDWLFARQRYWGEPFPVIYRDDTNEMLPLQENQLPLTLPELDDFTPTGTGEPPLTKATDWVKTVEPLSGKPARRETSTMPQWAGSCWYYLRFMDPQNASMLVDKAKESYWGPVDIYVGGAEHSVLHLLYARFWHKVLYDIGVVSTKEPFKCLINQGLILGEVEYTAYRDNKGRWVSADSNSSLIDCYQEKVPADKVTKVGDHYVLKDDANIRLNARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLGRTWRLVVGTPLPDGSYGVGTTVTDEEPTLDQLRVLHKCIARVTEEIQETRFNTAISAMMEFVNAAYKWDTQPKSVIESFILLLSPFAPHMAEELWFRLGNSRSLAHEQFPEGKSEYLKESKLVLPVQINGKTRGTILVDKECSEDDAFQIAASDEKLSKYLTGKGIRKRIYVPGRILNVIPR >ORGLA01G0012000.1 pep chromosome:AGI1.1:1:837578:838518:-1 gene:ORGLA01G0012000 transcript:ORGLA01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTNVGENWKKGLAKVLDHLDSIREKLSDLDNQQEAHHIAIQRLERAGRERHQHPGDRDADGDRDSDGRHDTTSSVGQSSAQVASRTVCRLTPPEMDERRRKGLCFNCDDPYTRVDEKSALGRWCRNCVGAD >ORGLA01G0011900.1 pep chromosome:AGI1.1:1:831248:831631:-1 gene:ORGLA01G0011900 transcript:ORGLA01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVPANSGEGGGYGEHQWSKGSTVVAAAXPGEAGSGGAPCGWRRPNRAATPGGGNGGTPASDWIGKQKGMGVLGTSNPFLPSISEDLQRMRRILKLCEWRKIRVSGIFPPVTMIESAGVGADLRR >ORGLA01G0011800.1 pep chromosome:AGI1.1:1:814963:821276:1 gene:ORGLA01G0011800 transcript:ORGLA01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRESSTVAAAAPFPPLDPAGGEAAAAASPPPDRAGGEPAVAADSTRSVLWPLPRFGAAAVARHGSSWVCETPTESMIHSGGRRRRHRRGSKGPYERGGDGEVDGSAREAKGCMDAAATDAQAGSTLGSGCCAAMAHAWMEEFSGDSTLSKHKPIERDXHDFAXEGILPLXEGGRTTKKNQQEPPPHQLLQQKNHQQCQQQADHTPKSMVQKPEQNTHEEVKEVHLKQNVPAPSSYLHKSSNTQEEVKEVHVKENVPAPSSYLLKSSGLKSLFVKASGVSVSIQVDVSNTKVDYLINSACEKLGVKAQDTYAVLCGKVLDYDKSLSEYLLYQNSTVEIRYRGRAGQLNWDQKFNVNDTVLYYDVNLDPALQNAGIQAFQYARFFSDFSSYNIQKVMKQLMILDNVVHRHPAHLQANIQAMAAYASHASLRASMGPYAYAWKGSAQLVPELNNVLIYRPPGKPLQWKNPLYTDNAKGCLHFANNFLKHARNRFPEEQIEAAFALHMENFLPKILQGLAELADRTPNRQYINDMKQQSGDILIGK >ORGLA01G0011700.1 pep chromosome:AGI1.1:1:799326:800624:1 gene:ORGLA01G0011700 transcript:ORGLA01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHPRPPPCVLLERVVRFVEAAGLTSGGASRDPDVAAIIEVGGWSWSTVQMMGSVEEMERLMAPSVKPVAFLGDPPQVSSLHMLLPPPARLDLLGIGEISSTHKGIVVIYANKCYLLYDASNNHLTAIPPIPDSVTSAPIFLPLGRGAVLVSAAGADDDDYIFADIVTSPSTRGINPALPKATIFARVKNGGEWIQSSIPHLPLTPHLCGPTYFFHIDTAFSFAGTIFWVDLLKGILICDDILSSPQGPRLAFVPLPHCIDAHDKPRHCFSPNEHRSIGRVSGAIKFLALIGYCEASCPENEVKLKTWSLSPDFKHWKEETTLTVGDIWASESFNQMGLPHVLPFSPVLSVNEDGIMYAVLNDVKKEPIPQLNEFGDSLGMQLVPKANYMIRFDMLQNKVLSSTKISKKATSRWLTNTFLATDFSAYLQDRQ >ORGLA01G0011600.1 pep chromosome:AGI1.1:1:795255:796899:-1 gene:ORGLA01G0011600 transcript:ORGLA01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAANALDGHNWMFPLAFGFFDSETKENWVWFMEQLANAIGPVPKLAIHTDACKGLETAVNKVFPWAEQRECMRHLMENMKKLFHGSIYARKMWPAAKTYMLEKHDKWMDEVTTASPEVKQWLKEYHNLLWARSKFDCSIKCDYINNNLAESWNSWIKDLKDLPVDALADAIREKTLILFEKRRRISTALNGVILPVVIHQLNEASKGLGHLKVTKGNPDQAEVTETYKDEEVTRHVVYLDKWTCTCREWQVTGKPCPHALALITTIRQPNMEKYVDTAYSVHRFQAAYASVIPNITDKKQWPKVDKGFKLLPPVPKKRGVGRQRKNRIPSALEKGKEKATRQVQCPDCQRFGHRKGSVRCELTGTRKRKKNNKTKTNVGRKKAKGAIDAQAAAVDVQANTPRTRAAAVDVQANTPRTRAAAAKEATAESQVHATQTSSPGPITRRRLALEVGQSSVEVALVPVQVQAAVQPTKKLTPRKKLASKPKRASPPKLLK >ORGLA01G0011500.1 pep chromosome:AGI1.1:1:790115:791489:-1 gene:ORGLA01G0011500 transcript:ORGLA01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEMEWRMAPAMKPVPFLADPPQVSSLQMLLPPEYSHLAGIGEISSIHNGIVVIYAHRYYLLYDASNNHLTAIPPLPDSLCSPTFLPLGRTAVVVTAGDDDDDYILADIVTSSTTGLPDAKLFVWSSSSEWAETPPVRLPLPPHLCGPIYFFHVDTAFSFQGSIFWVDLLKGILICDHVSSPEGPELVFVPLPHCRDVHGKPRHCFSPNEHRSIGCVSGAIKFVALISYGEEASCPENEVKLKIWALSPDFKHWKEETTLTVGDIWASESFNEIGLPHVMPIPILSVNEDGIMYAVLNDIFQEPIPDHVNEFGQVLGDRLVAKANYMIGFDILQNKVLSFTKISQHGELRWLTPYLIATDFSSYLQDHTRGEASAKDEQQLED >ORGLA01G0011400.1 pep chromosome:AGI1.1:1:783010:786059:-1 gene:ORGLA01G0011400 transcript:ORGLA01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGKAGYSYGGHHHHHQDAKLLKNLSRVEPRRFGLGLVAGFLIVTCAYFSTAKFDAIHIAMISSPAKNAAGFMNASSDGSNQQQLDLDRDAMSREGSKAQVLDTDGDDKISSLGPDLGHNASVVERKKRDETFAKDSGDASVSASTDEALAKDDDAIVGAVLPPLSSEEPTNSTQDSVLEDEELKVQETAPVATNPSPEKSSNNGSSPSVVPSDPATLPVQQIPPTQEAKDPPAQQIPAVPEAKVPPVQQIPTFPVVKTDSEAAPRRKEWKPLCDLWSNRRIDWCELDGDVRVAGANGTVSLVAPPGPADERTFRAESWHIKPYPRKADPNAMRHVRVLTVQSLPAPAASAAAPACTERHDVPGLVFSDRGYTGNYFHAYTDVILPLFLTARQYSGEVKLLVSDFQMWWLGKFLPVFKAISNYDLINLDDDRRVHCFRHVQVGLTCHADFSIDPSRAPNGYTMVDFTRFMRATYGLPRDAPFPASGEHQPRRPWRPRLLVIARARTRRFVNADEIVRGAERAGFEVVVSEGEHEVAPFAELANTCDAMVGVHGAGLTNMVFLPTGGVVIQVVPLGGLEFVAGYFRGPSRDMGLRYLEYRITPEESTLIDQYPRDHPIFTDPDGVKSKGWNSLKEAYLDKQDVRLDMKRFRPILKKAIAHLRKNSGNNNTTHN >ORGLA01G0011300.1 pep chromosome:AGI1.1:1:771855:774540:-1 gene:ORGLA01G0011300 transcript:ORGLA01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEVKPAKHGLRRHLNAGFVAGFLLVLLTYVIVSQQFAMETPTAVTSRAPRIDENESVTKARVETEKTEQEWQRPKDTSGAVSAEGFSKRDSTNAKPIENGKVVCSSNGFYSDTCDVDGDVRINGTALSVTLVPASRRSERRREWKIQPYPRRTVSGIAEVTVTRQQDQAAAPACTVTHGVPGVVFALGGLTGNYWHDFSDVLVPLFVASRRYGGEVQFLVSNIQPWWLGKYEAVVRRLSRYDAVDLDRDTEVRCFRRVTVGLRMHKEFSVKPELAPGGQRLTMADFAAFLRDTYALPRAAAAGAKRPRLVVIRRGHYRKIVNMDEVVRAAEAAGFEASVMSPRFDEAVEEVARRVNAFDAMVGVHGAGLTNAVFLPAGAVVIQVVPYGRLERMARADFGEPVADMGLRYMEYSVAADESTLLEMLGPEHQVVKDPEAVHRSGWDKVAEYYLGKQDVRINVARFAATLAAAFDHLRPSHS >ORGLA01G0011200.1 pep chromosome:AGI1.1:1:766052:768392:-1 gene:ORGLA01G0011200 transcript:ORGLA01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGKLMKSLKGAAQKYLGVGFLLGFFLVLLTYFTVSEQFAIAAPNAIRKTSPGHASPTIPPPVEEKRPQLPPIIEQRQAPKAEHEHAAVVQEKTPSAEEIEIQKETEEDHTKEKPTDDVTTTVEESAPAKKPACDIQGPWASDVCSIDGDVRIHGAAHDVVIPPPIEGGGSNPNPREWRVVPYSRKHMGGLKEVAVREVASAADAPACDVRSPVPALVFAMGGLTGNYWHDFSDVLIPLYLQARRFDGEVQLVVENIQMWYVGKYKRVLDRLSRHDIVDMDRDDKVRCFPGAVVGIRMHKEFSIDPARDPTGHSMPEFTKFLRDTFALPRDAPVSLVDSAAAVRPRLMIISRRHPRKLMNVEEVVRVAERIGFEVVIGDPPFNVDVGEFAREVNRADVLMGVHGAGLTNSVFLPTGAVLIQVVPYGKMEHIGKVDFGDPAEDMRLKYMAYSAGVEESTLVETLGRDHPAVRDPESVHRSGWGKVAEYYLGKQDIRLDLARFEPLLRDAMDYLKHQ >ORGLA01G0011100.1 pep chromosome:AGI1.1:1:759768:762769:-1 gene:ORGLA01G0011100 transcript:ORGLA01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAARERKPRHSNGRVAAAAAAAKNLSKVEPGRHLAVVRLFPACLLALLICLCVVKFFSSLSSQSQRIGTRSRMVSSWEGSASTNVPRIPVAPLIMGRVDEDISTRSPELGSVFKNENFKNGTDSENKSRSERQVAISTENDPPPGKEESLTKSPQTAVSESEAPKPRGKISCDDKSKDEGFPYARPIVCHMSGDVRVSPATSSVILTMPSQQAEAAPQRIRPYARRDDFLLPLVREVAITSAASEGDAPSCNVSHGIPAVIFSIGGYTGNFFHDMADVLVPLYLTTFHFKGKVQLFVANYKQWWIQKYKPVLRRLSHRAVVDFDSDGDVHCFDHVIVGLVRDRDLILGQHPTRNPKGYTMVDFTRFLRHAYGLRRDKPMVLGETSGKKPRMLIISRRRTRKLLNLRQVAAMARELGFEVVVSEAGVGGGSGGVKRFASAVNSCDVLVGVHGAGLTNQAFLPRGGVVVQIVPWGRMEWMATNFYGAPAAAMELRYVEYHVAAEESSLARRYPREHAVFRDPMAIHGQGWKALADIVMTQDVKLNLRRFRPTLLRVLDLLQD >ORGLA01G0011000.1 pep chromosome:AGI1.1:1:748684:750980:-1 gene:ORGLA01G0011000 transcript:ORGLA01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGSSGRLHALRFESQRFRLLTIVVGCFLISLTFLLSSRPDATVFSTLNPRESLEDARMPAMKMLHRSSSAGLTRDFHVDILPQQGDRDTHAHLQQSVDQTAEKTEWVKDTVIIQEVAGERSEEAEEAAERVTADSGDEAAPAVSSSFTVVEEKKKQQLPVKLQDAGEPARTPEQTVTSHSVDRRPLCDFSDFRTDICDFSGDVRMAANTSEFVVVVDPAAAAAASHKVRPYPRKGDATCMGRVTEITVRTTGDAAGAPRCTRAHGVPAVAFSIGGYTGNIFHDFSDVIVPLYNTVQRYRGGVQLVMANVASWWLVKYDKLLRELSRHAPIDLAKAGAAGEVHCFPSAVVSLRAHRELIIERERSLDGLATPDFTRFLRRALSLPRDAPTRLGDGTGRRPRLLVISRGRTRLLLNLDAVVRAAEEVGFEVVVNESDVGNSIEQVGKLINSCDALLGVHGAGLTNMMFLPPGATMVQVVPWGGLQWIARMDYGEPAAAMGLNYIQYEIAVAESSLKDKYPAGDEIFTNPTGLHKRGFAFMKQTLMDGQDITIDVTRFRPVLQQALHNLAAK >ORGLA01G0010900.1 pep chromosome:AGI1.1:1:739220:744156:1 gene:ORGLA01G0010900 transcript:ORGLA01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGHHKPRREYNGRECNGVQSVNNFGDIDPWTAWAYKPRTVSLLLMGTCFLIWASGALDPERSFSVDRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVELPERSYGTDCRIYVPDHPKSRFNNVYEILFDEFVIAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMKTVRYFDGRTYEWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLLGPWRFIQVLSLCIVFMIVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRKPVKKVGSFCWLSLAICILELLICIKFGHGLFPKSMPSWLFVAWTTVASLLMMFLLVWTWKIYRTMIRKRL >ORGLA01G0010800.1 pep chromosome:AGI1.1:1:712452:717987:1 gene:ORGLA01G0010800 transcript:ORGLA01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRDTRLLFCTTGVLLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLVLMSATLNAELFSSYFGGAPMIHIPGFTYPVRSRFLEDILEITGHRLTPYNQIDDYGQEKSWKMQKQALRRRKSQIASVVEDTVQAADLRDYSARTRDSLSCWNPDSIGFNLIENVLCHICQKERAGAVLVFMTGWDDINALKEQLQANPLLGDPSKVLLLACHGSMASSEQKLIFDRPEPGVRKIVLATNLAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASARQRRGRAGRVQPGECYHLYPQCVYEAFADYQLPELLRTPLQSLCLQIKSLRLGSISEFLSRALQSPESLSVENAIEYLKVIGAFDRNEELTILGKHLSMLPVEPKLGKMLIFGAIFNCLDPILTIVSGLSVRDPFLTPFDKKDLAESAKLQFSCRDYSDHLALVRAYEGWREAERDRNGYDYCWKNFLSVQTLKAIDSLRRQFLFLLRDTGLVDENMTACNKWSRDENLVRAVICAGLYPGVSSVVNKEKSISLKTMEDGQVMLYSSSVNGKETKIPFPWLVFNEKVKVNSVFLRDSTAISDSILLLFGGNIKQGGLDGHLKMLGGYLEFFMSRDLASTYLSLKSELDNLIHCKLQNPRMDIQTSEELLSAIRLLVTEDPCNGRFVYGRQEQRSKKAKTMFSAAPMSHGGGGNGGDNAKNQLQTLLTRAGHDNPSYKTKQIKNSLFRSTVEFNGMQFVGQPCANKKLAEKDAAGEALNWLTGGAPSDSRDPQDMDHMSMLQKPPRRKRHHHRRG >ORGLA01G0010700.1 pep chromosome:AGI1.1:1:704406:707503:1 gene:ORGLA01G0010700 transcript:ORGLA01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:I1NJR7] MAMLTAKLTSPAATTSWLPGGGRRSAPPRRATVIRAAAVSYADELVSTAKSVASPGRGILAIDESNATCGKRLASIGLDNTEVNRQAYRQLLLTTAGLGEYISGAILFEETLYQSTTDGKKFVDCLKDQNIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRCAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGDHAIERTLEVAEKVWSEVFFYLAQNNVLFEGILLKPSMVTPGAEHKQKATPEAIAKHTLTMLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQEPNPWHVSFSYARALQNSVLKTWQGRPENVEAAQKALLVRAKANSLAQLGRYTGEGESDEAKKGMFQKGYTY >ORGLA01G0010600.1 pep chromosome:AGI1.1:1:698923:700700:1 gene:ORGLA01G0010600 transcript:ORGLA01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:I1NJR6] MGRDQAAAAVMHEKVKLFIGVLALQFLLAGFHIVSRAALNMGISKIVFIVYRNLISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFAMAAVLRLEQVDLGKRHGVAKVVGTVVSIGGATVITLYKGLPLFNHNLNIKSLSSSSLILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAAFTEEDLSRWKVNSGSELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAIILGDQLYSGGIIGAVLIVIGLYFVLWGKSEEKKSKNNNLQDQPVQGGGDDIRRHLLGQEDASRKDEEAAVTDELA >ORGLA01G0010500.1 pep chromosome:AGI1.1:1:690486:695626:-1 gene:ORGLA01G0010500 transcript:ORGLA01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFRVSRRGRRFYPPPPPPPPAAAATAADRAAAPPEGSPLPPPLPWDVSALGYLFLSIVVYLKKRAIAIPGAAARSDVYDRDGVGSDDLDLEPSFALNLFPDGYSISDPGKRLSVSAKGMLLFLIGDDPQKRPYSKASRALFSDIEHGCLPQVILGDMPCKFRNGTIVCEVRDYRPFLSNAGDSSGDDFPIVNRVSLRLGTERVVKDLASVVNASWTYHDQLIAESTILRALQPRLNLDPTPCLERLQNSVKKIDLGLNKGRQKIKATSIDNTSADPPENCKPKEFITCEGAVVCIENEAPEGLPRGILNGLSMDCPLALQIKKAQSAAGSDPDTAIQYSSTLMNSSVSCNIKQSASCTPAPDLLLQSKQAQIAILQVDHENEQPQKETVQLQNRKEHSNLPREIHDCQSCRPSNKHSLLSSESTKCHFQKSIRSSNNKGLNLVSPNQRPVKVNLDQTTGSKDMRVQQQKSLSAFTADCPHPSSETNNSCVEKIPKEVNYSTVRLKDRNLPSTVGPDNYCVEELKDRTTPSVISCSASSRKAPSKPPKVVTEPQPSSKRKVLGVYTYLYQEIDSNEKRQKKADTQSNTPCENVSPGEPDVTDGISSELGISPDIESCIGDPSYTIEPDIEKILSEVILTSKRHGLNERAAKLDGSERSWPLPPSKFFLSENTADIAYTQNEIMSNYPTGRTMNTRKIRRLSFHPVQYLCRGVVDECHYTLRLLESEAPDDHQVAVETIYGDEHHHANKLVDQFISLMRRDGYTLCNDIRESNPFQQYEDTPQLGYLTGGYPQYPIARTMVINGSNNIGCTFHNGPPHVHANTQQQWMQAQQYPTLPSVQTNFWNPYHPGQQHYTGGILNHGGFYANRAFSMDMDQHLHVQQRQGVGWFPNGVFSMDLNQYQPVRQRQGVGQCWHCRHDIPGFFSERNYATNASTGSYNQWRQISTPLGGKVYQWDLPAFDRRVCGCPPVNYAGSSTPLSTLHPVGSPPMSSQSFGSNDGSLTSTPVQLQAAPVSIPRRSALAAGRDVAGASPL >ORGLA01G0010400.1 pep chromosome:AGI1.1:1:686486:689565:1 gene:ORGLA01G0010400 transcript:ORGLA01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFLAAAIVVFSLRLNLDTTASAWEDKDFFKSCPPSRCRKHGPEIRFPFQLESNNTTPSSCGLPCMKLSCSGQDTILLDTNKYYLGRPYKVTAIDYKQGLLTVIPLADEENSSSSPCPLLKSIRPSVITGNPYYDIGFENPCRLYDAPYYAALVSCSTEFALASVPGPATDNDYIAGPISCLSNQTHFSYLVAVYVTMSFLPLDCEVISDGLIPIPRFYYSDYSSSTFRKSAERILNFSDTTIWWFNDDCSHPAQCEEQGGRCAFSSQRNQTFCMRPGSHVKVIAATSSVAAFVVLLLMVATALYLSLKTKYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKISRRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSQDSDTSQELVPSKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIITLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVTIGQDLELGREMTEEEKAIMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFFSADSHPVLQDSGHAGII >ORGLA01G0010300.1 pep chromosome:AGI1.1:1:683430:685746:-1 gene:ORGLA01G0010300 transcript:ORGLA01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNASRASATRRKFYFLQKSLLVSSLLAVVAADVVGAGPNQQCFPSSCGDLGNISYPFRLASDSRPCVGTLRPWYNLSCSSGRATIQINTRTYYVTSINYTGEIFSVVDATLQDDDTNGTSCPLPRSDHLPNFYYWSPYPSEGSTDSYGFVDLAAASSTWACFVNCSRAITDIMPWYRPVTCLLPNNSFVFVSFYGCVVEELQHSCRYLAMIPIDRWNLPDNSSQLQNASYTDIIGFIRKGFSVRFPYRPDQYQSPRMSATECLKDSNRYFKERISHPSILNLTRAIFWSETNSEVDCGYEVAPQKDRIFLGTIVSAIDIIKFHFVLFRLVLGSLVVFIFLAHKYWKTRITIDAVEKFLRMQQMIGPTRFAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGNVHIAVKMLTGSSSCNGDEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQAYYPSRVYKELTQRETSEISDIADMHELEKKLCIVGLWCIQMRSCDRPTMSEVIEMLEGGSDELQVPPRPFFCDDEQFPGVESYNMPSDLTAISEEHEDDDDDESICLFESYQ >ORGLA01G0010200.1 pep chromosome:AGI1.1:1:662785:664966:1 gene:ORGLA01G0010200 transcript:ORGLA01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTIIQLHGHGCTCRKLLKKQDLPAITDVIFQLSAAAVQGSRVKVIAATSSVAAFVVLLSTAATALYLSLRKRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKVKLGQGGFGSVYRGELPNGVPVAVKLLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSHDSNTSQKLLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCAREQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGKRNSDTSVESQNEVYFPEWIYEQVTTGHDLALGREMTQEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGTLQSLQMPPKPFFSANSHPKL >ORGLA01G0010100.1 pep chromosome:AGI1.1:1:658449:660850:-1 gene:ORGLA01G0010100 transcript:ORGLA01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISSSITYTLQKALLLITSLLGVVAADVGGGQDNNLHYCPPFSCGHLHNISYPFRLQGDTRDCGIGPRPWYDLSCNNGKATIQINTRTYYVSSIDYTDQSFLAIDATLQDDSNSSNCPLPGADLHPPNIDWPRPRWEISNDSFVDLDTDSGSIWACFVNCSKPIIADANMPRYFRDHISGASIRNITRAIFWSDIHFASCRATDHVYTTKLMSFVVIIVSVIAIIKLHFGMLELPSLNHYSCNVLFELLLVPLVVFIFLAHKYWKTRITIDAVEKFLRMQQMIGPTRYAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGNVHIAVKMLTGSSSCNGDEFISEVSTIGRIHHVNVVRLIGFCSEEMRRALVYEYMPRGSLDKYIFSVEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPVSAARGTIGYIAPEMISRSFGTISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQAYYPSRVYKQLTRRETCEISDIVDMHELEKKLCVVGLWCIQMRSSDRPTMSEVIEMLEGGSDDLQVPPKPFFCDDEQPLGVEPYHLSSDLTAISEAEEDDDDESISLFQSFSYQ >ORGLA01G0010000.1 pep chromosome:AGI1.1:1:653005:657341:-1 gene:ORGLA01G0010000 transcript:ORGLA01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSDDIQHPTKEEKESSKKSSSMVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDSTKMPVNTRTTDFGGDPEIKNAIISHEKVGSTQYASVGSSSGAGKKLKEDQSSRATSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRACNFKDSSVTWIPLSAAENQNLIKIPSDVRLTSWYQGFCLLDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQLAAFGKLETGAIRIGSKVLISPCGEVATVKSIERDSNSCDIARAGDNVAVSLQGIDGSKLIAGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVEEFSKCRALGRAFLRSCGSTIAVGVVTRVLGQDEN >ORGLA01G0009900.1 pep chromosome:AGI1.1:1:651811:652044:1 gene:ORGLA01G0009900 transcript:ORGLA01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding STMARMSLRPRGRRPREACGDDRLSALPGDLLLLVLRRLYTRTALATGMLSRRWAHLPHELPALDFRVSDILRRAITG >ORGLA01G0009800.1 pep chromosome:AGI1.1:1:651493:651771:1 gene:ORGLA01G0009800 transcript:ORGLA01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRRRTLLKVIVLGDSGLGKMSLMNQYPLPP >ORGLA01G0009700.1 pep chromosome:AGI1.1:1:646565:649544:1 gene:ORGLA01G0009700 transcript:ORGLA01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFLAIYLLLAFLSHGTCSYMATTASGWDDHDFFRHCPPSRCSKDGPEIRFPHRLESSNTSSACGASCARLACSGQDTMLHHPILGPCKVTSIDYKEAVINIIHLLPFPCPLQKLMVDSLPPDDYHGCNLYRRIPAKIVSCSKEFTPSGTSPVPYELDHLQNAAENIFGPISCLSGTGQYFSYLVHAQLYMYLLPLDCRIVSRGSIPIPGSDSCSGPTFKEKAEKIINFAETTVSWGSFQDGVLDNCMACERQKQLCAFSSRRNQTFCTSHGNHHATSSVAAFVVFSFIMATALYLSLKSRYDEEVHLKVEMFLRTYGTSKPTRYSFSDVKKITRRFKEQLGQGGFGSVYKGRLPNGVPVAVKMIEHSTGNGEEFINEVATIGQIHHINIARLLGFCSEGTRHILIYEFMPNESLEKCIFLHDPNTSQELLAPKKMLDVALGIARGMEYLHQGCNQRILHFDTKPNNILLDYNFNPKISDFGLAKLCARDQSIVTLTKARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSIENQNEVYFPEWIYEKVITEQDFVLSREMTEEDRL >ORGLA01G0009600.1 pep chromosome:AGI1.1:1:641965:644361:-1 gene:ORGLA01G0009600 transcript:ORGLA01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALSTVLYVLALVVADADHHVVRVQGRRHQWQPFSCGHLQNVSYPFRRRGDPRSRRCGFRSYELDCSSDDGKATIRINTGKYYVSSIDYTASIFWVVDANLQDDANSSCPLPRSDQLPYVGLGIPGSHDSWDLGLDHRITWVGFVNCSQELITNSSSKYLPVYHPVDCLTTASSFVHYMLPFFGSLVPSVEDIEPSCGYLAMTPIGGGWNSVNLPEYTSYAEVVKSMRGGFAVKFPTDGGPWLNWFGLIKKCLNKSVSLADSHDNPLPRVGIKDQIIDILSIDLRFWGCMIGLSREYYLDMRLSISDMIRGGDHSLYYKLFILYTLCLVKWIAVVCRFVFAPLAVMIFLTHKYWKTRIAIDAVEKFLRMQEMLGPKRYAYTDIIAITSHFRDKLGQGGYGSVYKGVLHPGDIHVAIKMLDGKSNCNGEDFISEVATIGRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSSERSFSWDKLNEIALGIAKGINYLHQGCDMQILHFDIKPQNILLDDNFVPKVADFGLAKLYPRDKSFVSDRALRGTVGYIAPEMVSRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNANSNASRAYYPAWVYNQLIAYQQVDEISNIADMHELERKLCLVGLWCIQMRSHDRPTMSEAIEMLEGDVDALQVPPRPFFCDGDGIGNGMPPPQVMDSYFHSSELTAISEEDDGIAELASS >ORGLA01G0009500.1 pep chromosome:AGI1.1:1:637663:640761:1 gene:ORGLA01G0009500 transcript:ORGLA01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTNLLITVLLLLAPLKYKSDVATASDDEDFFKTCSSHRCSKHGPEIRYPFRLSTQPPSCGAPGMQLSCSGQDTILDHPVLGSCKVTMIYYRHVIMNAIPLVDSSPHCLLHKFISVNQSTAVYRPHTLKAASLVGCSRDSIDTNQYNSIVGPTSCLSLANNASQFWYLAYPYTYMSILPLGCTIVSKDIPMPYSYDKNGPNFDISIFTETAKRVISTGETVFTWYSSNVTSICQQCEHEGRRCGFSSQRDQAFCQHHSPRVTIIAVTSSVGTFIVLSLIVATALYISLKSRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKDKLGHGAFGTVYKGELLNGVPVAVKMLENSVGEGQEFINEVATIGRIHHANIVRLLGFCSEGTRQALIYEFMPNESLEKYIFPHGSNISRELLVPDKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAISYKSDVYSFGMLVLEMVSGRRNTEPTVENQNEFYFPEWIYERVINGQDLVLTMETTQGEKEMVRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFISSQKQLVI >ORGLA01G0009400.1 pep chromosome:AGI1.1:1:635947:637073:-1 gene:ORGLA01G0009400 transcript:ORGLA01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHGVFHCSTTAILRVISVLCLLAVLVPDAGGRHHRHGCPPFTCGNLSSVSSPFRRRGDPSECGVLSYELNCADDKATIQIDNGTYYVTGINYTDSTFLIVDADISDSPSSCPLPRWNSLREPSFQRKSHRTIELAPAIWTRIASFVNCSQEIKNSSWIMYMPVACLSTSRSFVYVLTGQQSALIQTLEPSCGYLAMTPLGGRDSVAPVNESLSLSYADVVKSMRKGFRVQFPFRDRTVGGSFKQCLMDSIPVSTSTGKTDWFGTILSADTNFLLCISVDQIPSRYFMPLGIMLYVIAYALQIRKMIAGFC >ORGLA01G0009300.1 pep chromosome:AGI1.1:1:620896:624085:1 gene:ORGLA01G0009300 transcript:ORGLA01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKLLFTVLLLSLLNYESYVAAALEDDEDFFKTCSSQRCSKHGPEIRFPFRLSTQLSSCGAPGMHLSCSGQDTILNHPVLGPCKVTEISYSYATMNVIPPVDSPPHCPLQKLMSKNQSTSVYKPQGPQPHGASLVVCSKDPIPANQYNIVGPISCLSHNNNQFWYLADPYRYMHVLPLGCTVVSKGIPMPYSFDKRGRINWGTSNFKETANRVITDGETVFMWYSTNITSICQQCEDEGRPCGFSSQSGQAFCHHHGVQVTLVAATSSVATFVILSLVVATALYISLKSRYDKEIHLKVEMFLKTYGTSKPTRYTFSDVKKMARRFKNKLGHGGFGSVYKGELPNGVPVAVKMLENSLGEGEEFINEVATIGRIHHANIVRLLGFCSEGTQRALIYEFMPNESLEKYIFSNGSNISREFLVPEKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRSFGAISYKSDVYSFGMLVLEMVSGRRNSDPTIENQNEFYFPEWIYERVITGQDLVLTMETTQGEKETVRQLAIVALWCIQWNPKSRPSMTKVVNMLTGRLQNLQVPPKPFISSENHLVM >ORGLA01G0009200.1 pep chromosome:AGI1.1:1:612046:615026:1 gene:ORGLA01G0009200 transcript:ORGLA01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTILATAFLLSVLSHGSYIAMASSSWDDQDFFKHCPPSRCSKHGPEIRFPHRLQSSNTPSSCGSSHAKLICSGQDTILHHPFLGPCKVTAIDYKKAVMKIIPFGGSSSPCLLHKFNSTNRSADVNDQNQLYLTEPGRIVRCSKEFTTSRASMIDGYNTVISDKVVRLIPCLRDTTSHFSYLVSTRLYLYALPLDCMVVSKGNIPIPNPYTAGLTFKQMAERIINSAEITLDLLLGSIPYNCTWCEQQGQRCAFSSQRNQTFCMHHGSRVKVIAATSVAAFVAVSLVVATVLYLSLKQRYNEEVHLKVEMFLRTYGTSKPTRYTFSQVKKITRRFKEKVGQGGFGTVYKGKLLNGVPVAVKMLENRTGDGEDFITEVATIGRIHHANIIHLLGFCSEGTRRALIYEFMPNESLEKYIFLHDHNTPQELLSPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCPRDQSIVTMTKARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRSWDPSIKNQNEVYFPEWIYEKVITGQEFVLSREMTEEEKQMVRQLALVALWCIQWNPRNRPSMTKVVNMITGRLQNIQVPPKPFVSYESHPMP >ORGLA01G0009100.1 pep chromosome:AGI1.1:1:609365:611622:-1 gene:ORGLA01G0009100 transcript:ORGLA01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASLCFHLCCSCSSCRRCRGAARVLSFLLWTSPEHFSSFPPARXSTKMRCLDCRDSKATIRINTGTYYVTSVNYTTSVFWVVDASLKDTNSSCPLPRSDQLPYVWRGIQGSHGGWDLTLDPVATCVSFVNCSQAVRSNSVYVPVDCLSTSSSFVYMFVSWMMPPFEGFPIIENLETSCRYLAMIPLGGRDSPLPHNASFSDIVRSMRNGFAVQFPLIHRWSRVGHIKDCLMGSIRGFHKEPLSNQTIKDQIVDILFIDFSFWSCIIRGVGMKDYFNMPQYMMGMLRGKIEIYGGFIVQFALFVFKWIAVLCRFVIAPLTLLTFLAFKYWKTRIKIDAVEKFLRMQLMLGPTRYAYTDIIAMTSHFRDKLGQGGYGSVFKGVILPGDVHVAIKMLANYNCNGEEFISEVSTIGSIHHVNVVRLVAYCAEEMRRALVYEYMPHGSLDRFIFSPDKSLSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPQDNSFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSKQDMSSSSQSYYPSWVYNQLVQQKMGEIANAFNMHELEKKLCVVGLHCIQMKPPDRPTMSEVIEMLEGDVDGLQLPSRPFFCDDEPLPLLVDSCRFSSELTEISEEDE >ORGLA01G0009000.1 pep chromosome:AGI1.1:1:606970:608114:-1 gene:ORGLA01G0009000 transcript:ORGLA01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCVSAATPHLKSDCSDEGHLRELSLFEICCRGVGLFKAKCILTASVDKVIRWILCSDLEVSRFFLPLIISMTTMPKL >ORGLA01G0008900.1 pep chromosome:AGI1.1:1:597884:599617:-1 gene:ORGLA01G0008900 transcript:ORGLA01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLEPSCGYLAMIPVSWTPASGKKYQYFAELMRKGFSVRFPFVLDHPTAFGLIKQCLNRSICGFREEPFSTTAHVKNRIADIVFMDLIFWACIIGKTSQTSDYFETPLYMVEMLHGKRIFYSGFVLQFVMWTVKWIYVICRFVLAPLAVLTFLAQRYWKTRITIDAVEKFLRMQLMLGPTRYTYTDIIAMTSHFRDKLGQGGYGSVFKGVILPGDVYVAIKMLDNYNCNGEEFISEVSTIGSIHHVNVVRLVGFCAEEMRRALVYEYMPHGSLDKFIFAPEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLCPRDNNYVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSKQNMSSSTQVYYPSLVYNQLIQQEMGEITNTLNMHELERKLCVVGLHCIQVKPPDRPTMSEVIEMLEGDVDGLQLPSRPFFCDDEPLPLLVDSYRFSSELTEISEEDG >ORGLA01G0008800.1 pep chromosome:AGI1.1:1:595159:597845:1 gene:ORGLA01G0008800 transcript:ORGLA01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQMAAVSTCCTHHLLPLLLLAAIVATTTTNHAAAAATXXXXXXXXXXXXXXXXXXXGGRRLDPGTSWALDVPAGAAPGRVWARTGCTFDATGANGTCLTGDCGGALSCTGYGEPPQTLAEFSLGQADGQDLFDISLVDGFNVPMDFLPAPPPDQSPPCSKGPRCPANVTAQCPGELRAHGGCNSACRVFKQDKYCCTGNGTNTCEPTTYSLPFVRMCPDAYSYSRNDASSPGFTCPSGTNYQIIFCPPIDLTSSSPASIAVAANNRQVKKVIAWIIIASVIGSTSVLTMVMAYTSIKRRTRRRREIHEEEQELEEITLQGMPRRFTLQQLQEATDQFRDKLGEGGFGSVFLGQIGDERVAVKRLDRSGQGMREFLAEVQTIGSIHHINLVRLIGFCAEKSQRLLVYEHMPKGSLDRWIYHQQGAAMFPSVPPLDWQTRYKIITQVAKGLSYLHEECTKRIAHLDVKPQNILLDDKFNAKLSDFGLCKLIDRDKSQIDCKRRPQMSEVVKALEGTISIETNIVHDFVAVNPPQFYNLLTTLSEKKQQPT >ORGLA01G0008700.1 pep chromosome:AGI1.1:1:589299:592307:1 gene:ORGLA01G0008700 transcript:ORGLA01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGALFLPGIFQTLSILAVLGVLVTKSGATDSQEQPACVPFSCGHLEDIRYPFRLQGDPLGCGDEAYELVCRDGRAIIHINTGKYFVTDISYNESRFWVVDANLDNSSCPLPLWNSLPYFNDMGTKLYTSAVRWATFLNCSRAINNGMYMPVACLSGNTSFVYVLTTSSSYYVQNIEPSCGYLAVIPVDDHTKNVPDYASYADVVKFMRNGFPVLFPRVESPSHSPVIKACARDTFQNFKEQMSSRNIQNWTSAIIGTELQFLGCVINYYSSATQVWVTLVLVFAVEIVKCIIVLCRFILAPLTVLTFLGYKYQKTRISIDTVEKFLRMQQAHGPKRYAYTEITAITGHFREKLGQGGYGSVYKGFFPGDGHVAIKMLSNSMCNGEEFISEVSTISRIHHVNVVRLVGFCSEELRRALVYEYMPCGSLDKYIFSPEKSLSWDKLNEIALGIARGIDYLHHGCDMQIMHFDIKPHNILLDSNFTPKVADFGLAKLYPRDGSLVPVSAARGTIGYIAPEMISRSFGTISCKADVYSFGMLLLDIAGGRRNREQHTSNSAHLYYPALVYDCLTQQEVSEISEDVGIHWVERKLCIVGFWCIQMKPAERPSMSEVVEMLESDDPDNLQVPPRPFFGVDDHISEMDDCCHSSSKSSAISEDD >ORGLA01G0008600.1 pep chromosome:AGI1.1:1:586403:588846:-1 gene:ORGLA01G0008600 transcript:ORGLA01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFLVTALLFSLLNYGAVMATESDEADFFRNCPPSRCSSDGPDIKFPFRVESSSSSCGAPGMQLSCSGQDTLLLHHVLGISKVTGIDYIYGVINIVPLAESWSQCALQKIISANYSTSVYKQYGFQHASLVSCSEEFIWDSTDSIFGPISCLSNASQSLYLVAPYAFVSILPLYCKVVSTDIMIPYTSNQGRKDYNASASTEFNESAKRITTFSEITFTWSAPNITDVCIDCERQQRLCGFSSQRRTAFCKPHGSKSPAKVIIVAVSVPTIVVLTLVVASALYLSLKTKNDDEIQLKVEMFLKTYGTSKPTRYTFSEVKRITRRFKHKLGTGGFGSVYKGELSKGVPVAVKMLENSKGEGEEFINEVATIGRIHHVNVVRLLGFCSEGTRHALIYEFMPNNSLEKYIFSRDYISSQEVLVPDKMLKIALGIAQGIEYLHQGCSQRILHFDIKPHNILLDHSFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAVSYKSDVFSFGMLVLEMLSGKRNSDPSINSQNEVFVPEWIYETIVSAQESEFAKDMTQEEKEKLRKLAIVALWCVQWNPANRPSMRKVVNMLTGSLQNLKNPPRPFVSSLS >ORGLA01G0008500.1 pep chromosome:AGI1.1:1:577029:581285:1 gene:ORGLA01G0008500 transcript:ORGLA01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGAANWVVGMVLNKLSDELMAGYMASRELGLNMDQIKRDLNYMLALLQAAEGRDIADNLGLQRLLADLCNKADEAEDVLDELHYFVIRDELDGTREATPDLGDGLGAQLQHARHAARNTAAGNYWLSCFSSCCRSQSQQDDTVTVVTGNNRNSSMAISKTEEHDQSGANGHIQESLFDRVAMSKKIKSLIQDIHSLCPPISDLLQKCSPCVPPSMERPNTSSVITQKKLYGRDAIFDQTMEQLLKGKNYMSVLPIVGPGGVGKTTFAQHLYNDHRTKQHFTVMIWVCVSTTFDVTELTTKILNSLNATESQGTNIRESSLDQLHKSIQDKLKSKRFLIVFDDIWEHDFSKAASTKRFSKTEWEKLLAPFGTGETNGNMVLVTTRFPKVAETVKKGTNQVDLHGLEPDEFWDFFQLCAFSETQDDNDKEELFDIGKQIAKKLKCSPLAAKTVGPLLRKKPTRKHWMEILEKEEWLKQKDGDDSIITALKISYDYLPFYLKKCFSYLALFPEDYEFDSLEISCYWDSIGIINSSGKNDTIEGIGSQYLNELYDNGFLMKGDDNHYVMHDLLHELSQIVSSRECANINYSSFRADDILPSIRHLSITIQDKYTESFKEEMEKIKKRVNIRNLRSVMIFASYRSRRIANVVRDTLNEIRALRVLFIFMNSPHSLPDNFSKLVHLRYLKIGSPWGFKVCIPSTVSKLYHLKFLDLKSWGGSNNNLPNDFNRLINLRHFLAKKEFHSNVPEVGKMKCLQELKEFHVKKDKIGFELAQLGQLEQLRGELCIFGLKNATREEAIEAKLKHKSNLNKLRLDRGGNREKNTSYSSSRTQVVSNENQDDDIILDSLQPHSNLTELSIVNLGGGMAPSWLGSNIIHLDTLHLDGLERLECISCPKLNELPLSSCSSSSCTMWFPKLRRLNITRCLELSVPLVPHTSTLTYVRVNDSVRGFNTSKKLTLDGYNGALAFQNLGNLEEIYIGDVHNMSLIDFQQLRSLRRLTVTLCRDTFLRGLDEHVVVVFNSVRVLNLFGFLLTRKMLSNLFRCFPALYVLSMSPSKESHEEVKLQIPSSCSLKTIRLFKCKNLILPPLDDGQGLVNLTSLRNLHIDDCGKIFSQWYMGKPAQTTSNPFPSSLLELSICRESSIHSMALLSNLTALTSLQLIDCCNVTMDGFNPLITSNLNKLCISSCGSAPADLLAEMARTKTTMPQVAFQLKDLVVDSISAVLTAPICSFLAPTLHELGIKDDVDRVSSFSDEQEGALELLVSLKKLSFDGLWVLQSLPEGLHKFPSLTELSISHCPQIQSLPKNGLPTSLETFSVFICSSALEEESKRFREEKERYYSESDD >ORGLA01G0008400.1 pep chromosome:AGI1.1:1:570618:570884:1 gene:ORGLA01G0008400 transcript:ORGLA01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNDDEEEADAGGGGGGGGGVDPDIVRGDAGAGGGEVDEAGASSAGSAQGRQRGRHPASSCLTVSSSATGSGRRAAGRGVYTVLASSNS >ORGLA01G0008300.1 pep chromosome:AGI1.1:1:552367:555583:-1 gene:ORGLA01G0008300 transcript:ORGLA01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G03440) TAIR;Acc:AT3G03440] MESPPTAAAAAAAAERPSEAAALRALVDRVRAGDVEAAREVRRLTRSSSRHRRKLAAAVEPLVAMLRSPAPDAGEAALLALLNLAVRDERNKTKIVDAGALEPLLGYLQSSDLNLQEYATAALLTLSASSTTKPIISASGAIPLLVKVLKEGNSQAKNDSVMALYNLSTVTDNLQTILSVQPIPSLIELLKGGKRSSKTADKCCALLESLLSFDQGQAALISEEGGVLTIVEVLEEGSLQGREHAVGALLTMCESDRNKYRDIILNEGAIPGLLELTVHGTPKSRVKAHVLLDLLRNSPYSRSKLPADTLENIVSNIASQIDGEDRGGKAKKMLAEMVKVSMEQSLRHLQRRASFA >ORGLA01G0008200.1 pep chromosome:AGI1.1:1:549559:550518:-1 gene:ORGLA01G0008200 transcript:ORGLA01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDHAGKKVDVVVVGNVDGEHVGVEQARHNLHEEAAAAADHHATRGLPIGFLIREVMVEGLASFLVVFWSCVAALMQEMYGTLTFPMVCLVVAMTVAFVLSWLGPAHFNPAVTITFAAYRRFPVWPKLPLYVAAQLAGSLLACLSVNAVMRPRHDHFYGTAPVVVHGTRLPFLMEFLASAVLMIVIATVATDGTAGKTVGGIAIGAAVGGLGLVIGPVSGGSMNPARTLGPAIVLGRYDGVWIYVVAPVAGMLVGALCNRAVRLSHRIVAFLCGTSVGIAGSP >ORGLA01G0008100.1 pep chromosome:AGI1.1:1:532712:535868:-1 gene:ORGLA01G0008100 transcript:ORGLA01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLGNVAILVGSGILGSVLVGGDAKLPSAGEVLSGAAKFVKKHGNEGKDTSSNTDAHTAQLLSQVNHLRQEIQSLGSRPVTVVTNAARSGPGTFTITVVAVAGAVGYAYIKWKGWKLSDLMFVTKRGLSDACNVVGSQLDKVSDDVTSARKHLAGRIDRVDISLDETQEIIEGTRDEVTVIHGDLSAFQEDLQSVNLVVRSLESKLVSLEYTQDRTANGISDLVEFTQKATIRQVPAASVPPAIGSSERVVRRVSSLPQSTALPVLPTTTPAAEPSPSAEAPQEEQWGFVSRTSSCREGSGRFQEQRSVVTRTSSIREGSPESSNGASSSTGASTGTNTSTSRFGGLRLPGLGFLASSTR >ORGLA01G0008000.1 pep chromosome:AGI1.1:1:529553:530689:-1 gene:ORGLA01G0008000 transcript:ORGLA01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAAITNPSAAAAAAAAAVAANPIVLTPGAAPPPPTSSALPTQIPPSDWSLSPADPALATAASFLSTSLSSTSVSLPRFRSLLASFLTTLSNSLSLPAPSPNLPQAIRSVSPYFPAALASPVASRAANLAEYDVLLALAECGLLRHPPPSLLSSLSEADRPELVCAVVRQAADLRSSELLATLRCFLSPASNAAYDAMMSVKNRWKEAAVLAVNRCKEKGAGKKVDAMARQAALLLMMGYDGFTSPEVCLHYLFASENVDSLVFGAAVSELDGGEVVTLMKYLTKWIGKYQRFPEAQACPEAVGMPGLELCDIVPSFRVVAGALGLVFDQHFSHLALNAELKEDLKAAEMMVKQLATEAESAGPILDLLRRMQQDV >ORGLA01G0007900.1 pep chromosome:AGI1.1:1:523926:524402:1 gene:ORGLA01G0007900 transcript:ORGLA01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRLLVILVFSALVPLAAGDTYPADCPYPCLLPPPTPVTTDCPPPPSTPSSGYSYPPPSSSSSNTPPSSSSYWNYPPPQGGGGGYIPYYQPPAGGGGGGGFNYPAPPPPNPIVPWYPWYYRSPPSSPATAVTARGRSLLASVAVVTAAAAALITVF >ORGLA01G0007800.1 pep chromosome:AGI1.1:1:517312:517833:1 gene:ORGLA01G0007800 transcript:ORGLA01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLQLPCSLLLVIMLVAATAAAADVVVRDDEKCAACGLPSPCGTTCTYASPPPPDVLPTPVYYPPPPPVYYPPPSPPPVAYPPPTTPSTNCPPPPYGGGGYNPTPSYNPTPGYNPTPSGWFTPPNMPSYLTPPGTLYPQDPGFRPNAAAGGAASWRAVVLAAAAVAGALAL >ORGLA01G0007700.1 pep chromosome:AGI1.1:1:515249:515584:1 gene:ORGLA01G0007700 transcript:ORGLA01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRPILLAAAAAVAVVSLWPAARVVADIDPSSGMPSPPATTSPFPFCPTTPAGISTQPFPWAEPSPTTTTTMFPQDPGFLASAACHARTAALAWIPVVFVFSAFLVFLQ >ORGLA01G0007600.1 pep chromosome:AGI1.1:1:512044:512574:-1 gene:ORGLA01G0007600 transcript:ORGLA01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAILLHLHSDETVPLLVVIVAAVAAVALVIVSLCTSSKHGKLWKQQQQRSASSLPQLKAEESAGGGGGASRKQLLATLSGIGGKAAAMAKMVSWNRRSSRQGCSSDDDDEAGGGGLAVEEEEEALWRKTIMMGDKCRPLQFSGHIAYDSDGNQLPATTISKEAADADAVNNIYV >ORGLA01G0007500.1 pep chromosome:AGI1.1:1:503179:506004:1 gene:ORGLA01G0007500 transcript:ORGLA01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVDPLVVGRVIGEVVDLFVPSISMTAAYGDRDISNGCLVRPSAADYPPLVRISGRRNDLYTLIMTDPDAPSPSDPSMREFLHWIVVNIPGGTDASKGEEMVEYMGPRPTVGIHRYVLVLYEQKARFVDGALMPPADRPNFNTRAFAAYHQLGLPTAVVYFNSQREPANRRR >ORGLA01G0007400.1 pep chromosome:AGI1.1:1:494522:495911:-1 gene:ORGLA01G0007400 transcript:ORGLA01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAQASSKRGMLLPREAVLYDDEPSMPLEILGYHGNGVGGGGCVDADYYYSWSGSSSSSSSSVLSFDQAAVGGGGCARQLAFHPGGDDDDCAMWMDAAAGAMVENTSVVAGGGNNYCHRLQFHGGAAGFGLASPGSSVVDNGLEIHESNVSKPPPPAAKKRACPSGEARAAGKKQCRKGSKPNKAASASSPSPSPNKEQPQSAAAKVRRERISERLKVLQDLVPNGTKVDLVTMLEKAINYVKFLQLQVKVLATDEFWPAQGGKAPELSQVKDALDAILSSQHPNK >ORGLA01G0007300.1 pep chromosome:AGI1.1:1:489227:491709:1 gene:ORGLA01G0007300 transcript:ORGLA01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger ;hAT family dimerisation domain [Source:Projected from Arabidopsis thaliana (AT1G18560) TAIR;Acc:AT1G18560] MGNATLTDMTLLPSVDPDDALSGMAATSSAPAQGAKPKKKTMKSLYLQFFDTAPDGKSRVCKLCKKSYCMTTATGNLGKHLNNRHPGYCQLSEGEATQSTTPTSMVSRAKRSQPLARTRSQAQSQSQVQPQFQVQHQPQPQTVSKVRHQPKAKPAIDIDHVNWLLLRWLISSSLPTSTLEDSMLIDSCRYLNPPVQLWPKEKAHEIVLQVFRSMKEDVKASLQCVSSRFSITLDFWTSYEQIVYLSVKCYWIDEGWALRKVLLDVRRIPYPCTGPEILQVLMNVLHEFNIDSKILACTHNNSQHAIHACHELRQELESRKLPFCYIPCAARMLKIIIKDGLENVRPVLSKIREFVLETNSNQDMMEDFMHWTEVYQEGSWKLPFDHSSNWSGDYNMLDVVKKAPNAMDNTIKKFEGVFGPRDWVLSSTEKSAVNSLHSYLEPFYKTTTNLCTCKIPTVGLVFFFMDHVIELINVCHDSTRQDWLKKIASDMSETAHNFASQAYNIYTFTAAILDPRIKGELIPETLNSTSNLEDARNQFVRDYSSTFEAVGNGYNTQDTTDGGDAFSFAEEIVRKRRRVSMITAADELSQYLAEPPAPISTDALEWWKGHSSRYPRLSLMARDFLAIQGTSLDPEELFTSKGDSMRKQHYCLPLSSIQATMCVKSWMQSGYQFNFQSTIIDFERLVESAVAPDAVDAPEFS >ORGLA01G0007200.1 pep chromosome:AGI1.1:1:486132:486995:1 gene:ORGLA01G0007200 transcript:ORGLA01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G48960) TAIR;Acc:AT1G48960] MESQRVVVVVEDVGAARAALQWAVRNFIRAGDCITLLHVCPPARSRRRRRSLRLGGFQLALAFRELCNGIAEAKVEIVVREGEVGETVVATVNQLAATTLVVGLHDKSFLYRSTSPYERMRRVGCRVLGIRQHATTRDGSFNAELTQIETINLHSGRNGFQKAKSQL >ORGLA01G0007100.1 pep chromosome:AGI1.1:1:479392:483694:1 gene:ORGLA01G0007100 transcript:ORGLA01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPSSSTSSSAGPPAAAGSSGGGAAASPDSYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGVQIPASTKVYEYILFRGSDIKDLQVKSSPPPPPPPQPAAPHNDPAIIQSHYSQPASASSSLPSAGSAVLPDLSSQAAQYGLQRPSFQSNIPLYQPGSAPWGSSAPPSAGNASGLSVPPMYWQGYYPPGGLPPHLQQPPLLQPGLSVPQGLQYAGLNPTLSSGPQKLSELQPPLLQPPGTTQGPSSGILPTTTAPSANLLAPETSKPLLPNMGPLFTPPVSSVGATLPLASLPTSIAESSAMAPHNFSSLVSNKTADIPGSTLAYQSVSQAISSTVASSSSAHMDMPVPLLASSGQLLQNAPSMLSSSQSMQTPLQMSSKDFKAVESKTRVVEPLLPDPPSRALPENNEPILPLPKQTPQKYNGAGSHSNHHYRGRGRGRGSAFSQSVTNFTEEFDFMAMNEKFNKDEVWGHLGKKSHSRDKDGELGDDVFDEDLEDEETENPELAAKPVYVKDDFFDSLTSGTFGRGGQNGRSRFSEQRKLDTETFGDFPRHRQPYRGGGRGYRGGGRARGSYYGGRGYGNMGGRGGQGNSYPHRGSY >ORGLA01G0007000.1 pep chromosome:AGI1.1:1:477152:478295:-1 gene:ORGLA01G0007000 transcript:ORGLA01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT1G74070) TAIR;Acc:AT1G74070] MSHQILHTSNPTLPTPPQPHTHHPPVPPLPKLGRRAALAIAAAPAILSATPAPSRAQEAAAAAAAAPCIADLPVTAKAFLDVSIGGEPAGRITIGLFGDAAPAGASRFLSLVTGVGYRRKEFVKIVPGYVQHGGVVSYPAIPAVTDRLAAEMGAVRAQCGGDRSPHAAAGAVSIVVRDPSLPPPKPKLVARGGKLEVDQEQVGVVPNGTEFVITTGDAPELDASALVVGRVVDGMDVLGKIAAVPTVKDNTASPYFRVAKLIGDKRAVVAERGFNRPYTKILITNCGVIEQQQQEGED >ORGLA01G0006900.1 pep chromosome:AGI1.1:1:476566:477048:1 gene:ORGLA01G0006900 transcript:ORGLA01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGFIATTCSKTHNAKCVAVLTANPDSADVSTVSDLAGAALDLAVAAASDAGALINDRSSRYGGGTPEGDALRACSGAYFDAANDLDIDAHDSLGSGDYTAASRLVSGAGGAADTCDAAFAAAKVSSVMADVDQKMKDRCSVARDLINLLTEFPPTDN >ORGLA01G0006800.1 pep chromosome:AGI1.1:1:469056:471528:-1 gene:ORGLA01G0006800 transcript:ORGLA01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPQSRKLLQLQALSLLLFIIALHSRLHGCSGQGEAADGSASTAAAPMEEKEKRALYAAIEGFVGKGWNGSALYPDPCGWSPIQGVSCDLFNGLWYPTVMSIGPVLDNSLQCSADAKFSPQLFDLKRLKTLSFYSCFPATNPTPIPATSWDKLAGSLETLEFRTNPGLTGPIPASLGRLSSLQSLVLVENNLTGAVPAELGSLVRLRRLVLSGNGLSGQIPASLGNGHFAEQLLIMDVSNNSLTGSLPSSLGGLKGLLKMDLSNNLLQGSLPPELAGLGSLTLLDLRNNSFTGGLPSFLQGMASLQDLLLSNNPLGGSLGQLGWERLRGLATLDLSNLGLVGAIPESMAALTRLRFLALDHNRLTGDVPARLAELPNIGALYLNGNNLTGTLQFSPAFYQRMGRRFASWDNPGLCYSNAAVDAAHAPPGVTVCKVAGGVGDGRKPEASSSLMATSSASNLINGFCFFLWMVATSLL >ORGLA01G0006700.1 pep chromosome:AGI1.1:1:447280:456748:-1 gene:ORGLA01G0006700 transcript:ORGLA01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTTDDIAEGISFQAFEDDCRLLGSLLHDVLLRELGPRFIHLLERITILAQSAVNMRSAGVEDTAVVVEKQLGAELAAMSLEDSLCLARAFSHHLNLMTIADTYHRVRKARNFADLSKSCDDTFAKLIQSGVSPEELYDTVCKQEVEIVLTAHPTQINRRTLQYKHLRIAHLLEFNERADLSLEDKEILIEDLVREITAIWQTDELRRHKPTPVDEARAGLHIVEQSLWKAIPHYLRRVSNALKKNTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSILSQWMAIDLYIRELDTLSFELSINRCSEKLANLANDILLKESASEDQKTNTWNQTGPQNNLKLQHSLALPAQLPSGADLPSCTECNDGESQIRMSKLPGNPKHKLALNITEKREDSPLPSPSHRPMGRTPSGGQLRKMFTESQIGRSSFRKLLEPSISERPGSTPYRVVLGDVKEKLMNTRRRLELLLEDLPCDRDTSEYYDTSDKLLEPLLLCYQSLQSCGSSVLADGRLADLIRRVAIFGMVLMKLDVRQESGRHTETLDAITSYLDLGVYSEWDEQKKLDFLTRELKGKRPLVPPYIQVTADVQEVLDTFRVAAELGSDALGAYVISMASNASDVLAVELLQKDARLTVSGDLGRPCPGGTLRVVPLFETVNDLREAGPAIRKLLSIDWYRDHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNAFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQTSVRQLEIYTTAVLLATLRPPQPPRDDKWRGVMEEISRVSCAQYRSTVYENPEFIKYFQEATPQAELGYLNIGSRPAKRRTTPGISNLRAIPWVFAWTQTRLVLPAWLGVGRGLQDACDKGHTHELRAMYEEWPFFQSTVDLIEMVVAKADAPMAKHYDDVLVHDAGRRALGAELRQELARTENCVLAVSGHKKLSANNRSLRKLIDSRLTYLNPMNMLQVEVLRRLRQDDDNRKLRDALLITINGIAAGMRNTG >ORGLA01G0006600.1 pep chromosome:AGI1.1:1:439294:442296:-1 gene:ORGLA01G0006600 transcript:ORGLA01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPSPGTATPATAAAAPSTATPTPATQPTATPADPSITPPAASPPLPSAATPPPQPDSPPPSPPPAPPVAVPPPATVPPPPVPVASPPPTPSATLPPPSPPASVPVPPTPATTPPKSSPVQQPPVAASPPPSSPADLPPPNPPARSDTPPVVQSPPPPHRRPRTPWAPPMAPSPSGSPTKPSPASPSPIAGDPIIPTPNNPSSPLATPSAPGSGTPLVTPSAPVSGPPSPGMAPATAADRSNKSLSPNTQDGSVSSSDGGMSSSAKAGIGVVVAILVLSLVGAAFWYKKKRRRATGYHAGFVMPSPASSPQVLGYSGKTNYSAGSPDYKETMSEFSMGNCRFFTYEELHQITNGFAAKNLLGEGGFGSVYKGCLADGREVAVKKLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISEDQRLLVYDFVPNDTLHHHLHGRGMPVLEWSARVKIAAGSARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVADFGLARLAMDAVTHVTTRVMGTFGYLAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASKPLGDESLVEWARPLLTEAIETGNVGELIDSRLDKNFNEAEMFRMIEAAAACIRHSASRRPRMSQVVRVLDSLADVDLSNGIQPGKSEMFNVANTAEIRLFQRMAFGSQDFTTDFTQSSWDSRSRDVDASGSRPL >ORGLA01G0006500.1 pep chromosome:AGI1.1:1:434049:437358:1 gene:ORGLA01G0006500 transcript:ORGLA01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHSDSDLLKPRDVCIVGVARTPIGALLGSLSSLPATKLGSVAIQAALRRANVEPALVQEVFMGNVLSANLGQAPARQAALGAGLPDTVPCTTVNKVCSSGMKAVMLAAQTIQLGIHDVVVAGGMESMSNAPKYVAAARRGSRFGHDVLIDGMLKDGLWDVYNDFPMGMCAELCADQHSISREEQDLYAIQSNERAIAARDSGAFSWEIAPVEISSSRGKPPLIVDKDESLAKFNSAKLRKLGPTFKKNGSVTAGNSSSISDGAAAIVLVSGQKAKSLGLQVIARIRGYADAAQAPELFTTTPALAIPKAVSNAGLQTSQIDYYEINEAFSVVALANQKLLGIPSGKLNLSGGGVSLGHPIGCSGARIIVTLLGILRHKNGKIGVAGVCNGGGGASALVVELMQPSLFTRSSL >ORGLA01G0006400.1 pep chromosome:AGI1.1:1:430344:431225:-1 gene:ORGLA01G0006400 transcript:ORGLA01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRPRRSARAPPRRRRGTVACGRAGAAHPNPSAHGAGQVTARAGHACSHRHSHSSRCTGQGAAGRARRRACHAAATDTEESTTTAGDAPAGDTSPGDTLAGVAPARHPASGSPAIDAAAGGCTGRGARGVAPGDDTTASGRSARGAAPGGGSDQEQEQAQEEEQARQEGLRARAGAVEPTGAGRAVARRQPGRRVRPSTISIRSQWKQQAVRTMGVCPSDCHGCTATIISMVIKAQTRQIHPSIHVSASPLI >ORGLA01G0006300.1 pep chromosome:AGI1.1:1:424609:429892:1 gene:ORGLA01G0006300 transcript:ORGLA01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLVPEWKHAFVDYSLLRKDLKRMQHDHSPQGTIITTSTPHDHHQQQQSVATPSSYNLSPCRLLLHKLPAFFGSNNADHAGTIQVHRRVGRGEVYETEVTPEMETTTATAAREFFARLDAQLNKVNHFYKAKEEEFLHRGHSLRKQMDILLDLKSRSSSSLSGHHRATAGDDPSISCSSATSGAEDESTRYVTSATDTDESQHETAVMRDPEELSAEQGLEGSGSLSRQSLGRTVSSCQRKNLKINIPLTTPCRTISALTDLLRDDLVSQPKNKCDSDAGITFTTNNKTKLRHAEKMIKGAFIELYKGLGYLTTYRNLNMMAFVKILKKFEKVSGKQVLSVYLRAVESSYFNSSGEALKLMDEVEDVFVRHFAAGNRRKAMKYLKPTQRKESHTVTFFIGLMTGCFVALFLGYCIMAHIAGMYTQRRDSIYMETVYPVFSMFSLMFLHLFMYGCNMVAWRKARINYSFIFEFAAGRELKYRDVFLVCTASMAVIVGVMFAHLSLAVRGFHAQAIPGFLLLGFLLLLFCPFNMVYRSTRFQFLRILRNIVFSPLYKVVMVDFFMADQLCSQMQVPMLRSLEYVACYYISGSYRTQEYGYCINTKHIRDLAYAVSFLPYYWRAMQCARRWFDESDTGHLVNLGKYVSAMLAAGAKVAYEKDRSLGSLSLLVIVSSSATMYQLYWDFVKDWGLLQPNSKNPWLRNDLILKSKSIYYLSMGLNLVLRLAWLQTVIHPNFGSLDSRVTSFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFHEADEED >ORGLA01G0006200.1 pep chromosome:AGI1.1:1:417006:417650:-1 gene:ORGLA01G0006200 transcript:ORGLA01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFVEELKVEQAKMQGLLDHVDAFPAGGASPTREDYYTAEEIISHGISDMQICRVHKAIVMGSAPLYDAKTMGAILPPPSCPLTTDLASRLALLPPLEQTISYPDCDDVGLEGFAMRVVQHAVTALGFAEEALESSFYTFNDAISLIFTKPIPPLAHATKRKARSSIALALRCAAYAHAQATIALTRIAPPLTTTTPANNIAHSNIASNQQNI >ORGLA01G0006100.1 pep chromosome:AGI1.1:1:412554:414216:-1 gene:ORGLA01G0006100 transcript:ORGLA01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARANEMVRADSRMMVVFSALASKSGPLTFEDSLRFVKKVKARNYMLYLSLFDILGRMELSRLEAYRELQLLFQNYPDLHEELEKFRPPVPIKHPANNIWPWLFACAVPLVAVSLIPALGNPVLWFVQQTLGEKMKA >ORGLA01G0006000.1 pep chromosome:AGI1.1:1:402037:410527:-1 gene:ORGLA01G0006000 transcript:ORGLA01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARDDALMGSQLKRPNVGRSDPTAQPQHMPLGPASAAAPPPQAAAAPPAQPPAGGATAGQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNTFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEHVYKAFLDILNMYRKDNKSIQDVYHEVAVLFADHKDLLEEFQHFLPDTSVPPQAVAPSRPGIRRDDRTSLVPPASRNEKRDKAHPHADRESVDRPDLDHVIQRRRPKDRHDYDRGDKDGELDSKDLDIGLKRKPFPRKMEDPTSADAHHGGPLENHGILGASASLYDNKDALKSVYTQEFHFCEKVKEKLEHDAYQEFLKCLHIYSQEIITRSELKNLVNDILQQHPDLMDGFNEFLEHCENIDGFLAGVFSKRQTGRIVKTEERKEGGKGTEKEPDRIEKVPAYKEAPSQKPVFSSKEKYIYKPVSELDLSNCQRCTPSYRLLPKHYPMPPAGNKTELGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSLKPDSPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFDYVDSNIHEDMYKIIKYSCGEVCSSSDQLDKVVRIWTTFLEPILGVQPRTHGAEDADAVKPKSRTTKSGLATVGEINTTAAGAVAKHGHDENIPQEQTPSSLARMVNGVATDTQNGFHDVDRTARRAEEPSNTAVNGRVQGASPGTNEIPAVSTQNMPTERSAENIPVARTEQHGNAKANLEPTSGVNASRSSHAGNDTAAEARAGNETLPSVEGGETGRSGSTLNGGGASEGNKGRLFNEASASHNTPKVEREEGELSPNGDFEEDNFAPFEDGAVDGVSKAKEGSTSRPFQGRPGEAQPSCAEAAGENDADADDEGEESAQRSTEDSENASEGGEDASGSESGDGEECSREDHDEEEEDMDHDDQDAKAESEGEAEGTTETHDVEGGISLPLSERFLHSVKPLAKHVPTALHDRDEKSSRIFYGNDSFYVLFRLHQILYERLLSAKTNSSSAEKKWRTSKDTNPPDLYAKFISALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHEESIYRFECCSNPTKLSIQLMEYGHEKPEVTAVSIDPNFSSYLFNEYLSSMSDRKLSEGVFLERNKRKHSNNDEPSDSLKAMDGVKVANGLECKISCKTSKVSYVLDTEDFLFRLRKRRRFSPVGNVPEKLQASKTYAAKVQRFHRFLSKP >ORGLA01G0005900.1 pep chromosome:AGI1.1:1:400256:400918:1 gene:ORGLA01G0005900 transcript:ORGLA01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDPAAAAGNGGEDEAVAVKKHLATTALGALRLVTVSASDAYSHTLDALHALRSAYANIVEVAPPDLAAAEALLAHDCNHSIALASRLVTHMELMAMEATIHVDRWLTSTDAAVRLQGIPAVVWRYKMDAVVVWLGNARKKLLDASADCHAVTPLLAVAAAVDEYAPDVRSQWTAAANTGLFGALGHLRGACNIIANAPAVAALARDATTTLFDLLLLL >ORGLA01G0005800.1 pep chromosome:AGI1.1:1:398262:398972:1 gene:ORGLA01G0005800 transcript:ORGLA01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSSSTMELVSRMSKSMSVANNILAVHVPRLHALRAALDGIQDEPSRADLASSSAATDACRLIRDTAAGLTHATIHLHNAAYYLSSVLRIALRHADADASTDFSSKLPSLAANPFPRLAAHLLASIPTPPPQPTACTLDDALLAVSVVHNTLALLLDYNLERCILYLRLLGRRNDPNLHRHNRLPLARDRLRAACVMLDFAAAYCNVAANAINAHYIKLQNEASLIFGYTWNLP >ORGLA01G0005700.1 pep chromosome:AGI1.1:1:396804:397529:1 gene:ORGLA01G0005700 transcript:ORGLA01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVKRMVDNSYHDVMESIQAEESAAESARNRLLAVSTLLEQQRGALEVVSGRMASWAIREVEMSAITAELLLLDDAAAGAGDAAARMRGIKTTLELVKLIKAEDVTLTLATDDLEHYALKQPGDATFAPAPSPEELDEAMKHAEAAQEHVLRCCARVRAVVRCFQGVERVGVAGAEQGGVIAEGELGVAHESLDAAVRELLKAEAAAAASTANARNVSARKQNATVPDPPIPVIDIDSD >ORGLA01G0005600.1 pep chromosome:AGI1.1:1:387145:391808:1 gene:ORGLA01G0005600 transcript:ORGLA01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYCGAAPEEDPAMALVTPLPTTTTTTTTAAAAAIKQPHYYGCFDRCSTKQVFDNLHGNISLDPLAREFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYWLAGEAMNNLRLYQGEELGIDRVDMQTVKLAGLLHDIGHGPFSHLFEHEFLPRVVPGSTWTHENMSALLLDSIVDKHQIDIEADHLKIVMVIHVFIQLLWHLSIAIRLTHLPLSLRRMALRTSYLMF >ORGLA01G0005500.1 pep chromosome:AGI1.1:1:373477:374376:1 gene:ORGLA01G0005500 transcript:ORGLA01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREQAQKAALEALRNASATDNVVRIYKMFAELSKTARPDAPATCFESFLSFHQEAVQAVTDIESIQAATSMAGAVAADEPPVLQEIAQNRSSLSKRRGALGVSKSVSFAPGTLDDGGGKNRSSNASRKCLVLDKIGEDGDEKRSSSGSTSTGALGSSLRLAKQMQCEASSWFMDFVEAALETGLKKKSKASATADGRKQSSCCCPQSLILRVINWVEMEQSGDGSSRKPSHPRAAAIARKLRIKAKNP >ORGLA01G0005400.1 pep chromosome:AGI1.1:1:372416:373111:1 gene:ORGLA01G0005400 transcript:ORGLA01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSAGVLLKLLDGMKAGAAKPVGEHRTALLQVTDIVPADLDDKDLLPRHGKFYVKVSDSSHSIYATLPLPQADLVLSNKLQLGQFVHLDRLDPGSPVPVIVGARPLPGRHPLVVGTPDPATRAKPAAPRRGSWGPENHAGILASPRVIKPTTLNFEDRTPVKERPPAPSPARSTASSLRKSSSVLPRITRSKSFVADRAPDHPKIPKSPFPAVRNPPLYTPSSIRLDSI >ORGLA01G0005300.1 pep chromosome:AGI1.1:1:370654:371166:1 gene:ORGLA01G0005300 transcript:ORGLA01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMNLKMTCNICLAAGGLIFTEDGGGITLAREIERLLLQGSEARRKNEAIKQEGRREQQRMYCMQPERRGCGDGGGIGAGERRGRPTAETETIDWVPDGPFLTFSPRPKNHPPSCRSSSWAERSPISSLKRKPTVICLSIERILVFFCFLGEKCRIFCFRTKCLRKNEN >ORGLA01G0005200.1 pep chromosome:AGI1.1:1:366735:368966:1 gene:ORGLA01G0005200 transcript:ORGLA01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKNSTTSTKAAGSCHTSSSGGGGGGGGGNCYSSSSSKMERKDVEKNRRLHMKGLCLKLSSLIPAAAPRRHHHHYSTSSSSPPSSTKEAVTQLDHLEQAAAYIKQLKGRIDELKKRKQQAAALTTSTSNGRGGGMPVVEVRCQDGTLDVVVVSEAIGEERERERERAVRLHEVIGVLEEEGAEVVNASFSVVGDKIFYTLHSQALCSRIGLDASRVSHRLRNLLQY >ORGLA01G0005100.1 pep chromosome:AGI1.1:1:356500:358048:1 gene:ORGLA01G0005100 transcript:ORGLA01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEKADGGGRRRRSRATRSSGSGASSTAAERKEMERRRRQDMKGLCVKLASLIPNEHCSKSKMQGATRTQLGSLDEAAAYIKKLKERVDELHHKRSMMSITSSRCRSGGGVPAAAAGQSTSGGGGEEEEDMTRTTAAAAVVEVRQHVQEGSLISLDVVLICSAARPVKFHDVITVLEEEGADIISANFSLAAHNFYYTIYSRAFSSRIGIEASRISERLRALV >ORGLA01G0005000.1 pep chromosome:AGI1.1:1:341106:348995:1 gene:ORGLA01G0005000 transcript:ORGLA01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAGDAARLSYPPTRRDDSVVDMYHGVPVADPYRWLEDPESEDTKEFVASQVELAESVLAGCFDRENLRREVTRLFDHPRHGAPFRRGDKYFYFHNSGLQAQSVLYVQDSLDGEAEVLLDPNALSKDGTVALSTYSVSKDGKYIAYGLSESGSDWVTIRVMNIADKQTLSDKLSWVKFSSISWTHDGKGFFYGRYPAPREVELDAGTETNINLNHEIYYHVVGSDQSEDILCWKDPEHPKYSFGASVTEDGKYIILGTYEGCDPVNKLYYCEICTLPQGIEGFKKTKGMLPFVKLIDNFDAQYHVVANNGDEFTFLTNRNAPKNKLVRVDIKKPELWTDILPEHERDVLESADAVNGNQLLVCYMSDVKHILQIRDLVTGNLLHKLPLEIGSVSEISCRREDMDVFIGFTSFLSPGIIYRCNLTSAIPEMKIFREISVPGFDRTNFEVKQIFVNSKDGTKIPMFIMSKRDIELDGSHPTLLYGYGGFNISLTPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGARAMKQNCFDDFIACAELLISAGYTSYRQLCIEGGSNGGLLIAACVNQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDNEEEFHWLIKYSPLHNVRRPWEQSFVNCCQYPAIMLLTADHDDRVVPLHSLKLLATLQYVLCTSIEDTPQVNPIIGRIDVKSGHGAGRPTKKMIDEVADRYSFMAKMLVASWTE >ORGLA01G0004900.1 pep chromosome:AGI1.1:1:325225:332176:1 gene:ORGLA01G0004900 transcript:ORGLA01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFDQQQQDLIDAASKALSYRQDIIEENIRLAYAVQAAQQERTTFISSLLPLLSEYENLQPSVLDAQSIVSNLKVLFKHLQEQLIITEEKLKESRYQITPWQTELANDTTLPVHSPTDPLGKALVTSNKSNLDIVTQTPYPHIQSPMSSPVQVRGDWGVTGNKNHQVIPTDVPPRNVDHGDMGRNSLSSRRDVSAQVSQHDPHSVPLDFEPENQNPPFKRLSRSDVSDASEGAEVQHAREHSAHWGHGDSANLVSGIEDTNPSYPYLPTVLEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSTNGTTSCNFEWVRHLEDGSVNFIEGARQPNYLVTADDVDTLLAIEVQPLDDRKRKGEIVRFYANDQRKITCDPETKELIKRTLETGHVSYEVQLPQVKFLDMWEPAILAIKREGYSIKCTGQRGVVLTEKFQQATSINIPYGRPTEFLITSADGVEYNLKPAENALPRDTIVLVLRLFRIMAVEKRRGRKKSLFFK >ORGLA01G0004800.1 pep chromosome:AGI1.1:1:319373:323103:-1 gene:ORGLA01G0004800 transcript:ORGLA01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATACASPAAARPPLHIPLRSPPSAAHLPSAAASRRASSAACRCTASASASPSTWDWTRWTRHFADVDQAESYASLLKFQLEEAVDNEDFAEASKLKKAILEATGNDAVALVMSELKTAIEEQRYQDASRLTKLARTNLVGWWVGYAKDTDDSIGRIVRISPGVGRYVAKSFSPRQLVTASSGTPLFEIFLVRDDDETYTMKVVHMRPTKGTSSASSVSSATAESPAKEENESSLESSAISEGITDEANTDTTLKGDEDVEDKEQDVGNAKDSSVEGLKSVLNFFKSRIPEFKVQVINVDVSEEAELASDSSEELVQDDVKSTSENSLEDSTTEELQQDDVPDGDSDSAEDSKSPEMKLFISGVVHNKEDAGAKSYVRVPAEINNLEKDSFELYIPGKGSDRDLADTKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLKLTLSKARVKLTENTIFNRIITDSNGSDPFSGLYVGAFSPYGPEVVQLRRKFGHWNSTDEVEFFEYVEAVKLTGDLSVPAGQITFRAKIGKGKRLENRGAYPEEFGVIASYKGQGRIAQPGFKNPRWVDGELLVLNGKSTIPHLGGAELGFLYSVPEQSFLVLFDRLKLPE >ORGLA01G0004700.1 pep chromosome:AGI1.1:1:315313:318195:1 gene:ORGLA01G0004700 transcript:ORGLA01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLPCNLLTRRRALTACAAVAALTAAAALFLLATPPTEDPTHPYLLASLLHNTSNQPDSAAASSLSPAQPPLPSTSILQLQTNLPSGFTTVPSMFLVPSPSPAENLDDGSMEETDPPDLKENPPAESAHFLQEPISSGSPIRRSDINNKGHDMKDHAMLPPRPEVPVPLWSTAADEELIYAKKEIAIAPLVSNDPDLHAPLFRNVSVFRRSYELMERLLKVFVYHDGAKPIFHSPELKGIYASEGWFMKLMEGNQHFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSIFVKKYIDFISAKFPYWNRTKGADHFFVACHDWGPYTTKMHDELRKNTIKALCNADLSEGVFIHGRDVSLPETFLRSPRRPLRGIGGKPAAERSILAFFAGQMHGRVRPVLLQYWGGKDADMRIYDRLPHRITRRMNYVQHMKSSKYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFDDAFNWSAFSVVIPEKDVPKLKQILLEIPDDQYMAMQSNVQRVQKHFIWHPNPIKYDIFHMILHSIWYSRVNQIQLE >ORGLA01G0004600.1 pep chromosome:AGI1.1:1:311459:312563:1 gene:ORGLA01G0004600 transcript:ORGLA01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSDSQRRKRCAACYREFNKKEHLVEHMRTSLHSAHDPRCGVCGKHCRSLDALRDHLTGALPKPECAAAFASRGCPLCLHVVLPPTAAAHSCPAAAPPLGGVLALGCKMVGAGSDGSLDVCARVCVVDEQERVVLDTFVKPHIPVTHYRYDTTGIRPEHLRDAMTPKQAARRVQELLLNGEAAWKARSSRGRARILVGHGLDHDLESLGMDYPEYLKRDTARYPALMKTSNSRLSNSLKYLTLAYLGYHIQLAGRHHHPYDDCVAALRLYRRMRGARPHTCRDAGVGPHAPPPTPAEAFPAWRQRELERMSPEELLQLSTSDYYCWCLDASATD >ORGLA01G0004500.1 pep chromosome:AGI1.1:1:297898:301536:-1 gene:ORGLA01G0004500 transcript:ORGLA01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFKQQQQQGGGNGGGQGKRLERRLSLGEYKKAVSWSKYLVAPPGAKIRGGGEELWSADLSKLEIRTKFATGRHSRVYSGRYAARDVAIKMVSQPEEDAALAAELERQFASEVALLLRLRHPNIISFVAACKKPPVFCIITEYMAGGSLRKYLHQQEPHSVPIELVLKLSLEIARGMSYLHSQGILHRDLKSENILLDGDMSVKVADFGISCLESQCGSGKGFTGTYRWMAPEMIKEKHHTRKVDVYSFGIVLWEILTALVPFSEMTPEQAAVAVALKNARPPLPPSCPVAISHLITQCWATNPDRRPQFDDIVAILESYIEALEEDPSFLQSYIPPPHPLHHHHHQHHNHHHQQSLLRCFPRYRTTRRSASLRV >ORGLA01G0004400.1 pep chromosome:AGI1.1:1:295545:296120:1 gene:ORGLA01G0004400 transcript:ORGLA01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRDHLAVIAEALCAIAADMNAAARALDPSTVISLACAAFTVAIVLVCYADICGRLATLHSQTQTPAGEDEDNNATPPEAPAASSLKDRQRSSHGTDTSSSSESSSSSSSSSSSESSSSSSSSSSESSSSSSDDDLARGRQQRNPSPPPQYKKEARRSPSPSPPSSSSTSSSSSSSGSSWWTRSARSFY >ORGLA01G0004300.1 pep chromosome:AGI1.1:1:288947:292043:-1 gene:ORGLA01G0004300 transcript:ORGLA01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSSTSSPQDGSGGGEFSDNLVLQTPQPMREDYIQNAVNFLGHPKVKSSPVFYRRSFLEKKGLTKEEIDEAFRRVPDPQPNSTDVAAVASQQAGIANQSAGVQPYETVQAPQAINTGPIVPHAQPQLSWSRTLIGVGVFLGVGASAAVILKKLFVPRLKSWIQGAHVEGDEISGNELKSKFYEEIKAAIQDSASAFSDIAKTNQELLASKDEDKKILTKLAQAFDSQAEAFRSLSDSLNRMSENRFYQYNLMEDHFQSAPWNGPTTNSWRASQQTNAYNTSPRSDFDSGRHPFMPVPGEPSPGAFPARSYVEQQRMQRPGYGFQPQMSNDRWNPGSPLTNYHGAPPYQQYHHGSTNAIDEALAPAPVPAPAPPAESPFQRRWVPPQPPGVVMPEAVAAIRQPRQQVAAASRPSESAAATEQPQSGDVAGGAAMANAGNGEAEQEREAAA >ORGLA01G0004200.1 pep chromosome:AGI1.1:1:280512:285989:-1 gene:ORGLA01G0004200 transcript:ORGLA01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVVSFPGDLAAVSFLDSNRGGAFNQLKVDLPFQRRDRRAVSLRRTCCSMQQAPPPAWPGRAVVEPGRRSWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLADQVKTFKPKLVAVRNESLVDELKEALADCDWKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAQKHKVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDWPVDKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMIETQDSSVLAQLGWPDMRIPILYTMSWPDRIYCSEVTWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVELFIDEKIGYLDIFKVVELTCDAHRNELVTRPSLEEIIHYDLWAREYAASLQPSTGLSPVPV >ORGLA01G0004100.1 pep chromosome:AGI1.1:1:277441:279682:1 gene:ORGLA01G0004100 transcript:ORGLA01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETDINAPPPPAPAPAGAGEGSSSAAGPSSRKPNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >ORGLA01G0004000.1 pep chromosome:AGI1.1:1:241112:276441:1 gene:ORGLA01G0004000 transcript:ORGLA01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRDANEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPAHLPASATWPFLIMALTKCVIADISGKKRSPKSAAARMLRLAVQCAQDFRLSGRSLLLISVAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYRYQMKPRTYSSFVVLYMKKVATGFDEKISNQASSKEESFRCTLTLHVLLENPPGDYPDIMREESLFITYAKVQLELARAIPEILEKLLDVIIKELDQNFNTGAGLVWCEAPRDEKAGSLRCFQEELMDLSATALYLAYKCTPRTSHNKKKLKTEHVMTVIMDSLLRGSLVWSGSICCLIHKYGYRVDKSLLINWFKATCKGLKSVLSNANALRFQDSLLWLIRVLKEFSALLMSSARDAPPFWLTNDEMSILEGYWQDTWNSLIHALPLFSTTALVVDSVLRLLGEMIMRDQVHASFVSEDTWDLQIFKQLPSSSTLYFIACYFSKIGFQGDVSNSIFIRKNLLRSTFELVHSKGFSLLNEQSVLMIPETIFSLCAGFSSPVINSADASQLFGECKNLSKDKCWSHEEELGYSVETLSEINLESPTKAMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSVFDTTGSALSSFKSFMHSPLFSLWRVNNKTSSVLGVTELLDELLEAISELFAQFSSLMNSFDGDNPSKMLPVPFVSLSEDLHPDNRSSFVDMDLDMTGSGEVDPVTAGGSGSMGISPRPLECKLELVCIISTFFSVVPLHTWEVLYNLADKEVNIKVGQAILLELCTNISASSSSLSSVNQDVFLDLLNKATEISFTDWFFRIRLINCISNFIYLFPDVAQDMIGHLLSMLHDTDYRVRLYLARKIVVLFQIWEGHSELFHDVCSSIGVKMVQFSNENPVRAREVLAIGPQPVPIIETALITLAHLSLQSEDIEVECVFMISAVAAIEPSQRELAYALFDSVSKRLNYASRSKYLDQLIGPILFRWVACDVSLVSLVEVKEMFGFKSDEPKNFIEHCCSWLLSFLILRGETADLNWLSKILSQPLSAVIKGYFVPIFGLCIAARCGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVAHSVKTVVDGFMDAMDGNLAETVVIDKINIFRSDRVFKFLLAIHQQIADASHPRHIRHRLCAIEVLIDVLGHRVALYSTWFYIICIVGSYIRRQPLQDQCCNILSKLLAAFNSNCSTETDAALGKQLQDLEPLPSFDCLKDIQVFHASLSGSYDSRDQFLKFVSRAPHLPPELFLLSSSSVANEASSVLADFISRAGISDVHQVIFHVPNLTEKYPIQPHISKEDKLPSDYGISDDILVGLLKLLKACLSDESAEIIDVTSRTLRGVLSTARGLNALHCLDSLDRSLLMVHSRGINAQVVEQTLLGMEKVSGVLVYYAPYGMTFIILSFFLPNFGSIVSLEDSDLWRTDGRTYKQWLCTLVGSLICHCDDIILRLCRSIAFLKVEAAELLLASALVNIAGNLDSNAGICRLISSMVEEKIFCDSNHLMKSVHLFLDALNVVRSYYVAEKARGCPSNTLKDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLYRSFSTCPFMFLAFLQLPPHIDLLVASFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENHGSLAGTALTVPSDVPSKAEEKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDIQYEAAWRAGNWDFSFFIPYSSQPSTHSQSYCLFNGNLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKYIHSTVIKLQMLDHLTMAWDLRWKFCLNQTSKSYVGNKDFSPVPTVPTRMQLELLNKEWTFILCQTERNLDLLEPFLAFRSAVLKILGSEEHLREHLFQSASALRKGARFSLAAAALYELKELRYHMDHQAMPNSYFLFKLEEAKLLRAQGQHDMAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDFTELHKSPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDTLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQCTHAKFSAKIQKSSKDDRDKFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEDTNKRIPLPREIRSICQLELVPVVTASIPVDPNCQYEEGSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLVGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRRAFVKVCNNFRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIIEVFVHDPLYKWALSPLKALQRQKESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAADADRLCQMFPGWGAWLXAVSTMPLXTKRWNLSQGKNVPMKLLVDALRVLSHAYIRTVWDGMGCYFNXCPHSNSGRGNDKTVAFGCWKIQRACLHTSNSXCLSQL >ORGLA01G0003900.1 pep chromosome:AGI1.1:1:238044:238925:-1 gene:ORGLA01G0003900 transcript:ORGLA01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMDEEEEQEQPPQRYWFPYWTSPPPPPPPPPPPPSSSRYRPPSPPSSRHPHPTIPAARAAPPLGPTNRRLHQQPPPPASRDGRHEPPPKPKDVVVIPTDTVLHHKQPPPTHHHQHQQHKVKDQEEKKGDLRKDLKAGLAGMLSAASHGQQGTSIITLAGDNKGASMKISSPAPGSKGAGDDKRSSADGKGGVKAMINSNVQSINNSLLLHSSCSGGDLGVHLKLKLSSNSKSKSKTKSKEKQQHNVVADTSNKEKKPDSSQEKKEAGTSAAKPNKPSAAAKGNKPAGAANK >ORGLA01G0003800.1 pep chromosome:AGI1.1:1:236841:237503:-1 gene:ORGLA01G0003800 transcript:ORGLA01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAITGAVLSSKPCSLAKAARILDLFADSAASNLPSSDAATYLHTAADATKNHHRFRLDLLNYYHRGDSAASASASDKKKRKRSEDHHHQAATHVKQEQEAQQVAYAADLVAEDETDKETSKKNRKKKKHENQQENARDVKQIVGAPEQRFASPEKKRNKKNHPEEEEEEVKTVVKGIIVSAGDSVATEKKRKKKRERGDDTDNDREQVEHTRKKPRNRS >ORGLA01G0003700.1 pep chromosome:AGI1.1:1:232410:233513:-1 gene:ORGLA01G0003700 transcript:ORGLA01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEEKKSRILVVGGTGYIGRHVVLASARLGHSTTALVRDLSPSDPAKSQLLQSFRDAGVTLLHGDLYDHASLLSAVRDADVVISTLGALQIADQTKLIAAIKEGGGGNVRRFLPSEFGLDPDHTGAVEPARSIFTGKAAVRRAVEAAGVPYTYVVSNYFAGYALPTIGQNLPPARPVDSVVILGDGATKVVFVEEGDIGTYTVLAAVDPRAENKTVNIRPAKNAVSHEELVALWEKKTGKKLERVYVPEDAVLKQIQESEIPLNIVLSIAHAGYIRGETTTPLDPATAVEATQLFPDVQYTTVDDYLNRLL >ORGLA01G0003600.1 pep chromosome:AGI1.1:1:227388:228424:-1 gene:ORGLA01G0003600 transcript:ORGLA01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDQTTTKSRILVVGGTGYIGRHVVAASARLGHPTTALVRDLAPSDPAKAQLLHTFRDAGVTLLHGDLHDHASLLRAVRDADVVISAVRATQVPDQTRLIDAIKEAGGGRVRRFIPSEFGMDPGRGASAAVEPVRSMYGSKVGIRRAVEAAGIPHTYVACNYFAGFALPSIGQFMPKAAPVDSVVILGEGHTKVVFVEEGDIGTYTVLAAVDPRAENKTLHIRPPANTMSHDELLSMWEKKTGKKLERVYVPEDAVLTKIKELEYPKNVLVSIAHAAYCRGEMSSPLDDPQDVEATQLYPEIQYTTVDEYLNTLL >ORGLA01G0003500.1 pep chromosome:AGI1.1:1:224421:226553:1 gene:ORGLA01G0003500 transcript:ORGLA01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAADSGTAGAAAAAAKWRTDASRAFQYYLDRSTPHATGRWIGTLAVAAIYALRVYLVQGFYIVTYGLGIYLLNLLIGFLSPMVDPEAHAAASSDGPALPTRGSDEFKPFIRRLPEFKFWYAITKAFLIAFVMTFFSVFDVPVFWPILLCYWVVLFVLTMKRQIVHMIKYKYVPFSVGKQKYGGKKSAGSSSSKD >ORGLA01G0003400.1 pep chromosome:AGI1.1:1:213267:216811:-1 gene:ORGLA01G0003400 transcript:ORGLA01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G19600) TAIR;Acc:AT1G19600] MGAEAEHRMSPSPAPAPPPPTLVGTDADAPPMVLGLQLSALIDHVARVDWSLLDRIPGDRGGSQQVCIEELNHILAEVNAQILPCRDDLSPIRTIAGGSVANTIRGLSAGFGISTGIIGACGDDSQGVLFVSNMSFSGVDLSRLRTKKGHTAQCACLVDASGNRTMRPCLSSAVKLQANEFKKEDFKGSKWLVVRYARQNMEQILEAIRIAKQEGLSVSLDLASFEMVRDYRTQLIDLLETGNIDLCFANEDEARELLGGELTFDPEEALAFLAKYCKWAVVTLASKGCIAKHGKQVVQVAATGESNAVDATGAGDLFASGFLYGLVKGLPLEECCKVGACSGGSVVRALGGEVRPENWQWMYKQMNASGLLLPDLKN >ORGLA01G0003300.1 pep chromosome:AGI1.1:1:210702:212049:-1 gene:ORGLA01G0003300 transcript:ORGLA01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAALALALRRATAAAHKQKQALALTDAAASRIRQLLSLRHRPYLRLGVKARGCNGLSYTLNYADEKGKFDEVVEDKGVKVLIDPKALMHVIGTKMDYVDDPLRSEFVFINPNSKGECGCGESFMTTSSKGSTS >ORGLA01G0003200.1 pep chromosome:AGI1.1:1:206400:210080:1 gene:ORGLA01G0003200 transcript:ORGLA01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSSSSSLCDTNHLFQDDLPWPSMPFALAPNTFGLNHQWSQPPMLSSSTDQLSSYELESLQSVQSQLAAAPPTLSPHLQAHQLSTVLMMQELGFQWSSCAAPADQHSIASSMNNNNNSNVMMNEEELRPRPDQSLISNPRSCSATTLLPPPHLHLDGAVLPSINVSRLQKPAAGDEPPQICCKRQAAAAVVGHSSIRDEHVPCPYAGPPAHLIQGPSNTLQMKRNTNAAAQGRGGRHGSSTEHRSSTALPPSSKKPRLESHSSSMLPSFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQDQVETLSGPYLRSSKNSKKLACRAAQQQRKGTSNGGDAAAKLDLRSRGLCLVPLSCTSYVTNENGVWPPPNFRGN >ORGLA01G0003100.1 pep chromosome:AGI1.1:1:191800:196295:-1 gene:ORGLA01G0003100 transcript:ORGLA01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein [Source:Projected from Arabidopsis thaliana (AT1G27620) TAIR;Acc:AT1G27620] MEIVGECEYRGEAVLVRPSSATPRRSLYLSNLDDQRFLRFSIKYLYVFPPSAAVAADALRAALARALVHYYPLAGRLRHHADDKLVLDCNAEGALFAEAFLPTLTAADFLRAGATAKPHKSWRKLLYRVHAATFVAVPPLVVQVTQLGCGGMVVCTAISHCVCDGIATANFLHAWAAFAAADLDLAADRDDDLSVVLHDRRALRPRCPPRVAFTHPEYHTSSSSSSLLLIQPQLMPLAPVSLTFTAAHLRRLKARSLRSCTSFEALAAHVWRAWVLSLAPPPPPDMRVKLLFSVNVRRRLKPELPWTYCGNAFVLACAEATPTELAAGAGVRLVQEAKDCIDDDYVRSTVDLLDQRRGAKPDLAATLVISAWTRLGLEDVDFGKGRAAHMGPLTSEIYCVFLPVLADPNAVTVLLSLPQPAADTFEHHCCSCFLLDLDDDQPAVPVLVDKQDHDNQLVRAHHQHDILA >ORGLA01G0003000.1 pep chromosome:AGI1.1:1:180946:187634:1 gene:ORGLA01G0003000 transcript:ORGLA01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKGKGKWKRPPTVKPPVMAASASDDDEIDAFHKHRDMIPLHDHDTESEDDLEHPVFDLEGISENETDDSEGDEDGNMDKAAYDEWDDKFIAKLKRAERAVKQIAGGDDSMDEHEDDHKDKNSWGRGKNAYYDAGEQSGDDEDDYEETRRIQKEEESKLSMQDFGLEDGESDEEDRAIKASNHQVKVPDGEHSFETYVKMKEEFAVLSRDEKMGVLDSSAPELVGLLSELKDAHEELMVIGPVTNEVTAGQSKDKGKMQPLEVKRACLAAYCQAITFYLLMKAEGLSVQDHPVIARLVEIKSVVEKMKHANVNFPRQKEDSDDYCMPDSNIMDVADMISLDKKNISSNLLLRDKGVEVAELTKKDHSNKDHHEIAKRKGKDEHIGSQSLEMLKVRATLEERLKEKGLYNLTRLKRKKVSNTRTTNRSDLQTLDDFDDEVLKNTQAIKPSKVLVAAAKSNKNKFVSGDDELPKRDEIGERRRKHELRVLARVGASTLEDDDLPEEDDHTEERPNQLSEENGSDDDIGPSESEDEFYKDVKRRRTEKLSSKEQKYSPNPVIESLEEETEGDGKRKISYQMEKNRGLTRSRNKKLKNPRKKYRVKHQTKLVKRGGQVRGVKKPSGPYGGEMSGINPNVSRSVRFKG >ORGLA01G0002900.1 pep chromosome:AGI1.1:1:167712:175197:-1 gene:ORGLA01G0002900 transcript:ORGLA01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFRSANLASRVFDRQFLSPRPGATVNTVRQFYENLVPSYTICDIDCPDYSFRKFTDDGNYLVAFSRNHQDLIVYRPIWPTFSCNELCDSHDLPPKAKKFDSFFKQLYSISLASSNEYICKDFFLYMERHQFGLFATSTAQSNDTSATEGAIHGVPSIEKITFYLVRLDDGAILDEKAFRNDFINLAHSIGAYLYEDLLCIVSLRYQTIHVLQIRDSGNLVEVRKIGAFCQEDDELFLHSHGQAARGVSFLPGIKQRLLSFIFRKTWNEESDQTLRVQHLKKKFYFHFQDYVDLIIWKVQFLDRHHLFIKFGSVDGGVSRSTEQNLAFFAVYNMETTDIISLYQQNSSEELYSLFEYFYDHFHTNPQNSSHGNFISSHSNNVHALDQLRTIKNKANSTSQQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKLISAIDRHRHCTEHPIKFISVKQPNVVKFKIKPGSDSGASDSRAKRISSFLFHPFFPLALSIQQTYMQPTVVNLHFRR >ORGLA01G0002800.1 pep chromosome:AGI1.1:1:145889:147588:1 gene:ORGLA01G0002800 transcript:ORGLA01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPHRHHHHGHRGDDDDDDRRRHPAPAPAYGHDSAPPPGPYGQAPPPADPYARHPPSHDYAHPPPAYGGGGYGNVVHVSHEVSDHQRPTPHYGGSEYISPVQETRPYHGGGGAPPVTHRIYCKAGEDNYSLAVRDGKVCLVRSDRDDLTQHWVKDMKYSTRVKDEEGYPAMALVNKATGDALKHSIGQSHPVRLVRYNPEYMDESVLWTESRDVGSGFRCIRMVNNIYLNFDALHGDKDHGGVRDGTTLVLWEWCEGDNQRWKIVPWCKLYFSDTISALFFSSFSNRQSH >ORGLA01G0002700.1 pep chromosome:AGI1.1:1:135302:135592:1 gene:ORGLA01G0002700 transcript:ORGLA01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLLDYSDDLPPSTDQTPSLHLGFTAVNEGNNNNNKRHKTMEEYYSISISTAEMLHASSSTSNNKSTRINFSSIFEPQTPAAAGHQLMSSHNDDTSI >ORGLA01G0002600.1 pep chromosome:AGI1.1:1:129142:132700:1 gene:ORGLA01G0002600 transcript:ORGLA01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNNPPTIDTTNPIMSSLCPFAKLASAGATCPVKSSSDNKTTINHTDDDDDDNEKTGNANTDPRVVPPKCPFGYDSNTFKLGPLSCMVCHALLHQSSKCTPCSHKFCKACILRFKDCPLCGADIQGIEPDDELQGLVDRFIDGHARIKRSHAAGDGEATSDNKTKVIYEDVSMERGAFLVQQAMRQAFRAQNIESAKSRLSMCAQDIREELKSKQDNQELCSQLGAVLGMLGDCCRTLGDAPSAITYYEESAEFLSKLPKKDLELVHTLSVSLNKIGDLRYYDGDLHSARSYYARSLDVRRSAAKEHLAVASQVIDVATSLAKVADVDRNLGNESMAFEGFEEAIKCLENLKLESGEASLEQRRLSVLDFLQKQLDDK >ORGLA01G0002500.1 pep chromosome:AGI1.1:1:125357:128433:-1 gene:ORGLA01G0002500 transcript:ORGLA01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / C-type lectin domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G52310) TAIR;Acc:AT1G52310] MAAAILRVFFFFFFFILPASLTATASTSTSSCPDGWQITPALDKCFIYIPTPLSWDRSEALCRNNFTAHLAALSSLQDLNLAKSLCGPSPSGCWVGGHRNNTASAFAWKWSDDSSSWNDTAFPADPLRANCSITGCALATTNDACTLVTNTHAALTAKRCSDSHGLICMINHEDRCYHDHCHKEYFIVLVVVSGFILLTTLAVVVWLLVYRRSKRRRRSREGSSTSATALVPPLWKVFTSEELRSITKNFSEGNRLPGNAKTGGTYSGILPDGSRVAIKRLKRSSLQRKKDFYSEIGRVAKLYHPNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHVPRGGRCLDWPMRMRVATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGLSKFVPWEVMHERTVKAATYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPTQSVESVGWQTIFEWATPLVQSHRYLELLDPLIQELPDVGVIQKVVDLVYACTQHVPSVRPRMSHVVHQLQQLELKSAASEQLRSGTSTSATSPMLPLEVRTPR >ORGLA01G0002400.1 pep chromosome:AGI1.1:1:120936:124453:1 gene:ORGLA01G0002400 transcript:ORGLA01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFLLDMRNIPPPLLHLLKQCLLHLANLYAAIPSADLPDRLALCYVHPAASSSSSSSPPQLKVVYRPGEKFNLRDFHHAVNNLPLDAFRPNQHGSLHTTGDVSLTNLFSNRAIYSWATDDTSKKVIALSMSAQNTQALRRSLMDAAEQCITVEFVLLETGAAFVCDGVSENSNGFIDTICDLENCVVRRYSPETQVLHGLVKRWLEELKDDKEEALQAVFVFRVPIISTVNQISCSMYASANHIIDGFPSCQICRCHGRPIDLANTNKAKWMCPITSRQLTASDVTDTAVKIGEQTVLFLPDSEGVSSLRRASSSISFDVIERTNLASLNEGLIIGTPHIVIPSSNDVEVALDDECSDQNTQLFYGLCETLFKLDQGLVCSSKCNTETMKIGSLECYYLLQPTEKGPMLLRRLAGSEEILPLPVVSRPCNSTGTKEVQNLIETSLSKIVLKDYNPLQHERGFHSRLNCLVKDSLQFGCD >ORGLA01G0002300.1 pep chromosome:AGI1.1:1:116280:118629:1 gene:ORGLA01G0002300 transcript:ORGLA01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPGSSRPANVAAAAATEARFHSHPPQQDRRSGWAGCLSGLSCFGSQKGGKRIVPAARVPDGNASTSRGNAHQSGANSNQSAALNLSLLAPPSSPVSFSNSAIPSTAQSPNCFLSISANSPGGPTSNMFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLLSSMDLKTAGKDHNMPYLSTAYSGGSGLQASYPLYPESPSSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYSRASPIPEQEVRTAHWKTSRSACDTPYSRNSPSNIFGLDSAASRNYMLDNNFFRPAASAQFYLDQAQQSFPYNNGGRISVSKDKQDVEEVEAYRASFGFSADEIVTTQTYVEIPDALDEGFSISPFGNNAPATEVDKSLFNVKVITGPKKSTEQKLSNGSPQNVVHLDIFKGNSPELLGTKGGDVCEDEGMVKDCHPFRKGRDEISLKPIEVRKKVGGGQSCSDAEIEYRRARSLREANGVVSWRSTLARQLQ >ORGLA01G0002200.1 pep chromosome:AGI1.1:1:112157:114003:1 gene:ORGLA01G0002200 transcript:ORGLA01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNQIISTGNKEATRRHTYMSSEFKMSSVRFGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIARLAFMCSLLAFCIAFSVDFSKVHSSVESCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHSIQIVTRMNCSGILEKDSYRLCKFAVAYFLRLRGDTKGIATGEDSVSPLHGGMQFLSSILQRVMELPFVLPKYFFRVRPCFGAELHIYDSNPENRDGISVPSGLQLSLTLCLQWKCVLERSNIDISKLLEAILRFSCKLSILLLRCNRNKKQRV >ORGLA01G0002100.1 pep chromosome:AGI1.1:1:105132:108810:-1 gene:ORGLA01G0002100 transcript:ORGLA01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-8,7 sterol isomerase [Source:Projected from Arabidopsis thaliana (AT1G20050) TAIR;Acc:AT1G20050] MGHPHPHPYAPAELHLPGFVPLQLSQAQILVPYLATSLFLLLAVWLISGRCSRRLSDTDRWLMCWWAFTGLTHIIIEGTFVFAPNFFSNQNPSYFDEVWKEYSKGDSRYVSRDPATVTVEGITAVLEGPASLLAVYAIASGKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWTSPFYFWAYFIGANSSWVVIPTMIAIRSWKKICAAFQVEKVKTK >ORGLA01G0002000.1 pep chromosome:AGI1.1:1:98672:100492:1 gene:ORGLA01G0002000 transcript:ORGLA01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13400) TAIR;Acc:AT5G13400] MDHHPIPADENEMTSTSPQMQMRRNKLSSHFQGDIDDDGGVGVVDIRGSPMGSAELARTGGWVAAVFIFGNEMAERMAYYGLSLNMVIFMFNVMHRPFAASANAVNNFLGISQASSLLGGFLADAYLGRYWTIAAFTTLYLLGLVALTLCATMPALQAPGQDECDGFAKLLGKCQQPHPWQMAYLYAALYTTALGAAGIRPCVSSFGADQFEERSPVLDRFFNLFYLAVTVGAIAAFTLLVYVQRNHGWAAAFGALALAMAASNALFFMGTPLYRHRVPGGSPLTRVAQVLVAAYRKRHIKHTTDLLYEVGGAKSAVRGSGKIEHTEELRWLDKAAVRVEGQEEINNPWRLCTVTQVEEVKILVRLAPVSACTVMLSVVLTEFLTLSVQQAYTLNTRGLPVACMPVFPCLAILLLLALYYRAFAPLARRLTGHPHGASQLQRLGLGLLLSTLSVAWAGLFERYRRAYAIRHGFLPLFLTPMPGLSAYWLLIQYCLIGLAEVFCLVALLEFLYQEAPDAMRSLASAYAALAGGLGCFLATAINTAVDSITGDIDAGRPSWLAQNINVGKFDYFYWLLAVLSTINLIVFIYFAKAYKYRIKPPTTPHN >ORGLA01G0001900.1 pep chromosome:AGI1.1:1:91590:93626:-1 gene:ORGLA01G0001900 transcript:ORGLA01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEDLWSQEEQGPPPSIQDATERLKINKRGESVDDKIKKLDEELGRYKEQIRKTRPGPSQDAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVAFAADGLKDAQQTMNAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEIQESLGRSYNIPDDVDEEELMGELDALEADMEFESSAVPSYLQPDKESDFDAELNLPAAPTAPAAVPVSRQQVDELGLPAVPRASIRS >ORGLA01G0001800.1 pep chromosome:AGI1.1:1:90163:90824:-1 gene:ORGLA01G0001800 transcript:ORGLA01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCR-like [Source:Projected from Arabidopsis thaliana (AT3G26740) TAIR;Acc:AT3G26740] MQTAASSVVGLSAVLPAAVKGRSLQIQAPRRVALRVRAAAAAVAVEAAEVDYSSNISVFPMEACDLIGGEACNVQMYPEAKLSSSAAVAVSRAAAEEVDRDYLSYDEPTTVFPEEACDDLGGEFCKAT >ORGLA01G0001700.1 pep chromosome:AGI1.1:1:75647:80036:1 gene:ORGLA01G0001700 transcript:ORGLA01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MEDDDDDQRLLHRLGVTSADIHDIERRIISQATTDPADSSGPTINGGHQPDDALAKLHHKLRSVQIEIDAVASTIKGAKLKQPSGNKPHEHKGKDQPDHHGGGHLQQALAADRLTSLRKAKAQIQKEILQSHPSPSASNRKDKMLAMLVQDEPRRKKPPVGPKNIVKRPMKTVTYDDDNDFDAVLDGASAGFMETEREELIRKGLLTPFHKLKGFEKRVELPEPSHRQDDSAGQTEEAMEASRIARVAQSLKQIAQNRPATKLLDSESLPKLDAPAAPFQRLGKPLKRPVSPSSDEQEKKRPRNKTKRPLPGKKWRKANSIKESSLHDNDVGEAAVSVSDDDEDQVTEGSDELTDVTLEGGLRIPGTLYTQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGSLHNSGLYKPSIVICPVTLLQQWRREASRWYPKFKVEILHDSANSSSKKSKRSSDSDSEASWDSDQEEAVTRSKPAKKWDDLISRVVSSGSGLLLTTYEQLRILGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFSVPITVGGYANATPLQVSTAYRCAVVLRDLVMPYLLRRMKADVNAQLPKKTEHVLFCSLTTEQRATYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVEQVLKVWKEQGHRVLLFTQTQQMLDIMENFLTACEYQYRRMDGLTPAKQRMALIDEFNNTDEIFIFILTTKVGGLGTNLTGANRIIIYDPDWNPSTDMQARERAWRIGQTRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKVLKDPQQRRFFKARDMKDLFTLQDDDNNGSTETSNIFSQLSEDVNIGVPSDKQQDQLYAASATPTTSGTEPSSSRHGQGKEDHCPDQADEECNILKSLFDAQGIHSAINHDAIMNANDDQKLRLEAEATQVAQRAAEALRQSRMLRSHESFSVPTWTGRAGAAGAPSSVRRKFGSTLNTQLVNSSQPSETSNGRGQSLQVGALNGKALSSAELLARIRGTREGAASDALEHQLNLGSASNHTSSSSGNGRASSSSTRSMIVQPEVLIRQLCTFIQQHGGSASSTSITEHFKNRILSKDMLLFKNLLKEIATLQRGANGATWVLKPDYQ >ORGLA01G0001600.1 pep chromosome:AGI1.1:1:72007:74135:1 gene:ORGLA01G0001600 transcript:ORGLA01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon-associated protein subunit beta [Source:UniProtKB/TrEMBL;Acc:I1NJH6] MAMARSILLLLLLAAAASASADAPFLVAHKKVSLSRPKPGVERLAVSLDLYNQGSATAYDVSINDDTWPKEAFELVSGEMSKTLERLDPGVTASHAFVLETKVQGRFQGSPAVITYRVPTKAALQEAYSTPILALDVLAERPPEKKFEWAKRLVAKYGSLVSVVGLVGVFIYLVASPSKSSGAKASKKRR >ORGLA01G0001500.1 pep chromosome:AGI1.1:1:69485:71416:1 gene:ORGLA01G0001500 transcript:ORGLA01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAAMRGAAASLGPGAVSWNSKRFFLSSSSTISRPHRRRLRAFPSSEQTLEELNPSVELLRKTAEAVGDFRKTPIYIVGTDCTAKRNIAKLLANSIIYRYLCSEELLEDVLGGKDALNAFRESDLNGYLEVETEGLKQLTSMGSLVLCCGDGAVMNSTNLGLLRHGVSIWIDIPLELVVNDMLKTQATSDPDSFSEAMSRVRQRHDELKERYGVSDITVSVQNVASQLGYSSIDSVTLEDMVLEIVRQIERLIRAKSMMEAAGKPF >ORGLA01G0001400.1 pep chromosome:AGI1.1:1:65871:67694:1 gene:ORGLA01G0001400 transcript:ORGLA01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSSSAAVAVAEAPSPAPAPPLLTWPTPDPDPPTSWTAVAALEDQQRRRLHRIWERGVAWKPPATLPLPPLVFRLDHAGEVDADGNCLFTAARKAASAKPDARDLRHRIVRRFSHLYAAAQAPDRDAIDAAVRHLYAPDLKAGWGVHVVQELKLLAPKTLRHHLDAAINDLVDLGIQREMAAETIYRERCIAVNNGDSWAKYMSVSGSAEDEHNIITLQYTEEGLLTIDENRDGHAAAFGDDIAIECLATEFKREVYVVQAHGADAMVDEDNCVFFLPHRPRGEICEPPIFLFMKGTAWCGAGADHYEPLIATVLQNVTPDKAAVVL >ORGLA01G0001300.1 pep chromosome:AGI1.1:1:63916:65193:1 gene:ORGLA01G0001300 transcript:ORGLA01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLDVPRSLRLPTLKAQQQMDEFWRDRQKEIETTKDFSEHAIPMARLKKIASSQKGNMMMTFDMPAFLSKMCELFVQELAVRAWASAQSHNRCIILDTDITEAIASTESYDFLVDILHNHREKHKSTPCSTLTTKRCRLVNQPSTSRPPYQHQLPLFAPTYTPAIPITPSLMPPISHYIPFQYPSLSQEVSTMMASVPIVNRSMLLIHNIARGLGLQGNNISTFANNNIPDNIIGCSSPAVLASMMSPALLDVARASLNPPNSHSICMMNMINSSNPSGSSIGDINVANQASLAPSGHFNPAILQESSCPSFLYNNNNDTTVVVPESVDISGTMDVASDVAGIVINGQEEEHERETNVEQNEIYESIDIGIINASVADGNKCSIRWDELGTADDSLLDKFLEEFQARNDGVLHSGIVLHEDHS >ORGLA01G0001200.1 pep chromosome:AGI1.1:1:58016:58958:1 gene:ORGLA01G0001200 transcript:ORGLA01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid lumenal 17.9 kDa protein, chloroplast [Source:Projected from Arabidopsis thaliana (AT4G24930) TAIR;Acc:AT4G24930] MTSSLSSSTASAAACCKSRSRNPPPAPAPHTSTARVVRSSRRRLLLVFFSAEAAAAATSGLIQTPCGQAYPFAGTNVKKPQPPSTPYSQSQSQQQFGLDAKGRIRACPSTNPGCVSTNPTVGASCSLASPLIVPANTPTDKAAASLREAILKTQRNAVIKADEETAYGHYIRAEVDGGAGRDVMEFLLKESQSQSQEVVAAYRCVATKVIFVYPFTTAVGDSRGQSQRIAAVAQELGWYAPDLLNAATADDHSILDY >ORGLA01G0001100.1 pep chromosome:AGI1.1:1:41537:43123:-1 gene:ORGLA01G0001100 transcript:ORGLA01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLLLLFLMLAQAPNSNGDSKIKNVVVLALENRSFDHMLGWMQRLLGLPIDGLTGAECNPAPGPGPADSLLHCVSPDADLVVPDDPAHAFEDVLEQLLGFRPNDSAGAAASPSDMSGFVRSAVSVSALLTDAVMRGFTPSRLPAFSALASSFAVFDRWFSSIPGPTQPNRLFLYSATSHGAVAHDKWNLLRGYPQRTIFDSLAADALDYRVYFKTIPTTLFYRRLRTVANAARGTFRRYDAAFRDHARRGLLPALSVIEPRYFDLTGTPADDDHPAHDVANGQRLVKDVYEALRAGPQWNHTLLIITYDEHGGFYDHVPPPNVGVPSPDAIRGPLPFFFRFDRLGVRVPTIMVSPWIRKGTVVGRPPGGPTPTSEYEHSSIPATIKKIFNLSSDFLTRRDAWAGTFEHLFTDLDEPRTDCPETLPEIPPPSSSSSSTKKEDGGWLSDFQRELVQLAAFLNGDYMLSSFAQEYESRMTMTVKQADAYVRRAVKSFLEASKRAKRLGANDSAIVTMRPSLTTATTCCP >ORGLA01G0001000.1 pep chromosome:AGI1.1:1:40701:40919:-1 gene:ORGLA01G0001000 transcript:ORGLA01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWGPVLIALVLFVLLTPGLLCQIPGSNGRVAEFHSMRTSVASIFVHALLFFAFCAIFMVAVGLHLYAG >ORGLA01G0000900.1 pep chromosome:AGI1.1:1:37092:40299:1 gene:ORGLA01G0000900 transcript:ORGLA01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQHLTSRRHAELLRHLLLDGGAAVKDLRLRRVVPLTSAPLDDSSPDPAGAAAKSGSAETTPPEAQDGRERKPVVQRSKLVHAPASFGYRRLLPFLNQLTNTNQESECPSGKDNSKIDAYAESESEAQPDPVHCSISTTKEEINISSSHLSSTKMCLSRCQRSRFVHHPSSFSYKRMLPFVTENEITSQEGHRTKIPRLVQEKQSSTDENLILTTGQHHFVMSGDSAEECKTAQVERLVEENESKSDRIHPLGGRLLQPAVSEAAHLELQVSTVEGQNLTQERVLASDAHLLSSDKGECTLKWNDVLPAGQHQPAASEDFSEESNKAGVEAVLEERKSVPDGNSVLDGRQLQTFVSKASPPEGTAEMQKATQKQAVTSDGDDDPLASCKGGSLAKEQPLLHATEELSVKDNAEGDEVHQCQSPELGTSDVCFGGPTKVVIPSVNSHNALEQCDSMASLDEPLLDVEMTCIPLDPCATGVPYSVKETPAGVLCTSDHCSTGTPLTVEETSSSVSVVHIEPMSSKVSPVRQRGSPCLEKRGLSPKKLSPKKGILKRHTRGCKGICMCLDCSTFRLRADRAFEFSRKQMQEADDIIDNLLKEVSSLRNLMEKSAGQQETKQTACQRASQVEVVARERRRQMLMELNSHCRIPGPRVKFAQYVEERMASSPSPDSPSRRR >ORGLA01G0000800.1 pep chromosome:AGI1.1:1:34231:35582:1 gene:ORGLA01G0000800 transcript:ORGLA01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ-like 20 [Source:Projected from Arabidopsis thaliana (AT4G13830) TAIR;Acc:AT4G13830] MPHLAASPTSAAAAAPASARVAFLRPGRVPRPPLQTARGLRPDLGTLRTAEQPTLYDLLGISSEGTLDEVRAAYRRMARKYHPDVSPPDVAAENTRRFIEVQEAYETLSDPSRRATYDRALARGVCRLAFSSSRRVAPYYYQDQEDKSGWRRTWGDQIEELKRRSMTKDSEENLSWGARMRRRTETSSSE >ORGLA01G0000700.1 pep chromosome:AGI1.1:1:25166:29269:1 gene:ORGLA01G0000700 transcript:ORGLA01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G23900) TAIR;Acc:AT3G23900] MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALSASAADDPDQDDDLHRPSSPAQASRDAYSDADDDDDDRPHAPPGPVDPSKSSAAGPGIAGGSAGAPATFTVVSKDRDSRRVPTGGARVRVRVSPAAGVGGDDLEGAVKDNGDGSYAVTYVVPKRGNYMVHVDLDGSPVMGSPFPVFFSASNTAATVVTSTFPPTLPAVSSAYPNMVNQTMPNMPNYAGALSAAFPSLLGLLPAASTGASGGVVLPGVGASLGEICREHINGKCTKATDCSKLNHPPQQLLMSVLAATTSVGALSQAPMAPSAAAMAAAQAIMAAQALQAHAAQMQADSKAAGGEASGSTDKTDKGDALKKMVQISNLSPLLTVDHIKQLFGYCGKVVDCTITDSKHIAYVEYSKQEEATAALALNNMDVGGRPLNVEMAKSLPPKTNLANSNLPMMMQQAVQLQQMQFQQALIMQQTIAAQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGETVEEKDARGKSRSPSPSARRSKSRSRSPIKYRRSRRSRSYSPPVRHTRGRRSRSPSRSYHSKYGSDRSYRDDRDKYGRSGRRESDRSRDHYSSSSRRNRSRSISPRHKKSSRSDSRSPKRHREESLSPSKSRRSARAGSRSPGQHKGSKLSPTRDHHSSRRSRRSRSRSQEKNRNSDKKDSKKSEMEDKKRRSDRGNRGDKDEKYLKDPMEDKKLDVSSVAHKRSSSASEDEMLNSNSKRSKHDAALECHERKDEDHIEEDRRDLDSVGSKSEKRSLGNGDHEKQNHDTNRKTDKSHDRDDSSRKDRKYREDESRHSRDRRSRHSSSRSHRSSRHSREKYHGDTTDQHKSKKSEEGSKSRKDDCLLDDTLSSDRRKVQSEDSPRRKHNQLAASSDVHGINHDTGVKVPNDFSEADQGIQEAKQVVHETDMSSAPRLEDPFLAQDKQDKPIVAGLNGRHEPGVDGAFVGTEESAI >ORGLA01G0000600.1 pep chromosome:AGI1.1:1:21923:22371:1 gene:ORGLA01G0000600 transcript:ORGLA01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKNSGHFLNQRSHKTSLLPTPEEEAALAASATGGPSFVLHTSIAPNNPSSQITSGLTIRHAVTESEKTVASSAAIEPKKMSHAPIVDAHIEDTQWHWRPRWWGDGGECGVEGWERVYGRGGDVDALTL >ORGLA01G0000500.1 pep chromosome:AGI1.1:1:15693:17974:-1 gene:ORGLA01G0000500 transcript:ORGLA01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPHRPYKRPAISDQQRRRDLALQAQSARRADAQARARSLANSLLSPSSAAADTAVEGDSERDHEPTVAEAASKLRGSDARRWFARQIMLPEWMADAPPHLATDWHVFARPAGKRCLVVSCNGITISRLRNGSILHRFPSALPNGSKRDISGPASSYSILDCIFHEPDETYYIIDMICWRGYSLYDCTAEFRFFWVNSKLMETTAGDPPSTYHRYRFSAVPIYECTLQGLQAAYSGSTPYVKDGLLFYNKHAHYLAGITPLALVWKDEACSQYVIDTDSKGQVPSEQHIVLDLQEDGKLTTSDDPPVVFGSLDNEFIQKSNLRPGNLLRFAVKDERVKLVDGKMEISELQFVGKPNRARAFADSHSKALFQYAARHAPLRIEDLVASIQSNNMELESTDVEMEG >ORGLA01G0000400.1 pep chromosome:AGI1.1:1:14127:15368:1 gene:ORGLA01G0000400 transcript:ORGLA01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQMQMQQATTVLSSSSNRPWTLWCHPVPVVSSPSHAKNKKHGLRLRAGMAMASSELPDLSAIQRVVLDIEGTTTPISFVADVLFPYARDNVRRHLAATYGSSEETRADVALLRAQVEEDLAQGVDGAVAVPPDAEGEGEGAVVEALAANVESMIRADRKVTALKQLQGRIWRRGFDSGELRSEVYDDAADALRRWRAKAYIYSSGSREAQRLIFANTAAHGDLRDHLCGFFDTTIGAKREVSSYYEIWQTLGTDRPSQILFLTDVYQEAAAAKTAGLEVIISVRPGNAPLPDNHGFHTITSFAEISI >ORGLA01G0000300.1 pep chromosome:AGI1.1:1:10664:13096:1 gene:ORGLA01G0000300 transcript:ORGLA01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02010) TAIR;Acc:AT3G02010] MHPSKTTATVVANVAAAALPLPFPRAATPLDARMVKTGFDVLTYRLNLGLRSLLSSGHLHRARAMFDQMPHKNIFSLNLILSAYSSSGDLPAAQHLFLSSPHRNATTWTIMMRAHAAAGRTSDALSLFRAMLGEGVIPDRVTVTTVLNLPGCTVPSLHPFAIKFGLDTHVFVCNTLLDAYCKHGLLAAARRVFLEMHDKDAVTYNAMMMGCSKEGLHTQALQLFAAMRRAGIPATHFTFSSILTVAAGMAHLLLGHQVHALVLRSTSVLNVFVNNSLLDFYSKCDCLDDMRRLFDEMPERDNVSYNVIIAAYAWNQCAATVLRLFREMQKLGFDRQVLPYATMLSVAGSLPDVHIGKQIHAQLVLLGLASEDLLGNALIDMYSKCGMLDAAKSNFSNRSEKSAISWTALITGYVQNGQHEEALQLFSDMRRAGLRPDRATFSSIIKASSSLAMIGLGRQLHSYLIRSGYKSSVFSGSVLVDMYAKCGCLDEALRTFDEMPERNSISWNAVISAYAHYGEAKNAIKMFEGMLHCGFNPDSVTFLSVLAACSHNGLADECMKYFHLMKHQYSISPWKEHYACVIDTLGRVGCFSQVQKMLVEMPFKADPIIWTSILHSCRIHGNQELARVAADKLFGMEPTDATPYVILSNIYARAGQWEDAACVKKIMRDRGVRKESGYSWVEIKQKIYSFSSNDLTSPMIDEIKDELDRLYKEMDKQGYKPDITCALHMVDHELKLESLKYHSERLAIAFALMNTPAGTPIRIMKNLTACLDCHAVIKMISKIVNRDIIVRDSRRFHHFKDGVCSCGDYW >ORGLA01G0000200.1 pep chromosome:AGI1.1:1:9088:9981:1 gene:ORGLA01G0000200 transcript:ORGLA01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRNKLTGGVPARSDGDSGGLSWERRFMVAVATARALAYLHHDCKPQVLHLNIKSRSILLDKEHEAKLLDLGLAKLLLEPSNLPDYIAPELASSSSPSSRHGGDKCDMFSFGVVLIAGYGDGAEAGEQPPWTTGHGGGGGGPARLREGDGGEQHSLRLLRPEHEESRRGKSFQVSAGGVGPAGYAVEGCRRVAVVEGGGGGLLRVDPAKEARGAADGHSSPKGLRPTGGAQAGGRYGTTMPVPATPSTSPPWKEYAPAAPGVVPLKQPSSQAAPAGVVPVQPSSSATAATKKRKMIEE >ORGLA01G0000100.1 pep chromosome:AGI1.1:1:2:4194:1 gene:ORGLA01G0000100 transcript:ORGLA01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dihydrosphingosine phosphate lyase [Source:Projected from Arabidopsis thaliana (AT1G27980) TAIR;Acc:AT1G27980] VILRGSESEGHFALINKAYSMFSHTNPLHQDVFKSVAQLEAEVVAMTAALLGSKEKSSGGQICGNMTSGGTESILLAVKTSRDYMRTKKGITKPEMIIAESAHSAYDKAAQYFNIKVRRVPVNKEFLADVKGFKRCINGNTIMMVGSAPGFPHGLIDPIEELGELASRYDICLHVDLCLGGFVLPFARKLGYPIPPFDFCVKGVTSISTDVHKYGLAPKGTSIVLYKNHEIRKHQFVAVTEWTGGLYVSPTIAGSRPGGLIAGAWAAMTSLGLNGYMENTGHIMEVSKKIQRGIEDIPGLFVIGKPDMTVVAFGSDSVDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHTVIYEEFLKDLKDSVDTVKANPGPISGGRAPIYGAAGKMPDRGMVRELLVEFMDASC